summaryrefslogtreecommitdiffhomepage
diff options
context:
space:
mode:
-rw-r--r--abstract.md9
-rw-r--r--docs/404.html25
-rw-r--r--docs/_redirects1
-rw-r--r--docs/assets/404-n_Eqdu3W.css1
-rw-r--r--docs/assets/CodeRunner-DcJPFc8h.css1
-rw-r--r--docs/assets/DrawingControls-Cxk9a9ub.css1
-rw-r--r--docs/assets/KaTeX_AMS-Regular-BQhdFMY1.woff2bin0 -> 28076 bytes
-rw-r--r--docs/assets/KaTeX_AMS-Regular-DMm9YOAa.woffbin0 -> 33516 bytes
-rw-r--r--docs/assets/KaTeX_AMS-Regular-DRggAlZN.ttfbin0 -> 63632 bytes
-rw-r--r--docs/assets/KaTeX_Caligraphic-Bold-ATXxdsX0.ttfbin0 -> 12368 bytes
-rw-r--r--docs/assets/KaTeX_Caligraphic-Bold-BEiXGLvX.woffbin0 -> 7716 bytes
-rw-r--r--docs/assets/KaTeX_Caligraphic-Bold-Dq_IR9rO.woff2bin0 -> 6912 bytes
-rw-r--r--docs/assets/KaTeX_Caligraphic-Regular-CTRA-rTL.woffbin0 -> 7656 bytes
-rw-r--r--docs/assets/KaTeX_Caligraphic-Regular-Di6jR-x-.woff2bin0 -> 6908 bytes
-rw-r--r--docs/assets/KaTeX_Caligraphic-Regular-wX97UBjC.ttfbin0 -> 12344 bytes
-rw-r--r--docs/assets/KaTeX_Fraktur-Bold-BdnERNNW.ttfbin0 -> 19584 bytes
-rw-r--r--docs/assets/KaTeX_Fraktur-Bold-BsDP51OF.woffbin0 -> 13296 bytes
-rw-r--r--docs/assets/KaTeX_Fraktur-Bold-CL6g_b3V.woff2bin0 -> 11348 bytes
-rw-r--r--docs/assets/KaTeX_Fraktur-Regular-CB_wures.ttfbin0 -> 19572 bytes
-rw-r--r--docs/assets/KaTeX_Fraktur-Regular-CTYiF6lA.woff2bin0 -> 11316 bytes
-rw-r--r--docs/assets/KaTeX_Fraktur-Regular-Dxdc4cR9.woffbin0 -> 13208 bytes
-rw-r--r--docs/assets/KaTeX_Main-Bold-Cx986IdX.woff2bin0 -> 25324 bytes
-rw-r--r--docs/assets/KaTeX_Main-Bold-Jm3AIy58.woffbin0 -> 29912 bytes
-rw-r--r--docs/assets/KaTeX_Main-Bold-waoOVXN0.ttfbin0 -> 51336 bytes
-rw-r--r--docs/assets/KaTeX_Main-BoldItalic-DxDJ3AOS.woff2bin0 -> 16780 bytes
-rw-r--r--docs/assets/KaTeX_Main-BoldItalic-DzxPMmG6.ttfbin0 -> 32968 bytes
-rw-r--r--docs/assets/KaTeX_Main-BoldItalic-SpSLRI95.woffbin0 -> 19412 bytes
-rw-r--r--docs/assets/KaTeX_Main-Italic-3WenGoN9.ttfbin0 -> 33580 bytes
-rw-r--r--docs/assets/KaTeX_Main-Italic-BMLOBm91.woffbin0 -> 19676 bytes
-rw-r--r--docs/assets/KaTeX_Main-Italic-NWA7e6Wa.woff2bin0 -> 16988 bytes
-rw-r--r--docs/assets/KaTeX_Main-Regular-B22Nviop.woff2bin0 -> 26272 bytes
-rw-r--r--docs/assets/KaTeX_Main-Regular-Dr94JaBh.woffbin0 -> 30772 bytes
-rw-r--r--docs/assets/KaTeX_Main-Regular-ypZvNtVU.ttfbin0 -> 53580 bytes
-rw-r--r--docs/assets/KaTeX_Math-BoldItalic-B3XSjfu4.ttfbin0 -> 31196 bytes
-rw-r--r--docs/assets/KaTeX_Math-BoldItalic-CZnvNsCZ.woff2bin0 -> 16400 bytes
-rw-r--r--docs/assets/KaTeX_Math-BoldItalic-iY-2wyZ7.woffbin0 -> 18668 bytes
-rw-r--r--docs/assets/KaTeX_Math-Italic-DA0__PXp.woffbin0 -> 18748 bytes
-rw-r--r--docs/assets/KaTeX_Math-Italic-flOr_0UB.ttfbin0 -> 31308 bytes
-rw-r--r--docs/assets/KaTeX_Math-Italic-t53AETM-.woff2bin0 -> 16440 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Bold-CFMepnvq.ttfbin0 -> 24504 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Bold-D1sUS0GD.woff2bin0 -> 12216 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Bold-DbIhKOiC.woffbin0 -> 14408 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Italic-C3H0VqGB.woff2bin0 -> 12028 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Italic-DN2j7dab.woffbin0 -> 14112 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Italic-YYjJ1zSn.ttfbin0 -> 22364 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Regular-BNo7hRIc.ttfbin0 -> 19436 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Regular-CS6fqUqJ.woffbin0 -> 12316 bytes
-rw-r--r--docs/assets/KaTeX_SansSerif-Regular-DDBCnlJ7.woff2bin0 -> 10344 bytes
-rw-r--r--docs/assets/KaTeX_Script-Regular-C5JkGWo-.ttfbin0 -> 16648 bytes
-rw-r--r--docs/assets/KaTeX_Script-Regular-D3wIWfF6.woff2bin0 -> 9644 bytes
-rw-r--r--docs/assets/KaTeX_Script-Regular-D5yQViql.woffbin0 -> 10588 bytes
-rw-r--r--docs/assets/KaTeX_Size1-Regular-C195tn64.woffbin0 -> 6496 bytes
-rw-r--r--docs/assets/KaTeX_Size1-Regular-Dbsnue_I.ttfbin0 -> 12228 bytes
-rw-r--r--docs/assets/KaTeX_Size1-Regular-mCD8mA8B.woff2bin0 -> 5468 bytes
-rw-r--r--docs/assets/KaTeX_Size2-Regular-B7gKUWhC.ttfbin0 -> 11508 bytes
-rw-r--r--docs/assets/KaTeX_Size2-Regular-Dy4dx90m.woff2bin0 -> 5208 bytes
-rw-r--r--docs/assets/KaTeX_Size2-Regular-oD1tc_U0.woffbin0 -> 6188 bytes
-rw-r--r--docs/assets/KaTeX_Size3-Regular-CTq5MqoE.woffbin0 -> 4420 bytes
-rw-r--r--docs/assets/KaTeX_Size3-Regular-DgpXs0kz.ttfbin0 -> 7588 bytes
-rw-r--r--docs/assets/KaTeX_Size4-Regular-BF-4gkZK.woffbin0 -> 5980 bytes
-rw-r--r--docs/assets/KaTeX_Size4-Regular-DWFBv043.ttfbin0 -> 10364 bytes
-rw-r--r--docs/assets/KaTeX_Size4-Regular-Dl5lxZxV.woff2bin0 -> 4928 bytes
-rw-r--r--docs/assets/KaTeX_Typewriter-Regular-C0xS9mPB.woffbin0 -> 16028 bytes
-rw-r--r--docs/assets/KaTeX_Typewriter-Regular-CO6r4hn1.woff2bin0 -> 13568 bytes
-rw-r--r--docs/assets/KaTeX_Typewriter-Regular-D3Ib7_Hf.ttfbin0 -> 27556 bytes
-rw-r--r--docs/assets/Monaco-COspDR16.css1
-rw-r--r--docs/assets/Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js2
-rw-r--r--docs/assets/NoteDisplay-kJrsBVRx.css1
-rw-r--r--docs/assets/SlideWrapper-D-ORDv98.css1
-rw-r--r--docs/assets/codicon-DCmgc-ay.ttfbin0 -> 80340 bytes
-rw-r--r--docs/assets/css.worker-9mYIcYwc.js84
-rw-r--r--docs/assets/editor.worker-oRlJJsnX.js12
-rw-r--r--docs/assets/entry-VRLb5f9Z.css1
-rw-r--r--docs/assets/html.worker-cftAoxKd.js461
-rw-r--r--docs/assets/index-CGHbX1oj.css1
-rw-r--r--docs/assets/index-Da-oe7Cw.js95
-rw-r--r--docs/assets/json.worker-CJ89eVXr.js49
-rw-r--r--docs/assets/logo-BYkHSa_O.pngbin0 -> 94320 bytes
-rw-r--r--docs/assets/md-1JH4n7HQ.js1
-rw-r--r--docs/assets/md-5Bkjs5et.js2
-rw-r--r--docs/assets/md-9wuO6xgO.js1
-rw-r--r--docs/assets/md-B2tNCsUg.js2
-rw-r--r--docs/assets/md-B3gM_aLi.js2
-rw-r--r--docs/assets/md-B46whd7y.js3
-rw-r--r--docs/assets/md-BCsvnU1G.js1
-rw-r--r--docs/assets/md-BMx_EcJM.js1
-rw-r--r--docs/assets/md-BTxTxIcV.js1
-rw-r--r--docs/assets/md-BW7_HJTh.js4
-rw-r--r--docs/assets/md-BhMNIGO-.js1
-rw-r--r--docs/assets/md-BlV4tDf7.js1
-rw-r--r--docs/assets/md-CKWXIRj9.js1
-rw-r--r--docs/assets/md-CLLTnEZ7.js1
-rw-r--r--docs/assets/md-CW4SE-QG.js1
-rw-r--r--docs/assets/md-C_l7Kv4p.js1
-rw-r--r--docs/assets/md-CePMrKWo.js1
-rw-r--r--docs/assets/md-CnRKUBhD.js6
-rw-r--r--docs/assets/md-CodFZ4QM.js1
-rw-r--r--docs/assets/md-CoooLRyL.js1
-rw-r--r--docs/assets/md-CrFSu7wK.js1
-rw-r--r--docs/assets/md-CvjSSd-j.js1
-rw-r--r--docs/assets/md-DBY66ZvP.js1
-rw-r--r--docs/assets/md-DHlhFPM5.js1
-rw-r--r--docs/assets/md-DMKKtLyU.js1
-rw-r--r--docs/assets/md-DNuVt8V8.js1
-rw-r--r--docs/assets/md-DQ2E402P.js1
-rw-r--r--docs/assets/md-DTXsVKV9.js1
-rw-r--r--docs/assets/md-DUAIk41M.js1
-rw-r--r--docs/assets/md-DWSVng2s.js1
-rw-r--r--docs/assets/md-D_pZLUDz.js1
-rw-r--r--docs/assets/md-DdH2xtAn.js1
-rw-r--r--docs/assets/md-DgnI8Bd4.js1
-rw-r--r--docs/assets/md-Dq6iqmEs.js1
-rw-r--r--docs/assets/md-DtHqeZS0.js3
-rw-r--r--docs/assets/md-Du6urbl_.js1
-rw-r--r--docs/assets/md-DuSHXnxV.js1
-rw-r--r--docs/assets/md-DyvObES2.js3
-rw-r--r--docs/assets/md-Esu_vpIB.js1
-rw-r--r--docs/assets/md-OQJduEAz.js1
-rw-r--r--docs/assets/md-P0Anrfeg.js1
-rw-r--r--docs/assets/md-RRrviqmf.css1
-rw-r--r--docs/assets/md-UMyVtbKA.js1
-rw-r--r--docs/assets/md-VAjAPvfg.js17
-rw-r--r--docs/assets/md-Zw3N9h5o.js1
-rw-r--r--docs/assets/md-chp4UUUX.js1
-rw-r--r--docs/assets/md-snUBHVES.js1
-rw-r--r--docs/assets/modules/file-saver-igGfcqei.js1
-rw-r--r--docs/assets/modules/shiki-BNMsYMPq.js12
-rw-r--r--docs/assets/modules/shiki-Bxv373Z5.css1
-rw-r--r--docs/assets/modules/unplugin-icons-DdQIwbVj.js1
-rw-r--r--docs/assets/modules/vue-DPv8DyWv.js40
-rw-r--r--docs/assets/monaco/abap-Dwu-KH2x.js6
-rw-r--r--docs/assets/monaco/apex-Dn-XaljK.js6
-rw-r--r--docs/assets/monaco/azcli-C9RgAbUI.js6
-rw-r--r--docs/assets/monaco/bat-CoZ5qQQA.js6
-rw-r--r--docs/assets/monaco/bicep-BTXPn4uT.js7
-rw-r--r--docs/assets/monaco/bundled-types-B0l6HWZX.js1191
-rw-r--r--docs/assets/monaco/bundled-types-B_1qVWDs.css1
-rw-r--r--docs/assets/monaco/cameligo-J_4-LjdT.js6
-rw-r--r--docs/assets/monaco/clojure-DDKRPAGl.js6
-rw-r--r--docs/assets/monaco/coffee-C8z2l6sj.js6
-rw-r--r--docs/assets/monaco/cpp-DI3p9f9v.js6
-rw-r--r--docs/assets/monaco/csharp-Bya7J2fC.js6
-rw-r--r--docs/assets/monaco/csp-g7vr-vut.js6
-rw-r--r--docs/assets/monaco/css-fOVBx6Gk.js8
-rw-r--r--docs/assets/monaco/cssMode-8LFZwXV3.js9
-rw-r--r--docs/assets/monaco/cypher-DciL1opS.js6
-rw-r--r--docs/assets/monaco/dart-CAkRrlCV.js6
-rw-r--r--docs/assets/monaco/dockerfile-CHkS34TV.js6
-rw-r--r--docs/assets/monaco/ecl-qjrLrnvP.js6
-rw-r--r--docs/assets/monaco/elixir-Bn2aVyyF.js6
-rw-r--r--docs/assets/monaco/flow9-CcGMeAxr.js6
-rw-r--r--docs/assets/monaco/freemarker2-OnI5Gveq.js8
-rw-r--r--docs/assets/monaco/fsharp-P5WqO7sL.js6
-rw-r--r--docs/assets/monaco/go-DHzw8g6E.js6
-rw-r--r--docs/assets/monaco/graphql-DHR0rah7.js6
-rw-r--r--docs/assets/monaco/handlebars-BHvBDF_T.js6
-rw-r--r--docs/assets/monaco/hcl-C75BKXms.js6
-rw-r--r--docs/assets/monaco/html-DfZYg3qg.js6
-rw-r--r--docs/assets/monaco/htmlMode-c_yfuTN3.js9
-rw-r--r--docs/assets/monaco/ini-BJrO4HmQ.js6
-rw-r--r--docs/assets/monaco/java-BZVcG1df.js6
-rw-r--r--docs/assets/monaco/javascript-DbX-vxQa.js6
-rw-r--r--docs/assets/monaco/jsonMode-BkHO8n_X.js15
-rw-r--r--docs/assets/monaco/julia-y6tXNwRO.js6
-rw-r--r--docs/assets/monaco/kotlin-a3XSJ_gM.js6
-rw-r--r--docs/assets/monaco/less-DFUTkVLx.js7
-rw-r--r--docs/assets/monaco/lexon-DVsYuwex.js6
-rw-r--r--docs/assets/monaco/liquid-fLVojwb4.js6
-rw-r--r--docs/assets/monaco/lua-DYx-Jejz.js6
-rw-r--r--docs/assets/monaco/m3-BMrvfaad.js6
-rw-r--r--docs/assets/monaco/markdown-DuPmBnHi.js6
-rw-r--r--docs/assets/monaco/mdx-gI0abYvb.js6
-rw-r--r--docs/assets/monaco/mips-BqUeXQd9.js6
-rw-r--r--docs/assets/monaco/msdax-Dw-TSVhm.js6
-rw-r--r--docs/assets/monaco/mysql-CcpH9Ljq.js6
-rw-r--r--docs/assets/monaco/objective-c-BRNxDdm3.js6
-rw-r--r--docs/assets/monaco/pascal-Cn8aAeCV.js6
-rw-r--r--docs/assets/monaco/pascaligo-dBAnVStS.js6
-rw-r--r--docs/assets/monaco/perl-C4L3BrdA.js6
-rw-r--r--docs/assets/monaco/pgsql-BOSk5tQb.js6
-rw-r--r--docs/assets/monaco/php-DzsKq5vr.js6
-rw-r--r--docs/assets/monaco/pla-C6GoMDq5.js6
-rw-r--r--docs/assets/monaco/postiats-DQpJ_7Kk.js6
-rw-r--r--docs/assets/monaco/powerquery-M-mSA4YD.js6
-rw-r--r--docs/assets/monaco/powershell-DzOgjbHk.js6
-rw-r--r--docs/assets/monaco/protobuf-BIE5FnyZ.js7
-rw-r--r--docs/assets/monaco/pug-s9KOoKAL.js6
-rw-r--r--docs/assets/monaco/python-D975luWu.js6
-rw-r--r--docs/assets/monaco/qsharp-DWDrKSir.js6
-rw-r--r--docs/assets/monaco/r-DnKTOUT4.js6
-rw-r--r--docs/assets/monaco/razor-BSJ28aAe.js6
-rw-r--r--docs/assets/monaco/redis-CWZNkOVt.js6
-rw-r--r--docs/assets/monaco/redshift-Bhrh1xcx.js6
-rw-r--r--docs/assets/monaco/restructuredtext-CWmU_seV.js6
-rw-r--r--docs/assets/monaco/ruby-2Tz7EiV8.js6
-rw-r--r--docs/assets/monaco/rust-CrxrYIVW.js6
-rw-r--r--docs/assets/monaco/sb-DkpzO6Ij.js6
-rw-r--r--docs/assets/monaco/scala-Du7mGxrp.js6
-rw-r--r--docs/assets/monaco/scheme-DnCYVA2x.js6
-rw-r--r--docs/assets/monaco/scss-3iLYYaxs.js8
-rw-r--r--docs/assets/monaco/shell-D4ITwtg2.js6
-rw-r--r--docs/assets/monaco/solidity-Bq53zNw4.js6
-rw-r--r--docs/assets/monaco/sophia-BtzCauDs.js6
-rw-r--r--docs/assets/monaco/sparql-7--tI-JV.js6
-rw-r--r--docs/assets/monaco/sql-B8Hnjumu.js6
-rw-r--r--docs/assets/monaco/st-BtpAQKub.js6
-rw-r--r--docs/assets/monaco/swift-CVGPmAnX.js8
-rw-r--r--docs/assets/monaco/systemverilog-CzfWLrvP.js6
-rw-r--r--docs/assets/monaco/tcl-0V1PA5XN.js6
-rw-r--r--docs/assets/monaco/tsMode-CSjQzO28.js16
-rw-r--r--docs/assets/monaco/twig-BwWq3-l7.js6
-rw-r--r--docs/assets/monaco/typescript-DSer1JU9.js6
-rw-r--r--docs/assets/monaco/typespec-B73e1L9X.js6
-rw-r--r--docs/assets/monaco/vb-ByO2b-39.js6
-rw-r--r--docs/assets/monaco/wgsl-DYQqGqAW.js303
-rw-r--r--docs/assets/monaco/xml-Bfx2yygV.js6
-rw-r--r--docs/assets/monaco/yaml-BZGo8wj-.js6
-rw-r--r--docs/assets/play-D_7yfuao.css1
-rw-r--r--docs/assets/presenter-BkjXb8nn.css1
-rw-r--r--docs/assets/shortcuts-BAPqYAhK.css1
-rw-r--r--docs/assets/slidev/404-36mg7bFt.js1
-rw-r--r--docs/assets/slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js1
-rw-r--r--docs/assets/slidev/CodeRunner-DT1_kUbn.js9
-rw-r--r--docs/assets/slidev/DrawingControls-QAEkhfiz.js1
-rw-r--r--docs/assets/slidev/DrawingControls.vue_vue_type_style_index_0_lang-j1j1HRFG.js1
-rw-r--r--docs/assets/slidev/DrawingLayer-DoZ9KrJP.js1
-rw-r--r--docs/assets/slidev/IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js1
-rw-r--r--docs/assets/slidev/NoteDisplay.vue_vue_type_style_index_0_lang-B38dLyf3.js1
-rw-r--r--docs/assets/slidev/SlideWrapper-Ccnvj0Sw.js1
-rw-r--r--docs/assets/slidev/VClicks-BJgSDv3Q.js1
-rw-r--r--docs/assets/slidev/context-DlCOjm-I.js1
-rw-r--r--docs/assets/slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js1
-rw-r--r--docs/assets/slidev/default-DYfprxyr.js1
-rw-r--r--docs/assets/slidev/entry-BuL9-yYF.js1
-rw-r--r--docs/assets/slidev/notes-DJw_rOv3.js1
-rw-r--r--docs/assets/slidev/overview-1yejmVit.js1
-rw-r--r--docs/assets/slidev/play-D87vDAm9.js2
-rw-r--r--docs/assets/slidev/presenter-DtNW9EJv.js1
-rw-r--r--docs/assets/slidev/shiki-Bsl5RiOy.js2
-rw-r--r--docs/assets/slidev/shortcuts-TVJpHdJV.js4
-rw-r--r--docs/assets/ts.worker-Brk6wN3W.js51334
-rw-r--r--docs/index.html25
-rw-r--r--impls.js277
-rw-r--r--slides/readme.md2
-rw-r--r--slides/slides.md692
245 files changed, 55282 insertions, 0 deletions
diff --git a/abstract.md b/abstract.md
new file mode 100644
index 0000000..06c32ea
--- /dev/null
+++ b/abstract.md
@@ -0,0 +1,9 @@
+# Really Functional Data Structures
+
+Last time, David showed us functional data structures in the form of
+*persistent data structures*. In this talk, I want to show you data
+structures that are defined entirely by functions themselves -- no
+classes, structs, bitmaps, etc.! Knowing about such structures not only
+tickles the brain, but can also lead to a better intuition for solving
+problems functionally. Also, one of the data structures shown can be
+used for space-efficient encodings of fractals and animations.
diff --git a/docs/404.html b/docs/404.html
new file mode 100644
index 0000000..6d11fe4
--- /dev/null
+++ b/docs/404.html
@@ -0,0 +1,25 @@
+<!DOCTYPE html>
+<html lang="en">
+<head>
+ <meta charset="UTF-8">
+ <meta name="viewport" content="width=device-width, initial-scale=1.0">
+ <meta name="slidev:version" content="0.50.0-beta.5">
+<link rel="icon" href="https://cdn.jsdelivr.net/gh/slidevjs/slidev/assets/favicon.png">
+<title>Really Functional Data Structures - Slidev</title>
+<meta name="author" content="Marvin Borner">
+<link rel="stylesheet" href="https://fonts.googleapis.com/css2?family=Avenir+Next:wght@200;400;600&family=Nunito+Sans:wght@200;400;600&family=Fira+Code:wght@200;400;600&display=swap" type="text/css">
+ <script type="module" crossorigin src="/tuela24/assets/index-Da-oe7Cw.js"></script>
+ <link rel="modulepreload" crossorigin href="/tuela24/assets/modules/vue-DPv8DyWv.js">
+ <link rel="modulepreload" crossorigin href="/tuela24/assets/modules/file-saver-igGfcqei.js">
+ <link rel="modulepreload" crossorigin href="/tuela24/assets/monaco/bundled-types-B0l6HWZX.js">
+ <link rel="modulepreload" crossorigin href="/tuela24/assets/modules/shiki-BNMsYMPq.js">
+ <link rel="stylesheet" crossorigin href="/tuela24/assets/monaco/bundled-types-B_1qVWDs.css">
+ <link rel="stylesheet" crossorigin href="/tuela24/assets/modules/shiki-Bxv373Z5.css">
+ <link rel="stylesheet" crossorigin href="/tuela24/assets/index-CGHbX1oj.css">
+</head>
+<body>
+ <div id="app"></div>
+ <div id="mermaid-rendering-container"></div>
+
+</body>
+</html>
diff --git a/docs/_redirects b/docs/_redirects
new file mode 100644
index 0000000..4eb33d0
--- /dev/null
+++ b/docs/_redirects
@@ -0,0 +1 @@
+/tuela24/* /tuela24/index.html 200
diff --git a/docs/assets/404-n_Eqdu3W.css b/docs/assets/404-n_Eqdu3W.css
new file mode 100644
index 0000000..89664a0
--- /dev/null
+++ b/docs/assets/404-n_Eqdu3W.css
@@ -0,0 +1 @@
+.page-link[data-v-2af184e6]{border-radius:.25rem;background-color:#9ca3af1a;padding:.5rem 1rem}.page-link[data-v-2af184e6]:hover{background-color:#9ca3af33}
diff --git a/docs/assets/CodeRunner-DcJPFc8h.css b/docs/assets/CodeRunner-DcJPFc8h.css
new file mode 100644
index 0000000..ee16733
--- /dev/null
+++ b/docs/assets/CodeRunner-DcJPFc8h.css
@@ -0,0 +1 @@
+.slidev-runner-output{flex-grow:1;-webkit-user-select:text;user-select:text;padding:.75rem 1.25rem;font-size:.75rem;line-height:1rem;line-height:.8rem;font-family:var(--slidev-code-font-family)}.slidev-runner-output .log-type{font-weight:700;opacity:.7}.slidev-runner-output .log-type.DBG{--un-text-opacity:1;color:rgb(107 114 128 / var(--un-text-opacity))}.slidev-runner-output .log-type.LOG{--un-text-opacity:1;color:rgb(59 130 246 / var(--un-text-opacity))}.slidev-runner-output .log-type.WRN{--un-text-opacity:1;color:rgb(249 115 22 / var(--un-text-opacity))}.slidev-runner-output .log-type.ERR{--un-text-opacity:1;color:rgb(239 68 68 / var(--un-text-opacity))}.slidev-runner-output .output-line{margin-top:.25rem;margin-bottom:.25rem;width:100%;display:flex}.slidev-runner-output .separator{margin-right:.25rem;opacity:.4}.slidev-runner-output .highlighted>pre{display:inline;background-color:transparent!important;text-wrap:wrap}
diff --git a/docs/assets/DrawingControls-Cxk9a9ub.css b/docs/assets/DrawingControls-Cxk9a9ub.css
new file mode 100644
index 0000000..bf95bff
--- /dev/null
+++ b/docs/assets/DrawingControls-Cxk9a9ub.css
@@ -0,0 +1 @@
+.v-popper--theme-menu .v-popper__arrow-inner{border-color:#9ca3af33}
diff --git a/docs/assets/KaTeX_AMS-Regular-BQhdFMY1.woff2 b/docs/assets/KaTeX_AMS-Regular-BQhdFMY1.woff2
new file mode 100644
index 0000000..0acaaff
--- /dev/null
+++ b/docs/assets/KaTeX_AMS-Regular-BQhdFMY1.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_AMS-Regular-DMm9YOAa.woff b/docs/assets/KaTeX_AMS-Regular-DMm9YOAa.woff
new file mode 100644
index 0000000..b804d7b
--- /dev/null
+++ b/docs/assets/KaTeX_AMS-Regular-DMm9YOAa.woff
Binary files differ
diff --git a/docs/assets/KaTeX_AMS-Regular-DRggAlZN.ttf b/docs/assets/KaTeX_AMS-Regular-DRggAlZN.ttf
new file mode 100644
index 0000000..c6f9a5e
--- /dev/null
+++ b/docs/assets/KaTeX_AMS-Regular-DRggAlZN.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Caligraphic-Bold-ATXxdsX0.ttf b/docs/assets/KaTeX_Caligraphic-Bold-ATXxdsX0.ttf
new file mode 100644
index 0000000..9ff4a5e
--- /dev/null
+++ b/docs/assets/KaTeX_Caligraphic-Bold-ATXxdsX0.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Caligraphic-Bold-BEiXGLvX.woff b/docs/assets/KaTeX_Caligraphic-Bold-BEiXGLvX.woff
new file mode 100644
index 0000000..9759710
--- /dev/null
+++ b/docs/assets/KaTeX_Caligraphic-Bold-BEiXGLvX.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Caligraphic-Bold-Dq_IR9rO.woff2 b/docs/assets/KaTeX_Caligraphic-Bold-Dq_IR9rO.woff2
new file mode 100644
index 0000000..f390922
--- /dev/null
+++ b/docs/assets/KaTeX_Caligraphic-Bold-Dq_IR9rO.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Caligraphic-Regular-CTRA-rTL.woff b/docs/assets/KaTeX_Caligraphic-Regular-CTRA-rTL.woff
new file mode 100644
index 0000000..9bdd534
--- /dev/null
+++ b/docs/assets/KaTeX_Caligraphic-Regular-CTRA-rTL.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Caligraphic-Regular-Di6jR-x-.woff2 b/docs/assets/KaTeX_Caligraphic-Regular-Di6jR-x-.woff2
new file mode 100644
index 0000000..75344a1
--- /dev/null
+++ b/docs/assets/KaTeX_Caligraphic-Regular-Di6jR-x-.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Caligraphic-Regular-wX97UBjC.ttf b/docs/assets/KaTeX_Caligraphic-Regular-wX97UBjC.ttf
new file mode 100644
index 0000000..f522294
--- /dev/null
+++ b/docs/assets/KaTeX_Caligraphic-Regular-wX97UBjC.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Fraktur-Bold-BdnERNNW.ttf b/docs/assets/KaTeX_Fraktur-Bold-BdnERNNW.ttf
new file mode 100644
index 0000000..4e98259
--- /dev/null
+++ b/docs/assets/KaTeX_Fraktur-Bold-BdnERNNW.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Fraktur-Bold-BsDP51OF.woff b/docs/assets/KaTeX_Fraktur-Bold-BsDP51OF.woff
new file mode 100644
index 0000000..e7730f6
--- /dev/null
+++ b/docs/assets/KaTeX_Fraktur-Bold-BsDP51OF.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Fraktur-Bold-CL6g_b3V.woff2 b/docs/assets/KaTeX_Fraktur-Bold-CL6g_b3V.woff2
new file mode 100644
index 0000000..395f28b
--- /dev/null
+++ b/docs/assets/KaTeX_Fraktur-Bold-CL6g_b3V.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Fraktur-Regular-CB_wures.ttf b/docs/assets/KaTeX_Fraktur-Regular-CB_wures.ttf
new file mode 100644
index 0000000..b8461b2
--- /dev/null
+++ b/docs/assets/KaTeX_Fraktur-Regular-CB_wures.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Fraktur-Regular-CTYiF6lA.woff2 b/docs/assets/KaTeX_Fraktur-Regular-CTYiF6lA.woff2
new file mode 100644
index 0000000..735f694
--- /dev/null
+++ b/docs/assets/KaTeX_Fraktur-Regular-CTYiF6lA.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Fraktur-Regular-Dxdc4cR9.woff b/docs/assets/KaTeX_Fraktur-Regular-Dxdc4cR9.woff
new file mode 100644
index 0000000..acab069
--- /dev/null
+++ b/docs/assets/KaTeX_Fraktur-Regular-Dxdc4cR9.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Bold-Cx986IdX.woff2 b/docs/assets/KaTeX_Main-Bold-Cx986IdX.woff2
new file mode 100644
index 0000000..ab2ad21
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Bold-Cx986IdX.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Bold-Jm3AIy58.woff b/docs/assets/KaTeX_Main-Bold-Jm3AIy58.woff
new file mode 100644
index 0000000..f38136a
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Bold-Jm3AIy58.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Bold-waoOVXN0.ttf b/docs/assets/KaTeX_Main-Bold-waoOVXN0.ttf
new file mode 100644
index 0000000..4060e62
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Bold-waoOVXN0.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Main-BoldItalic-DxDJ3AOS.woff2 b/docs/assets/KaTeX_Main-BoldItalic-DxDJ3AOS.woff2
new file mode 100644
index 0000000..5931794
--- /dev/null
+++ b/docs/assets/KaTeX_Main-BoldItalic-DxDJ3AOS.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Main-BoldItalic-DzxPMmG6.ttf b/docs/assets/KaTeX_Main-BoldItalic-DzxPMmG6.ttf
new file mode 100644
index 0000000..dc00797
--- /dev/null
+++ b/docs/assets/KaTeX_Main-BoldItalic-DzxPMmG6.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Main-BoldItalic-SpSLRI95.woff b/docs/assets/KaTeX_Main-BoldItalic-SpSLRI95.woff
new file mode 100644
index 0000000..67807b0
--- /dev/null
+++ b/docs/assets/KaTeX_Main-BoldItalic-SpSLRI95.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Italic-3WenGoN9.ttf b/docs/assets/KaTeX_Main-Italic-3WenGoN9.ttf
new file mode 100644
index 0000000..0e9b0f3
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Italic-3WenGoN9.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Italic-BMLOBm91.woff b/docs/assets/KaTeX_Main-Italic-BMLOBm91.woff
new file mode 100644
index 0000000..6f43b59
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Italic-BMLOBm91.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Italic-NWA7e6Wa.woff2 b/docs/assets/KaTeX_Main-Italic-NWA7e6Wa.woff2
new file mode 100644
index 0000000..b50920e
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Italic-NWA7e6Wa.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Regular-B22Nviop.woff2 b/docs/assets/KaTeX_Main-Regular-B22Nviop.woff2
new file mode 100644
index 0000000..eb24a7b
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Regular-B22Nviop.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Regular-Dr94JaBh.woff b/docs/assets/KaTeX_Main-Regular-Dr94JaBh.woff
new file mode 100644
index 0000000..21f5812
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Regular-Dr94JaBh.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Main-Regular-ypZvNtVU.ttf b/docs/assets/KaTeX_Main-Regular-ypZvNtVU.ttf
new file mode 100644
index 0000000..dd45e1e
--- /dev/null
+++ b/docs/assets/KaTeX_Main-Regular-ypZvNtVU.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Math-BoldItalic-B3XSjfu4.ttf b/docs/assets/KaTeX_Math-BoldItalic-B3XSjfu4.ttf
new file mode 100644
index 0000000..728ce7a
--- /dev/null
+++ b/docs/assets/KaTeX_Math-BoldItalic-B3XSjfu4.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Math-BoldItalic-CZnvNsCZ.woff2 b/docs/assets/KaTeX_Math-BoldItalic-CZnvNsCZ.woff2
new file mode 100644
index 0000000..2965702
--- /dev/null
+++ b/docs/assets/KaTeX_Math-BoldItalic-CZnvNsCZ.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Math-BoldItalic-iY-2wyZ7.woff b/docs/assets/KaTeX_Math-BoldItalic-iY-2wyZ7.woff
new file mode 100644
index 0000000..0ae390d
--- /dev/null
+++ b/docs/assets/KaTeX_Math-BoldItalic-iY-2wyZ7.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Math-Italic-DA0__PXp.woff b/docs/assets/KaTeX_Math-Italic-DA0__PXp.woff
new file mode 100644
index 0000000..eb5159d
--- /dev/null
+++ b/docs/assets/KaTeX_Math-Italic-DA0__PXp.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Math-Italic-flOr_0UB.ttf b/docs/assets/KaTeX_Math-Italic-flOr_0UB.ttf
new file mode 100644
index 0000000..70d559b
--- /dev/null
+++ b/docs/assets/KaTeX_Math-Italic-flOr_0UB.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Math-Italic-t53AETM-.woff2 b/docs/assets/KaTeX_Math-Italic-t53AETM-.woff2
new file mode 100644
index 0000000..215c143
--- /dev/null
+++ b/docs/assets/KaTeX_Math-Italic-t53AETM-.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Bold-CFMepnvq.ttf b/docs/assets/KaTeX_SansSerif-Bold-CFMepnvq.ttf
new file mode 100644
index 0000000..2f65a8a
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Bold-CFMepnvq.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Bold-D1sUS0GD.woff2 b/docs/assets/KaTeX_SansSerif-Bold-D1sUS0GD.woff2
new file mode 100644
index 0000000..cfaa3bd
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Bold-D1sUS0GD.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Bold-DbIhKOiC.woff b/docs/assets/KaTeX_SansSerif-Bold-DbIhKOiC.woff
new file mode 100644
index 0000000..8d47c02
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Bold-DbIhKOiC.woff
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Italic-C3H0VqGB.woff2 b/docs/assets/KaTeX_SansSerif-Italic-C3H0VqGB.woff2
new file mode 100644
index 0000000..349c06d
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Italic-C3H0VqGB.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Italic-DN2j7dab.woff b/docs/assets/KaTeX_SansSerif-Italic-DN2j7dab.woff
new file mode 100644
index 0000000..7e02df9
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Italic-DN2j7dab.woff
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Italic-YYjJ1zSn.ttf b/docs/assets/KaTeX_SansSerif-Italic-YYjJ1zSn.ttf
new file mode 100644
index 0000000..d5850df
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Italic-YYjJ1zSn.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Regular-BNo7hRIc.ttf b/docs/assets/KaTeX_SansSerif-Regular-BNo7hRIc.ttf
new file mode 100644
index 0000000..537279f
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Regular-BNo7hRIc.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Regular-CS6fqUqJ.woff b/docs/assets/KaTeX_SansSerif-Regular-CS6fqUqJ.woff
new file mode 100644
index 0000000..31b8482
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Regular-CS6fqUqJ.woff
Binary files differ
diff --git a/docs/assets/KaTeX_SansSerif-Regular-DDBCnlJ7.woff2 b/docs/assets/KaTeX_SansSerif-Regular-DDBCnlJ7.woff2
new file mode 100644
index 0000000..a90eea8
--- /dev/null
+++ b/docs/assets/KaTeX_SansSerif-Regular-DDBCnlJ7.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Script-Regular-C5JkGWo-.ttf b/docs/assets/KaTeX_Script-Regular-C5JkGWo-.ttf
new file mode 100644
index 0000000..fd679bf
--- /dev/null
+++ b/docs/assets/KaTeX_Script-Regular-C5JkGWo-.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Script-Regular-D3wIWfF6.woff2 b/docs/assets/KaTeX_Script-Regular-D3wIWfF6.woff2
new file mode 100644
index 0000000..b3048fc
--- /dev/null
+++ b/docs/assets/KaTeX_Script-Regular-D3wIWfF6.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Script-Regular-D5yQViql.woff b/docs/assets/KaTeX_Script-Regular-D5yQViql.woff
new file mode 100644
index 0000000..0e7da82
--- /dev/null
+++ b/docs/assets/KaTeX_Script-Regular-D5yQViql.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Size1-Regular-C195tn64.woff b/docs/assets/KaTeX_Size1-Regular-C195tn64.woff
new file mode 100644
index 0000000..7f292d9
--- /dev/null
+++ b/docs/assets/KaTeX_Size1-Regular-C195tn64.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Size1-Regular-Dbsnue_I.ttf b/docs/assets/KaTeX_Size1-Regular-Dbsnue_I.ttf
new file mode 100644
index 0000000..871fd7d
--- /dev/null
+++ b/docs/assets/KaTeX_Size1-Regular-Dbsnue_I.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Size1-Regular-mCD8mA8B.woff2 b/docs/assets/KaTeX_Size1-Regular-mCD8mA8B.woff2
new file mode 100644
index 0000000..c5a8462
--- /dev/null
+++ b/docs/assets/KaTeX_Size1-Regular-mCD8mA8B.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Size2-Regular-B7gKUWhC.ttf b/docs/assets/KaTeX_Size2-Regular-B7gKUWhC.ttf
new file mode 100644
index 0000000..7a212ca
--- /dev/null
+++ b/docs/assets/KaTeX_Size2-Regular-B7gKUWhC.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Size2-Regular-Dy4dx90m.woff2 b/docs/assets/KaTeX_Size2-Regular-Dy4dx90m.woff2
new file mode 100644
index 0000000..e1bccfe
--- /dev/null
+++ b/docs/assets/KaTeX_Size2-Regular-Dy4dx90m.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Size2-Regular-oD1tc_U0.woff b/docs/assets/KaTeX_Size2-Regular-oD1tc_U0.woff
new file mode 100644
index 0000000..d241d9b
--- /dev/null
+++ b/docs/assets/KaTeX_Size2-Regular-oD1tc_U0.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Size3-Regular-CTq5MqoE.woff b/docs/assets/KaTeX_Size3-Regular-CTq5MqoE.woff
new file mode 100644
index 0000000..e6e9b65
--- /dev/null
+++ b/docs/assets/KaTeX_Size3-Regular-CTq5MqoE.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Size3-Regular-DgpXs0kz.ttf b/docs/assets/KaTeX_Size3-Regular-DgpXs0kz.ttf
new file mode 100644
index 0000000..00bff34
--- /dev/null
+++ b/docs/assets/KaTeX_Size3-Regular-DgpXs0kz.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Size4-Regular-BF-4gkZK.woff b/docs/assets/KaTeX_Size4-Regular-BF-4gkZK.woff
new file mode 100644
index 0000000..e1ec545
--- /dev/null
+++ b/docs/assets/KaTeX_Size4-Regular-BF-4gkZK.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Size4-Regular-DWFBv043.ttf b/docs/assets/KaTeX_Size4-Regular-DWFBv043.ttf
new file mode 100644
index 0000000..74f0892
--- /dev/null
+++ b/docs/assets/KaTeX_Size4-Regular-DWFBv043.ttf
Binary files differ
diff --git a/docs/assets/KaTeX_Size4-Regular-Dl5lxZxV.woff2 b/docs/assets/KaTeX_Size4-Regular-Dl5lxZxV.woff2
new file mode 100644
index 0000000..680c130
--- /dev/null
+++ b/docs/assets/KaTeX_Size4-Regular-Dl5lxZxV.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Typewriter-Regular-C0xS9mPB.woff b/docs/assets/KaTeX_Typewriter-Regular-C0xS9mPB.woff
new file mode 100644
index 0000000..2432419
--- /dev/null
+++ b/docs/assets/KaTeX_Typewriter-Regular-C0xS9mPB.woff
Binary files differ
diff --git a/docs/assets/KaTeX_Typewriter-Regular-CO6r4hn1.woff2 b/docs/assets/KaTeX_Typewriter-Regular-CO6r4hn1.woff2
new file mode 100644
index 0000000..771f1af
--- /dev/null
+++ b/docs/assets/KaTeX_Typewriter-Regular-CO6r4hn1.woff2
Binary files differ
diff --git a/docs/assets/KaTeX_Typewriter-Regular-D3Ib7_Hf.ttf b/docs/assets/KaTeX_Typewriter-Regular-D3Ib7_Hf.ttf
new file mode 100644
index 0000000..c83252c
--- /dev/null
+++ b/docs/assets/KaTeX_Typewriter-Regular-D3Ib7_Hf.ttf
Binary files differ
diff --git a/docs/assets/Monaco-COspDR16.css b/docs/assets/Monaco-COspDR16.css
new file mode 100644
index 0000000..0090b54
--- /dev/null
+++ b/docs/assets/Monaco-COspDR16.css
@@ -0,0 +1 @@
+div[widgetid=messageoverlay]{transform:translateY(calc(100% * (var(--slidev-slide-scale) - 1)))}.slidev-monaco-container{position:relative;margin:var(--slidev-code-margin);line-height:var(--slidev-code-line-height);border-radius:var(--slidev-code-radius);background:var(--slidev-code-background)}.slidev-monaco-container-inner{padding:var(--slidev-code-padding)}.slidev-monaco-container .monaco-editor{--monaco-monospace-font: var(--slidev-code-font-family);--vscode-editor-background: var(--slidev-code-background);--vscode-editorGutter-background: var(--slidev-code-background)}.slidev-monaco-container .monaco-editor a,.slidev-monaco-container .monaco-editor a:hover{border-bottom:none}
diff --git a/docs/assets/Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js b/docs/assets/Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js
new file mode 100644
index 0000000..55327a5
--- /dev/null
+++ b/docs/assets/Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js
@@ -0,0 +1,2 @@
+const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/slidev/CodeRunner-DT1_kUbn.js","assets/modules/unplugin-icons-DdQIwbVj.js","assets/modules/vue-DPv8DyWv.js","assets/monaco/bundled-types-B0l6HWZX.js","assets/modules/file-saver-igGfcqei.js","assets/monaco/bundled-types-B_1qVWDs.css","assets/index-Da-oe7Cw.js","assets/modules/shiki-BNMsYMPq.js","assets/modules/shiki-Bxv373Z5.css","assets/index-CGHbX1oj.css","assets/slidev/context-DlCOjm-I.js","assets/slidev/IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js","assets/CodeRunner-DcJPFc8h.css"])))=>i.map(i=>d[i]);
+import{_ as L,l as V}from"./monaco/bundled-types-B0l6HWZX.js";import{d as G,t as M,z as R,aG as I,O as Y,n as k,o as W,b as q,e as $,h as F,c as J,I as H,g as Q,T as X}from"./modules/vue-DPv8DyWv.js";import{a as P}from"./modules/file-saver-igGfcqei.js";import{a as ee,a3 as N}from"./index-Da-oe7Cw.js";import{u as te}from"./slidev/context-DlCOjm-I.js";var j={exports:{}};j.exports;(function(z){var c=function(){var x=String.fromCharCode,S="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=",O="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+-$",C={};function B(t,n){if(!C[t]){C[t]={};for(var s=0;s<t.length;s++)C[t][t.charAt(s)]=s}return C[t][n]}var v={compressToBase64:function(t){if(t==null)return"";var n=v._compress(t,6,function(s){return S.charAt(s)});switch(n.length%4){default:case 0:return n;case 1:return n+"===";case 2:return n+"==";case 3:return n+"="}},decompressFromBase64:function(t){return t==null?"":t==""?null:v._decompress(t.length,32,function(n){return B(S,t.charAt(n))})},compressToUTF16:function(t){return t==null?"":v._compress(t,15,function(n){return x(n+32)})+" "},decompressFromUTF16:function(t){return t==null?"":t==""?null:v._decompress(t.length,16384,function(n){return t.charCodeAt(n)-32})},compressToUint8Array:function(t){for(var n=v.compress(t),s=new Uint8Array(n.length*2),i=0,r=n.length;i<r;i++){var d=n.charCodeAt(i);s[i*2]=d>>>8,s[i*2+1]=d%256}return s},decompressFromUint8Array:function(t){if(t==null)return v.decompress(t);for(var n=new Array(t.length/2),s=0,i=n.length;s<i;s++)n[s]=t[s*2]*256+t[s*2+1];var r=[];return n.forEach(function(d){r.push(x(d))}),v.decompress(r.join(""))},compressToEncodedURIComponent:function(t){return t==null?"":v._compress(t,6,function(n){return O.charAt(n)})},decompressFromEncodedURIComponent:function(t){return t==null?"":t==""?null:(t=t.replace(/ /g,"+"),v._decompress(t.length,32,function(n){return B(O,t.charAt(n))}))},compress:function(t){return v._compress(t,16,function(n){return x(n)})},_compress:function(t,n,s){if(t==null)return"";var i,r,d={},y={},m="",_="",f="",h=2,p=3,l=2,u=[],e=0,a=0,o;for(o=0;o<t.length;o+=1)if(m=t.charAt(o),Object.prototype.hasOwnProperty.call(d,m)||(d[m]=p++,y[m]=!0),_=f+m,Object.prototype.hasOwnProperty.call(d,_))f=_;else{if(Object.prototype.hasOwnProperty.call(y,f)){if(f.charCodeAt(0)<256){for(i=0;i<l;i++)e=e<<1,a==n-1?(a=0,u.push(s(e)),e=0):a++;for(r=f.charCodeAt(0),i=0;i<8;i++)e=e<<1|r&1,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=r>>1}else{for(r=1,i=0;i<l;i++)e=e<<1|r,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=0;for(r=f.charCodeAt(0),i=0;i<16;i++)e=e<<1|r&1,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=r>>1}h--,h==0&&(h=Math.pow(2,l),l++),delete y[f]}else for(r=d[f],i=0;i<l;i++)e=e<<1|r&1,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=r>>1;h--,h==0&&(h=Math.pow(2,l),l++),d[_]=p++,f=String(m)}if(f!==""){if(Object.prototype.hasOwnProperty.call(y,f)){if(f.charCodeAt(0)<256){for(i=0;i<l;i++)e=e<<1,a==n-1?(a=0,u.push(s(e)),e=0):a++;for(r=f.charCodeAt(0),i=0;i<8;i++)e=e<<1|r&1,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=r>>1}else{for(r=1,i=0;i<l;i++)e=e<<1|r,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=0;for(r=f.charCodeAt(0),i=0;i<16;i++)e=e<<1|r&1,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=r>>1}h--,h==0&&(h=Math.pow(2,l),l++),delete y[f]}else for(r=d[f],i=0;i<l;i++)e=e<<1|r&1,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=r>>1;h--,h==0&&(h=Math.pow(2,l),l++)}for(r=2,i=0;i<l;i++)e=e<<1|r&1,a==n-1?(a=0,u.push(s(e)),e=0):a++,r=r>>1;for(;;)if(e=e<<1,a==n-1){u.push(s(e));break}else a++;return u.join("")},decompress:function(t){return t==null?"":t==""?null:v._decompress(t.length,32768,function(n){return t.charCodeAt(n)})},_decompress:function(t,n,s){var i=[],r=4,d=4,y=3,m="",_=[],f,h,p,l,u,e,a,o={val:s(0),position:n,index:1};for(f=0;f<3;f+=1)i[f]=f;for(p=0,u=Math.pow(2,2),e=1;e!=u;)l=o.val&o.position,o.position>>=1,o.position==0&&(o.position=n,o.val=s(o.index++)),p|=(l>0?1:0)*e,e<<=1;switch(p){case 0:for(p=0,u=Math.pow(2,8),e=1;e!=u;)l=o.val&o.position,o.position>>=1,o.position==0&&(o.position=n,o.val=s(o.index++)),p|=(l>0?1:0)*e,e<<=1;a=x(p);break;case 1:for(p=0,u=Math.pow(2,16),e=1;e!=u;)l=o.val&o.position,o.position>>=1,o.position==0&&(o.position=n,o.val=s(o.index++)),p|=(l>0?1:0)*e,e<<=1;a=x(p);break;case 2:return""}for(i[3]=a,h=a,_.push(a);;){if(o.index>t)return"";for(p=0,u=Math.pow(2,y),e=1;e!=u;)l=o.val&o.position,o.position>>=1,o.position==0&&(o.position=n,o.val=s(o.index++)),p|=(l>0?1:0)*e,e<<=1;switch(a=p){case 0:for(p=0,u=Math.pow(2,8),e=1;e!=u;)l=o.val&o.position,o.position>>=1,o.position==0&&(o.position=n,o.val=s(o.index++)),p|=(l>0?1:0)*e,e<<=1;i[d++]=x(p),a=d-1,r--;break;case 1:for(p=0,u=Math.pow(2,16),e=1;e!=u;)l=o.val&o.position,o.position>>=1,o.position==0&&(o.position=n,o.val=s(o.index++)),p|=(l>0?1:0)*e,e<<=1;i[d++]=x(p),a=d-1,r--;break;case 2:return _.join("")}if(r==0&&(r=Math.pow(2,y),y++),i[a])m=i[a];else if(a===d)m=h+h.charAt(0);else return null;_.push(m),i[d++]=h+m.charAt(0),r--,h=m,r==0&&(r=Math.pow(2,y),y++)}}};return v}();z!=null?z.exports=c:typeof angular<"u"&&angular!=null&&angular.module("LZString",[]).factory("LZString",function(){return c})})(j);var oe=j.exports;const Z=P(oe),ne={class:"relative slidev-monaco-container"},ue=G({__name:"Monaco",props:{codeLz:{default:""},diffLz:{},lang:{default:"typescript"},readonly:{type:Boolean,default:!1},lineNumbers:{default:"off"},height:{default:"initial"},editorOptions:{},ata:{type:Boolean,default:!0},runnable:{type:Boolean,default:!1},writable:{},autorun:{type:[Boolean,String],default:!0},showOutputAt:{type:[null,Boolean,String,Number,Array]},outputHeight:{},highlightOutput:{type:Boolean,default:!0},runnerOptions:{}},setup(z){const c=z,x=X(()=>L(()=>import("./slidev/CodeRunner-DT1_kUbn.js"),__vite__mapDeps([0,1,2,3,4,5,6,7,8,9,10,11,12])).then(l=>l.default)),S=M(Z.decompressFromBase64(c.codeLz).trimEnd()),O=c.diffLz&&M(Z.decompressFromBase64(c.diffLz).trimEnd()),C=R(()=>c.writable&&!c.readonly&&!1),v={ts:"typescript",js:"javascript"}[c.lang]??c.lang,n={typescript:"mts",javascript:"mjs",ts:"mts",js:"mjs"}[c.lang]??c.lang,s=M(),i=M(),r=M(0),d=M(),y=R(()=>c.height==="auto"?`${r.value}px`:c.height==="initial"?`${d.value}px`:c.height),m=M(),{$page:_,$renderContext:f}=te(),{currentSlideNo:h}=ee(),p=I(()=>Math.abs(_.value-h.value)<=1&&m.value,l=>{["slide","presenter"].includes(f.value)?l():setTimeout(l,5e3)});return Y(async()=>{const{default:l}=await L(async()=>{const{default:g}=await import("./monaco/bundled-types-B0l6HWZX.js").then(A=>A.v);return{default:g}},__vite__mapDeps([3,4,2,5])),{ata:u,monaco:e,editorOptions:a}=await l(),o=e.editor.createModel(S.value,v,e.Uri.parse(`file:///${N()}.${n}`));o.onDidChangeContent(()=>S.value=o.getValue());const T={automaticLayout:!0,readOnly:c.readonly,lineNumbers:c.lineNumbers,minimap:{enabled:!1},overviewRulerBorder:!1,overviewRulerLanes:0,padding:{top:10,bottom:10},lineNumbersMinChars:3,bracketPairColorization:{enabled:!1},tabSize:2,fontSize:11.5,fontFamily:"var(--slidev-code-font-family)",scrollBeyondLastLine:!1,useInlineViewWhenSpaceIsLimited:!1,...a,...c.editorOptions};let w;if(O){const g=e.editor.createModel(O.value,v,e.Uri.parse(`file:///${N()}.${n}`));g.onDidChangeContent(()=>S.value=o.getValue());const A=e.editor.createDiffEditor(i.value,{renderOverviewRuler:!1,...T});A.setModel({original:o,modified:g});const b=A.getOriginalEditor(),E=A.getModifiedEditor(),D=()=>{const U=Math.max(b.getContentHeight(),E.getContentHeight())+4;d.value??(d.value=U),r.value=U,k(()=>A.layout())};b.onDidContentSizeChange(D),E.onDidContentSizeChange(D),w=E}else{const g=e.editor.create(i.value,{model:o,lineDecorationsWidth:0,...T});g.onDidContentSizeChange(A=>{const b=A.contentHeight+4;d.value??(d.value=b),r.value=b,k(()=>w.layout())}),w=g}m.value=()=>{p(),L(()=>import("./monaco/bundled-types-B0l6HWZX.js").then(g=>g.w),__vite__mapDeps([3,4,2,5])),c.ata&&(u(w.getValue()),w.onDidChangeModelContent(V(1e3,()=>{u(w.getValue())})))};const K=w.layoutContentWidget.bind(w);w.layoutContentWidget=g=>{K(g),g.getId()==="editor.contrib.resizableContentHoverWidget"&&(g._resizableNode.domNode.style.transform=g._positionPreference===1?"translateY(calc(100% * (var(--slidev-slide-scale) - 1)))":"")},w.addAction({id:"slidev-save",label:"Save",keybindings:[e.KeyMod.CtrlCmd|e.KeyCode.KeyS],run:()=>{C.value,console.warn("[Slidev] this monaco editor is not writable, save action is ignored.")}}),k(()=>e.editor.remeasureFonts())}),(l,u)=>(W(),q("div",ne,[$("div",{ref_key:"outer",ref:s,class:"relative slidev-monaco-container-inner",style:F({height:y.value})},[$("div",{ref_key:"container",ref:i,class:"absolute inset-0.5"},null,512)],4),c.runnable?(W(),J(H(x),{key:0,modelValue:S.value,"onUpdate:modelValue":u[0]||(u[0]=e=>S.value=e),lang:H(v),autorun:c.autorun,"show-output-at":c.showOutputAt,height:c.outputHeight,"highlight-output":c.highlightOutput,"runner-options":c.runnerOptions},null,8,["modelValue","lang","autorun","show-output-at","height","highlight-output","runner-options"])):Q("v-if",!0)]))}});export{ue as _};
diff --git a/docs/assets/NoteDisplay-kJrsBVRx.css b/docs/assets/NoteDisplay-kJrsBVRx.css
new file mode 100644
index 0000000..b57c381
--- /dev/null
+++ b/docs/assets/NoteDisplay-kJrsBVRx.css
@@ -0,0 +1 @@
+.range[data-v-ef0c5640]{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:transparent}.range[data-v-ef0c5640]::-webkit-slider-thumb{-webkit-appearance:none;height:100%;width:var(--thumb-width, .5rem)}.range[data-v-ef0c5640]::-moz-range-thumb{height:100%;width:var(--thumb-width, .5rem)}.slidev-note :first-child{margin-top:0}
diff --git a/docs/assets/SlideWrapper-D-ORDv98.css b/docs/assets/SlideWrapper-D-ORDv98.css
new file mode 100644
index 0000000..ca45f66
--- /dev/null
+++ b/docs/assets/SlideWrapper-D-ORDv98.css
@@ -0,0 +1 @@
+.slidev-slide-container[data-v-0e1f422a]{position:relative;width:100%;height:100%;overflow:hidden}.slidev-slide-content[data-v-0e1f422a]{position:absolute;left:50%;top:50%;overflow:hidden;--un-bg-opacity:1;background-color:rgb(255 255 255 / var(--un-bg-opacity))}.dark .slidev-slide-content[data-v-0e1f422a]{--un-bg-opacity:1;background-color:rgb(18 18 18 / var(--un-bg-opacity))}.disable-view-transition[data-v-d740c2fd] *{view-transition-name:none!important}.slidev-page[data-v-d740c2fd]{position:absolute;top:0;right:0;bottom:0;left:0}
diff --git a/docs/assets/codicon-DCmgc-ay.ttf b/docs/assets/codicon-DCmgc-ay.ttf
new file mode 100644
index 0000000..27ee4c6
--- /dev/null
+++ b/docs/assets/codicon-DCmgc-ay.ttf
Binary files differ
diff --git a/docs/assets/css.worker-9mYIcYwc.js b/docs/assets/css.worker-9mYIcYwc.js
new file mode 100644
index 0000000..68a8e69
--- /dev/null
+++ b/docs/assets/css.worker-9mYIcYwc.js
@@ -0,0 +1,84 @@
+var $f=Object.defineProperty;var Bf=(kt,Ye,Ue)=>Ye in kt?$f(kt,Ye,{enumerable:!0,configurable:!0,writable:!0,value:Ue}):kt[Ye]=Ue;var _t=(kt,Ye,Ue)=>Bf(kt,typeof Ye!="symbol"?Ye+"":Ye,Ue);(function(){"use strict";var ct,bh,vh,wh,yh,Ce,Z;class kt{constructor(){this.listeners=[],this.unexpectedErrorHandler=function(t){setTimeout(()=>{throw t.stack?Lt.isErrorNoTelemetry(t)?new Lt(t.message+`
+
+`+t.stack):new Error(t.message+`
+
+`+t.stack):t},0)}}emit(t){this.listeners.forEach(n=>{n(t)})}onUnexpectedError(t){this.unexpectedErrorHandler(t),this.emit(t)}onUnexpectedExternalError(t){this.unexpectedErrorHandler(t)}}const Ye=new kt;function Ue(e){Ch(e)||Ye.onUnexpectedError(e)}function Vs(e){if(e instanceof Error){const{name:t,message:n}=e,r=e.stacktrace||e.stack;return{$isError:!0,name:t,message:n,stack:r,noTelemetry:Lt.isErrorNoTelemetry(e)}}return e}const Vr="Canceled";function Ch(e){return e instanceof _h?!0:e instanceof Error&&e.name===Vr&&e.message===Vr}class _h extends Error{constructor(){super(Vr),this.name=this.message}}class Lt extends Error{constructor(t){super(t),this.name="CodeExpectedError"}static fromError(t){if(t instanceof Lt)return t;const n=new Lt;return n.message=t.message,n.stack=t.stack,n}static isErrorNoTelemetry(t){return t.name==="CodeExpectedError"}}class Ee extends Error{constructor(t){super(t||"An unexpected bug occurred."),Object.setPrototypeOf(this,Ee.prototype)}}function kh(e,t){const n=this;let r=!1,i;return function(){return r||(r=!0,i=e.apply(n,arguments)),i}}var On;(function(e){function t(E){return E&&typeof E=="object"&&typeof E[Symbol.iterator]=="function"}e.is=t;const n=Object.freeze([]);function r(){return n}e.empty=r;function*i(E){yield E}e.single=i;function s(E){return t(E)?E:i(E)}e.wrap=s;function a(E){return E||n}e.from=a;function*l(E){for(let F=E.length-1;F>=0;F--)yield E[F]}e.reverse=l;function o(E){return!E||E[Symbol.iterator]().next().done===!0}e.isEmpty=o;function c(E){return E[Symbol.iterator]().next().value}e.first=c;function d(E,F){let M=0;for(const T of E)if(F(T,M++))return!0;return!1}e.some=d;function u(E,F){for(const M of E)if(F(M))return M}e.find=u;function*f(E,F){for(const M of E)F(M)&&(yield M)}e.filter=f;function*m(E,F){let M=0;for(const T of E)yield F(T,M++)}e.map=m;function*v(E,F){let M=0;for(const T of E)yield*F(T,M++)}e.flatMap=v;function*w(...E){for(const F of E)yield*F}e.concat=w;function k(E,F,M){let T=M;for(const P of E)T=F(T,P);return T}e.reduce=k;function*R(E,F,M=E.length){for(F<0&&(F+=E.length),M<0?M+=E.length:M>E.length&&(M=E.length);F<M;F++)yield E[F]}e.slice=R;function I(E,F=Number.POSITIVE_INFINITY){const M=[];if(F===0)return[M,E];const T=E[Symbol.iterator]();for(let P=0;P<F;P++){const j=T.next();if(j.done)return[M,e.empty()];M.push(j.value)}return[M,{[Symbol.iterator](){return T}}]}e.consume=I;async function N(E){const F=[];for await(const M of E)F.push(M);return Promise.resolve(F)}e.asyncToArray=N})(On||(On={}));function qf(e){return e}function jf(e,t){}function $s(e){if(On.is(e)){const t=[];for(const n of e)if(n)try{n.dispose()}catch(r){t.push(r)}if(t.length===1)throw t[0];if(t.length>1)throw new AggregateError(t,"Encountered errors while disposing of store");return Array.isArray(e)?[]:e}else if(e)return e.dispose(),e}function Eh(...e){return Wn(()=>$s(e))}function Wn(e){return{dispose:kh(()=>{e()})}}const Ir=class Ir{constructor(){this._toDispose=new Set,this._isDisposed=!1}dispose(){this._isDisposed||(this._isDisposed=!0,this.clear())}get isDisposed(){return this._isDisposed}clear(){if(this._toDispose.size!==0)try{$s(this._toDispose)}finally{this._toDispose.clear()}}add(t){if(!t)return t;if(t===this)throw new Error("Cannot register a disposable on itself!");return this._isDisposed?Ir.DISABLE_DISPOSED_WARNING||console.warn(new Error("Trying to add a disposable to a DisposableStore that has already been disposed of. The added object will be leaked!").stack):this._toDispose.add(t),t}deleteAndLeak(t){t&&this._toDispose.has(t)&&this._toDispose.delete(t)}};Ir.DISABLE_DISPOSED_WARNING=!1;let on=Ir;const Ps=class Ps{constructor(){this._store=new on,this._store}dispose(){this._store.dispose()}_register(t){if(t===this)throw new Error("Cannot register a disposable on itself!");return this._store.add(t)}};Ps.None=Object.freeze({dispose(){}});let zt=Ps,oe=(ct=class{constructor(t){this.element=t,this.next=ct.Undefined,this.prev=ct.Undefined}},ct.Undefined=new ct(void 0),ct);class Fh{constructor(){this._first=oe.Undefined,this._last=oe.Undefined,this._size=0}get size(){return this._size}isEmpty(){return this._first===oe.Undefined}clear(){let t=this._first;for(;t!==oe.Undefined;){const n=t.next;t.prev=oe.Undefined,t.next=oe.Undefined,t=n}this._first=oe.Undefined,this._last=oe.Undefined,this._size=0}unshift(t){return this._insert(t,!1)}push(t){return this._insert(t,!0)}_insert(t,n){const r=new oe(t);if(this._first===oe.Undefined)this._first=r,this._last=r;else if(n){const s=this._last;this._last=r,r.prev=s,s.next=r}else{const s=this._first;this._first=r,r.next=s,s.prev=r}this._size+=1;let i=!1;return()=>{i||(i=!0,this._remove(r))}}shift(){if(this._first!==oe.Undefined){const t=this._first.element;return this._remove(this._first),t}}pop(){if(this._last!==oe.Undefined){const t=this._last.element;return this._remove(this._last),t}}_remove(t){if(t.prev!==oe.Undefined&&t.next!==oe.Undefined){const n=t.prev;n.next=t.next,t.next.prev=n}else t.prev===oe.Undefined&&t.next===oe.Undefined?(this._first=oe.Undefined,this._last=oe.Undefined):t.next===oe.Undefined?(this._last=this._last.prev,this._last.next=oe.Undefined):t.prev===oe.Undefined&&(this._first=this._first.next,this._first.prev=oe.Undefined);this._size-=1}*[Symbol.iterator](){let t=this._first;for(;t!==oe.Undefined;)yield t.element,t=t.next}}const Rh=globalThis.performance&&typeof globalThis.performance.now=="function";class Un{static create(t){return new Un(t)}constructor(t){this._now=Rh&&t===!1?Date.now:globalThis.performance.now.bind(globalThis.performance),this._startTime=this._now(),this._stopTime=-1}stop(){this._stopTime=this._now()}reset(){this._startTime=this._now(),this._stopTime=-1}elapsed(){return this._stopTime!==-1?this._stopTime-this._startTime:this._now()-this._startTime}}var Vn;(function(e){e.None=()=>zt.None;function t(C,S){return f(C,()=>{},0,void 0,!0,void 0,S)}e.defer=t;function n(C){return(S,z=null,D)=>{let L=!1,U;return U=C($=>{if(!L)return U?U.dispose():L=!0,S.call(z,$)},null,D),L&&U.dispose(),U}}e.once=n;function r(C,S){return e.once(e.filter(C,S))}e.onceIf=r;function i(C,S,z){return d((D,L=null,U)=>C($=>D.call(L,S($)),null,U),z)}e.map=i;function s(C,S,z){return d((D,L=null,U)=>C($=>{S($),D.call(L,$)},null,U),z)}e.forEach=s;function a(C,S,z){return d((D,L=null,U)=>C($=>S($)&&D.call(L,$),null,U),z)}e.filter=a;function l(C){return C}e.signal=l;function o(...C){return(S,z=null,D)=>{const L=Eh(...C.map(U=>U($=>S.call(z,$))));return u(L,D)}}e.any=o;function c(C,S,z,D){let L=z;return i(C,U=>(L=S(L,U),L),D)}e.reduce=c;function d(C,S){let z;const D={onWillAddFirstListener(){z=C(L.fire,L)},onDidRemoveLastListener(){z==null||z.dispose()}},L=new De(D);return S==null||S.add(L),L.event}function u(C,S){return S instanceof Array?S.push(C):S&&S.add(C),C}function f(C,S,z=100,D=!1,L=!1,U,$){let q,ae,Ct,At=0,ht;const Ts={leakWarningThreshold:U,onWillAddFirstListener(){q=C(Uf=>{At++,ae=S(ae,Uf),D&&!Ct&&(Or.fire(ae),ae=void 0),ht=()=>{const Vf=ae;ae=void 0,Ct=void 0,(!D||At>1)&&Or.fire(Vf),At=0},typeof z=="number"?(clearTimeout(Ct),Ct=setTimeout(ht,z)):Ct===void 0&&(Ct=0,queueMicrotask(ht))})},onWillRemoveListener(){L&&At>0&&(ht==null||ht())},onDidRemoveLastListener(){ht=void 0,q.dispose()}},Or=new De(Ts);return $==null||$.add(Or),Or.event}e.debounce=f;function m(C,S=0,z){return e.debounce(C,(D,L)=>D?(D.push(L),D):[L],S,void 0,!0,void 0,z)}e.accumulate=m;function v(C,S=(D,L)=>D===L,z){let D=!0,L;return a(C,U=>{const $=D||!S(U,L);return D=!1,L=U,$},z)}e.latch=v;function w(C,S,z){return[e.filter(C,S,z),e.filter(C,D=>!S(D),z)]}e.split=w;function k(C,S=!1,z=[],D){let L=z.slice(),U=C(ae=>{L?L.push(ae):q.fire(ae)});D&&D.add(U);const $=()=>{L==null||L.forEach(ae=>q.fire(ae)),L=null},q=new De({onWillAddFirstListener(){U||(U=C(ae=>q.fire(ae)),D&&D.add(U))},onDidAddFirstListener(){L&&(S?setTimeout($):$())},onDidRemoveLastListener(){U&&U.dispose(),U=null}});return D&&D.add(q),q.event}e.buffer=k;function R(C,S){return(D,L,U)=>{const $=S(new N);return C(function(q){const ae=$.evaluate(q);ae!==I&&D.call(L,ae)},void 0,U)}}e.chain=R;const I=Symbol("HaltChainable");class N{constructor(){this.steps=[]}map(S){return this.steps.push(S),this}forEach(S){return this.steps.push(z=>(S(z),z)),this}filter(S){return this.steps.push(z=>S(z)?z:I),this}reduce(S,z){let D=z;return this.steps.push(L=>(D=S(D,L),D)),this}latch(S=(z,D)=>z===D){let z=!0,D;return this.steps.push(L=>{const U=z||!S(L,D);return z=!1,D=L,U?L:I}),this}evaluate(S){for(const z of this.steps)if(S=z(S),S===I)break;return S}}function E(C,S,z=D=>D){const D=(...q)=>$.fire(z(...q)),L=()=>C.on(S,D),U=()=>C.removeListener(S,D),$=new De({onWillAddFirstListener:L,onDidRemoveLastListener:U});return $.event}e.fromNodeEventEmitter=E;function F(C,S,z=D=>D){const D=(...q)=>$.fire(z(...q)),L=()=>C.addEventListener(S,D),U=()=>C.removeEventListener(S,D),$=new De({onWillAddFirstListener:L,onDidRemoveLastListener:U});return $.event}e.fromDOMEventEmitter=F;function M(C){return new Promise(S=>n(C)(S))}e.toPromise=M;function T(C){const S=new De;return C.then(z=>{S.fire(z)},()=>{S.fire(void 0)}).finally(()=>{S.dispose()}),S.event}e.fromPromise=T;function P(C,S){return C(z=>S.fire(z))}e.forward=P;function j(C,S,z){return S(z),C(D=>S(D))}e.runAndSubscribe=j;class ee{constructor(S,z){this._observable=S,this._counter=0,this._hasChanged=!1;const D={onWillAddFirstListener:()=>{S.addObserver(this),this._observable.reportChanges()},onDidRemoveLastListener:()=>{S.removeObserver(this)}};this.emitter=new De(D),z&&z.add(this.emitter)}beginUpdate(S){this._counter++}handlePossibleChange(S){}handleChange(S,z){this._hasChanged=!0}endUpdate(S){this._counter--,this._counter===0&&(this._observable.reportChanges(),this._hasChanged&&(this._hasChanged=!1,this.emitter.fire(this._observable.get())))}}function A(C,S){return new ee(C,S).emitter.event}e.fromObservable=A;function _(C){return(S,z,D)=>{let L=0,U=!1;const $={beginUpdate(){L++},endUpdate(){L--,L===0&&(C.reportChanges(),U&&(U=!1,S.call(z)))},handlePossibleChange(){},handleChange(){U=!0}};C.addObserver($),C.reportChanges();const q={dispose(){C.removeObserver($)}};return D instanceof on?D.add(q):Array.isArray(D)&&D.push(q),q}}e.fromObservableLight=_})(Vn||(Vn={}));const nn=class nn{constructor(t){this.listenerCount=0,this.invocationCount=0,this.elapsedOverall=0,this.durations=[],this.name=`${t}_${nn._idPool++}`,nn.all.add(this)}start(t){this._stopWatch=new Un,this.listenerCount=t}stop(){if(this._stopWatch){const t=this._stopWatch.elapsed();this.durations.push(t),this.elapsedOverall+=t,this.invocationCount+=1,this._stopWatch=void 0}}};nn.all=new Set,nn._idPool=0;let $r=nn,Nh=-1;const Ar=class Ar{constructor(t,n,r=(Ar._idPool++).toString(16).padStart(3,"0")){this._errorHandler=t,this.threshold=n,this.name=r,this._warnCountdown=0}dispose(){var t;(t=this._stacks)==null||t.clear()}check(t,n){const r=this.threshold;if(r<=0||n<r)return;this._stacks||(this._stacks=new Map);const i=this._stacks.get(t.value)||0;if(this._stacks.set(t.value,i+1),this._warnCountdown-=1,this._warnCountdown<=0){this._warnCountdown=r*.5;const[s,a]=this.getMostFrequentStack(),l=`[${this.name}] potential listener LEAK detected, having ${n} listeners already. MOST frequent listener (${a}):`;console.warn(l),console.warn(s);const o=new Dh(l,s);this._errorHandler(o)}return()=>{const s=this._stacks.get(t.value)||0;this._stacks.set(t.value,s-1)}}getMostFrequentStack(){if(!this._stacks)return;let t,n=0;for(const[r,i]of this._stacks)(!t||n<i)&&(t=[r,i],n=i);return t}};Ar._idPool=1;let Br=Ar;class qr{static create(){const t=new Error;return new qr(t.stack??"")}constructor(t){this.value=t}print(){console.warn(this.value.split(`
+`).slice(2).join(`
+`))}}class Dh extends Error{constructor(t,n){super(t),this.name="ListenerLeakError",this.stack=n}}class Ih extends Error{constructor(t,n){super(t),this.name="ListenerRefusalError",this.stack=n}}class jr{constructor(t){this.value=t}}const Ah=2;class De{constructor(t){var n,r,i,s;this._size=0,this._options=t,this._leakageMon=(n=this._options)!=null&&n.leakWarningThreshold?new Br((t==null?void 0:t.onListenerError)??Ue,((r=this._options)==null?void 0:r.leakWarningThreshold)??Nh):void 0,this._perfMon=(i=this._options)!=null&&i._profName?new $r(this._options._profName):void 0,this._deliveryQueue=(s=this._options)==null?void 0:s.deliveryQueue}dispose(){var t,n,r,i;this._disposed||(this._disposed=!0,((t=this._deliveryQueue)==null?void 0:t.current)===this&&this._deliveryQueue.reset(),this._listeners&&(this._listeners=void 0,this._size=0),(r=(n=this._options)==null?void 0:n.onDidRemoveLastListener)==null||r.call(n),(i=this._leakageMon)==null||i.dispose())}get event(){return this._event??(this._event=(t,n,r)=>{var l,o,c,d,u;if(this._leakageMon&&this._size>this._leakageMon.threshold**2){const f=`[${this._leakageMon.name}] REFUSES to accept new listeners because it exceeded its threshold by far (${this._size} vs ${this._leakageMon.threshold})`;console.warn(f);const m=this._leakageMon.getMostFrequentStack()??["UNKNOWN stack",-1],v=new Ih(`${f}. HINT: Stack shows most frequent listener (${m[1]}-times)`,m[0]);return(((l=this._options)==null?void 0:l.onListenerError)||Ue)(v),zt.None}if(this._disposed)return zt.None;n&&(t=t.bind(n));const i=new jr(t);let s;this._leakageMon&&this._size>=Math.ceil(this._leakageMon.threshold*.2)&&(i.stack=qr.create(),s=this._leakageMon.check(i.stack,this._size+1)),this._listeners?this._listeners instanceof jr?(this._deliveryQueue??(this._deliveryQueue=new Mh),this._listeners=[this._listeners,i]):this._listeners.push(i):((c=(o=this._options)==null?void 0:o.onWillAddFirstListener)==null||c.call(o,this),this._listeners=i,(u=(d=this._options)==null?void 0:d.onDidAddFirstListener)==null||u.call(d,this)),this._size++;const a=Wn(()=>{s==null||s(),this._removeListener(i)});return r instanceof on?r.add(a):Array.isArray(r)&&r.push(a),a}),this._event}_removeListener(t){var s,a,l,o;if((a=(s=this._options)==null?void 0:s.onWillRemoveListener)==null||a.call(s,this),!this._listeners)return;if(this._size===1){this._listeners=void 0,(o=(l=this._options)==null?void 0:l.onDidRemoveLastListener)==null||o.call(l,this),this._size=0;return}const n=this._listeners,r=n.indexOf(t);if(r===-1)throw console.log("disposed?",this._disposed),console.log("size?",this._size),console.log("arr?",JSON.stringify(this._listeners)),new Error("Attempted to dispose unknown listener");this._size--,n[r]=void 0;const i=this._deliveryQueue.current===this;if(this._size*Ah<=n.length){let c=0;for(let d=0;d<n.length;d++)n[d]?n[c++]=n[d]:i&&(this._deliveryQueue.end--,c<this._deliveryQueue.i&&this._deliveryQueue.i--);n.length=c}}_deliver(t,n){var i;if(!t)return;const r=((i=this._options)==null?void 0:i.onListenerError)||Ue;if(!r){t.value(n);return}try{t.value(n)}catch(s){r(s)}}_deliverQueue(t){const n=t.current._listeners;for(;t.i<t.end;)this._deliver(n[t.i++],t.value);t.reset()}fire(t){var n,r,i,s;if((n=this._deliveryQueue)!=null&&n.current&&(this._deliverQueue(this._deliveryQueue),(r=this._perfMon)==null||r.stop()),(i=this._perfMon)==null||i.start(this._size),this._listeners)if(this._listeners instanceof jr)this._deliver(this._listeners,t);else{const a=this._deliveryQueue;a.enqueue(this,t,this._listeners.length),this._deliverQueue(a)}(s=this._perfMon)==null||s.stop()}hasListeners(){return this._size>0}}class Mh{constructor(){this.i=-1,this.end=0}enqueue(t,n,r){this.i=0,this.end=r,this.current=t,this.value=n}reset(){this.i=this.end,this.current=void 0,this.value=void 0}}function Lh(){return globalThis._VSCODE_NLS_MESSAGES}function Bs(){return globalThis._VSCODE_NLS_LANGUAGE}const zh=Bs()==="pseudo"||typeof document<"u"&&document.location&&document.location.hash.indexOf("pseudo=true")>=0;function qs(e,t){let n;return t.length===0?n=e:n=e.replace(/\{(\d+)\}/g,(r,i)=>{const s=i[0],a=t[s];let l=r;return typeof a=="string"?l=a:(typeof a=="number"||typeof a=="boolean"||a===void 0||a===null)&&(l=String(a)),l}),zh&&(n="["+n.replace(/[aouei]/g,"$&$&")+"]"),n}function re(e,t,...n){return qs(typeof e=="number"?Ph(e,t):t,n)}function Ph(e,t){var r;const n=(r=Lh())==null?void 0:r[e];if(typeof n!="string"){if(typeof t=="string")return t;throw new Error(`!!! NLS MISSING: ${e} !!!`)}return n}const Pt="en";let Hr=!1,Gr=!1,Jr=!1,js=!1,Xr=!1,$n,Yr=Pt,Hs=Pt,Th,Pe;const Qe=globalThis;let be;typeof Qe.vscode<"u"&&typeof Qe.vscode.process<"u"?be=Qe.vscode.process:typeof process<"u"&&typeof((bh=process==null?void 0:process.versions)==null?void 0:bh.node)=="string"&&(be=process);const Oh=typeof((vh=be==null?void 0:be.versions)==null?void 0:vh.electron)=="string"&&(be==null?void 0:be.type)==="renderer";if(typeof be=="object"){Hr=be.platform==="win32",Gr=be.platform==="darwin",Jr=be.platform==="linux",Jr&&be.env.SNAP&&be.env.SNAP_REVISION,be.env.CI||be.env.BUILD_ARTIFACTSTAGINGDIRECTORY,$n=Pt,Yr=Pt;const e=be.env.VSCODE_NLS_CONFIG;if(e)try{const t=JSON.parse(e);$n=t.userLocale,Hs=t.osLocale,Yr=t.resolvedLanguage||Pt,Th=(wh=t.languagePack)==null?void 0:wh.translationsConfigFile}catch{}js=!0}else typeof navigator=="object"&&!Oh?(Pe=navigator.userAgent,Hr=Pe.indexOf("Windows")>=0,Gr=Pe.indexOf("Macintosh")>=0,(Pe.indexOf("Macintosh")>=0||Pe.indexOf("iPad")>=0||Pe.indexOf("iPhone")>=0)&&navigator.maxTouchPoints&&navigator.maxTouchPoints>0,Jr=Pe.indexOf("Linux")>=0,(Pe==null?void 0:Pe.indexOf("Mobi"))>=0,Xr=!0,Yr=Bs()||Pt,$n=navigator.language.toLowerCase(),Hs=$n):console.error("Unable to resolve platform.");const ln=Hr,Wh=Gr,Uh=js,Vh=Xr,$h=Xr&&typeof Qe.importScripts=="function"?Qe.origin:void 0,Ve=Pe,Bh=typeof Qe.postMessage=="function"&&!Qe.importScripts;(()=>{if(Bh){const e=[];Qe.addEventListener("message",n=>{if(n.data&&n.data.vscodeScheduleAsyncWork)for(let r=0,i=e.length;r<i;r++){const s=e[r];if(s.id===n.data.vscodeScheduleAsyncWork){e.splice(r,1),s.callback();return}}});let t=0;return n=>{const r=++t;e.push({id:r,callback:n}),Qe.postMessage({vscodeScheduleAsyncWork:r},"*")}}return e=>setTimeout(e)})();const qh=!!(Ve&&Ve.indexOf("Chrome")>=0);Ve&&Ve.indexOf("Firefox")>=0,!qh&&Ve&&Ve.indexOf("Safari")>=0,Ve&&Ve.indexOf("Edg/")>=0,Ve&&Ve.indexOf("Android")>=0;function jh(e){return e}class Hh{constructor(t,n){this.lastCache=void 0,this.lastArgKey=void 0,typeof t=="function"?(this._fn=t,this._computeKey=jh):(this._fn=n,this._computeKey=t.getCacheKey)}get(t){const n=this._computeKey(t);return this.lastArgKey!==n&&(this.lastArgKey=n,this.lastCache=this._fn(t)),this.lastCache}}class Gs{constructor(t){this.executor=t,this._didRun=!1}get value(){if(!this._didRun)try{this._value=this.executor()}catch(t){this._error=t}finally{this._didRun=!0}if(this._error)throw this._error;return this._value}get rawValue(){return this._value}}function Gh(e){return e.replace(/[\\\{\}\*\+\?\|\^\$\.\[\]\(\)]/g,"\\$&")}function Jh(e){return e.split(/\r\n|\r|\n/)}function Xh(e){for(let t=0,n=e.length;t<n;t++){const r=e.charCodeAt(t);if(r!==32&&r!==9)return t}return-1}function Yh(e,t=e.length-1){for(let n=t;n>=0;n--){const r=e.charCodeAt(n);if(r!==32&&r!==9)return n}return-1}function Js(e){return e>=65&&e<=90}function Bn(e){return 55296<=e&&e<=56319}function Qr(e){return 56320<=e&&e<=57343}function Xs(e,t){return(e-55296<<10)+(t-56320)+65536}function Qh(e,t,n){const r=e.charCodeAt(n);if(Bn(r)&&n+1<t){const i=e.charCodeAt(n+1);if(Qr(i))return Xs(r,i)}return r}const Kh=/^[\t\n\r\x20-\x7E]*$/;function Zh(e){return Kh.test(e)}const Je=class Je{static getInstance(t){return Je.cache.get(Array.from(t))}static getLocales(){return Je._locales.value}constructor(t){this.confusableDictionary=t}isAmbiguous(t){return this.confusableDictionary.has(t)}getPrimaryConfusable(t){return this.confusableDictionary.get(t)}getConfusableCodePoints(){return new Set(this.confusableDictionary.keys())}};Je.ambiguousCharacterData=new Gs(()=>JSON.parse('{"_common":[8232,32,8233,32,5760,32,8192,32,8193,32,8194,32,8195,32,8196,32,8197,32,8198,32,8200,32,8201,32,8202,32,8287,32,8199,32,8239,32,2042,95,65101,95,65102,95,65103,95,8208,45,8209,45,8210,45,65112,45,1748,45,8259,45,727,45,8722,45,10134,45,11450,45,1549,44,1643,44,8218,44,184,44,42233,44,894,59,2307,58,2691,58,1417,58,1795,58,1796,58,5868,58,65072,58,6147,58,6153,58,8282,58,1475,58,760,58,42889,58,8758,58,720,58,42237,58,451,33,11601,33,660,63,577,63,2429,63,5038,63,42731,63,119149,46,8228,46,1793,46,1794,46,42510,46,68176,46,1632,46,1776,46,42232,46,1373,96,65287,96,8219,96,8242,96,1370,96,1523,96,8175,96,65344,96,900,96,8189,96,8125,96,8127,96,8190,96,697,96,884,96,712,96,714,96,715,96,756,96,699,96,701,96,700,96,702,96,42892,96,1497,96,2036,96,2037,96,5194,96,5836,96,94033,96,94034,96,65339,91,10088,40,10098,40,12308,40,64830,40,65341,93,10089,41,10099,41,12309,41,64831,41,10100,123,119060,123,10101,125,65342,94,8270,42,1645,42,8727,42,66335,42,5941,47,8257,47,8725,47,8260,47,9585,47,10187,47,10744,47,119354,47,12755,47,12339,47,11462,47,20031,47,12035,47,65340,92,65128,92,8726,92,10189,92,10741,92,10745,92,119311,92,119355,92,12756,92,20022,92,12034,92,42872,38,708,94,710,94,5869,43,10133,43,66203,43,8249,60,10094,60,706,60,119350,60,5176,60,5810,60,5120,61,11840,61,12448,61,42239,61,8250,62,10095,62,707,62,119351,62,5171,62,94015,62,8275,126,732,126,8128,126,8764,126,65372,124,65293,45,120784,50,120794,50,120804,50,120814,50,120824,50,130034,50,42842,50,423,50,1000,50,42564,50,5311,50,42735,50,119302,51,120785,51,120795,51,120805,51,120815,51,120825,51,130035,51,42923,51,540,51,439,51,42858,51,11468,51,1248,51,94011,51,71882,51,120786,52,120796,52,120806,52,120816,52,120826,52,130036,52,5070,52,71855,52,120787,53,120797,53,120807,53,120817,53,120827,53,130037,53,444,53,71867,53,120788,54,120798,54,120808,54,120818,54,120828,54,130038,54,11474,54,5102,54,71893,54,119314,55,120789,55,120799,55,120809,55,120819,55,120829,55,130039,55,66770,55,71878,55,2819,56,2538,56,2666,56,125131,56,120790,56,120800,56,120810,56,120820,56,120830,56,130040,56,547,56,546,56,66330,56,2663,57,2920,57,2541,57,3437,57,120791,57,120801,57,120811,57,120821,57,120831,57,130041,57,42862,57,11466,57,71884,57,71852,57,71894,57,9082,97,65345,97,119834,97,119886,97,119938,97,119990,97,120042,97,120094,97,120146,97,120198,97,120250,97,120302,97,120354,97,120406,97,120458,97,593,97,945,97,120514,97,120572,97,120630,97,120688,97,120746,97,65313,65,119808,65,119860,65,119912,65,119964,65,120016,65,120068,65,120120,65,120172,65,120224,65,120276,65,120328,65,120380,65,120432,65,913,65,120488,65,120546,65,120604,65,120662,65,120720,65,5034,65,5573,65,42222,65,94016,65,66208,65,119835,98,119887,98,119939,98,119991,98,120043,98,120095,98,120147,98,120199,98,120251,98,120303,98,120355,98,120407,98,120459,98,388,98,5071,98,5234,98,5551,98,65314,66,8492,66,119809,66,119861,66,119913,66,120017,66,120069,66,120121,66,120173,66,120225,66,120277,66,120329,66,120381,66,120433,66,42932,66,914,66,120489,66,120547,66,120605,66,120663,66,120721,66,5108,66,5623,66,42192,66,66178,66,66209,66,66305,66,65347,99,8573,99,119836,99,119888,99,119940,99,119992,99,120044,99,120096,99,120148,99,120200,99,120252,99,120304,99,120356,99,120408,99,120460,99,7428,99,1010,99,11429,99,43951,99,66621,99,128844,67,71922,67,71913,67,65315,67,8557,67,8450,67,8493,67,119810,67,119862,67,119914,67,119966,67,120018,67,120174,67,120226,67,120278,67,120330,67,120382,67,120434,67,1017,67,11428,67,5087,67,42202,67,66210,67,66306,67,66581,67,66844,67,8574,100,8518,100,119837,100,119889,100,119941,100,119993,100,120045,100,120097,100,120149,100,120201,100,120253,100,120305,100,120357,100,120409,100,120461,100,1281,100,5095,100,5231,100,42194,100,8558,68,8517,68,119811,68,119863,68,119915,68,119967,68,120019,68,120071,68,120123,68,120175,68,120227,68,120279,68,120331,68,120383,68,120435,68,5024,68,5598,68,5610,68,42195,68,8494,101,65349,101,8495,101,8519,101,119838,101,119890,101,119942,101,120046,101,120098,101,120150,101,120202,101,120254,101,120306,101,120358,101,120410,101,120462,101,43826,101,1213,101,8959,69,65317,69,8496,69,119812,69,119864,69,119916,69,120020,69,120072,69,120124,69,120176,69,120228,69,120280,69,120332,69,120384,69,120436,69,917,69,120492,69,120550,69,120608,69,120666,69,120724,69,11577,69,5036,69,42224,69,71846,69,71854,69,66182,69,119839,102,119891,102,119943,102,119995,102,120047,102,120099,102,120151,102,120203,102,120255,102,120307,102,120359,102,120411,102,120463,102,43829,102,42905,102,383,102,7837,102,1412,102,119315,70,8497,70,119813,70,119865,70,119917,70,120021,70,120073,70,120125,70,120177,70,120229,70,120281,70,120333,70,120385,70,120437,70,42904,70,988,70,120778,70,5556,70,42205,70,71874,70,71842,70,66183,70,66213,70,66853,70,65351,103,8458,103,119840,103,119892,103,119944,103,120048,103,120100,103,120152,103,120204,103,120256,103,120308,103,120360,103,120412,103,120464,103,609,103,7555,103,397,103,1409,103,119814,71,119866,71,119918,71,119970,71,120022,71,120074,71,120126,71,120178,71,120230,71,120282,71,120334,71,120386,71,120438,71,1292,71,5056,71,5107,71,42198,71,65352,104,8462,104,119841,104,119945,104,119997,104,120049,104,120101,104,120153,104,120205,104,120257,104,120309,104,120361,104,120413,104,120465,104,1211,104,1392,104,5058,104,65320,72,8459,72,8460,72,8461,72,119815,72,119867,72,119919,72,120023,72,120179,72,120231,72,120283,72,120335,72,120387,72,120439,72,919,72,120494,72,120552,72,120610,72,120668,72,120726,72,11406,72,5051,72,5500,72,42215,72,66255,72,731,105,9075,105,65353,105,8560,105,8505,105,8520,105,119842,105,119894,105,119946,105,119998,105,120050,105,120102,105,120154,105,120206,105,120258,105,120310,105,120362,105,120414,105,120466,105,120484,105,618,105,617,105,953,105,8126,105,890,105,120522,105,120580,105,120638,105,120696,105,120754,105,1110,105,42567,105,1231,105,43893,105,5029,105,71875,105,65354,106,8521,106,119843,106,119895,106,119947,106,119999,106,120051,106,120103,106,120155,106,120207,106,120259,106,120311,106,120363,106,120415,106,120467,106,1011,106,1112,106,65322,74,119817,74,119869,74,119921,74,119973,74,120025,74,120077,74,120129,74,120181,74,120233,74,120285,74,120337,74,120389,74,120441,74,42930,74,895,74,1032,74,5035,74,5261,74,42201,74,119844,107,119896,107,119948,107,120000,107,120052,107,120104,107,120156,107,120208,107,120260,107,120312,107,120364,107,120416,107,120468,107,8490,75,65323,75,119818,75,119870,75,119922,75,119974,75,120026,75,120078,75,120130,75,120182,75,120234,75,120286,75,120338,75,120390,75,120442,75,922,75,120497,75,120555,75,120613,75,120671,75,120729,75,11412,75,5094,75,5845,75,42199,75,66840,75,1472,108,8739,73,9213,73,65512,73,1633,108,1777,73,66336,108,125127,108,120783,73,120793,73,120803,73,120813,73,120823,73,130033,73,65321,73,8544,73,8464,73,8465,73,119816,73,119868,73,119920,73,120024,73,120128,73,120180,73,120232,73,120284,73,120336,73,120388,73,120440,73,65356,108,8572,73,8467,108,119845,108,119897,108,119949,108,120001,108,120053,108,120105,73,120157,73,120209,73,120261,73,120313,73,120365,73,120417,73,120469,73,448,73,120496,73,120554,73,120612,73,120670,73,120728,73,11410,73,1030,73,1216,73,1493,108,1503,108,1575,108,126464,108,126592,108,65166,108,65165,108,1994,108,11599,73,5825,73,42226,73,93992,73,66186,124,66313,124,119338,76,8556,76,8466,76,119819,76,119871,76,119923,76,120027,76,120079,76,120131,76,120183,76,120235,76,120287,76,120339,76,120391,76,120443,76,11472,76,5086,76,5290,76,42209,76,93974,76,71843,76,71858,76,66587,76,66854,76,65325,77,8559,77,8499,77,119820,77,119872,77,119924,77,120028,77,120080,77,120132,77,120184,77,120236,77,120288,77,120340,77,120392,77,120444,77,924,77,120499,77,120557,77,120615,77,120673,77,120731,77,1018,77,11416,77,5047,77,5616,77,5846,77,42207,77,66224,77,66321,77,119847,110,119899,110,119951,110,120003,110,120055,110,120107,110,120159,110,120211,110,120263,110,120315,110,120367,110,120419,110,120471,110,1400,110,1404,110,65326,78,8469,78,119821,78,119873,78,119925,78,119977,78,120029,78,120081,78,120185,78,120237,78,120289,78,120341,78,120393,78,120445,78,925,78,120500,78,120558,78,120616,78,120674,78,120732,78,11418,78,42208,78,66835,78,3074,111,3202,111,3330,111,3458,111,2406,111,2662,111,2790,111,3046,111,3174,111,3302,111,3430,111,3664,111,3792,111,4160,111,1637,111,1781,111,65359,111,8500,111,119848,111,119900,111,119952,111,120056,111,120108,111,120160,111,120212,111,120264,111,120316,111,120368,111,120420,111,120472,111,7439,111,7441,111,43837,111,959,111,120528,111,120586,111,120644,111,120702,111,120760,111,963,111,120532,111,120590,111,120648,111,120706,111,120764,111,11423,111,4351,111,1413,111,1505,111,1607,111,126500,111,126564,111,126596,111,65259,111,65260,111,65258,111,65257,111,1726,111,64428,111,64429,111,64427,111,64426,111,1729,111,64424,111,64425,111,64423,111,64422,111,1749,111,3360,111,4125,111,66794,111,71880,111,71895,111,66604,111,1984,79,2534,79,2918,79,12295,79,70864,79,71904,79,120782,79,120792,79,120802,79,120812,79,120822,79,130032,79,65327,79,119822,79,119874,79,119926,79,119978,79,120030,79,120082,79,120134,79,120186,79,120238,79,120290,79,120342,79,120394,79,120446,79,927,79,120502,79,120560,79,120618,79,120676,79,120734,79,11422,79,1365,79,11604,79,4816,79,2848,79,66754,79,42227,79,71861,79,66194,79,66219,79,66564,79,66838,79,9076,112,65360,112,119849,112,119901,112,119953,112,120005,112,120057,112,120109,112,120161,112,120213,112,120265,112,120317,112,120369,112,120421,112,120473,112,961,112,120530,112,120544,112,120588,112,120602,112,120646,112,120660,112,120704,112,120718,112,120762,112,120776,112,11427,112,65328,80,8473,80,119823,80,119875,80,119927,80,119979,80,120031,80,120083,80,120187,80,120239,80,120291,80,120343,80,120395,80,120447,80,929,80,120504,80,120562,80,120620,80,120678,80,120736,80,11426,80,5090,80,5229,80,42193,80,66197,80,119850,113,119902,113,119954,113,120006,113,120058,113,120110,113,120162,113,120214,113,120266,113,120318,113,120370,113,120422,113,120474,113,1307,113,1379,113,1382,113,8474,81,119824,81,119876,81,119928,81,119980,81,120032,81,120084,81,120188,81,120240,81,120292,81,120344,81,120396,81,120448,81,11605,81,119851,114,119903,114,119955,114,120007,114,120059,114,120111,114,120163,114,120215,114,120267,114,120319,114,120371,114,120423,114,120475,114,43847,114,43848,114,7462,114,11397,114,43905,114,119318,82,8475,82,8476,82,8477,82,119825,82,119877,82,119929,82,120033,82,120189,82,120241,82,120293,82,120345,82,120397,82,120449,82,422,82,5025,82,5074,82,66740,82,5511,82,42211,82,94005,82,65363,115,119852,115,119904,115,119956,115,120008,115,120060,115,120112,115,120164,115,120216,115,120268,115,120320,115,120372,115,120424,115,120476,115,42801,115,445,115,1109,115,43946,115,71873,115,66632,115,65331,83,119826,83,119878,83,119930,83,119982,83,120034,83,120086,83,120138,83,120190,83,120242,83,120294,83,120346,83,120398,83,120450,83,1029,83,1359,83,5077,83,5082,83,42210,83,94010,83,66198,83,66592,83,119853,116,119905,116,119957,116,120009,116,120061,116,120113,116,120165,116,120217,116,120269,116,120321,116,120373,116,120425,116,120477,116,8868,84,10201,84,128872,84,65332,84,119827,84,119879,84,119931,84,119983,84,120035,84,120087,84,120139,84,120191,84,120243,84,120295,84,120347,84,120399,84,120451,84,932,84,120507,84,120565,84,120623,84,120681,84,120739,84,11430,84,5026,84,42196,84,93962,84,71868,84,66199,84,66225,84,66325,84,119854,117,119906,117,119958,117,120010,117,120062,117,120114,117,120166,117,120218,117,120270,117,120322,117,120374,117,120426,117,120478,117,42911,117,7452,117,43854,117,43858,117,651,117,965,117,120534,117,120592,117,120650,117,120708,117,120766,117,1405,117,66806,117,71896,117,8746,85,8899,85,119828,85,119880,85,119932,85,119984,85,120036,85,120088,85,120140,85,120192,85,120244,85,120296,85,120348,85,120400,85,120452,85,1357,85,4608,85,66766,85,5196,85,42228,85,94018,85,71864,85,8744,118,8897,118,65366,118,8564,118,119855,118,119907,118,119959,118,120011,118,120063,118,120115,118,120167,118,120219,118,120271,118,120323,118,120375,118,120427,118,120479,118,7456,118,957,118,120526,118,120584,118,120642,118,120700,118,120758,118,1141,118,1496,118,71430,118,43945,118,71872,118,119309,86,1639,86,1783,86,8548,86,119829,86,119881,86,119933,86,119985,86,120037,86,120089,86,120141,86,120193,86,120245,86,120297,86,120349,86,120401,86,120453,86,1140,86,11576,86,5081,86,5167,86,42719,86,42214,86,93960,86,71840,86,66845,86,623,119,119856,119,119908,119,119960,119,120012,119,120064,119,120116,119,120168,119,120220,119,120272,119,120324,119,120376,119,120428,119,120480,119,7457,119,1121,119,1309,119,1377,119,71434,119,71438,119,71439,119,43907,119,71919,87,71910,87,119830,87,119882,87,119934,87,119986,87,120038,87,120090,87,120142,87,120194,87,120246,87,120298,87,120350,87,120402,87,120454,87,1308,87,5043,87,5076,87,42218,87,5742,120,10539,120,10540,120,10799,120,65368,120,8569,120,119857,120,119909,120,119961,120,120013,120,120065,120,120117,120,120169,120,120221,120,120273,120,120325,120,120377,120,120429,120,120481,120,5441,120,5501,120,5741,88,9587,88,66338,88,71916,88,65336,88,8553,88,119831,88,119883,88,119935,88,119987,88,120039,88,120091,88,120143,88,120195,88,120247,88,120299,88,120351,88,120403,88,120455,88,42931,88,935,88,120510,88,120568,88,120626,88,120684,88,120742,88,11436,88,11613,88,5815,88,42219,88,66192,88,66228,88,66327,88,66855,88,611,121,7564,121,65369,121,119858,121,119910,121,119962,121,120014,121,120066,121,120118,121,120170,121,120222,121,120274,121,120326,121,120378,121,120430,121,120482,121,655,121,7935,121,43866,121,947,121,8509,121,120516,121,120574,121,120632,121,120690,121,120748,121,1199,121,4327,121,71900,121,65337,89,119832,89,119884,89,119936,89,119988,89,120040,89,120092,89,120144,89,120196,89,120248,89,120300,89,120352,89,120404,89,120456,89,933,89,978,89,120508,89,120566,89,120624,89,120682,89,120740,89,11432,89,1198,89,5033,89,5053,89,42220,89,94019,89,71844,89,66226,89,119859,122,119911,122,119963,122,120015,122,120067,122,120119,122,120171,122,120223,122,120275,122,120327,122,120379,122,120431,122,120483,122,7458,122,43923,122,71876,122,66293,90,71909,90,65338,90,8484,90,8488,90,119833,90,119885,90,119937,90,119989,90,120041,90,120197,90,120249,90,120301,90,120353,90,120405,90,120457,90,918,90,120493,90,120551,90,120609,90,120667,90,120725,90,5059,90,42204,90,71849,90,65282,34,65284,36,65285,37,65286,38,65290,42,65291,43,65294,46,65295,47,65296,48,65297,49,65298,50,65299,51,65300,52,65301,53,65302,54,65303,55,65304,56,65305,57,65308,60,65309,61,65310,62,65312,64,65316,68,65318,70,65319,71,65324,76,65329,81,65330,82,65333,85,65334,86,65335,87,65343,95,65346,98,65348,100,65350,102,65355,107,65357,109,65358,110,65361,113,65362,114,65364,116,65365,117,65367,119,65370,122,65371,123,65373,125,119846,109],"_default":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"cs":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"de":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"es":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"fr":[65374,126,65306,58,65281,33,8216,96,8245,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"it":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ja":[8211,45,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65292,44,65307,59],"ko":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pl":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pt-BR":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"qps-ploc":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ru":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,305,105,921,73,1009,112,215,120,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"tr":[160,32,8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"zh-hans":[65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65288,40,65289,41],"zh-hant":[8211,45,65374,126,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65307,59]}')),Je.cache=new Hh({getCacheKey:JSON.stringify},t=>{function n(d){const u=new Map;for(let f=0;f<d.length;f+=2)u.set(d[f],d[f+1]);return u}function r(d,u){const f=new Map(d);for(const[m,v]of u)f.set(m,v);return f}function i(d,u){if(!d)return u;const f=new Map;for(const[m,v]of d)u.has(m)&&f.set(m,v);return f}const s=Je.ambiguousCharacterData.value;let a=t.filter(d=>!d.startsWith("_")&&d in s);a.length===0&&(a=["_default"]);let l;for(const d of a){const u=n(s[d]);l=i(l,u)}const o=n(s._common),c=r(o,l);return new Je(c)}),Je._locales=new Gs(()=>Object.keys(Je.ambiguousCharacterData.value).filter(t=>!t.startsWith("_")));let cn=Je;const rn=class rn{static getRawData(){return JSON.parse("[9,10,11,12,13,32,127,160,173,847,1564,4447,4448,6068,6069,6155,6156,6157,6158,7355,7356,8192,8193,8194,8195,8196,8197,8198,8199,8200,8201,8202,8203,8204,8205,8206,8207,8234,8235,8236,8237,8238,8239,8287,8288,8289,8290,8291,8292,8293,8294,8295,8296,8297,8298,8299,8300,8301,8302,8303,10240,12288,12644,65024,65025,65026,65027,65028,65029,65030,65031,65032,65033,65034,65035,65036,65037,65038,65039,65279,65440,65520,65521,65522,65523,65524,65525,65526,65527,65528,65532,78844,119155,119156,119157,119158,119159,119160,119161,119162,917504,917505,917506,917507,917508,917509,917510,917511,917512,917513,917514,917515,917516,917517,917518,917519,917520,917521,917522,917523,917524,917525,917526,917527,917528,917529,917530,917531,917532,917533,917534,917535,917536,917537,917538,917539,917540,917541,917542,917543,917544,917545,917546,917547,917548,917549,917550,917551,917552,917553,917554,917555,917556,917557,917558,917559,917560,917561,917562,917563,917564,917565,917566,917567,917568,917569,917570,917571,917572,917573,917574,917575,917576,917577,917578,917579,917580,917581,917582,917583,917584,917585,917586,917587,917588,917589,917590,917591,917592,917593,917594,917595,917596,917597,917598,917599,917600,917601,917602,917603,917604,917605,917606,917607,917608,917609,917610,917611,917612,917613,917614,917615,917616,917617,917618,917619,917620,917621,917622,917623,917624,917625,917626,917627,917628,917629,917630,917631,917760,917761,917762,917763,917764,917765,917766,917767,917768,917769,917770,917771,917772,917773,917774,917775,917776,917777,917778,917779,917780,917781,917782,917783,917784,917785,917786,917787,917788,917789,917790,917791,917792,917793,917794,917795,917796,917797,917798,917799,917800,917801,917802,917803,917804,917805,917806,917807,917808,917809,917810,917811,917812,917813,917814,917815,917816,917817,917818,917819,917820,917821,917822,917823,917824,917825,917826,917827,917828,917829,917830,917831,917832,917833,917834,917835,917836,917837,917838,917839,917840,917841,917842,917843,917844,917845,917846,917847,917848,917849,917850,917851,917852,917853,917854,917855,917856,917857,917858,917859,917860,917861,917862,917863,917864,917865,917866,917867,917868,917869,917870,917871,917872,917873,917874,917875,917876,917877,917878,917879,917880,917881,917882,917883,917884,917885,917886,917887,917888,917889,917890,917891,917892,917893,917894,917895,917896,917897,917898,917899,917900,917901,917902,917903,917904,917905,917906,917907,917908,917909,917910,917911,917912,917913,917914,917915,917916,917917,917918,917919,917920,917921,917922,917923,917924,917925,917926,917927,917928,917929,917930,917931,917932,917933,917934,917935,917936,917937,917938,917939,917940,917941,917942,917943,917944,917945,917946,917947,917948,917949,917950,917951,917952,917953,917954,917955,917956,917957,917958,917959,917960,917961,917962,917963,917964,917965,917966,917967,917968,917969,917970,917971,917972,917973,917974,917975,917976,917977,917978,917979,917980,917981,917982,917983,917984,917985,917986,917987,917988,917989,917990,917991,917992,917993,917994,917995,917996,917997,917998,917999]")}static getData(){return this._data||(this._data=new Set(rn.getRawData())),this._data}static isInvisibleCharacter(t){return rn.getData().has(t)}static get codePoints(){return rn.getData()}};rn._data=void 0;let hn=rn;var Ys={};let Tt;const Kr=globalThis.vscode;if(typeof Kr<"u"&&typeof Kr.process<"u"){const e=Kr.process;Tt={get platform(){return e.platform},get arch(){return e.arch},get env(){return e.env},cwd(){return e.cwd()}}}else typeof process<"u"&&typeof((yh=process==null?void 0:process.versions)==null?void 0:yh.node)=="string"?Tt={get platform(){return process.platform},get arch(){return process.arch},get env(){return Ys},cwd(){return Ys.VSCODE_CWD||process.cwd()}}:Tt={get platform(){return ln?"win32":Wh?"darwin":"linux"},get arch(){},get env(){return{}},cwd(){return"/"}};const qn=Tt.cwd,ed=Tt.env,td=Tt.platform,nd=65,rd=97,id=90,sd=122,dt=46,ge=47,ke=92,ut=58,ad=63;class Qs extends Error{constructor(t,n,r){let i;typeof n=="string"&&n.indexOf("not ")===0?(i="must not be",n=n.replace(/^not /,"")):i="must be";const s=t.indexOf(".")!==-1?"property":"argument";let a=`The "${t}" ${s} ${i} of type ${n}`;a+=`. Received type ${typeof r}`,super(a),this.code="ERR_INVALID_ARG_TYPE"}}function od(e,t){if(e===null||typeof e!="object")throw new Qs(t,"Object",e)}function ce(e,t){if(typeof e!="string")throw new Qs(t,"string",e)}const Ke=td==="win32";function G(e){return e===ge||e===ke}function Zr(e){return e===ge}function pt(e){return e>=nd&&e<=id||e>=rd&&e<=sd}function jn(e,t,n,r){let i="",s=0,a=-1,l=0,o=0;for(let c=0;c<=e.length;++c){if(c<e.length)o=e.charCodeAt(c);else{if(r(o))break;o=ge}if(r(o)){if(!(a===c-1||l===1))if(l===2){if(i.length<2||s!==2||i.charCodeAt(i.length-1)!==dt||i.charCodeAt(i.length-2)!==dt){if(i.length>2){const d=i.lastIndexOf(n);d===-1?(i="",s=0):(i=i.slice(0,d),s=i.length-1-i.lastIndexOf(n)),a=c,l=0;continue}else if(i.length!==0){i="",s=0,a=c,l=0;continue}}t&&(i+=i.length>0?`${n}..`:"..",s=2)}else i.length>0?i+=`${n}${e.slice(a+1,c)}`:i=e.slice(a+1,c),s=c-a-1;a=c,l=0}else o===dt&&l!==-1?++l:l=-1}return i}function ld(e){return e?`${e[0]==="."?"":"."}${e}`:""}function Ks(e,t){od(t,"pathObject");const n=t.dir||t.root,r=t.base||`${t.name||""}${ld(t.ext)}`;return n?n===t.root?`${n}${r}`:`${n}${e}${r}`:r}const we={resolve(...e){let t="",n="",r=!1;for(let i=e.length-1;i>=-1;i--){let s;if(i>=0){if(s=e[i],ce(s,`paths[${i}]`),s.length===0)continue}else t.length===0?s=qn():(s=ed[`=${t}`]||qn(),(s===void 0||s.slice(0,2).toLowerCase()!==t.toLowerCase()&&s.charCodeAt(2)===ke)&&(s=`${t}\\`));const a=s.length;let l=0,o="",c=!1;const d=s.charCodeAt(0);if(a===1)G(d)&&(l=1,c=!0);else if(G(d))if(c=!0,G(s.charCodeAt(1))){let u=2,f=u;for(;u<a&&!G(s.charCodeAt(u));)u++;if(u<a&&u!==f){const m=s.slice(f,u);for(f=u;u<a&&G(s.charCodeAt(u));)u++;if(u<a&&u!==f){for(f=u;u<a&&!G(s.charCodeAt(u));)u++;(u===a||u!==f)&&(o=`\\\\${m}\\${s.slice(f,u)}`,l=u)}}}else l=1;else pt(d)&&s.charCodeAt(1)===ut&&(o=s.slice(0,2),l=2,a>2&&G(s.charCodeAt(2))&&(c=!0,l=3));if(o.length>0)if(t.length>0){if(o.toLowerCase()!==t.toLowerCase())continue}else t=o;if(r){if(t.length>0)break}else if(n=`${s.slice(l)}\\${n}`,r=c,c&&t.length>0)break}return n=jn(n,!r,"\\",G),r?`${t}\\${n}`:`${t}${n}`||"."},normalize(e){ce(e,"path");const t=e.length;if(t===0)return".";let n=0,r,i=!1;const s=e.charCodeAt(0);if(t===1)return Zr(s)?"\\":e;if(G(s))if(i=!0,G(e.charCodeAt(1))){let l=2,o=l;for(;l<t&&!G(e.charCodeAt(l));)l++;if(l<t&&l!==o){const c=e.slice(o,l);for(o=l;l<t&&G(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&!G(e.charCodeAt(l));)l++;if(l===t)return`\\\\${c}\\${e.slice(o)}\\`;l!==o&&(r=`\\\\${c}\\${e.slice(o,l)}`,n=l)}}}else n=1;else pt(s)&&e.charCodeAt(1)===ut&&(r=e.slice(0,2),n=2,t>2&&G(e.charCodeAt(2))&&(i=!0,n=3));let a=n<t?jn(e.slice(n),!i,"\\",G):"";return a.length===0&&!i&&(a="."),a.length>0&&G(e.charCodeAt(t-1))&&(a+="\\"),r===void 0?i?`\\${a}`:a:i?`${r}\\${a}`:`${r}${a}`},isAbsolute(e){ce(e,"path");const t=e.length;if(t===0)return!1;const n=e.charCodeAt(0);return G(n)||t>2&&pt(n)&&e.charCodeAt(1)===ut&&G(e.charCodeAt(2))},join(...e){if(e.length===0)return".";let t,n;for(let s=0;s<e.length;++s){const a=e[s];ce(a,"path"),a.length>0&&(t===void 0?t=n=a:t+=`\\${a}`)}if(t===void 0)return".";let r=!0,i=0;if(typeof n=="string"&&G(n.charCodeAt(0))){++i;const s=n.length;s>1&&G(n.charCodeAt(1))&&(++i,s>2&&(G(n.charCodeAt(2))?++i:r=!1))}if(r){for(;i<t.length&&G(t.charCodeAt(i));)i++;i>=2&&(t=`\\${t.slice(i)}`)}return we.normalize(t)},relative(e,t){if(ce(e,"from"),ce(t,"to"),e===t)return"";const n=we.resolve(e),r=we.resolve(t);if(n===r||(e=n.toLowerCase(),t=r.toLowerCase(),e===t))return"";let i=0;for(;i<e.length&&e.charCodeAt(i)===ke;)i++;let s=e.length;for(;s-1>i&&e.charCodeAt(s-1)===ke;)s--;const a=s-i;let l=0;for(;l<t.length&&t.charCodeAt(l)===ke;)l++;let o=t.length;for(;o-1>l&&t.charCodeAt(o-1)===ke;)o--;const c=o-l,d=a<c?a:c;let u=-1,f=0;for(;f<d;f++){const v=e.charCodeAt(i+f);if(v!==t.charCodeAt(l+f))break;v===ke&&(u=f)}if(f!==d){if(u===-1)return r}else{if(c>d){if(t.charCodeAt(l+f)===ke)return r.slice(l+f+1);if(f===2)return r.slice(l+f)}a>d&&(e.charCodeAt(i+f)===ke?u=f:f===2&&(u=3)),u===-1&&(u=0)}let m="";for(f=i+u+1;f<=s;++f)(f===s||e.charCodeAt(f)===ke)&&(m+=m.length===0?"..":"\\..");return l+=u,m.length>0?`${m}${r.slice(l,o)}`:(r.charCodeAt(l)===ke&&++l,r.slice(l,o))},toNamespacedPath(e){if(typeof e!="string"||e.length===0)return e;const t=we.resolve(e);if(t.length<=2)return e;if(t.charCodeAt(0)===ke){if(t.charCodeAt(1)===ke){const n=t.charCodeAt(2);if(n!==ad&&n!==dt)return`\\\\?\\UNC\\${t.slice(2)}`}}else if(pt(t.charCodeAt(0))&&t.charCodeAt(1)===ut&&t.charCodeAt(2)===ke)return`\\\\?\\${t}`;return e},dirname(e){ce(e,"path");const t=e.length;if(t===0)return".";let n=-1,r=0;const i=e.charCodeAt(0);if(t===1)return G(i)?e:".";if(G(i)){if(n=r=1,G(e.charCodeAt(1))){let l=2,o=l;for(;l<t&&!G(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&G(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&!G(e.charCodeAt(l));)l++;if(l===t)return e;l!==o&&(n=r=l+1)}}}}else pt(i)&&e.charCodeAt(1)===ut&&(n=t>2&&G(e.charCodeAt(2))?3:2,r=n);let s=-1,a=!0;for(let l=t-1;l>=r;--l)if(G(e.charCodeAt(l))){if(!a){s=l;break}}else a=!1;if(s===-1){if(n===-1)return".";s=n}return e.slice(0,s)},basename(e,t){t!==void 0&&ce(t,"suffix"),ce(e,"path");let n=0,r=-1,i=!0,s;if(e.length>=2&&pt(e.charCodeAt(0))&&e.charCodeAt(1)===ut&&(n=2),t!==void 0&&t.length>0&&t.length<=e.length){if(t===e)return"";let a=t.length-1,l=-1;for(s=e.length-1;s>=n;--s){const o=e.charCodeAt(s);if(G(o)){if(!i){n=s+1;break}}else l===-1&&(i=!1,l=s+1),a>=0&&(o===t.charCodeAt(a)?--a===-1&&(r=s):(a=-1,r=l))}return n===r?r=l:r===-1&&(r=e.length),e.slice(n,r)}for(s=e.length-1;s>=n;--s)if(G(e.charCodeAt(s))){if(!i){n=s+1;break}}else r===-1&&(i=!1,r=s+1);return r===-1?"":e.slice(n,r)},extname(e){ce(e,"path");let t=0,n=-1,r=0,i=-1,s=!0,a=0;e.length>=2&&e.charCodeAt(1)===ut&&pt(e.charCodeAt(0))&&(t=r=2);for(let l=e.length-1;l>=t;--l){const o=e.charCodeAt(l);if(G(o)){if(!s){r=l+1;break}continue}i===-1&&(s=!1,i=l+1),o===dt?n===-1?n=l:a!==1&&(a=1):n!==-1&&(a=-1)}return n===-1||i===-1||a===0||a===1&&n===i-1&&n===r+1?"":e.slice(n,i)},format:Ks.bind(null,"\\"),parse(e){ce(e,"path");const t={root:"",dir:"",base:"",ext:"",name:""};if(e.length===0)return t;const n=e.length;let r=0,i=e.charCodeAt(0);if(n===1)return G(i)?(t.root=t.dir=e,t):(t.base=t.name=e,t);if(G(i)){if(r=1,G(e.charCodeAt(1))){let u=2,f=u;for(;u<n&&!G(e.charCodeAt(u));)u++;if(u<n&&u!==f){for(f=u;u<n&&G(e.charCodeAt(u));)u++;if(u<n&&u!==f){for(f=u;u<n&&!G(e.charCodeAt(u));)u++;u===n?r=u:u!==f&&(r=u+1)}}}}else if(pt(i)&&e.charCodeAt(1)===ut){if(n<=2)return t.root=t.dir=e,t;if(r=2,G(e.charCodeAt(2))){if(n===3)return t.root=t.dir=e,t;r=3}}r>0&&(t.root=e.slice(0,r));let s=-1,a=r,l=-1,o=!0,c=e.length-1,d=0;for(;c>=r;--c){if(i=e.charCodeAt(c),G(i)){if(!o){a=c+1;break}continue}l===-1&&(o=!1,l=c+1),i===dt?s===-1?s=c:d!==1&&(d=1):s!==-1&&(d=-1)}return l!==-1&&(s===-1||d===0||d===1&&s===l-1&&s===a+1?t.base=t.name=e.slice(a,l):(t.name=e.slice(a,s),t.base=e.slice(a,l),t.ext=e.slice(s,l))),a>0&&a!==r?t.dir=e.slice(0,a-1):t.dir=t.root,t},sep:"\\",delimiter:";",win32:null,posix:null},cd=(()=>{if(Ke){const e=/\\/g;return()=>{const t=qn().replace(e,"/");return t.slice(t.indexOf("/"))}}return()=>qn()})(),ye={resolve(...e){let t="",n=!1;for(let r=e.length-1;r>=-1&&!n;r--){const i=r>=0?e[r]:cd();ce(i,`paths[${r}]`),i.length!==0&&(t=`${i}/${t}`,n=i.charCodeAt(0)===ge)}return t=jn(t,!n,"/",Zr),n?`/${t}`:t.length>0?t:"."},normalize(e){if(ce(e,"path"),e.length===0)return".";const t=e.charCodeAt(0)===ge,n=e.charCodeAt(e.length-1)===ge;return e=jn(e,!t,"/",Zr),e.length===0?t?"/":n?"./":".":(n&&(e+="/"),t?`/${e}`:e)},isAbsolute(e){return ce(e,"path"),e.length>0&&e.charCodeAt(0)===ge},join(...e){if(e.length===0)return".";let t;for(let n=0;n<e.length;++n){const r=e[n];ce(r,"path"),r.length>0&&(t===void 0?t=r:t+=`/${r}`)}return t===void 0?".":ye.normalize(t)},relative(e,t){if(ce(e,"from"),ce(t,"to"),e===t||(e=ye.resolve(e),t=ye.resolve(t),e===t))return"";const n=1,r=e.length,i=r-n,s=1,a=t.length-s,l=i<a?i:a;let o=-1,c=0;for(;c<l;c++){const u=e.charCodeAt(n+c);if(u!==t.charCodeAt(s+c))break;u===ge&&(o=c)}if(c===l)if(a>l){if(t.charCodeAt(s+c)===ge)return t.slice(s+c+1);if(c===0)return t.slice(s+c)}else i>l&&(e.charCodeAt(n+c)===ge?o=c:c===0&&(o=0));let d="";for(c=n+o+1;c<=r;++c)(c===r||e.charCodeAt(c)===ge)&&(d+=d.length===0?"..":"/..");return`${d}${t.slice(s+o)}`},toNamespacedPath(e){return e},dirname(e){if(ce(e,"path"),e.length===0)return".";const t=e.charCodeAt(0)===ge;let n=-1,r=!0;for(let i=e.length-1;i>=1;--i)if(e.charCodeAt(i)===ge){if(!r){n=i;break}}else r=!1;return n===-1?t?"/":".":t&&n===1?"//":e.slice(0,n)},basename(e,t){t!==void 0&&ce(t,"ext"),ce(e,"path");let n=0,r=-1,i=!0,s;if(t!==void 0&&t.length>0&&t.length<=e.length){if(t===e)return"";let a=t.length-1,l=-1;for(s=e.length-1;s>=0;--s){const o=e.charCodeAt(s);if(o===ge){if(!i){n=s+1;break}}else l===-1&&(i=!1,l=s+1),a>=0&&(o===t.charCodeAt(a)?--a===-1&&(r=s):(a=-1,r=l))}return n===r?r=l:r===-1&&(r=e.length),e.slice(n,r)}for(s=e.length-1;s>=0;--s)if(e.charCodeAt(s)===ge){if(!i){n=s+1;break}}else r===-1&&(i=!1,r=s+1);return r===-1?"":e.slice(n,r)},extname(e){ce(e,"path");let t=-1,n=0,r=-1,i=!0,s=0;for(let a=e.length-1;a>=0;--a){const l=e.charCodeAt(a);if(l===ge){if(!i){n=a+1;break}continue}r===-1&&(i=!1,r=a+1),l===dt?t===-1?t=a:s!==1&&(s=1):t!==-1&&(s=-1)}return t===-1||r===-1||s===0||s===1&&t===r-1&&t===n+1?"":e.slice(t,r)},format:Ks.bind(null,"/"),parse(e){ce(e,"path");const t={root:"",dir:"",base:"",ext:"",name:""};if(e.length===0)return t;const n=e.charCodeAt(0)===ge;let r;n?(t.root="/",r=1):r=0;let i=-1,s=0,a=-1,l=!0,o=e.length-1,c=0;for(;o>=r;--o){const d=e.charCodeAt(o);if(d===ge){if(!l){s=o+1;break}continue}a===-1&&(l=!1,a=o+1),d===dt?i===-1?i=o:c!==1&&(c=1):i!==-1&&(c=-1)}if(a!==-1){const d=s===0&&n?1:s;i===-1||c===0||c===1&&i===a-1&&i===s+1?t.base=t.name=e.slice(d,a):(t.name=e.slice(d,i),t.base=e.slice(d,a),t.ext=e.slice(i,a))}return s>0?t.dir=e.slice(0,s-1):n&&(t.dir="/"),t},sep:"/",delimiter:":",win32:null,posix:null};ye.win32=we.win32=we,ye.posix=we.posix=ye,Ke?we.normalize:ye.normalize;const hd=Ke?we.join:ye.join;Ke?we.resolve:ye.resolve,Ke?we.relative:ye.relative,Ke?we.dirname:ye.dirname,Ke?we.basename:ye.basename,Ke?we.extname:ye.extname,Ke?we.sep:ye.sep;const dd=/^\w[\w\d+.-]*$/,ud=/^\//,pd=/^\/\//;function md(e,t){if(!e.scheme&&t)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${e.authority}", path: "${e.path}", query: "${e.query}", fragment: "${e.fragment}"}`);if(e.scheme&&!dd.test(e.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(e.path){if(e.authority){if(!ud.test(e.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if(pd.test(e.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}function fd(e,t){return!e&&!t?"file":e}function gd(e,t){switch(e){case"https":case"http":case"file":t?t[0]!==Te&&(t=Te+t):t=Te;break}return t}const ne="",Te="/",bd=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;let $e=class Wr{static isUri(t){return t instanceof Wr?!0:t?typeof t.authority=="string"&&typeof t.fragment=="string"&&typeof t.path=="string"&&typeof t.query=="string"&&typeof t.scheme=="string"&&typeof t.fsPath=="string"&&typeof t.with=="function"&&typeof t.toString=="function":!1}constructor(t,n,r,i,s,a=!1){typeof t=="object"?(this.scheme=t.scheme||ne,this.authority=t.authority||ne,this.path=t.path||ne,this.query=t.query||ne,this.fragment=t.fragment||ne):(this.scheme=fd(t,a),this.authority=n||ne,this.path=gd(this.scheme,r||ne),this.query=i||ne,this.fragment=s||ne,md(this,a))}get fsPath(){return ei(this,!1)}with(t){if(!t)return this;let{scheme:n,authority:r,path:i,query:s,fragment:a}=t;return n===void 0?n=this.scheme:n===null&&(n=ne),r===void 0?r=this.authority:r===null&&(r=ne),i===void 0?i=this.path:i===null&&(i=ne),s===void 0?s=this.query:s===null&&(s=ne),a===void 0?a=this.fragment:a===null&&(a=ne),n===this.scheme&&r===this.authority&&i===this.path&&s===this.query&&a===this.fragment?this:new Ot(n,r,i,s,a)}static parse(t,n=!1){const r=bd.exec(t);return r?new Ot(r[2]||ne,Hn(r[4]||ne),Hn(r[5]||ne),Hn(r[7]||ne),Hn(r[9]||ne),n):new Ot(ne,ne,ne,ne,ne)}static file(t){let n=ne;if(ln&&(t=t.replace(/\\/g,Te)),t[0]===Te&&t[1]===Te){const r=t.indexOf(Te,2);r===-1?(n=t.substring(2),t=Te):(n=t.substring(2,r),t=t.substring(r)||Te)}return new Ot("file",n,t,ne,ne)}static from(t,n){return new Ot(t.scheme,t.authority,t.path,t.query,t.fragment,n)}static joinPath(t,...n){if(!t.path)throw new Error("[UriError]: cannot call joinPath on URI without path");let r;return ln&&t.scheme==="file"?r=Wr.file(we.join(ei(t,!0),...n)).path:r=ye.join(t.path,...n),t.with({path:r})}toString(t=!1){return ti(this,t)}toJSON(){return this}static revive(t){if(t){if(t instanceof Wr)return t;{const n=new Ot(t);return n._formatted=t.external??null,n._fsPath=t._sep===Zs?t.fsPath??null:null,n}}else return t}};const Zs=ln?1:void 0;class Ot extends $e{constructor(){super(...arguments),this._formatted=null,this._fsPath=null}get fsPath(){return this._fsPath||(this._fsPath=ei(this,!1)),this._fsPath}toString(t=!1){return t?ti(this,!0):(this._formatted||(this._formatted=ti(this,!1)),this._formatted)}toJSON(){const t={$mid:1};return this._fsPath&&(t.fsPath=this._fsPath,t._sep=Zs),this._formatted&&(t.external=this._formatted),this.path&&(t.path=this.path),this.scheme&&(t.scheme=this.scheme),this.authority&&(t.authority=this.authority),this.query&&(t.query=this.query),this.fragment&&(t.fragment=this.fragment),t}}const ea={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function ta(e,t,n){let r,i=-1;for(let s=0;s<e.length;s++){const a=e.charCodeAt(s);if(a>=97&&a<=122||a>=65&&a<=90||a>=48&&a<=57||a===45||a===46||a===95||a===126||t&&a===47||n&&a===91||n&&a===93||n&&a===58)i!==-1&&(r+=encodeURIComponent(e.substring(i,s)),i=-1),r!==void 0&&(r+=e.charAt(s));else{r===void 0&&(r=e.substr(0,s));const l=ea[a];l!==void 0?(i!==-1&&(r+=encodeURIComponent(e.substring(i,s)),i=-1),r+=l):i===-1&&(i=s)}}return i!==-1&&(r+=encodeURIComponent(e.substring(i))),r!==void 0?r:e}function vd(e){let t;for(let n=0;n<e.length;n++){const r=e.charCodeAt(n);r===35||r===63?(t===void 0&&(t=e.substr(0,n)),t+=ea[r]):t!==void 0&&(t+=e[n])}return t!==void 0?t:e}function ei(e,t){let n;return e.authority&&e.path.length>1&&e.scheme==="file"?n=`//${e.authority}${e.path}`:e.path.charCodeAt(0)===47&&(e.path.charCodeAt(1)>=65&&e.path.charCodeAt(1)<=90||e.path.charCodeAt(1)>=97&&e.path.charCodeAt(1)<=122)&&e.path.charCodeAt(2)===58?t?n=e.path.substr(1):n=e.path[1].toLowerCase()+e.path.substr(2):n=e.path,ln&&(n=n.replace(/\//g,"\\")),n}function ti(e,t){const n=t?vd:ta;let r="",{scheme:i,authority:s,path:a,query:l,fragment:o}=e;if(i&&(r+=i,r+=":"),(s||i==="file")&&(r+=Te,r+=Te),s){let c=s.indexOf("@");if(c!==-1){const d=s.substr(0,c);s=s.substr(c+1),c=d.lastIndexOf(":"),c===-1?r+=n(d,!1,!1):(r+=n(d.substr(0,c),!1,!1),r+=":",r+=n(d.substr(c+1),!1,!0)),r+="@"}s=s.toLowerCase(),c=s.lastIndexOf(":"),c===-1?r+=n(s,!1,!0):(r+=n(s.substr(0,c),!1,!0),r+=s.substr(c))}if(a){if(a.length>=3&&a.charCodeAt(0)===47&&a.charCodeAt(2)===58){const c=a.charCodeAt(1);c>=65&&c<=90&&(a=`/${String.fromCharCode(c+32)}:${a.substr(3)}`)}else if(a.length>=2&&a.charCodeAt(1)===58){const c=a.charCodeAt(0);c>=65&&c<=90&&(a=`${String.fromCharCode(c+32)}:${a.substr(2)}`)}r+=n(a,!0,!1)}return l&&(r+="?",r+=n(l,!1,!1)),o&&(r+="#",r+=t?o:ta(o,!1,!1)),r}function na(e){try{return decodeURIComponent(e)}catch{return e.length>3?e.substr(0,3)+na(e.substr(3)):e}}const ra=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function Hn(e){return e.match(ra)?e.replace(ra,t=>na(t)):e}var mt;(function(e){e.inMemory="inmemory",e.vscode="vscode",e.internal="private",e.walkThrough="walkThrough",e.walkThroughSnippet="walkThroughSnippet",e.http="http",e.https="https",e.file="file",e.mailto="mailto",e.untitled="untitled",e.data="data",e.command="command",e.vscodeRemote="vscode-remote",e.vscodeRemoteResource="vscode-remote-resource",e.vscodeManagedRemoteResource="vscode-managed-remote-resource",e.vscodeUserData="vscode-userdata",e.vscodeCustomEditor="vscode-custom-editor",e.vscodeNotebookCell="vscode-notebook-cell",e.vscodeNotebookCellMetadata="vscode-notebook-cell-metadata",e.vscodeNotebookCellMetadataDiff="vscode-notebook-cell-metadata-diff",e.vscodeNotebookCellOutput="vscode-notebook-cell-output",e.vscodeNotebookCellOutputDiff="vscode-notebook-cell-output-diff",e.vscodeNotebookMetadata="vscode-notebook-metadata",e.vscodeInteractiveInput="vscode-interactive-input",e.vscodeSettings="vscode-settings",e.vscodeWorkspaceTrust="vscode-workspace-trust",e.vscodeTerminal="vscode-terminal",e.vscodeChatCodeBlock="vscode-chat-code-block",e.vscodeChatCodeCompareBlock="vscode-chat-code-compare-block",e.vscodeChatSesssion="vscode-chat-editor",e.webviewPanel="webview-panel",e.vscodeWebview="vscode-webview",e.extension="extension",e.vscodeFileResource="vscode-file",e.tmp="tmp",e.vsls="vsls",e.vscodeSourceControl="vscode-scm",e.commentsInput="comment",e.codeSetting="code-setting",e.outputChannel="output"})(mt||(mt={}));const wd="tkn";class yd{constructor(){this._hosts=Object.create(null),this._ports=Object.create(null),this._connectionTokens=Object.create(null),this._preferredWebSchema="http",this._delegate=null,this._serverRootPath="/"}setPreferredWebSchema(t){this._preferredWebSchema=t}get _remoteResourcesPath(){return ye.join(this._serverRootPath,mt.vscodeRemoteResource)}rewrite(t){if(this._delegate)try{return this._delegate(t)}catch(l){return Ue(l),t}const n=t.authority;let r=this._hosts[n];r&&r.indexOf(":")!==-1&&r.indexOf("[")===-1&&(r=`[${r}]`);const i=this._ports[n],s=this._connectionTokens[n];let a=`path=${encodeURIComponent(t.path)}`;return typeof s=="string"&&(a+=`&${wd}=${encodeURIComponent(s)}`),$e.from({scheme:Vh?this._preferredWebSchema:mt.vscodeRemoteResource,authority:`${r}:${i}`,path:this._remoteResourcesPath,query:a})}}const xd=new yd,Sd="vscode-app",zn=class zn{asBrowserUri(t){const n=this.toUri(t);return this.uriToBrowserUri(n)}uriToBrowserUri(t){return t.scheme===mt.vscodeRemote?xd.rewrite(t):t.scheme===mt.file&&(Uh||$h===`${mt.vscodeFileResource}://${zn.FALLBACK_AUTHORITY}`)?t.with({scheme:mt.vscodeFileResource,authority:t.authority||zn.FALLBACK_AUTHORITY,query:null,fragment:null}):t}toUri(t,n){if($e.isUri(t))return t;if(globalThis._VSCODE_FILE_ROOT){const r=globalThis._VSCODE_FILE_ROOT;if(/^\w[\w\d+.-]*:\/\//.test(r))return $e.joinPath($e.parse(r,!0),t);const i=hd(r,t);return $e.file(i)}return $e.parse(n.toUrl(t))}};zn.FALLBACK_AUTHORITY=Sd;let ni=zn;const ia=new ni;var sa;(function(e){const t=new Map([["1",{"Cross-Origin-Opener-Policy":"same-origin"}],["2",{"Cross-Origin-Embedder-Policy":"require-corp"}],["3",{"Cross-Origin-Opener-Policy":"same-origin","Cross-Origin-Embedder-Policy":"require-corp"}]]);e.CoopAndCoep=Object.freeze(t.get("3"));const n="vscode-coi";function r(s){let a;typeof s=="string"?a=new URL(s).searchParams:s instanceof URL?a=s.searchParams:$e.isUri(s)&&(a=new URL(s.toString(!0)).searchParams);const l=a==null?void 0:a.get(n);if(l)return t.get(l)}e.getHeadersFromQuery=r;function i(s,a,l){if(!globalThis.crossOriginIsolated)return;const o=a&&l?"3":l?"2":"1";s instanceof URLSearchParams?s.set(n,o):s[n]=o}e.addSearchParam=i})(sa||(sa={}));const ri="default",Cd="$initialize";class _d{constructor(t,n,r,i,s){this.vsWorker=t,this.req=n,this.channel=r,this.method=i,this.args=s,this.type=0}}class aa{constructor(t,n,r,i){this.vsWorker=t,this.seq=n,this.res=r,this.err=i,this.type=1}}class kd{constructor(t,n,r,i,s){this.vsWorker=t,this.req=n,this.channel=r,this.eventName=i,this.arg=s,this.type=2}}class Ed{constructor(t,n,r){this.vsWorker=t,this.req=n,this.event=r,this.type=3}}class Fd{constructor(t,n){this.vsWorker=t,this.req=n,this.type=4}}class Rd{constructor(t){this._workerId=-1,this._handler=t,this._lastSentReq=0,this._pendingReplies=Object.create(null),this._pendingEmitters=new Map,this._pendingEvents=new Map}setWorkerId(t){this._workerId=t}sendMessage(t,n,r){const i=String(++this._lastSentReq);return new Promise((s,a)=>{this._pendingReplies[i]={resolve:s,reject:a},this._send(new _d(this._workerId,i,t,n,r))})}listen(t,n,r){let i=null;const s=new De({onWillAddFirstListener:()=>{i=String(++this._lastSentReq),this._pendingEmitters.set(i,s),this._send(new kd(this._workerId,i,t,n,r))},onDidRemoveLastListener:()=>{this._pendingEmitters.delete(i),this._send(new Fd(this._workerId,i)),i=null}});return s.event}handleMessage(t){!t||!t.vsWorker||this._workerId!==-1&&t.vsWorker!==this._workerId||this._handleMessage(t)}createProxyToRemoteChannel(t,n){const r={get:(i,s)=>(typeof s=="string"&&!i[s]&&(la(s)?i[s]=a=>this.listen(t,s,a):oa(s)?i[s]=this.listen(t,s,void 0):s.charCodeAt(0)===36&&(i[s]=async(...a)=>(await(n==null?void 0:n()),this.sendMessage(t,s,a)))),i[s])};return new Proxy(Object.create(null),r)}_handleMessage(t){switch(t.type){case 1:return this._handleReplyMessage(t);case 0:return this._handleRequestMessage(t);case 2:return this._handleSubscribeEventMessage(t);case 3:return this._handleEventMessage(t);case 4:return this._handleUnsubscribeEventMessage(t)}}_handleReplyMessage(t){if(!this._pendingReplies[t.seq]){console.warn("Got reply to unknown seq");return}const n=this._pendingReplies[t.seq];if(delete this._pendingReplies[t.seq],t.err){let r=t.err;t.err.$isError&&(r=new Error,r.name=t.err.name,r.message=t.err.message,r.stack=t.err.stack),n.reject(r);return}n.resolve(t.res)}_handleRequestMessage(t){const n=t.req;this._handler.handleMessage(t.channel,t.method,t.args).then(i=>{this._send(new aa(this._workerId,n,i,void 0))},i=>{i.detail instanceof Error&&(i.detail=Vs(i.detail)),this._send(new aa(this._workerId,n,void 0,Vs(i)))})}_handleSubscribeEventMessage(t){const n=t.req,r=this._handler.handleEvent(t.channel,t.eventName,t.arg)(i=>{this._send(new Ed(this._workerId,n,i))});this._pendingEvents.set(n,r)}_handleEventMessage(t){if(!this._pendingEmitters.has(t.req)){console.warn("Got event for unknown req");return}this._pendingEmitters.get(t.req).fire(t.event)}_handleUnsubscribeEventMessage(t){if(!this._pendingEvents.has(t.req)){console.warn("Got unsubscribe for unknown req");return}this._pendingEvents.get(t.req).dispose(),this._pendingEvents.delete(t.req)}_send(t){const n=[];if(t.type===0)for(let r=0;r<t.args.length;r++)t.args[r]instanceof ArrayBuffer&&n.push(t.args[r]);else t.type===1&&t.res instanceof ArrayBuffer&&n.push(t.res);this._handler.sendMessage(t,n)}}function oa(e){return e[0]==="o"&&e[1]==="n"&&Js(e.charCodeAt(2))}function la(e){return/^onDynamic/.test(e)&&Js(e.charCodeAt(9))}class Nd{constructor(t,n){this._localChannels=new Map,this._remoteChannels=new Map,this._requestHandlerFactory=n,this._requestHandler=null,this._protocol=new Rd({sendMessage:(r,i)=>{t(r,i)},handleMessage:(r,i,s)=>this._handleMessage(r,i,s),handleEvent:(r,i,s)=>this._handleEvent(r,i,s)})}onmessage(t){this._protocol.handleMessage(t)}_handleMessage(t,n,r){if(t===ri&&n===Cd)return this.initialize(r[0],r[1],r[2]);const i=t===ri?this._requestHandler:this._localChannels.get(t);if(!i)return Promise.reject(new Error(`Missing channel ${t} on worker thread`));if(typeof i[n]!="function")return Promise.reject(new Error(`Missing method ${n} on worker thread channel ${t}`));try{return Promise.resolve(i[n].apply(i,r))}catch(s){return Promise.reject(s)}}_handleEvent(t,n,r){const i=t===ri?this._requestHandler:this._localChannels.get(t);if(!i)throw new Error(`Missing channel ${t} on worker thread`);if(la(n)){const s=i[n].call(i,r);if(typeof s!="function")throw new Error(`Missing dynamic event ${n} on request handler.`);return s}if(oa(n)){const s=i[n];if(typeof s!="function")throw new Error(`Missing event ${n} on request handler.`);return s}throw new Error(`Malformed event name ${n}`)}getChannel(t){if(!this._remoteChannels.has(t)){const n=this._protocol.createProxyToRemoteChannel(t);this._remoteChannels.set(t,n)}return this._remoteChannels.get(t)}async initialize(t,n,r){if(this._protocol.setWorkerId(t),this._requestHandlerFactory){this._requestHandler=this._requestHandlerFactory(this);return}return n&&(typeof n.baseUrl<"u"&&delete n.baseUrl,typeof n.paths<"u"&&typeof n.paths.vs<"u"&&delete n.paths.vs,typeof n.trustedTypesPolicy<"u"&&delete n.trustedTypesPolicy,n.catchError=!0,globalThis.require.config(n)),import(`${ia.asBrowserUri(`${r}.js`).toString(!0)}`).then(s=>{if(this._requestHandler=s.create(this),!this._requestHandler)throw new Error("No RequestHandler!")})}}class ft{constructor(t,n,r,i){this.originalStart=t,this.originalLength=n,this.modifiedStart=r,this.modifiedLength=i}getOriginalEnd(){return this.originalStart+this.originalLength}getModifiedEnd(){return this.modifiedStart+this.modifiedLength}}function ca(e,t){return(t<<5)-t+e|0}function Dd(e,t){t=ca(149417,t);for(let n=0,r=e.length;n<r;n++)t=ca(e.charCodeAt(n),t);return t}function ii(e,t,n=32){const r=n-t,i=~((1<<r)-1);return(e<<t|(i&e)>>>r)>>>0}function ha(e,t=0,n=e.byteLength,r=0){for(let i=0;i<n;i++)e[t+i]=r}function Id(e,t,n="0"){for(;e.length<t;)e=n+e;return e}function dn(e,t=32){return e instanceof ArrayBuffer?Array.from(new Uint8Array(e)).map(n=>n.toString(16).padStart(2,"0")).join(""):Id((e>>>0).toString(16),t/4)}const Mr=class Mr{constructor(){this._h0=1732584193,this._h1=4023233417,this._h2=2562383102,this._h3=271733878,this._h4=3285377520,this._buff=new Uint8Array(67),this._buffDV=new DataView(this._buff.buffer),this._buffLen=0,this._totalLen=0,this._leftoverHighSurrogate=0,this._finished=!1}update(t){const n=t.length;if(n===0)return;const r=this._buff;let i=this._buffLen,s=this._leftoverHighSurrogate,a,l;for(s!==0?(a=s,l=-1,s=0):(a=t.charCodeAt(0),l=0);;){let o=a;if(Bn(a))if(l+1<n){const c=t.charCodeAt(l+1);Qr(c)?(l++,o=Xs(a,c)):o=65533}else{s=a;break}else Qr(a)&&(o=65533);if(i=this._push(r,i,o),l++,l<n)a=t.charCodeAt(l);else break}this._buffLen=i,this._leftoverHighSurrogate=s}_push(t,n,r){return r<128?t[n++]=r:r<2048?(t[n++]=192|(r&1984)>>>6,t[n++]=128|(r&63)>>>0):r<65536?(t[n++]=224|(r&61440)>>>12,t[n++]=128|(r&4032)>>>6,t[n++]=128|(r&63)>>>0):(t[n++]=240|(r&1835008)>>>18,t[n++]=128|(r&258048)>>>12,t[n++]=128|(r&4032)>>>6,t[n++]=128|(r&63)>>>0),n>=64&&(this._step(),n-=64,this._totalLen+=64,t[0]=t[64],t[1]=t[65],t[2]=t[66]),n}digest(){return this._finished||(this._finished=!0,this._leftoverHighSurrogate&&(this._leftoverHighSurrogate=0,this._buffLen=this._push(this._buff,this._buffLen,65533)),this._totalLen+=this._buffLen,this._wrapUp()),dn(this._h0)+dn(this._h1)+dn(this._h2)+dn(this._h3)+dn(this._h4)}_wrapUp(){this._buff[this._buffLen++]=128,ha(this._buff,this._buffLen),this._buffLen>56&&(this._step(),ha(this._buff));const t=8*this._totalLen;this._buffDV.setUint32(56,Math.floor(t/4294967296),!1),this._buffDV.setUint32(60,t%4294967296,!1),this._step()}_step(){const t=Mr._bigBlock32,n=this._buffDV;for(let u=0;u<64;u+=4)t.setUint32(u,n.getUint32(u,!1),!1);for(let u=64;u<320;u+=4)t.setUint32(u,ii(t.getUint32(u-12,!1)^t.getUint32(u-32,!1)^t.getUint32(u-56,!1)^t.getUint32(u-64,!1),1),!1);let r=this._h0,i=this._h1,s=this._h2,a=this._h3,l=this._h4,o,c,d;for(let u=0;u<80;u++)u<20?(o=i&s|~i&a,c=1518500249):u<40?(o=i^s^a,c=1859775393):u<60?(o=i&s|i&a|s&a,c=2400959708):(o=i^s^a,c=3395469782),d=ii(r,5)+o+l+c+t.getUint32(u*4,!1)&4294967295,l=a,a=s,s=ii(i,30),i=r,r=d;this._h0=this._h0+r&4294967295,this._h1=this._h1+i&4294967295,this._h2=this._h2+s&4294967295,this._h3=this._h3+a&4294967295,this._h4=this._h4+l&4294967295}};Mr._bigBlock32=new DataView(new ArrayBuffer(320));let da=Mr;class ua{constructor(t){this.source=t}getElements(){const t=this.source,n=new Int32Array(t.length);for(let r=0,i=t.length;r<i;r++)n[r]=t.charCodeAt(r);return n}}function Ad(e,t,n){return new gt(new ua(e),new ua(t)).ComputeDiff(n).changes}class Wt{static Assert(t,n){if(!t)throw new Error(n)}}class Ut{static Copy(t,n,r,i,s){for(let a=0;a<s;a++)r[i+a]=t[n+a]}static Copy2(t,n,r,i,s){for(let a=0;a<s;a++)r[i+a]=t[n+a]}}class pa{constructor(){this.m_changes=[],this.m_originalStart=1073741824,this.m_modifiedStart=1073741824,this.m_originalCount=0,this.m_modifiedCount=0}MarkNextChange(){(this.m_originalCount>0||this.m_modifiedCount>0)&&this.m_changes.push(new ft(this.m_originalStart,this.m_originalCount,this.m_modifiedStart,this.m_modifiedCount)),this.m_originalCount=0,this.m_modifiedCount=0,this.m_originalStart=1073741824,this.m_modifiedStart=1073741824}AddOriginalElement(t,n){this.m_originalStart=Math.min(this.m_originalStart,t),this.m_modifiedStart=Math.min(this.m_modifiedStart,n),this.m_originalCount++}AddModifiedElement(t,n){this.m_originalStart=Math.min(this.m_originalStart,t),this.m_modifiedStart=Math.min(this.m_modifiedStart,n),this.m_modifiedCount++}getChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes}getReverseChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes.reverse(),this.m_changes}}class gt{constructor(t,n,r=null){this.ContinueProcessingPredicate=r,this._originalSequence=t,this._modifiedSequence=n;const[i,s,a]=gt._getElements(t),[l,o,c]=gt._getElements(n);this._hasStrings=a&&c,this._originalStringElements=i,this._originalElementsOrHash=s,this._modifiedStringElements=l,this._modifiedElementsOrHash=o,this.m_forwardHistory=[],this.m_reverseHistory=[]}static _isStringArray(t){return t.length>0&&typeof t[0]=="string"}static _getElements(t){const n=t.getElements();if(gt._isStringArray(n)){const r=new Int32Array(n.length);for(let i=0,s=n.length;i<s;i++)r[i]=Dd(n[i],0);return[n,r,!0]}return n instanceof Int32Array?[[],n,!1]:[[],new Int32Array(n),!1]}ElementsAreEqual(t,n){return this._originalElementsOrHash[t]!==this._modifiedElementsOrHash[n]?!1:this._hasStrings?this._originalStringElements[t]===this._modifiedStringElements[n]:!0}ElementsAreStrictEqual(t,n){if(!this.ElementsAreEqual(t,n))return!1;const r=gt._getStrictElement(this._originalSequence,t),i=gt._getStrictElement(this._modifiedSequence,n);return r===i}static _getStrictElement(t,n){return typeof t.getStrictElement=="function"?t.getStrictElement(n):null}OriginalElementsAreEqual(t,n){return this._originalElementsOrHash[t]!==this._originalElementsOrHash[n]?!1:this._hasStrings?this._originalStringElements[t]===this._originalStringElements[n]:!0}ModifiedElementsAreEqual(t,n){return this._modifiedElementsOrHash[t]!==this._modifiedElementsOrHash[n]?!1:this._hasStrings?this._modifiedStringElements[t]===this._modifiedStringElements[n]:!0}ComputeDiff(t){return this._ComputeDiff(0,this._originalElementsOrHash.length-1,0,this._modifiedElementsOrHash.length-1,t)}_ComputeDiff(t,n,r,i,s){const a=[!1];let l=this.ComputeDiffRecursive(t,n,r,i,a);return s&&(l=this.PrettifyChanges(l)),{quitEarly:a[0],changes:l}}ComputeDiffRecursive(t,n,r,i,s){for(s[0]=!1;t<=n&&r<=i&&this.ElementsAreEqual(t,r);)t++,r++;for(;n>=t&&i>=r&&this.ElementsAreEqual(n,i);)n--,i--;if(t>n||r>i){let u;return r<=i?(Wt.Assert(t===n+1,"originalStart should only be one more than originalEnd"),u=[new ft(t,0,r,i-r+1)]):t<=n?(Wt.Assert(r===i+1,"modifiedStart should only be one more than modifiedEnd"),u=[new ft(t,n-t+1,r,0)]):(Wt.Assert(t===n+1,"originalStart should only be one more than originalEnd"),Wt.Assert(r===i+1,"modifiedStart should only be one more than modifiedEnd"),u=[]),u}const a=[0],l=[0],o=this.ComputeRecursionPoint(t,n,r,i,a,l,s),c=a[0],d=l[0];if(o!==null)return o;if(!s[0]){const u=this.ComputeDiffRecursive(t,c,r,d,s);let f=[];return s[0]?f=[new ft(c+1,n-(c+1)+1,d+1,i-(d+1)+1)]:f=this.ComputeDiffRecursive(c+1,n,d+1,i,s),this.ConcatenateChanges(u,f)}return[new ft(t,n-t+1,r,i-r+1)]}WALKTRACE(t,n,r,i,s,a,l,o,c,d,u,f,m,v,w,k,R,I){let N=null,E=null,F=new pa,M=n,T=r,P=m[0]-k[0]-i,j=-1073741824,ee=this.m_forwardHistory.length-1;do{const A=P+t;A===M||A<T&&c[A-1]<c[A+1]?(u=c[A+1],v=u-P-i,u<j&&F.MarkNextChange(),j=u,F.AddModifiedElement(u+1,v),P=A+1-t):(u=c[A-1]+1,v=u-P-i,u<j&&F.MarkNextChange(),j=u-1,F.AddOriginalElement(u,v+1),P=A-1-t),ee>=0&&(c=this.m_forwardHistory[ee],t=c[0],M=1,T=c.length-1)}while(--ee>=-1);if(N=F.getReverseChanges(),I[0]){let A=m[0]+1,_=k[0]+1;if(N!==null&&N.length>0){const C=N[N.length-1];A=Math.max(A,C.getOriginalEnd()),_=Math.max(_,C.getModifiedEnd())}E=[new ft(A,f-A+1,_,w-_+1)]}else{F=new pa,M=a,T=l,P=m[0]-k[0]-o,j=1073741824,ee=R?this.m_reverseHistory.length-1:this.m_reverseHistory.length-2;do{const A=P+s;A===M||A<T&&d[A-1]>=d[A+1]?(u=d[A+1]-1,v=u-P-o,u>j&&F.MarkNextChange(),j=u+1,F.AddOriginalElement(u+1,v+1),P=A+1-s):(u=d[A-1],v=u-P-o,u>j&&F.MarkNextChange(),j=u,F.AddModifiedElement(u+1,v+1),P=A-1-s),ee>=0&&(d=this.m_reverseHistory[ee],s=d[0],M=1,T=d.length-1)}while(--ee>=-1);E=F.getChanges()}return this.ConcatenateChanges(N,E)}ComputeRecursionPoint(t,n,r,i,s,a,l){let o=0,c=0,d=0,u=0,f=0,m=0;t--,r--,s[0]=0,a[0]=0,this.m_forwardHistory=[],this.m_reverseHistory=[];const v=n-t+(i-r),w=v+1,k=new Int32Array(w),R=new Int32Array(w),I=i-r,N=n-t,E=t-r,F=n-i,T=(N-I)%2===0;k[I]=t,R[N]=n,l[0]=!1;for(let P=1;P<=v/2+1;P++){let j=0,ee=0;d=this.ClipDiagonalBound(I-P,P,I,w),u=this.ClipDiagonalBound(I+P,P,I,w);for(let _=d;_<=u;_+=2){_===d||_<u&&k[_-1]<k[_+1]?o=k[_+1]:o=k[_-1]+1,c=o-(_-I)-E;const C=o;for(;o<n&&c<i&&this.ElementsAreEqual(o+1,c+1);)o++,c++;if(k[_]=o,o+c>j+ee&&(j=o,ee=c),!T&&Math.abs(_-N)<=P-1&&o>=R[_])return s[0]=o,a[0]=c,C<=R[_]&&P<=1448?this.WALKTRACE(I,d,u,E,N,f,m,F,k,R,o,n,s,c,i,a,T,l):null}const A=(j-t+(ee-r)-P)/2;if(this.ContinueProcessingPredicate!==null&&!this.ContinueProcessingPredicate(j,A))return l[0]=!0,s[0]=j,a[0]=ee,A>0&&P<=1448?this.WALKTRACE(I,d,u,E,N,f,m,F,k,R,o,n,s,c,i,a,T,l):(t++,r++,[new ft(t,n-t+1,r,i-r+1)]);f=this.ClipDiagonalBound(N-P,P,N,w),m=this.ClipDiagonalBound(N+P,P,N,w);for(let _=f;_<=m;_+=2){_===f||_<m&&R[_-1]>=R[_+1]?o=R[_+1]-1:o=R[_-1],c=o-(_-N)-F;const C=o;for(;o>t&&c>r&&this.ElementsAreEqual(o,c);)o--,c--;if(R[_]=o,T&&Math.abs(_-I)<=P&&o<=k[_])return s[0]=o,a[0]=c,C>=k[_]&&P<=1448?this.WALKTRACE(I,d,u,E,N,f,m,F,k,R,o,n,s,c,i,a,T,l):null}if(P<=1447){let _=new Int32Array(u-d+2);_[0]=I-d+1,Ut.Copy2(k,d,_,1,u-d+1),this.m_forwardHistory.push(_),_=new Int32Array(m-f+2),_[0]=N-f+1,Ut.Copy2(R,f,_,1,m-f+1),this.m_reverseHistory.push(_)}}return this.WALKTRACE(I,d,u,E,N,f,m,F,k,R,o,n,s,c,i,a,T,l)}PrettifyChanges(t){for(let n=0;n<t.length;n++){const r=t[n],i=n<t.length-1?t[n+1].originalStart:this._originalElementsOrHash.length,s=n<t.length-1?t[n+1].modifiedStart:this._modifiedElementsOrHash.length,a=r.originalLength>0,l=r.modifiedLength>0;for(;r.originalStart+r.originalLength<i&&r.modifiedStart+r.modifiedLength<s&&(!a||this.OriginalElementsAreEqual(r.originalStart,r.originalStart+r.originalLength))&&(!l||this.ModifiedElementsAreEqual(r.modifiedStart,r.modifiedStart+r.modifiedLength));){const c=this.ElementsAreStrictEqual(r.originalStart,r.modifiedStart);if(this.ElementsAreStrictEqual(r.originalStart+r.originalLength,r.modifiedStart+r.modifiedLength)&&!c)break;r.originalStart++,r.modifiedStart++}const o=[null];if(n<t.length-1&&this.ChangesOverlap(t[n],t[n+1],o)){t[n]=o[0],t.splice(n+1,1),n--;continue}}for(let n=t.length-1;n>=0;n--){const r=t[n];let i=0,s=0;if(n>0){const u=t[n-1];i=u.originalStart+u.originalLength,s=u.modifiedStart+u.modifiedLength}const a=r.originalLength>0,l=r.modifiedLength>0;let o=0,c=this._boundaryScore(r.originalStart,r.originalLength,r.modifiedStart,r.modifiedLength);for(let u=1;;u++){const f=r.originalStart-u,m=r.modifiedStart-u;if(f<i||m<s||a&&!this.OriginalElementsAreEqual(f,f+r.originalLength)||l&&!this.ModifiedElementsAreEqual(m,m+r.modifiedLength))break;const w=(f===i&&m===s?5:0)+this._boundaryScore(f,r.originalLength,m,r.modifiedLength);w>c&&(c=w,o=u)}r.originalStart-=o,r.modifiedStart-=o;const d=[null];if(n>0&&this.ChangesOverlap(t[n-1],t[n],d)){t[n-1]=d[0],t.splice(n,1),n++;continue}}if(this._hasStrings)for(let n=1,r=t.length;n<r;n++){const i=t[n-1],s=t[n],a=s.originalStart-i.originalStart-i.originalLength,l=i.originalStart,o=s.originalStart+s.originalLength,c=o-l,d=i.modifiedStart,u=s.modifiedStart+s.modifiedLength,f=u-d;if(a<5&&c<20&&f<20){const m=this._findBetterContiguousSequence(l,c,d,f,a);if(m){const[v,w]=m;(v!==i.originalStart+i.originalLength||w!==i.modifiedStart+i.modifiedLength)&&(i.originalLength=v-i.originalStart,i.modifiedLength=w-i.modifiedStart,s.originalStart=v+a,s.modifiedStart=w+a,s.originalLength=o-s.originalStart,s.modifiedLength=u-s.modifiedStart)}}}return t}_findBetterContiguousSequence(t,n,r,i,s){if(n<s||i<s)return null;const a=t+n-s+1,l=r+i-s+1;let o=0,c=0,d=0;for(let u=t;u<a;u++)for(let f=r;f<l;f++){const m=this._contiguousSequenceScore(u,f,s);m>0&&m>o&&(o=m,c=u,d=f)}return o>0?[c,d]:null}_contiguousSequenceScore(t,n,r){let i=0;for(let s=0;s<r;s++){if(!this.ElementsAreEqual(t+s,n+s))return 0;i+=this._originalStringElements[t+s].length}return i}_OriginalIsBoundary(t){return t<=0||t>=this._originalElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._originalStringElements[t])}_OriginalRegionIsBoundary(t,n){if(this._OriginalIsBoundary(t)||this._OriginalIsBoundary(t-1))return!0;if(n>0){const r=t+n;if(this._OriginalIsBoundary(r-1)||this._OriginalIsBoundary(r))return!0}return!1}_ModifiedIsBoundary(t){return t<=0||t>=this._modifiedElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._modifiedStringElements[t])}_ModifiedRegionIsBoundary(t,n){if(this._ModifiedIsBoundary(t)||this._ModifiedIsBoundary(t-1))return!0;if(n>0){const r=t+n;if(this._ModifiedIsBoundary(r-1)||this._ModifiedIsBoundary(r))return!0}return!1}_boundaryScore(t,n,r,i){const s=this._OriginalRegionIsBoundary(t,n)?1:0,a=this._ModifiedRegionIsBoundary(r,i)?1:0;return s+a}ConcatenateChanges(t,n){const r=[];if(t.length===0||n.length===0)return n.length>0?n:t;if(this.ChangesOverlap(t[t.length-1],n[0],r)){const i=new Array(t.length+n.length-1);return Ut.Copy(t,0,i,0,t.length-1),i[t.length-1]=r[0],Ut.Copy(n,1,i,t.length,n.length-1),i}else{const i=new Array(t.length+n.length);return Ut.Copy(t,0,i,0,t.length),Ut.Copy(n,0,i,t.length,n.length),i}}ChangesOverlap(t,n,r){if(Wt.Assert(t.originalStart<=n.originalStart,"Left change is not less than or equal to right change"),Wt.Assert(t.modifiedStart<=n.modifiedStart,"Left change is not less than or equal to right change"),t.originalStart+t.originalLength>=n.originalStart||t.modifiedStart+t.modifiedLength>=n.modifiedStart){const i=t.originalStart;let s=t.originalLength;const a=t.modifiedStart;let l=t.modifiedLength;return t.originalStart+t.originalLength>=n.originalStart&&(s=n.originalStart+n.originalLength-t.originalStart),t.modifiedStart+t.modifiedLength>=n.modifiedStart&&(l=n.modifiedStart+n.modifiedLength-t.modifiedStart),r[0]=new ft(i,s,a,l),!0}else return r[0]=null,!1}ClipDiagonalBound(t,n,r,i){if(t>=0&&t<i)return t;const s=r,a=i-r-1,l=n%2===0;if(t<0){const o=s%2===0;return l===o?0:1}else{const o=a%2===0;return l===o?i-1:i-2}}}let he=class Mt{constructor(t,n){this.lineNumber=t,this.column=n}with(t=this.lineNumber,n=this.column){return t===this.lineNumber&&n===this.column?this:new Mt(t,n)}delta(t=0,n=0){return this.with(this.lineNumber+t,this.column+n)}equals(t){return Mt.equals(this,t)}static equals(t,n){return!t&&!n?!0:!!t&&!!n&&t.lineNumber===n.lineNumber&&t.column===n.column}isBefore(t){return Mt.isBefore(this,t)}static isBefore(t,n){return t.lineNumber<n.lineNumber?!0:n.lineNumber<t.lineNumber?!1:t.column<n.column}isBeforeOrEqual(t){return Mt.isBeforeOrEqual(this,t)}static isBeforeOrEqual(t,n){return t.lineNumber<n.lineNumber?!0:n.lineNumber<t.lineNumber?!1:t.column<=n.column}static compare(t,n){const r=t.lineNumber|0,i=n.lineNumber|0;if(r===i){const s=t.column|0,a=n.column|0;return s-a}return r-i}clone(){return new Mt(this.lineNumber,this.column)}toString(){return"("+this.lineNumber+","+this.column+")"}static lift(t){return new Mt(t.lineNumber,t.column)}static isIPosition(t){return t&&typeof t.lineNumber=="number"&&typeof t.column=="number"}toJSON(){return{lineNumber:this.lineNumber,column:this.column}}},Q=class ue{constructor(t,n,r,i){t>r||t===r&&n>i?(this.startLineNumber=r,this.startColumn=i,this.endLineNumber=t,this.endColumn=n):(this.startLineNumber=t,this.startColumn=n,this.endLineNumber=r,this.endColumn=i)}isEmpty(){return ue.isEmpty(this)}static isEmpty(t){return t.startLineNumber===t.endLineNumber&&t.startColumn===t.endColumn}containsPosition(t){return ue.containsPosition(this,t)}static containsPosition(t,n){return!(n.lineNumber<t.startLineNumber||n.lineNumber>t.endLineNumber||n.lineNumber===t.startLineNumber&&n.column<t.startColumn||n.lineNumber===t.endLineNumber&&n.column>t.endColumn)}static strictContainsPosition(t,n){return!(n.lineNumber<t.startLineNumber||n.lineNumber>t.endLineNumber||n.lineNumber===t.startLineNumber&&n.column<=t.startColumn||n.lineNumber===t.endLineNumber&&n.column>=t.endColumn)}containsRange(t){return ue.containsRange(this,t)}static containsRange(t,n){return!(n.startLineNumber<t.startLineNumber||n.endLineNumber<t.startLineNumber||n.startLineNumber>t.endLineNumber||n.endLineNumber>t.endLineNumber||n.startLineNumber===t.startLineNumber&&n.startColumn<t.startColumn||n.endLineNumber===t.endLineNumber&&n.endColumn>t.endColumn)}strictContainsRange(t){return ue.strictContainsRange(this,t)}static strictContainsRange(t,n){return!(n.startLineNumber<t.startLineNumber||n.endLineNumber<t.startLineNumber||n.startLineNumber>t.endLineNumber||n.endLineNumber>t.endLineNumber||n.startLineNumber===t.startLineNumber&&n.startColumn<=t.startColumn||n.endLineNumber===t.endLineNumber&&n.endColumn>=t.endColumn)}plusRange(t){return ue.plusRange(this,t)}static plusRange(t,n){let r,i,s,a;return n.startLineNumber<t.startLineNumber?(r=n.startLineNumber,i=n.startColumn):n.startLineNumber===t.startLineNumber?(r=n.startLineNumber,i=Math.min(n.startColumn,t.startColumn)):(r=t.startLineNumber,i=t.startColumn),n.endLineNumber>t.endLineNumber?(s=n.endLineNumber,a=n.endColumn):n.endLineNumber===t.endLineNumber?(s=n.endLineNumber,a=Math.max(n.endColumn,t.endColumn)):(s=t.endLineNumber,a=t.endColumn),new ue(r,i,s,a)}intersectRanges(t){return ue.intersectRanges(this,t)}static intersectRanges(t,n){let r=t.startLineNumber,i=t.startColumn,s=t.endLineNumber,a=t.endColumn;const l=n.startLineNumber,o=n.startColumn,c=n.endLineNumber,d=n.endColumn;return r<l?(r=l,i=o):r===l&&(i=Math.max(i,o)),s>c?(s=c,a=d):s===c&&(a=Math.min(a,d)),r>s||r===s&&i>a?null:new ue(r,i,s,a)}equalsRange(t){return ue.equalsRange(this,t)}static equalsRange(t,n){return!t&&!n?!0:!!t&&!!n&&t.startLineNumber===n.startLineNumber&&t.startColumn===n.startColumn&&t.endLineNumber===n.endLineNumber&&t.endColumn===n.endColumn}getEndPosition(){return ue.getEndPosition(this)}static getEndPosition(t){return new he(t.endLineNumber,t.endColumn)}getStartPosition(){return ue.getStartPosition(this)}static getStartPosition(t){return new he(t.startLineNumber,t.startColumn)}toString(){return"["+this.startLineNumber+","+this.startColumn+" -> "+this.endLineNumber+","+this.endColumn+"]"}setEndPosition(t,n){return new ue(this.startLineNumber,this.startColumn,t,n)}setStartPosition(t,n){return new ue(t,n,this.endLineNumber,this.endColumn)}collapseToStart(){return ue.collapseToStart(this)}static collapseToStart(t){return new ue(t.startLineNumber,t.startColumn,t.startLineNumber,t.startColumn)}collapseToEnd(){return ue.collapseToEnd(this)}static collapseToEnd(t){return new ue(t.endLineNumber,t.endColumn,t.endLineNumber,t.endColumn)}delta(t){return new ue(this.startLineNumber+t,this.startColumn,this.endLineNumber+t,this.endColumn)}static fromPositions(t,n=t){return new ue(t.lineNumber,t.column,n.lineNumber,n.column)}static lift(t){return t?new ue(t.startLineNumber,t.startColumn,t.endLineNumber,t.endColumn):null}static isIRange(t){return t&&typeof t.startLineNumber=="number"&&typeof t.startColumn=="number"&&typeof t.endLineNumber=="number"&&typeof t.endColumn=="number"}static areIntersectingOrTouching(t,n){return!(t.endLineNumber<n.startLineNumber||t.endLineNumber===n.startLineNumber&&t.endColumn<n.startColumn||n.endLineNumber<t.startLineNumber||n.endLineNumber===t.startLineNumber&&n.endColumn<t.startColumn)}static areIntersecting(t,n){return!(t.endLineNumber<n.startLineNumber||t.endLineNumber===n.startLineNumber&&t.endColumn<=n.startColumn||n.endLineNumber<t.startLineNumber||n.endLineNumber===t.startLineNumber&&n.endColumn<=t.startColumn)}static compareRangesUsingStarts(t,n){if(t&&n){const s=t.startLineNumber|0,a=n.startLineNumber|0;if(s===a){const l=t.startColumn|0,o=n.startColumn|0;if(l===o){const c=t.endLineNumber|0,d=n.endLineNumber|0;if(c===d){const u=t.endColumn|0,f=n.endColumn|0;return u-f}return c-d}return l-o}return s-a}return(t?1:0)-(n?1:0)}static compareRangesUsingEnds(t,n){return t.endLineNumber===n.endLineNumber?t.endColumn===n.endColumn?t.startLineNumber===n.startLineNumber?t.startColumn-n.startColumn:t.startLineNumber-n.startLineNumber:t.endColumn-n.endColumn:t.endLineNumber-n.endLineNumber}static spansMultipleLines(t){return t.endLineNumber>t.startLineNumber}toJSON(){return this}};function ma(e){return e<0?0:e>255?255:e|0}function Vt(e){return e<0?0:e>4294967295?4294967295:e|0}class si{constructor(t){const n=ma(t);this._defaultValue=n,this._asciiMap=si._createAsciiMap(n),this._map=new Map}static _createAsciiMap(t){const n=new Uint8Array(256);return n.fill(t),n}set(t,n){const r=ma(n);t>=0&&t<256?this._asciiMap[t]=r:this._map.set(t,r)}get(t){return t>=0&&t<256?this._asciiMap[t]:this._map.get(t)||this._defaultValue}clear(){this._asciiMap.fill(this._defaultValue),this._map.clear()}}class Md{constructor(t,n,r){const i=new Uint8Array(t*n);for(let s=0,a=t*n;s<a;s++)i[s]=r;this._data=i,this.rows=t,this.cols=n}get(t,n){return this._data[t*this.cols+n]}set(t,n,r){this._data[t*this.cols+n]=r}}class Ld{constructor(t){let n=0,r=0;for(let s=0,a=t.length;s<a;s++){const[l,o,c]=t[s];o>n&&(n=o),l>r&&(r=l),c>r&&(r=c)}n++,r++;const i=new Md(r,n,0);for(let s=0,a=t.length;s<a;s++){const[l,o,c]=t[s];i.set(l,o,c)}this._states=i,this._maxCharCode=n}nextState(t,n){return n<0||n>=this._maxCharCode?0:this._states.get(t,n)}}let ai=null;function zd(){return ai===null&&(ai=new Ld([[1,104,2],[1,72,2],[1,102,6],[1,70,6],[2,116,3],[2,84,3],[3,116,4],[3,84,4],[4,112,5],[4,80,5],[5,115,9],[5,83,9],[5,58,10],[6,105,7],[6,73,7],[7,108,8],[7,76,8],[8,101,9],[8,69,9],[9,58,10],[10,47,11],[11,47,12]])),ai}let un=null;function Pd(){if(un===null){un=new si(0);const e=` <>'"、。。、,.:;‘〈「『〔([{「」}])〕』」〉’`~…`;for(let n=0;n<e.length;n++)un.set(e.charCodeAt(n),1);const t=".,;:";for(let n=0;n<t.length;n++)un.set(t.charCodeAt(n),2)}return un}class Gn{static _createLink(t,n,r,i,s){let a=s-1;do{const l=n.charCodeAt(a);if(t.get(l)!==2)break;a--}while(a>i);if(i>0){const l=n.charCodeAt(i-1),o=n.charCodeAt(a);(l===40&&o===41||l===91&&o===93||l===123&&o===125)&&a--}return{range:{startLineNumber:r,startColumn:i+1,endLineNumber:r,endColumn:a+2},url:n.substring(i,a+1)}}static computeLinks(t,n=zd()){const r=Pd(),i=[];for(let s=1,a=t.getLineCount();s<=a;s++){const l=t.getLineContent(s),o=l.length;let c=0,d=0,u=0,f=1,m=!1,v=!1,w=!1,k=!1;for(;c<o;){let R=!1;const I=l.charCodeAt(c);if(f===13){let N;switch(I){case 40:m=!0,N=0;break;case 41:N=m?0:1;break;case 91:w=!0,v=!0,N=0;break;case 93:w=!1,N=v?0:1;break;case 123:k=!0,N=0;break;case 125:N=k?0:1;break;case 39:case 34:case 96:u===I?N=1:u===39||u===34||u===96?N=0:N=1;break;case 42:N=u===42?1:0;break;case 124:N=u===124?1:0;break;case 32:N=w?0:1;break;default:N=r.get(I)}N===1&&(i.push(Gn._createLink(r,l,s,d,c)),R=!0)}else if(f===12){let N;I===91?(v=!0,N=0):N=r.get(I),N===1?R=!0:f=13}else f=n.nextState(f,I),f===0&&(R=!0);R&&(f=1,m=!1,v=!1,k=!1,d=c+1,u=I),c++}f===13&&i.push(Gn._createLink(r,l,s,d,o))}return i}}function Td(e){return!e||typeof e.getLineCount!="function"||typeof e.getLineContent!="function"?[]:Gn.computeLinks(e)}const Lr=class Lr{constructor(){this._defaultValueSet=[["true","false"],["True","False"],["Private","Public","Friend","ReadOnly","Partial","Protected","WriteOnly"],["public","protected","private"]]}navigateValueSet(t,n,r,i,s){if(t&&n){const a=this.doNavigateValueSet(n,s);if(a)return{range:t,value:a}}if(r&&i){const a=this.doNavigateValueSet(i,s);if(a)return{range:r,value:a}}return null}doNavigateValueSet(t,n){const r=this.numberReplace(t,n);return r!==null?r:this.textReplace(t,n)}numberReplace(t,n){const r=Math.pow(10,t.length-(t.lastIndexOf(".")+1));let i=Number(t);const s=parseFloat(t);return!isNaN(i)&&!isNaN(s)&&i===s?i===0&&!n?null:(i=Math.floor(i*r),i+=n?r:-r,String(i/r)):null}textReplace(t,n){return this.valueSetsReplace(this._defaultValueSet,t,n)}valueSetsReplace(t,n,r){let i=null;for(let s=0,a=t.length;i===null&&s<a;s++)i=this.valueSetReplace(t[s],n,r);return i}valueSetReplace(t,n,r){let i=t.indexOf(n);return i>=0?(i+=r?1:-1,i<0?i=t.length-1:i%=t.length,t[i]):null}};Lr.INSTANCE=new Lr;let oi=Lr;const fa=Object.freeze(function(e,t){const n=setTimeout(e.bind(t),0);return{dispose(){clearTimeout(n)}}});var Jn;(function(e){function t(n){return n===e.None||n===e.Cancelled||n instanceof Xn?!0:!n||typeof n!="object"?!1:typeof n.isCancellationRequested=="boolean"&&typeof n.onCancellationRequested=="function"}e.isCancellationToken=t,e.None=Object.freeze({isCancellationRequested:!1,onCancellationRequested:Vn.None}),e.Cancelled=Object.freeze({isCancellationRequested:!0,onCancellationRequested:fa})})(Jn||(Jn={}));class Xn{constructor(){this._isCancelled=!1,this._emitter=null}cancel(){this._isCancelled||(this._isCancelled=!0,this._emitter&&(this._emitter.fire(void 0),this.dispose()))}get isCancellationRequested(){return this._isCancelled}get onCancellationRequested(){return this._isCancelled?fa:(this._emitter||(this._emitter=new De),this._emitter.event)}dispose(){this._emitter&&(this._emitter.dispose(),this._emitter=null)}}class Od{constructor(t){this._token=void 0,this._parentListener=void 0,this._parentListener=t&&t.onCancellationRequested(this.cancel,this)}get token(){return this._token||(this._token=new Xn),this._token}cancel(){this._token?this._token instanceof Xn&&this._token.cancel():this._token=Jn.Cancelled}dispose(t=!1){var n;t&&this.cancel(),(n=this._parentListener)==null||n.dispose(),this._token?this._token instanceof Xn&&this._token.dispose():this._token=Jn.None}}class li{constructor(){this._keyCodeToStr=[],this._strToKeyCode=Object.create(null)}define(t,n){this._keyCodeToStr[t]=n,this._strToKeyCode[n.toLowerCase()]=t}keyCodeToStr(t){return this._keyCodeToStr[t]}strToKeyCode(t){return this._strToKeyCode[t.toLowerCase()]||0}}const Yn=new li,ci=new li,hi=new li,Wd=new Array(230),Ud=Object.create(null),Vd=Object.create(null);(function(){const e="",t=[[1,0,"None",0,"unknown",0,"VK_UNKNOWN",e,e],[1,1,"Hyper",0,e,0,e,e,e],[1,2,"Super",0,e,0,e,e,e],[1,3,"Fn",0,e,0,e,e,e],[1,4,"FnLock",0,e,0,e,e,e],[1,5,"Suspend",0,e,0,e,e,e],[1,6,"Resume",0,e,0,e,e,e],[1,7,"Turbo",0,e,0,e,e,e],[1,8,"Sleep",0,e,0,"VK_SLEEP",e,e],[1,9,"WakeUp",0,e,0,e,e,e],[0,10,"KeyA",31,"A",65,"VK_A",e,e],[0,11,"KeyB",32,"B",66,"VK_B",e,e],[0,12,"KeyC",33,"C",67,"VK_C",e,e],[0,13,"KeyD",34,"D",68,"VK_D",e,e],[0,14,"KeyE",35,"E",69,"VK_E",e,e],[0,15,"KeyF",36,"F",70,"VK_F",e,e],[0,16,"KeyG",37,"G",71,"VK_G",e,e],[0,17,"KeyH",38,"H",72,"VK_H",e,e],[0,18,"KeyI",39,"I",73,"VK_I",e,e],[0,19,"KeyJ",40,"J",74,"VK_J",e,e],[0,20,"KeyK",41,"K",75,"VK_K",e,e],[0,21,"KeyL",42,"L",76,"VK_L",e,e],[0,22,"KeyM",43,"M",77,"VK_M",e,e],[0,23,"KeyN",44,"N",78,"VK_N",e,e],[0,24,"KeyO",45,"O",79,"VK_O",e,e],[0,25,"KeyP",46,"P",80,"VK_P",e,e],[0,26,"KeyQ",47,"Q",81,"VK_Q",e,e],[0,27,"KeyR",48,"R",82,"VK_R",e,e],[0,28,"KeyS",49,"S",83,"VK_S",e,e],[0,29,"KeyT",50,"T",84,"VK_T",e,e],[0,30,"KeyU",51,"U",85,"VK_U",e,e],[0,31,"KeyV",52,"V",86,"VK_V",e,e],[0,32,"KeyW",53,"W",87,"VK_W",e,e],[0,33,"KeyX",54,"X",88,"VK_X",e,e],[0,34,"KeyY",55,"Y",89,"VK_Y",e,e],[0,35,"KeyZ",56,"Z",90,"VK_Z",e,e],[0,36,"Digit1",22,"1",49,"VK_1",e,e],[0,37,"Digit2",23,"2",50,"VK_2",e,e],[0,38,"Digit3",24,"3",51,"VK_3",e,e],[0,39,"Digit4",25,"4",52,"VK_4",e,e],[0,40,"Digit5",26,"5",53,"VK_5",e,e],[0,41,"Digit6",27,"6",54,"VK_6",e,e],[0,42,"Digit7",28,"7",55,"VK_7",e,e],[0,43,"Digit8",29,"8",56,"VK_8",e,e],[0,44,"Digit9",30,"9",57,"VK_9",e,e],[0,45,"Digit0",21,"0",48,"VK_0",e,e],[1,46,"Enter",3,"Enter",13,"VK_RETURN",e,e],[1,47,"Escape",9,"Escape",27,"VK_ESCAPE",e,e],[1,48,"Backspace",1,"Backspace",8,"VK_BACK",e,e],[1,49,"Tab",2,"Tab",9,"VK_TAB",e,e],[1,50,"Space",10,"Space",32,"VK_SPACE",e,e],[0,51,"Minus",88,"-",189,"VK_OEM_MINUS","-","OEM_MINUS"],[0,52,"Equal",86,"=",187,"VK_OEM_PLUS","=","OEM_PLUS"],[0,53,"BracketLeft",92,"[",219,"VK_OEM_4","[","OEM_4"],[0,54,"BracketRight",94,"]",221,"VK_OEM_6","]","OEM_6"],[0,55,"Backslash",93,"\\",220,"VK_OEM_5","\\","OEM_5"],[0,56,"IntlHash",0,e,0,e,e,e],[0,57,"Semicolon",85,";",186,"VK_OEM_1",";","OEM_1"],[0,58,"Quote",95,"'",222,"VK_OEM_7","'","OEM_7"],[0,59,"Backquote",91,"`",192,"VK_OEM_3","`","OEM_3"],[0,60,"Comma",87,",",188,"VK_OEM_COMMA",",","OEM_COMMA"],[0,61,"Period",89,".",190,"VK_OEM_PERIOD",".","OEM_PERIOD"],[0,62,"Slash",90,"/",191,"VK_OEM_2","/","OEM_2"],[1,63,"CapsLock",8,"CapsLock",20,"VK_CAPITAL",e,e],[1,64,"F1",59,"F1",112,"VK_F1",e,e],[1,65,"F2",60,"F2",113,"VK_F2",e,e],[1,66,"F3",61,"F3",114,"VK_F3",e,e],[1,67,"F4",62,"F4",115,"VK_F4",e,e],[1,68,"F5",63,"F5",116,"VK_F5",e,e],[1,69,"F6",64,"F6",117,"VK_F6",e,e],[1,70,"F7",65,"F7",118,"VK_F7",e,e],[1,71,"F8",66,"F8",119,"VK_F8",e,e],[1,72,"F9",67,"F9",120,"VK_F9",e,e],[1,73,"F10",68,"F10",121,"VK_F10",e,e],[1,74,"F11",69,"F11",122,"VK_F11",e,e],[1,75,"F12",70,"F12",123,"VK_F12",e,e],[1,76,"PrintScreen",0,e,0,e,e,e],[1,77,"ScrollLock",84,"ScrollLock",145,"VK_SCROLL",e,e],[1,78,"Pause",7,"PauseBreak",19,"VK_PAUSE",e,e],[1,79,"Insert",19,"Insert",45,"VK_INSERT",e,e],[1,80,"Home",14,"Home",36,"VK_HOME",e,e],[1,81,"PageUp",11,"PageUp",33,"VK_PRIOR",e,e],[1,82,"Delete",20,"Delete",46,"VK_DELETE",e,e],[1,83,"End",13,"End",35,"VK_END",e,e],[1,84,"PageDown",12,"PageDown",34,"VK_NEXT",e,e],[1,85,"ArrowRight",17,"RightArrow",39,"VK_RIGHT","Right",e],[1,86,"ArrowLeft",15,"LeftArrow",37,"VK_LEFT","Left",e],[1,87,"ArrowDown",18,"DownArrow",40,"VK_DOWN","Down",e],[1,88,"ArrowUp",16,"UpArrow",38,"VK_UP","Up",e],[1,89,"NumLock",83,"NumLock",144,"VK_NUMLOCK",e,e],[1,90,"NumpadDivide",113,"NumPad_Divide",111,"VK_DIVIDE",e,e],[1,91,"NumpadMultiply",108,"NumPad_Multiply",106,"VK_MULTIPLY",e,e],[1,92,"NumpadSubtract",111,"NumPad_Subtract",109,"VK_SUBTRACT",e,e],[1,93,"NumpadAdd",109,"NumPad_Add",107,"VK_ADD",e,e],[1,94,"NumpadEnter",3,e,0,e,e,e],[1,95,"Numpad1",99,"NumPad1",97,"VK_NUMPAD1",e,e],[1,96,"Numpad2",100,"NumPad2",98,"VK_NUMPAD2",e,e],[1,97,"Numpad3",101,"NumPad3",99,"VK_NUMPAD3",e,e],[1,98,"Numpad4",102,"NumPad4",100,"VK_NUMPAD4",e,e],[1,99,"Numpad5",103,"NumPad5",101,"VK_NUMPAD5",e,e],[1,100,"Numpad6",104,"NumPad6",102,"VK_NUMPAD6",e,e],[1,101,"Numpad7",105,"NumPad7",103,"VK_NUMPAD7",e,e],[1,102,"Numpad8",106,"NumPad8",104,"VK_NUMPAD8",e,e],[1,103,"Numpad9",107,"NumPad9",105,"VK_NUMPAD9",e,e],[1,104,"Numpad0",98,"NumPad0",96,"VK_NUMPAD0",e,e],[1,105,"NumpadDecimal",112,"NumPad_Decimal",110,"VK_DECIMAL",e,e],[0,106,"IntlBackslash",97,"OEM_102",226,"VK_OEM_102",e,e],[1,107,"ContextMenu",58,"ContextMenu",93,e,e,e],[1,108,"Power",0,e,0,e,e,e],[1,109,"NumpadEqual",0,e,0,e,e,e],[1,110,"F13",71,"F13",124,"VK_F13",e,e],[1,111,"F14",72,"F14",125,"VK_F14",e,e],[1,112,"F15",73,"F15",126,"VK_F15",e,e],[1,113,"F16",74,"F16",127,"VK_F16",e,e],[1,114,"F17",75,"F17",128,"VK_F17",e,e],[1,115,"F18",76,"F18",129,"VK_F18",e,e],[1,116,"F19",77,"F19",130,"VK_F19",e,e],[1,117,"F20",78,"F20",131,"VK_F20",e,e],[1,118,"F21",79,"F21",132,"VK_F21",e,e],[1,119,"F22",80,"F22",133,"VK_F22",e,e],[1,120,"F23",81,"F23",134,"VK_F23",e,e],[1,121,"F24",82,"F24",135,"VK_F24",e,e],[1,122,"Open",0,e,0,e,e,e],[1,123,"Help",0,e,0,e,e,e],[1,124,"Select",0,e,0,e,e,e],[1,125,"Again",0,e,0,e,e,e],[1,126,"Undo",0,e,0,e,e,e],[1,127,"Cut",0,e,0,e,e,e],[1,128,"Copy",0,e,0,e,e,e],[1,129,"Paste",0,e,0,e,e,e],[1,130,"Find",0,e,0,e,e,e],[1,131,"AudioVolumeMute",117,"AudioVolumeMute",173,"VK_VOLUME_MUTE",e,e],[1,132,"AudioVolumeUp",118,"AudioVolumeUp",175,"VK_VOLUME_UP",e,e],[1,133,"AudioVolumeDown",119,"AudioVolumeDown",174,"VK_VOLUME_DOWN",e,e],[1,134,"NumpadComma",110,"NumPad_Separator",108,"VK_SEPARATOR",e,e],[0,135,"IntlRo",115,"ABNT_C1",193,"VK_ABNT_C1",e,e],[1,136,"KanaMode",0,e,0,e,e,e],[0,137,"IntlYen",0,e,0,e,e,e],[1,138,"Convert",0,e,0,e,e,e],[1,139,"NonConvert",0,e,0,e,e,e],[1,140,"Lang1",0,e,0,e,e,e],[1,141,"Lang2",0,e,0,e,e,e],[1,142,"Lang3",0,e,0,e,e,e],[1,143,"Lang4",0,e,0,e,e,e],[1,144,"Lang5",0,e,0,e,e,e],[1,145,"Abort",0,e,0,e,e,e],[1,146,"Props",0,e,0,e,e,e],[1,147,"NumpadParenLeft",0,e,0,e,e,e],[1,148,"NumpadParenRight",0,e,0,e,e,e],[1,149,"NumpadBackspace",0,e,0,e,e,e],[1,150,"NumpadMemoryStore",0,e,0,e,e,e],[1,151,"NumpadMemoryRecall",0,e,0,e,e,e],[1,152,"NumpadMemoryClear",0,e,0,e,e,e],[1,153,"NumpadMemoryAdd",0,e,0,e,e,e],[1,154,"NumpadMemorySubtract",0,e,0,e,e,e],[1,155,"NumpadClear",131,"Clear",12,"VK_CLEAR",e,e],[1,156,"NumpadClearEntry",0,e,0,e,e,e],[1,0,e,5,"Ctrl",17,"VK_CONTROL",e,e],[1,0,e,4,"Shift",16,"VK_SHIFT",e,e],[1,0,e,6,"Alt",18,"VK_MENU",e,e],[1,0,e,57,"Meta",91,"VK_COMMAND",e,e],[1,157,"ControlLeft",5,e,0,"VK_LCONTROL",e,e],[1,158,"ShiftLeft",4,e,0,"VK_LSHIFT",e,e],[1,159,"AltLeft",6,e,0,"VK_LMENU",e,e],[1,160,"MetaLeft",57,e,0,"VK_LWIN",e,e],[1,161,"ControlRight",5,e,0,"VK_RCONTROL",e,e],[1,162,"ShiftRight",4,e,0,"VK_RSHIFT",e,e],[1,163,"AltRight",6,e,0,"VK_RMENU",e,e],[1,164,"MetaRight",57,e,0,"VK_RWIN",e,e],[1,165,"BrightnessUp",0,e,0,e,e,e],[1,166,"BrightnessDown",0,e,0,e,e,e],[1,167,"MediaPlay",0,e,0,e,e,e],[1,168,"MediaRecord",0,e,0,e,e,e],[1,169,"MediaFastForward",0,e,0,e,e,e],[1,170,"MediaRewind",0,e,0,e,e,e],[1,171,"MediaTrackNext",124,"MediaTrackNext",176,"VK_MEDIA_NEXT_TRACK",e,e],[1,172,"MediaTrackPrevious",125,"MediaTrackPrevious",177,"VK_MEDIA_PREV_TRACK",e,e],[1,173,"MediaStop",126,"MediaStop",178,"VK_MEDIA_STOP",e,e],[1,174,"Eject",0,e,0,e,e,e],[1,175,"MediaPlayPause",127,"MediaPlayPause",179,"VK_MEDIA_PLAY_PAUSE",e,e],[1,176,"MediaSelect",128,"LaunchMediaPlayer",181,"VK_MEDIA_LAUNCH_MEDIA_SELECT",e,e],[1,177,"LaunchMail",129,"LaunchMail",180,"VK_MEDIA_LAUNCH_MAIL",e,e],[1,178,"LaunchApp2",130,"LaunchApp2",183,"VK_MEDIA_LAUNCH_APP2",e,e],[1,179,"LaunchApp1",0,e,0,"VK_MEDIA_LAUNCH_APP1",e,e],[1,180,"SelectTask",0,e,0,e,e,e],[1,181,"LaunchScreenSaver",0,e,0,e,e,e],[1,182,"BrowserSearch",120,"BrowserSearch",170,"VK_BROWSER_SEARCH",e,e],[1,183,"BrowserHome",121,"BrowserHome",172,"VK_BROWSER_HOME",e,e],[1,184,"BrowserBack",122,"BrowserBack",166,"VK_BROWSER_BACK",e,e],[1,185,"BrowserForward",123,"BrowserForward",167,"VK_BROWSER_FORWARD",e,e],[1,186,"BrowserStop",0,e,0,"VK_BROWSER_STOP",e,e],[1,187,"BrowserRefresh",0,e,0,"VK_BROWSER_REFRESH",e,e],[1,188,"BrowserFavorites",0,e,0,"VK_BROWSER_FAVORITES",e,e],[1,189,"ZoomToggle",0,e,0,e,e,e],[1,190,"MailReply",0,e,0,e,e,e],[1,191,"MailForward",0,e,0,e,e,e],[1,192,"MailSend",0,e,0,e,e,e],[1,0,e,114,"KeyInComposition",229,e,e,e],[1,0,e,116,"ABNT_C2",194,"VK_ABNT_C2",e,e],[1,0,e,96,"OEM_8",223,"VK_OEM_8",e,e],[1,0,e,0,e,0,"VK_KANA",e,e],[1,0,e,0,e,0,"VK_HANGUL",e,e],[1,0,e,0,e,0,"VK_JUNJA",e,e],[1,0,e,0,e,0,"VK_FINAL",e,e],[1,0,e,0,e,0,"VK_HANJA",e,e],[1,0,e,0,e,0,"VK_KANJI",e,e],[1,0,e,0,e,0,"VK_CONVERT",e,e],[1,0,e,0,e,0,"VK_NONCONVERT",e,e],[1,0,e,0,e,0,"VK_ACCEPT",e,e],[1,0,e,0,e,0,"VK_MODECHANGE",e,e],[1,0,e,0,e,0,"VK_SELECT",e,e],[1,0,e,0,e,0,"VK_PRINT",e,e],[1,0,e,0,e,0,"VK_EXECUTE",e,e],[1,0,e,0,e,0,"VK_SNAPSHOT",e,e],[1,0,e,0,e,0,"VK_HELP",e,e],[1,0,e,0,e,0,"VK_APPS",e,e],[1,0,e,0,e,0,"VK_PROCESSKEY",e,e],[1,0,e,0,e,0,"VK_PACKET",e,e],[1,0,e,0,e,0,"VK_DBE_SBCSCHAR",e,e],[1,0,e,0,e,0,"VK_DBE_DBCSCHAR",e,e],[1,0,e,0,e,0,"VK_ATTN",e,e],[1,0,e,0,e,0,"VK_CRSEL",e,e],[1,0,e,0,e,0,"VK_EXSEL",e,e],[1,0,e,0,e,0,"VK_EREOF",e,e],[1,0,e,0,e,0,"VK_PLAY",e,e],[1,0,e,0,e,0,"VK_ZOOM",e,e],[1,0,e,0,e,0,"VK_NONAME",e,e],[1,0,e,0,e,0,"VK_PA1",e,e],[1,0,e,0,e,0,"VK_OEM_CLEAR",e,e]],n=[],r=[];for(const i of t){const[s,a,l,o,c,d,u,f,m]=i;if(r[a]||(r[a]=!0,Ud[l]=a,Vd[l.toLowerCase()]=a),!n[o]){if(n[o]=!0,!c)throw new Error(`String representation missing for key code ${o} around scan code ${l}`);Yn.define(o,c),ci.define(o,f||c),hi.define(o,m||f||c)}d&&(Wd[d]=o)}})();var ga;(function(e){function t(l){return Yn.keyCodeToStr(l)}e.toString=t;function n(l){return Yn.strToKeyCode(l)}e.fromString=n;function r(l){return ci.keyCodeToStr(l)}e.toUserSettingsUS=r;function i(l){return hi.keyCodeToStr(l)}e.toUserSettingsGeneral=i;function s(l){return ci.strToKeyCode(l)||hi.strToKeyCode(l)}e.fromUserSettings=s;function a(l){if(l>=98&&l<=113)return null;switch(l){case 16:return"Up";case 18:return"Down";case 15:return"Left";case 17:return"Right"}return Yn.keyCodeToStr(l)}e.toElectronAccelerator=a})(ga||(ga={}));function $d(e,t){const n=(t&65535)<<16>>>0;return(e|n)>>>0}class Fe extends Q{constructor(t,n,r,i){super(t,n,r,i),this.selectionStartLineNumber=t,this.selectionStartColumn=n,this.positionLineNumber=r,this.positionColumn=i}toString(){return"["+this.selectionStartLineNumber+","+this.selectionStartColumn+" -> "+this.positionLineNumber+","+this.positionColumn+"]"}equalsSelection(t){return Fe.selectionsEqual(this,t)}static selectionsEqual(t,n){return t.selectionStartLineNumber===n.selectionStartLineNumber&&t.selectionStartColumn===n.selectionStartColumn&&t.positionLineNumber===n.positionLineNumber&&t.positionColumn===n.positionColumn}getDirection(){return this.selectionStartLineNumber===this.startLineNumber&&this.selectionStartColumn===this.startColumn?0:1}setEndPosition(t,n){return this.getDirection()===0?new Fe(this.startLineNumber,this.startColumn,t,n):new Fe(t,n,this.startLineNumber,this.startColumn)}getPosition(){return new he(this.positionLineNumber,this.positionColumn)}getSelectionStart(){return new he(this.selectionStartLineNumber,this.selectionStartColumn)}setStartPosition(t,n){return this.getDirection()===0?new Fe(t,n,this.endLineNumber,this.endColumn):new Fe(this.endLineNumber,this.endColumn,t,n)}static fromPositions(t,n=t){return new Fe(t.lineNumber,t.column,n.lineNumber,n.column)}static fromRange(t,n){return n===0?new Fe(t.startLineNumber,t.startColumn,t.endLineNumber,t.endColumn):new Fe(t.endLineNumber,t.endColumn,t.startLineNumber,t.startColumn)}static liftSelection(t){return new Fe(t.selectionStartLineNumber,t.selectionStartColumn,t.positionLineNumber,t.positionColumn)}static selectionsArrEqual(t,n){if(t&&!n||!t&&n)return!1;if(!t&&!n)return!0;if(t.length!==n.length)return!1;for(let r=0,i=t.length;r<i;r++)if(!this.selectionsEqual(t[r],n[r]))return!1;return!0}static isISelection(t){return t&&typeof t.selectionStartLineNumber=="number"&&typeof t.selectionStartColumn=="number"&&typeof t.positionLineNumber=="number"&&typeof t.positionColumn=="number"}static createWithDirection(t,n,r,i,s){return s===0?new Fe(t,n,r,i):new Fe(r,i,t,n)}}function Bd(e){return typeof e=="string"}const ba=Object.create(null);function h(e,t){if(Bd(t)){const n=ba[t];if(n===void 0)throw new Error(`${e} references an unknown codicon: ${t}`);t=n}return ba[e]=t,{id:e}}const qd={add:h("add",6e4),plus:h("plus",6e4),gistNew:h("gist-new",6e4),repoCreate:h("repo-create",6e4),lightbulb:h("lightbulb",60001),lightBulb:h("light-bulb",60001),repo:h("repo",60002),repoDelete:h("repo-delete",60002),gistFork:h("gist-fork",60003),repoForked:h("repo-forked",60003),gitPullRequest:h("git-pull-request",60004),gitPullRequestAbandoned:h("git-pull-request-abandoned",60004),recordKeys:h("record-keys",60005),keyboard:h("keyboard",60005),tag:h("tag",60006),gitPullRequestLabel:h("git-pull-request-label",60006),tagAdd:h("tag-add",60006),tagRemove:h("tag-remove",60006),person:h("person",60007),personFollow:h("person-follow",60007),personOutline:h("person-outline",60007),personFilled:h("person-filled",60007),gitBranch:h("git-branch",60008),gitBranchCreate:h("git-branch-create",60008),gitBranchDelete:h("git-branch-delete",60008),sourceControl:h("source-control",60008),mirror:h("mirror",60009),mirrorPublic:h("mirror-public",60009),star:h("star",60010),starAdd:h("star-add",60010),starDelete:h("star-delete",60010),starEmpty:h("star-empty",60010),comment:h("comment",60011),commentAdd:h("comment-add",60011),alert:h("alert",60012),warning:h("warning",60012),search:h("search",60013),searchSave:h("search-save",60013),logOut:h("log-out",60014),signOut:h("sign-out",60014),logIn:h("log-in",60015),signIn:h("sign-in",60015),eye:h("eye",60016),eyeUnwatch:h("eye-unwatch",60016),eyeWatch:h("eye-watch",60016),circleFilled:h("circle-filled",60017),primitiveDot:h("primitive-dot",60017),closeDirty:h("close-dirty",60017),debugBreakpoint:h("debug-breakpoint",60017),debugBreakpointDisabled:h("debug-breakpoint-disabled",60017),debugHint:h("debug-hint",60017),terminalDecorationSuccess:h("terminal-decoration-success",60017),primitiveSquare:h("primitive-square",60018),edit:h("edit",60019),pencil:h("pencil",60019),info:h("info",60020),issueOpened:h("issue-opened",60020),gistPrivate:h("gist-private",60021),gitForkPrivate:h("git-fork-private",60021),lock:h("lock",60021),mirrorPrivate:h("mirror-private",60021),close:h("close",60022),removeClose:h("remove-close",60022),x:h("x",60022),repoSync:h("repo-sync",60023),sync:h("sync",60023),clone:h("clone",60024),desktopDownload:h("desktop-download",60024),beaker:h("beaker",60025),microscope:h("microscope",60025),vm:h("vm",60026),deviceDesktop:h("device-desktop",60026),file:h("file",60027),fileText:h("file-text",60027),more:h("more",60028),ellipsis:h("ellipsis",60028),kebabHorizontal:h("kebab-horizontal",60028),mailReply:h("mail-reply",60029),reply:h("reply",60029),organization:h("organization",60030),organizationFilled:h("organization-filled",60030),organizationOutline:h("organization-outline",60030),newFile:h("new-file",60031),fileAdd:h("file-add",60031),newFolder:h("new-folder",60032),fileDirectoryCreate:h("file-directory-create",60032),trash:h("trash",60033),trashcan:h("trashcan",60033),history:h("history",60034),clock:h("clock",60034),folder:h("folder",60035),fileDirectory:h("file-directory",60035),symbolFolder:h("symbol-folder",60035),logoGithub:h("logo-github",60036),markGithub:h("mark-github",60036),github:h("github",60036),terminal:h("terminal",60037),console:h("console",60037),repl:h("repl",60037),zap:h("zap",60038),symbolEvent:h("symbol-event",60038),error:h("error",60039),stop:h("stop",60039),variable:h("variable",60040),symbolVariable:h("symbol-variable",60040),array:h("array",60042),symbolArray:h("symbol-array",60042),symbolModule:h("symbol-module",60043),symbolPackage:h("symbol-package",60043),symbolNamespace:h("symbol-namespace",60043),symbolObject:h("symbol-object",60043),symbolMethod:h("symbol-method",60044),symbolFunction:h("symbol-function",60044),symbolConstructor:h("symbol-constructor",60044),symbolBoolean:h("symbol-boolean",60047),symbolNull:h("symbol-null",60047),symbolNumeric:h("symbol-numeric",60048),symbolNumber:h("symbol-number",60048),symbolStructure:h("symbol-structure",60049),symbolStruct:h("symbol-struct",60049),symbolParameter:h("symbol-parameter",60050),symbolTypeParameter:h("symbol-type-parameter",60050),symbolKey:h("symbol-key",60051),symbolText:h("symbol-text",60051),symbolReference:h("symbol-reference",60052),goToFile:h("go-to-file",60052),symbolEnum:h("symbol-enum",60053),symbolValue:h("symbol-value",60053),symbolRuler:h("symbol-ruler",60054),symbolUnit:h("symbol-unit",60054),activateBreakpoints:h("activate-breakpoints",60055),archive:h("archive",60056),arrowBoth:h("arrow-both",60057),arrowDown:h("arrow-down",60058),arrowLeft:h("arrow-left",60059),arrowRight:h("arrow-right",60060),arrowSmallDown:h("arrow-small-down",60061),arrowSmallLeft:h("arrow-small-left",60062),arrowSmallRight:h("arrow-small-right",60063),arrowSmallUp:h("arrow-small-up",60064),arrowUp:h("arrow-up",60065),bell:h("bell",60066),bold:h("bold",60067),book:h("book",60068),bookmark:h("bookmark",60069),debugBreakpointConditionalUnverified:h("debug-breakpoint-conditional-unverified",60070),debugBreakpointConditional:h("debug-breakpoint-conditional",60071),debugBreakpointConditionalDisabled:h("debug-breakpoint-conditional-disabled",60071),debugBreakpointDataUnverified:h("debug-breakpoint-data-unverified",60072),debugBreakpointData:h("debug-breakpoint-data",60073),debugBreakpointDataDisabled:h("debug-breakpoint-data-disabled",60073),debugBreakpointLogUnverified:h("debug-breakpoint-log-unverified",60074),debugBreakpointLog:h("debug-breakpoint-log",60075),debugBreakpointLogDisabled:h("debug-breakpoint-log-disabled",60075),briefcase:h("briefcase",60076),broadcast:h("broadcast",60077),browser:h("browser",60078),bug:h("bug",60079),calendar:h("calendar",60080),caseSensitive:h("case-sensitive",60081),check:h("check",60082),checklist:h("checklist",60083),chevronDown:h("chevron-down",60084),chevronLeft:h("chevron-left",60085),chevronRight:h("chevron-right",60086),chevronUp:h("chevron-up",60087),chromeClose:h("chrome-close",60088),chromeMaximize:h("chrome-maximize",60089),chromeMinimize:h("chrome-minimize",60090),chromeRestore:h("chrome-restore",60091),circleOutline:h("circle-outline",60092),circle:h("circle",60092),debugBreakpointUnverified:h("debug-breakpoint-unverified",60092),terminalDecorationIncomplete:h("terminal-decoration-incomplete",60092),circleSlash:h("circle-slash",60093),circuitBoard:h("circuit-board",60094),clearAll:h("clear-all",60095),clippy:h("clippy",60096),closeAll:h("close-all",60097),cloudDownload:h("cloud-download",60098),cloudUpload:h("cloud-upload",60099),code:h("code",60100),collapseAll:h("collapse-all",60101),colorMode:h("color-mode",60102),commentDiscussion:h("comment-discussion",60103),creditCard:h("credit-card",60105),dash:h("dash",60108),dashboard:h("dashboard",60109),database:h("database",60110),debugContinue:h("debug-continue",60111),debugDisconnect:h("debug-disconnect",60112),debugPause:h("debug-pause",60113),debugRestart:h("debug-restart",60114),debugStart:h("debug-start",60115),debugStepInto:h("debug-step-into",60116),debugStepOut:h("debug-step-out",60117),debugStepOver:h("debug-step-over",60118),debugStop:h("debug-stop",60119),debug:h("debug",60120),deviceCameraVideo:h("device-camera-video",60121),deviceCamera:h("device-camera",60122),deviceMobile:h("device-mobile",60123),diffAdded:h("diff-added",60124),diffIgnored:h("diff-ignored",60125),diffModified:h("diff-modified",60126),diffRemoved:h("diff-removed",60127),diffRenamed:h("diff-renamed",60128),diff:h("diff",60129),diffSidebyside:h("diff-sidebyside",60129),discard:h("discard",60130),editorLayout:h("editor-layout",60131),emptyWindow:h("empty-window",60132),exclude:h("exclude",60133),extensions:h("extensions",60134),eyeClosed:h("eye-closed",60135),fileBinary:h("file-binary",60136),fileCode:h("file-code",60137),fileMedia:h("file-media",60138),filePdf:h("file-pdf",60139),fileSubmodule:h("file-submodule",60140),fileSymlinkDirectory:h("file-symlink-directory",60141),fileSymlinkFile:h("file-symlink-file",60142),fileZip:h("file-zip",60143),files:h("files",60144),filter:h("filter",60145),flame:h("flame",60146),foldDown:h("fold-down",60147),foldUp:h("fold-up",60148),fold:h("fold",60149),folderActive:h("folder-active",60150),folderOpened:h("folder-opened",60151),gear:h("gear",60152),gift:h("gift",60153),gistSecret:h("gist-secret",60154),gist:h("gist",60155),gitCommit:h("git-commit",60156),gitCompare:h("git-compare",60157),compareChanges:h("compare-changes",60157),gitMerge:h("git-merge",60158),githubAction:h("github-action",60159),githubAlt:h("github-alt",60160),globe:h("globe",60161),grabber:h("grabber",60162),graph:h("graph",60163),gripper:h("gripper",60164),heart:h("heart",60165),home:h("home",60166),horizontalRule:h("horizontal-rule",60167),hubot:h("hubot",60168),inbox:h("inbox",60169),issueReopened:h("issue-reopened",60171),issues:h("issues",60172),italic:h("italic",60173),jersey:h("jersey",60174),json:h("json",60175),kebabVertical:h("kebab-vertical",60176),key:h("key",60177),law:h("law",60178),lightbulbAutofix:h("lightbulb-autofix",60179),linkExternal:h("link-external",60180),link:h("link",60181),listOrdered:h("list-ordered",60182),listUnordered:h("list-unordered",60183),liveShare:h("live-share",60184),loading:h("loading",60185),location:h("location",60186),mailRead:h("mail-read",60187),mail:h("mail",60188),markdown:h("markdown",60189),megaphone:h("megaphone",60190),mention:h("mention",60191),milestone:h("milestone",60192),gitPullRequestMilestone:h("git-pull-request-milestone",60192),mortarBoard:h("mortar-board",60193),move:h("move",60194),multipleWindows:h("multiple-windows",60195),mute:h("mute",60196),noNewline:h("no-newline",60197),note:h("note",60198),octoface:h("octoface",60199),openPreview:h("open-preview",60200),package:h("package",60201),paintcan:h("paintcan",60202),pin:h("pin",60203),play:h("play",60204),run:h("run",60204),plug:h("plug",60205),preserveCase:h("preserve-case",60206),preview:h("preview",60207),project:h("project",60208),pulse:h("pulse",60209),question:h("question",60210),quote:h("quote",60211),radioTower:h("radio-tower",60212),reactions:h("reactions",60213),references:h("references",60214),refresh:h("refresh",60215),regex:h("regex",60216),remoteExplorer:h("remote-explorer",60217),remote:h("remote",60218),remove:h("remove",60219),replaceAll:h("replace-all",60220),replace:h("replace",60221),repoClone:h("repo-clone",60222),repoForcePush:h("repo-force-push",60223),repoPull:h("repo-pull",60224),repoPush:h("repo-push",60225),report:h("report",60226),requestChanges:h("request-changes",60227),rocket:h("rocket",60228),rootFolderOpened:h("root-folder-opened",60229),rootFolder:h("root-folder",60230),rss:h("rss",60231),ruby:h("ruby",60232),saveAll:h("save-all",60233),saveAs:h("save-as",60234),save:h("save",60235),screenFull:h("screen-full",60236),screenNormal:h("screen-normal",60237),searchStop:h("search-stop",60238),server:h("server",60240),settingsGear:h("settings-gear",60241),settings:h("settings",60242),shield:h("shield",60243),smiley:h("smiley",60244),sortPrecedence:h("sort-precedence",60245),splitHorizontal:h("split-horizontal",60246),splitVertical:h("split-vertical",60247),squirrel:h("squirrel",60248),starFull:h("star-full",60249),starHalf:h("star-half",60250),symbolClass:h("symbol-class",60251),symbolColor:h("symbol-color",60252),symbolConstant:h("symbol-constant",60253),symbolEnumMember:h("symbol-enum-member",60254),symbolField:h("symbol-field",60255),symbolFile:h("symbol-file",60256),symbolInterface:h("symbol-interface",60257),symbolKeyword:h("symbol-keyword",60258),symbolMisc:h("symbol-misc",60259),symbolOperator:h("symbol-operator",60260),symbolProperty:h("symbol-property",60261),wrench:h("wrench",60261),wrenchSubaction:h("wrench-subaction",60261),symbolSnippet:h("symbol-snippet",60262),tasklist:h("tasklist",60263),telescope:h("telescope",60264),textSize:h("text-size",60265),threeBars:h("three-bars",60266),thumbsdown:h("thumbsdown",60267),thumbsup:h("thumbsup",60268),tools:h("tools",60269),triangleDown:h("triangle-down",60270),triangleLeft:h("triangle-left",60271),triangleRight:h("triangle-right",60272),triangleUp:h("triangle-up",60273),twitter:h("twitter",60274),unfold:h("unfold",60275),unlock:h("unlock",60276),unmute:h("unmute",60277),unverified:h("unverified",60278),verified:h("verified",60279),versions:h("versions",60280),vmActive:h("vm-active",60281),vmOutline:h("vm-outline",60282),vmRunning:h("vm-running",60283),watch:h("watch",60284),whitespace:h("whitespace",60285),wholeWord:h("whole-word",60286),window:h("window",60287),wordWrap:h("word-wrap",60288),zoomIn:h("zoom-in",60289),zoomOut:h("zoom-out",60290),listFilter:h("list-filter",60291),listFlat:h("list-flat",60292),listSelection:h("list-selection",60293),selection:h("selection",60293),listTree:h("list-tree",60294),debugBreakpointFunctionUnverified:h("debug-breakpoint-function-unverified",60295),debugBreakpointFunction:h("debug-breakpoint-function",60296),debugBreakpointFunctionDisabled:h("debug-breakpoint-function-disabled",60296),debugStackframeActive:h("debug-stackframe-active",60297),circleSmallFilled:h("circle-small-filled",60298),debugStackframeDot:h("debug-stackframe-dot",60298),terminalDecorationMark:h("terminal-decoration-mark",60298),debugStackframe:h("debug-stackframe",60299),debugStackframeFocused:h("debug-stackframe-focused",60299),debugBreakpointUnsupported:h("debug-breakpoint-unsupported",60300),symbolString:h("symbol-string",60301),debugReverseContinue:h("debug-reverse-continue",60302),debugStepBack:h("debug-step-back",60303),debugRestartFrame:h("debug-restart-frame",60304),debugAlt:h("debug-alt",60305),callIncoming:h("call-incoming",60306),callOutgoing:h("call-outgoing",60307),menu:h("menu",60308),expandAll:h("expand-all",60309),feedback:h("feedback",60310),gitPullRequestReviewer:h("git-pull-request-reviewer",60310),groupByRefType:h("group-by-ref-type",60311),ungroupByRefType:h("ungroup-by-ref-type",60312),account:h("account",60313),gitPullRequestAssignee:h("git-pull-request-assignee",60313),bellDot:h("bell-dot",60314),debugConsole:h("debug-console",60315),library:h("library",60316),output:h("output",60317),runAll:h("run-all",60318),syncIgnored:h("sync-ignored",60319),pinned:h("pinned",60320),githubInverted:h("github-inverted",60321),serverProcess:h("server-process",60322),serverEnvironment:h("server-environment",60323),pass:h("pass",60324),issueClosed:h("issue-closed",60324),stopCircle:h("stop-circle",60325),playCircle:h("play-circle",60326),record:h("record",60327),debugAltSmall:h("debug-alt-small",60328),vmConnect:h("vm-connect",60329),cloud:h("cloud",60330),merge:h("merge",60331),export:h("export",60332),graphLeft:h("graph-left",60333),magnet:h("magnet",60334),notebook:h("notebook",60335),redo:h("redo",60336),checkAll:h("check-all",60337),pinnedDirty:h("pinned-dirty",60338),passFilled:h("pass-filled",60339),circleLargeFilled:h("circle-large-filled",60340),circleLarge:h("circle-large",60341),circleLargeOutline:h("circle-large-outline",60341),combine:h("combine",60342),gather:h("gather",60342),table:h("table",60343),variableGroup:h("variable-group",60344),typeHierarchy:h("type-hierarchy",60345),typeHierarchySub:h("type-hierarchy-sub",60346),typeHierarchySuper:h("type-hierarchy-super",60347),gitPullRequestCreate:h("git-pull-request-create",60348),runAbove:h("run-above",60349),runBelow:h("run-below",60350),notebookTemplate:h("notebook-template",60351),debugRerun:h("debug-rerun",60352),workspaceTrusted:h("workspace-trusted",60353),workspaceUntrusted:h("workspace-untrusted",60354),workspaceUnknown:h("workspace-unknown",60355),terminalCmd:h("terminal-cmd",60356),terminalDebian:h("terminal-debian",60357),terminalLinux:h("terminal-linux",60358),terminalPowershell:h("terminal-powershell",60359),terminalTmux:h("terminal-tmux",60360),terminalUbuntu:h("terminal-ubuntu",60361),terminalBash:h("terminal-bash",60362),arrowSwap:h("arrow-swap",60363),copy:h("copy",60364),personAdd:h("person-add",60365),filterFilled:h("filter-filled",60366),wand:h("wand",60367),debugLineByLine:h("debug-line-by-line",60368),inspect:h("inspect",60369),layers:h("layers",60370),layersDot:h("layers-dot",60371),layersActive:h("layers-active",60372),compass:h("compass",60373),compassDot:h("compass-dot",60374),compassActive:h("compass-active",60375),azure:h("azure",60376),issueDraft:h("issue-draft",60377),gitPullRequestClosed:h("git-pull-request-closed",60378),gitPullRequestDraft:h("git-pull-request-draft",60379),debugAll:h("debug-all",60380),debugCoverage:h("debug-coverage",60381),runErrors:h("run-errors",60382),folderLibrary:h("folder-library",60383),debugContinueSmall:h("debug-continue-small",60384),beakerStop:h("beaker-stop",60385),graphLine:h("graph-line",60386),graphScatter:h("graph-scatter",60387),pieChart:h("pie-chart",60388),bracket:h("bracket",60175),bracketDot:h("bracket-dot",60389),bracketError:h("bracket-error",60390),lockSmall:h("lock-small",60391),azureDevops:h("azure-devops",60392),verifiedFilled:h("verified-filled",60393),newline:h("newline",60394),layout:h("layout",60395),layoutActivitybarLeft:h("layout-activitybar-left",60396),layoutActivitybarRight:h("layout-activitybar-right",60397),layoutPanelLeft:h("layout-panel-left",60398),layoutPanelCenter:h("layout-panel-center",60399),layoutPanelJustify:h("layout-panel-justify",60400),layoutPanelRight:h("layout-panel-right",60401),layoutPanel:h("layout-panel",60402),layoutSidebarLeft:h("layout-sidebar-left",60403),layoutSidebarRight:h("layout-sidebar-right",60404),layoutStatusbar:h("layout-statusbar",60405),layoutMenubar:h("layout-menubar",60406),layoutCentered:h("layout-centered",60407),target:h("target",60408),indent:h("indent",60409),recordSmall:h("record-small",60410),errorSmall:h("error-small",60411),terminalDecorationError:h("terminal-decoration-error",60411),arrowCircleDown:h("arrow-circle-down",60412),arrowCircleLeft:h("arrow-circle-left",60413),arrowCircleRight:h("arrow-circle-right",60414),arrowCircleUp:h("arrow-circle-up",60415),layoutSidebarRightOff:h("layout-sidebar-right-off",60416),layoutPanelOff:h("layout-panel-off",60417),layoutSidebarLeftOff:h("layout-sidebar-left-off",60418),blank:h("blank",60419),heartFilled:h("heart-filled",60420),map:h("map",60421),mapHorizontal:h("map-horizontal",60421),foldHorizontal:h("fold-horizontal",60421),mapFilled:h("map-filled",60422),mapHorizontalFilled:h("map-horizontal-filled",60422),foldHorizontalFilled:h("fold-horizontal-filled",60422),circleSmall:h("circle-small",60423),bellSlash:h("bell-slash",60424),bellSlashDot:h("bell-slash-dot",60425),commentUnresolved:h("comment-unresolved",60426),gitPullRequestGoToChanges:h("git-pull-request-go-to-changes",60427),gitPullRequestNewChanges:h("git-pull-request-new-changes",60428),searchFuzzy:h("search-fuzzy",60429),commentDraft:h("comment-draft",60430),send:h("send",60431),sparkle:h("sparkle",60432),insert:h("insert",60433),mic:h("mic",60434),thumbsdownFilled:h("thumbsdown-filled",60435),thumbsupFilled:h("thumbsup-filled",60436),coffee:h("coffee",60437),snake:h("snake",60438),game:h("game",60439),vr:h("vr",60440),chip:h("chip",60441),piano:h("piano",60442),music:h("music",60443),micFilled:h("mic-filled",60444),repoFetch:h("repo-fetch",60445),copilot:h("copilot",60446),lightbulbSparkle:h("lightbulb-sparkle",60447),robot:h("robot",60448),sparkleFilled:h("sparkle-filled",60449),diffSingle:h("diff-single",60450),diffMultiple:h("diff-multiple",60451),surroundWith:h("surround-with",60452),share:h("share",60453),gitStash:h("git-stash",60454),gitStashApply:h("git-stash-apply",60455),gitStashPop:h("git-stash-pop",60456),vscode:h("vscode",60457),vscodeInsiders:h("vscode-insiders",60458),codeOss:h("code-oss",60459),runCoverage:h("run-coverage",60460),runAllCoverage:h("run-all-coverage",60461),coverage:h("coverage",60462),githubProject:h("github-project",60463),mapVertical:h("map-vertical",60464),foldVertical:h("fold-vertical",60464),mapVerticalFilled:h("map-vertical-filled",60465),foldVerticalFilled:h("fold-vertical-filled",60465),goToSearch:h("go-to-search",60466),percentage:h("percentage",60467),sortPercentage:h("sort-percentage",60467),attach:h("attach",60468)},jd={dialogError:h("dialog-error","error"),dialogWarning:h("dialog-warning","warning"),dialogInfo:h("dialog-info","info"),dialogClose:h("dialog-close","close"),treeItemExpanded:h("tree-item-expanded","chevron-down"),treeFilterOnTypeOn:h("tree-filter-on-type-on","list-filter"),treeFilterOnTypeOff:h("tree-filter-on-type-off","list-selection"),treeFilterClear:h("tree-filter-clear","close"),treeItemLoading:h("tree-item-loading","loading"),menuSelection:h("menu-selection","check"),menuSubmenu:h("menu-submenu","chevron-right"),menuBarMore:h("menubar-more","more"),scrollbarButtonLeft:h("scrollbar-button-left","triangle-left"),scrollbarButtonRight:h("scrollbar-button-right","triangle-right"),scrollbarButtonUp:h("scrollbar-button-up","triangle-up"),scrollbarButtonDown:h("scrollbar-button-down","triangle-down"),toolBarMore:h("toolbar-more","more"),quickInputBack:h("quick-input-back","arrow-left"),dropDownButton:h("drop-down-button",60084),symbolCustomColor:h("symbol-customcolor",60252),exportIcon:h("export",60332),workspaceUnspecified:h("workspace-unspecified",60355),newLine:h("newline",60394),thumbsDownFilled:h("thumbsdown-filled",60435),thumbsUpFilled:h("thumbsup-filled",60436),gitFetch:h("git-fetch",60445),lightbulbSparkleAutofix:h("lightbulb-sparkle-autofix",60447),debugBreakpointPending:h("debug-breakpoint-pending",60377)},W={...qd,...jd};class va{constructor(){this._tokenizationSupports=new Map,this._factories=new Map,this._onDidChange=new De,this.onDidChange=this._onDidChange.event,this._colorMap=null}handleChange(t){this._onDidChange.fire({changedLanguages:t,changedColorMap:!1})}register(t,n){return this._tokenizationSupports.set(t,n),this.handleChange([t]),Wn(()=>{this._tokenizationSupports.get(t)===n&&(this._tokenizationSupports.delete(t),this.handleChange([t]))})}get(t){return this._tokenizationSupports.get(t)||null}registerFactory(t,n){var i;(i=this._factories.get(t))==null||i.dispose();const r=new Hd(this,t,n);return this._factories.set(t,r),Wn(()=>{const s=this._factories.get(t);!s||s!==r||(this._factories.delete(t),s.dispose())})}async getOrCreate(t){const n=this.get(t);if(n)return n;const r=this._factories.get(t);return!r||r.isResolved?null:(await r.resolve(),this.get(t))}isResolved(t){if(this.get(t))return!0;const r=this._factories.get(t);return!!(!r||r.isResolved)}setColorMap(t){this._colorMap=t,this._onDidChange.fire({changedLanguages:Array.from(this._tokenizationSupports.keys()),changedColorMap:!0})}getColorMap(){return this._colorMap}getDefaultBackground(){return this._colorMap&&this._colorMap.length>2?this._colorMap[2]:null}}class Hd extends zt{get isResolved(){return this._isResolved}constructor(t,n,r){super(),this._registry=t,this._languageId=n,this._factory=r,this._isDisposed=!1,this._resolvePromise=null,this._isResolved=!1}dispose(){this._isDisposed=!0,super.dispose()}async resolve(){return this._resolvePromise||(this._resolvePromise=this._create()),this._resolvePromise}async _create(){const t=await this._factory.tokenizationSupport;this._isResolved=!0,t&&!this._isDisposed&&this._register(this._registry.register(this._languageId,t))}}class Gd{constructor(t,n,r){this.offset=t,this.type=n,this.language=r,this._tokenBrand=void 0}toString(){return"("+this.offset+", "+this.type+")"}}var wa;(function(e){e[e.Increase=0]="Increase",e[e.Decrease=1]="Decrease"})(wa||(wa={}));var ya;(function(e){const t=new Map;t.set(0,W.symbolMethod),t.set(1,W.symbolFunction),t.set(2,W.symbolConstructor),t.set(3,W.symbolField),t.set(4,W.symbolVariable),t.set(5,W.symbolClass),t.set(6,W.symbolStruct),t.set(7,W.symbolInterface),t.set(8,W.symbolModule),t.set(9,W.symbolProperty),t.set(10,W.symbolEvent),t.set(11,W.symbolOperator),t.set(12,W.symbolUnit),t.set(13,W.symbolValue),t.set(15,W.symbolEnum),t.set(14,W.symbolConstant),t.set(15,W.symbolEnum),t.set(16,W.symbolEnumMember),t.set(17,W.symbolKeyword),t.set(27,W.symbolSnippet),t.set(18,W.symbolText),t.set(19,W.symbolColor),t.set(20,W.symbolFile),t.set(21,W.symbolReference),t.set(22,W.symbolCustomColor),t.set(23,W.symbolFolder),t.set(24,W.symbolTypeParameter),t.set(25,W.account),t.set(26,W.issues);function n(s){let a=t.get(s);return a||(console.info("No codicon found for CompletionItemKind "+s),a=W.symbolProperty),a}e.toIcon=n;const r=new Map;r.set("method",0),r.set("function",1),r.set("constructor",2),r.set("field",3),r.set("variable",4),r.set("class",5),r.set("struct",6),r.set("interface",7),r.set("module",8),r.set("property",9),r.set("event",10),r.set("operator",11),r.set("unit",12),r.set("value",13),r.set("constant",14),r.set("enum",15),r.set("enum-member",16),r.set("enumMember",16),r.set("keyword",17),r.set("snippet",27),r.set("text",18),r.set("color",19),r.set("file",20),r.set("reference",21),r.set("customcolor",22),r.set("folder",23),r.set("type-parameter",24),r.set("typeParameter",24),r.set("account",25),r.set("issue",26);function i(s,a){let l=r.get(s);return typeof l>"u"&&!a&&(l=9),l}e.fromString=i})(ya||(ya={}));var xa;(function(e){e[e.Automatic=0]="Automatic",e[e.Explicit=1]="Explicit"})(xa||(xa={}));var Sa;(function(e){e[e.Automatic=0]="Automatic",e[e.PasteAs=1]="PasteAs"})(Sa||(Sa={}));var Ca;(function(e){e[e.Invoke=1]="Invoke",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.ContentChange=3]="ContentChange"})(Ca||(Ca={}));var _a;(function(e){e[e.Text=0]="Text",e[e.Read=1]="Read",e[e.Write=2]="Write"})(_a||(_a={})),re("Array","array"),re("Boolean","boolean"),re("Class","class"),re("Constant","constant"),re("Constructor","constructor"),re("Enum","enumeration"),re("EnumMember","enumeration member"),re("Event","event"),re("Field","field"),re("File","file"),re("Function","function"),re("Interface","interface"),re("Key","key"),re("Method","method"),re("Module","module"),re("Namespace","namespace"),re("Null","null"),re("Number","number"),re("Object","object"),re("Operator","operator"),re("Package","package"),re("Property","property"),re("String","string"),re("Struct","struct"),re("TypeParameter","type parameter"),re("Variable","variable");var ka;(function(e){const t=new Map;t.set(0,W.symbolFile),t.set(1,W.symbolModule),t.set(2,W.symbolNamespace),t.set(3,W.symbolPackage),t.set(4,W.symbolClass),t.set(5,W.symbolMethod),t.set(6,W.symbolProperty),t.set(7,W.symbolField),t.set(8,W.symbolConstructor),t.set(9,W.symbolEnum),t.set(10,W.symbolInterface),t.set(11,W.symbolFunction),t.set(12,W.symbolVariable),t.set(13,W.symbolConstant),t.set(14,W.symbolString),t.set(15,W.symbolNumber),t.set(16,W.symbolBoolean),t.set(17,W.symbolArray),t.set(18,W.symbolObject),t.set(19,W.symbolKey),t.set(20,W.symbolNull),t.set(21,W.symbolEnumMember),t.set(22,W.symbolStruct),t.set(23,W.symbolEvent),t.set(24,W.symbolOperator),t.set(25,W.symbolTypeParameter);function n(r){let i=t.get(r);return i||(console.info("No codicon found for SymbolKind "+r),i=W.symbolProperty),i}e.toIcon=n})(ka||(ka={}));let Jf=(Ce=class{static fromValue(t){switch(t){case"comment":return Ce.Comment;case"imports":return Ce.Imports;case"region":return Ce.Region}return new Ce(t)}constructor(t){this.value=t}},Ce.Comment=new Ce("comment"),Ce.Imports=new Ce("imports"),Ce.Region=new Ce("region"),Ce);var Ea;(function(e){e[e.AIGenerated=1]="AIGenerated"})(Ea||(Ea={}));var Fa;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Fa||(Fa={}));var Ra;(function(e){function t(n){return!n||typeof n!="object"?!1:typeof n.id=="string"&&typeof n.title=="string"}e.is=t})(Ra||(Ra={}));var Na;(function(e){e[e.Type=1]="Type",e[e.Parameter=2]="Parameter"})(Na||(Na={})),new va,new va;var Da;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Da||(Da={}));var Ia;(function(e){e[e.Unknown=0]="Unknown",e[e.Disabled=1]="Disabled",e[e.Enabled=2]="Enabled"})(Ia||(Ia={}));var Aa;(function(e){e[e.Invoke=1]="Invoke",e[e.Auto=2]="Auto"})(Aa||(Aa={}));var Ma;(function(e){e[e.None=0]="None",e[e.KeepWhitespace=1]="KeepWhitespace",e[e.InsertAsSnippet=4]="InsertAsSnippet"})(Ma||(Ma={}));var La;(function(e){e[e.Method=0]="Method",e[e.Function=1]="Function",e[e.Constructor=2]="Constructor",e[e.Field=3]="Field",e[e.Variable=4]="Variable",e[e.Class=5]="Class",e[e.Struct=6]="Struct",e[e.Interface=7]="Interface",e[e.Module=8]="Module",e[e.Property=9]="Property",e[e.Event=10]="Event",e[e.Operator=11]="Operator",e[e.Unit=12]="Unit",e[e.Value=13]="Value",e[e.Constant=14]="Constant",e[e.Enum=15]="Enum",e[e.EnumMember=16]="EnumMember",e[e.Keyword=17]="Keyword",e[e.Text=18]="Text",e[e.Color=19]="Color",e[e.File=20]="File",e[e.Reference=21]="Reference",e[e.Customcolor=22]="Customcolor",e[e.Folder=23]="Folder",e[e.TypeParameter=24]="TypeParameter",e[e.User=25]="User",e[e.Issue=26]="Issue",e[e.Snippet=27]="Snippet"})(La||(La={}));var za;(function(e){e[e.Deprecated=1]="Deprecated"})(za||(za={}));var Pa;(function(e){e[e.Invoke=0]="Invoke",e[e.TriggerCharacter=1]="TriggerCharacter",e[e.TriggerForIncompleteCompletions=2]="TriggerForIncompleteCompletions"})(Pa||(Pa={}));var Ta;(function(e){e[e.EXACT=0]="EXACT",e[e.ABOVE=1]="ABOVE",e[e.BELOW=2]="BELOW"})(Ta||(Ta={}));var Oa;(function(e){e[e.NotSet=0]="NotSet",e[e.ContentFlush=1]="ContentFlush",e[e.RecoverFromMarkers=2]="RecoverFromMarkers",e[e.Explicit=3]="Explicit",e[e.Paste=4]="Paste",e[e.Undo=5]="Undo",e[e.Redo=6]="Redo"})(Oa||(Oa={}));var Wa;(function(e){e[e.LF=1]="LF",e[e.CRLF=2]="CRLF"})(Wa||(Wa={}));var Ua;(function(e){e[e.Text=0]="Text",e[e.Read=1]="Read",e[e.Write=2]="Write"})(Ua||(Ua={}));var Va;(function(e){e[e.None=0]="None",e[e.Keep=1]="Keep",e[e.Brackets=2]="Brackets",e[e.Advanced=3]="Advanced",e[e.Full=4]="Full"})(Va||(Va={}));var $a;(function(e){e[e.acceptSuggestionOnCommitCharacter=0]="acceptSuggestionOnCommitCharacter",e[e.acceptSuggestionOnEnter=1]="acceptSuggestionOnEnter",e[e.accessibilitySupport=2]="accessibilitySupport",e[e.accessibilityPageSize=3]="accessibilityPageSize",e[e.ariaLabel=4]="ariaLabel",e[e.ariaRequired=5]="ariaRequired",e[e.autoClosingBrackets=6]="autoClosingBrackets",e[e.autoClosingComments=7]="autoClosingComments",e[e.screenReaderAnnounceInlineSuggestion=8]="screenReaderAnnounceInlineSuggestion",e[e.autoClosingDelete=9]="autoClosingDelete",e[e.autoClosingOvertype=10]="autoClosingOvertype",e[e.autoClosingQuotes=11]="autoClosingQuotes",e[e.autoIndent=12]="autoIndent",e[e.automaticLayout=13]="automaticLayout",e[e.autoSurround=14]="autoSurround",e[e.bracketPairColorization=15]="bracketPairColorization",e[e.guides=16]="guides",e[e.codeLens=17]="codeLens",e[e.codeLensFontFamily=18]="codeLensFontFamily",e[e.codeLensFontSize=19]="codeLensFontSize",e[e.colorDecorators=20]="colorDecorators",e[e.colorDecoratorsLimit=21]="colorDecoratorsLimit",e[e.columnSelection=22]="columnSelection",e[e.comments=23]="comments",e[e.contextmenu=24]="contextmenu",e[e.copyWithSyntaxHighlighting=25]="copyWithSyntaxHighlighting",e[e.cursorBlinking=26]="cursorBlinking",e[e.cursorSmoothCaretAnimation=27]="cursorSmoothCaretAnimation",e[e.cursorStyle=28]="cursorStyle",e[e.cursorSurroundingLines=29]="cursorSurroundingLines",e[e.cursorSurroundingLinesStyle=30]="cursorSurroundingLinesStyle",e[e.cursorWidth=31]="cursorWidth",e[e.disableLayerHinting=32]="disableLayerHinting",e[e.disableMonospaceOptimizations=33]="disableMonospaceOptimizations",e[e.domReadOnly=34]="domReadOnly",e[e.dragAndDrop=35]="dragAndDrop",e[e.dropIntoEditor=36]="dropIntoEditor",e[e.emptySelectionClipboard=37]="emptySelectionClipboard",e[e.experimentalWhitespaceRendering=38]="experimentalWhitespaceRendering",e[e.extraEditorClassName=39]="extraEditorClassName",e[e.fastScrollSensitivity=40]="fastScrollSensitivity",e[e.find=41]="find",e[e.fixedOverflowWidgets=42]="fixedOverflowWidgets",e[e.folding=43]="folding",e[e.foldingStrategy=44]="foldingStrategy",e[e.foldingHighlight=45]="foldingHighlight",e[e.foldingImportsByDefault=46]="foldingImportsByDefault",e[e.foldingMaximumRegions=47]="foldingMaximumRegions",e[e.unfoldOnClickAfterEndOfLine=48]="unfoldOnClickAfterEndOfLine",e[e.fontFamily=49]="fontFamily",e[e.fontInfo=50]="fontInfo",e[e.fontLigatures=51]="fontLigatures",e[e.fontSize=52]="fontSize",e[e.fontWeight=53]="fontWeight",e[e.fontVariations=54]="fontVariations",e[e.formatOnPaste=55]="formatOnPaste",e[e.formatOnType=56]="formatOnType",e[e.glyphMargin=57]="glyphMargin",e[e.gotoLocation=58]="gotoLocation",e[e.hideCursorInOverviewRuler=59]="hideCursorInOverviewRuler",e[e.hover=60]="hover",e[e.inDiffEditor=61]="inDiffEditor",e[e.inlineSuggest=62]="inlineSuggest",e[e.inlineEdit=63]="inlineEdit",e[e.letterSpacing=64]="letterSpacing",e[e.lightbulb=65]="lightbulb",e[e.lineDecorationsWidth=66]="lineDecorationsWidth",e[e.lineHeight=67]="lineHeight",e[e.lineNumbers=68]="lineNumbers",e[e.lineNumbersMinChars=69]="lineNumbersMinChars",e[e.linkedEditing=70]="linkedEditing",e[e.links=71]="links",e[e.matchBrackets=72]="matchBrackets",e[e.minimap=73]="minimap",e[e.mouseStyle=74]="mouseStyle",e[e.mouseWheelScrollSensitivity=75]="mouseWheelScrollSensitivity",e[e.mouseWheelZoom=76]="mouseWheelZoom",e[e.multiCursorMergeOverlapping=77]="multiCursorMergeOverlapping",e[e.multiCursorModifier=78]="multiCursorModifier",e[e.multiCursorPaste=79]="multiCursorPaste",e[e.multiCursorLimit=80]="multiCursorLimit",e[e.occurrencesHighlight=81]="occurrencesHighlight",e[e.overviewRulerBorder=82]="overviewRulerBorder",e[e.overviewRulerLanes=83]="overviewRulerLanes",e[e.padding=84]="padding",e[e.pasteAs=85]="pasteAs",e[e.parameterHints=86]="parameterHints",e[e.peekWidgetDefaultFocus=87]="peekWidgetDefaultFocus",e[e.placeholder=88]="placeholder",e[e.definitionLinkOpensInPeek=89]="definitionLinkOpensInPeek",e[e.quickSuggestions=90]="quickSuggestions",e[e.quickSuggestionsDelay=91]="quickSuggestionsDelay",e[e.readOnly=92]="readOnly",e[e.readOnlyMessage=93]="readOnlyMessage",e[e.renameOnType=94]="renameOnType",e[e.renderControlCharacters=95]="renderControlCharacters",e[e.renderFinalNewline=96]="renderFinalNewline",e[e.renderLineHighlight=97]="renderLineHighlight",e[e.renderLineHighlightOnlyWhenFocus=98]="renderLineHighlightOnlyWhenFocus",e[e.renderValidationDecorations=99]="renderValidationDecorations",e[e.renderWhitespace=100]="renderWhitespace",e[e.revealHorizontalRightPadding=101]="revealHorizontalRightPadding",e[e.roundedSelection=102]="roundedSelection",e[e.rulers=103]="rulers",e[e.scrollbar=104]="scrollbar",e[e.scrollBeyondLastColumn=105]="scrollBeyondLastColumn",e[e.scrollBeyondLastLine=106]="scrollBeyondLastLine",e[e.scrollPredominantAxis=107]="scrollPredominantAxis",e[e.selectionClipboard=108]="selectionClipboard",e[e.selectionHighlight=109]="selectionHighlight",e[e.selectOnLineNumbers=110]="selectOnLineNumbers",e[e.showFoldingControls=111]="showFoldingControls",e[e.showUnused=112]="showUnused",e[e.snippetSuggestions=113]="snippetSuggestions",e[e.smartSelect=114]="smartSelect",e[e.smoothScrolling=115]="smoothScrolling",e[e.stickyScroll=116]="stickyScroll",e[e.stickyTabStops=117]="stickyTabStops",e[e.stopRenderingLineAfter=118]="stopRenderingLineAfter",e[e.suggest=119]="suggest",e[e.suggestFontSize=120]="suggestFontSize",e[e.suggestLineHeight=121]="suggestLineHeight",e[e.suggestOnTriggerCharacters=122]="suggestOnTriggerCharacters",e[e.suggestSelection=123]="suggestSelection",e[e.tabCompletion=124]="tabCompletion",e[e.tabIndex=125]="tabIndex",e[e.unicodeHighlighting=126]="unicodeHighlighting",e[e.unusualLineTerminators=127]="unusualLineTerminators",e[e.useShadowDOM=128]="useShadowDOM",e[e.useTabStops=129]="useTabStops",e[e.wordBreak=130]="wordBreak",e[e.wordSegmenterLocales=131]="wordSegmenterLocales",e[e.wordSeparators=132]="wordSeparators",e[e.wordWrap=133]="wordWrap",e[e.wordWrapBreakAfterCharacters=134]="wordWrapBreakAfterCharacters",e[e.wordWrapBreakBeforeCharacters=135]="wordWrapBreakBeforeCharacters",e[e.wordWrapColumn=136]="wordWrapColumn",e[e.wordWrapOverride1=137]="wordWrapOverride1",e[e.wordWrapOverride2=138]="wordWrapOverride2",e[e.wrappingIndent=139]="wrappingIndent",e[e.wrappingStrategy=140]="wrappingStrategy",e[e.showDeprecated=141]="showDeprecated",e[e.inlayHints=142]="inlayHints",e[e.editorClassName=143]="editorClassName",e[e.pixelRatio=144]="pixelRatio",e[e.tabFocusMode=145]="tabFocusMode",e[e.layoutInfo=146]="layoutInfo",e[e.wrappingInfo=147]="wrappingInfo",e[e.defaultColorDecorators=148]="defaultColorDecorators",e[e.colorDecoratorsActivatedOn=149]="colorDecoratorsActivatedOn",e[e.inlineCompletionsAccessibilityVerbose=150]="inlineCompletionsAccessibilityVerbose"})($a||($a={}));var Ba;(function(e){e[e.TextDefined=0]="TextDefined",e[e.LF=1]="LF",e[e.CRLF=2]="CRLF"})(Ba||(Ba={}));var qa;(function(e){e[e.LF=0]="LF",e[e.CRLF=1]="CRLF"})(qa||(qa={}));var ja;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=3]="Right"})(ja||(ja={}));var Ha;(function(e){e[e.Increase=0]="Increase",e[e.Decrease=1]="Decrease"})(Ha||(Ha={}));var Ga;(function(e){e[e.None=0]="None",e[e.Indent=1]="Indent",e[e.IndentOutdent=2]="IndentOutdent",e[e.Outdent=3]="Outdent"})(Ga||(Ga={}));var Ja;(function(e){e[e.Both=0]="Both",e[e.Right=1]="Right",e[e.Left=2]="Left",e[e.None=3]="None"})(Ja||(Ja={}));var Xa;(function(e){e[e.Type=1]="Type",e[e.Parameter=2]="Parameter"})(Xa||(Xa={}));var Ya;(function(e){e[e.Automatic=0]="Automatic",e[e.Explicit=1]="Explicit"})(Ya||(Ya={}));var Qa;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Qa||(Qa={}));var di;(function(e){e[e.DependsOnKbLayout=-1]="DependsOnKbLayout",e[e.Unknown=0]="Unknown",e[e.Backspace=1]="Backspace",e[e.Tab=2]="Tab",e[e.Enter=3]="Enter",e[e.Shift=4]="Shift",e[e.Ctrl=5]="Ctrl",e[e.Alt=6]="Alt",e[e.PauseBreak=7]="PauseBreak",e[e.CapsLock=8]="CapsLock",e[e.Escape=9]="Escape",e[e.Space=10]="Space",e[e.PageUp=11]="PageUp",e[e.PageDown=12]="PageDown",e[e.End=13]="End",e[e.Home=14]="Home",e[e.LeftArrow=15]="LeftArrow",e[e.UpArrow=16]="UpArrow",e[e.RightArrow=17]="RightArrow",e[e.DownArrow=18]="DownArrow",e[e.Insert=19]="Insert",e[e.Delete=20]="Delete",e[e.Digit0=21]="Digit0",e[e.Digit1=22]="Digit1",e[e.Digit2=23]="Digit2",e[e.Digit3=24]="Digit3",e[e.Digit4=25]="Digit4",e[e.Digit5=26]="Digit5",e[e.Digit6=27]="Digit6",e[e.Digit7=28]="Digit7",e[e.Digit8=29]="Digit8",e[e.Digit9=30]="Digit9",e[e.KeyA=31]="KeyA",e[e.KeyB=32]="KeyB",e[e.KeyC=33]="KeyC",e[e.KeyD=34]="KeyD",e[e.KeyE=35]="KeyE",e[e.KeyF=36]="KeyF",e[e.KeyG=37]="KeyG",e[e.KeyH=38]="KeyH",e[e.KeyI=39]="KeyI",e[e.KeyJ=40]="KeyJ",e[e.KeyK=41]="KeyK",e[e.KeyL=42]="KeyL",e[e.KeyM=43]="KeyM",e[e.KeyN=44]="KeyN",e[e.KeyO=45]="KeyO",e[e.KeyP=46]="KeyP",e[e.KeyQ=47]="KeyQ",e[e.KeyR=48]="KeyR",e[e.KeyS=49]="KeyS",e[e.KeyT=50]="KeyT",e[e.KeyU=51]="KeyU",e[e.KeyV=52]="KeyV",e[e.KeyW=53]="KeyW",e[e.KeyX=54]="KeyX",e[e.KeyY=55]="KeyY",e[e.KeyZ=56]="KeyZ",e[e.Meta=57]="Meta",e[e.ContextMenu=58]="ContextMenu",e[e.F1=59]="F1",e[e.F2=60]="F2",e[e.F3=61]="F3",e[e.F4=62]="F4",e[e.F5=63]="F5",e[e.F6=64]="F6",e[e.F7=65]="F7",e[e.F8=66]="F8",e[e.F9=67]="F9",e[e.F10=68]="F10",e[e.F11=69]="F11",e[e.F12=70]="F12",e[e.F13=71]="F13",e[e.F14=72]="F14",e[e.F15=73]="F15",e[e.F16=74]="F16",e[e.F17=75]="F17",e[e.F18=76]="F18",e[e.F19=77]="F19",e[e.F20=78]="F20",e[e.F21=79]="F21",e[e.F22=80]="F22",e[e.F23=81]="F23",e[e.F24=82]="F24",e[e.NumLock=83]="NumLock",e[e.ScrollLock=84]="ScrollLock",e[e.Semicolon=85]="Semicolon",e[e.Equal=86]="Equal",e[e.Comma=87]="Comma",e[e.Minus=88]="Minus",e[e.Period=89]="Period",e[e.Slash=90]="Slash",e[e.Backquote=91]="Backquote",e[e.BracketLeft=92]="BracketLeft",e[e.Backslash=93]="Backslash",e[e.BracketRight=94]="BracketRight",e[e.Quote=95]="Quote",e[e.OEM_8=96]="OEM_8",e[e.IntlBackslash=97]="IntlBackslash",e[e.Numpad0=98]="Numpad0",e[e.Numpad1=99]="Numpad1",e[e.Numpad2=100]="Numpad2",e[e.Numpad3=101]="Numpad3",e[e.Numpad4=102]="Numpad4",e[e.Numpad5=103]="Numpad5",e[e.Numpad6=104]="Numpad6",e[e.Numpad7=105]="Numpad7",e[e.Numpad8=106]="Numpad8",e[e.Numpad9=107]="Numpad9",e[e.NumpadMultiply=108]="NumpadMultiply",e[e.NumpadAdd=109]="NumpadAdd",e[e.NUMPAD_SEPARATOR=110]="NUMPAD_SEPARATOR",e[e.NumpadSubtract=111]="NumpadSubtract",e[e.NumpadDecimal=112]="NumpadDecimal",e[e.NumpadDivide=113]="NumpadDivide",e[e.KEY_IN_COMPOSITION=114]="KEY_IN_COMPOSITION",e[e.ABNT_C1=115]="ABNT_C1",e[e.ABNT_C2=116]="ABNT_C2",e[e.AudioVolumeMute=117]="AudioVolumeMute",e[e.AudioVolumeUp=118]="AudioVolumeUp",e[e.AudioVolumeDown=119]="AudioVolumeDown",e[e.BrowserSearch=120]="BrowserSearch",e[e.BrowserHome=121]="BrowserHome",e[e.BrowserBack=122]="BrowserBack",e[e.BrowserForward=123]="BrowserForward",e[e.MediaTrackNext=124]="MediaTrackNext",e[e.MediaTrackPrevious=125]="MediaTrackPrevious",e[e.MediaStop=126]="MediaStop",e[e.MediaPlayPause=127]="MediaPlayPause",e[e.LaunchMediaPlayer=128]="LaunchMediaPlayer",e[e.LaunchMail=129]="LaunchMail",e[e.LaunchApp2=130]="LaunchApp2",e[e.Clear=131]="Clear",e[e.MAX_VALUE=132]="MAX_VALUE"})(di||(di={}));var ui;(function(e){e[e.Hint=1]="Hint",e[e.Info=2]="Info",e[e.Warning=4]="Warning",e[e.Error=8]="Error"})(ui||(ui={}));var pi;(function(e){e[e.Unnecessary=1]="Unnecessary",e[e.Deprecated=2]="Deprecated"})(pi||(pi={}));var Ka;(function(e){e[e.Inline=1]="Inline",e[e.Gutter=2]="Gutter"})(Ka||(Ka={}));var Za;(function(e){e[e.Normal=1]="Normal",e[e.Underlined=2]="Underlined"})(Za||(Za={}));var eo;(function(e){e[e.UNKNOWN=0]="UNKNOWN",e[e.TEXTAREA=1]="TEXTAREA",e[e.GUTTER_GLYPH_MARGIN=2]="GUTTER_GLYPH_MARGIN",e[e.GUTTER_LINE_NUMBERS=3]="GUTTER_LINE_NUMBERS",e[e.GUTTER_LINE_DECORATIONS=4]="GUTTER_LINE_DECORATIONS",e[e.GUTTER_VIEW_ZONE=5]="GUTTER_VIEW_ZONE",e[e.CONTENT_TEXT=6]="CONTENT_TEXT",e[e.CONTENT_EMPTY=7]="CONTENT_EMPTY",e[e.CONTENT_VIEW_ZONE=8]="CONTENT_VIEW_ZONE",e[e.CONTENT_WIDGET=9]="CONTENT_WIDGET",e[e.OVERVIEW_RULER=10]="OVERVIEW_RULER",e[e.SCROLLBAR=11]="SCROLLBAR",e[e.OVERLAY_WIDGET=12]="OVERLAY_WIDGET",e[e.OUTSIDE_EDITOR=13]="OUTSIDE_EDITOR"})(eo||(eo={}));var to;(function(e){e[e.AIGenerated=1]="AIGenerated"})(to||(to={}));var no;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(no||(no={}));var ro;(function(e){e[e.TOP_RIGHT_CORNER=0]="TOP_RIGHT_CORNER",e[e.BOTTOM_RIGHT_CORNER=1]="BOTTOM_RIGHT_CORNER",e[e.TOP_CENTER=2]="TOP_CENTER"})(ro||(ro={}));var io;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=4]="Right",e[e.Full=7]="Full"})(io||(io={}));var so;(function(e){e[e.Word=0]="Word",e[e.Line=1]="Line",e[e.Suggest=2]="Suggest"})(so||(so={}));var ao;(function(e){e[e.Left=0]="Left",e[e.Right=1]="Right",e[e.None=2]="None",e[e.LeftOfInjectedText=3]="LeftOfInjectedText",e[e.RightOfInjectedText=4]="RightOfInjectedText"})(ao||(ao={}));var oo;(function(e){e[e.Off=0]="Off",e[e.On=1]="On",e[e.Relative=2]="Relative",e[e.Interval=3]="Interval",e[e.Custom=4]="Custom"})(oo||(oo={}));var lo;(function(e){e[e.None=0]="None",e[e.Text=1]="Text",e[e.Blocks=2]="Blocks"})(lo||(lo={}));var co;(function(e){e[e.Smooth=0]="Smooth",e[e.Immediate=1]="Immediate"})(co||(co={}));var ho;(function(e){e[e.Auto=1]="Auto",e[e.Hidden=2]="Hidden",e[e.Visible=3]="Visible"})(ho||(ho={}));var mi;(function(e){e[e.LTR=0]="LTR",e[e.RTL=1]="RTL"})(mi||(mi={}));var uo;(function(e){e.Off="off",e.OnCode="onCode",e.On="on"})(uo||(uo={}));var po;(function(e){e[e.Invoke=1]="Invoke",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.ContentChange=3]="ContentChange"})(po||(po={}));var mo;(function(e){e[e.File=0]="File",e[e.Module=1]="Module",e[e.Namespace=2]="Namespace",e[e.Package=3]="Package",e[e.Class=4]="Class",e[e.Method=5]="Method",e[e.Property=6]="Property",e[e.Field=7]="Field",e[e.Constructor=8]="Constructor",e[e.Enum=9]="Enum",e[e.Interface=10]="Interface",e[e.Function=11]="Function",e[e.Variable=12]="Variable",e[e.Constant=13]="Constant",e[e.String=14]="String",e[e.Number=15]="Number",e[e.Boolean=16]="Boolean",e[e.Array=17]="Array",e[e.Object=18]="Object",e[e.Key=19]="Key",e[e.Null=20]="Null",e[e.EnumMember=21]="EnumMember",e[e.Struct=22]="Struct",e[e.Event=23]="Event",e[e.Operator=24]="Operator",e[e.TypeParameter=25]="TypeParameter"})(mo||(mo={}));var fo;(function(e){e[e.Deprecated=1]="Deprecated"})(fo||(fo={}));var go;(function(e){e[e.Hidden=0]="Hidden",e[e.Blink=1]="Blink",e[e.Smooth=2]="Smooth",e[e.Phase=3]="Phase",e[e.Expand=4]="Expand",e[e.Solid=5]="Solid"})(go||(go={}));var bo;(function(e){e[e.Line=1]="Line",e[e.Block=2]="Block",e[e.Underline=3]="Underline",e[e.LineThin=4]="LineThin",e[e.BlockOutline=5]="BlockOutline",e[e.UnderlineThin=6]="UnderlineThin"})(bo||(bo={}));var vo;(function(e){e[e.AlwaysGrowsWhenTypingAtEdges=0]="AlwaysGrowsWhenTypingAtEdges",e[e.NeverGrowsWhenTypingAtEdges=1]="NeverGrowsWhenTypingAtEdges",e[e.GrowsOnlyWhenTypingBefore=2]="GrowsOnlyWhenTypingBefore",e[e.GrowsOnlyWhenTypingAfter=3]="GrowsOnlyWhenTypingAfter"})(vo||(vo={}));var wo;(function(e){e[e.None=0]="None",e[e.Same=1]="Same",e[e.Indent=2]="Indent",e[e.DeepIndent=3]="DeepIndent"})(wo||(wo={}));const sn=class sn{static chord(t,n){return $d(t,n)}};sn.CtrlCmd=2048,sn.Shift=1024,sn.Alt=512,sn.WinCtrl=256;let fi=sn;function Jd(){return{editor:void 0,languages:void 0,CancellationTokenSource:Od,Emitter:De,KeyCode:di,KeyMod:fi,Position:he,Range:Q,Selection:Fe,SelectionDirection:mi,MarkerSeverity:ui,MarkerTag:pi,Uri:$e,Token:Gd}}const Pn=class Pn{static getChannel(t){return t.getChannel(Pn.CHANNEL_NAME)}static setChannel(t,n){t.setChannel(Pn.CHANNEL_NAME,n)}};Pn.CHANNEL_NAME="editorWorkerHost";let gi=Pn;var yo;class Xd{constructor(){this[yo]="LinkedMap",this._map=new Map,this._head=void 0,this._tail=void 0,this._size=0,this._state=0}clear(){this._map.clear(),this._head=void 0,this._tail=void 0,this._size=0,this._state++}isEmpty(){return!this._head&&!this._tail}get size(){return this._size}get first(){var t;return(t=this._head)==null?void 0:t.value}get last(){var t;return(t=this._tail)==null?void 0:t.value}has(t){return this._map.has(t)}get(t,n=0){const r=this._map.get(t);if(r)return n!==0&&this.touch(r,n),r.value}set(t,n,r=0){let i=this._map.get(t);if(i)i.value=n,r!==0&&this.touch(i,r);else{switch(i={key:t,value:n,next:void 0,previous:void 0},r){case 0:this.addItemLast(i);break;case 1:this.addItemFirst(i);break;case 2:this.addItemLast(i);break;default:this.addItemLast(i);break}this._map.set(t,i),this._size++}return this}delete(t){return!!this.remove(t)}remove(t){const n=this._map.get(t);if(n)return this._map.delete(t),this.removeItem(n),this._size--,n.value}shift(){if(!this._head&&!this._tail)return;if(!this._head||!this._tail)throw new Error("Invalid list");const t=this._head;return this._map.delete(t.key),this.removeItem(t),this._size--,t.value}forEach(t,n){const r=this._state;let i=this._head;for(;i;){if(n?t.bind(n)(i.value,i.key,this):t(i.value,i.key,this),this._state!==r)throw new Error("LinkedMap got modified during iteration.");i=i.next}}keys(){const t=this,n=this._state;let r=this._head;const i={[Symbol.iterator](){return i},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(r){const s={value:r.key,done:!1};return r=r.next,s}else return{value:void 0,done:!0}}};return i}values(){const t=this,n=this._state;let r=this._head;const i={[Symbol.iterator](){return i},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(r){const s={value:r.value,done:!1};return r=r.next,s}else return{value:void 0,done:!0}}};return i}entries(){const t=this,n=this._state;let r=this._head;const i={[Symbol.iterator](){return i},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(r){const s={value:[r.key,r.value],done:!1};return r=r.next,s}else return{value:void 0,done:!0}}};return i}[(yo=Symbol.toStringTag,Symbol.iterator)](){return this.entries()}trimOld(t){if(t>=this.size)return;if(t===0){this.clear();return}let n=this._head,r=this.size;for(;n&&r>t;)this._map.delete(n.key),n=n.next,r--;this._head=n,this._size=r,n&&(n.previous=void 0),this._state++}trimNew(t){if(t>=this.size)return;if(t===0){this.clear();return}let n=this._tail,r=this.size;for(;n&&r>t;)this._map.delete(n.key),n=n.previous,r--;this._tail=n,this._size=r,n&&(n.next=void 0),this._state++}addItemFirst(t){if(!this._head&&!this._tail)this._tail=t;else if(this._head)t.next=this._head,this._head.previous=t;else throw new Error("Invalid list");this._head=t,this._state++}addItemLast(t){if(!this._head&&!this._tail)this._head=t;else if(this._tail)t.previous=this._tail,this._tail.next=t;else throw new Error("Invalid list");this._tail=t,this._state++}removeItem(t){if(t===this._head&&t===this._tail)this._head=void 0,this._tail=void 0;else if(t===this._head){if(!t.next)throw new Error("Invalid list");t.next.previous=void 0,this._head=t.next}else if(t===this._tail){if(!t.previous)throw new Error("Invalid list");t.previous.next=void 0,this._tail=t.previous}else{const n=t.next,r=t.previous;if(!n||!r)throw new Error("Invalid list");n.previous=r,r.next=n}t.next=void 0,t.previous=void 0,this._state++}touch(t,n){if(!this._head||!this._tail)throw new Error("Invalid list");if(!(n!==1&&n!==2)){if(n===1){if(t===this._head)return;const r=t.next,i=t.previous;t===this._tail?(i.next=void 0,this._tail=i):(r.previous=i,i.next=r),t.previous=void 0,t.next=this._head,this._head.previous=t,this._head=t,this._state++}else if(n===2){if(t===this._tail)return;const r=t.next,i=t.previous;t===this._head?(r.previous=void 0,this._head=r):(r.previous=i,i.next=r),t.next=void 0,t.previous=this._tail,this._tail.next=t,this._tail=t,this._state++}}}toJSON(){const t=[];return this.forEach((n,r)=>{t.push([r,n])}),t}fromJSON(t){this.clear();for(const[n,r]of t)this.set(n,r)}}class Yd extends Xd{constructor(t,n=1){super(),this._limit=t,this._ratio=Math.min(Math.max(0,n),1)}get limit(){return this._limit}set limit(t){this._limit=t,this.checkTrim()}get(t,n=2){return super.get(t,n)}peek(t){return super.get(t,0)}set(t,n){return super.set(t,n,2),this}checkTrim(){this.size>this._limit&&this.trim(Math.round(this._limit*this._ratio))}}class Qd extends Yd{constructor(t,n=1){super(t,n)}trim(t){this.trimOld(t)}set(t,n){return super.set(t,n),this.checkTrim(),this}}class Kd{constructor(){this.map=new Map}add(t,n){let r=this.map.get(t);r||(r=new Set,this.map.set(t,r)),r.add(n)}delete(t,n){const r=this.map.get(t);r&&(r.delete(n),r.size===0&&this.map.delete(t))}forEach(t,n){const r=this.map.get(t);r&&r.forEach(n)}get(t){const n=this.map.get(t);return n||new Set}}new Qd(10);function Zd(e){let t=[];for(;Object.prototype!==e;)t=t.concat(Object.getOwnPropertyNames(e)),e=Object.getPrototypeOf(e);return t}function xo(e){const t=[];for(const n of Zd(e))typeof e[n]=="function"&&t.push(n);return t}function eu(e,t){const n=i=>function(){const s=Array.prototype.slice.call(arguments,0);return t(i,s)},r={};for(const i of e)r[i]=n(i);return r}var So;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=4]="Right",e[e.Full=7]="Full"})(So||(So={}));var Co;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=3]="Right"})(Co||(Co={}));var _o;(function(e){e[e.Both=0]="Both",e[e.Right=1]="Right",e[e.Left=2]="Left",e[e.None=3]="None"})(_o||(_o={}));function tu(e,t,n,r,i){if(r===0)return!0;const s=t.charCodeAt(r-1);if(e.get(s)!==0||s===13||s===10)return!0;if(i>0){const a=t.charCodeAt(r);if(e.get(a)!==0)return!0}return!1}function nu(e,t,n,r,i){if(r+i===n)return!0;const s=t.charCodeAt(r+i);if(e.get(s)!==0||s===13||s===10)return!0;if(i>0){const a=t.charCodeAt(r+i-1);if(e.get(a)!==0)return!0}return!1}function ru(e,t,n,r,i){return tu(e,t,n,r,i)&&nu(e,t,n,r,i)}class iu{constructor(t,n){this._wordSeparators=t,this._searchRegex=n,this._prevMatchStartIndex=-1,this._prevMatchLength=0}reset(t){this._searchRegex.lastIndex=t,this._prevMatchStartIndex=-1,this._prevMatchLength=0}next(t){const n=t.length;let r;do{if(this._prevMatchStartIndex+this._prevMatchLength===n||(r=this._searchRegex.exec(t),!r))return null;const i=r.index,s=r[0].length;if(i===this._prevMatchStartIndex&&s===this._prevMatchLength){if(s===0){Qh(t,n,this._searchRegex.lastIndex)>65535?this._searchRegex.lastIndex+=2:this._searchRegex.lastIndex+=1;continue}return null}if(this._prevMatchStartIndex=i,this._prevMatchLength=s,!this._wordSeparators||ru(this._wordSeparators,t,n,i,s))return r}while(r);return null}}function su(e,t="Unreachable"){throw new Error(t)}function Qn(e){if(!e()){debugger;e(),Ue(new Ee("Assertion Failed"))}}function ko(e,t){let n=0;for(;n<e.length-1;){const r=e[n],i=e[n+1];if(!t(r,i))return!1;n++}return!0}const au="`~!@#$%^&*()-=+[{]}\\|;:'\",.<>/?";function ou(e=""){let t="(-?\\d*\\.\\d\\w*)|([^";for(const n of au)e.indexOf(n)>=0||(t+="\\"+n);return t+="\\s]+)",new RegExp(t,"g")}const Eo=ou();function Fo(e){let t=Eo;if(e&&e instanceof RegExp)if(e.global)t=e;else{let n="g";e.ignoreCase&&(n+="i"),e.multiline&&(n+="m"),e.unicode&&(n+="u"),t=new RegExp(e.source,n)}return t.lastIndex=0,t}const Ro=new Fh;Ro.unshift({maxLen:1e3,windowSize:15,timeBudget:150});function bi(e,t,n,r,i){if(t=Fo(t),i||(i=On.first(Ro)),n.length>i.maxLen){let c=e-i.maxLen/2;return c<0?c=0:r+=c,n=n.substring(c,e+i.maxLen/2),bi(e,t,n,r,i)}const s=Date.now(),a=e-1-r;let l=-1,o=null;for(let c=1;!(Date.now()-s>=i.timeBudget);c++){const d=a-i.windowSize*c;t.lastIndex=Math.max(0,d);const u=lu(t,n,a,l);if(!u&&o||(o=u,d<=0))break;l=d}if(o){const c={word:o[0],startColumn:r+1+o.index,endColumn:r+1+o.index+o[0].length};return t.lastIndex=0,c}return null}function lu(e,t,n,r){let i;for(;i=e.exec(t);){const s=i.index||0;if(s<=n&&e.lastIndex>=n)return i;if(r>0&&s>r)return null}return null}class cu{static computeUnicodeHighlights(t,n,r){const i=r?r.startLineNumber:1,s=r?r.endLineNumber:t.getLineCount(),a=new No(n),l=a.getCandidateCodePoints();let o;l==="allNonBasicAscii"?o=new RegExp("[^\\t\\n\\r\\x20-\\x7E]","g"):o=new RegExp(`${hu(Array.from(l))}`,"g");const c=new iu(null,o),d=[];let u=!1,f,m=0,v=0,w=0;e:for(let k=i,R=s;k<=R;k++){const I=t.getLineContent(k),N=I.length;c.reset(0);do if(f=c.next(I),f){let E=f.index,F=f.index+f[0].length;if(E>0){const j=I.charCodeAt(E-1);Bn(j)&&E--}if(F+1<N){const j=I.charCodeAt(F-1);Bn(j)&&F++}const M=I.substring(E,F);let T=bi(E+1,Eo,I,0);T&&T.endColumn<=E+1&&(T=null);const P=a.shouldHighlightNonBasicASCII(M,T?T.word:null);if(P!==0){if(P===3?m++:P===2?v++:P===1?w++:su(),d.length>=1e3){u=!0;break e}d.push(new Q(k,E+1,k,F+1))}}while(f)}return{ranges:d,hasMore:u,ambiguousCharacterCount:m,invisibleCharacterCount:v,nonBasicAsciiCharacterCount:w}}static computeUnicodeHighlightReason(t,n){const r=new No(n);switch(r.shouldHighlightNonBasicASCII(t,null)){case 0:return null;case 2:return{kind:1};case 3:{const s=t.codePointAt(0),a=r.ambiguousCharacters.getPrimaryConfusable(s),l=cn.getLocales().filter(o=>!cn.getInstance(new Set([...n.allowedLocales,o])).isAmbiguous(s));return{kind:0,confusableWith:String.fromCodePoint(a),notAmbiguousInLocales:l}}case 1:return{kind:2}}}}function hu(e,t){return`[${Gh(e.map(r=>String.fromCodePoint(r)).join(""))}]`}class No{constructor(t){this.options=t,this.allowedCodePoints=new Set(t.allowedCodePoints),this.ambiguousCharacters=cn.getInstance(new Set(t.allowedLocales))}getCandidateCodePoints(){if(this.options.nonBasicASCII)return"allNonBasicAscii";const t=new Set;if(this.options.invisibleCharacters)for(const n of hn.codePoints)Do(String.fromCodePoint(n))||t.add(n);if(this.options.ambiguousCharacters)for(const n of this.ambiguousCharacters.getConfusableCodePoints())t.add(n);for(const n of this.allowedCodePoints)t.delete(n);return t}shouldHighlightNonBasicASCII(t,n){const r=t.codePointAt(0);if(this.allowedCodePoints.has(r))return 0;if(this.options.nonBasicASCII)return 1;let i=!1,s=!1;if(n)for(const a of n){const l=a.codePointAt(0),o=Zh(a);i=i||o,!o&&!this.ambiguousCharacters.isAmbiguous(l)&&!hn.isInvisibleCharacter(l)&&(s=!0)}return!i&&s?0:this.options.invisibleCharacters&&!Do(t)&&hn.isInvisibleCharacter(r)?2:this.options.ambiguousCharacters&&this.ambiguousCharacters.isAmbiguous(r)?3:0}}function Do(e){return e===" "||e===`
+`||e===" "}class Kn{constructor(t,n,r){this.changes=t,this.moves=n,this.hitTimeout=r}}class du{constructor(t,n){this.lineRangeMapping=t,this.changes=n}}class Y{static addRange(t,n){let r=0;for(;r<n.length&&n[r].endExclusive<t.start;)r++;let i=r;for(;i<n.length&&n[i].start<=t.endExclusive;)i++;if(r===i)n.splice(r,0,t);else{const s=Math.min(t.start,n[r].start),a=Math.max(t.endExclusive,n[i-1].endExclusive);n.splice(r,i-r,new Y(s,a))}}static tryCreate(t,n){if(!(t>n))return new Y(t,n)}static ofLength(t){return new Y(0,t)}static ofStartAndLength(t,n){return new Y(t,t+n)}constructor(t,n){if(this.start=t,this.endExclusive=n,t>n)throw new Ee(`Invalid range: ${this.toString()}`)}get isEmpty(){return this.start===this.endExclusive}delta(t){return new Y(this.start+t,this.endExclusive+t)}deltaStart(t){return new Y(this.start+t,this.endExclusive)}deltaEnd(t){return new Y(this.start,this.endExclusive+t)}get length(){return this.endExclusive-this.start}toString(){return`[${this.start}, ${this.endExclusive})`}contains(t){return this.start<=t&&t<this.endExclusive}join(t){return new Y(Math.min(this.start,t.start),Math.max(this.endExclusive,t.endExclusive))}intersect(t){const n=Math.max(this.start,t.start),r=Math.min(this.endExclusive,t.endExclusive);if(n<=r)return new Y(n,r)}intersects(t){const n=Math.max(this.start,t.start),r=Math.min(this.endExclusive,t.endExclusive);return n<r}isBefore(t){return this.endExclusive<=t.start}isAfter(t){return this.start>=t.endExclusive}slice(t){return t.slice(this.start,this.endExclusive)}substring(t){return t.substring(this.start,this.endExclusive)}clip(t){if(this.isEmpty)throw new Ee(`Invalid clipping range: ${this.toString()}`);return Math.max(this.start,Math.min(this.endExclusive-1,t))}clipCyclic(t){if(this.isEmpty)throw new Ee(`Invalid clipping range: ${this.toString()}`);return t<this.start?this.endExclusive-(this.start-t)%this.length:t>=this.endExclusive?this.start+(t-this.start)%this.length:t}forEach(t){for(let n=this.start;n<this.endExclusive;n++)t(n)}}function $t(e,t){const n=pn(e,t);return n===-1?void 0:e[n]}function pn(e,t,n=0,r=e.length){let i=n,s=r;for(;i<s;){const a=Math.floor((i+s)/2);t(e[a])?i=a+1:s=a}return i-1}function uu(e,t){const n=vi(e,t);return n===e.length?void 0:e[n]}function vi(e,t,n=0,r=e.length){let i=n,s=r;for(;i<s;){const a=Math.floor((i+s)/2);t(e[a])?s=a:i=a+1}return i}const zr=class zr{constructor(t){this._array=t,this._findLastMonotonousLastIdx=0}findLastMonotonous(t){if(zr.assertInvariants){if(this._prevFindLastPredicate){for(const r of this._array)if(this._prevFindLastPredicate(r)&&!t(r))throw new Error("MonotonousArray: current predicate must be weaker than (or equal to) the previous predicate.")}this._prevFindLastPredicate=t}const n=pn(this._array,t,this._findLastMonotonousLastIdx);return this._findLastMonotonousLastIdx=n+1,n===-1?void 0:this._array[n]}};zr.assertInvariants=!1;let Zn=zr;class H{static fromRangeInclusive(t){return new H(t.startLineNumber,t.endLineNumber+1)}static joinMany(t){if(t.length===0)return[];let n=new Be(t[0].slice());for(let r=1;r<t.length;r++)n=n.getUnion(new Be(t[r].slice()));return n.ranges}static join(t){if(t.length===0)throw new Ee("lineRanges cannot be empty");let n=t[0].startLineNumber,r=t[0].endLineNumberExclusive;for(let i=1;i<t.length;i++)n=Math.min(n,t[i].startLineNumber),r=Math.max(r,t[i].endLineNumberExclusive);return new H(n,r)}static ofLength(t,n){return new H(t,t+n)}static deserialize(t){return new H(t[0],t[1])}constructor(t,n){if(t>n)throw new Ee(`startLineNumber ${t} cannot be after endLineNumberExclusive ${n}`);this.startLineNumber=t,this.endLineNumberExclusive=n}contains(t){return this.startLineNumber<=t&&t<this.endLineNumberExclusive}get isEmpty(){return this.startLineNumber===this.endLineNumberExclusive}delta(t){return new H(this.startLineNumber+t,this.endLineNumberExclusive+t)}deltaLength(t){return new H(this.startLineNumber,this.endLineNumberExclusive+t)}get length(){return this.endLineNumberExclusive-this.startLineNumber}join(t){return new H(Math.min(this.startLineNumber,t.startLineNumber),Math.max(this.endLineNumberExclusive,t.endLineNumberExclusive))}toString(){return`[${this.startLineNumber},${this.endLineNumberExclusive})`}intersect(t){const n=Math.max(this.startLineNumber,t.startLineNumber),r=Math.min(this.endLineNumberExclusive,t.endLineNumberExclusive);if(n<=r)return new H(n,r)}intersectsStrict(t){return this.startLineNumber<t.endLineNumberExclusive&&t.startLineNumber<this.endLineNumberExclusive}overlapOrTouch(t){return this.startLineNumber<=t.endLineNumberExclusive&&t.startLineNumber<=this.endLineNumberExclusive}equals(t){return this.startLineNumber===t.startLineNumber&&this.endLineNumberExclusive===t.endLineNumberExclusive}toInclusiveRange(){return this.isEmpty?null:new Q(this.startLineNumber,1,this.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER)}toExclusiveRange(){return new Q(this.startLineNumber,1,this.endLineNumberExclusive,1)}mapToLineArray(t){const n=[];for(let r=this.startLineNumber;r<this.endLineNumberExclusive;r++)n.push(t(r));return n}forEach(t){for(let n=this.startLineNumber;n<this.endLineNumberExclusive;n++)t(n)}serialize(){return[this.startLineNumber,this.endLineNumberExclusive]}includes(t){return this.startLineNumber<=t&&t<this.endLineNumberExclusive}toOffsetRange(){return new Y(this.startLineNumber-1,this.endLineNumberExclusive-1)}}class Be{constructor(t=[]){this._normalizedRanges=t}get ranges(){return this._normalizedRanges}addRange(t){if(t.length===0)return;const n=vi(this._normalizedRanges,i=>i.endLineNumberExclusive>=t.startLineNumber),r=pn(this._normalizedRanges,i=>i.startLineNumber<=t.endLineNumberExclusive)+1;if(n===r)this._normalizedRanges.splice(n,0,t);else if(n===r-1){const i=this._normalizedRanges[n];this._normalizedRanges[n]=i.join(t)}else{const i=this._normalizedRanges[n].join(this._normalizedRanges[r-1]).join(t);this._normalizedRanges.splice(n,r-n,i)}}contains(t){const n=$t(this._normalizedRanges,r=>r.startLineNumber<=t);return!!n&&n.endLineNumberExclusive>t}intersects(t){const n=$t(this._normalizedRanges,r=>r.startLineNumber<t.endLineNumberExclusive);return!!n&&n.endLineNumberExclusive>t.startLineNumber}getUnion(t){if(this._normalizedRanges.length===0)return t;if(t._normalizedRanges.length===0)return this;const n=[];let r=0,i=0,s=null;for(;r<this._normalizedRanges.length||i<t._normalizedRanges.length;){let a=null;if(r<this._normalizedRanges.length&&i<t._normalizedRanges.length){const l=this._normalizedRanges[r],o=t._normalizedRanges[i];l.startLineNumber<o.startLineNumber?(a=l,r++):(a=o,i++)}else r<this._normalizedRanges.length?(a=this._normalizedRanges[r],r++):(a=t._normalizedRanges[i],i++);s===null?s=a:s.endLineNumberExclusive>=a.startLineNumber?s=new H(s.startLineNumber,Math.max(s.endLineNumberExclusive,a.endLineNumberExclusive)):(n.push(s),s=a)}return s!==null&&n.push(s),new Be(n)}subtractFrom(t){const n=vi(this._normalizedRanges,a=>a.endLineNumberExclusive>=t.startLineNumber),r=pn(this._normalizedRanges,a=>a.startLineNumber<=t.endLineNumberExclusive)+1;if(n===r)return new Be([t]);const i=[];let s=t.startLineNumber;for(let a=n;a<r;a++){const l=this._normalizedRanges[a];l.startLineNumber>s&&i.push(new H(s,l.startLineNumber)),s=l.endLineNumberExclusive}return s<t.endLineNumberExclusive&&i.push(new H(s,t.endLineNumberExclusive)),new Be(i)}toString(){return this._normalizedRanges.map(t=>t.toString()).join(", ")}getIntersection(t){const n=[];let r=0,i=0;for(;r<this._normalizedRanges.length&&i<t._normalizedRanges.length;){const s=this._normalizedRanges[r],a=t._normalizedRanges[i],l=s.intersect(a);l&&!l.isEmpty&&n.push(l),s.endLineNumberExclusive<a.endLineNumberExclusive?r++:i++}return new Be(n)}getWithDelta(t){return new Be(this._normalizedRanges.map(n=>n.delta(t)))}}const St=class St{static betweenPositions(t,n){return t.lineNumber===n.lineNumber?new St(0,n.column-t.column):new St(n.lineNumber-t.lineNumber,n.column-1)}static ofRange(t){return St.betweenPositions(t.getStartPosition(),t.getEndPosition())}static ofText(t){let n=0,r=0;for(const i of t)i===`
+`?(n++,r=0):r++;return new St(n,r)}constructor(t,n){this.lineCount=t,this.columnCount=n}isGreaterThanOrEqualTo(t){return this.lineCount!==t.lineCount?this.lineCount>t.lineCount:this.columnCount>=t.columnCount}createRange(t){return this.lineCount===0?new Q(t.lineNumber,t.column,t.lineNumber,t.column+this.columnCount):new Q(t.lineNumber,t.column,t.lineNumber+this.lineCount,this.columnCount+1)}addToPosition(t){return this.lineCount===0?new he(t.lineNumber,t.column+this.columnCount):new he(t.lineNumber+this.lineCount,this.columnCount+1)}toString(){return`${this.lineCount},${this.columnCount}`}};St.zero=new St(0,0);let Io=St;class pu{constructor(t,n){this.range=t,this.text=n}toSingleEditOperation(){return{range:this.range,text:this.text}}}class Ie{static inverse(t,n,r){const i=[];let s=1,a=1;for(const o of t){const c=new Ie(new H(s,o.original.startLineNumber),new H(a,o.modified.startLineNumber));c.modified.isEmpty||i.push(c),s=o.original.endLineNumberExclusive,a=o.modified.endLineNumberExclusive}const l=new Ie(new H(s,n+1),new H(a,r+1));return l.modified.isEmpty||i.push(l),i}static clip(t,n,r){const i=[];for(const s of t){const a=s.original.intersect(n),l=s.modified.intersect(r);a&&!a.isEmpty&&l&&!l.isEmpty&&i.push(new Ie(a,l))}return i}constructor(t,n){this.original=t,this.modified=n}toString(){return`{${this.original.toString()}->${this.modified.toString()}}`}flip(){return new Ie(this.modified,this.original)}join(t){return new Ie(this.original.join(t.original),this.modified.join(t.modified))}toRangeMapping(){const t=this.original.toInclusiveRange(),n=this.modified.toInclusiveRange();if(t&&n)return new Oe(t,n);if(this.original.startLineNumber===1||this.modified.startLineNumber===1){if(!(this.modified.startLineNumber===1&&this.original.startLineNumber===1))throw new Ee("not a valid diff");return new Oe(new Q(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new Q(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1))}else return new Oe(new Q(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),new Q(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER))}toRangeMapping2(t,n){if(Ao(this.original.endLineNumberExclusive,t)&&Ao(this.modified.endLineNumberExclusive,n))return new Oe(new Q(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new Q(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1));if(!this.original.isEmpty&&!this.modified.isEmpty)return new Oe(Q.fromPositions(new he(this.original.startLineNumber,1),Bt(new he(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),t)),Q.fromPositions(new he(this.modified.startLineNumber,1),Bt(new he(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),n)));if(this.original.startLineNumber>1&&this.modified.startLineNumber>1)return new Oe(Q.fromPositions(Bt(new he(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER),t),Bt(new he(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),t)),Q.fromPositions(Bt(new he(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER),n),Bt(new he(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),n)));throw new Ee}}function Bt(e,t){if(e.lineNumber<1)return new he(1,1);if(e.lineNumber>t.length)return new he(t.length,t[t.length-1].length+1);const n=t[e.lineNumber-1];return e.column>n.length+1?new he(e.lineNumber,n.length+1):e}function Ao(e,t){return e>=1&&e<=t.length}class Ze extends Ie{static fromRangeMappings(t){const n=H.join(t.map(i=>H.fromRangeInclusive(i.originalRange))),r=H.join(t.map(i=>H.fromRangeInclusive(i.modifiedRange)));return new Ze(n,r,t)}constructor(t,n,r){super(t,n),this.innerChanges=r}flip(){var t;return new Ze(this.modified,this.original,(t=this.innerChanges)==null?void 0:t.map(n=>n.flip()))}withInnerChangesFromLineRanges(){return new Ze(this.original,this.modified,[this.toRangeMapping()])}}class Oe{static assertSorted(t){for(let n=1;n<t.length;n++){const r=t[n-1],i=t[n];if(!(r.originalRange.getEndPosition().isBeforeOrEqual(i.originalRange.getStartPosition())&&r.modifiedRange.getEndPosition().isBeforeOrEqual(i.modifiedRange.getStartPosition())))throw new Ee("Range mappings must be sorted")}}constructor(t,n){this.originalRange=t,this.modifiedRange=n}toString(){return`{${this.originalRange.toString()}->${this.modifiedRange.toString()}}`}flip(){return new Oe(this.modifiedRange,this.originalRange)}toTextEdit(t){const n=t.getValueOfRange(this.modifiedRange);return new pu(this.originalRange,n)}}const mu=3;class fu{computeDiff(t,n,r){var o;const s=new vu(t,n,{maxComputationTime:r.maxComputationTimeMs,shouldIgnoreTrimWhitespace:r.ignoreTrimWhitespace,shouldComputeCharChanges:!0,shouldMakePrettyDiff:!0,shouldPostProcessCharChanges:!0}).computeDiff(),a=[];let l=null;for(const c of s.changes){let d;c.originalEndLineNumber===0?d=new H(c.originalStartLineNumber+1,c.originalStartLineNumber+1):d=new H(c.originalStartLineNumber,c.originalEndLineNumber+1);let u;c.modifiedEndLineNumber===0?u=new H(c.modifiedStartLineNumber+1,c.modifiedStartLineNumber+1):u=new H(c.modifiedStartLineNumber,c.modifiedEndLineNumber+1);let f=new Ze(d,u,(o=c.charChanges)==null?void 0:o.map(m=>new Oe(new Q(m.originalStartLineNumber,m.originalStartColumn,m.originalEndLineNumber,m.originalEndColumn),new Q(m.modifiedStartLineNumber,m.modifiedStartColumn,m.modifiedEndLineNumber,m.modifiedEndColumn))));l&&(l.modified.endLineNumberExclusive===f.modified.startLineNumber||l.original.endLineNumberExclusive===f.original.startLineNumber)&&(f=new Ze(l.original.join(f.original),l.modified.join(f.modified),l.innerChanges&&f.innerChanges?l.innerChanges.concat(f.innerChanges):void 0),a.pop()),a.push(f),l=f}return Qn(()=>ko(a,(c,d)=>d.original.startLineNumber-c.original.endLineNumberExclusive===d.modified.startLineNumber-c.modified.endLineNumberExclusive&&c.original.endLineNumberExclusive<d.original.startLineNumber&&c.modified.endLineNumberExclusive<d.modified.startLineNumber)),new Kn(a,[],s.quitEarly)}}function Mo(e,t,n,r){return new gt(e,t,n).ComputeDiff(r)}let Lo=class{constructor(t){const n=[],r=[];for(let i=0,s=t.length;i<s;i++)n[i]=wi(t[i],1),r[i]=yi(t[i],1);this.lines=t,this._startColumns=n,this._endColumns=r}getElements(){const t=[];for(let n=0,r=this.lines.length;n<r;n++)t[n]=this.lines[n].substring(this._startColumns[n]-1,this._endColumns[n]-1);return t}getStrictElement(t){return this.lines[t]}getStartLineNumber(t){return t+1}getEndLineNumber(t){return t+1}createCharSequence(t,n,r){const i=[],s=[],a=[];let l=0;for(let o=n;o<=r;o++){const c=this.lines[o],d=t?this._startColumns[o]:1,u=t?this._endColumns[o]:c.length+1;for(let f=d;f<u;f++)i[l]=c.charCodeAt(f-1),s[l]=o+1,a[l]=f,l++;!t&&o<r&&(i[l]=10,s[l]=o+1,a[l]=c.length+1,l++)}return new gu(i,s,a)}};class gu{constructor(t,n,r){this._charCodes=t,this._lineNumbers=n,this._columns=r}toString(){return"["+this._charCodes.map((t,n)=>(t===10?"\\n":String.fromCharCode(t))+`-(${this._lineNumbers[n]},${this._columns[n]})`).join(", ")+"]"}_assertIndex(t,n){if(t<0||t>=n.length)throw new Error("Illegal index")}getElements(){return this._charCodes}getStartLineNumber(t){return t>0&&t===this._lineNumbers.length?this.getEndLineNumber(t-1):(this._assertIndex(t,this._lineNumbers),this._lineNumbers[t])}getEndLineNumber(t){return t===-1?this.getStartLineNumber(t+1):(this._assertIndex(t,this._lineNumbers),this._charCodes[t]===10?this._lineNumbers[t]+1:this._lineNumbers[t])}getStartColumn(t){return t>0&&t===this._columns.length?this.getEndColumn(t-1):(this._assertIndex(t,this._columns),this._columns[t])}getEndColumn(t){return t===-1?this.getStartColumn(t+1):(this._assertIndex(t,this._columns),this._charCodes[t]===10?1:this._columns[t]+1)}}class qt{constructor(t,n,r,i,s,a,l,o){this.originalStartLineNumber=t,this.originalStartColumn=n,this.originalEndLineNumber=r,this.originalEndColumn=i,this.modifiedStartLineNumber=s,this.modifiedStartColumn=a,this.modifiedEndLineNumber=l,this.modifiedEndColumn=o}static createFromDiffChange(t,n,r){const i=n.getStartLineNumber(t.originalStart),s=n.getStartColumn(t.originalStart),a=n.getEndLineNumber(t.originalStart+t.originalLength-1),l=n.getEndColumn(t.originalStart+t.originalLength-1),o=r.getStartLineNumber(t.modifiedStart),c=r.getStartColumn(t.modifiedStart),d=r.getEndLineNumber(t.modifiedStart+t.modifiedLength-1),u=r.getEndColumn(t.modifiedStart+t.modifiedLength-1);return new qt(i,s,a,l,o,c,d,u)}}function bu(e){if(e.length<=1)return e;const t=[e[0]];let n=t[0];for(let r=1,i=e.length;r<i;r++){const s=e[r],a=s.originalStart-(n.originalStart+n.originalLength),l=s.modifiedStart-(n.modifiedStart+n.modifiedLength);Math.min(a,l)<mu?(n.originalLength=s.originalStart+s.originalLength-n.originalStart,n.modifiedLength=s.modifiedStart+s.modifiedLength-n.modifiedStart):(t.push(s),n=s)}return t}class mn{constructor(t,n,r,i,s){this.originalStartLineNumber=t,this.originalEndLineNumber=n,this.modifiedStartLineNumber=r,this.modifiedEndLineNumber=i,this.charChanges=s}static createFromDiffResult(t,n,r,i,s,a,l){let o,c,d,u,f;if(n.originalLength===0?(o=r.getStartLineNumber(n.originalStart)-1,c=0):(o=r.getStartLineNumber(n.originalStart),c=r.getEndLineNumber(n.originalStart+n.originalLength-1)),n.modifiedLength===0?(d=i.getStartLineNumber(n.modifiedStart)-1,u=0):(d=i.getStartLineNumber(n.modifiedStart),u=i.getEndLineNumber(n.modifiedStart+n.modifiedLength-1)),a&&n.originalLength>0&&n.originalLength<20&&n.modifiedLength>0&&n.modifiedLength<20&&s()){const m=r.createCharSequence(t,n.originalStart,n.originalStart+n.originalLength-1),v=i.createCharSequence(t,n.modifiedStart,n.modifiedStart+n.modifiedLength-1);if(m.getElements().length>0&&v.getElements().length>0){let w=Mo(m,v,s,!0).changes;l&&(w=bu(w)),f=[];for(let k=0,R=w.length;k<R;k++)f.push(qt.createFromDiffChange(w[k],m,v))}}return new mn(o,c,d,u,f)}}class vu{constructor(t,n,r){this.shouldComputeCharChanges=r.shouldComputeCharChanges,this.shouldPostProcessCharChanges=r.shouldPostProcessCharChanges,this.shouldIgnoreTrimWhitespace=r.shouldIgnoreTrimWhitespace,this.shouldMakePrettyDiff=r.shouldMakePrettyDiff,this.originalLines=t,this.modifiedLines=n,this.original=new Lo(t),this.modified=new Lo(n),this.continueLineDiff=zo(r.maxComputationTime),this.continueCharDiff=zo(r.maxComputationTime===0?0:Math.min(r.maxComputationTime,5e3))}computeDiff(){if(this.original.lines.length===1&&this.original.lines[0].length===0)return this.modified.lines.length===1&&this.modified.lines[0].length===0?{quitEarly:!1,changes:[]}:{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:1,modifiedStartLineNumber:1,modifiedEndLineNumber:this.modified.lines.length,charChanges:void 0}]};if(this.modified.lines.length===1&&this.modified.lines[0].length===0)return{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:this.original.lines.length,modifiedStartLineNumber:1,modifiedEndLineNumber:1,charChanges:void 0}]};const t=Mo(this.original,this.modified,this.continueLineDiff,this.shouldMakePrettyDiff),n=t.changes,r=t.quitEarly;if(this.shouldIgnoreTrimWhitespace){const l=[];for(let o=0,c=n.length;o<c;o++)l.push(mn.createFromDiffResult(this.shouldIgnoreTrimWhitespace,n[o],this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges));return{quitEarly:r,changes:l}}const i=[];let s=0,a=0;for(let l=-1,o=n.length;l<o;l++){const c=l+1<o?n[l+1]:null,d=c?c.originalStart:this.originalLines.length,u=c?c.modifiedStart:this.modifiedLines.length;for(;s<d&&a<u;){const f=this.originalLines[s],m=this.modifiedLines[a];if(f!==m){{let v=wi(f,1),w=wi(m,1);for(;v>1&&w>1;){const k=f.charCodeAt(v-2),R=m.charCodeAt(w-2);if(k!==R)break;v--,w--}(v>1||w>1)&&this._pushTrimWhitespaceCharChange(i,s+1,1,v,a+1,1,w)}{let v=yi(f,1),w=yi(m,1);const k=f.length+1,R=m.length+1;for(;v<k&&w<R;){const I=f.charCodeAt(v-1),N=f.charCodeAt(w-1);if(I!==N)break;v++,w++}(v<k||w<R)&&this._pushTrimWhitespaceCharChange(i,s+1,v,k,a+1,w,R)}}s++,a++}c&&(i.push(mn.createFromDiffResult(this.shouldIgnoreTrimWhitespace,c,this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges)),s+=c.originalLength,a+=c.modifiedLength)}return{quitEarly:r,changes:i}}_pushTrimWhitespaceCharChange(t,n,r,i,s,a,l){if(this._mergeTrimWhitespaceCharChange(t,n,r,i,s,a,l))return;let o;this.shouldComputeCharChanges&&(o=[new qt(n,r,n,i,s,a,s,l)]),t.push(new mn(n,n,s,s,o))}_mergeTrimWhitespaceCharChange(t,n,r,i,s,a,l){const o=t.length;if(o===0)return!1;const c=t[o-1];return c.originalEndLineNumber===0||c.modifiedEndLineNumber===0?!1:c.originalEndLineNumber===n&&c.modifiedEndLineNumber===s?(this.shouldComputeCharChanges&&c.charChanges&&c.charChanges.push(new qt(n,r,n,i,s,a,s,l)),!0):c.originalEndLineNumber+1===n&&c.modifiedEndLineNumber+1===s?(c.originalEndLineNumber=n,c.modifiedEndLineNumber=s,this.shouldComputeCharChanges&&c.charChanges&&c.charChanges.push(new qt(n,r,n,i,s,a,s,l)),!0):!1}}function wi(e,t){const n=Xh(e);return n===-1?t:n+1}function yi(e,t){const n=Yh(e);return n===-1?t:n+2}function zo(e){if(e===0)return()=>!0;const t=Date.now();return()=>Date.now()-t<e}function wu(e,t,n=(r,i)=>r===i){if(e===t)return!0;if(!e||!t||e.length!==t.length)return!1;for(let r=0,i=e.length;r<i;r++)if(!n(e[r],t[r]))return!1;return!0}function*yu(e,t){let n,r;for(const i of e)r!==void 0&&t(r,i)?n.push(i):(n&&(yield n),n=[i]),r=i;n&&(yield n)}function xu(e,t){for(let n=0;n<=e.length;n++)t(n===0?void 0:e[n-1],n===e.length?void 0:e[n])}function Su(e,t){for(let n=0;n<e.length;n++)t(n===0?void 0:e[n-1],e[n],n+1===e.length?void 0:e[n+1])}function Cu(e,t){for(const n of t)e.push(n)}var xi;(function(e){function t(s){return s<0}e.isLessThan=t;function n(s){return s<=0}e.isLessThanOrEqual=n;function r(s){return s>0}e.isGreaterThan=r;function i(s){return s===0}e.isNeitherLessOrGreaterThan=i,e.greaterThan=1,e.lessThan=-1,e.neitherLessOrGreaterThan=0})(xi||(xi={}));function er(e,t){return(n,r)=>t(e(n),e(r))}const tr=(e,t)=>e-t;function _u(e){return(t,n)=>-e(t,n)}const an=class an{constructor(t){this.iterate=t}toArray(){const t=[];return this.iterate(n=>(t.push(n),!0)),t}filter(t){return new an(n=>this.iterate(r=>t(r)?n(r):!0))}map(t){return new an(n=>this.iterate(r=>n(t(r))))}findLast(t){let n;return this.iterate(r=>(t(r)&&(n=r),!0)),n}findLastMaxBy(t){let n,r=!0;return this.iterate(i=>((r||xi.isGreaterThan(t(i,n)))&&(r=!1,n=i),!0)),n}};an.empty=new an(t=>{});let Po=an;class et{static trivial(t,n){return new et([new le(Y.ofLength(t.length),Y.ofLength(n.length))],!1)}static trivialTimedOut(t,n){return new et([new le(Y.ofLength(t.length),Y.ofLength(n.length))],!0)}constructor(t,n){this.diffs=t,this.hitTimeout=n}}class le{static invert(t,n){const r=[];return xu(t,(i,s)=>{r.push(le.fromOffsetPairs(i?i.getEndExclusives():tt.zero,s?s.getStarts():new tt(n,(i?i.seq2Range.endExclusive-i.seq1Range.endExclusive:0)+n)))}),r}static fromOffsetPairs(t,n){return new le(new Y(t.offset1,n.offset1),new Y(t.offset2,n.offset2))}static assertSorted(t){let n;for(const r of t){if(n&&!(n.seq1Range.endExclusive<=r.seq1Range.start&&n.seq2Range.endExclusive<=r.seq2Range.start))throw new Ee("Sequence diffs must be sorted");n=r}}constructor(t,n){this.seq1Range=t,this.seq2Range=n}swap(){return new le(this.seq2Range,this.seq1Range)}toString(){return`${this.seq1Range} <-> ${this.seq2Range}`}join(t){return new le(this.seq1Range.join(t.seq1Range),this.seq2Range.join(t.seq2Range))}delta(t){return t===0?this:new le(this.seq1Range.delta(t),this.seq2Range.delta(t))}deltaStart(t){return t===0?this:new le(this.seq1Range.deltaStart(t),this.seq2Range.deltaStart(t))}deltaEnd(t){return t===0?this:new le(this.seq1Range.deltaEnd(t),this.seq2Range.deltaEnd(t))}intersect(t){const n=this.seq1Range.intersect(t.seq1Range),r=this.seq2Range.intersect(t.seq2Range);if(!(!n||!r))return new le(n,r)}getStarts(){return new tt(this.seq1Range.start,this.seq2Range.start)}getEndExclusives(){return new tt(this.seq1Range.endExclusive,this.seq2Range.endExclusive)}}const It=class It{constructor(t,n){this.offset1=t,this.offset2=n}toString(){return`${this.offset1} <-> ${this.offset2}`}delta(t){return t===0?this:new It(this.offset1+t,this.offset2+t)}equals(t){return this.offset1===t.offset1&&this.offset2===t.offset2}};It.zero=new It(0,0),It.max=new It(Number.MAX_SAFE_INTEGER,Number.MAX_SAFE_INTEGER);let tt=It;const Pr=class Pr{isValid(){return!0}};Pr.instance=new Pr;let fn=Pr;class ku{constructor(t){if(this.timeout=t,this.startTime=Date.now(),this.valid=!0,t<=0)throw new Ee("timeout must be positive")}isValid(){if(!(Date.now()-this.startTime<this.timeout)&&this.valid){this.valid=!1;debugger}return this.valid}}class Si{constructor(t,n){this.width=t,this.height=n,this.array=[],this.array=new Array(t*n)}get(t,n){return this.array[t+n*this.width]}set(t,n,r){this.array[t+n*this.width]=r}}function Ci(e){return e===32||e===9}const Tn=class Tn{static getKey(t){let n=this.chrKeys.get(t);return n===void 0&&(n=this.chrKeys.size,this.chrKeys.set(t,n)),n}constructor(t,n,r){this.range=t,this.lines=n,this.source=r,this.histogram=[];let i=0;for(let s=t.startLineNumber-1;s<t.endLineNumberExclusive-1;s++){const a=n[s];for(let o=0;o<a.length;o++){i++;const c=a[o],d=Tn.getKey(c);this.histogram[d]=(this.histogram[d]||0)+1}i++;const l=Tn.getKey(`
+`);this.histogram[l]=(this.histogram[l]||0)+1}this.totalCount=i}computeSimilarity(t){let n=0;const r=Math.max(this.histogram.length,t.histogram.length);for(let i=0;i<r;i++)n+=Math.abs((this.histogram[i]??0)-(t.histogram[i]??0));return 1-n/(this.totalCount+t.totalCount)}};Tn.chrKeys=new Map;let nr=Tn;class Eu{compute(t,n,r=fn.instance,i){if(t.length===0||n.length===0)return et.trivial(t,n);const s=new Si(t.length,n.length),a=new Si(t.length,n.length),l=new Si(t.length,n.length);for(let v=0;v<t.length;v++)for(let w=0;w<n.length;w++){if(!r.isValid())return et.trivialTimedOut(t,n);const k=v===0?0:s.get(v-1,w),R=w===0?0:s.get(v,w-1);let I;t.getElement(v)===n.getElement(w)?(v===0||w===0?I=0:I=s.get(v-1,w-1),v>0&&w>0&&a.get(v-1,w-1)===3&&(I+=l.get(v-1,w-1)),I+=i?i(v,w):1):I=-1;const N=Math.max(k,R,I);if(N===I){const E=v>0&&w>0?l.get(v-1,w-1):0;l.set(v,w,E+1),a.set(v,w,3)}else N===k?(l.set(v,w,0),a.set(v,w,1)):N===R&&(l.set(v,w,0),a.set(v,w,2));s.set(v,w,N)}const o=[];let c=t.length,d=n.length;function u(v,w){(v+1!==c||w+1!==d)&&o.push(new le(new Y(v+1,c),new Y(w+1,d))),c=v,d=w}let f=t.length-1,m=n.length-1;for(;f>=0&&m>=0;)a.get(f,m)===3?(u(f,m),f--,m--):a.get(f,m)===1?f--:m--;return u(-1,-1),o.reverse(),new et(o,!1)}}class To{compute(t,n,r=fn.instance){if(t.length===0||n.length===0)return et.trivial(t,n);const i=t,s=n;function a(w,k){for(;w<i.length&&k<s.length&&i.getElement(w)===s.getElement(k);)w++,k++;return w}let l=0;const o=new Fu;o.set(0,a(0,0));const c=new Ru;c.set(0,o.get(0)===0?null:new Oo(null,0,0,o.get(0)));let d=0;e:for(;;){if(l++,!r.isValid())return et.trivialTimedOut(i,s);const w=-Math.min(l,s.length+l%2),k=Math.min(l,i.length+l%2);for(d=w;d<=k;d+=2){const R=d===k?-1:o.get(d+1),I=d===w?-1:o.get(d-1)+1,N=Math.min(Math.max(R,I),i.length),E=N-d;if(N>i.length||E>s.length)continue;const F=a(N,E);o.set(d,F);const M=N===R?c.get(d+1):c.get(d-1);if(c.set(d,F!==N?new Oo(M,N,E,F-N):M),o.get(d)===i.length&&o.get(d)-d===s.length)break e}}let u=c.get(d);const f=[];let m=i.length,v=s.length;for(;;){const w=u?u.x+u.length:0,k=u?u.y+u.length:0;if((w!==m||k!==v)&&f.push(new le(new Y(w,m),new Y(k,v))),!u)break;m=u.x,v=u.y,u=u.prev}return f.reverse(),new et(f,!1)}}class Oo{constructor(t,n,r,i){this.prev=t,this.x=n,this.y=r,this.length=i}}class Fu{constructor(){this.positiveArr=new Int32Array(10),this.negativeArr=new Int32Array(10)}get(t){return t<0?(t=-t-1,this.negativeArr[t]):this.positiveArr[t]}set(t,n){if(t<0){if(t=-t-1,t>=this.negativeArr.length){const r=this.negativeArr;this.negativeArr=new Int32Array(r.length*2),this.negativeArr.set(r)}this.negativeArr[t]=n}else{if(t>=this.positiveArr.length){const r=this.positiveArr;this.positiveArr=new Int32Array(r.length*2),this.positiveArr.set(r)}this.positiveArr[t]=n}}}class Ru{constructor(){this.positiveArr=[],this.negativeArr=[]}get(t){return t<0?(t=-t-1,this.negativeArr[t]):this.positiveArr[t]}set(t,n){t<0?(t=-t-1,this.negativeArr[t]=n):this.positiveArr[t]=n}}class rr{constructor(t,n,r){this.lines=t,this.range=n,this.considerWhitespaceChanges=r,this.elements=[],this.firstElementOffsetByLineIdx=[],this.lineStartOffsets=[],this.trimmedWsLengthsByLineIdx=[],this.firstElementOffsetByLineIdx.push(0);for(let i=this.range.startLineNumber;i<=this.range.endLineNumber;i++){let s=t[i-1],a=0;i===this.range.startLineNumber&&this.range.startColumn>1&&(a=this.range.startColumn-1,s=s.substring(a)),this.lineStartOffsets.push(a);let l=0;if(!r){const c=s.trimStart();l=s.length-c.length,s=c.trimEnd()}this.trimmedWsLengthsByLineIdx.push(l);const o=i===this.range.endLineNumber?Math.min(this.range.endColumn-1-a-l,s.length):s.length;for(let c=0;c<o;c++)this.elements.push(s.charCodeAt(c));i<this.range.endLineNumber&&(this.elements.push(10),this.firstElementOffsetByLineIdx.push(this.elements.length))}}toString(){return`Slice: "${this.text}"`}get text(){return this.getText(new Y(0,this.length))}getText(t){return this.elements.slice(t.start,t.endExclusive).map(n=>String.fromCharCode(n)).join("")}getElement(t){return this.elements[t]}get length(){return this.elements.length}getBoundaryScore(t){const n=Uo(t>0?this.elements[t-1]:-1),r=Uo(t<this.elements.length?this.elements[t]:-1);if(n===7&&r===8)return 0;if(n===8)return 150;let i=0;return n!==r&&(i+=10,n===0&&r===1&&(i+=1)),i+=Wo(n),i+=Wo(r),i}translateOffset(t,n="right"){const r=pn(this.firstElementOffsetByLineIdx,s=>s<=t),i=t-this.firstElementOffsetByLineIdx[r];return new he(this.range.startLineNumber+r,1+this.lineStartOffsets[r]+i+(i===0&&n==="left"?0:this.trimmedWsLengthsByLineIdx[r]))}translateRange(t){const n=this.translateOffset(t.start,"right"),r=this.translateOffset(t.endExclusive,"left");return r.isBefore(n)?Q.fromPositions(r,r):Q.fromPositions(n,r)}findWordContaining(t){if(t<0||t>=this.elements.length||!_i(this.elements[t]))return;let n=t;for(;n>0&&_i(this.elements[n-1]);)n--;let r=t;for(;r<this.elements.length&&_i(this.elements[r]);)r++;return new Y(n,r)}countLinesIn(t){return this.translateOffset(t.endExclusive).lineNumber-this.translateOffset(t.start).lineNumber}isStronglyEqual(t,n){return this.elements[t]===this.elements[n]}extendToFullLines(t){const n=$t(this.firstElementOffsetByLineIdx,i=>i<=t.start)??0,r=uu(this.firstElementOffsetByLineIdx,i=>t.endExclusive<=i)??this.elements.length;return new Y(n,r)}}function _i(e){return e>=97&&e<=122||e>=65&&e<=90||e>=48&&e<=57}const Nu={0:0,1:0,2:0,3:10,4:2,5:30,6:3,7:10,8:10};function Wo(e){return Nu[e]}function Uo(e){return e===10?8:e===13?7:Ci(e)?6:e>=97&&e<=122?0:e>=65&&e<=90?1:e>=48&&e<=57?2:e===-1?3:e===44||e===59?5:4}function Du(e,t,n,r,i,s){let{moves:a,excludedChanges:l}=Au(e,t,n,s);if(!s.isValid())return[];const o=e.filter(d=>!l.has(d)),c=Mu(o,r,i,t,n,s);return Cu(a,c),a=Lu(a),a=a.filter(d=>{const u=d.original.toOffsetRange().slice(t).map(m=>m.trim());return u.join(`
+`).length>=15&&Iu(u,m=>m.length>=2)>=2}),a=zu(e,a),a}function Iu(e,t){let n=0;for(const r of e)t(r)&&n++;return n}function Au(e,t,n,r){const i=[],s=e.filter(o=>o.modified.isEmpty&&o.original.length>=3).map(o=>new nr(o.original,t,o)),a=new Set(e.filter(o=>o.original.isEmpty&&o.modified.length>=3).map(o=>new nr(o.modified,n,o))),l=new Set;for(const o of s){let c=-1,d;for(const u of a){const f=o.computeSimilarity(u);f>c&&(c=f,d=u)}if(c>.9&&d&&(a.delete(d),i.push(new Ie(o.range,d.range)),l.add(o.source),l.add(d.source)),!r.isValid())return{moves:i,excludedChanges:l}}return{moves:i,excludedChanges:l}}function Mu(e,t,n,r,i,s){const a=[],l=new Kd;for(const f of e)for(let m=f.original.startLineNumber;m<f.original.endLineNumberExclusive-2;m++){const v=`${t[m-1]}:${t[m+1-1]}:${t[m+2-1]}`;l.add(v,{range:new H(m,m+3)})}const o=[];e.sort(er(f=>f.modified.startLineNumber,tr));for(const f of e){let m=[];for(let v=f.modified.startLineNumber;v<f.modified.endLineNumberExclusive-2;v++){const w=`${n[v-1]}:${n[v+1-1]}:${n[v+2-1]}`,k=new H(v,v+3),R=[];l.forEach(w,({range:I})=>{for(const E of m)if(E.originalLineRange.endLineNumberExclusive+1===I.endLineNumberExclusive&&E.modifiedLineRange.endLineNumberExclusive+1===k.endLineNumberExclusive){E.originalLineRange=new H(E.originalLineRange.startLineNumber,I.endLineNumberExclusive),E.modifiedLineRange=new H(E.modifiedLineRange.startLineNumber,k.endLineNumberExclusive),R.push(E);return}const N={modifiedLineRange:k,originalLineRange:I};o.push(N),R.push(N)}),m=R}if(!s.isValid())return[]}o.sort(_u(er(f=>f.modifiedLineRange.length,tr)));const c=new Be,d=new Be;for(const f of o){const m=f.modifiedLineRange.startLineNumber-f.originalLineRange.startLineNumber,v=c.subtractFrom(f.modifiedLineRange),w=d.subtractFrom(f.originalLineRange).getWithDelta(m),k=v.getIntersection(w);for(const R of k.ranges){if(R.length<3)continue;const I=R,N=R.delta(-m);a.push(new Ie(N,I)),c.addRange(I),d.addRange(N)}}a.sort(er(f=>f.original.startLineNumber,tr));const u=new Zn(e);for(let f=0;f<a.length;f++){const m=a[f],v=u.findLastMonotonous(M=>M.original.startLineNumber<=m.original.startLineNumber),w=$t(e,M=>M.modified.startLineNumber<=m.modified.startLineNumber),k=Math.max(m.original.startLineNumber-v.original.startLineNumber,m.modified.startLineNumber-w.modified.startLineNumber),R=u.findLastMonotonous(M=>M.original.startLineNumber<m.original.endLineNumberExclusive),I=$t(e,M=>M.modified.startLineNumber<m.modified.endLineNumberExclusive),N=Math.max(R.original.endLineNumberExclusive-m.original.endLineNumberExclusive,I.modified.endLineNumberExclusive-m.modified.endLineNumberExclusive);let E;for(E=0;E<k;E++){const M=m.original.startLineNumber-E-1,T=m.modified.startLineNumber-E-1;if(M>r.length||T>i.length||c.contains(T)||d.contains(M)||!Vo(r[M-1],i[T-1],s))break}E>0&&(d.addRange(new H(m.original.startLineNumber-E,m.original.startLineNumber)),c.addRange(new H(m.modified.startLineNumber-E,m.modified.startLineNumber)));let F;for(F=0;F<N;F++){const M=m.original.endLineNumberExclusive+F,T=m.modified.endLineNumberExclusive+F;if(M>r.length||T>i.length||c.contains(T)||d.contains(M)||!Vo(r[M-1],i[T-1],s))break}F>0&&(d.addRange(new H(m.original.endLineNumberExclusive,m.original.endLineNumberExclusive+F)),c.addRange(new H(m.modified.endLineNumberExclusive,m.modified.endLineNumberExclusive+F))),(E>0||F>0)&&(a[f]=new Ie(new H(m.original.startLineNumber-E,m.original.endLineNumberExclusive+F),new H(m.modified.startLineNumber-E,m.modified.endLineNumberExclusive+F)))}return a}function Vo(e,t,n){if(e.trim()===t.trim())return!0;if(e.length>300&&t.length>300)return!1;const i=new To().compute(new rr([e],new Q(1,1,1,e.length),!1),new rr([t],new Q(1,1,1,t.length),!1),n);let s=0;const a=le.invert(i.diffs,e.length);for(const d of a)d.seq1Range.forEach(u=>{Ci(e.charCodeAt(u))||s++});function l(d){let u=0;for(let f=0;f<e.length;f++)Ci(d.charCodeAt(f))||u++;return u}const o=l(e.length>t.length?e:t);return s/o>.6&&o>10}function Lu(e){if(e.length===0)return e;e.sort(er(n=>n.original.startLineNumber,tr));const t=[e[0]];for(let n=1;n<e.length;n++){const r=t[t.length-1],i=e[n],s=i.original.startLineNumber-r.original.endLineNumberExclusive,a=i.modified.startLineNumber-r.modified.endLineNumberExclusive;if(s>=0&&a>=0&&s+a<=2){t[t.length-1]=r.join(i);continue}t.push(i)}return t}function zu(e,t){const n=new Zn(e);return t=t.filter(r=>{const i=n.findLastMonotonous(l=>l.original.startLineNumber<r.original.endLineNumberExclusive)||new Ie(new H(1,1),new H(1,1)),s=$t(e,l=>l.modified.startLineNumber<r.modified.endLineNumberExclusive);return i!==s}),t}function $o(e,t,n){let r=n;return r=Bo(e,t,r),r=Bo(e,t,r),r=Pu(e,t,r),r}function Bo(e,t,n){if(n.length===0)return n;const r=[];r.push(n[0]);for(let s=1;s<n.length;s++){const a=r[r.length-1];let l=n[s];if(l.seq1Range.isEmpty||l.seq2Range.isEmpty){const o=l.seq1Range.start-a.seq1Range.endExclusive;let c;for(c=1;c<=o&&!(e.getElement(l.seq1Range.start-c)!==e.getElement(l.seq1Range.endExclusive-c)||t.getElement(l.seq2Range.start-c)!==t.getElement(l.seq2Range.endExclusive-c));c++);if(c--,c===o){r[r.length-1]=new le(new Y(a.seq1Range.start,l.seq1Range.endExclusive-o),new Y(a.seq2Range.start,l.seq2Range.endExclusive-o));continue}l=l.delta(-c)}r.push(l)}const i=[];for(let s=0;s<r.length-1;s++){const a=r[s+1];let l=r[s];if(l.seq1Range.isEmpty||l.seq2Range.isEmpty){const o=a.seq1Range.start-l.seq1Range.endExclusive;let c;for(c=0;c<o&&!(!e.isStronglyEqual(l.seq1Range.start+c,l.seq1Range.endExclusive+c)||!t.isStronglyEqual(l.seq2Range.start+c,l.seq2Range.endExclusive+c));c++);if(c===o){r[s+1]=new le(new Y(l.seq1Range.start+o,a.seq1Range.endExclusive),new Y(l.seq2Range.start+o,a.seq2Range.endExclusive));continue}c>0&&(l=l.delta(c))}i.push(l)}return r.length>0&&i.push(r[r.length-1]),i}function Pu(e,t,n){if(!e.getBoundaryScore||!t.getBoundaryScore)return n;for(let r=0;r<n.length;r++){const i=r>0?n[r-1]:void 0,s=n[r],a=r+1<n.length?n[r+1]:void 0,l=new Y(i?i.seq1Range.endExclusive+1:0,a?a.seq1Range.start-1:e.length),o=new Y(i?i.seq2Range.endExclusive+1:0,a?a.seq2Range.start-1:t.length);s.seq1Range.isEmpty?n[r]=qo(s,e,t,l,o):s.seq2Range.isEmpty&&(n[r]=qo(s.swap(),t,e,o,l).swap())}return n}function qo(e,t,n,r,i){let a=1;for(;e.seq1Range.start-a>=r.start&&e.seq2Range.start-a>=i.start&&n.isStronglyEqual(e.seq2Range.start-a,e.seq2Range.endExclusive-a)&&a<100;)a++;a--;let l=0;for(;e.seq1Range.start+l<r.endExclusive&&e.seq2Range.endExclusive+l<i.endExclusive&&n.isStronglyEqual(e.seq2Range.start+l,e.seq2Range.endExclusive+l)&&l<100;)l++;if(a===0&&l===0)return e;let o=0,c=-1;for(let d=-a;d<=l;d++){const u=e.seq2Range.start+d,f=e.seq2Range.endExclusive+d,m=e.seq1Range.start+d,v=t.getBoundaryScore(m)+n.getBoundaryScore(u)+n.getBoundaryScore(f);v>c&&(c=v,o=d)}return e.delta(o)}function Tu(e,t,n){const r=[];for(const i of n){const s=r[r.length-1];if(!s){r.push(i);continue}i.seq1Range.start-s.seq1Range.endExclusive<=2||i.seq2Range.start-s.seq2Range.endExclusive<=2?r[r.length-1]=new le(s.seq1Range.join(i.seq1Range),s.seq2Range.join(i.seq2Range)):r.push(i)}return r}function Ou(e,t,n){const r=le.invert(n,e.length),i=[];let s=new tt(0,0);function a(o,c){if(o.offset1<s.offset1||o.offset2<s.offset2)return;const d=e.findWordContaining(o.offset1),u=t.findWordContaining(o.offset2);if(!d||!u)return;let f=new le(d,u);const m=f.intersect(c);let v=m.seq1Range.length,w=m.seq2Range.length;for(;r.length>0;){const k=r[0];if(!(k.seq1Range.intersects(f.seq1Range)||k.seq2Range.intersects(f.seq2Range)))break;const I=e.findWordContaining(k.seq1Range.start),N=t.findWordContaining(k.seq2Range.start),E=new le(I,N),F=E.intersect(k);if(v+=F.seq1Range.length,w+=F.seq2Range.length,f=f.join(E),f.seq1Range.endExclusive>=k.seq1Range.endExclusive)r.shift();else break}v+w<(f.seq1Range.length+f.seq2Range.length)*2/3&&i.push(f),s=f.getEndExclusives()}for(;r.length>0;){const o=r.shift();o.seq1Range.isEmpty||(a(o.getStarts(),o),a(o.getEndExclusives().delta(-1),o))}return Wu(n,i)}function Wu(e,t){const n=[];for(;e.length>0||t.length>0;){const r=e[0],i=t[0];let s;r&&(!i||r.seq1Range.start<i.seq1Range.start)?s=e.shift():s=t.shift(),n.length>0&&n[n.length-1].seq1Range.endExclusive>=s.seq1Range.start?n[n.length-1]=n[n.length-1].join(s):n.push(s)}return n}function Uu(e,t,n){let r=n;if(r.length===0)return r;let i=0,s;do{s=!1;const a=[r[0]];for(let l=1;l<r.length;l++){let d=function(f,m){const v=new Y(c.seq1Range.endExclusive,o.seq1Range.start);return e.getText(v).replace(/\s/g,"").length<=4&&(f.seq1Range.length+f.seq2Range.length>5||m.seq1Range.length+m.seq2Range.length>5)};const o=r[l],c=a[a.length-1];d(c,o)?(s=!0,a[a.length-1]=a[a.length-1].join(o)):a.push(o)}r=a}while(i++<10&&s);return r}function Vu(e,t,n){let r=n;if(r.length===0)return r;let i=0,s;do{s=!1;const l=[r[0]];for(let o=1;o<r.length;o++){let u=function(m,v){const w=new Y(d.seq1Range.endExclusive,c.seq1Range.start);if(e.countLinesIn(w)>5||w.length>500)return!1;const R=e.getText(w).trim();if(R.length>20||R.split(/\r\n|\r|\n/).length>1)return!1;const I=e.countLinesIn(m.seq1Range),N=m.seq1Range.length,E=t.countLinesIn(m.seq2Range),F=m.seq2Range.length,M=e.countLinesIn(v.seq1Range),T=v.seq1Range.length,P=t.countLinesIn(v.seq2Range),j=v.seq2Range.length,ee=2*40+50;function A(_){return Math.min(_,ee)}return Math.pow(Math.pow(A(I*40+N),1.5)+Math.pow(A(E*40+F),1.5),1.5)+Math.pow(Math.pow(A(M*40+T),1.5)+Math.pow(A(P*40+j),1.5),1.5)>(ee**1.5)**1.5*1.3};const c=r[o],d=l[l.length-1];u(d,c)?(s=!0,l[l.length-1]=l[l.length-1].join(c)):l.push(c)}r=l}while(i++<10&&s);const a=[];return Su(r,(l,o,c)=>{let d=o;function u(R){return R.length>0&&R.trim().length<=3&&o.seq1Range.length+o.seq2Range.length>100}const f=e.extendToFullLines(o.seq1Range),m=e.getText(new Y(f.start,o.seq1Range.start));u(m)&&(d=d.deltaStart(-m.length));const v=e.getText(new Y(o.seq1Range.endExclusive,f.endExclusive));u(v)&&(d=d.deltaEnd(v.length));const w=le.fromOffsetPairs(l?l.getEndExclusives():tt.zero,c?c.getStarts():tt.max),k=d.intersect(w);a.length>0&&k.getStarts().equals(a[a.length-1].getEndExclusives())?a[a.length-1]=a[a.length-1].join(k):a.push(k)}),a}class jo{constructor(t,n){this.trimmedHash=t,this.lines=n}getElement(t){return this.trimmedHash[t]}get length(){return this.trimmedHash.length}getBoundaryScore(t){const n=t===0?0:Ho(this.lines[t-1]),r=t===this.lines.length?0:Ho(this.lines[t]);return 1e3-(n+r)}getText(t){return this.lines.slice(t.start,t.endExclusive).join(`
+`)}isStronglyEqual(t,n){return this.lines[t]===this.lines[n]}}function Ho(e){let t=0;for(;t<e.length&&(e.charCodeAt(t)===32||e.charCodeAt(t)===9);)t++;return t}class $u{constructor(){this.dynamicProgrammingDiffing=new Eu,this.myersDiffingAlgorithm=new To}computeDiff(t,n,r){if(t.length<=1&&wu(t,n,(F,M)=>F===M))return new Kn([],[],!1);if(t.length===1&&t[0].length===0||n.length===1&&n[0].length===0)return new Kn([new Ze(new H(1,t.length+1),new H(1,n.length+1),[new Oe(new Q(1,1,t.length,t[t.length-1].length+1),new Q(1,1,n.length,n[n.length-1].length+1))])],[],!1);const i=r.maxComputationTimeMs===0?fn.instance:new ku(r.maxComputationTimeMs),s=!r.ignoreTrimWhitespace,a=new Map;function l(F){let M=a.get(F);return M===void 0&&(M=a.size,a.set(F,M)),M}const o=t.map(F=>l(F.trim())),c=n.map(F=>l(F.trim())),d=new jo(o,t),u=new jo(c,n),f=d.length+u.length<1700?this.dynamicProgrammingDiffing.compute(d,u,i,(F,M)=>t[F]===n[M]?n[M].length===0?.1:1+Math.log(1+n[M].length):.99):this.myersDiffingAlgorithm.compute(d,u,i);let m=f.diffs,v=f.hitTimeout;m=$o(d,u,m),m=Uu(d,u,m);const w=[],k=F=>{if(s)for(let M=0;M<F;M++){const T=R+M,P=I+M;if(t[T]!==n[P]){const j=this.refineDiff(t,n,new le(new Y(T,T+1),new Y(P,P+1)),i,s);for(const ee of j.mappings)w.push(ee);j.hitTimeout&&(v=!0)}}};let R=0,I=0;for(const F of m){Qn(()=>F.seq1Range.start-R===F.seq2Range.start-I);const M=F.seq1Range.start-R;k(M),R=F.seq1Range.endExclusive,I=F.seq2Range.endExclusive;const T=this.refineDiff(t,n,F,i,s);T.hitTimeout&&(v=!0);for(const P of T.mappings)w.push(P)}k(t.length-R);const N=Go(w,t,n);let E=[];return r.computeMoves&&(E=this.computeMoves(N,t,n,o,c,i,s)),Qn(()=>{function F(T,P){if(T.lineNumber<1||T.lineNumber>P.length)return!1;const j=P[T.lineNumber-1];return!(T.column<1||T.column>j.length+1)}function M(T,P){return!(T.startLineNumber<1||T.startLineNumber>P.length+1||T.endLineNumberExclusive<1||T.endLineNumberExclusive>P.length+1)}for(const T of N){if(!T.innerChanges)return!1;for(const P of T.innerChanges)if(!(F(P.modifiedRange.getStartPosition(),n)&&F(P.modifiedRange.getEndPosition(),n)&&F(P.originalRange.getStartPosition(),t)&&F(P.originalRange.getEndPosition(),t)))return!1;if(!M(T.modified,n)||!M(T.original,t))return!1}return!0}),new Kn(N,E,v)}computeMoves(t,n,r,i,s,a,l){return Du(t,n,r,i,s,a).map(d=>{const u=this.refineDiff(n,r,new le(d.original.toOffsetRange(),d.modified.toOffsetRange()),a,l),f=Go(u.mappings,n,r,!0);return new du(d,f)})}refineDiff(t,n,r,i,s){const l=qu(r).toRangeMapping2(t,n),o=new rr(t,l.originalRange,s),c=new rr(n,l.modifiedRange,s),d=o.length+c.length<500?this.dynamicProgrammingDiffing.compute(o,c,i):this.myersDiffingAlgorithm.compute(o,c,i);let u=d.diffs;return u=$o(o,c,u),u=Ou(o,c,u),u=Tu(o,c,u),u=Vu(o,c,u),{mappings:u.map(m=>new Oe(o.translateRange(m.seq1Range),c.translateRange(m.seq2Range))),hitTimeout:d.hitTimeout}}}function Go(e,t,n,r=!1){const i=[];for(const s of yu(e.map(a=>Bu(a,t,n)),(a,l)=>a.original.overlapOrTouch(l.original)||a.modified.overlapOrTouch(l.modified))){const a=s[0],l=s[s.length-1];i.push(new Ze(a.original.join(l.original),a.modified.join(l.modified),s.map(o=>o.innerChanges[0])))}return Qn(()=>!r&&i.length>0&&(i[0].modified.startLineNumber!==i[0].original.startLineNumber||n.length-i[i.length-1].modified.endLineNumberExclusive!==t.length-i[i.length-1].original.endLineNumberExclusive)?!1:ko(i,(s,a)=>a.original.startLineNumber-s.original.endLineNumberExclusive===a.modified.startLineNumber-s.modified.endLineNumberExclusive&&s.original.endLineNumberExclusive<a.original.startLineNumber&&s.modified.endLineNumberExclusive<a.modified.startLineNumber)),i}function Bu(e,t,n){let r=0,i=0;e.modifiedRange.endColumn===1&&e.originalRange.endColumn===1&&e.originalRange.startLineNumber+r<=e.originalRange.endLineNumber&&e.modifiedRange.startLineNumber+r<=e.modifiedRange.endLineNumber&&(i=-1),e.modifiedRange.startColumn-1>=n[e.modifiedRange.startLineNumber-1].length&&e.originalRange.startColumn-1>=t[e.originalRange.startLineNumber-1].length&&e.originalRange.startLineNumber<=e.originalRange.endLineNumber+i&&e.modifiedRange.startLineNumber<=e.modifiedRange.endLineNumber+i&&(r=1);const s=new H(e.originalRange.startLineNumber+r,e.originalRange.endLineNumber+1+i),a=new H(e.modifiedRange.startLineNumber+r,e.modifiedRange.endLineNumber+1+i);return new Ze(s,a,[e])}function qu(e){return new Ie(new H(e.seq1Range.start+1,e.seq1Range.endExclusive+1),new H(e.seq2Range.start+1,e.seq2Range.endExclusive+1))}const Jo={getLegacy:()=>new fu,getDefault:()=>new $u};function bt(e,t){const n=Math.pow(10,t);return Math.round(e*n)/n}class me{constructor(t,n,r,i=1){this._rgbaBrand=void 0,this.r=Math.min(255,Math.max(0,t))|0,this.g=Math.min(255,Math.max(0,n))|0,this.b=Math.min(255,Math.max(0,r))|0,this.a=bt(Math.max(Math.min(1,i),0),3)}static equals(t,n){return t.r===n.r&&t.g===n.g&&t.b===n.b&&t.a===n.a}}class Ae{constructor(t,n,r,i){this._hslaBrand=void 0,this.h=Math.max(Math.min(360,t),0)|0,this.s=bt(Math.max(Math.min(1,n),0),3),this.l=bt(Math.max(Math.min(1,r),0),3),this.a=bt(Math.max(Math.min(1,i),0),3)}static equals(t,n){return t.h===n.h&&t.s===n.s&&t.l===n.l&&t.a===n.a}static fromRGBA(t){const n=t.r/255,r=t.g/255,i=t.b/255,s=t.a,a=Math.max(n,r,i),l=Math.min(n,r,i);let o=0,c=0;const d=(l+a)/2,u=a-l;if(u>0){switch(c=Math.min(d<=.5?u/(2*d):u/(2-2*d),1),a){case n:o=(r-i)/u+(r<i?6:0);break;case r:o=(i-n)/u+2;break;case i:o=(n-r)/u+4;break}o*=60,o=Math.round(o)}return new Ae(o,c,d,s)}static _hue2rgb(t,n,r){return r<0&&(r+=1),r>1&&(r-=1),r<1/6?t+(n-t)*6*r:r<1/2?n:r<2/3?t+(n-t)*(2/3-r)*6:t}static toRGBA(t){const n=t.h/360,{s:r,l:i,a:s}=t;let a,l,o;if(r===0)a=l=o=i;else{const c=i<.5?i*(1+r):i+r-i*r,d=2*i-c;a=Ae._hue2rgb(d,c,n+1/3),l=Ae._hue2rgb(d,c,n),o=Ae._hue2rgb(d,c,n-1/3)}return new me(Math.round(a*255),Math.round(l*255),Math.round(o*255),s)}}class jt{constructor(t,n,r,i){this._hsvaBrand=void 0,this.h=Math.max(Math.min(360,t),0)|0,this.s=bt(Math.max(Math.min(1,n),0),3),this.v=bt(Math.max(Math.min(1,r),0),3),this.a=bt(Math.max(Math.min(1,i),0),3)}static equals(t,n){return t.h===n.h&&t.s===n.s&&t.v===n.v&&t.a===n.a}static fromRGBA(t){const n=t.r/255,r=t.g/255,i=t.b/255,s=Math.max(n,r,i),a=Math.min(n,r,i),l=s-a,o=s===0?0:l/s;let c;return l===0?c=0:s===n?c=((r-i)/l%6+6)%6:s===r?c=(i-n)/l+2:c=(n-r)/l+4,new jt(Math.round(c*60),o,s,t.a)}static toRGBA(t){const{h:n,s:r,v:i,a:s}=t,a=i*r,l=a*(1-Math.abs(n/60%2-1)),o=i-a;let[c,d,u]=[0,0,0];return n<60?(c=a,d=l):n<120?(c=l,d=a):n<180?(d=a,u=l):n<240?(d=l,u=a):n<300?(c=l,u=a):n<=360&&(c=a,u=l),c=Math.round((c+o)*255),d=Math.round((d+o)*255),u=Math.round((u+o)*255),new me(c,d,u,s)}}let ir=(Z=class{static fromHex(t){return Z.Format.CSS.parseHex(t)||Z.red}static equals(t,n){return!t&&!n?!0:!t||!n?!1:t.equals(n)}get hsla(){return this._hsla?this._hsla:Ae.fromRGBA(this.rgba)}get hsva(){return this._hsva?this._hsva:jt.fromRGBA(this.rgba)}constructor(t){if(t)if(t instanceof me)this.rgba=t;else if(t instanceof Ae)this._hsla=t,this.rgba=Ae.toRGBA(t);else if(t instanceof jt)this._hsva=t,this.rgba=jt.toRGBA(t);else throw new Error("Invalid color ctor argument");else throw new Error("Color needs a value")}equals(t){return!!t&&me.equals(this.rgba,t.rgba)&&Ae.equals(this.hsla,t.hsla)&&jt.equals(this.hsva,t.hsva)}getRelativeLuminance(){const t=Z._relativeLuminanceForComponent(this.rgba.r),n=Z._relativeLuminanceForComponent(this.rgba.g),r=Z._relativeLuminanceForComponent(this.rgba.b),i=.2126*t+.7152*n+.0722*r;return bt(i,4)}static _relativeLuminanceForComponent(t){const n=t/255;return n<=.03928?n/12.92:Math.pow((n+.055)/1.055,2.4)}isLighter(){return(this.rgba.r*299+this.rgba.g*587+this.rgba.b*114)/1e3>=128}isLighterThan(t){const n=this.getRelativeLuminance(),r=t.getRelativeLuminance();return n>r}isDarkerThan(t){const n=this.getRelativeLuminance(),r=t.getRelativeLuminance();return n<r}lighten(t){return new Z(new Ae(this.hsla.h,this.hsla.s,this.hsla.l+this.hsla.l*t,this.hsla.a))}darken(t){return new Z(new Ae(this.hsla.h,this.hsla.s,this.hsla.l-this.hsla.l*t,this.hsla.a))}transparent(t){const{r:n,g:r,b:i,a:s}=this.rgba;return new Z(new me(n,r,i,s*t))}isTransparent(){return this.rgba.a===0}isOpaque(){return this.rgba.a===1}opposite(){return new Z(new me(255-this.rgba.r,255-this.rgba.g,255-this.rgba.b,this.rgba.a))}makeOpaque(t){if(this.isOpaque()||t.rgba.a!==1)return this;const{r:n,g:r,b:i,a:s}=this.rgba;return new Z(new me(t.rgba.r-s*(t.rgba.r-n),t.rgba.g-s*(t.rgba.g-r),t.rgba.b-s*(t.rgba.b-i),1))}toString(){return this._toString||(this._toString=Z.Format.CSS.format(this)),this._toString}static getLighterColor(t,n,r){if(t.isLighterThan(n))return t;r=r||.5;const i=t.getRelativeLuminance(),s=n.getRelativeLuminance();return r=r*(s-i)/s,t.lighten(r)}static getDarkerColor(t,n,r){if(t.isDarkerThan(n))return t;r=r||.5;const i=t.getRelativeLuminance(),s=n.getRelativeLuminance();return r=r*(i-s)/i,t.darken(r)}},Z.white=new Z(new me(255,255,255,1)),Z.black=new Z(new me(0,0,0,1)),Z.red=new Z(new me(255,0,0,1)),Z.blue=new Z(new me(0,0,255,1)),Z.green=new Z(new me(0,255,0,1)),Z.cyan=new Z(new me(0,255,255,1)),Z.lightgrey=new Z(new me(211,211,211,1)),Z.transparent=new Z(new me(0,0,0,0)),Z);(function(e){(function(t){(function(n){function r(m){return m.rgba.a===1?`rgb(${m.rgba.r}, ${m.rgba.g}, ${m.rgba.b})`:e.Format.CSS.formatRGBA(m)}n.formatRGB=r;function i(m){return`rgba(${m.rgba.r}, ${m.rgba.g}, ${m.rgba.b}, ${+m.rgba.a.toFixed(2)})`}n.formatRGBA=i;function s(m){return m.hsla.a===1?`hsl(${m.hsla.h}, ${(m.hsla.s*100).toFixed(2)}%, ${(m.hsla.l*100).toFixed(2)}%)`:e.Format.CSS.formatHSLA(m)}n.formatHSL=s;function a(m){return`hsla(${m.hsla.h}, ${(m.hsla.s*100).toFixed(2)}%, ${(m.hsla.l*100).toFixed(2)}%, ${m.hsla.a.toFixed(2)})`}n.formatHSLA=a;function l(m){const v=m.toString(16);return v.length!==2?"0"+v:v}function o(m){return`#${l(m.rgba.r)}${l(m.rgba.g)}${l(m.rgba.b)}`}n.formatHex=o;function c(m,v=!1){return v&&m.rgba.a===1?e.Format.CSS.formatHex(m):`#${l(m.rgba.r)}${l(m.rgba.g)}${l(m.rgba.b)}${l(Math.round(m.rgba.a*255))}`}n.formatHexA=c;function d(m){return m.isOpaque()?e.Format.CSS.formatHex(m):e.Format.CSS.formatRGBA(m)}n.format=d;function u(m){const v=m.length;if(v===0||m.charCodeAt(0)!==35)return null;if(v===7){const w=16*f(m.charCodeAt(1))+f(m.charCodeAt(2)),k=16*f(m.charCodeAt(3))+f(m.charCodeAt(4)),R=16*f(m.charCodeAt(5))+f(m.charCodeAt(6));return new e(new me(w,k,R,1))}if(v===9){const w=16*f(m.charCodeAt(1))+f(m.charCodeAt(2)),k=16*f(m.charCodeAt(3))+f(m.charCodeAt(4)),R=16*f(m.charCodeAt(5))+f(m.charCodeAt(6)),I=16*f(m.charCodeAt(7))+f(m.charCodeAt(8));return new e(new me(w,k,R,I/255))}if(v===4){const w=f(m.charCodeAt(1)),k=f(m.charCodeAt(2)),R=f(m.charCodeAt(3));return new e(new me(16*w+w,16*k+k,16*R+R))}if(v===5){const w=f(m.charCodeAt(1)),k=f(m.charCodeAt(2)),R=f(m.charCodeAt(3)),I=f(m.charCodeAt(4));return new e(new me(16*w+w,16*k+k,16*R+R,(16*I+I)/255))}return null}n.parseHex=u;function f(m){switch(m){case 48:return 0;case 49:return 1;case 50:return 2;case 51:return 3;case 52:return 4;case 53:return 5;case 54:return 6;case 55:return 7;case 56:return 8;case 57:return 9;case 97:return 10;case 65:return 10;case 98:return 11;case 66:return 11;case 99:return 12;case 67:return 12;case 100:return 13;case 68:return 13;case 101:return 14;case 69:return 14;case 102:return 15;case 70:return 15}return 0}})(t.CSS||(t.CSS={}))})(e.Format||(e.Format={}))})(ir||(ir={}));function Xo(e){const t=[];for(const n of e){const r=Number(n);(r||r===0&&n.replace(/\s/g,"")!=="")&&t.push(r)}return t}function ki(e,t,n,r){return{red:e/255,blue:n/255,green:t/255,alpha:r}}function gn(e,t){const n=t.index,r=t[0].length;if(!n)return;const i=e.positionAt(n);return{startLineNumber:i.lineNumber,startColumn:i.column,endLineNumber:i.lineNumber,endColumn:i.column+r}}function ju(e,t){if(!e)return;const n=ir.Format.CSS.parseHex(t);if(n)return{range:e,color:ki(n.rgba.r,n.rgba.g,n.rgba.b,n.rgba.a)}}function Yo(e,t,n){if(!e||t.length!==1)return;const i=t[0].values(),s=Xo(i);return{range:e,color:ki(s[0],s[1],s[2],n?s[3]:1)}}function Qo(e,t,n){if(!e||t.length!==1)return;const i=t[0].values(),s=Xo(i),a=new ir(new Ae(s[0],s[1]/100,s[2]/100,n?s[3]:1));return{range:e,color:ki(a.rgba.r,a.rgba.g,a.rgba.b,a.rgba.a)}}function bn(e,t){return typeof e=="string"?[...e.matchAll(t)]:e.findMatches(t)}function Hu(e){const t=[],r=bn(e,/\b(rgb|rgba|hsl|hsla)(\([0-9\s,.\%]*\))|(#)([A-Fa-f0-9]{3})\b|(#)([A-Fa-f0-9]{4})\b|(#)([A-Fa-f0-9]{6})\b|(#)([A-Fa-f0-9]{8})\b/gm);if(r.length>0)for(const i of r){const s=i.filter(c=>c!==void 0),a=s[1],l=s[2];if(!l)continue;let o;if(a==="rgb"){const c=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*\)$/gm;o=Yo(gn(e,i),bn(l,c),!1)}else if(a==="rgba"){const c=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;o=Yo(gn(e,i),bn(l,c),!0)}else if(a==="hsl"){const c=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*\)$/gm;o=Qo(gn(e,i),bn(l,c),!1)}else if(a==="hsla"){const c=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;o=Qo(gn(e,i),bn(l,c),!0)}else a==="#"&&(o=ju(gn(e,i),a+l));o&&t.push(o)}return t}function Gu(e){return!e||typeof e.getValue!="function"||typeof e.positionAt!="function"?[]:Hu(e)}const Ko=new RegExp("\\bMARK:\\s*(.*)$","d"),Ju=/^-+|-+$/g;function Xu(e,t){var r;let n=[];if(t.findRegionSectionHeaders&&((r=t.foldingRules)!=null&&r.markers)){const i=Yu(e,t);n=n.concat(i)}if(t.findMarkSectionHeaders){const i=Qu(e);n=n.concat(i)}return n}function Yu(e,t){const n=[],r=e.getLineCount();for(let i=1;i<=r;i++){const s=e.getLineContent(i),a=s.match(t.foldingRules.markers.start);if(a){const l={startLineNumber:i,startColumn:a[0].length+1,endLineNumber:i,endColumn:s.length+1};if(l.endColumn>l.startColumn){const o={range:l,...Zo(s.substring(a[0].length)),shouldBeInComments:!1};(o.text||o.hasSeparatorLine)&&n.push(o)}}}return n}function Qu(e){const t=[],n=e.getLineCount();for(let r=1;r<=n;r++){const i=e.getLineContent(r);Ku(i,r,t)}return t}function Ku(e,t,n){Ko.lastIndex=0;const r=Ko.exec(e);if(r){const i=r.indices[1][0]+1,s=r.indices[1][1]+1,a={startLineNumber:t,startColumn:i,endLineNumber:t,endColumn:s};if(a.endColumn>a.startColumn){const l={range:a,...Zo(r[1]),shouldBeInComments:!0};(l.text||l.hasSeparatorLine)&&n.push(l)}}}function Zo(e){e=e.trim();const t=e.startsWith("-");return e=e.replace(Ju,""),{text:e,hasSeparatorLine:t}}var el;(function(e){async function t(r){let i;const s=await Promise.all(r.map(a=>a.then(l=>l,l=>{i||(i=l)})));if(typeof i<"u")throw i;return s}e.settled=t;function n(r){return new Promise(async(i,s)=>{try{await r(i,s)}catch(a){s(a)}})}e.withAsyncBody=n})(el||(el={}));const _e=class _e{static fromArray(t){return new _e(n=>{n.emitMany(t)})}static fromPromise(t){return new _e(async n=>{n.emitMany(await t)})}static fromPromises(t){return new _e(async n=>{await Promise.all(t.map(async r=>n.emitOne(await r)))})}static merge(t){return new _e(async n=>{await Promise.all(t.map(async r=>{for await(const i of r)n.emitOne(i)}))})}constructor(t,n){this._state=0,this._results=[],this._error=null,this._onReturn=n,this._onStateChanged=new De,queueMicrotask(async()=>{const r={emitOne:i=>this.emitOne(i),emitMany:i=>this.emitMany(i),reject:i=>this.reject(i)};try{await Promise.resolve(t(r)),this.resolve()}catch(i){this.reject(i)}finally{r.emitOne=void 0,r.emitMany=void 0,r.reject=void 0}})}[Symbol.asyncIterator](){let t=0;return{next:async()=>{do{if(this._state===2)throw this._error;if(t<this._results.length)return{done:!1,value:this._results[t++]};if(this._state===1)return{done:!0,value:void 0};await Vn.toPromise(this._onStateChanged.event)}while(!0)},return:async()=>{var n;return(n=this._onReturn)==null||n.call(this),{done:!0,value:void 0}}}}static map(t,n){return new _e(async r=>{for await(const i of t)r.emitOne(n(i))})}map(t){return _e.map(this,t)}static filter(t,n){return new _e(async r=>{for await(const i of t)n(i)&&r.emitOne(i)})}filter(t){return _e.filter(this,t)}static coalesce(t){return _e.filter(t,n=>!!n)}coalesce(){return _e.coalesce(this)}static async toPromise(t){const n=[];for await(const r of t)n.push(r);return n}toPromise(){return _e.toPromise(this)}emitOne(t){this._state===0&&(this._results.push(t),this._onStateChanged.fire())}emitMany(t){this._state===0&&(this._results=this._results.concat(t),this._onStateChanged.fire())}resolve(){this._state===0&&(this._state=1,this._onStateChanged.fire())}reject(t){this._state===0&&(this._state=2,this._error=t,this._onStateChanged.fire())}};_e.EMPTY=_e.fromArray([]);let tl=_e;class Zu{constructor(t){this.values=t,this.prefixSum=new Uint32Array(t.length),this.prefixSumValidIndex=new Int32Array(1),this.prefixSumValidIndex[0]=-1}insertValues(t,n){t=Vt(t);const r=this.values,i=this.prefixSum,s=n.length;return s===0?!1:(this.values=new Uint32Array(r.length+s),this.values.set(r.subarray(0,t),0),this.values.set(r.subarray(t),t+s),this.values.set(n,t),t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),this.prefixSum=new Uint32Array(this.values.length),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(i.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}setValue(t,n){return t=Vt(t),n=Vt(n),this.values[t]===n?!1:(this.values[t]=n,t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),!0)}removeValues(t,n){t=Vt(t),n=Vt(n);const r=this.values,i=this.prefixSum;if(t>=r.length)return!1;const s=r.length-t;return n>=s&&(n=s),n===0?!1:(this.values=new Uint32Array(r.length-n),this.values.set(r.subarray(0,t),0),this.values.set(r.subarray(t+n),t),this.prefixSum=new Uint32Array(this.values.length),t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(i.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}getTotalSum(){return this.values.length===0?0:this._getPrefixSum(this.values.length-1)}getPrefixSum(t){return t<0?0:(t=Vt(t),this._getPrefixSum(t))}_getPrefixSum(t){if(t<=this.prefixSumValidIndex[0])return this.prefixSum[t];let n=this.prefixSumValidIndex[0]+1;n===0&&(this.prefixSum[0]=this.values[0],n++),t>=this.values.length&&(t=this.values.length-1);for(let r=n;r<=t;r++)this.prefixSum[r]=this.prefixSum[r-1]+this.values[r];return this.prefixSumValidIndex[0]=Math.max(this.prefixSumValidIndex[0],t),this.prefixSum[t]}getIndexOf(t){t=Math.floor(t),this.getTotalSum();let n=0,r=this.values.length-1,i=0,s=0,a=0;for(;n<=r;)if(i=n+(r-n)/2|0,s=this.prefixSum[i],a=s-this.values[i],t<a)r=i-1;else if(t>=s)n=i+1;else break;return new ep(i,t-a)}}class ep{constructor(t,n){this.index=t,this.remainder=n,this._prefixSumIndexOfResultBrand=void 0,this.index=t,this.remainder=n}}class tp{constructor(t,n,r,i){this._uri=t,this._lines=n,this._eol=r,this._versionId=i,this._lineStarts=null,this._cachedTextValue=null}dispose(){this._lines.length=0}get version(){return this._versionId}getText(){return this._cachedTextValue===null&&(this._cachedTextValue=this._lines.join(this._eol)),this._cachedTextValue}onEvents(t){t.eol&&t.eol!==this._eol&&(this._eol=t.eol,this._lineStarts=null);const n=t.changes;for(const r of n)this._acceptDeleteRange(r.range),this._acceptInsertText(new he(r.range.startLineNumber,r.range.startColumn),r.text);this._versionId=t.versionId,this._cachedTextValue=null}_ensureLineStarts(){if(!this._lineStarts){const t=this._eol.length,n=this._lines.length,r=new Uint32Array(n);for(let i=0;i<n;i++)r[i]=this._lines[i].length+t;this._lineStarts=new Zu(r)}}_setLineText(t,n){this._lines[t]=n,this._lineStarts&&this._lineStarts.setValue(t,this._lines[t].length+this._eol.length)}_acceptDeleteRange(t){if(t.startLineNumber===t.endLineNumber){if(t.startColumn===t.endColumn)return;this._setLineText(t.startLineNumber-1,this._lines[t.startLineNumber-1].substring(0,t.startColumn-1)+this._lines[t.startLineNumber-1].substring(t.endColumn-1));return}this._setLineText(t.startLineNumber-1,this._lines[t.startLineNumber-1].substring(0,t.startColumn-1)+this._lines[t.endLineNumber-1].substring(t.endColumn-1)),this._lines.splice(t.startLineNumber,t.endLineNumber-t.startLineNumber),this._lineStarts&&this._lineStarts.removeValues(t.startLineNumber,t.endLineNumber-t.startLineNumber)}_acceptInsertText(t,n){if(n.length===0)return;const r=Jh(n);if(r.length===1){this._setLineText(t.lineNumber-1,this._lines[t.lineNumber-1].substring(0,t.column-1)+r[0]+this._lines[t.lineNumber-1].substring(t.column-1));return}r[r.length-1]+=this._lines[t.lineNumber-1].substring(t.column-1),this._setLineText(t.lineNumber-1,this._lines[t.lineNumber-1].substring(0,t.column-1)+r[0]);const i=new Uint32Array(r.length-1);for(let s=1;s<r.length;s++)this._lines.splice(t.lineNumber+s-1,0,r[s]),i[s-1]=r[s].length+this._eol.length;this._lineStarts&&this._lineStarts.insertValues(t.lineNumber,i)}}class np{constructor(){this._models=Object.create(null)}getModel(t){return this._models[t]}getModels(){const t=[];return Object.keys(this._models).forEach(n=>t.push(this._models[n])),t}$acceptNewModel(t){this._models[t.url]=new rp($e.parse(t.url),t.lines,t.EOL,t.versionId)}$acceptModelChanged(t,n){if(!this._models[t])return;this._models[t].onEvents(n)}$acceptRemovedModel(t){this._models[t]&&delete this._models[t]}}class rp extends tp{get uri(){return this._uri}get eol(){return this._eol}getValue(){return this.getText()}findMatches(t){const n=[];for(let r=0;r<this._lines.length;r++){const i=this._lines[r],s=this.offsetAt(new he(r+1,1)),a=i.matchAll(t);for(const l of a)(l.index||l.index===0)&&(l.index=l.index+s),n.push(l)}return n}getLinesContent(){return this._lines.slice(0)}getLineCount(){return this._lines.length}getLineContent(t){return this._lines[t-1]}getWordAtPosition(t,n){const r=bi(t.column,Fo(n),this._lines[t.lineNumber-1],0);return r?new Q(t.lineNumber,r.startColumn,t.lineNumber,r.endColumn):null}words(t){const n=this._lines,r=this._wordenize.bind(this);let i=0,s="",a=0,l=[];return{*[Symbol.iterator](){for(;;)if(a<l.length){const o=s.substring(l[a].start,l[a].end);a+=1,yield o}else if(i<n.length)s=n[i],l=r(s,t),a=0,i+=1;else break}}}getLineWords(t,n){const r=this._lines[t-1],i=this._wordenize(r,n),s=[];for(const a of i)s.push({word:r.substring(a.start,a.end),startColumn:a.start+1,endColumn:a.end+1});return s}_wordenize(t,n){const r=[];let i;for(n.lastIndex=0;(i=n.exec(t))&&i[0].length!==0;)r.push({start:i.index,end:i.index+i[0].length});return r}getValueInRange(t){if(t=this._validateRange(t),t.startLineNumber===t.endLineNumber)return this._lines[t.startLineNumber-1].substring(t.startColumn-1,t.endColumn-1);const n=this._eol,r=t.startLineNumber-1,i=t.endLineNumber-1,s=[];s.push(this._lines[r].substring(t.startColumn-1));for(let a=r+1;a<i;a++)s.push(this._lines[a]);return s.push(this._lines[i].substring(0,t.endColumn-1)),s.join(n)}offsetAt(t){return t=this._validatePosition(t),this._ensureLineStarts(),this._lineStarts.getPrefixSum(t.lineNumber-2)+(t.column-1)}positionAt(t){t=Math.floor(t),t=Math.max(0,t),this._ensureLineStarts();const n=this._lineStarts.getIndexOf(t),r=this._lines[n.index].length;return{lineNumber:1+n.index,column:1+Math.min(n.remainder,r)}}_validateRange(t){const n=this._validatePosition({lineNumber:t.startLineNumber,column:t.startColumn}),r=this._validatePosition({lineNumber:t.endLineNumber,column:t.endColumn});return n.lineNumber!==t.startLineNumber||n.column!==t.startColumn||r.lineNumber!==t.endLineNumber||r.column!==t.endColumn?{startLineNumber:n.lineNumber,startColumn:n.column,endLineNumber:r.lineNumber,endColumn:r.column}:t}_validatePosition(t){if(!he.isIPosition(t))throw new Error("bad position");let{lineNumber:n,column:r}=t,i=!1;if(n<1)n=1,r=1,i=!0;else if(n>this._lines.length)n=this._lines.length,r=this._lines[n-1].length+1,i=!0;else{const s=this._lines[n-1].length+1;r<1?(r=1,i=!0):r>s&&(r=s,i=!0)}return i?{lineNumber:n,column:r}:t}}const Tr=class Tr{constructor(){this._workerTextModelSyncServer=new np}dispose(){}_getModel(t){return this._workerTextModelSyncServer.getModel(t)}_getModels(){return this._workerTextModelSyncServer.getModels()}$acceptNewModel(t){this._workerTextModelSyncServer.$acceptNewModel(t)}$acceptModelChanged(t,n){this._workerTextModelSyncServer.$acceptModelChanged(t,n)}$acceptRemovedModel(t){this._workerTextModelSyncServer.$acceptRemovedModel(t)}async $computeUnicodeHighlights(t,n,r){const i=this._getModel(t);return i?cu.computeUnicodeHighlights(i,n,r):{ranges:[],hasMore:!1,ambiguousCharacterCount:0,invisibleCharacterCount:0,nonBasicAsciiCharacterCount:0}}async $findSectionHeaders(t,n){const r=this._getModel(t);return r?Xu(r,n):[]}async $computeDiff(t,n,r,i){const s=this._getModel(t),a=this._getModel(n);return!s||!a?null:sr.computeDiff(s,a,r,i)}static computeDiff(t,n,r,i){const s=i==="advanced"?Jo.getDefault():Jo.getLegacy(),a=t.getLinesContent(),l=n.getLinesContent(),o=s.computeDiff(a,l,r),c=o.changes.length>0?!1:this._modelsAreIdentical(t,n);function d(u){return u.map(f=>{var m;return[f.original.startLineNumber,f.original.endLineNumberExclusive,f.modified.startLineNumber,f.modified.endLineNumberExclusive,(m=f.innerChanges)==null?void 0:m.map(v=>[v.originalRange.startLineNumber,v.originalRange.startColumn,v.originalRange.endLineNumber,v.originalRange.endColumn,v.modifiedRange.startLineNumber,v.modifiedRange.startColumn,v.modifiedRange.endLineNumber,v.modifiedRange.endColumn])]})}return{identical:c,quitEarly:o.hitTimeout,changes:d(o.changes),moves:o.moves.map(u=>[u.lineRangeMapping.original.startLineNumber,u.lineRangeMapping.original.endLineNumberExclusive,u.lineRangeMapping.modified.startLineNumber,u.lineRangeMapping.modified.endLineNumberExclusive,d(u.changes)])}}static _modelsAreIdentical(t,n){const r=t.getLineCount(),i=n.getLineCount();if(r!==i)return!1;for(let s=1;s<=r;s++){const a=t.getLineContent(s),l=n.getLineContent(s);if(a!==l)return!1}return!0}async $computeMoreMinimalEdits(t,n,r){const i=this._getModel(t);if(!i)return n;const s=[];let a;n=n.slice(0).sort((o,c)=>{if(o.range&&c.range)return Q.compareRangesUsingStarts(o.range,c.range);const d=o.range?0:1,u=c.range?0:1;return d-u});let l=0;for(let o=1;o<n.length;o++)Q.getEndPosition(n[l].range).equals(Q.getStartPosition(n[o].range))?(n[l].range=Q.fromPositions(Q.getStartPosition(n[l].range),Q.getEndPosition(n[o].range)),n[l].text+=n[o].text):(l++,n[l]=n[o]);n.length=l+1;for(let{range:o,text:c,eol:d}of n){if(typeof d=="number"&&(a=d),Q.isEmpty(o)&&!c)continue;const u=i.getValueInRange(o);if(c=c.replace(/\r\n|\n|\r/g,i.eol),u===c)continue;if(Math.max(c.length,u.length)>sr._diffLimit){s.push({range:o,text:c});continue}const f=Ad(u,c,r),m=i.offsetAt(Q.lift(o).getStartPosition());for(const v of f){const w=i.positionAt(m+v.originalStart),k=i.positionAt(m+v.originalStart+v.originalLength),R={text:c.substr(v.modifiedStart,v.modifiedLength),range:{startLineNumber:w.lineNumber,startColumn:w.column,endLineNumber:k.lineNumber,endColumn:k.column}};i.getValueInRange(R.range)!==R.text&&s.push(R)}}return typeof a=="number"&&s.push({eol:a,text:"",range:{startLineNumber:0,startColumn:0,endLineNumber:0,endColumn:0}}),s}async $computeLinks(t){const n=this._getModel(t);return n?Td(n):null}async $computeDefaultDocumentColors(t){const n=this._getModel(t);return n?Gu(n):null}async $textualSuggest(t,n,r,i){const s=new Un,a=new RegExp(r,i),l=new Set;e:for(const o of t){const c=this._getModel(o);if(c){for(const d of c.words(a))if(!(d===n||!isNaN(Number(d)))&&(l.add(d),l.size>sr._suggestionsLimit))break e}}return{words:Array.from(l),duration:s.elapsed()}}async $computeWordRanges(t,n,r,i){const s=this._getModel(t);if(!s)return Object.create(null);const a=new RegExp(r,i),l=Object.create(null);for(let o=n.startLineNumber;o<n.endLineNumber;o++){const c=s.getLineWords(o,a);for(const d of c){if(!isNaN(Number(d.word)))continue;let u=l[d.word];u||(u=[],l[d.word]=u),u.push({startLineNumber:o,startColumn:d.startColumn,endLineNumber:o,endColumn:d.endColumn})}}return l}async $navigateValueSet(t,n,r,i,s){const a=this._getModel(t);if(!a)return null;const l=new RegExp(i,s);n.startColumn===n.endColumn&&(n={startLineNumber:n.startLineNumber,startColumn:n.startColumn,endLineNumber:n.endLineNumber,endColumn:n.endColumn+1});const o=a.getValueInRange(n),c=a.getWordAtPosition({lineNumber:n.startLineNumber,column:n.startColumn},l);if(!c)return null;const d=a.getValueInRange(c);return oi.INSTANCE.navigateValueSet(n,o,c,d,r)}};Tr._diffLimit=1e5,Tr._suggestionsLimit=1e4;let Ei=Tr;class sr extends Ei{constructor(t,n){super(),this._host=t,this._foreignModuleFactory=n,this._foreignModule=null}async $ping(){return"pong"}$loadForeignModule(t,n,r){const a={host:eu(r,(l,o)=>this._host.$fhr(l,o)),getMirrorModels:()=>this._getModels()};return this._foreignModuleFactory?(this._foreignModule=this._foreignModuleFactory(a,n),Promise.resolve(xo(this._foreignModule))):new Promise((l,o)=>{const c=d=>{this._foreignModule=d.create(a,n),l(xo(this._foreignModule))};import(`${ia.asBrowserUri(`${t}.js`).toString(!0)}`).then(c).catch(o)})}$fmr(t,n){if(!this._foreignModule||typeof this._foreignModule[t]!="function")return Promise.reject(new Error("Missing requestHandler or method: "+t));try{return Promise.resolve(this._foreignModule[t].apply(this._foreignModule,n))}catch(r){return Promise.reject(r)}}}typeof importScripts=="function"&&(globalThis.monaco=Jd());let Fi=!1;function nl(e){if(Fi)return;Fi=!0;const t=new Nd(n=>{globalThis.postMessage(n)},n=>new sr(gi.getChannel(n),e));globalThis.onmessage=n=>{t.onmessage(n.data)}}globalThis.onmessage=e=>{Fi||nl(null)};/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var p;(function(e){e[e.Ident=0]="Ident",e[e.AtKeyword=1]="AtKeyword",e[e.String=2]="String",e[e.BadString=3]="BadString",e[e.UnquotedString=4]="UnquotedString",e[e.Hash=5]="Hash",e[e.Num=6]="Num",e[e.Percentage=7]="Percentage",e[e.Dimension=8]="Dimension",e[e.UnicodeRange=9]="UnicodeRange",e[e.CDO=10]="CDO",e[e.CDC=11]="CDC",e[e.Colon=12]="Colon",e[e.SemiColon=13]="SemiColon",e[e.CurlyL=14]="CurlyL",e[e.CurlyR=15]="CurlyR",e[e.ParenthesisL=16]="ParenthesisL",e[e.ParenthesisR=17]="ParenthesisR",e[e.BracketL=18]="BracketL",e[e.BracketR=19]="BracketR",e[e.Whitespace=20]="Whitespace",e[e.Includes=21]="Includes",e[e.Dashmatch=22]="Dashmatch",e[e.SubstringOperator=23]="SubstringOperator",e[e.PrefixOperator=24]="PrefixOperator",e[e.SuffixOperator=25]="SuffixOperator",e[e.Delim=26]="Delim",e[e.EMS=27]="EMS",e[e.EXS=28]="EXS",e[e.Length=29]="Length",e[e.Angle=30]="Angle",e[e.Time=31]="Time",e[e.Freq=32]="Freq",e[e.Exclamation=33]="Exclamation",e[e.Resolution=34]="Resolution",e[e.Comma=35]="Comma",e[e.Charset=36]="Charset",e[e.EscapedJavaScript=37]="EscapedJavaScript",e[e.BadEscapedJavaScript=38]="BadEscapedJavaScript",e[e.Comment=39]="Comment",e[e.SingleLineComment=40]="SingleLineComment",e[e.EOF=41]="EOF",e[e.ContainerQueryLength=42]="ContainerQueryLength",e[e.CustomToken=43]="CustomToken"})(p||(p={}));var rl=class{constructor(e){this.source=e,this.len=e.length,this.position=0}substring(e,t=this.position){return this.source.substring(e,t)}eos(){return this.len<=this.position}pos(){return this.position}goBackTo(e){this.position=e}goBack(e){this.position-=e}advance(e){this.position+=e}nextChar(){return this.source.charCodeAt(this.position++)||0}peekChar(e=0){return this.source.charCodeAt(this.position+e)||0}lookbackChar(e=0){return this.source.charCodeAt(this.position-e)||0}advanceIfChar(e){return e===this.source.charCodeAt(this.position)?(this.position++,!0):!1}advanceIfChars(e){if(this.position+e.length>this.source.length)return!1;let t=0;for(;t<e.length;t++)if(this.source.charCodeAt(this.position+t)!==e[t])return!1;return this.advance(t),!0}advanceWhileChar(e){const t=this.position;for(;this.position<this.len&&e(this.source.charCodeAt(this.position));)this.position++;return this.position-t}},ar=97,il=102,sl=122,or=65,al=70,ol=90,vn=48,wn=57,ip=126,sp=94,yn=61,ap=124,Et=45,ll=95,op=37,Ri=42,cl=40,hl=41,lp=60,cp=62,hp=64,dp=35,up=36,Ni=92,dl=47,Ht=10,Gt=13,xn=12,ul=34,pl=39,Di=32,Ii=9,pp=59,mp=58,fp=123,gp=125,bp=91,vp=93,wp=44,ml=46,fl=33,yp=63,xp=43,qe={};qe[pp]=p.SemiColon,qe[mp]=p.Colon,qe[fp]=p.CurlyL,qe[gp]=p.CurlyR,qe[vp]=p.BracketR,qe[bp]=p.BracketL,qe[cl]=p.ParenthesisL,qe[hl]=p.ParenthesisR,qe[wp]=p.Comma;var ie={};ie.em=p.EMS,ie.ex=p.EXS,ie.px=p.Length,ie.cm=p.Length,ie.mm=p.Length,ie.in=p.Length,ie.pt=p.Length,ie.pc=p.Length,ie.deg=p.Angle,ie.rad=p.Angle,ie.grad=p.Angle,ie.ms=p.Time,ie.s=p.Time,ie.hz=p.Freq,ie.khz=p.Freq,ie["%"]=p.Percentage,ie.fr=p.Percentage,ie.dpi=p.Resolution,ie.dpcm=p.Resolution,ie.cqw=p.ContainerQueryLength,ie.cqh=p.ContainerQueryLength,ie.cqi=p.ContainerQueryLength,ie.cqb=p.ContainerQueryLength,ie.cqmin=p.ContainerQueryLength,ie.cqmax=p.ContainerQueryLength;var Sn=class{constructor(){this.stream=new rl(""),this.ignoreComment=!0,this.ignoreWhitespace=!0,this.inURL=!1}setSource(e){this.stream=new rl(e)}finishToken(e,t,n){return{offset:e,len:this.stream.pos()-e,type:t,text:n||this.stream.substring(e)}}substring(e,t){return this.stream.substring(e,e+t)}pos(){return this.stream.pos()}goBackTo(e){this.stream.goBackTo(e)}scanUnquotedString(){const e=this.stream.pos(),t=[];return this._unquotedString(t)?this.finishToken(e,p.UnquotedString,t.join("")):null}scan(){const e=this.trivia();if(e!==null)return e;const t=this.stream.pos();return this.stream.eos()?this.finishToken(t,p.EOF):this.scanNext(t)}tryScanUnicode(){const e=this.stream.pos();if(!this.stream.eos()&&this._unicodeRange())return this.finishToken(e,p.UnicodeRange);this.stream.goBackTo(e)}scanNext(e){if(this.stream.advanceIfChars([lp,fl,Et,Et]))return this.finishToken(e,p.CDO);if(this.stream.advanceIfChars([Et,Et,cp]))return this.finishToken(e,p.CDC);let t=[];if(this.ident(t))return this.finishToken(e,p.Ident,t.join(""));if(this.stream.advanceIfChar(hp))if(t=["@"],this._name(t)){const r=t.join("");return r==="@charset"?this.finishToken(e,p.Charset,r):this.finishToken(e,p.AtKeyword,r)}else return this.finishToken(e,p.Delim);if(this.stream.advanceIfChar(dp))return t=["#"],this._name(t)?this.finishToken(e,p.Hash,t.join("")):this.finishToken(e,p.Delim);if(this.stream.advanceIfChar(fl))return this.finishToken(e,p.Exclamation);if(this._number()){const r=this.stream.pos();if(t=[this.stream.substring(e,r)],this.stream.advanceIfChar(op))return this.finishToken(e,p.Percentage);if(this.ident(t)){const i=this.stream.substring(r).toLowerCase(),s=ie[i];return typeof s<"u"?this.finishToken(e,s,t.join("")):this.finishToken(e,p.Dimension,t.join(""))}return this.finishToken(e,p.Num)}t=[];let n=this._string(t);return n!==null?this.finishToken(e,n,t.join("")):(n=qe[this.stream.peekChar()],typeof n<"u"?(this.stream.advance(1),this.finishToken(e,n)):this.stream.peekChar(0)===ip&&this.stream.peekChar(1)===yn?(this.stream.advance(2),this.finishToken(e,p.Includes)):this.stream.peekChar(0)===ap&&this.stream.peekChar(1)===yn?(this.stream.advance(2),this.finishToken(e,p.Dashmatch)):this.stream.peekChar(0)===Ri&&this.stream.peekChar(1)===yn?(this.stream.advance(2),this.finishToken(e,p.SubstringOperator)):this.stream.peekChar(0)===sp&&this.stream.peekChar(1)===yn?(this.stream.advance(2),this.finishToken(e,p.PrefixOperator)):this.stream.peekChar(0)===up&&this.stream.peekChar(1)===yn?(this.stream.advance(2),this.finishToken(e,p.SuffixOperator)):(this.stream.nextChar(),this.finishToken(e,p.Delim)))}trivia(){for(;;){const e=this.stream.pos();if(this._whitespace()){if(!this.ignoreWhitespace)return this.finishToken(e,p.Whitespace)}else if(this.comment()){if(!this.ignoreComment)return this.finishToken(e,p.Comment)}else return null}}comment(){if(this.stream.advanceIfChars([dl,Ri])){let e=!1,t=!1;return this.stream.advanceWhileChar(n=>t&&n===dl?(e=!0,!1):(t=n===Ri,!0)),e&&this.stream.advance(1),!0}return!1}_number(){let e=0,t;return this.stream.peekChar()===ml&&(e=1),t=this.stream.peekChar(e),t>=vn&&t<=wn?(this.stream.advance(e+1),this.stream.advanceWhileChar(n=>n>=vn&&n<=wn||e===0&&n===ml),!0):!1}_newline(e){const t=this.stream.peekChar();switch(t){case Gt:case xn:case Ht:return this.stream.advance(1),e.push(String.fromCharCode(t)),t===Gt&&this.stream.advanceIfChar(Ht)&&e.push(`
+`),!0}return!1}_escape(e,t){let n=this.stream.peekChar();if(n===Ni){this.stream.advance(1),n=this.stream.peekChar();let r=0;for(;r<6&&(n>=vn&&n<=wn||n>=ar&&n<=il||n>=or&&n<=al);)this.stream.advance(1),n=this.stream.peekChar(),r++;if(r>0){try{const i=parseInt(this.stream.substring(this.stream.pos()-r),16);i&&e.push(String.fromCharCode(i))}catch{}return n===Di||n===Ii?this.stream.advance(1):this._newline([]),!0}if(n!==Gt&&n!==xn&&n!==Ht)return this.stream.advance(1),e.push(String.fromCharCode(n)),!0;if(t)return this._newline(e)}return!1}_stringChar(e,t){const n=this.stream.peekChar();return n!==0&&n!==e&&n!==Ni&&n!==Gt&&n!==xn&&n!==Ht?(this.stream.advance(1),t.push(String.fromCharCode(n)),!0):!1}_string(e){if(this.stream.peekChar()===pl||this.stream.peekChar()===ul){const t=this.stream.nextChar();for(e.push(String.fromCharCode(t));this._stringChar(t,e)||this._escape(e,!0););return this.stream.peekChar()===t?(this.stream.nextChar(),e.push(String.fromCharCode(t)),p.String):p.BadString}return null}_unquotedChar(e){const t=this.stream.peekChar();return t!==0&&t!==Ni&&t!==pl&&t!==ul&&t!==cl&&t!==hl&&t!==Di&&t!==Ii&&t!==Ht&&t!==xn&&t!==Gt?(this.stream.advance(1),e.push(String.fromCharCode(t)),!0):!1}_unquotedString(e){let t=!1;for(;this._unquotedChar(e)||this._escape(e);)t=!0;return t}_whitespace(){return this.stream.advanceWhileChar(t=>t===Di||t===Ii||t===Ht||t===xn||t===Gt)>0}_name(e){let t=!1;for(;this._identChar(e)||this._escape(e);)t=!0;return t}ident(e){const t=this.stream.pos();if(this._minus(e)){if(this._minus(e)||this._identFirstChar(e)||this._escape(e)){for(;this._identChar(e)||this._escape(e););return!0}}else if(this._identFirstChar(e)||this._escape(e)){for(;this._identChar(e)||this._escape(e););return!0}return this.stream.goBackTo(t),!1}_identFirstChar(e){const t=this.stream.peekChar();return t===ll||t>=ar&&t<=sl||t>=or&&t<=ol||t>=128&&t<=65535?(this.stream.advance(1),e.push(String.fromCharCode(t)),!0):!1}_minus(e){const t=this.stream.peekChar();return t===Et?(this.stream.advance(1),e.push(String.fromCharCode(t)),!0):!1}_identChar(e){const t=this.stream.peekChar();return t===ll||t===Et||t>=ar&&t<=sl||t>=or&&t<=ol||t>=vn&&t<=wn||t>=128&&t<=65535?(this.stream.advance(1),e.push(String.fromCharCode(t)),!0):!1}_unicodeRange(){if(this.stream.advanceIfChar(xp)){const e=n=>n>=vn&&n<=wn||n>=ar&&n<=il||n>=or&&n<=al,t=this.stream.advanceWhileChar(e)+this.stream.advanceWhileChar(n=>n===yp);if(t>=1&&t<=6)if(this.stream.advanceIfChar(Et)){const n=this.stream.advanceWhileChar(e);if(n>=1&&n<=6)return!0}else return!0}return!1}};function pe(e,t){if(e.length<t.length)return!1;for(let n=0;n<t.length;n++)if(e[n]!==t[n])return!1;return!0}function gl(e,t){let n=e.length-t.length;return n>0?e.lastIndexOf(t)===n:n===0?e===t:!1}function Sp(e,t,n=4){let r=Math.abs(e.length-t.length);if(r>n)return 0;let i=[],s=[],a,l;for(a=0;a<t.length+1;++a)s.push(0);for(a=0;a<e.length+1;++a)i.push(s);for(a=1;a<e.length+1;++a)for(l=1;l<t.length+1;++l)e[a-1]===t[l-1]?i[a][l]=i[a-1][l-1]+1:i[a][l]=Math.max(i[a-1][l],i[a][l-1]);return i[e.length][t.length]-Math.sqrt(r)}function bl(e,t=!0){return e?e.length<140?e:e.slice(0,140)+(t?"…":""):""}function Cp(e,t){const n=t.exec(e);return n&&n[0].length?e.substr(0,e.length-n[0].length):e}function vl(e,t){let n="";for(;t>0;)(t&1)===1&&(n+=e),e+=e,t=t>>>1;return n}var b;(function(e){e[e.Undefined=0]="Undefined",e[e.Identifier=1]="Identifier",e[e.Stylesheet=2]="Stylesheet",e[e.Ruleset=3]="Ruleset",e[e.Selector=4]="Selector",e[e.SimpleSelector=5]="SimpleSelector",e[e.SelectorInterpolation=6]="SelectorInterpolation",e[e.SelectorCombinator=7]="SelectorCombinator",e[e.SelectorCombinatorParent=8]="SelectorCombinatorParent",e[e.SelectorCombinatorSibling=9]="SelectorCombinatorSibling",e[e.SelectorCombinatorAllSiblings=10]="SelectorCombinatorAllSiblings",e[e.SelectorCombinatorShadowPiercingDescendant=11]="SelectorCombinatorShadowPiercingDescendant",e[e.Page=12]="Page",e[e.PageBoxMarginBox=13]="PageBoxMarginBox",e[e.ClassSelector=14]="ClassSelector",e[e.IdentifierSelector=15]="IdentifierSelector",e[e.ElementNameSelector=16]="ElementNameSelector",e[e.PseudoSelector=17]="PseudoSelector",e[e.AttributeSelector=18]="AttributeSelector",e[e.Declaration=19]="Declaration",e[e.Declarations=20]="Declarations",e[e.Property=21]="Property",e[e.Expression=22]="Expression",e[e.BinaryExpression=23]="BinaryExpression",e[e.Term=24]="Term",e[e.Operator=25]="Operator",e[e.Value=26]="Value",e[e.StringLiteral=27]="StringLiteral",e[e.URILiteral=28]="URILiteral",e[e.EscapedValue=29]="EscapedValue",e[e.Function=30]="Function",e[e.NumericValue=31]="NumericValue",e[e.HexColorValue=32]="HexColorValue",e[e.RatioValue=33]="RatioValue",e[e.MixinDeclaration=34]="MixinDeclaration",e[e.MixinReference=35]="MixinReference",e[e.VariableName=36]="VariableName",e[e.VariableDeclaration=37]="VariableDeclaration",e[e.Prio=38]="Prio",e[e.Interpolation=39]="Interpolation",e[e.NestedProperties=40]="NestedProperties",e[e.ExtendsReference=41]="ExtendsReference",e[e.SelectorPlaceholder=42]="SelectorPlaceholder",e[e.Debug=43]="Debug",e[e.If=44]="If",e[e.Else=45]="Else",e[e.For=46]="For",e[e.Each=47]="Each",e[e.While=48]="While",e[e.MixinContentReference=49]="MixinContentReference",e[e.MixinContentDeclaration=50]="MixinContentDeclaration",e[e.Media=51]="Media",e[e.Keyframe=52]="Keyframe",e[e.FontFace=53]="FontFace",e[e.Import=54]="Import",e[e.Namespace=55]="Namespace",e[e.Invocation=56]="Invocation",e[e.FunctionDeclaration=57]="FunctionDeclaration",e[e.ReturnStatement=58]="ReturnStatement",e[e.MediaQuery=59]="MediaQuery",e[e.MediaCondition=60]="MediaCondition",e[e.MediaFeature=61]="MediaFeature",e[e.FunctionParameter=62]="FunctionParameter",e[e.FunctionArgument=63]="FunctionArgument",e[e.KeyframeSelector=64]="KeyframeSelector",e[e.ViewPort=65]="ViewPort",e[e.Document=66]="Document",e[e.AtApplyRule=67]="AtApplyRule",e[e.CustomPropertyDeclaration=68]="CustomPropertyDeclaration",e[e.CustomPropertySet=69]="CustomPropertySet",e[e.ListEntry=70]="ListEntry",e[e.Supports=71]="Supports",e[e.SupportsCondition=72]="SupportsCondition",e[e.NamespacePrefix=73]="NamespacePrefix",e[e.GridLine=74]="GridLine",e[e.Plugin=75]="Plugin",e[e.UnknownAtRule=76]="UnknownAtRule",e[e.Use=77]="Use",e[e.ModuleConfiguration=78]="ModuleConfiguration",e[e.Forward=79]="Forward",e[e.ForwardVisibility=80]="ForwardVisibility",e[e.Module=81]="Module",e[e.UnicodeRange=82]="UnicodeRange",e[e.Layer=83]="Layer",e[e.LayerNameList=84]="LayerNameList",e[e.LayerName=85]="LayerName",e[e.PropertyAtRule=86]="PropertyAtRule",e[e.Container=87]="Container"})(b||(b={}));var J;(function(e){e[e.Mixin=0]="Mixin",e[e.Rule=1]="Rule",e[e.Variable=2]="Variable",e[e.Function=3]="Function",e[e.Keyframe=4]="Keyframe",e[e.Unknown=5]="Unknown",e[e.Module=6]="Module",e[e.Forward=7]="Forward",e[e.ForwardVisibility=8]="ForwardVisibility",e[e.Property=9]="Property"})(J||(J={}));function Ai(e,t){let n=null;return!e||t<e.offset||t>e.end?null:(e.accept(r=>r.offset===-1&&r.length===-1?!0:r.offset<=t&&r.end>=t?(n?r.length<=n.length&&(n=r):n=r,!0):!1),n)}function Mi(e,t){let n=Ai(e,t);const r=[];for(;n;)r.unshift(n),n=n.parent;return r}function _p(e){const t=e.findParent(b.Declaration),n=t&&t.getValue();return n&&n.encloses(e)?t:null}var O=class{get end(){return this.offset+this.length}constructor(e=-1,t=-1,n){this.parent=null,this.offset=e,this.length=t,n&&(this.nodeType=n)}set type(e){this.nodeType=e}get type(){return this.nodeType||b.Undefined}getTextProvider(){let e=this;for(;e&&!e.textProvider;)e=e.parent;return e?e.textProvider:()=>"unknown"}getText(){return this.getTextProvider()(this.offset,this.length)}matches(e){return this.length===e.length&&this.getTextProvider()(this.offset,this.length)===e}startsWith(e){return this.length>=e.length&&this.getTextProvider()(this.offset,e.length)===e}endsWith(e){return this.length>=e.length&&this.getTextProvider()(this.end-e.length,e.length)===e}accept(e){if(e(this)&&this.children)for(const t of this.children)t.accept(e)}acceptVisitor(e){this.accept(e.visitNode.bind(e))}adoptChild(e,t=-1){if(e.parent&&e.parent.children){const r=e.parent.children.indexOf(e);r>=0&&e.parent.children.splice(r,1)}e.parent=this;let n=this.children;return n||(n=this.children=[]),t!==-1?n.splice(t,0,e):n.push(e),e}attachTo(e,t=-1){return e&&e.adoptChild(this,t),this}collectIssues(e){this.issues&&e.push.apply(e,this.issues)}addIssue(e){this.issues||(this.issues=[]),this.issues.push(e)}hasIssue(e){return Array.isArray(this.issues)&&this.issues.some(t=>t.getRule()===e)}isErroneous(e=!1){return this.issues&&this.issues.length>0?!0:e&&Array.isArray(this.children)&&this.children.some(t=>t.isErroneous(!0))}setNode(e,t,n=-1){return t?(t.attachTo(this,n),this[e]=t,!0):!1}addChild(e){return e?(this.children||(this.children=[]),e.attachTo(this),this.updateOffsetAndLength(e),!0):!1}updateOffsetAndLength(e){(e.offset<this.offset||this.offset===-1)&&(this.offset=e.offset);const t=e.end;(t>this.end||this.length===-1)&&(this.length=t-this.offset)}hasChildren(){return!!this.children&&this.children.length>0}getChildren(){return this.children?this.children.slice(0):[]}getChild(e){return this.children&&e<this.children.length?this.children[e]:null}addChildren(e){for(const t of e)this.addChild(t)}findFirstChildBeforeOffset(e){if(this.children){let t=null;for(let n=this.children.length-1;n>=0;n--)if(t=this.children[n],t.offset<=e)return t}return null}findChildAtOffset(e,t){const n=this.findFirstChildBeforeOffset(e);return n&&n.end>=e?t&&n.findChildAtOffset(e,!0)||n:null}encloses(e){return this.offset<=e.offset&&this.offset+this.length>=e.offset+e.length}getParent(){let e=this.parent;for(;e instanceof xe;)e=e.parent;return e}findParent(e){let t=this;for(;t&&t.type!==e;)t=t.parent;return t}findAParent(...e){let t=this;for(;t&&!e.some(n=>t.type===n);)t=t.parent;return t}setData(e,t){this.options||(this.options={}),this.options[e]=t}getData(e){return!this.options||!this.options.hasOwnProperty(e)?null:this.options[e]}},xe=class extends O{constructor(e,t=-1){super(-1,-1),this.attachTo(e,t),this.offset=-1,this.length=-1}},kp=class extends O{constructor(e,t){super(e,t)}get type(){return b.UnicodeRange}setRangeStart(e){return this.setNode("rangeStart",e)}getRangeStart(){return this.rangeStart}setRangeEnd(e){return this.setNode("rangeEnd",e)}getRangeEnd(){return this.rangeEnd}},Me=class extends O{constructor(e,t){super(e,t),this.isCustomProperty=!1}get type(){return b.Identifier}containsInterpolation(){return this.hasChildren()}},Ep=class extends O{constructor(e,t){super(e,t)}get type(){return b.Stylesheet}},Li=class extends O{constructor(e,t){super(e,t)}get type(){return b.Declarations}},se=class extends O{constructor(e,t){super(e,t)}getDeclarations(){return this.declarations}setDeclarations(e){return this.setNode("declarations",e)}},Ft=class extends se{constructor(e,t){super(e,t)}get type(){return b.Ruleset}getSelectors(){return this.selectors||(this.selectors=new xe(this)),this.selectors}isNested(){return!!this.parent&&this.parent.findParent(b.Declarations)!==null}},Cn=class extends O{constructor(e,t){super(e,t)}get type(){return b.Selector}},Jt=class extends O{constructor(e,t){super(e,t)}get type(){return b.SimpleSelector}},zi=class extends O{constructor(e,t){super(e,t)}},Fp=class extends se{constructor(e,t){super(e,t)}get type(){return b.CustomPropertySet}},je=class xh extends zi{constructor(t,n){super(t,n),this.property=null}get type(){return b.Declaration}setProperty(t){return this.setNode("property",t)}getProperty(){return this.property}getFullPropertyName(){const t=this.property?this.property.getName():"unknown";if(this.parent instanceof Li&&this.parent.getParent()instanceof yl){const n=this.parent.getParent().getParent();if(n instanceof xh)return n.getFullPropertyName()+t}return t}getNonPrefixedPropertyName(){const t=this.getFullPropertyName();if(t&&t.charAt(0)==="-"){const n=t.indexOf("-",1);if(n!==-1)return t.substring(n+1)}return t}setValue(t){return this.setNode("value",t)}getValue(){return this.value}setNestedProperties(t){return this.setNode("nestedProperties",t)}getNestedProperties(){return this.nestedProperties}},Rp=class extends je{constructor(e,t){super(e,t)}get type(){return b.CustomPropertyDeclaration}setPropertySet(e){return this.setNode("propertySet",e)}getPropertySet(){return this.propertySet}},Pi=class extends O{constructor(e,t){super(e,t)}get type(){return b.Property}setIdentifier(e){return this.setNode("identifier",e)}getIdentifier(){return this.identifier}getName(){return Cp(this.getText(),/[_\+]+$/)}isCustomProperty(){return!!this.identifier&&this.identifier.isCustomProperty}},Np=class extends O{constructor(e,t){super(e,t)}get type(){return b.Invocation}getArguments(){return this.arguments||(this.arguments=new xe(this)),this.arguments}},_n=class extends Np{constructor(e,t){super(e,t)}get type(){return b.Function}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getName(){return this.identifier?this.identifier.getText():""}},lr=class extends O{constructor(e,t){super(e,t)}get type(){return b.FunctionParameter}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getName(){return this.identifier?this.identifier.getText():""}setDefaultValue(e){return this.setNode("defaultValue",e,0)}getDefaultValue(){return this.defaultValue}},Xt=class extends O{constructor(e,t){super(e,t)}get type(){return b.FunctionArgument}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getName(){return this.identifier?this.identifier.getText():""}setValue(e){return this.setNode("value",e,0)}getValue(){return this.value}},Dp=class extends se{constructor(e,t){super(e,t)}get type(){return b.If}setExpression(e){return this.setNode("expression",e,0)}setElseClause(e){return this.setNode("elseClause",e)}},Ip=class extends se{constructor(e,t){super(e,t)}get type(){return b.For}setVariable(e){return this.setNode("variable",e,0)}},Ap=class extends se{constructor(e,t){super(e,t)}get type(){return b.Each}getVariables(){return this.variables||(this.variables=new xe(this)),this.variables}},Mp=class extends se{constructor(e,t){super(e,t)}get type(){return b.While}},Lp=class extends se{constructor(e,t){super(e,t)}get type(){return b.Else}},cr=class extends se{constructor(e,t){super(e,t)}get type(){return b.FunctionDeclaration}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getName(){return this.identifier?this.identifier.getText():""}getParameters(){return this.parameters||(this.parameters=new xe(this)),this.parameters}},zp=class extends se{constructor(e,t){super(e,t)}get type(){return b.ViewPort}},wl=class extends se{constructor(e,t){super(e,t)}get type(){return b.FontFace}},yl=class extends se{constructor(e,t){super(e,t)}get type(){return b.NestedProperties}},xl=class extends se{constructor(e,t){super(e,t)}get type(){return b.Keyframe}setKeyword(e){return this.setNode("keyword",e,0)}getKeyword(){return this.keyword}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getName(){return this.identifier?this.identifier.getText():""}},Sl=class extends se{constructor(e,t){super(e,t)}get type(){return b.KeyframeSelector}},Ti=class extends O{constructor(e,t){super(e,t)}get type(){return b.Import}setMedialist(e){return e?(e.attachTo(this),!0):!1}},Pp=class extends O{get type(){return b.Use}getParameters(){return this.parameters||(this.parameters=new xe(this)),this.parameters}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}},Tp=class extends O{get type(){return b.ModuleConfiguration}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getName(){return this.identifier?this.identifier.getText():""}setValue(e){return this.setNode("value",e,0)}getValue(){return this.value}},Op=class extends O{get type(){return b.Forward}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getMembers(){return this.members||(this.members=new xe(this)),this.members}getParameters(){return this.parameters||(this.parameters=new xe(this)),this.parameters}},Wp=class extends O{get type(){return b.ForwardVisibility}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}},Up=class extends O{constructor(e,t){super(e,t)}get type(){return b.Namespace}},Oi=class extends se{constructor(e,t){super(e,t)}get type(){return b.Media}},Wi=class extends se{constructor(e,t){super(e,t)}get type(){return b.Supports}},Vp=class extends se{constructor(e,t){super(e,t)}get type(){return b.Layer}setNames(e){return this.setNode("names",e)}getNames(){return this.names}},$p=class extends se{constructor(e,t){super(e,t)}get type(){return b.PropertyAtRule}setName(e){return e?(e.attachTo(this),this.name=e,!0):!1}getName(){return this.name}},Bp=class extends se{constructor(e,t){super(e,t)}get type(){return b.Document}},qp=class extends se{constructor(e,t){super(e,t)}get type(){return b.Container}},Cl=class extends O{constructor(e,t){super(e,t)}},_l=class extends O{constructor(e,t){super(e,t)}get type(){return b.MediaQuery}},jp=class extends O{constructor(e,t){super(e,t)}get type(){return b.MediaCondition}},Hp=class extends O{constructor(e,t){super(e,t)}get type(){return b.MediaFeature}},kn=class extends O{constructor(e,t){super(e,t)}get type(){return b.SupportsCondition}},Gp=class extends se{constructor(e,t){super(e,t)}get type(){return b.Page}},Jp=class extends se{constructor(e,t){super(e,t)}get type(){return b.PageBoxMarginBox}},kl=class extends O{constructor(e,t){super(e,t)}get type(){return b.Expression}},Ui=class extends O{constructor(e,t){super(e,t)}get type(){return b.BinaryExpression}setLeft(e){return this.setNode("left",e)}getLeft(){return this.left}setRight(e){return this.setNode("right",e)}getRight(){return this.right}setOperator(e){return this.setNode("operator",e)}getOperator(){return this.operator}},Xp=class extends O{constructor(e,t){super(e,t)}get type(){return b.Term}setOperator(e){return this.setNode("operator",e)}getOperator(){return this.operator}setExpression(e){return this.setNode("expression",e)}getExpression(){return this.expression}},Yp=class extends O{constructor(e,t){super(e,t)}get type(){return b.AttributeSelector}setNamespacePrefix(e){return this.setNode("namespacePrefix",e)}getNamespacePrefix(){return this.namespacePrefix}setIdentifier(e){return this.setNode("identifier",e)}getIdentifier(){return this.identifier}setOperator(e){return this.setNode("operator",e)}getOperator(){return this.operator}setValue(e){return this.setNode("value",e)}getValue(){return this.value}},Vi=class extends O{constructor(e,t){super(e,t)}get type(){return b.HexColorValue}},Qp=class extends O{constructor(e,t){super(e,t)}get type(){return b.RatioValue}},Kp=46,Zp=48,em=57,$i=class extends O{constructor(e,t){super(e,t)}get type(){return b.NumericValue}getValue(){const e=this.getText();let t=0,n;for(let r=0,i=e.length;r<i&&(n=e.charCodeAt(r),Zp<=n&&n<=em||n===Kp);r++)t+=1;return{value:e.substring(0,t),unit:t<e.length?e.substring(t):void 0}}},hr=class extends zi{constructor(e,t){super(e,t),this.needsSemicolon=!0}get type(){return b.VariableDeclaration}setVariable(e){return e?(e.attachTo(this),this.variable=e,!0):!1}getVariable(){return this.variable}getName(){return this.variable?this.variable.getName():""}setValue(e){return e?(e.attachTo(this),this.value=e,!0):!1}getValue(){return this.value}},Bi=class extends O{constructor(e,t){super(e,t)}get type(){return b.Interpolation}},qi=class extends O{constructor(e,t){super(e,t)}get type(){return b.VariableName}getName(){return this.getText()}},En=class extends O{constructor(e,t){super(e,t)}get type(){return b.ExtendsReference}getSelectors(){return this.selectors||(this.selectors=new xe(this)),this.selectors}},tm=class extends O{constructor(e,t){super(e,t)}get type(){return b.MixinContentReference}getArguments(){return this.arguments||(this.arguments=new xe(this)),this.arguments}},nm=class extends se{constructor(e,t){super(e,t)}get type(){return b.MixinContentDeclaration}getParameters(){return this.parameters||(this.parameters=new xe(this)),this.parameters}},dr=class extends O{constructor(e,t){super(e,t)}get type(){return b.MixinReference}getNamespaces(){return this.namespaces||(this.namespaces=new xe(this)),this.namespaces}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getName(){return this.identifier?this.identifier.getText():""}getArguments(){return this.arguments||(this.arguments=new xe(this)),this.arguments}setContent(e){return this.setNode("content",e)}getContent(){return this.content}},Fn=class extends se{constructor(e,t){super(e,t)}get type(){return b.MixinDeclaration}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}getName(){return this.identifier?this.identifier.getText():""}getParameters(){return this.parameters||(this.parameters=new xe(this)),this.parameters}setGuard(e){return e&&(e.attachTo(this),this.guard=e),!1}},El=class extends se{constructor(e,t){super(e,t)}get type(){return b.UnknownAtRule}setAtRuleName(e){this.atRuleName=e}getAtRuleName(){return this.atRuleName}},rm=class extends O{get type(){return b.ListEntry}setKey(e){return this.setNode("key",e,0)}setValue(e){return this.setNode("value",e,1)}},im=class extends O{getConditions(){return this.conditions||(this.conditions=new xe(this)),this.conditions}},sm=class extends O{setVariable(e){return this.setNode("variable",e)}},Fl=class extends O{get type(){return b.Module}setIdentifier(e){return this.setNode("identifier",e,0)}getIdentifier(){return this.identifier}},Re;(function(e){e[e.Ignore=1]="Ignore",e[e.Warning=2]="Warning",e[e.Error=4]="Error"})(Re||(Re={}));var Rl=class{constructor(e,t,n,r,i=e.offset,s=e.length){this.node=e,this.rule=t,this.level=n,this.message=r||t.message,this.offset=i,this.length=s}getRule(){return this.rule}getLevel(){return this.level}getOffset(){return this.offset}getLength(){return this.length}getNode(){return this.node}getMessage(){return this.message}},am=class Sh{static entries(t){const n=new Sh;return t.acceptVisitor(n),n.entries}constructor(){this.entries=[]}visitNode(t){return t.isErroneous()&&t.collectIssues(this.entries),!0}};function g(...e){const t=e[0];let n,r,i;if(typeof t=="string")n=t,r=t,e.splice(0,1),i=!e||typeof e[0]!="object"?e:e[0];else if(t instanceof Array){const s=e.slice(1);if(t.length!==s.length+1)throw new Error("expected a string as the first argument to l10n.t");let a=t[0];for(let l=1;l<t.length;l++)a+=`{${l-1}}`+t[l];return g(a,...s)}else r=t.message,n=r,t.comment&&t.comment.length>0&&(n+=`/${Array.isArray(t.comment)?t.comment.join(""):t.comment}`),i=t.args??{};return lm(r,i)}var om=/{([^}]+)}/g;function lm(e,t){return Object.keys(t).length===0?e:e.replace(om,(n,r)=>t[r]??n)}var K=class{constructor(e,t){this.id=e,this.message=t}},y={NumberExpected:new K("css-numberexpected",g("number expected")),ConditionExpected:new K("css-conditionexpected",g("condition expected")),RuleOrSelectorExpected:new K("css-ruleorselectorexpected",g("at-rule or selector expected")),DotExpected:new K("css-dotexpected",g("dot expected")),ColonExpected:new K("css-colonexpected",g("colon expected")),SemiColonExpected:new K("css-semicolonexpected",g("semi-colon expected")),TermExpected:new K("css-termexpected",g("term expected")),ExpressionExpected:new K("css-expressionexpected",g("expression expected")),OperatorExpected:new K("css-operatorexpected",g("operator expected")),IdentifierExpected:new K("css-identifierexpected",g("identifier expected")),PercentageExpected:new K("css-percentageexpected",g("percentage expected")),URIOrStringExpected:new K("css-uriorstringexpected",g("uri or string expected")),URIExpected:new K("css-uriexpected",g("URI expected")),VariableNameExpected:new K("css-varnameexpected",g("variable name expected")),VariableValueExpected:new K("css-varvalueexpected",g("variable value expected")),PropertyValueExpected:new K("css-propertyvalueexpected",g("property value expected")),LeftCurlyExpected:new K("css-lcurlyexpected",g("{ expected")),RightCurlyExpected:new K("css-rcurlyexpected",g("} expected")),LeftSquareBracketExpected:new K("css-rbracketexpected",g("[ expected")),RightSquareBracketExpected:new K("css-lbracketexpected",g("] expected")),LeftParenthesisExpected:new K("css-lparentexpected",g("( expected")),RightParenthesisExpected:new K("css-rparentexpected",g(") expected")),CommaExpected:new K("css-commaexpected",g("comma expected")),PageDirectiveOrDeclarationExpected:new K("css-pagedirordeclexpected",g("page directive or declaraton expected")),UnknownAtRule:new K("css-unknownatrule",g("at-rule unknown")),UnknownKeyword:new K("css-unknownkeyword",g("unknown keyword")),SelectorExpected:new K("css-selectorexpected",g("selector expected")),StringLiteralExpected:new K("css-stringliteralexpected",g("string literal expected")),WhitespaceExpected:new K("css-whitespaceexpected",g("whitespace expected")),MediaQueryExpected:new K("css-mediaqueryexpected",g("media query expected")),IdentifierOrWildcardExpected:new K("css-idorwildcardexpected",g("identifier or wildcard expected")),WildcardExpected:new K("css-wildcardexpected",g("wildcard expected")),IdentifierOrVariableExpected:new K("css-idorvarexpected",g("identifier or variable expected"))},Nl;(function(e){function t(n){return typeof n=="string"}e.is=t})(Nl||(Nl={}));var ji;(function(e){function t(n){return typeof n=="string"}e.is=t})(ji||(ji={}));var Dl;(function(e){e.MIN_VALUE=-2147483648,e.MAX_VALUE=2147483647;function t(n){return typeof n=="number"&&e.MIN_VALUE<=n&&n<=e.MAX_VALUE}e.is=t})(Dl||(Dl={}));var ur;(function(e){e.MIN_VALUE=0,e.MAX_VALUE=2147483647;function t(n){return typeof n=="number"&&e.MIN_VALUE<=n&&n<=e.MAX_VALUE}e.is=t})(ur||(ur={}));var Se;(function(e){function t(r,i){return r===Number.MAX_VALUE&&(r=ur.MAX_VALUE),i===Number.MAX_VALUE&&(i=ur.MAX_VALUE),{line:r,character:i}}e.create=t;function n(r){let i=r;return x.objectLiteral(i)&&x.uinteger(i.line)&&x.uinteger(i.character)}e.is=n})(Se||(Se={}));var X;(function(e){function t(r,i,s,a){if(x.uinteger(r)&&x.uinteger(i)&&x.uinteger(s)&&x.uinteger(a))return{start:Se.create(r,i),end:Se.create(s,a)};if(Se.is(r)&&Se.is(i))return{start:r,end:i};throw new Error(`Range#create called with invalid arguments[${r}, ${i}, ${s}, ${a}]`)}e.create=t;function n(r){let i=r;return x.objectLiteral(i)&&Se.is(i.start)&&Se.is(i.end)}e.is=n})(X||(X={}));var Rn;(function(e){function t(r,i){return{uri:r,range:i}}e.create=t;function n(r){let i=r;return x.objectLiteral(i)&&X.is(i.range)&&(x.string(i.uri)||x.undefined(i.uri))}e.is=n})(Rn||(Rn={}));var Il;(function(e){function t(r,i,s,a){return{targetUri:r,targetRange:i,targetSelectionRange:s,originSelectionRange:a}}e.create=t;function n(r){let i=r;return x.objectLiteral(i)&&X.is(i.targetRange)&&x.string(i.targetUri)&&X.is(i.targetSelectionRange)&&(X.is(i.originSelectionRange)||x.undefined(i.originSelectionRange))}e.is=n})(Il||(Il={}));var Hi;(function(e){function t(r,i,s,a){return{red:r,green:i,blue:s,alpha:a}}e.create=t;function n(r){const i=r;return x.objectLiteral(i)&&x.numberRange(i.red,0,1)&&x.numberRange(i.green,0,1)&&x.numberRange(i.blue,0,1)&&x.numberRange(i.alpha,0,1)}e.is=n})(Hi||(Hi={}));var Al;(function(e){function t(r,i){return{range:r,color:i}}e.create=t;function n(r){const i=r;return x.objectLiteral(i)&&X.is(i.range)&&Hi.is(i.color)}e.is=n})(Al||(Al={}));var Ml;(function(e){function t(r,i,s){return{label:r,textEdit:i,additionalTextEdits:s}}e.create=t;function n(r){const i=r;return x.objectLiteral(i)&&x.string(i.label)&&(x.undefined(i.textEdit)||B.is(i))&&(x.undefined(i.additionalTextEdits)||x.typedArray(i.additionalTextEdits,B.is))}e.is=n})(Ml||(Ml={}));var Ll;(function(e){e.Comment="comment",e.Imports="imports",e.Region="region"})(Ll||(Ll={}));var zl;(function(e){function t(r,i,s,a,l,o){const c={startLine:r,endLine:i};return x.defined(s)&&(c.startCharacter=s),x.defined(a)&&(c.endCharacter=a),x.defined(l)&&(c.kind=l),x.defined(o)&&(c.collapsedText=o),c}e.create=t;function n(r){const i=r;return x.objectLiteral(i)&&x.uinteger(i.startLine)&&x.uinteger(i.startLine)&&(x.undefined(i.startCharacter)||x.uinteger(i.startCharacter))&&(x.undefined(i.endCharacter)||x.uinteger(i.endCharacter))&&(x.undefined(i.kind)||x.string(i.kind))}e.is=n})(zl||(zl={}));var Gi;(function(e){function t(r,i){return{location:r,message:i}}e.create=t;function n(r){let i=r;return x.defined(i)&&Rn.is(i.location)&&x.string(i.message)}e.is=n})(Gi||(Gi={}));var pr;(function(e){e.Error=1,e.Warning=2,e.Information=3,e.Hint=4})(pr||(pr={}));var Pl;(function(e){e.Unnecessary=1,e.Deprecated=2})(Pl||(Pl={}));var Tl;(function(e){function t(n){const r=n;return x.objectLiteral(r)&&x.string(r.href)}e.is=t})(Tl||(Tl={}));var mr;(function(e){function t(r,i,s,a,l,o){let c={range:r,message:i};return x.defined(s)&&(c.severity=s),x.defined(a)&&(c.code=a),x.defined(l)&&(c.source=l),x.defined(o)&&(c.relatedInformation=o),c}e.create=t;function n(r){var i;let s=r;return x.defined(s)&&X.is(s.range)&&x.string(s.message)&&(x.number(s.severity)||x.undefined(s.severity))&&(x.integer(s.code)||x.string(s.code)||x.undefined(s.code))&&(x.undefined(s.codeDescription)||x.string((i=s.codeDescription)===null||i===void 0?void 0:i.href))&&(x.string(s.source)||x.undefined(s.source))&&(x.undefined(s.relatedInformation)||x.typedArray(s.relatedInformation,Gi.is))}e.is=n})(mr||(mr={}));var Rt;(function(e){function t(r,i,...s){let a={title:r,command:i};return x.defined(s)&&s.length>0&&(a.arguments=s),a}e.create=t;function n(r){let i=r;return x.defined(i)&&x.string(i.title)&&x.string(i.command)}e.is=n})(Rt||(Rt={}));var B;(function(e){function t(s,a){return{range:s,newText:a}}e.replace=t;function n(s,a){return{range:{start:s,end:s},newText:a}}e.insert=n;function r(s){return{range:s,newText:""}}e.del=r;function i(s){const a=s;return x.objectLiteral(a)&&x.string(a.newText)&&X.is(a.range)}e.is=i})(B||(B={}));var Ji;(function(e){function t(r,i,s){const a={label:r};return i!==void 0&&(a.needsConfirmation=i),s!==void 0&&(a.description=s),a}e.create=t;function n(r){const i=r;return x.objectLiteral(i)&&x.string(i.label)&&(x.boolean(i.needsConfirmation)||i.needsConfirmation===void 0)&&(x.string(i.description)||i.description===void 0)}e.is=n})(Ji||(Ji={}));var Yt;(function(e){function t(n){const r=n;return x.string(r)}e.is=t})(Yt||(Yt={}));var Ol;(function(e){function t(s,a,l){return{range:s,newText:a,annotationId:l}}e.replace=t;function n(s,a,l){return{range:{start:s,end:s},newText:a,annotationId:l}}e.insert=n;function r(s,a){return{range:s,newText:"",annotationId:a}}e.del=r;function i(s){const a=s;return B.is(a)&&(Ji.is(a.annotationId)||Yt.is(a.annotationId))}e.is=i})(Ol||(Ol={}));var fr;(function(e){function t(r,i){return{textDocument:r,edits:i}}e.create=t;function n(r){let i=r;return x.defined(i)&&es.is(i.textDocument)&&Array.isArray(i.edits)}e.is=n})(fr||(fr={}));var Xi;(function(e){function t(r,i,s){let a={kind:"create",uri:r};return i!==void 0&&(i.overwrite!==void 0||i.ignoreIfExists!==void 0)&&(a.options=i),s!==void 0&&(a.annotationId=s),a}e.create=t;function n(r){let i=r;return i&&i.kind==="create"&&x.string(i.uri)&&(i.options===void 0||(i.options.overwrite===void 0||x.boolean(i.options.overwrite))&&(i.options.ignoreIfExists===void 0||x.boolean(i.options.ignoreIfExists)))&&(i.annotationId===void 0||Yt.is(i.annotationId))}e.is=n})(Xi||(Xi={}));var Yi;(function(e){function t(r,i,s,a){let l={kind:"rename",oldUri:r,newUri:i};return s!==void 0&&(s.overwrite!==void 0||s.ignoreIfExists!==void 0)&&(l.options=s),a!==void 0&&(l.annotationId=a),l}e.create=t;function n(r){let i=r;return i&&i.kind==="rename"&&x.string(i.oldUri)&&x.string(i.newUri)&&(i.options===void 0||(i.options.overwrite===void 0||x.boolean(i.options.overwrite))&&(i.options.ignoreIfExists===void 0||x.boolean(i.options.ignoreIfExists)))&&(i.annotationId===void 0||Yt.is(i.annotationId))}e.is=n})(Yi||(Yi={}));var Qi;(function(e){function t(r,i,s){let a={kind:"delete",uri:r};return i!==void 0&&(i.recursive!==void 0||i.ignoreIfNotExists!==void 0)&&(a.options=i),s!==void 0&&(a.annotationId=s),a}e.create=t;function n(r){let i=r;return i&&i.kind==="delete"&&x.string(i.uri)&&(i.options===void 0||(i.options.recursive===void 0||x.boolean(i.options.recursive))&&(i.options.ignoreIfNotExists===void 0||x.boolean(i.options.ignoreIfNotExists)))&&(i.annotationId===void 0||Yt.is(i.annotationId))}e.is=n})(Qi||(Qi={}));var Ki;(function(e){function t(n){let r=n;return r&&(r.changes!==void 0||r.documentChanges!==void 0)&&(r.documentChanges===void 0||r.documentChanges.every(i=>x.string(i.kind)?Xi.is(i)||Yi.is(i)||Qi.is(i):fr.is(i)))}e.is=t})(Ki||(Ki={}));var Wl;(function(e){function t(r){return{uri:r}}e.create=t;function n(r){let i=r;return x.defined(i)&&x.string(i.uri)}e.is=n})(Wl||(Wl={}));var Zi;(function(e){function t(r,i){return{uri:r,version:i}}e.create=t;function n(r){let i=r;return x.defined(i)&&x.string(i.uri)&&x.integer(i.version)}e.is=n})(Zi||(Zi={}));var es;(function(e){function t(r,i){return{uri:r,version:i}}e.create=t;function n(r){let i=r;return x.defined(i)&&x.string(i.uri)&&(i.version===null||x.integer(i.version))}e.is=n})(es||(es={}));var Ul;(function(e){function t(r,i,s,a){return{uri:r,languageId:i,version:s,text:a}}e.create=t;function n(r){let i=r;return x.defined(i)&&x.string(i.uri)&&x.string(i.languageId)&&x.integer(i.version)&&x.string(i.text)}e.is=n})(Ul||(Ul={}));var He;(function(e){e.PlainText="plaintext",e.Markdown="markdown";function t(n){const r=n;return r===e.PlainText||r===e.Markdown}e.is=t})(He||(He={}));var Nn;(function(e){function t(n){const r=n;return x.objectLiteral(n)&&He.is(r.kind)&&x.string(r.value)}e.is=t})(Nn||(Nn={}));var V;(function(e){e.Text=1,e.Method=2,e.Function=3,e.Constructor=4,e.Field=5,e.Variable=6,e.Class=7,e.Interface=8,e.Module=9,e.Property=10,e.Unit=11,e.Value=12,e.Enum=13,e.Keyword=14,e.Snippet=15,e.Color=16,e.File=17,e.Reference=18,e.Folder=19,e.EnumMember=20,e.Constant=21,e.Struct=22,e.Event=23,e.Operator=24,e.TypeParameter=25})(V||(V={}));var Ne;(function(e){e.PlainText=1,e.Snippet=2})(Ne||(Ne={}));var Nt;(function(e){e.Deprecated=1})(Nt||(Nt={}));var Vl;(function(e){function t(r,i,s){return{newText:r,insert:i,replace:s}}e.create=t;function n(r){const i=r;return i&&x.string(i.newText)&&X.is(i.insert)&&X.is(i.replace)}e.is=n})(Vl||(Vl={}));var $l;(function(e){e.asIs=1,e.adjustIndentation=2})($l||($l={}));var Bl;(function(e){function t(n){const r=n;return r&&(x.string(r.detail)||r.detail===void 0)&&(x.string(r.description)||r.description===void 0)}e.is=t})(Bl||(Bl={}));var ql;(function(e){function t(n){return{label:n}}e.create=t})(ql||(ql={}));var jl;(function(e){function t(n,r){return{items:n||[],isIncomplete:!!r}}e.create=t})(jl||(jl={}));var gr;(function(e){function t(r){return r.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}e.fromPlainText=t;function n(r){const i=r;return x.string(i)||x.objectLiteral(i)&&x.string(i.language)&&x.string(i.value)}e.is=n})(gr||(gr={}));var Hl;(function(e){function t(n){let r=n;return!!r&&x.objectLiteral(r)&&(Nn.is(r.contents)||gr.is(r.contents)||x.typedArray(r.contents,gr.is))&&(n.range===void 0||X.is(n.range))}e.is=t})(Hl||(Hl={}));var Gl;(function(e){function t(n,r){return r?{label:n,documentation:r}:{label:n}}e.create=t})(Gl||(Gl={}));var Jl;(function(e){function t(n,r,...i){let s={label:n};return x.defined(r)&&(s.documentation=r),x.defined(i)?s.parameters=i:s.parameters=[],s}e.create=t})(Jl||(Jl={}));var Qt;(function(e){e.Text=1,e.Read=2,e.Write=3})(Qt||(Qt={}));var Xl;(function(e){function t(n,r){let i={range:n};return x.number(r)&&(i.kind=r),i}e.create=t})(Xl||(Xl={}));var nt;(function(e){e.File=1,e.Module=2,e.Namespace=3,e.Package=4,e.Class=5,e.Method=6,e.Property=7,e.Field=8,e.Constructor=9,e.Enum=10,e.Interface=11,e.Function=12,e.Variable=13,e.Constant=14,e.String=15,e.Number=16,e.Boolean=17,e.Array=18,e.Object=19,e.Key=20,e.Null=21,e.EnumMember=22,e.Struct=23,e.Event=24,e.Operator=25,e.TypeParameter=26})(nt||(nt={}));var Yl;(function(e){e.Deprecated=1})(Yl||(Yl={}));var Ql;(function(e){function t(n,r,i,s,a){let l={name:n,kind:r,location:{uri:s,range:i}};return a&&(l.containerName=a),l}e.create=t})(Ql||(Ql={}));var Kl;(function(e){function t(n,r,i,s){return s!==void 0?{name:n,kind:r,location:{uri:i,range:s}}:{name:n,kind:r,location:{uri:i}}}e.create=t})(Kl||(Kl={}));var Zl;(function(e){function t(r,i,s,a,l,o){let c={name:r,detail:i,kind:s,range:a,selectionRange:l};return o!==void 0&&(c.children=o),c}e.create=t;function n(r){let i=r;return i&&x.string(i.name)&&x.number(i.kind)&&X.is(i.range)&&X.is(i.selectionRange)&&(i.detail===void 0||x.string(i.detail))&&(i.deprecated===void 0||x.boolean(i.deprecated))&&(i.children===void 0||Array.isArray(i.children))&&(i.tags===void 0||Array.isArray(i.tags))}e.is=n})(Zl||(Zl={}));var ts;(function(e){e.Empty="",e.QuickFix="quickfix",e.Refactor="refactor",e.RefactorExtract="refactor.extract",e.RefactorInline="refactor.inline",e.RefactorRewrite="refactor.rewrite",e.Source="source",e.SourceOrganizeImports="source.organizeImports",e.SourceFixAll="source.fixAll"})(ts||(ts={}));var br;(function(e){e.Invoked=1,e.Automatic=2})(br||(br={}));var ec;(function(e){function t(r,i,s){let a={diagnostics:r};return i!=null&&(a.only=i),s!=null&&(a.triggerKind=s),a}e.create=t;function n(r){let i=r;return x.defined(i)&&x.typedArray(i.diagnostics,mr.is)&&(i.only===void 0||x.typedArray(i.only,x.string))&&(i.triggerKind===void 0||i.triggerKind===br.Invoked||i.triggerKind===br.Automatic)}e.is=n})(ec||(ec={}));var ns;(function(e){function t(r,i,s){let a={title:r},l=!0;return typeof i=="string"?(l=!1,a.kind=i):Rt.is(i)?a.command=i:a.edit=i,l&&s!==void 0&&(a.kind=s),a}e.create=t;function n(r){let i=r;return i&&x.string(i.title)&&(i.diagnostics===void 0||x.typedArray(i.diagnostics,mr.is))&&(i.kind===void 0||x.string(i.kind))&&(i.edit!==void 0||i.command!==void 0)&&(i.command===void 0||Rt.is(i.command))&&(i.isPreferred===void 0||x.boolean(i.isPreferred))&&(i.edit===void 0||Ki.is(i.edit))}e.is=n})(ns||(ns={}));var tc;(function(e){function t(r,i){let s={range:r};return x.defined(i)&&(s.data=i),s}e.create=t;function n(r){let i=r;return x.defined(i)&&X.is(i.range)&&(x.undefined(i.command)||Rt.is(i.command))}e.is=n})(tc||(tc={}));var nc;(function(e){function t(r,i){return{tabSize:r,insertSpaces:i}}e.create=t;function n(r){let i=r;return x.defined(i)&&x.uinteger(i.tabSize)&&x.boolean(i.insertSpaces)}e.is=n})(nc||(nc={}));var rc;(function(e){function t(r,i,s){return{range:r,target:i,data:s}}e.create=t;function n(r){let i=r;return x.defined(i)&&X.is(i.range)&&(x.undefined(i.target)||x.string(i.target))}e.is=n})(rc||(rc={}));var vr;(function(e){function t(r,i){return{range:r,parent:i}}e.create=t;function n(r){let i=r;return x.objectLiteral(i)&&X.is(i.range)&&(i.parent===void 0||e.is(i.parent))}e.is=n})(vr||(vr={}));var ic;(function(e){e.namespace="namespace",e.type="type",e.class="class",e.enum="enum",e.interface="interface",e.struct="struct",e.typeParameter="typeParameter",e.parameter="parameter",e.variable="variable",e.property="property",e.enumMember="enumMember",e.event="event",e.function="function",e.method="method",e.macro="macro",e.keyword="keyword",e.modifier="modifier",e.comment="comment",e.string="string",e.number="number",e.regexp="regexp",e.operator="operator",e.decorator="decorator"})(ic||(ic={}));var sc;(function(e){e.declaration="declaration",e.definition="definition",e.readonly="readonly",e.static="static",e.deprecated="deprecated",e.abstract="abstract",e.async="async",e.modification="modification",e.documentation="documentation",e.defaultLibrary="defaultLibrary"})(sc||(sc={}));var ac;(function(e){function t(n){const r=n;return x.objectLiteral(r)&&(r.resultId===void 0||typeof r.resultId=="string")&&Array.isArray(r.data)&&(r.data.length===0||typeof r.data[0]=="number")}e.is=t})(ac||(ac={}));var oc;(function(e){function t(r,i){return{range:r,text:i}}e.create=t;function n(r){const i=r;return i!=null&&X.is(i.range)&&x.string(i.text)}e.is=n})(oc||(oc={}));var lc;(function(e){function t(r,i,s){return{range:r,variableName:i,caseSensitiveLookup:s}}e.create=t;function n(r){const i=r;return i!=null&&X.is(i.range)&&x.boolean(i.caseSensitiveLookup)&&(x.string(i.variableName)||i.variableName===void 0)}e.is=n})(lc||(lc={}));var cc;(function(e){function t(r,i){return{range:r,expression:i}}e.create=t;function n(r){const i=r;return i!=null&&X.is(i.range)&&(x.string(i.expression)||i.expression===void 0)}e.is=n})(cc||(cc={}));var hc;(function(e){function t(r,i){return{frameId:r,stoppedLocation:i}}e.create=t;function n(r){const i=r;return x.defined(i)&&X.is(r.stoppedLocation)}e.is=n})(hc||(hc={}));var rs;(function(e){e.Type=1,e.Parameter=2;function t(n){return n===1||n===2}e.is=t})(rs||(rs={}));var is;(function(e){function t(r){return{value:r}}e.create=t;function n(r){const i=r;return x.objectLiteral(i)&&(i.tooltip===void 0||x.string(i.tooltip)||Nn.is(i.tooltip))&&(i.location===void 0||Rn.is(i.location))&&(i.command===void 0||Rt.is(i.command))}e.is=n})(is||(is={}));var dc;(function(e){function t(r,i,s){const a={position:r,label:i};return s!==void 0&&(a.kind=s),a}e.create=t;function n(r){const i=r;return x.objectLiteral(i)&&Se.is(i.position)&&(x.string(i.label)||x.typedArray(i.label,is.is))&&(i.kind===void 0||rs.is(i.kind))&&i.textEdits===void 0||x.typedArray(i.textEdits,B.is)&&(i.tooltip===void 0||x.string(i.tooltip)||Nn.is(i.tooltip))&&(i.paddingLeft===void 0||x.boolean(i.paddingLeft))&&(i.paddingRight===void 0||x.boolean(i.paddingRight))}e.is=n})(dc||(dc={}));var uc;(function(e){function t(n){return{kind:"snippet",value:n}}e.createSnippet=t})(uc||(uc={}));var pc;(function(e){function t(n,r,i,s){return{insertText:n,filterText:r,range:i,command:s}}e.create=t})(pc||(pc={}));var mc;(function(e){function t(n){return{items:n}}e.create=t})(mc||(mc={}));var fc;(function(e){e.Invoked=0,e.Automatic=1})(fc||(fc={}));var gc;(function(e){function t(n,r){return{range:n,text:r}}e.create=t})(gc||(gc={}));var bc;(function(e){function t(n,r){return{triggerKind:n,selectedCompletionInfo:r}}e.create=t})(bc||(bc={}));var vc;(function(e){function t(n){const r=n;return x.objectLiteral(r)&&ji.is(r.uri)&&x.string(r.name)}e.is=t})(vc||(vc={}));var wc;(function(e){function t(s,a,l,o){return new cm(s,a,l,o)}e.create=t;function n(s){let a=s;return!!(x.defined(a)&&x.string(a.uri)&&(x.undefined(a.languageId)||x.string(a.languageId))&&x.uinteger(a.lineCount)&&x.func(a.getText)&&x.func(a.positionAt)&&x.func(a.offsetAt))}e.is=n;function r(s,a){let l=s.getText(),o=i(a,(d,u)=>{let f=d.range.start.line-u.range.start.line;return f===0?d.range.start.character-u.range.start.character:f}),c=l.length;for(let d=o.length-1;d>=0;d--){let u=o[d],f=s.offsetAt(u.range.start),m=s.offsetAt(u.range.end);if(m<=c)l=l.substring(0,f)+u.newText+l.substring(m,l.length);else throw new Error("Overlapping edit");c=f}return l}e.applyEdits=r;function i(s,a){if(s.length<=1)return s;const l=s.length/2|0,o=s.slice(0,l),c=s.slice(l);i(o,a),i(c,a);let d=0,u=0,f=0;for(;d<o.length&&u<c.length;)a(o[d],c[u])<=0?s[f++]=o[d++]:s[f++]=c[u++];for(;d<o.length;)s[f++]=o[d++];for(;u<c.length;)s[f++]=c[u++];return s}})(wc||(wc={}));var cm=class{constructor(e,t,n,r){this._uri=e,this._languageId=t,this._version=n,this._content=r,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(e){if(e){let t=this.offsetAt(e.start),n=this.offsetAt(e.end);return this._content.substring(t,n)}return this._content}update(e,t){this._content=e.text,this._version=t,this._lineOffsets=void 0}getLineOffsets(){if(this._lineOffsets===void 0){let e=[],t=this._content,n=!0;for(let r=0;r<t.length;r++){n&&(e.push(r),n=!1);let i=t.charAt(r);n=i==="\r"||i===`
+`,i==="\r"&&r+1<t.length&&t.charAt(r+1)===`
+`&&r++}n&&t.length>0&&e.push(t.length),this._lineOffsets=e}return this._lineOffsets}positionAt(e){e=Math.max(Math.min(e,this._content.length),0);let t=this.getLineOffsets(),n=0,r=t.length;if(r===0)return Se.create(0,e);for(;n<r;){let s=Math.floor((n+r)/2);t[s]>e?r=s:n=s+1}let i=n-1;return Se.create(i,e-t[i])}offsetAt(e){let t=this.getLineOffsets();if(e.line>=t.length)return this._content.length;if(e.line<0)return 0;let n=t[e.line],r=e.line+1<t.length?t[e.line+1]:this._content.length;return Math.max(Math.min(n+e.character,r),n)}get lineCount(){return this.getLineOffsets().length}},x;(function(e){const t=Object.prototype.toString;function n(m){return typeof m<"u"}e.defined=n;function r(m){return typeof m>"u"}e.undefined=r;function i(m){return m===!0||m===!1}e.boolean=i;function s(m){return t.call(m)==="[object String]"}e.string=s;function a(m){return t.call(m)==="[object Number]"}e.number=a;function l(m,v,w){return t.call(m)==="[object Number]"&&v<=m&&m<=w}e.numberRange=l;function o(m){return t.call(m)==="[object Number]"&&-2147483648<=m&&m<=2147483647}e.integer=o;function c(m){return t.call(m)==="[object Number]"&&0<=m&&m<=2147483647}e.uinteger=c;function d(m){return t.call(m)==="[object Function]"}e.func=d;function u(m){return m!==null&&typeof m=="object"}e.objectLiteral=u;function f(m,v){return Array.isArray(m)&&m.every(v)}e.typedArray=f})(x||(x={}));var yc=class Os{constructor(t,n,r,i){this._uri=t,this._languageId=n,this._version=r,this._content=i,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(t){if(t){const n=this.offsetAt(t.start),r=this.offsetAt(t.end);return this._content.substring(n,r)}return this._content}update(t,n){for(let r of t)if(Os.isIncremental(r)){const i=Sc(r.range),s=this.offsetAt(i.start),a=this.offsetAt(i.end);this._content=this._content.substring(0,s)+r.text+this._content.substring(a,this._content.length);const l=Math.max(i.start.line,0),o=Math.max(i.end.line,0);let c=this._lineOffsets;const d=xc(r.text,!1,s);if(o-l===d.length)for(let f=0,m=d.length;f<m;f++)c[f+l+1]=d[f];else d.length<1e4?c.splice(l+1,o-l,...d):this._lineOffsets=c=c.slice(0,l+1).concat(d,c.slice(o+1));const u=r.text.length-(a-s);if(u!==0)for(let f=l+1+d.length,m=c.length;f<m;f++)c[f]=c[f]+u}else if(Os.isFull(r))this._content=r.text,this._lineOffsets=void 0;else throw new Error("Unknown change event received");this._version=n}getLineOffsets(){return this._lineOffsets===void 0&&(this._lineOffsets=xc(this._content,!0)),this._lineOffsets}positionAt(t){t=Math.max(Math.min(t,this._content.length),0);let n=this.getLineOffsets(),r=0,i=n.length;if(i===0)return{line:0,character:t};for(;r<i;){let a=Math.floor((r+i)/2);n[a]>t?i=a:r=a+1}let s=r-1;return{line:s,character:t-n[s]}}offsetAt(t){let n=this.getLineOffsets();if(t.line>=n.length)return this._content.length;if(t.line<0)return 0;let r=n[t.line],i=t.line+1<n.length?n[t.line+1]:this._content.length;return Math.max(Math.min(r+t.character,i),r)}get lineCount(){return this.getLineOffsets().length}static isIncremental(t){let n=t;return n!=null&&typeof n.text=="string"&&n.range!==void 0&&(n.rangeLength===void 0||typeof n.rangeLength=="number")}static isFull(t){let n=t;return n!=null&&typeof n.text=="string"&&n.range===void 0&&n.rangeLength===void 0}},ss;(function(e){function t(i,s,a,l){return new yc(i,s,a,l)}e.create=t;function n(i,s,a){if(i instanceof yc)return i.update(s,a),i;throw new Error("TextDocument.update: document must be created by TextDocument.create")}e.update=n;function r(i,s){let a=i.getText(),l=as(s.map(hm),(d,u)=>{let f=d.range.start.line-u.range.start.line;return f===0?d.range.start.character-u.range.start.character:f}),o=0;const c=[];for(const d of l){let u=i.offsetAt(d.range.start);if(u<o)throw new Error("Overlapping edit");u>o&&c.push(a.substring(o,u)),d.newText.length&&c.push(d.newText),o=i.offsetAt(d.range.end)}return c.push(a.substr(o)),c.join("")}e.applyEdits=r})(ss||(ss={}));function as(e,t){if(e.length<=1)return e;const n=e.length/2|0,r=e.slice(0,n),i=e.slice(n);as(r,t),as(i,t);let s=0,a=0,l=0;for(;s<r.length&&a<i.length;)t(r[s],i[a])<=0?e[l++]=r[s++]:e[l++]=i[a++];for(;s<r.length;)e[l++]=r[s++];for(;a<i.length;)e[l++]=i[a++];return e}function xc(e,t,n=0){const r=t?[n]:[];for(let i=0;i<e.length;i++){let s=e.charCodeAt(i);(s===13||s===10)&&(s===13&&i+1<e.length&&e.charCodeAt(i+1)===10&&i++,r.push(n+i+1))}return r}function Sc(e){const t=e.start,n=e.end;return t.line>n.line||t.line===n.line&&t.character>n.character?{start:n,end:t}:e}function hm(e){const t=Sc(e.range);return t!==e.range?{newText:e.newText,range:t}:e}var Cc;(function(e){e.LATEST={textDocument:{completion:{completionItem:{documentationFormat:[He.Markdown,He.PlainText]}},hover:{contentFormat:[He.Markdown,He.PlainText]}}}})(Cc||(Cc={}));var Dn;(function(e){e[e.Unknown=0]="Unknown",e[e.File=1]="File",e[e.Directory=2]="Directory",e[e.SymbolicLink=64]="SymbolicLink"})(Dn||(Dn={}));var _c={E:"Edge",FF:"Firefox",S:"Safari",C:"Chrome",IE:"IE",O:"Opera"};function kc(e){switch(e){case"experimental":return`⚠️ Property is experimental. Be cautious when using it.️
+
+`;case"nonstandard":return`🚨️ Property is nonstandard. Avoid using it.
+
+`;case"obsolete":return`🚨️️️ Property is obsolete. Avoid using it.
+
+`;default:return""}}function vt(e,t,n){let r;if(t?r={kind:"markdown",value:um(e,n)}:r={kind:"plaintext",value:dm(e,n)},r.value!=="")return r}function wr(e){return e=e.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&"),e.replace(/</g,"&lt;").replace(/>/g,"&gt;")}function dm(e,t){if(!e.description||e.description==="")return"";if(typeof e.description!="string")return e.description.value;let n="";if((t==null?void 0:t.documentation)!==!1){e.status&&(n+=kc(e.status)),n+=e.description;const r=Ec(e.browsers);r&&(n+=`
+(`+r+")"),"syntax"in e&&(n+=`
+
+Syntax: ${e.syntax}`)}return e.references&&e.references.length>0&&(t==null?void 0:t.references)!==!1&&(n.length>0&&(n+=`
+
+`),n+=e.references.map(r=>`${r.name}: ${r.url}`).join(" | ")),n}function um(e,t){if(!e.description||e.description==="")return"";let n="";if((t==null?void 0:t.documentation)!==!1){e.status&&(n+=kc(e.status)),typeof e.description=="string"?n+=wr(e.description):n+=e.description.kind===He.Markdown?e.description.value:wr(e.description.value);const r=Ec(e.browsers);r&&(n+=`
+
+(`+wr(r)+")"),"syntax"in e&&e.syntax&&(n+=`
+
+Syntax: ${wr(e.syntax)}`)}return e.references&&e.references.length>0&&(t==null?void 0:t.references)!==!1&&(n.length>0&&(n+=`
+
+`),n+=e.references.map(r=>`[${r.name}](${r.url})`).join(" | ")),n}function Ec(e=[]){return e.length===0?null:e.map(t=>{let n="";const r=t.match(/([A-Z]+)(\d+)?/),i=r[1],s=r[2];return i in _c&&(n+=_c[i]),s&&(n+=" "+s),n}).join(", ")}var pm=/(^#([0-9A-F]{3}){1,2}$)|(^#([0-9A-F]{4}){1,2}$)/i,mm=[{label:"rgb",func:"rgb($red, $green, $blue)",insertText:"rgb(${1:red}, ${2:green}, ${3:blue})",desc:g("Creates a Color from red, green, and blue values.")},{label:"rgba",func:"rgba($red, $green, $blue, $alpha)",insertText:"rgba(${1:red}, ${2:green}, ${3:blue}, ${4:alpha})",desc:g("Creates a Color from red, green, blue, and alpha values.")},{label:"rgb relative",func:"rgb(from $color $red $green $blue)",insertText:"rgb(from ${1:color} ${2:r} ${3:g} ${4:b})",desc:g("Creates a Color from the red, green, and blue values of another Color.")},{label:"hsl",func:"hsl($hue, $saturation, $lightness)",insertText:"hsl(${1:hue}, ${2:saturation}, ${3:lightness})",desc:g("Creates a Color from hue, saturation, and lightness values.")},{label:"hsla",func:"hsla($hue, $saturation, $lightness, $alpha)",insertText:"hsla(${1:hue}, ${2:saturation}, ${3:lightness}, ${4:alpha})",desc:g("Creates a Color from hue, saturation, lightness, and alpha values.")},{label:"hsl relative",func:"hsl(from $color $hue $saturation $lightness)",insertText:"hsl(from ${1:color} ${2:h} ${3:s} ${4:l})",desc:g("Creates a Color from the hue, saturation, and lightness values of another Color.")},{label:"hwb",func:"hwb($hue $white $black)",insertText:"hwb(${1:hue} ${2:white} ${3:black})",desc:g("Creates a Color from hue, white, and black values.")},{label:"hwb relative",func:"hwb(from $color $hue $white $black)",insertText:"hwb(from ${1:color} ${2:h} ${3:w} ${4:b})",desc:g("Creates a Color from the hue, white, and black values of another Color.")},{label:"lab",func:"lab($lightness $a $b)",insertText:"lab(${1:lightness} ${2:a} ${3:b})",desc:g("Creates a Color from lightness, a, and b values.")},{label:"lab relative",func:"lab(from $color $lightness $a $b)",insertText:"lab(from ${1:color} ${2:l} ${3:a} ${4:b})",desc:g("Creates a Color from the lightness, a, and b values of another Color.")},{label:"oklab",func:"oklab($lightness $a $b)",insertText:"oklab(${1:lightness} ${2:a} ${3:b})",desc:g("Creates a Color from lightness, a, and b values.")},{label:"oklab relative",func:"oklab(from $color $lightness $a $b)",insertText:"oklab(from ${1:color} ${2:l} ${3:a} ${4:b})",desc:g("Creates a Color from the lightness, a, and b values of another Color.")},{label:"lch",func:"lch($lightness $chroma $hue)",insertText:"lch(${1:lightness} ${2:chroma} ${3:hue})",desc:g("Creates a Color from lightness, chroma, and hue values.")},{label:"lch relative",func:"lch(from $color $lightness $chroma $hue)",insertText:"lch(from ${1:color} ${2:l} ${3:c} ${4:h})",desc:g("Creates a Color from the lightness, chroma, and hue values of another Color.")},{label:"oklch",func:"oklch($lightness $chroma $hue)",insertText:"oklch(${1:lightness} ${2:chroma} ${3:hue})",desc:g("Creates a Color from lightness, chroma, and hue values.")},{label:"oklch relative",func:"oklch(from $color $lightness $chroma $hue)",insertText:"oklch(from ${1:color} ${2:l} ${3:c} ${4:h})",desc:g("Creates a Color from the lightness, chroma, and hue values of another Color.")},{label:"color",func:"color($color-space $red $green $blue)",insertText:"color(${1|srgb,srgb-linear,display-p3,a98-rgb,prophoto-rgb,rec2020,xyx,xyz-d50,xyz-d65|} ${2:red} ${3:green} ${4:blue})",desc:g("Creates a Color in a specific color space from red, green, and blue values.")},{label:"color relative",func:"color(from $color $color-space $red $green $blue)",insertText:"color(from ${1:color} ${2|srgb,srgb-linear,display-p3,a98-rgb,prophoto-rgb,rec2020,xyx,xyz-d50,xyz-d65|} ${3:r} ${4:g} ${5:b})",desc:g("Creates a Color in a specific color space from the red, green, and blue values of another Color.")},{label:"color-mix",func:"color-mix(in $color-space, $color $percentage, $color $percentage)",insertText:"color-mix(in ${1|srgb,srgb-linear,lab,oklab,xyz,xyz-d50,xyz-d65|}, ${3:color} ${4:percentage}, ${5:color} ${6:percentage})",desc:g("Mix two colors together in a rectangular color space.")},{label:"color-mix hue",func:"color-mix(in $color-space $interpolation-method hue, $color $percentage, $color $percentage)",insertText:"color-mix(in ${1|hsl,hwb,lch,oklch|} ${2|shorter hue,longer hue,increasing hue,decreasing hue|}, ${3:color} ${4:percentage}, ${5:color} ${6:percentage})",desc:g("Mix two colors together in a polar color space.")}],fm=/^(rgb|rgba|hsl|hsla|hwb)$/i,yr={aliceblue:"#f0f8ff",antiquewhite:"#faebd7",aqua:"#00ffff",aquamarine:"#7fffd4",azure:"#f0ffff",beige:"#f5f5dc",bisque:"#ffe4c4",black:"#000000",blanchedalmond:"#ffebcd",blue:"#0000ff",blueviolet:"#8a2be2",brown:"#a52a2a",burlywood:"#deb887",cadetblue:"#5f9ea0",chartreuse:"#7fff00",chocolate:"#d2691e",coral:"#ff7f50",cornflowerblue:"#6495ed",cornsilk:"#fff8dc",crimson:"#dc143c",cyan:"#00ffff",darkblue:"#00008b",darkcyan:"#008b8b",darkgoldenrod:"#b8860b",darkgray:"#a9a9a9",darkgrey:"#a9a9a9",darkgreen:"#006400",darkkhaki:"#bdb76b",darkmagenta:"#8b008b",darkolivegreen:"#556b2f",darkorange:"#ff8c00",darkorchid:"#9932cc",darkred:"#8b0000",darksalmon:"#e9967a",darkseagreen:"#8fbc8f",darkslateblue:"#483d8b",darkslategray:"#2f4f4f",darkslategrey:"#2f4f4f",darkturquoise:"#00ced1",darkviolet:"#9400d3",deeppink:"#ff1493",deepskyblue:"#00bfff",dimgray:"#696969",dimgrey:"#696969",dodgerblue:"#1e90ff",firebrick:"#b22222",floralwhite:"#fffaf0",forestgreen:"#228b22",fuchsia:"#ff00ff",gainsboro:"#dcdcdc",ghostwhite:"#f8f8ff",gold:"#ffd700",goldenrod:"#daa520",gray:"#808080",grey:"#808080",green:"#008000",greenyellow:"#adff2f",honeydew:"#f0fff0",hotpink:"#ff69b4",indianred:"#cd5c5c",indigo:"#4b0082",ivory:"#fffff0",khaki:"#f0e68c",lavender:"#e6e6fa",lavenderblush:"#fff0f5",lawngreen:"#7cfc00",lemonchiffon:"#fffacd",lightblue:"#add8e6",lightcoral:"#f08080",lightcyan:"#e0ffff",lightgoldenrodyellow:"#fafad2",lightgray:"#d3d3d3",lightgrey:"#d3d3d3",lightgreen:"#90ee90",lightpink:"#ffb6c1",lightsalmon:"#ffa07a",lightseagreen:"#20b2aa",lightskyblue:"#87cefa",lightslategray:"#778899",lightslategrey:"#778899",lightsteelblue:"#b0c4de",lightyellow:"#ffffe0",lime:"#00ff00",limegreen:"#32cd32",linen:"#faf0e6",magenta:"#ff00ff",maroon:"#800000",mediumaquamarine:"#66cdaa",mediumblue:"#0000cd",mediumorchid:"#ba55d3",mediumpurple:"#9370d8",mediumseagreen:"#3cb371",mediumslateblue:"#7b68ee",mediumspringgreen:"#00fa9a",mediumturquoise:"#48d1cc",mediumvioletred:"#c71585",midnightblue:"#191970",mintcream:"#f5fffa",mistyrose:"#ffe4e1",moccasin:"#ffe4b5",navajowhite:"#ffdead",navy:"#000080",oldlace:"#fdf5e6",olive:"#808000",olivedrab:"#6b8e23",orange:"#ffa500",orangered:"#ff4500",orchid:"#da70d6",palegoldenrod:"#eee8aa",palegreen:"#98fb98",paleturquoise:"#afeeee",palevioletred:"#d87093",papayawhip:"#ffefd5",peachpuff:"#ffdab9",peru:"#cd853f",pink:"#ffc0cb",plum:"#dda0dd",powderblue:"#b0e0e6",purple:"#800080",red:"#ff0000",rebeccapurple:"#663399",rosybrown:"#bc8f8f",royalblue:"#4169e1",saddlebrown:"#8b4513",salmon:"#fa8072",sandybrown:"#f4a460",seagreen:"#2e8b57",seashell:"#fff5ee",sienna:"#a0522d",silver:"#c0c0c0",skyblue:"#87ceeb",slateblue:"#6a5acd",slategray:"#708090",slategrey:"#708090",snow:"#fffafa",springgreen:"#00ff7f",steelblue:"#4682b4",tan:"#d2b48c",teal:"#008080",thistle:"#d8bfd8",tomato:"#ff6347",turquoise:"#40e0d0",violet:"#ee82ee",wheat:"#f5deb3",white:"#ffffff",whitesmoke:"#f5f5f5",yellow:"#ffff00",yellowgreen:"#9acd32"},gm=new RegExp(`^(${Object.keys(yr).join("|")})$`,"i"),os={currentColor:"The value of the 'color' property. The computed value of the 'currentColor' keyword is the computed value of the 'color' property. If the 'currentColor' keyword is set on the 'color' property itself, it is treated as 'color:inherit' at parse time.",transparent:"Fully transparent. This keyword can be considered a shorthand for rgba(0,0,0,0) which is its computed value."},bm=new RegExp(`^(${Object.keys(os).join("|")})$`,"i");function wt(e,t){const r=e.getText().match(/^([-+]?[0-9]*\.?[0-9]+)(%?)$/);if(r){r[2]&&(t=100);const i=parseFloat(r[1])/t;if(i>=0&&i<=1)return i}throw new Error}function Fc(e){const t=e.getText(),n=t.match(/^([-+]?[0-9]*\.?[0-9]+)(deg|rad|grad|turn)?$/);if(n)switch(n[2]){case"deg":return parseFloat(t)%360;case"rad":return parseFloat(t)*180/Math.PI%360;case"grad":return parseFloat(t)*.9%360;case"turn":return parseFloat(t)*360%360;default:if(typeof n[2]>"u")return parseFloat(t)%360}throw new Error}function vm(e){const t=e.getName();return t?fm.test(t):!1}function Rc(e){return pm.test(e)||gm.test(e)||bm.test(e)}var Nc=48,wm=57,ym=65,xr=97,xm=102;function de(e){return e<Nc?0:e<=wm?e-Nc:(e<xr&&(e+=xr-ym),e>=xr&&e<=xm?e-xr+10:0)}function Dc(e){if(e[0]!=="#")return null;switch(e.length){case 4:return{red:de(e.charCodeAt(1))*17/255,green:de(e.charCodeAt(2))*17/255,blue:de(e.charCodeAt(3))*17/255,alpha:1};case 5:return{red:de(e.charCodeAt(1))*17/255,green:de(e.charCodeAt(2))*17/255,blue:de(e.charCodeAt(3))*17/255,alpha:de(e.charCodeAt(4))*17/255};case 7:return{red:(de(e.charCodeAt(1))*16+de(e.charCodeAt(2)))/255,green:(de(e.charCodeAt(3))*16+de(e.charCodeAt(4)))/255,blue:(de(e.charCodeAt(5))*16+de(e.charCodeAt(6)))/255,alpha:1};case 9:return{red:(de(e.charCodeAt(1))*16+de(e.charCodeAt(2)))/255,green:(de(e.charCodeAt(3))*16+de(e.charCodeAt(4)))/255,blue:(de(e.charCodeAt(5))*16+de(e.charCodeAt(6)))/255,alpha:(de(e.charCodeAt(7))*16+de(e.charCodeAt(8)))/255}}return null}function Ic(e,t,n,r=1){if(e=e/60,t===0)return{red:n,green:n,blue:n,alpha:r};{const i=(l,o,c)=>{for(;c<0;)c+=6;for(;c>=6;)c-=6;return c<1?(o-l)*c+l:c<3?o:c<4?(o-l)*(4-c)+l:l},s=n<=.5?n*(t+1):n+t-n*t,a=n*2-s;return{red:i(a,s,e+2),green:i(a,s,e),blue:i(a,s,e-2),alpha:r}}}function Ac(e){const t=e.red,n=e.green,r=e.blue,i=e.alpha,s=Math.max(t,n,r),a=Math.min(t,n,r);let l=0,o=0;const c=(a+s)/2,d=s-a;if(d>0){switch(o=Math.min(c<=.5?d/(2*c):d/(2-2*c),1),s){case t:l=(n-r)/d+(n<r?6:0);break;case n:l=(r-t)/d+2;break;case r:l=(t-n)/d+4;break}l*=60,l=Math.round(l)}return{h:l,s:o,l:c,a:i}}function Sm(e,t,n,r=1){if(t+n>=1){const o=t/(t+n);return{red:o,green:o,blue:o,alpha:r}}const i=Ic(e,1,.5,r);let s=i.red;s*=1-t-n,s+=t;let a=i.green;a*=1-t-n,a+=t;let l=i.blue;return l*=1-t-n,l+=t,{red:s,green:a,blue:l,alpha:r}}function Cm(e){const t=Ac(e),n=Math.min(e.red,e.green,e.blue),r=1-Math.max(e.red,e.green,e.blue);return{h:t.h,w:n,b:r,a:t.a}}function _m(e){if(e.type===b.HexColorValue){const t=e.getText();return Dc(t)}else if(e.type===b.Function){const t=e,n=t.getName();let r=t.getArguments().getChildren();if(r.length===1){const i=r[0].getChildren();if(i.length===1&&i[0].type===b.Expression&&(r=i[0].getChildren(),r.length===3)){const s=r[2];if(s instanceof Ui){const a=s.getLeft(),l=s.getRight(),o=s.getOperator();a&&l&&o&&o.matches("/")&&(r=[r[0],r[1],a,l])}}}if(!n||r.length<3||r.length>4)return null;try{const i=r.length===4?wt(r[3],1):1;if(n==="rgb"||n==="rgba")return{red:wt(r[0],255),green:wt(r[1],255),blue:wt(r[2],255),alpha:i};if(n==="hsl"||n==="hsla"){const s=Fc(r[0]),a=wt(r[1],100),l=wt(r[2],100);return Ic(s,a,l,i)}else if(n==="hwb"){const s=Fc(r[0]),a=wt(r[1],100),l=wt(r[2],100);return Sm(s,a,l,i)}}catch{return null}}else if(e.type===b.Identifier){if(e.parent&&e.parent.type!==b.Term)return null;const t=e.parent;if(t&&t.parent&&t.parent.type===b.BinaryExpression){const i=t.parent;if(i.parent&&i.parent.type===b.ListEntry&&i.parent.key===i)return null}const n=e.getText().toLowerCase();if(n==="none")return null;const r=yr[n];if(r)return Dc(r)}return null}var Mc={bottom:"Computes to ‘100%’ for the vertical position if one or two values are given, otherwise specifies the bottom edge as the origin for the next offset.",center:"Computes to ‘50%’ (‘left 50%’) for the horizontal position if the horizontal position is not otherwise specified, or ‘50%’ (‘top 50%’) for the vertical position if it is.",left:"Computes to ‘0%’ for the horizontal position if one or two values are given, otherwise specifies the left edge as the origin for the next offset.",right:"Computes to ‘100%’ for the horizontal position if one or two values are given, otherwise specifies the right edge as the origin for the next offset.",top:"Computes to ‘0%’ for the vertical position if one or two values are given, otherwise specifies the top edge as the origin for the next offset."},Lc={"no-repeat":"Placed once and not repeated in this direction.",repeat:"Repeated in this direction as often as needed to cover the background painting area.","repeat-x":"Computes to ‘repeat no-repeat’.","repeat-y":"Computes to ‘no-repeat repeat’.",round:"Repeated as often as will fit within the background positioning area. If it doesn’t fit a whole number of times, it is rescaled so that it does.",space:"Repeated as often as will fit within the background positioning area without being clipped and then the images are spaced out to fill the area."},zc={dashed:"A series of square-ended dashes.",dotted:"A series of round dots.",double:"Two parallel solid lines with some space between them.",groove:"Looks as if it were carved in the canvas.",hidden:"Same as ‘none’, but has different behavior in the border conflict resolution rules for border-collapsed tables.",inset:"Looks as if the content on the inside of the border is sunken into the canvas.",none:"No border. Color and width are ignored.",outset:"Looks as if the content on the inside of the border is coming out of the canvas.",ridge:"Looks as if it were coming out of the canvas.",solid:"A single line segment."},km=["medium","thick","thin"],Pc={"border-box":"The background is painted within (clipped to) the border box.","content-box":"The background is painted within (clipped to) the content box.","padding-box":"The background is painted within (clipped to) the padding box."},Tc={"margin-box":"Uses the margin box as reference box.","fill-box":"Uses the object bounding box as reference box.","stroke-box":"Uses the stroke bounding box as reference box.","view-box":"Uses the nearest SVG viewport as reference box."},Oc={initial:"Represents the value specified as the property’s initial value.",inherit:"Represents the computed value of the property on the element’s parent.",unset:"Acts as either `inherit` or `initial`, depending on whether the property is inherited or not."},Wc={"var()":"Evaluates the value of a custom variable.","calc()":"Evaluates an mathematical expression. The following operators can be used: + - * /."},Uc={"url()":"Reference an image file by URL","image()":"Provide image fallbacks and annotations.","-webkit-image-set()":"Provide multiple resolutions. Remember to use unprefixed image-set() in addition.","image-set()":"Provide multiple resolutions of an image and const the UA decide which is most appropriate in a given situation.","-moz-element()":"Use an element in the document as an image. Remember to use unprefixed element() in addition.","element()":"Use an element in the document as an image.","cross-fade()":"Indicates the two images to be combined and how far along in the transition the combination is.","-webkit-gradient()":"Deprecated. Use modern linear-gradient() or radial-gradient() instead.","-webkit-linear-gradient()":"Linear gradient. Remember to use unprefixed version in addition.","-moz-linear-gradient()":"Linear gradient. Remember to use unprefixed version in addition.","-o-linear-gradient()":"Linear gradient. Remember to use unprefixed version in addition.","linear-gradient()":"A linear gradient is created by specifying a straight gradient line, and then several colors placed along that line.","-webkit-repeating-linear-gradient()":"Repeating Linear gradient. Remember to use unprefixed version in addition.","-moz-repeating-linear-gradient()":"Repeating Linear gradient. Remember to use unprefixed version in addition.","-o-repeating-linear-gradient()":"Repeating Linear gradient. Remember to use unprefixed version in addition.","repeating-linear-gradient()":"Same as linear-gradient, except the color-stops are repeated infinitely in both directions, with their positions shifted by multiples of the difference between the last specified color-stop’s position and the first specified color-stop’s position.","-webkit-radial-gradient()":"Radial gradient. Remember to use unprefixed version in addition.","-moz-radial-gradient()":"Radial gradient. Remember to use unprefixed version in addition.","radial-gradient()":"Colors emerge from a single point and smoothly spread outward in a circular or elliptical shape.","-webkit-repeating-radial-gradient()":"Repeating radial gradient. Remember to use unprefixed version in addition.","-moz-repeating-radial-gradient()":"Repeating radial gradient. Remember to use unprefixed version in addition.","repeating-radial-gradient()":"Same as radial-gradient, except the color-stops are repeated infinitely in both directions, with their positions shifted by multiples of the difference between the last specified color-stop’s position and the first specified color-stop’s position."},Vc={ease:"Equivalent to cubic-bezier(0.25, 0.1, 0.25, 1.0).","ease-in":"Equivalent to cubic-bezier(0.42, 0, 1.0, 1.0).","ease-in-out":"Equivalent to cubic-bezier(0.42, 0, 0.58, 1.0).","ease-out":"Equivalent to cubic-bezier(0, 0, 0.58, 1.0).",linear:"Equivalent to cubic-bezier(0.0, 0.0, 1.0, 1.0).","step-end":"Equivalent to steps(1, end).","step-start":"Equivalent to steps(1, start).","steps()":"The first parameter specifies the number of intervals in the function. The second parameter, which is optional, is either the value “start” or “end”.","cubic-bezier()":"Specifies a cubic-bezier curve. The four values specify points P1 and P2 of the curve as (x1, y1, x2, y2).","cubic-bezier(0.6, -0.28, 0.735, 0.045)":"Ease-in Back. Overshoots.","cubic-bezier(0.68, -0.55, 0.265, 1.55)":"Ease-in-out Back. Overshoots.","cubic-bezier(0.175, 0.885, 0.32, 1.275)":"Ease-out Back. Overshoots.","cubic-bezier(0.6, 0.04, 0.98, 0.335)":"Ease-in Circular. Based on half circle.","cubic-bezier(0.785, 0.135, 0.15, 0.86)":"Ease-in-out Circular. Based on half circle.","cubic-bezier(0.075, 0.82, 0.165, 1)":"Ease-out Circular. Based on half circle.","cubic-bezier(0.55, 0.055, 0.675, 0.19)":"Ease-in Cubic. Based on power of three.","cubic-bezier(0.645, 0.045, 0.355, 1)":"Ease-in-out Cubic. Based on power of three.","cubic-bezier(0.215, 0.610, 0.355, 1)":"Ease-out Cubic. Based on power of three.","cubic-bezier(0.95, 0.05, 0.795, 0.035)":"Ease-in Exponential. Based on two to the power ten.","cubic-bezier(1, 0, 0, 1)":"Ease-in-out Exponential. Based on two to the power ten.","cubic-bezier(0.19, 1, 0.22, 1)":"Ease-out Exponential. Based on two to the power ten.","cubic-bezier(0.47, 0, 0.745, 0.715)":"Ease-in Sine.","cubic-bezier(0.445, 0.05, 0.55, 0.95)":"Ease-in-out Sine.","cubic-bezier(0.39, 0.575, 0.565, 1)":"Ease-out Sine.","cubic-bezier(0.55, 0.085, 0.68, 0.53)":"Ease-in Quadratic. Based on power of two.","cubic-bezier(0.455, 0.03, 0.515, 0.955)":"Ease-in-out Quadratic. Based on power of two.","cubic-bezier(0.25, 0.46, 0.45, 0.94)":"Ease-out Quadratic. Based on power of two.","cubic-bezier(0.895, 0.03, 0.685, 0.22)":"Ease-in Quartic. Based on power of four.","cubic-bezier(0.77, 0, 0.175, 1)":"Ease-in-out Quartic. Based on power of four.","cubic-bezier(0.165, 0.84, 0.44, 1)":"Ease-out Quartic. Based on power of four.","cubic-bezier(0.755, 0.05, 0.855, 0.06)":"Ease-in Quintic. Based on power of five.","cubic-bezier(0.86, 0, 0.07, 1)":"Ease-in-out Quintic. Based on power of five.","cubic-bezier(0.23, 1, 0.320, 1)":"Ease-out Quintic. Based on power of five."},$c={"circle()":"Defines a circle.","ellipse()":"Defines an ellipse.","inset()":"Defines an inset rectangle.","polygon()":"Defines a polygon."},Bc={length:["cap","ch","cm","cqb","cqh","cqi","cqmax","cqmin","cqw","dvb","dvh","dvi","dvw","em","ex","ic","in","lh","lvb","lvh","lvi","lvw","mm","pc","pt","px","q","rcap","rch","rem","rex","ric","rlh","svb","svh","svi","svw","vb","vh","vi","vmax","vmin","vw"],angle:["deg","rad","grad","turn"],time:["ms","s"],frequency:["Hz","kHz"],resolution:["dpi","dpcm","dppx"],percentage:["%","fr"]},Em=["a","abbr","address","area","article","aside","audio","b","base","bdi","bdo","blockquote","body","br","button","canvas","caption","cite","code","col","colgroup","data","datalist","dd","del","details","dfn","dialog","div","dl","dt","em","embed","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","i","iframe","img","input","ins","kbd","keygen","label","legend","li","link","main","map","mark","menu","menuitem","meta","meter","nav","noscript","object","ol","optgroup","option","output","p","param","picture","pre","progress","q","rb","rp","rt","rtc","ruby","s","samp","script","section","select","small","source","span","strong","style","sub","summary","sup","table","tbody","td","template","textarea","tfoot","th","thead","time","title","tr","track","u","ul","const","video","wbr"],Fm=["circle","clipPath","cursor","defs","desc","ellipse","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feDistantLight","feDropShadow","feFlood","feFuncA","feFuncB","feFuncG","feFuncR","feGaussianBlur","feImage","feMerge","feMergeNode","feMorphology","feOffset","fePointLight","feSpecularLighting","feSpotLight","feTile","feTurbulence","filter","foreignObject","g","hatch","hatchpath","image","line","linearGradient","marker","mask","mesh","meshpatch","meshrow","metadata","mpath","path","pattern","polygon","polyline","radialGradient","rect","set","solidcolor","stop","svg","switch","symbol","text","textPath","tspan","use","view"],Rm=["@bottom-center","@bottom-left","@bottom-left-corner","@bottom-right","@bottom-right-corner","@left-bottom","@left-middle","@left-top","@right-bottom","@right-middle","@right-top","@top-center","@top-left","@top-left-corner","@top-right","@top-right-corner"];function Sr(e){return Object.keys(e).map(t=>e[t])}function Le(e){return typeof e<"u"}var Cr=class{constructor(e=new Sn){this.keyframeRegex=/^@(\-(webkit|ms|moz|o)\-)?keyframes$/i,this.scanner=e,this.token={type:p.EOF,offset:-1,len:0,text:""},this.prevToken=void 0}peekIdent(e){return p.Ident===this.token.type&&e.length===this.token.text.length&&e===this.token.text.toLowerCase()}peekKeyword(e){return p.AtKeyword===this.token.type&&e.length===this.token.text.length&&e===this.token.text.toLowerCase()}peekDelim(e){return p.Delim===this.token.type&&e===this.token.text}peek(e){return e===this.token.type}peekOne(...e){return e.indexOf(this.token.type)!==-1}peekRegExp(e,t){return e!==this.token.type?!1:t.test(this.token.text)}hasWhitespace(){return!!this.prevToken&&this.prevToken.offset+this.prevToken.len!==this.token.offset}consumeToken(){this.prevToken=this.token,this.token=this.scanner.scan()}acceptUnicodeRange(){const e=this.scanner.tryScanUnicode();return e?(this.prevToken=e,this.token=this.scanner.scan(),!0):!1}mark(){return{prev:this.prevToken,curr:this.token,pos:this.scanner.pos()}}restoreAtMark(e){this.prevToken=e.prev,this.token=e.curr,this.scanner.goBackTo(e.pos)}try(e){const t=this.mark(),n=e();return n||(this.restoreAtMark(t),null)}acceptOneKeyword(e){if(p.AtKeyword===this.token.type){for(const t of e)if(t.length===this.token.text.length&&t===this.token.text.toLowerCase())return this.consumeToken(),!0}return!1}accept(e){return e===this.token.type?(this.consumeToken(),!0):!1}acceptIdent(e){return this.peekIdent(e)?(this.consumeToken(),!0):!1}acceptKeyword(e){return this.peekKeyword(e)?(this.consumeToken(),!0):!1}acceptDelim(e){return this.peekDelim(e)?(this.consumeToken(),!0):!1}acceptRegexp(e){return e.test(this.token.text)?(this.consumeToken(),!0):!1}_parseRegexp(e){let t=this.createNode(b.Identifier);do;while(this.acceptRegexp(e));return this.finish(t)}acceptUnquotedString(){const e=this.scanner.pos();this.scanner.goBackTo(this.token.offset);const t=this.scanner.scanUnquotedString();return t?(this.token=t,this.consumeToken(),!0):(this.scanner.goBackTo(e),!1)}resync(e,t){for(;;){if(e&&e.indexOf(this.token.type)!==-1)return this.consumeToken(),!0;if(t&&t.indexOf(this.token.type)!==-1)return!0;if(this.token.type===p.EOF)return!1;this.token=this.scanner.scan()}}createNode(e){return new O(this.token.offset,this.token.len,e)}create(e){return new e(this.token.offset,this.token.len)}finish(e,t,n,r){if(!(e instanceof xe)&&(t&&this.markError(e,t,n,r),this.prevToken)){const i=this.prevToken.offset+this.prevToken.len;e.length=i>e.offset?i-e.offset:0}return e}markError(e,t,n,r){this.token!==this.lastErrorToken&&(e.addIssue(new Rl(e,t,Re.Error,void 0,this.token.offset,this.token.len)),this.lastErrorToken=this.token),(n||r)&&this.resync(n,r)}parseStylesheet(e){const t=e.version,n=e.getText(),r=(i,s)=>{if(e.version!==t)throw new Error("Underlying model has changed, AST is no longer valid");return n.substr(i,s)};return this.internalParse(n,this._parseStylesheet,r)}internalParse(e,t,n){this.scanner.setSource(e),this.token=this.scanner.scan();const r=t.bind(this)();return r&&(n?r.textProvider=n:r.textProvider=(i,s)=>e.substr(i,s)),r}_parseStylesheet(){const e=this.create(Ep);for(;e.addChild(this._parseStylesheetStart()););let t=!1;do{let n=!1;do{n=!1;const r=this._parseStylesheetStatement();for(r&&(e.addChild(r),n=!0,t=!1,!this.peek(p.EOF)&&this._needsSemicolonAfter(r)&&!this.accept(p.SemiColon)&&this.markError(e,y.SemiColonExpected));this.accept(p.SemiColon)||this.accept(p.CDO)||this.accept(p.CDC);)n=!0,t=!1}while(n);if(this.peek(p.EOF))break;t||(this.peek(p.AtKeyword)?this.markError(e,y.UnknownAtRule):this.markError(e,y.RuleOrSelectorExpected),t=!0),this.consumeToken()}while(!this.peek(p.EOF));return this.finish(e)}_parseStylesheetStart(){return this._parseCharset()}_parseStylesheetStatement(e=!1){return this.peek(p.AtKeyword)?this._parseStylesheetAtStatement(e):this._parseRuleset(e)}_parseStylesheetAtStatement(e=!1){return this._parseImport()||this._parseMedia(e)||this._parsePage()||this._parseFontFace()||this._parseKeyframe()||this._parseSupports(e)||this._parseLayer(e)||this._parsePropertyAtRule()||this._parseViewPort()||this._parseNamespace()||this._parseDocument()||this._parseContainer(e)||this._parseUnknownAtRule()}_tryParseRuleset(e){const t=this.mark();if(this._parseSelector(e)){for(;this.accept(p.Comma)&&this._parseSelector(e););if(this.accept(p.CurlyL))return this.restoreAtMark(t),this._parseRuleset(e)}return this.restoreAtMark(t),null}_parseRuleset(e=!1){const t=this.create(Ft),n=t.getSelectors();if(!n.addChild(this._parseSelector(e)))return null;for(;this.accept(p.Comma);)if(!n.addChild(this._parseSelector(e)))return this.finish(t,y.SelectorExpected);return this._parseBody(t,this._parseRuleSetDeclaration.bind(this))}_parseRuleSetDeclarationAtStatement(){return this._parseMedia(!0)||this._parseSupports(!0)||this._parseLayer(!0)||this._parseContainer(!0)||this._parseUnknownAtRule()}_parseRuleSetDeclaration(){return this.peek(p.AtKeyword)?this._parseRuleSetDeclarationAtStatement():this.peek(p.Ident)?this._tryParseRuleset(!0)||this._parseDeclaration():this._parseRuleset(!0)}_needsSemicolonAfter(e){switch(e.type){case b.Keyframe:case b.ViewPort:case b.Media:case b.Ruleset:case b.Namespace:case b.If:case b.For:case b.Each:case b.While:case b.MixinDeclaration:case b.FunctionDeclaration:case b.MixinContentDeclaration:return!1;case b.ExtendsReference:case b.MixinContentReference:case b.ReturnStatement:case b.MediaQuery:case b.Debug:case b.Import:case b.AtApplyRule:case b.CustomPropertyDeclaration:return!0;case b.VariableDeclaration:return e.needsSemicolon;case b.MixinReference:return!e.getContent();case b.Declaration:return!e.getNestedProperties()}return!1}_parseDeclarations(e){const t=this.create(Li);if(!this.accept(p.CurlyL))return null;let n=e();for(;t.addChild(n)&&!this.peek(p.CurlyR);){if(this._needsSemicolonAfter(n)&&!this.accept(p.SemiColon))return this.finish(t,y.SemiColonExpected,[p.SemiColon,p.CurlyR]);for(n&&this.prevToken&&this.prevToken.type===p.SemiColon&&(n.semicolonPosition=this.prevToken.offset);this.accept(p.SemiColon););n=e()}return this.accept(p.CurlyR)?this.finish(t):this.finish(t,y.RightCurlyExpected,[p.CurlyR,p.SemiColon])}_parseBody(e,t){return e.setDeclarations(this._parseDeclarations(t))?this.finish(e):this.finish(e,y.LeftCurlyExpected,[p.CurlyR,p.SemiColon])}_parseSelector(e){const t=this.create(Cn);let n=!1;for(e&&(n=t.addChild(this._parseCombinator()));t.addChild(this._parseSimpleSelector());)n=!0,t.addChild(this._parseCombinator());return n?this.finish(t):null}_parseDeclaration(e){const t=this._tryParseCustomPropertyDeclaration(e);if(t)return t;const n=this.create(je);return n.setProperty(this._parseProperty())?this.accept(p.Colon)?(this.prevToken&&(n.colonPosition=this.prevToken.offset),n.setValue(this._parseExpr())?(n.addChild(this._parsePrio()),this.peek(p.SemiColon)&&(n.semicolonPosition=this.token.offset),this.finish(n)):this.finish(n,y.PropertyValueExpected)):this.finish(n,y.ColonExpected,[p.Colon],e||[p.SemiColon]):null}_tryParseCustomPropertyDeclaration(e){if(!this.peekRegExp(p.Ident,/^--/))return null;const t=this.create(Rp);if(!t.setProperty(this._parseProperty()))return null;if(!this.accept(p.Colon))return this.finish(t,y.ColonExpected,[p.Colon]);this.prevToken&&(t.colonPosition=this.prevToken.offset);const n=this.mark();if(this.peek(p.CurlyL)){const i=this.create(Fp),s=this._parseDeclarations(this._parseRuleSetDeclaration.bind(this));if(i.setDeclarations(s)&&!s.isErroneous(!0)&&(i.addChild(this._parsePrio()),this.peek(p.SemiColon)))return this.finish(i),t.setPropertySet(i),t.semicolonPosition=this.token.offset,this.finish(t);this.restoreAtMark(n)}const r=this._parseExpr();return r&&!r.isErroneous(!0)&&(this._parsePrio(),this.peekOne(...e||[],p.SemiColon,p.EOF))?(t.setValue(r),this.peek(p.SemiColon)&&(t.semicolonPosition=this.token.offset),this.finish(t)):(this.restoreAtMark(n),t.addChild(this._parseCustomPropertyValue(e)),t.addChild(this._parsePrio()),Le(t.colonPosition)&&this.token.offset===t.colonPosition+1?this.finish(t,y.PropertyValueExpected):this.finish(t))}_parseCustomPropertyValue(e=[p.CurlyR]){const t=this.create(O),n=()=>i===0&&s===0&&a===0,r=()=>e.indexOf(this.token.type)!==-1;let i=0,s=0,a=0;e:for(;;){switch(this.token.type){case p.SemiColon:if(n())break e;break;case p.Exclamation:if(n())break e;break;case p.CurlyL:i++;break;case p.CurlyR:if(i--,i<0){if(r()&&s===0&&a===0)break e;return this.finish(t,y.LeftCurlyExpected)}break;case p.ParenthesisL:s++;break;case p.ParenthesisR:if(s--,s<0){if(r()&&a===0&&i===0)break e;return this.finish(t,y.LeftParenthesisExpected)}break;case p.BracketL:a++;break;case p.BracketR:if(a--,a<0)return this.finish(t,y.LeftSquareBracketExpected);break;case p.BadString:break e;case p.EOF:let l=y.RightCurlyExpected;return a>0?l=y.RightSquareBracketExpected:s>0&&(l=y.RightParenthesisExpected),this.finish(t,l)}this.consumeToken()}return this.finish(t)}_tryToParseDeclaration(e){const t=this.mark();return this._parseProperty()&&this.accept(p.Colon)?(this.restoreAtMark(t),this._parseDeclaration(e)):(this.restoreAtMark(t),null)}_parseProperty(){const e=this.create(Pi),t=this.mark();return(this.acceptDelim("*")||this.acceptDelim("_"))&&this.hasWhitespace()?(this.restoreAtMark(t),null):e.setIdentifier(this._parsePropertyIdentifier())?this.finish(e):null}_parsePropertyIdentifier(){return this._parseIdent()}_parseCharset(){if(!this.peek(p.Charset))return null;const e=this.create(O);return this.consumeToken(),this.accept(p.String)?this.accept(p.SemiColon)?this.finish(e):this.finish(e,y.SemiColonExpected):this.finish(e,y.IdentifierExpected)}_parseImport(){if(!this.peekKeyword("@import"))return null;const e=this.create(Ti);return this.consumeToken(),!e.addChild(this._parseURILiteral())&&!e.addChild(this._parseStringLiteral())?this.finish(e,y.URIOrStringExpected):this._completeParseImport(e)}_completeParseImport(e){if(this.acceptIdent("layer")&&this.accept(p.ParenthesisL)){if(!e.addChild(this._parseLayerName()))return this.finish(e,y.IdentifierExpected,[p.SemiColon]);if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[p.ParenthesisR],[])}return this.acceptIdent("supports")&&this.accept(p.ParenthesisL)&&(e.addChild(this._tryToParseDeclaration()||this._parseSupportsCondition()),!this.accept(p.ParenthesisR))?this.finish(e,y.RightParenthesisExpected,[p.ParenthesisR],[]):(!this.peek(p.SemiColon)&&!this.peek(p.EOF)&&e.setMedialist(this._parseMediaQueryList()),this.finish(e))}_parseNamespace(){if(!this.peekKeyword("@namespace"))return null;const e=this.create(Up);return this.consumeToken(),!e.addChild(this._parseURILiteral())&&(e.addChild(this._parseIdent()),!e.addChild(this._parseURILiteral())&&!e.addChild(this._parseStringLiteral()))?this.finish(e,y.URIExpected,[p.SemiColon]):this.accept(p.SemiColon)?this.finish(e):this.finish(e,y.SemiColonExpected)}_parseFontFace(){if(!this.peekKeyword("@font-face"))return null;const e=this.create(wl);return this.consumeToken(),this._parseBody(e,this._parseRuleSetDeclaration.bind(this))}_parseViewPort(){if(!this.peekKeyword("@-ms-viewport")&&!this.peekKeyword("@-o-viewport")&&!this.peekKeyword("@viewport"))return null;const e=this.create(zp);return this.consumeToken(),this._parseBody(e,this._parseRuleSetDeclaration.bind(this))}_parseKeyframe(){if(!this.peekRegExp(p.AtKeyword,this.keyframeRegex))return null;const e=this.create(xl),t=this.create(O);return this.consumeToken(),e.setKeyword(this.finish(t)),t.matches("@-ms-keyframes")&&this.markError(t,y.UnknownKeyword),e.setIdentifier(this._parseKeyframeIdent())?this._parseBody(e,this._parseKeyframeSelector.bind(this)):this.finish(e,y.IdentifierExpected,[p.CurlyR])}_parseKeyframeIdent(){return this._parseIdent([J.Keyframe])}_parseKeyframeSelector(){const e=this.create(Sl);let t=!1;if(e.addChild(this._parseIdent())&&(t=!0),this.accept(p.Percentage)&&(t=!0),!t)return null;for(;this.accept(p.Comma);)if(t=!1,e.addChild(this._parseIdent())&&(t=!0),this.accept(p.Percentage)&&(t=!0),!t)return this.finish(e,y.PercentageExpected);return this._parseBody(e,this._parseRuleSetDeclaration.bind(this))}_tryParseKeyframeSelector(){const e=this.create(Sl),t=this.mark();let n=!1;if(e.addChild(this._parseIdent())&&(n=!0),this.accept(p.Percentage)&&(n=!0),!n)return null;for(;this.accept(p.Comma);)if(n=!1,e.addChild(this._parseIdent())&&(n=!0),this.accept(p.Percentage)&&(n=!0),!n)return this.restoreAtMark(t),null;return this.peek(p.CurlyL)?this._parseBody(e,this._parseRuleSetDeclaration.bind(this)):(this.restoreAtMark(t),null)}_parsePropertyAtRule(){if(!this.peekKeyword("@property"))return null;const e=this.create($p);return this.consumeToken(),!this.peekRegExp(p.Ident,/^--/)||!e.setName(this._parseIdent([J.Property]))?this.finish(e,y.IdentifierExpected):this._parseBody(e,this._parseDeclaration.bind(this))}_parseLayer(e=!1){if(!this.peekKeyword("@layer"))return null;const t=this.create(Vp);this.consumeToken();const n=this._parseLayerNameList();return n&&t.setNames(n),(!n||n.getChildren().length===1)&&this.peek(p.CurlyL)?this._parseBody(t,this._parseLayerDeclaration.bind(this,e)):this.accept(p.SemiColon)?this.finish(t):this.finish(t,y.SemiColonExpected)}_parseLayerDeclaration(e=!1){return e?this._tryParseRuleset(!0)||this._tryToParseDeclaration()||this._parseStylesheetStatement(!0):this._parseStylesheetStatement(!1)}_parseLayerNameList(){const e=this.createNode(b.LayerNameList);if(!e.addChild(this._parseLayerName()))return null;for(;this.accept(p.Comma);)if(!e.addChild(this._parseLayerName()))return this.finish(e,y.IdentifierExpected);return this.finish(e)}_parseLayerName(){const e=this.createNode(b.LayerName);if(!e.addChild(this._parseIdent()))return null;for(;!this.hasWhitespace()&&this.acceptDelim(".");)if(this.hasWhitespace()||!e.addChild(this._parseIdent()))return this.finish(e,y.IdentifierExpected);return this.finish(e)}_parseSupports(e=!1){if(!this.peekKeyword("@supports"))return null;const t=this.create(Wi);return this.consumeToken(),t.addChild(this._parseSupportsCondition()),this._parseBody(t,this._parseSupportsDeclaration.bind(this,e))}_parseSupportsDeclaration(e=!1){return e?this._tryParseRuleset(!0)||this._tryToParseDeclaration()||this._parseStylesheetStatement(!0):this._parseStylesheetStatement(!1)}_parseSupportsCondition(){const e=this.create(kn);if(this.acceptIdent("not"))e.addChild(this._parseSupportsConditionInParens());else if(e.addChild(this._parseSupportsConditionInParens()),this.peekRegExp(p.Ident,/^(and|or)$/i)){const t=this.token.text.toLowerCase();for(;this.acceptIdent(t);)e.addChild(this._parseSupportsConditionInParens())}return this.finish(e)}_parseSupportsConditionInParens(){const e=this.create(kn);if(this.accept(p.ParenthesisL))return this.prevToken&&(e.lParent=this.prevToken.offset),!e.addChild(this._tryToParseDeclaration([p.ParenthesisR]))&&!this._parseSupportsCondition()?this.finish(e,y.ConditionExpected):this.accept(p.ParenthesisR)?(this.prevToken&&(e.rParent=this.prevToken.offset),this.finish(e)):this.finish(e,y.RightParenthesisExpected,[p.ParenthesisR],[]);if(this.peek(p.Ident)){const t=this.mark();if(this.consumeToken(),!this.hasWhitespace()&&this.accept(p.ParenthesisL)){let n=1;for(;this.token.type!==p.EOF&&n!==0;)this.token.type===p.ParenthesisL?n++:this.token.type===p.ParenthesisR&&n--,this.consumeToken();return this.finish(e)}else this.restoreAtMark(t)}return this.finish(e,y.LeftParenthesisExpected,[],[p.ParenthesisL])}_parseMediaDeclaration(e=!1){return e?this._tryParseRuleset(!0)||this._tryToParseDeclaration()||this._parseStylesheetStatement(!0):this._parseStylesheetStatement(!1)}_parseMedia(e=!1){if(!this.peekKeyword("@media"))return null;const t=this.create(Oi);return this.consumeToken(),t.addChild(this._parseMediaQueryList())?this._parseBody(t,this._parseMediaDeclaration.bind(this,e)):this.finish(t,y.MediaQueryExpected)}_parseMediaQueryList(){const e=this.create(Cl);if(!e.addChild(this._parseMediaQuery()))return this.finish(e,y.MediaQueryExpected);for(;this.accept(p.Comma);)if(!e.addChild(this._parseMediaQuery()))return this.finish(e,y.MediaQueryExpected);return this.finish(e)}_parseMediaQuery(){const e=this.create(_l),t=this.mark();if(this.acceptIdent("not"),this.peek(p.ParenthesisL))this.restoreAtMark(t),e.addChild(this._parseMediaCondition());else{if(this.acceptIdent("only"),!e.addChild(this._parseIdent()))return null;this.acceptIdent("and")&&e.addChild(this._parseMediaCondition())}return this.finish(e)}_parseRatio(){const e=this.mark(),t=this.create(Qp);return this._parseNumeric()?this.acceptDelim("/")?this._parseNumeric()?this.finish(t):this.finish(t,y.NumberExpected):(this.restoreAtMark(e),null):null}_parseMediaCondition(){const e=this.create(jp);this.acceptIdent("not");let t=!0;for(;t;){if(!this.accept(p.ParenthesisL))return this.finish(e,y.LeftParenthesisExpected,[],[p.CurlyL]);if(this.peek(p.ParenthesisL)||this.peekIdent("not")?e.addChild(this._parseMediaCondition()):e.addChild(this._parseMediaFeature()),!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[],[p.CurlyL]);t=this.acceptIdent("and")||this.acceptIdent("or")}return this.finish(e)}_parseMediaFeature(){const e=[p.ParenthesisR],t=this.create(Hp);if(t.addChild(this._parseMediaFeatureName())){if(this.accept(p.Colon)){if(!t.addChild(this._parseMediaFeatureValue()))return this.finish(t,y.TermExpected,[],e)}else if(this._parseMediaFeatureRangeOperator()){if(!t.addChild(this._parseMediaFeatureValue()))return this.finish(t,y.TermExpected,[],e);if(this._parseMediaFeatureRangeOperator()&&!t.addChild(this._parseMediaFeatureValue()))return this.finish(t,y.TermExpected,[],e)}}else if(t.addChild(this._parseMediaFeatureValue())){if(!this._parseMediaFeatureRangeOperator())return this.finish(t,y.OperatorExpected,[],e);if(!t.addChild(this._parseMediaFeatureName()))return this.finish(t,y.IdentifierExpected,[],e);if(this._parseMediaFeatureRangeOperator()&&!t.addChild(this._parseMediaFeatureValue()))return this.finish(t,y.TermExpected,[],e)}else return this.finish(t,y.IdentifierExpected,[],e);return this.finish(t)}_parseMediaFeatureRangeOperator(){return this.acceptDelim("<")||this.acceptDelim(">")?(this.hasWhitespace()||this.acceptDelim("="),!0):!!this.acceptDelim("=")}_parseMediaFeatureName(){return this._parseIdent()}_parseMediaFeatureValue(){return this._parseRatio()||this._parseTermExpression()}_parseMedium(){const e=this.create(O);return e.addChild(this._parseIdent())?this.finish(e):null}_parsePageDeclaration(){return this._parsePageMarginBox()||this._parseRuleSetDeclaration()}_parsePage(){if(!this.peekKeyword("@page"))return null;const e=this.create(Gp);if(this.consumeToken(),e.addChild(this._parsePageSelector())){for(;this.accept(p.Comma);)if(!e.addChild(this._parsePageSelector()))return this.finish(e,y.IdentifierExpected)}return this._parseBody(e,this._parsePageDeclaration.bind(this))}_parsePageMarginBox(){if(!this.peek(p.AtKeyword))return null;const e=this.create(Jp);return this.acceptOneKeyword(Rm)||this.markError(e,y.UnknownAtRule,[],[p.CurlyL]),this._parseBody(e,this._parseRuleSetDeclaration.bind(this))}_parsePageSelector(){if(!this.peek(p.Ident)&&!this.peek(p.Colon))return null;const e=this.create(O);return e.addChild(this._parseIdent()),this.accept(p.Colon)&&!e.addChild(this._parseIdent())?this.finish(e,y.IdentifierExpected):this.finish(e)}_parseDocument(){if(!this.peekKeyword("@-moz-document"))return null;const e=this.create(Bp);return this.consumeToken(),this.resync([],[p.CurlyL]),this._parseBody(e,this._parseStylesheetStatement.bind(this))}_parseContainerDeclaration(e=!1){return e?this._tryParseRuleset(!0)||this._tryToParseDeclaration()||this._parseStylesheetStatement(!0):this._parseStylesheetStatement(!1)}_parseContainer(e=!1){if(!this.peekKeyword("@container"))return null;const t=this.create(qp);return this.consumeToken(),t.addChild(this._parseIdent()),t.addChild(this._parseContainerQuery()),this._parseBody(t,this._parseContainerDeclaration.bind(this,e))}_parseContainerQuery(){const e=this.create(O);if(this.acceptIdent("not"))e.addChild(this._parseContainerQueryInParens());else if(e.addChild(this._parseContainerQueryInParens()),this.peekIdent("and"))for(;this.acceptIdent("and");)e.addChild(this._parseContainerQueryInParens());else if(this.peekIdent("or"))for(;this.acceptIdent("or");)e.addChild(this._parseContainerQueryInParens());return this.finish(e)}_parseContainerQueryInParens(){const e=this.create(O);if(this.accept(p.ParenthesisL)){if(this.peekIdent("not")||this.peek(p.ParenthesisL)?e.addChild(this._parseContainerQuery()):e.addChild(this._parseMediaFeature()),!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[],[p.CurlyL])}else if(this.acceptIdent("style")){if(this.hasWhitespace()||!this.accept(p.ParenthesisL))return this.finish(e,y.LeftParenthesisExpected,[],[p.CurlyL]);if(e.addChild(this._parseStyleQuery()),!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[],[p.CurlyL])}else return this.finish(e,y.LeftParenthesisExpected,[],[p.CurlyL]);return this.finish(e)}_parseStyleQuery(){const e=this.create(O);if(this.acceptIdent("not"))e.addChild(this._parseStyleInParens());else if(this.peek(p.ParenthesisL)){if(e.addChild(this._parseStyleInParens()),this.peekIdent("and"))for(;this.acceptIdent("and");)e.addChild(this._parseStyleInParens());else if(this.peekIdent("or"))for(;this.acceptIdent("or");)e.addChild(this._parseStyleInParens())}else e.addChild(this._parseDeclaration([p.ParenthesisR]));return this.finish(e)}_parseStyleInParens(){const e=this.create(O);if(this.accept(p.ParenthesisL)){if(e.addChild(this._parseStyleQuery()),!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[],[p.CurlyL])}else return this.finish(e,y.LeftParenthesisExpected,[],[p.CurlyL]);return this.finish(e)}_parseUnknownAtRule(){if(!this.peek(p.AtKeyword))return null;const e=this.create(El);e.addChild(this._parseUnknownAtRuleName());const t=()=>r===0&&i===0&&s===0;let n=0,r=0,i=0,s=0;e:for(;;){switch(this.token.type){case p.SemiColon:if(t())break e;break;case p.EOF:return r>0?this.finish(e,y.RightCurlyExpected):s>0?this.finish(e,y.RightSquareBracketExpected):i>0?this.finish(e,y.RightParenthesisExpected):this.finish(e);case p.CurlyL:n++,r++;break;case p.CurlyR:if(r--,n>0&&r===0){if(this.consumeToken(),s>0)return this.finish(e,y.RightSquareBracketExpected);if(i>0)return this.finish(e,y.RightParenthesisExpected);break e}if(r<0){if(i===0&&s===0)break e;return this.finish(e,y.LeftCurlyExpected)}break;case p.ParenthesisL:i++;break;case p.ParenthesisR:if(i--,i<0)return this.finish(e,y.LeftParenthesisExpected);break;case p.BracketL:s++;break;case p.BracketR:if(s--,s<0)return this.finish(e,y.LeftSquareBracketExpected);break}this.consumeToken()}return e}_parseUnknownAtRuleName(){const e=this.create(O);return this.accept(p.AtKeyword)?this.finish(e):e}_parseOperator(){if(this.peekDelim("/")||this.peekDelim("*")||this.peekDelim("+")||this.peekDelim("-")||this.peek(p.Dashmatch)||this.peek(p.Includes)||this.peek(p.SubstringOperator)||this.peek(p.PrefixOperator)||this.peek(p.SuffixOperator)||this.peekDelim("=")){const e=this.createNode(b.Operator);return this.consumeToken(),this.finish(e)}else return null}_parseUnaryOperator(){if(!this.peekDelim("+")&&!this.peekDelim("-"))return null;const e=this.create(O);return this.consumeToken(),this.finish(e)}_parseCombinator(){if(this.peekDelim(">")){const e=this.create(O);this.consumeToken();const t=this.mark();if(!this.hasWhitespace()&&this.acceptDelim(">")){if(!this.hasWhitespace()&&this.acceptDelim(">"))return e.type=b.SelectorCombinatorShadowPiercingDescendant,this.finish(e);this.restoreAtMark(t)}return e.type=b.SelectorCombinatorParent,this.finish(e)}else if(this.peekDelim("+")){const e=this.create(O);return this.consumeToken(),e.type=b.SelectorCombinatorSibling,this.finish(e)}else if(this.peekDelim("~")){const e=this.create(O);return this.consumeToken(),e.type=b.SelectorCombinatorAllSiblings,this.finish(e)}else if(this.peekDelim("/")){const e=this.create(O);this.consumeToken();const t=this.mark();if(!this.hasWhitespace()&&this.acceptIdent("deep")&&!this.hasWhitespace()&&this.acceptDelim("/"))return e.type=b.SelectorCombinatorShadowPiercingDescendant,this.finish(e);this.restoreAtMark(t)}return null}_parseSimpleSelector(){const e=this.create(Jt);let t=0;for(e.addChild(this._parseElementName()||this._parseNestingSelector())&&t++;(t===0||!this.hasWhitespace())&&e.addChild(this._parseSimpleSelectorBody());)t++;return t>0?this.finish(e):null}_parseNestingSelector(){if(this.peekDelim("&")){const e=this.createNode(b.SelectorCombinator);return this.consumeToken(),this.finish(e)}return null}_parseSimpleSelectorBody(){return this._parsePseudo()||this._parseHash()||this._parseClass()||this._parseAttrib()}_parseSelectorIdent(){return this._parseIdent()}_parseHash(){if(!this.peek(p.Hash)&&!this.peekDelim("#"))return null;const e=this.createNode(b.IdentifierSelector);if(this.acceptDelim("#")){if(this.hasWhitespace()||!e.addChild(this._parseSelectorIdent()))return this.finish(e,y.IdentifierExpected)}else this.consumeToken();return this.finish(e)}_parseClass(){if(!this.peekDelim("."))return null;const e=this.createNode(b.ClassSelector);return this.consumeToken(),this.hasWhitespace()||!e.addChild(this._parseSelectorIdent())?this.finish(e,y.IdentifierExpected):this.finish(e)}_parseElementName(){const e=this.mark(),t=this.createNode(b.ElementNameSelector);return t.addChild(this._parseNamespacePrefix()),!t.addChild(this._parseSelectorIdent())&&!this.acceptDelim("*")?(this.restoreAtMark(e),null):this.finish(t)}_parseNamespacePrefix(){const e=this.mark(),t=this.createNode(b.NamespacePrefix);return!t.addChild(this._parseIdent())&&this.acceptDelim("*"),this.acceptDelim("|")?this.finish(t):(this.restoreAtMark(e),null)}_parseAttrib(){if(!this.peek(p.BracketL))return null;const e=this.create(Yp);return this.consumeToken(),e.setNamespacePrefix(this._parseNamespacePrefix()),e.setIdentifier(this._parseIdent())?(e.setOperator(this._parseOperator())&&(e.setValue(this._parseBinaryExpr()),this.acceptIdent("i"),this.acceptIdent("s")),this.accept(p.BracketR)?this.finish(e):this.finish(e,y.RightSquareBracketExpected)):this.finish(e,y.IdentifierExpected)}_parsePseudo(){const e=this._tryParsePseudoIdentifier();if(e){if(!this.hasWhitespace()&&this.accept(p.ParenthesisL)){const t=()=>{const r=this.create(O);if(!r.addChild(this._parseSelector(!0)))return null;for(;this.accept(p.Comma)&&r.addChild(this._parseSelector(!0)););return this.peek(p.ParenthesisR)?this.finish(r):null};if(!e.addChild(this.try(t))&&e.addChild(this._parseBinaryExpr())&&this.acceptIdent("of")&&!e.addChild(this.try(t)))return this.finish(e,y.SelectorExpected);if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected)}return this.finish(e)}return null}_tryParsePseudoIdentifier(){if(!this.peek(p.Colon))return null;const e=this.mark(),t=this.createNode(b.PseudoSelector);return this.consumeToken(),this.hasWhitespace()?(this.restoreAtMark(e),null):(this.accept(p.Colon),this.hasWhitespace()||!t.addChild(this._parseIdent())?this.finish(t,y.IdentifierExpected):this.finish(t))}_tryParsePrio(){const e=this.mark(),t=this._parsePrio();return t||(this.restoreAtMark(e),null)}_parsePrio(){if(!this.peek(p.Exclamation))return null;const e=this.createNode(b.Prio);return this.accept(p.Exclamation)&&this.acceptIdent("important")?this.finish(e):null}_parseExpr(e=!1){const t=this.create(kl);if(!t.addChild(this._parseBinaryExpr()))return null;for(;;){if(this.peek(p.Comma)){if(e)return this.finish(t);this.consumeToken()}if(!t.addChild(this._parseBinaryExpr()))break}return this.finish(t)}_parseUnicodeRange(){if(!this.peekIdent("u"))return null;const e=this.create(kp);return this.acceptUnicodeRange()?this.finish(e):null}_parseNamedLine(){if(!this.peek(p.BracketL))return null;const e=this.createNode(b.GridLine);for(this.consumeToken();e.addChild(this._parseIdent()););return this.accept(p.BracketR)?this.finish(e):this.finish(e,y.RightSquareBracketExpected)}_parseBinaryExpr(e,t){let n=this.create(Ui);if(!n.setLeft(e||this._parseTerm()))return null;if(!n.setOperator(t||this._parseOperator()))return this.finish(n);if(!n.setRight(this._parseTerm()))return this.finish(n,y.TermExpected);n=this.finish(n);const r=this._parseOperator();return r&&(n=this._parseBinaryExpr(n,r)),this.finish(n)}_parseTerm(){let e=this.create(Xp);return e.setOperator(this._parseUnaryOperator()),e.setExpression(this._parseTermExpression())?this.finish(e):null}_parseTermExpression(){return this._parseURILiteral()||this._parseUnicodeRange()||this._parseFunction()||this._parseIdent()||this._parseStringLiteral()||this._parseNumeric()||this._parseHexColor()||this._parseOperation()||this._parseNamedLine()}_parseOperation(){if(!this.peek(p.ParenthesisL))return null;const e=this.create(O);return this.consumeToken(),e.addChild(this._parseExpr()),this.accept(p.ParenthesisR)?this.finish(e):this.finish(e,y.RightParenthesisExpected)}_parseNumeric(){if(this.peek(p.Num)||this.peek(p.Percentage)||this.peek(p.Resolution)||this.peek(p.Length)||this.peek(p.EMS)||this.peek(p.EXS)||this.peek(p.Angle)||this.peek(p.Time)||this.peek(p.Dimension)||this.peek(p.ContainerQueryLength)||this.peek(p.Freq)){const e=this.create($i);return this.consumeToken(),this.finish(e)}return null}_parseStringLiteral(){if(!this.peek(p.String)&&!this.peek(p.BadString))return null;const e=this.createNode(b.StringLiteral);return this.consumeToken(),this.finish(e)}_parseURILiteral(){if(!this.peekRegExp(p.Ident,/^url(-prefix)?$/i))return null;const e=this.mark(),t=this.createNode(b.URILiteral);return this.accept(p.Ident),this.hasWhitespace()||!this.peek(p.ParenthesisL)?(this.restoreAtMark(e),null):(this.scanner.inURL=!0,this.consumeToken(),t.addChild(this._parseURLArgument()),this.scanner.inURL=!1,this.accept(p.ParenthesisR)?this.finish(t):this.finish(t,y.RightParenthesisExpected))}_parseURLArgument(){const e=this.create(O);return!this.accept(p.String)&&!this.accept(p.BadString)&&!this.acceptUnquotedString()?null:this.finish(e)}_parseIdent(e){if(!this.peek(p.Ident))return null;const t=this.create(Me);return e&&(t.referenceTypes=e),t.isCustomProperty=this.peekRegExp(p.Ident,/^--/),this.consumeToken(),this.finish(t)}_parseFunction(){const e=this.mark(),t=this.create(_n);if(!t.setIdentifier(this._parseFunctionIdentifier()))return null;if(this.hasWhitespace()||!this.accept(p.ParenthesisL))return this.restoreAtMark(e),null;if(t.getArguments().addChild(this._parseFunctionArgument()))for(;this.accept(p.Comma)&&!this.peek(p.ParenthesisR);)t.getArguments().addChild(this._parseFunctionArgument())||this.markError(t,y.ExpressionExpected);return this.accept(p.ParenthesisR)?this.finish(t):this.finish(t,y.RightParenthesisExpected)}_parseFunctionIdentifier(){if(!this.peek(p.Ident))return null;const e=this.create(Me);if(e.referenceTypes=[J.Function],this.acceptIdent("progid")){if(this.accept(p.Colon))for(;this.accept(p.Ident)&&this.acceptDelim("."););return this.finish(e)}return this.consumeToken(),this.finish(e)}_parseFunctionArgument(){const e=this.create(Xt);return e.setValue(this._parseExpr(!0))?this.finish(e):null}_parseHexColor(){if(this.peekRegExp(p.Hash,/^#([A-Fa-f0-9]{3}|[A-Fa-f0-9]{4}|[A-Fa-f0-9]{6}|[A-Fa-f0-9]{8})$/g)){const e=this.create(Vi);return this.consumeToken(),this.finish(e)}else return null}};function Nm(e,t){let n=0,r=e.length;if(r===0)return 0;for(;n<r;){let i=Math.floor((n+r)/2);t(e[i])?r=i:n=i+1}return n}function qc(e,t){return e.indexOf(t)!==-1}function _r(...e){const t=[];for(const n of e)for(const r of n)qc(t,r)||t.push(r);return t}var jc=class{constructor(e,t){this.offset=e,this.length=t,this.symbols=[],this.parent=null,this.children=[]}addChild(e){this.children.push(e),e.setParent(this)}setParent(e){this.parent=e}findScope(e,t=0){return this.offset<=e&&this.offset+this.length>e+t||this.offset===e&&this.length===t?this.findInScope(e,t):null}findInScope(e,t=0){const n=e+t,r=Nm(this.children,s=>s.offset>n);if(r===0)return this;const i=this.children[r-1];return i.offset<=e&&i.offset+i.length>=e+t?i.findInScope(e,t):this}addSymbol(e){this.symbols.push(e)}getSymbol(e,t){for(let n=0;n<this.symbols.length;n++){const r=this.symbols[n];if(r.name===e&&r.type===t)return r}return null}getSymbols(){return this.symbols}},Dm=class extends jc{constructor(){super(0,Number.MAX_VALUE)}},kr=class{constructor(e,t,n,r){this.name=e,this.value=t,this.node=n,this.type=r}},Im=class{constructor(e){this.scope=e}addSymbol(e,t,n,r){if(e.offset!==-1){const i=this.scope.findScope(e.offset,e.length);i&&i.addSymbol(new kr(t,n,e,r))}}addScope(e){if(e.offset!==-1){const t=this.scope.findScope(e.offset,e.length);if(t&&(t.offset!==e.offset||t.length!==e.length)){const n=new jc(e.offset,e.length);return t.addChild(n),n}return t}return null}addSymbolToChildScope(e,t,n,r,i){if(e&&e.offset!==-1){const s=this.addScope(e);s&&s.addSymbol(new kr(n,r,t,i))}}visitNode(e){switch(e.type){case b.Keyframe:return this.addSymbol(e,e.getName(),void 0,J.Keyframe),!0;case b.CustomPropertyDeclaration:return this.visitCustomPropertyDeclarationNode(e);case b.VariableDeclaration:return this.visitVariableDeclarationNode(e);case b.Ruleset:return this.visitRuleSet(e);case b.MixinDeclaration:return this.addSymbol(e,e.getName(),void 0,J.Mixin),!0;case b.FunctionDeclaration:return this.addSymbol(e,e.getName(),void 0,J.Function),!0;case b.FunctionParameter:return this.visitFunctionParameterNode(e);case b.Declarations:return this.addScope(e),!0;case b.For:const t=e,n=t.getDeclarations();return n&&t.variable&&this.addSymbolToChildScope(n,t.variable,t.variable.getName(),void 0,J.Variable),!0;case b.Each:{const r=e,i=r.getDeclarations();if(i){const s=r.getVariables().getChildren();for(const a of s)this.addSymbolToChildScope(i,a,a.getName(),void 0,J.Variable)}return!0}}return!0}visitRuleSet(e){const t=this.scope.findScope(e.offset,e.length);if(t)for(const n of e.getSelectors().getChildren())n instanceof Cn&&n.getChildren().length===1&&t.addSymbol(new kr(n.getChild(0).getText(),void 0,n,J.Rule));return!0}visitVariableDeclarationNode(e){const t=e.getValue()?e.getValue().getText():void 0;return this.addSymbol(e,e.getName(),t,J.Variable),!0}visitFunctionParameterNode(e){const t=e.getParent().getDeclarations();if(t){const n=e.getDefaultValue(),r=n?n.getText():void 0;this.addSymbolToChildScope(t,e,e.getName(),r,J.Variable)}return!0}visitCustomPropertyDeclarationNode(e){const t=e.getValue()?e.getValue().getText():"";return this.addCSSVariable(e.getProperty(),e.getProperty().getName(),t,J.Variable),!0}addCSSVariable(e,t,n,r){e.offset!==-1&&this.scope.addSymbol(new kr(t,n,e,r))}},ls=class{constructor(e){this.global=new Dm,e.acceptVisitor(new Im(this.global))}findSymbolsAtOffset(e,t){let n=this.global.findScope(e,0);const r=[],i={};for(;n;){const s=n.getSymbols();for(let a=0;a<s.length;a++){const l=s[a];l.type===t&&!i[l.name]&&(r.push(l),i[l.name]=!0)}n=n.parent}return r}internalFindSymbol(e,t){let n=e;if(e.parent instanceof lr&&e.parent.getParent()instanceof se&&(n=e.parent.getParent().getDeclarations()),e.parent instanceof Xt&&e.parent.getParent()instanceof _n){const s=e.parent.getParent().getIdentifier();if(s){const a=this.internalFindSymbol(s,[J.Function]);a&&(n=a.node.getDeclarations())}}if(!n)return null;const r=e.getText();let i=this.global.findScope(n.offset,n.length);for(;i;){for(let s=0;s<t.length;s++){const a=t[s],l=i.getSymbol(r,a);if(l)return l}i=i.parent}return null}evaluateReferenceTypes(e){if(e instanceof Me){const n=e.referenceTypes;if(n)return n;{if(e.isCustomProperty)return[J.Variable];const r=_p(e);if(r){const i=r.getNonPrefixedPropertyName();if((i==="animation"||i==="animation-name")&&r.getValue()&&r.getValue().offset===e.offset)return[J.Keyframe]}}}else if(e instanceof qi)return[J.Variable];return e.findAParent(b.Selector,b.ExtendsReference)?[J.Rule]:null}findSymbolFromNode(e){if(!e)return null;for(;e.type===b.Interpolation;)e=e.getParent();const t=this.evaluateReferenceTypes(e);return t?this.internalFindSymbol(e,t):null}matchesSymbol(e,t){if(!e)return!1;for(;e.type===b.Interpolation;)e=e.getParent();if(!e.matches(t.name))return!1;const n=this.evaluateReferenceTypes(e);return!n||n.indexOf(t.type)===-1?!1:this.internalFindSymbol(e,n)===t}findSymbol(e,t,n){let r=this.global.findScope(n);for(;r;){const i=r.getSymbol(e,t);if(i)return i;r=r.parent}return null}},Hc;(()=>{var e={470:i=>{function s(o){if(typeof o!="string")throw new TypeError("Path must be a string. Received "+JSON.stringify(o))}function a(o,c){for(var d,u="",f=0,m=-1,v=0,w=0;w<=o.length;++w){if(w<o.length)d=o.charCodeAt(w);else{if(d===47)break;d=47}if(d===47){if(!(m===w-1||v===1))if(m!==w-1&&v===2){if(u.length<2||f!==2||u.charCodeAt(u.length-1)!==46||u.charCodeAt(u.length-2)!==46){if(u.length>2){var k=u.lastIndexOf("/");if(k!==u.length-1){k===-1?(u="",f=0):f=(u=u.slice(0,k)).length-1-u.lastIndexOf("/"),m=w,v=0;continue}}else if(u.length===2||u.length===1){u="",f=0,m=w,v=0;continue}}c&&(u.length>0?u+="/..":u="..",f=2)}else u.length>0?u+="/"+o.slice(m+1,w):u=o.slice(m+1,w),f=w-m-1;m=w,v=0}else d===46&&v!==-1?++v:v=-1}return u}var l={resolve:function(){for(var o,c="",d=!1,u=arguments.length-1;u>=-1&&!d;u--){var f;u>=0?f=arguments[u]:(o===void 0&&(o=process.cwd()),f=o),s(f),f.length!==0&&(c=f+"/"+c,d=f.charCodeAt(0)===47)}return c=a(c,!d),d?c.length>0?"/"+c:"/":c.length>0?c:"."},normalize:function(o){if(s(o),o.length===0)return".";var c=o.charCodeAt(0)===47,d=o.charCodeAt(o.length-1)===47;return(o=a(o,!c)).length!==0||c||(o="."),o.length>0&&d&&(o+="/"),c?"/"+o:o},isAbsolute:function(o){return s(o),o.length>0&&o.charCodeAt(0)===47},join:function(){if(arguments.length===0)return".";for(var o,c=0;c<arguments.length;++c){var d=arguments[c];s(d),d.length>0&&(o===void 0?o=d:o+="/"+d)}return o===void 0?".":l.normalize(o)},relative:function(o,c){if(s(o),s(c),o===c||(o=l.resolve(o))===(c=l.resolve(c)))return"";for(var d=1;d<o.length&&o.charCodeAt(d)===47;++d);for(var u=o.length,f=u-d,m=1;m<c.length&&c.charCodeAt(m)===47;++m);for(var v=c.length-m,w=f<v?f:v,k=-1,R=0;R<=w;++R){if(R===w){if(v>w){if(c.charCodeAt(m+R)===47)return c.slice(m+R+1);if(R===0)return c.slice(m+R)}else f>w&&(o.charCodeAt(d+R)===47?k=R:R===0&&(k=0));break}var I=o.charCodeAt(d+R);if(I!==c.charCodeAt(m+R))break;I===47&&(k=R)}var N="";for(R=d+k+1;R<=u;++R)R!==u&&o.charCodeAt(R)!==47||(N.length===0?N+="..":N+="/..");return N.length>0?N+c.slice(m+k):(m+=k,c.charCodeAt(m)===47&&++m,c.slice(m))},_makeLong:function(o){return o},dirname:function(o){if(s(o),o.length===0)return".";for(var c=o.charCodeAt(0),d=c===47,u=-1,f=!0,m=o.length-1;m>=1;--m)if((c=o.charCodeAt(m))===47){if(!f){u=m;break}}else f=!1;return u===-1?d?"/":".":d&&u===1?"//":o.slice(0,u)},basename:function(o,c){if(c!==void 0&&typeof c!="string")throw new TypeError('"ext" argument must be a string');s(o);var d,u=0,f=-1,m=!0;if(c!==void 0&&c.length>0&&c.length<=o.length){if(c.length===o.length&&c===o)return"";var v=c.length-1,w=-1;for(d=o.length-1;d>=0;--d){var k=o.charCodeAt(d);if(k===47){if(!m){u=d+1;break}}else w===-1&&(m=!1,w=d+1),v>=0&&(k===c.charCodeAt(v)?--v==-1&&(f=d):(v=-1,f=w))}return u===f?f=w:f===-1&&(f=o.length),o.slice(u,f)}for(d=o.length-1;d>=0;--d)if(o.charCodeAt(d)===47){if(!m){u=d+1;break}}else f===-1&&(m=!1,f=d+1);return f===-1?"":o.slice(u,f)},extname:function(o){s(o);for(var c=-1,d=0,u=-1,f=!0,m=0,v=o.length-1;v>=0;--v){var w=o.charCodeAt(v);if(w!==47)u===-1&&(f=!1,u=v+1),w===46?c===-1?c=v:m!==1&&(m=1):c!==-1&&(m=-1);else if(!f){d=v+1;break}}return c===-1||u===-1||m===0||m===1&&c===u-1&&c===d+1?"":o.slice(c,u)},format:function(o){if(o===null||typeof o!="object")throw new TypeError('The "pathObject" argument must be of type Object. Received type '+typeof o);return function(c,d){var u=d.dir||d.root,f=d.base||(d.name||"")+(d.ext||"");return u?u===d.root?u+f:u+"/"+f:f}(0,o)},parse:function(o){s(o);var c={root:"",dir:"",base:"",ext:"",name:""};if(o.length===0)return c;var d,u=o.charCodeAt(0),f=u===47;f?(c.root="/",d=1):d=0;for(var m=-1,v=0,w=-1,k=!0,R=o.length-1,I=0;R>=d;--R)if((u=o.charCodeAt(R))!==47)w===-1&&(k=!1,w=R+1),u===46?m===-1?m=R:I!==1&&(I=1):m!==-1&&(I=-1);else if(!k){v=R+1;break}return m===-1||w===-1||I===0||I===1&&m===w-1&&m===v+1?w!==-1&&(c.base=c.name=v===0&&f?o.slice(1,w):o.slice(v,w)):(v===0&&f?(c.name=o.slice(1,m),c.base=o.slice(1,w)):(c.name=o.slice(v,m),c.base=o.slice(v,w)),c.ext=o.slice(m,w)),v>0?c.dir=o.slice(0,v-1):f&&(c.dir="/"),c},sep:"/",delimiter:":",win32:null,posix:null};l.posix=l,i.exports=l}},t={};function n(i){var s=t[i];if(s!==void 0)return s.exports;var a=t[i]={exports:{}};return e[i](a,a.exports,n),a.exports}n.d=(i,s)=>{for(var a in s)n.o(s,a)&&!n.o(i,a)&&Object.defineProperty(i,a,{enumerable:!0,get:s[a]})},n.o=(i,s)=>Object.prototype.hasOwnProperty.call(i,s),n.r=i=>{typeof Symbol<"u"&&Symbol.toStringTag&&Object.defineProperty(i,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(i,"__esModule",{value:!0})};var r={};(()=>{let i;n.r(r),n.d(r,{URI:()=>f,Utils:()=>ee}),typeof process=="object"?i=process.platform==="win32":typeof navigator=="object"&&(i=navigator.userAgent.indexOf("Windows")>=0);const s=/^\w[\w\d+.-]*$/,a=/^\//,l=/^\/\//;function o(A,_){if(!A.scheme&&_)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${A.authority}", path: "${A.path}", query: "${A.query}", fragment: "${A.fragment}"}`);if(A.scheme&&!s.test(A.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(A.path){if(A.authority){if(!a.test(A.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if(l.test(A.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}const c="",d="/",u=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;class f{constructor(_,C,S,z,D,L=!1){_t(this,"scheme");_t(this,"authority");_t(this,"path");_t(this,"query");_t(this,"fragment");typeof _=="object"?(this.scheme=_.scheme||c,this.authority=_.authority||c,this.path=_.path||c,this.query=_.query||c,this.fragment=_.fragment||c):(this.scheme=function(U,$){return U||$?U:"file"}(_,L),this.authority=C||c,this.path=function(U,$){switch(U){case"https":case"http":case"file":$?$[0]!==d&&($=d+$):$=d}return $}(this.scheme,S||c),this.query=z||c,this.fragment=D||c,o(this,L))}static isUri(_){return _ instanceof f||!!_&&typeof _.authority=="string"&&typeof _.fragment=="string"&&typeof _.path=="string"&&typeof _.query=="string"&&typeof _.scheme=="string"&&typeof _.fsPath=="string"&&typeof _.with=="function"&&typeof _.toString=="function"}get fsPath(){return I(this)}with(_){if(!_)return this;let{scheme:C,authority:S,path:z,query:D,fragment:L}=_;return C===void 0?C=this.scheme:C===null&&(C=c),S===void 0?S=this.authority:S===null&&(S=c),z===void 0?z=this.path:z===null&&(z=c),D===void 0?D=this.query:D===null&&(D=c),L===void 0?L=this.fragment:L===null&&(L=c),C===this.scheme&&S===this.authority&&z===this.path&&D===this.query&&L===this.fragment?this:new v(C,S,z,D,L)}static parse(_,C=!1){const S=u.exec(_);return S?new v(S[2]||c,M(S[4]||c),M(S[5]||c),M(S[7]||c),M(S[9]||c),C):new v(c,c,c,c,c)}static file(_){let C=c;if(i&&(_=_.replace(/\\/g,d)),_[0]===d&&_[1]===d){const S=_.indexOf(d,2);S===-1?(C=_.substring(2),_=d):(C=_.substring(2,S),_=_.substring(S)||d)}return new v("file",C,_,c,c)}static from(_){const C=new v(_.scheme,_.authority,_.path,_.query,_.fragment);return o(C,!0),C}toString(_=!1){return N(this,_)}toJSON(){return this}static revive(_){if(_){if(_ instanceof f)return _;{const C=new v(_);return C._formatted=_.external,C._fsPath=_._sep===m?_.fsPath:null,C}}return _}}const m=i?1:void 0;class v extends f{constructor(){super(...arguments);_t(this,"_formatted",null);_t(this,"_fsPath",null)}get fsPath(){return this._fsPath||(this._fsPath=I(this)),this._fsPath}toString(C=!1){return C?N(this,!0):(this._formatted||(this._formatted=N(this,!1)),this._formatted)}toJSON(){const C={$mid:1};return this._fsPath&&(C.fsPath=this._fsPath,C._sep=m),this._formatted&&(C.external=this._formatted),this.path&&(C.path=this.path),this.scheme&&(C.scheme=this.scheme),this.authority&&(C.authority=this.authority),this.query&&(C.query=this.query),this.fragment&&(C.fragment=this.fragment),C}}const w={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function k(A,_,C){let S,z=-1;for(let D=0;D<A.length;D++){const L=A.charCodeAt(D);if(L>=97&&L<=122||L>=65&&L<=90||L>=48&&L<=57||L===45||L===46||L===95||L===126||_&&L===47||C&&L===91||C&&L===93||C&&L===58)z!==-1&&(S+=encodeURIComponent(A.substring(z,D)),z=-1),S!==void 0&&(S+=A.charAt(D));else{S===void 0&&(S=A.substr(0,D));const U=w[L];U!==void 0?(z!==-1&&(S+=encodeURIComponent(A.substring(z,D)),z=-1),S+=U):z===-1&&(z=D)}}return z!==-1&&(S+=encodeURIComponent(A.substring(z))),S!==void 0?S:A}function R(A){let _;for(let C=0;C<A.length;C++){const S=A.charCodeAt(C);S===35||S===63?(_===void 0&&(_=A.substr(0,C)),_+=w[S]):_!==void 0&&(_+=A[C])}return _!==void 0?_:A}function I(A,_){let C;return C=A.authority&&A.path.length>1&&A.scheme==="file"?`//${A.authority}${A.path}`:A.path.charCodeAt(0)===47&&(A.path.charCodeAt(1)>=65&&A.path.charCodeAt(1)<=90||A.path.charCodeAt(1)>=97&&A.path.charCodeAt(1)<=122)&&A.path.charCodeAt(2)===58?A.path[1].toLowerCase()+A.path.substr(2):A.path,i&&(C=C.replace(/\//g,"\\")),C}function N(A,_){const C=_?R:k;let S="",{scheme:z,authority:D,path:L,query:U,fragment:$}=A;if(z&&(S+=z,S+=":"),(D||z==="file")&&(S+=d,S+=d),D){let q=D.indexOf("@");if(q!==-1){const ae=D.substr(0,q);D=D.substr(q+1),q=ae.lastIndexOf(":"),q===-1?S+=C(ae,!1,!1):(S+=C(ae.substr(0,q),!1,!1),S+=":",S+=C(ae.substr(q+1),!1,!0)),S+="@"}D=D.toLowerCase(),q=D.lastIndexOf(":"),q===-1?S+=C(D,!1,!0):(S+=C(D.substr(0,q),!1,!0),S+=D.substr(q))}if(L){if(L.length>=3&&L.charCodeAt(0)===47&&L.charCodeAt(2)===58){const q=L.charCodeAt(1);q>=65&&q<=90&&(L=`/${String.fromCharCode(q+32)}:${L.substr(3)}`)}else if(L.length>=2&&L.charCodeAt(1)===58){const q=L.charCodeAt(0);q>=65&&q<=90&&(L=`${String.fromCharCode(q+32)}:${L.substr(2)}`)}S+=C(L,!0,!1)}return U&&(S+="?",S+=C(U,!1,!1)),$&&(S+="#",S+=_?$:k($,!1,!1)),S}function E(A){try{return decodeURIComponent(A)}catch{return A.length>3?A.substr(0,3)+E(A.substr(3)):A}}const F=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function M(A){return A.match(F)?A.replace(F,_=>E(_)):A}var T=n(470);const P=T.posix||T,j="/";var ee;(function(A){A.joinPath=function(_,...C){return _.with({path:P.join(_.path,...C)})},A.resolvePath=function(_,...C){let S=_.path,z=!1;S[0]!==j&&(S=j+S,z=!0);let D=P.resolve(S,...C);return z&&D[0]===j&&!_.authority&&(D=D.substring(1)),_.with({path:D})},A.dirname=function(_){if(_.path.length===0||_.path===j)return _;let C=P.dirname(_.path);return C.length===1&&C.charCodeAt(0)===46&&(C=""),_.with({path:C})},A.basename=function(_){return P.basename(_.path)},A.extname=function(_){return P.extname(_.path)}})(ee||(ee={}))})(),Hc=r})();var{URI:cs,Utils:yt}=Hc;function hs(e){return yt.dirname(cs.parse(e)).toString(!0)}function Kt(e,...t){return yt.joinPath(cs.parse(e),...t).toString(!0)}var Am=class{constructor(e){this.readDirectory=e,this.literalCompletions=[],this.importCompletions=[]}onCssURILiteralValue(e){this.literalCompletions.push(e)}onCssImportPath(e){this.importCompletions.push(e)}async computeCompletions(e,t){const n={items:[],isIncomplete:!1};for(const r of this.literalCompletions){const i=r.uriValue,s=ds(i);if(s==="."||s==="..")n.isIncomplete=!0;else{const a=await this.providePathSuggestions(i,r.position,r.range,e,t);for(let l of a)n.items.push(l)}}for(const r of this.importCompletions){const i=r.pathValue,s=ds(i);if(s==="."||s==="..")n.isIncomplete=!0;else{let a=await this.providePathSuggestions(i,r.position,r.range,e,t);e.languageId==="scss"&&a.forEach(l=>{pe(l.label,"_")&&gl(l.label,".scss")&&(l.textEdit?l.textEdit.newText=l.label.slice(1,-5):l.label=l.label.slice(1,-5))});for(let l of a)n.items.push(l)}}return n}async providePathSuggestions(e,t,n,r,i){const s=ds(e),a=pe(e,"'")||pe(e,'"'),l=a?s.slice(0,t.character-(n.start.character+1)):s.slice(0,t.character-n.start.character),o=r.uri,c=a?Pm(n,1,-1):n,d=Lm(l,s,c),u=l.substring(0,l.lastIndexOf("/")+1);let f=i.resolveReference(u||".",o);if(f)try{const m=[],v=await this.readDirectory(f);for(const[w,k]of v)w.charCodeAt(0)!==Mm&&(k===Dn.Directory||Kt(f,w)!==o)&&m.push(zm(w,k===Dn.Directory,d));return m}catch{}return[]}},Mm=46;function ds(e){return pe(e,"'")||pe(e,'"')?e.slice(1,-1):e}function Lm(e,t,n){let r;const i=e.lastIndexOf("/");if(i===-1)r=n;else{const s=t.slice(i+1),a=Fr(n.end,-s.length),l=s.indexOf(" ");let o;l!==-1?o=Fr(a,l):o=n.end,r=X.create(a,o)}return r}function zm(e,t,n){return t?(e=e+"/",{label:Er(e),kind:V.Folder,textEdit:B.replace(n,Er(e)),command:{title:"Suggest",command:"editor.action.triggerSuggest"}}):{label:Er(e),kind:V.File,textEdit:B.replace(n,Er(e))}}function Er(e){return e.replace(/(\s|\(|\)|,|"|')/g,"\\$1")}function Fr(e,t){return Se.create(e.line,e.character+t)}function Pm(e,t,n){const r=Fr(e.start,t),i=Fr(e.end,n);return X.create(r,i)}var rt=Ne.Snippet,Gc={title:"Suggest",command:"editor.action.triggerSuggest"},Ge;(function(e){e.Enums=" ",e.Normal="d",e.VendorPrefixed="x",e.Term="y",e.Variable="z"})(Ge||(Ge={}));var us=class{constructor(e=null,t,n){this.variablePrefix=e,this.lsOptions=t,this.cssDataManager=n,this.completionParticipants=[]}configure(e){this.defaultSettings=e}getSymbolContext(){return this.symbolContext||(this.symbolContext=new ls(this.styleSheet)),this.symbolContext}setCompletionParticipants(e){this.completionParticipants=e||[]}async doComplete2(e,t,n,r,i=this.defaultSettings){if(!this.lsOptions.fileSystemProvider||!this.lsOptions.fileSystemProvider.readDirectory)return this.doComplete(e,t,n,i);const s=new Am(this.lsOptions.fileSystemProvider.readDirectory),a=this.completionParticipants;this.completionParticipants=[s].concat(a);const l=this.doComplete(e,t,n,i);try{const o=await s.computeCompletions(e,r);return{isIncomplete:l.isIncomplete||o.isIncomplete,itemDefaults:l.itemDefaults,items:o.items.concat(l.items)}}finally{this.completionParticipants=a}}doComplete(e,t,n,r){this.offset=e.offsetAt(t),this.position=t,this.currentWord=Um(e,this.offset),this.defaultReplaceRange=X.create(Se.create(this.position.line,this.position.character-this.currentWord.length),this.position),this.textDocument=e,this.styleSheet=n,this.documentSettings=r;try{const i={isIncomplete:!1,itemDefaults:{editRange:{start:{line:t.line,character:t.character-this.currentWord.length},end:t}},items:[]};this.nodePath=Mi(this.styleSheet,this.offset);for(let s=this.nodePath.length-1;s>=0;s--){const a=this.nodePath[s];if(a instanceof Pi)this.getCompletionsForDeclarationProperty(a.getParent(),i);else if(a instanceof kl)a.parent instanceof Bi?this.getVariableProposals(null,i):this.getCompletionsForExpression(a,i);else if(a instanceof Jt){const l=a.findAParent(b.ExtendsReference,b.Ruleset);if(l)if(l.type===b.ExtendsReference)this.getCompletionsForExtendsReference(l,a,i);else{const o=l;this.getCompletionsForSelector(o,o&&o.isNested(),i)}}else if(a instanceof Xt)this.getCompletionsForFunctionArgument(a,a.getParent(),i);else if(a instanceof Li)this.getCompletionsForDeclarations(a,i);else if(a instanceof hr)this.getCompletionsForVariableDeclaration(a,i);else if(a instanceof Ft)this.getCompletionsForRuleSet(a,i);else if(a instanceof Bi)this.getCompletionsForInterpolation(a,i);else if(a instanceof cr)this.getCompletionsForFunctionDeclaration(a,i);else if(a instanceof dr)this.getCompletionsForMixinReference(a,i);else if(a instanceof _n)this.getCompletionsForFunctionArgument(null,a,i);else if(a instanceof Wi)this.getCompletionsForSupports(a,i);else if(a instanceof kn)this.getCompletionsForSupportsCondition(a,i);else if(a instanceof En)this.getCompletionsForExtendsReference(a,null,i);else if(a.type===b.URILiteral)this.getCompletionForUriLiteralValue(a,i);else if(a.parent===null)this.getCompletionForTopLevel(i);else if(a.type===b.StringLiteral&&this.isImportPathParent(a.parent.type))this.getCompletionForImportPath(a,i);else continue;if(i.items.length>0||this.offset>a.offset)return this.finalize(i)}return this.getCompletionsForStylesheet(i),i.items.length===0&&this.variablePrefix&&this.currentWord.indexOf(this.variablePrefix)===0&&this.getVariableProposals(null,i),this.finalize(i)}finally{this.position=null,this.currentWord=null,this.textDocument=null,this.styleSheet=null,this.symbolContext=null,this.defaultReplaceRange=null,this.nodePath=null}}isImportPathParent(e){return e===b.Import}finalize(e){return e}findInNodePath(...e){for(let t=this.nodePath.length-1;t>=0;t--){const n=this.nodePath[t];if(e.indexOf(n.type)!==-1)return n}return null}getCompletionsForDeclarationProperty(e,t){return this.getPropertyProposals(e,t)}getPropertyProposals(e,t){const n=this.isTriggerPropertyValueCompletionEnabled,r=this.isCompletePropertyWithSemicolonEnabled;return this.cssDataManager.getProperties().forEach(s=>{let a,l,o=!1;e?(a=this.getCompletionRange(e.getProperty()),l=s.name,Le(e.colonPosition)||(l+=": ",o=!0)):(a=this.getCompletionRange(null),l=s.name+": ",o=!0),!e&&r&&(l+="$0;"),e&&!e.semicolonPosition&&r&&this.offset>=this.textDocument.offsetAt(a.end)&&(l+="$0;");const c={label:s.name,documentation:vt(s,this.doesSupportMarkdown()),tags:In(s)?[Nt.Deprecated]:[],textEdit:B.replace(a,l),insertTextFormat:Ne.Snippet,kind:V.Property};s.restrictions||(o=!1),n&&o&&(c.command=Gc);const u=(255-(typeof s.relevance=="number"?Math.min(Math.max(s.relevance,0),99):50)).toString(16),f=pe(s.name,"-")?Ge.VendorPrefixed:Ge.Normal;c.sortText=f+"_"+u,t.items.push(c)}),this.completionParticipants.forEach(s=>{s.onCssProperty&&s.onCssProperty({propertyName:this.currentWord,range:this.defaultReplaceRange})}),t}get isTriggerPropertyValueCompletionEnabled(){var e;return((e=this.documentSettings)==null?void 0:e.triggerPropertyValueCompletion)??!0}get isCompletePropertyWithSemicolonEnabled(){var e;return((e=this.documentSettings)==null?void 0:e.completePropertyWithSemicolon)??!0}getCompletionsForDeclarationValue(e,t){const n=e.getFullPropertyName(),r=this.cssDataManager.getProperty(n);let i=e.getValue()||null;for(;i&&i.hasChildren();)i=i.findChildAtOffset(this.offset,!1);if(this.completionParticipants.forEach(s=>{s.onCssPropertyValue&&s.onCssPropertyValue({propertyName:n,propertyValue:this.currentWord,range:this.getCompletionRange(i)})}),r){if(r.restrictions)for(const s of r.restrictions)switch(s){case"color":this.getColorProposals(r,i,t);break;case"position":this.getPositionProposals(r,i,t);break;case"repeat":this.getRepeatStyleProposals(r,i,t);break;case"line-style":this.getLineStyleProposals(r,i,t);break;case"line-width":this.getLineWidthProposals(r,i,t);break;case"geometry-box":this.getGeometryBoxProposals(r,i,t);break;case"box":this.getBoxProposals(r,i,t);break;case"image":this.getImageProposals(r,i,t);break;case"timing-function":this.getTimingFunctionProposals(r,i,t);break;case"shape":this.getBasicShapeProposals(r,i,t);break}this.getValueEnumProposals(r,i,t),this.getCSSWideKeywordProposals(r,i,t),this.getUnitProposals(r,i,t)}else{const s=Tm(this.styleSheet,e);for(const a of s.getEntries())t.items.push({label:a,textEdit:B.replace(this.getCompletionRange(i),a),kind:V.Value})}return this.getVariableProposals(i,t),this.getTermProposals(r,i,t),t}getValueEnumProposals(e,t,n){if(e.values)for(const r of e.values){let i=r.name,s;if(gl(i,")")){const o=i.lastIndexOf("(");o!==-1&&(i=i.substring(0,o+1)+"$1"+i.substring(o+1),s=rt)}let a=Ge.Enums;pe(r.name,"-")&&(a+=Ge.VendorPrefixed);const l={label:r.name,documentation:vt(r,this.doesSupportMarkdown()),tags:In(e)?[Nt.Deprecated]:[],textEdit:B.replace(this.getCompletionRange(t),i),sortText:a,kind:V.Value,insertTextFormat:s};n.items.push(l)}return n}getCSSWideKeywordProposals(e,t,n){for(const r in Oc)n.items.push({label:r,documentation:Oc[r],textEdit:B.replace(this.getCompletionRange(t),r),kind:V.Value});for(const r in Wc){const i=Zt(r);n.items.push({label:r,documentation:Wc[r],textEdit:B.replace(this.getCompletionRange(t),i),kind:V.Function,insertTextFormat:rt,command:pe(r,"var")?Gc:void 0})}return n}getCompletionsForInterpolation(e,t){return this.offset>=e.offset+2&&this.getVariableProposals(null,t),t}getVariableProposals(e,t){const n=this.getSymbolContext().findSymbolsAtOffset(this.offset,J.Variable);for(const r of n){const i=pe(r.name,"--")?`var(${r.name})`:r.name,s={label:r.name,documentation:r.value?bl(r.value):r.value,textEdit:B.replace(this.getCompletionRange(e),i),kind:V.Variable,sortText:Ge.Variable};if(typeof s.documentation=="string"&&Rc(s.documentation)&&(s.kind=V.Color),r.node.type===b.FunctionParameter){const a=r.node.getParent();a.type===b.MixinDeclaration&&(s.detail=g("argument from '{0}'",a.getName()))}t.items.push(s)}return t}getVariableProposalsForCSSVarFunction(e){const t=new ps;this.styleSheet.acceptVisitor(new Wm(t,this.offset));let n=this.getSymbolContext().findSymbolsAtOffset(this.offset,J.Variable);for(const r of n){if(pe(r.name,"--")){const i={label:r.name,documentation:r.value?bl(r.value):r.value,textEdit:B.replace(this.getCompletionRange(null),r.name),kind:V.Variable};typeof i.documentation=="string"&&Rc(i.documentation)&&(i.kind=V.Color),e.items.push(i)}t.remove(r.name)}for(const r of t.getEntries())if(pe(r,"--")){const i={label:r,textEdit:B.replace(this.getCompletionRange(null),r),kind:V.Variable};e.items.push(i)}return e}getUnitProposals(e,t,n){let r="0";if(this.currentWord.length>0){const i=this.currentWord.match(/^-?\d[\.\d+]*/);i&&(r=i[0],n.isIncomplete=r.length===this.currentWord.length)}else this.currentWord.length===0&&(n.isIncomplete=!0);if(t&&t.parent&&t.parent.type===b.Term&&(t=t.getParent()),e.restrictions)for(const i of e.restrictions){const s=Bc[i];if(s)for(const a of s){const l=r+a;n.items.push({label:l,textEdit:B.replace(this.getCompletionRange(t),l),kind:V.Unit})}}return n}getCompletionRange(e){if(e&&e.offset<=this.offset&&this.offset<=e.end){const t=e.end!==-1?this.textDocument.positionAt(e.end):this.position,n=this.textDocument.positionAt(e.offset);if(n.line===t.line)return X.create(n,t)}return this.defaultReplaceRange}getColorProposals(e,t,n){for(const i in yr)n.items.push({label:i,documentation:yr[i],textEdit:B.replace(this.getCompletionRange(t),i),kind:V.Color});for(const i in os)n.items.push({label:i,documentation:os[i],textEdit:B.replace(this.getCompletionRange(t),i),kind:V.Value});const r=new ps;this.styleSheet.acceptVisitor(new Om(r,this.offset));for(const i of r.getEntries())n.items.push({label:i,textEdit:B.replace(this.getCompletionRange(t),i),kind:V.Color});for(const i of mm)n.items.push({label:i.label,detail:i.func,documentation:i.desc,textEdit:B.replace(this.getCompletionRange(t),i.insertText),insertTextFormat:rt,kind:V.Function});return n}getPositionProposals(e,t,n){for(const r in Mc)n.items.push({label:r,documentation:Mc[r],textEdit:B.replace(this.getCompletionRange(t),r),kind:V.Value});return n}getRepeatStyleProposals(e,t,n){for(const r in Lc)n.items.push({label:r,documentation:Lc[r],textEdit:B.replace(this.getCompletionRange(t),r),kind:V.Value});return n}getLineStyleProposals(e,t,n){for(const r in zc)n.items.push({label:r,documentation:zc[r],textEdit:B.replace(this.getCompletionRange(t),r),kind:V.Value});return n}getLineWidthProposals(e,t,n){for(const r of km)n.items.push({label:r,textEdit:B.replace(this.getCompletionRange(t),r),kind:V.Value});return n}getGeometryBoxProposals(e,t,n){for(const r in Tc)n.items.push({label:r,documentation:Tc[r],textEdit:B.replace(this.getCompletionRange(t),r),kind:V.Value});return n}getBoxProposals(e,t,n){for(const r in Pc)n.items.push({label:r,documentation:Pc[r],textEdit:B.replace(this.getCompletionRange(t),r),kind:V.Value});return n}getImageProposals(e,t,n){for(const r in Uc){const i=Zt(r);n.items.push({label:r,documentation:Uc[r],textEdit:B.replace(this.getCompletionRange(t),i),kind:V.Function,insertTextFormat:r!==i?rt:void 0})}return n}getTimingFunctionProposals(e,t,n){for(const r in Vc){const i=Zt(r);n.items.push({label:r,documentation:Vc[r],textEdit:B.replace(this.getCompletionRange(t),i),kind:V.Function,insertTextFormat:r!==i?rt:void 0})}return n}getBasicShapeProposals(e,t,n){for(const r in $c){const i=Zt(r);n.items.push({label:r,documentation:$c[r],textEdit:B.replace(this.getCompletionRange(t),i),kind:V.Function,insertTextFormat:r!==i?rt:void 0})}return n}getCompletionsForStylesheet(e){const t=this.styleSheet.findFirstChildBeforeOffset(this.offset);return t?t instanceof Ft?this.getCompletionsForRuleSet(t,e):t instanceof Wi?this.getCompletionsForSupports(t,e):e:this.getCompletionForTopLevel(e)}getCompletionForTopLevel(e){return this.cssDataManager.getAtDirectives().forEach(t=>{e.items.push({label:t.name,textEdit:B.replace(this.getCompletionRange(null),t.name),documentation:vt(t,this.doesSupportMarkdown()),tags:In(t)?[Nt.Deprecated]:[],kind:V.Keyword})}),this.getCompletionsForSelector(null,!1,e),e}getCompletionsForRuleSet(e,t){const n=e.getDeclarations();return n&&n.endsWith("}")&&this.offset>=n.end?this.getCompletionForTopLevel(t):!n||this.offset<=n.offset?this.getCompletionsForSelector(e,e.isNested(),t):this.getCompletionsForDeclarations(e.getDeclarations(),t)}getCompletionsForSelector(e,t,n){const r=this.findInNodePath(b.PseudoSelector,b.IdentifierSelector,b.ClassSelector,b.ElementNameSelector);if(!r&&this.hasCharacterAtPosition(this.offset-this.currentWord.length-1,":")&&(this.currentWord=":"+this.currentWord,this.hasCharacterAtPosition(this.offset-this.currentWord.length-1,":")&&(this.currentWord=":"+this.currentWord),this.defaultReplaceRange=X.create(Se.create(this.position.line,this.position.character-this.currentWord.length),this.position)),this.cssDataManager.getPseudoClasses().forEach(o=>{const c=Zt(o.name),d={label:o.name,textEdit:B.replace(this.getCompletionRange(r),c),documentation:vt(o,this.doesSupportMarkdown()),tags:In(o)?[Nt.Deprecated]:[],kind:V.Function,insertTextFormat:o.name!==c?rt:void 0};pe(o.name,":-")&&(d.sortText=Ge.VendorPrefixed),n.items.push(d)}),this.cssDataManager.getPseudoElements().forEach(o=>{const c=Zt(o.name),d={label:o.name,textEdit:B.replace(this.getCompletionRange(r),c),documentation:vt(o,this.doesSupportMarkdown()),tags:In(o)?[Nt.Deprecated]:[],kind:V.Function,insertTextFormat:o.name!==c?rt:void 0};pe(o.name,"::-")&&(d.sortText=Ge.VendorPrefixed),n.items.push(d)}),!t){for(const o of Em)n.items.push({label:o,textEdit:B.replace(this.getCompletionRange(r),o),kind:V.Keyword});for(const o of Fm)n.items.push({label:o,textEdit:B.replace(this.getCompletionRange(r),o),kind:V.Keyword})}const a={};a[this.currentWord]=!0;const l=this.textDocument.getText();if(this.styleSheet.accept(o=>{if(o.type===b.SimpleSelector&&o.length>0){const c=l.substr(o.offset,o.length);return c.charAt(0)==="."&&!a[c]&&(a[c]=!0,n.items.push({label:c,textEdit:B.replace(this.getCompletionRange(r),c),kind:V.Keyword})),!1}return!0}),e&&e.isNested()){const o=e.getSelectors().findFirstChildBeforeOffset(this.offset);o&&e.getSelectors().getChildren().indexOf(o)===0&&this.getPropertyProposals(null,n)}return n}getCompletionsForDeclarations(e,t){if(!e||this.offset===e.offset)return t;const n=e.findFirstChildBeforeOffset(this.offset);if(!n)return this.getCompletionsForDeclarationProperty(null,t);if(n instanceof zi){const r=n;if(!Le(r.colonPosition)||this.offset<=r.colonPosition)return this.getCompletionsForDeclarationProperty(r,t);if(Le(r.semicolonPosition)&&r.semicolonPosition<this.offset)return this.offset===r.semicolonPosition+1?t:this.getCompletionsForDeclarationProperty(null,t);if(r instanceof je)return this.getCompletionsForDeclarationValue(r,t)}else n instanceof En?this.getCompletionsForExtendsReference(n,null,t):this.currentWord&&this.currentWord[0]==="@"?this.getCompletionsForDeclarationProperty(null,t):n instanceof Ft&&this.getCompletionsForDeclarationProperty(null,t);return t}getCompletionsForVariableDeclaration(e,t){return this.offset&&Le(e.colonPosition)&&this.offset>e.colonPosition&&this.getVariableProposals(e.getValue()||null,t),t}getCompletionsForExpression(e,t){const n=e.getParent();if(n instanceof Xt)return this.getCompletionsForFunctionArgument(n,n.getParent(),t),t;const r=e.findParent(b.Declaration);if(!r)return this.getTermProposals(void 0,null,t),t;const i=e.findChildAtOffset(this.offset,!0);return i?i instanceof $i||i instanceof Me?this.getCompletionsForDeclarationValue(r,t):t:this.getCompletionsForDeclarationValue(r,t)}getCompletionsForFunctionArgument(e,t,n){const r=t.getIdentifier();return r&&r.matches("var")&&(!t.getArguments().hasChildren()||t.getArguments().getChild(0)===e)&&this.getVariableProposalsForCSSVarFunction(n),n}getCompletionsForFunctionDeclaration(e,t){const n=e.getDeclarations();return n&&this.offset>n.offset&&this.offset<n.end&&this.getTermProposals(void 0,null,t),t}getCompletionsForMixinReference(e,t){const n=this.getSymbolContext().findSymbolsAtOffset(this.offset,J.Mixin);for(const i of n)i.node instanceof Fn&&t.items.push(this.makeTermProposal(i,i.node.getParameters(),null));const r=e.getIdentifier()||null;return this.completionParticipants.forEach(i=>{i.onCssMixinReference&&i.onCssMixinReference({mixinName:this.currentWord,range:this.getCompletionRange(r)})}),t}getTermProposals(e,t,n){const r=this.getSymbolContext().findSymbolsAtOffset(this.offset,J.Function);for(const i of r)i.node instanceof cr&&n.items.push(this.makeTermProposal(i,i.node.getParameters(),t));return n}makeTermProposal(e,t,n){e.node;const r=t.getChildren().map(s=>s instanceof lr?s.getName():s.getText()),i=e.name+"("+r.map((s,a)=>"${"+(a+1)+":"+s+"}").join(", ")+")";return{label:e.name,detail:e.name+"("+r.join(", ")+")",textEdit:B.replace(this.getCompletionRange(n),i),insertTextFormat:rt,kind:V.Function,sortText:Ge.Term}}getCompletionsForSupportsCondition(e,t){const n=e.findFirstChildBeforeOffset(this.offset);if(n){if(n instanceof je)return!Le(n.colonPosition)||this.offset<=n.colonPosition?this.getCompletionsForDeclarationProperty(n,t):this.getCompletionsForDeclarationValue(n,t);if(n instanceof kn)return this.getCompletionsForSupportsCondition(n,t)}return Le(e.lParent)&&this.offset>e.lParent&&(!Le(e.rParent)||this.offset<=e.rParent)?this.getCompletionsForDeclarationProperty(null,t):t}getCompletionsForSupports(e,t){const n=e.getDeclarations();if(!n||this.offset<=n.offset){const i=e.findFirstChildBeforeOffset(this.offset);return i instanceof kn?this.getCompletionsForSupportsCondition(i,t):t}return this.getCompletionForTopLevel(t)}getCompletionsForExtendsReference(e,t,n){return n}getCompletionForUriLiteralValue(e,t){let n,r,i;if(e.hasChildren()){const s=e.getChild(0);n=s.getText(),r=this.position,i=this.getCompletionRange(s)}else{n="",r=this.position;const s=this.textDocument.positionAt(e.offset+4);i=X.create(s,s)}return this.completionParticipants.forEach(s=>{s.onCssURILiteralValue&&s.onCssURILiteralValue({uriValue:n,position:r,range:i})}),t}getCompletionForImportPath(e,t){return this.completionParticipants.forEach(n=>{n.onCssImportPath&&n.onCssImportPath({pathValue:e.getText(),position:this.position,range:this.getCompletionRange(e)})}),t}hasCharacterAtPosition(e,t){const n=this.textDocument.getText();return e>=0&&e<n.length&&n.charAt(e)===t}doesSupportMarkdown(){var e,t,n;if(!Le(this.supportsMarkdown)){if(!Le(this.lsOptions.clientCapabilities))return this.supportsMarkdown=!0,this.supportsMarkdown;const r=(n=(t=(e=this.lsOptions.clientCapabilities.textDocument)==null?void 0:e.completion)==null?void 0:t.completionItem)==null?void 0:n.documentationFormat;this.supportsMarkdown=Array.isArray(r)&&r.indexOf(He.Markdown)!==-1}return this.supportsMarkdown}};function In(e){return!!(e.status&&(e.status==="nonstandard"||e.status==="obsolete"))}var ps=class{constructor(){this.entries={}}add(e){this.entries[e]=!0}remove(e){delete this.entries[e]}getEntries(){return Object.keys(this.entries)}};function Zt(e){return e.replace(/\(\)$/,"($1)")}function Tm(e,t){const n=t.getFullPropertyName(),r=new ps;function i(l){return(l instanceof Me||l instanceof $i||l instanceof Vi)&&r.add(l.getText()),!0}function s(l){const o=l.getFullPropertyName();return n===o}function a(l){if(l instanceof je&&l!==t&&s(l)){const o=l.getValue();o&&o.accept(i)}return!0}return e.accept(a),r}var Om=class{constructor(e,t){this.entries=e,this.currentOffset=t}visitNode(e){return(e instanceof Vi||e instanceof _n&&vm(e))&&(this.currentOffset<e.offset||e.end<this.currentOffset)&&this.entries.add(e.getText()),!0}},Wm=class{constructor(e,t){this.entries=e,this.currentOffset=t}visitNode(e){return e instanceof Me&&e.isCustomProperty&&(this.currentOffset<e.offset||e.end<this.currentOffset)&&this.entries.add(e.getText()),!0}};function Um(e,t){let n=t-1;const r=e.getText();for(;n>=0&&`
+\r":{[()]},*>+`.indexOf(r.charAt(n))===-1;)n--;return r.substring(n+1,t)}var ms=class Ws{constructor(){this.parent=null,this.children=null,this.attributes=null}findAttribute(t){if(this.attributes){for(const n of this.attributes)if(n.name===t)return n.value}return null}addChild(t){t instanceof Ws&&(t.parent=this),this.children||(this.children=[]),this.children.push(t)}append(t){if(this.attributes){const n=this.attributes[this.attributes.length-1];n.value=n.value+t}}prepend(t){if(this.attributes){const n=this.attributes[0];n.value=t+n.value}}findRoot(){let t=this;for(;t.parent&&!(t.parent instanceof en);)t=t.parent;return t}removeChild(t){if(this.children){const n=this.children.indexOf(t);if(n!==-1)return this.children.splice(n,1),!0}return!1}addAttr(t,n){this.attributes||(this.attributes=[]);for(const r of this.attributes)if(r.name===t){r.value+=" "+n;return}this.attributes.push({name:t,value:n})}clone(t=!0){const n=new Ws;if(this.attributes){n.attributes=[];for(const r of this.attributes)n.addAttr(r.name,r.value)}if(t&&this.children){n.children=[];for(let r=0;r<this.children.length;r++)n.addChild(this.children[r].clone())}return n}cloneWithParent(){const t=this.clone(!1);return this.parent&&!(this.parent instanceof en)&&this.parent.cloneWithParent().addChild(t),t}},en=class extends ms{},fs=class extends ms{constructor(e){super(),this.addAttr("name",e)}},Jc=class{constructor(e){this.quote=e,this.result=[]}print(e,t){this.result=[],e instanceof en?e.children&&this.doPrint(e.children,0):this.doPrint([e],0);let n;return t?n=`${t.text}
+ … `+this.result.join(`
+`):n=this.result.join(`
+`),[{language:"html",value:n}]}doPrint(e,t){for(const n of e)this.doPrintElement(n,t),n.children&&this.doPrint(n.children,t+1)}writeLine(e,t){const n=new Array(e+1).join(" ");this.result.push(n+t)}doPrintElement(e,t){const n=e.findAttribute("name");if(e instanceof fs||n==="…"){this.writeLine(t,n);return}const r=["<"];if(n?r.push(n):r.push("element"),e.attributes){for(const i of e.attributes)if(i.name!=="name"){r.push(" "),r.push(i.name);const s=i.value;s&&(r.push("="),r.push(it.ensure(s,this.quote)))}}r.push(">"),this.writeLine(t,r.join(""))}},it;(function(e){function t(r,i){return i+n(r)+i}e.ensure=t;function n(r){const i=r.match(/^['"](.*)["']$/);return i?i[1]:r}e.remove=n})(it||(it={}));var gs=class{constructor(){this.id=0,this.attr=0,this.tag=0}};function Xc(e,t){let n=new ms;for(const r of e.getChildren())switch(r.type){case b.SelectorCombinator:if(t){const l=r.getText().split("&");if(l.length===1){n.addAttr("name",l[0]);break}n=t.cloneWithParent(),l[0]&&n.findRoot().prepend(l[0]);for(let o=1;o<l.length;o++){if(o>1){const c=t.cloneWithParent();n.addChild(c.findRoot()),n=c}n.append(l[o])}}break;case b.SelectorPlaceholder:if(r.matches("@at-root"))return n;case b.ElementNameSelector:const i=r.getText();n.addAttr("name",i==="*"?"element":ze(i));break;case b.ClassSelector:n.addAttr("class",ze(r.getText().substring(1)));break;case b.IdentifierSelector:n.addAttr("id",ze(r.getText().substring(1)));break;case b.MixinDeclaration:n.addAttr("class",r.getName());break;case b.PseudoSelector:n.addAttr(ze(r.getText()),"");break;case b.AttributeSelector:const s=r,a=s.getIdentifier();if(a){const l=s.getValue(),o=s.getOperator();let c;if(l&&o)switch(ze(o.getText())){case"|=":c=`${it.remove(ze(l.getText()))}-…`;break;case"^=":c=`${it.remove(ze(l.getText()))}…`;break;case"$=":c=`…${it.remove(ze(l.getText()))}`;break;case"~=":c=` … ${it.remove(ze(l.getText()))} … `;break;case"*=":c=`…${it.remove(ze(l.getText()))}…`;break;default:c=it.remove(ze(l.getText()));break}n.addAttr(ze(a.getText()),c)}break}return n}function ze(e){const t=new Sn;t.setSource(e);const n=t.scanUnquotedString();return n?n.text:e}var Vm=class{constructor(e){this.cssDataManager=e}selectorToMarkedString(e,t){const n=qm(e);if(n){const r=new Jc('"').print(n,t);return r.push(this.selectorToSpecificityMarkedString(e)),r}else return[]}simpleSelectorToMarkedString(e){const t=Xc(e),n=new Jc('"').print(t);return n.push(this.selectorToSpecificityMarkedString(e)),n}isPseudoElementIdentifier(e){const t=e.match(/^::?([\w-]+)/);return t?!!this.cssDataManager.getPseudoElement("::"+t[1]):!1}selectorToSpecificityMarkedString(e){const t=i=>{const s=new gs;let a=new gs;for(const l of i)for(const o of l.getChildren()){const c=n(o);if(c.id>a.id){a=c;continue}else if(c.id<a.id)continue;if(c.attr>a.attr){a=c;continue}else if(c.attr<a.attr)continue;if(c.tag>a.tag){a=c;continue}}return s.id+=a.id,s.attr+=a.attr,s.tag+=a.tag,s},n=i=>{const s=new gs;e:for(const a of i.getChildren()){switch(a.type){case b.IdentifierSelector:s.id++;break;case b.ClassSelector:case b.AttributeSelector:s.attr++;break;case b.ElementNameSelector:if(a.matches("*"))break;s.tag++;break;case b.PseudoSelector:const l=a.getText(),o=a.getChildren();if(this.isPseudoElementIdentifier(l)){if(l.match(/^::slotted/i)&&o.length>0){s.tag++;let c=t(o);s.id+=c.id,s.attr+=c.attr,s.tag+=c.tag;continue e}s.tag++;continue e}if(l.match(/^:where/i))continue e;if(l.match(/^:(?:not|has|is)/i)&&o.length>0){let c=t(o);s.id+=c.id,s.attr+=c.attr,s.tag+=c.tag;continue e}if(l.match(/^:(?:host|host-context)/i)&&o.length>0){s.attr++;let c=t(o);s.id+=c.id,s.attr+=c.attr,s.tag+=c.tag;continue e}if(l.match(/^:(?:nth-child|nth-last-child)/i)&&o.length>0){if(s.attr++,o.length===3&&o[1].type===23){let m=t(o[2].getChildren());s.id+=m.id,s.attr+=m.attr,s.tag+=m.tag;continue e}const c=new Cr,d=o[1].getText();c.scanner.setSource(d);const u=c.scanner.scan(),f=c.scanner.scan();if(u.text==="n"||u.text==="-n"&&f.text==="of"){const m=[],w=d.slice(f.offset+2).split(",");for(const R of w){const I=c.internalParse(R,c._parseSelector);I&&m.push(I)}let k=t(m);s.id+=k.id,s.attr+=k.attr,s.tag+=k.tag;continue e}continue e}s.attr++;continue e}if(a.getChildren().length>0){const l=n(a);s.id+=l.id,s.attr+=l.attr,s.tag+=l.tag}}return s},r=n(e);return`[${g("Selector Specificity")}](https://developer.mozilla.org/docs/Web/CSS/Specificity): (${r.id}, ${r.attr}, ${r.tag})`}},$m=class{constructor(e){this.prev=null,this.element=e}processSelector(e){let t=null;if(!(this.element instanceof en)&&e.getChildren().some(n=>n.hasChildren()&&n.getChild(0).type===b.SelectorCombinator)){const n=this.element.findRoot();n.parent instanceof en&&(t=this.element,this.element=n.parent,this.element.removeChild(n),this.prev=null)}for(const n of e.getChildren()){if(n instanceof Jt){if(this.prev instanceof Jt){const s=new fs("…");this.element.addChild(s),this.element=s}else this.prev&&(this.prev.matches("+")||this.prev.matches("~"))&&this.element.parent&&(this.element=this.element.parent);this.prev&&this.prev.matches("~")&&this.element.addChild(new fs("⋮"));const r=Xc(n,t),i=r.findRoot();this.element.addChild(i),this.element=r}(n instanceof Jt||n.type===b.SelectorCombinatorParent||n.type===b.SelectorCombinatorShadowPiercingDescendant||n.type===b.SelectorCombinatorSibling||n.type===b.SelectorCombinatorAllSiblings)&&(this.prev=n)}}};function Bm(e){switch(e.type){case b.MixinDeclaration:case b.Stylesheet:return!0}return!1}function qm(e){if(e.matches("@at-root"))return null;const t=new en,n=[],r=e.getParent();if(r instanceof Ft){let s=r.getParent();for(;s&&!Bm(s);){if(s instanceof Ft){if(s.getSelectors().matches("@at-root"))break;n.push(s)}s=s.getParent()}}const i=new $m(t);for(let s=n.length-1;s>=0;s--){const a=n[s].getSelectors().getChild(0);a&&i.processSelector(a)}return i.processSelector(e),t}var bs=class{constructor(e,t){this.clientCapabilities=e,this.cssDataManager=t,this.selectorPrinting=new Vm(t)}configure(e){this.defaultSettings=e}doHover(e,t,n,r=this.defaultSettings){function i(c){return X.create(e.positionAt(c.offset),e.positionAt(c.end))}const s=e.offsetAt(t),a=Mi(n,s);let l=null,o;for(let c=0;c<a.length;c++){const d=a[c];if(d instanceof Oi){const u=/@media[^\{]+/g,f=d.getText().match(u);o={isMedia:!0,text:f==null?void 0:f[0]}}if(d instanceof Cn){l={contents:this.selectorPrinting.selectorToMarkedString(d,o),range:i(d)};break}if(d instanceof Jt){pe(d.getText(),"@")||(l={contents:this.selectorPrinting.simpleSelectorToMarkedString(d),range:i(d)});break}if(d instanceof je){const u=d.getFullPropertyName(),f=this.cssDataManager.getProperty(u);if(f){const m=vt(f,this.doesSupportMarkdown(),r);m?l={contents:m,range:i(d)}:l=null}continue}if(d instanceof El){const u=d.getText(),f=this.cssDataManager.getAtDirective(u);if(f){const m=vt(f,this.doesSupportMarkdown(),r);m?l={contents:m,range:i(d)}:l=null}continue}if(d instanceof O&&d.type===b.PseudoSelector){const u=d.getText(),f=u.slice(0,2)==="::"?this.cssDataManager.getPseudoElement(u):this.cssDataManager.getPseudoClass(u);if(f){const m=vt(f,this.doesSupportMarkdown(),r);m?l={contents:m,range:i(d)}:l=null}continue}}return l&&(l.contents=this.convertContents(l.contents)),l}convertContents(e){return this.doesSupportMarkdown()||typeof e=="string"?e:"kind"in e?{kind:"plaintext",value:e.value}:Array.isArray(e)?e.map(t=>typeof t=="string"?t:t.value):e.value}doesSupportMarkdown(){if(!Le(this.supportsMarkdown)){if(!Le(this.clientCapabilities))return this.supportsMarkdown=!0,this.supportsMarkdown;const e=this.clientCapabilities.textDocument&&this.clientCapabilities.textDocument.hover;this.supportsMarkdown=e&&e.contentFormat&&Array.isArray(e.contentFormat)&&e.contentFormat.indexOf(He.Markdown)!==-1}return this.supportsMarkdown}},Yc=/^\w+:\/\//,Qc=/^data:/,vs=class{constructor(e,t){this.fileSystemProvider=e,this.resolveModuleReferences=t}configure(e){this.defaultSettings=e}findDefinition(e,t,n){const r=new ls(n),i=e.offsetAt(t),s=Ai(n,i);if(!s)return null;const a=r.findSymbolFromNode(s);return a?{uri:e.uri,range:st(a.node,e)}:null}findReferences(e,t,n){return this.findDocumentHighlights(e,t,n).map(i=>({uri:e.uri,range:i.range}))}getHighlightNode(e,t,n){const r=e.offsetAt(t);let i=Ai(n,r);if(!(!i||i.type===b.Stylesheet||i.type===b.Declarations))return i.type===b.Identifier&&i.parent&&i.parent.type===b.ClassSelector&&(i=i.parent),i}findDocumentHighlights(e,t,n){const r=[],i=this.getHighlightNode(e,t,n);if(!i)return r;const s=new ls(n),a=s.findSymbolFromNode(i),l=i.getText();return n.accept(o=>{if(a){if(s.matchesSymbol(o,a))return r.push({kind:Zc(o),range:st(o,e)}),!1}else i&&i.type===o.type&&o.matches(l)&&r.push({kind:Zc(o),range:st(o,e)});return!0}),r}isRawStringDocumentLinkNode(e){return e.type===b.Import}findDocumentLinks(e,t,n){const r=this.findUnresolvedLinks(e,t),i=[];for(let s of r){const a=s.link,l=a.target;if(!(!l||Qc.test(l)))if(Yc.test(l))i.push(a);else{const o=n.resolveReference(l,e.uri);o&&(a.target=o),i.push(a)}}return i}async findDocumentLinks2(e,t,n){const r=this.findUnresolvedLinks(e,t),i=[];for(let s of r){const a=s.link,l=a.target;if(!(!l||Qc.test(l)))if(Yc.test(l))i.push(a);else{const o=await this.resolveReference(l,e.uri,n,s.isRawLink);o!==void 0&&(a.target=o,i.push(a))}}return i}findUnresolvedLinks(e,t){const n=[],r=i=>{let s=i.getText();const a=st(i,e);if(a.start.line===a.end.line&&a.start.character===a.end.character)return;(pe(s,"'")||pe(s,'"'))&&(s=s.slice(1,-1));const l=i.parent?this.isRawStringDocumentLinkNode(i.parent):!1;n.push({link:{target:s,range:a},isRawLink:l})};return t.accept(i=>{if(i.type===b.URILiteral){const s=i.getChild(0);return s&&r(s),!1}if(i.parent&&this.isRawStringDocumentLinkNode(i.parent)){const s=i.getText();return(pe(s,"'")||pe(s,'"'))&&r(i),!1}return!0}),n}findSymbolInformations(e,t){const n=[],r=(i,s,a)=>{const l=a instanceof O?st(a,e):a,o={name:i||g("<undefined>"),kind:s,location:Rn.create(e.uri,l)};n.push(o)};return this.collectDocumentSymbols(e,t,r),n}findDocumentSymbols(e,t){const n=[],r=[],i=(s,a,l,o,c)=>{const d=l instanceof O?st(l,e):l;let u=o instanceof O?st(o,e):o;(!u||!Kc(d,u))&&(u=X.create(d.start,d.start));const f={name:s||g("<undefined>"),kind:a,range:d,selectionRange:u};let m=r.pop();for(;m&&!Kc(m[1],d);)m=r.pop();if(m){const v=m[0];v.children||(v.children=[]),v.children.push(f),r.push(m)}else n.push(f);c&&r.push([f,st(c,e)])};return this.collectDocumentSymbols(e,t,i),n}collectDocumentSymbols(e,t,n){t.accept(r=>{if(r instanceof Ft){for(const i of r.getSelectors().getChildren())if(i instanceof Cn){const s=X.create(e.positionAt(i.offset),e.positionAt(r.end));n(i.getText(),nt.Class,s,i,r.getDeclarations())}}else if(r instanceof hr)n(r.getName(),nt.Variable,r,r.getVariable(),void 0);else if(r instanceof Fn)n(r.getName(),nt.Method,r,r.getIdentifier(),r.getDeclarations());else if(r instanceof cr)n(r.getName(),nt.Function,r,r.getIdentifier(),r.getDeclarations());else if(r instanceof xl){const i=g("@keyframes {0}",r.getName());n(i,nt.Class,r,r.getIdentifier(),r.getDeclarations())}else if(r instanceof wl){const i=g("@font-face");n(i,nt.Class,r,void 0,r.getDeclarations())}else if(r instanceof Oi){const i=r.getChild(0);if(i instanceof Cl){const s="@media "+i.getText();n(s,nt.Module,r,i,r.getDeclarations())}}return!0})}findDocumentColors(e,t){const n=[];return t.accept(r=>{const i=jm(r,e);return i&&n.push(i),!0}),n}getColorPresentations(e,t,n,r){const i=[],s=Math.round(n.red*255),a=Math.round(n.green*255),l=Math.round(n.blue*255);let o;n.alpha===1?o=`rgb(${s}, ${a}, ${l})`:o=`rgba(${s}, ${a}, ${l}, ${n.alpha})`,i.push({label:o,textEdit:B.replace(r,o)}),n.alpha===1?o=`#${Dt(s)}${Dt(a)}${Dt(l)}`:o=`#${Dt(s)}${Dt(a)}${Dt(l)}${Dt(Math.round(n.alpha*255))}`,i.push({label:o,textEdit:B.replace(r,o)});const c=Ac(n);c.a===1?o=`hsl(${c.h}, ${Math.round(c.s*100)}%, ${Math.round(c.l*100)}%)`:o=`hsla(${c.h}, ${Math.round(c.s*100)}%, ${Math.round(c.l*100)}%, ${c.a})`,i.push({label:o,textEdit:B.replace(r,o)});const d=Cm(n);return d.a===1?o=`hwb(${d.h} ${Math.round(d.w*100)}% ${Math.round(d.b*100)}%)`:o=`hwb(${d.h} ${Math.round(d.w*100)}% ${Math.round(d.b*100)}% / ${d.a})`,i.push({label:o,textEdit:B.replace(r,o)}),i}prepareRename(e,t,n){const r=this.getHighlightNode(e,t,n);if(r)return X.create(e.positionAt(r.offset),e.positionAt(r.end))}doRename(e,t,n,r){const s=this.findDocumentHighlights(e,t,r).map(a=>B.replace(a.range,n));return{changes:{[e.uri]:s}}}async resolveModuleReference(e,t,n){if(pe(t,"file://")){const r=Hm(e);if(r&&r!=="."&&r!==".."){const i=n.resolveReference("/",t),s=hs(t),a=await this.resolvePathToModule(r,s,i);if(a){const l=e.substring(r.length+1);return Kt(a,l)}}}}async mapReference(e,t){return e}async resolveReference(e,t,n,r=!1,i=this.defaultSettings){if(e[0]==="~"&&e[1]!=="/"&&this.fileSystemProvider)return e=e.substring(1),this.mapReference(await this.resolveModuleReference(e,t,n),r);const s=await this.mapReference(n.resolveReference(e,t),r);if(this.resolveModuleReferences){if(s&&await this.fileExists(s))return s;const a=await this.mapReference(await this.resolveModuleReference(e,t,n),r);if(a)return a}if(s&&!await this.fileExists(s)){const a=n.resolveReference("/",t);if(i&&a){if(e in i)return this.mapReference(Kt(a,i[e]),r);const l=e.indexOf("/"),o=`${e.substring(0,l)}/`;if(o in i){const c=i[o].slice(0,-1);let d=Kt(a,c);return this.mapReference(d=Kt(d,e.substring(o.length-1)),r)}}}return s}async resolvePathToModule(e,t,n){const r=Kt(t,"node_modules",e,"package.json");if(await this.fileExists(r))return hs(r);if(n&&t.startsWith(n)&&t.length!==n.length)return this.resolvePathToModule(e,hs(t),n)}async fileExists(e){if(!this.fileSystemProvider)return!1;try{const t=await this.fileSystemProvider.stat(e);return!(t.type===Dn.Unknown&&t.size===-1)}catch{return!1}}};function jm(e,t){const n=_m(e);if(n){const r=st(e,t);return{color:n,range:r}}return null}function st(e,t){return X.create(t.positionAt(e.offset),t.positionAt(e.end))}function Kc(e,t){const n=t.start.line,r=t.end.line,i=e.start.line,s=e.end.line;return!(n<i||r<i||n>s||r>s||n===i&&t.start.character<e.start.character||r===s&&t.end.character>e.end.character)}function Zc(e){if(e.type===b.Selector||e instanceof Me&&e.parent&&e.parent instanceof Pi&&e.isCustomProperty)return Qt.Write;if(e.parent)switch(e.parent.type){case b.FunctionDeclaration:case b.MixinDeclaration:case b.Keyframe:case b.VariableDeclaration:case b.FunctionParameter:return Qt.Write}return Qt.Read}function Dt(e){const t=e.toString(16);return t.length!==2?"0"+t:t}function Hm(e){const t=e.indexOf("/");if(t===-1)return"";if(e[0]==="@"){const n=e.indexOf("/",t+1);return n===-1?e:e.substring(0,n)}return e.substring(0,t)}var tn=Re.Warning,eh=Re.Error,We=Re.Ignore,fe=class{constructor(e,t,n){this.id=e,this.message=t,this.defaultValue=n}},Gm=class{constructor(e,t,n){this.id=e,this.message=t,this.defaultValue=n}},te={AllVendorPrefixes:new fe("compatibleVendorPrefixes",g("When using a vendor-specific prefix make sure to also include all other vendor-specific properties"),We),IncludeStandardPropertyWhenUsingVendorPrefix:new fe("vendorPrefix",g("When using a vendor-specific prefix also include the standard property"),tn),DuplicateDeclarations:new fe("duplicateProperties",g("Do not use duplicate style definitions"),We),EmptyRuleSet:new fe("emptyRules",g("Do not use empty rulesets"),tn),ImportStatemement:new fe("importStatement",g("Import statements do not load in parallel"),We),BewareOfBoxModelSize:new fe("boxModel",g("Do not use width or height when using padding or border"),We),UniversalSelector:new fe("universalSelector",g("The universal selector (*) is known to be slow"),We),ZeroWithUnit:new fe("zeroUnits",g("No unit for zero needed"),We),RequiredPropertiesForFontFace:new fe("fontFaceProperties",g("@font-face rule must define 'src' and 'font-family' properties"),tn),HexColorLength:new fe("hexColorLength",g("Hex colors must consist of three, four, six or eight hex numbers"),eh),ArgsInColorFunction:new fe("argumentsInColorFunction",g("Invalid number of parameters"),eh),UnknownProperty:new fe("unknownProperties",g("Unknown property."),tn),UnknownAtRules:new fe("unknownAtRules",g("Unknown at-rule."),tn),IEStarHack:new fe("ieHack",g("IE hacks are only necessary when supporting IE7 and older"),We),UnknownVendorSpecificProperty:new fe("unknownVendorSpecificProperties",g("Unknown vendor specific property."),We),PropertyIgnoredDueToDisplay:new fe("propertyIgnoredDueToDisplay",g("Property is ignored due to the display."),tn),AvoidImportant:new fe("important",g("Avoid using !important. It is an indication that the specificity of the entire CSS has gotten out of control and needs to be refactored."),We),AvoidFloat:new fe("float",g("Avoid using 'float'. Floats lead to fragile CSS that is easy to break if one aspect of the layout changes."),We),AvoidIdSelector:new fe("idSelector",g("Selectors should not contain IDs because these rules are too tightly coupled with the HTML."),We)},Jm={ValidProperties:new Gm("validProperties",g("A list of properties that are not validated against the `unknownProperties` rule."),[])},Xm=class{constructor(e={}){this.conf=e}getRule(e){if(this.conf.hasOwnProperty(e.id)){const t=Ym(this.conf[e.id]);if(t)return t}return e.defaultValue}getSetting(e){return this.conf[e.id]}};function Ym(e){switch(e){case"ignore":return Re.Ignore;case"warning":return Re.Warning;case"error":return Re.Error}return null}var ws=class{constructor(e){this.cssDataManager=e}doCodeActions(e,t,n,r){return this.doCodeActions2(e,t,n,r).map(i=>{const s=i.edit&&i.edit.documentChanges&&i.edit.documentChanges[0];return Rt.create(i.title,"_css.applyCodeAction",e.uri,e.version,s&&s.edits)})}doCodeActions2(e,t,n,r){const i=[];if(n.diagnostics)for(const s of n.diagnostics)this.appendFixesForMarker(e,r,s,i);return i}getFixesForUnknownProperty(e,t,n,r){const i=t.getName(),s=[];this.cssDataManager.getProperties().forEach(l=>{const o=Sp(i,l.name);o>=i.length/2&&s.push({property:l.name,score:o})}),s.sort((l,o)=>o.score-l.score||l.property.localeCompare(o.property));let a=3;for(const l of s){const o=l.property,c=g("Rename to '{0}'",o),d=B.replace(n.range,o),u=Zi.create(e.uri,e.version),f={documentChanges:[fr.create(u,[d])]},m=ns.create(c,f,ts.QuickFix);if(m.diagnostics=[n],r.push(m),--a<=0)return}}appendFixesForMarker(e,t,n,r){if(n.code!==te.UnknownProperty.id)return;const i=e.offsetAt(n.range.start),s=e.offsetAt(n.range.end),a=Mi(t,i);for(let l=a.length-1;l>=0;l--){const o=a[l];if(o instanceof je){const c=o.getProperty();if(c&&c.offset===i&&c.end===s){this.getFixesForUnknownProperty(e,c,n,r);return}}}}},Qm=class{constructor(e){this.fullPropertyName=e.getFullPropertyName().toLowerCase(),this.node=e}};function An(e,t,n,r){const i=e[t];i.value=n,n&&(qc(i.properties,r)||i.properties.push(r))}function Km(e,t,n){An(e,"top",t,n),An(e,"right",t,n),An(e,"bottom",t,n),An(e,"left",t,n)}function ve(e,t,n,r){t==="top"||t==="right"||t==="bottom"||t==="left"?An(e,t,n,r):Km(e,n,r)}function ys(e,t,n){switch(t.length){case 1:ve(e,void 0,t[0],n);break;case 2:ve(e,"top",t[0],n),ve(e,"bottom",t[0],n),ve(e,"right",t[1],n),ve(e,"left",t[1],n);break;case 3:ve(e,"top",t[0],n),ve(e,"right",t[1],n),ve(e,"left",t[1],n),ve(e,"bottom",t[2],n);break;case 4:ve(e,"top",t[0],n),ve(e,"right",t[1],n),ve(e,"bottom",t[2],n),ve(e,"left",t[3],n);break}}function xs(e,t){for(let n of t)if(e.matches(n))return!0;return!1}function Mn(e,t=!0){return t&&xs(e,["initial","unset"])?!1:parseFloat(e.getText())!==0}function th(e,t=!0){return e.map(n=>Mn(n,t))}function Rr(e,t=!0){return!(xs(e,["none","hidden"])||t&&xs(e,["initial","unset"]))}function Zm(e,t=!0){return e.map(n=>Rr(n,t))}function ef(e){const t=e.getChildren();if(t.length===1){const n=t[0];return Mn(n)&&Rr(n)}for(const n of t){const r=n;if(!Mn(r,!1)||!Rr(r,!1))return!1}return!0}function tf(e){const t={top:{value:!1,properties:[]},right:{value:!1,properties:[]},bottom:{value:!1,properties:[]},left:{value:!1,properties:[]}};for(const n of e){const r=n.node.value;if(!(typeof r>"u"))switch(n.fullPropertyName){case"box-sizing":return{top:{value:!1,properties:[]},right:{value:!1,properties:[]},bottom:{value:!1,properties:[]},left:{value:!1,properties:[]}};case"width":t.width=n;break;case"height":t.height=n;break;default:const i=n.fullPropertyName.split("-");switch(i[0]){case"border":switch(i[1]){case void 0:case"top":case"right":case"bottom":case"left":switch(i[2]){case void 0:ve(t,i[1],ef(r),n);break;case"width":ve(t,i[1],Mn(r,!1),n);break;case"style":ve(t,i[1],Rr(r,!0),n);break}break;case"width":ys(t,th(r.getChildren(),!1),n);break;case"style":ys(t,Zm(r.getChildren(),!0),n);break}break;case"padding":i.length===1?ys(t,th(r.getChildren(),!0),n):ve(t,i[1],Mn(r,!0),n);break}break}}return t}var nh=class{constructor(){this.data={}}add(e,t,n){let r=this.data[e];r||(r={nodes:[],names:[]},this.data[e]=r),r.names.push(t),n&&r.nodes.push(n)}},rh=class Ur{static entries(t,n,r,i,s){const a=new Ur(n,r,i);return t.acceptVisitor(a),a.completeValidations(),a.getEntries(s)}constructor(t,n,r){this.cssDataManager=r,this.warnings=[],this.settings=n,this.documentText=t.getText(),this.keyframes=new nh,this.validProperties={};const i=n.getSetting(Jm.ValidProperties);Array.isArray(i)&&i.forEach(s=>{if(typeof s=="string"){const a=s.trim().toLowerCase();a.length&&(this.validProperties[a]=!0)}})}isValidPropertyDeclaration(t){const n=t.fullPropertyName;return this.validProperties[n]}fetch(t,n){const r=[];for(const i of t)i.fullPropertyName===n&&r.push(i);return r}fetchWithValue(t,n,r){const i=[];for(const s of t)if(s.fullPropertyName===n){const a=s.node.getValue();a&&this.findValueInExpression(a,r)&&i.push(s)}return i}findValueInExpression(t,n){let r=!1;return t.accept(i=>(i.type===b.Identifier&&i.matches(n)&&(r=!0),!r)),r}getEntries(t=Re.Warning|Re.Error){return this.warnings.filter(n=>(n.getLevel()&t)!==0)}addEntry(t,n,r){const i=new Rl(t,n,this.settings.getRule(n),r);this.warnings.push(i)}getMissingNames(t,n){const r=t.slice(0);for(let s=0;s<n.length;s++){const a=r.indexOf(n[s]);a!==-1&&(r[a]=null)}let i=null;for(let s=0;s<r.length;s++){const a=r[s];a&&(i===null?i=g("'{0}'",a):i=g("{0}, '{1}'",i,a))}return i}visitNode(t){switch(t.type){case b.UnknownAtRule:return this.visitUnknownAtRule(t);case b.Keyframe:return this.visitKeyframe(t);case b.FontFace:return this.visitFontFace(t);case b.Ruleset:return this.visitRuleSet(t);case b.SimpleSelector:return this.visitSimpleSelector(t);case b.Function:return this.visitFunction(t);case b.NumericValue:return this.visitNumericValue(t);case b.Import:return this.visitImport(t);case b.HexColorValue:return this.visitHexColorValue(t);case b.Prio:return this.visitPrio(t);case b.IdentifierSelector:return this.visitIdentifierSelector(t)}return!0}completeValidations(){this.validateKeyframes()}visitUnknownAtRule(t){const n=t.getChild(0);return!n||this.cssDataManager.getAtDirective(n.getText())?!1:(this.addEntry(n,te.UnknownAtRules,`Unknown at rule ${n.getText()}`),!0)}visitKeyframe(t){const n=t.getKeyword();if(!n)return!1;const r=n.getText();return this.keyframes.add(t.getName(),r,r!=="@keyframes"?n:null),!0}validateKeyframes(){const t=["@-webkit-keyframes","@-moz-keyframes","@-o-keyframes"];for(const n in this.keyframes.data){const r=this.keyframes.data[n].names,i=r.indexOf("@keyframes")===-1;if(!i&&r.length===1)continue;const s=this.getMissingNames(t,r);if(s||i)for(const a of this.keyframes.data[n].nodes){if(i){const l=g("Always define standard rule '@keyframes' when defining keyframes.");this.addEntry(a,te.IncludeStandardPropertyWhenUsingVendorPrefix,l)}if(s){const l=g("Always include all vendor specific rules: Missing: {0}",s);this.addEntry(a,te.AllVendorPrefixes,l)}}}return!0}visitSimpleSelector(t){const n=this.documentText.charAt(t.offset);return t.length===1&&n==="*"&&this.addEntry(t,te.UniversalSelector),!0}visitIdentifierSelector(t){return this.addEntry(t,te.AvoidIdSelector),!0}visitImport(t){return this.addEntry(t,te.ImportStatemement),!0}visitRuleSet(t){const n=t.getDeclarations();if(!n)return!1;n.hasChildren()||this.addEntry(t.getSelectors(),te.EmptyRuleSet);const r=[];for(const o of n.getChildren())o instanceof je&&r.push(new Qm(o));const i=tf(r);if(i.width){let o=[];if(i.right.value&&(o=_r(o,i.right.properties)),i.left.value&&(o=_r(o,i.left.properties)),o.length!==0){for(const c of o)this.addEntry(c.node,te.BewareOfBoxModelSize);this.addEntry(i.width.node,te.BewareOfBoxModelSize)}}if(i.height){let o=[];if(i.top.value&&(o=_r(o,i.top.properties)),i.bottom.value&&(o=_r(o,i.bottom.properties)),o.length!==0){for(const c of o)this.addEntry(c.node,te.BewareOfBoxModelSize);this.addEntry(i.height.node,te.BewareOfBoxModelSize)}}let s=this.fetchWithValue(r,"display","inline-block");if(s.length>0){const o=this.fetch(r,"float");for(let c=0;c<o.length;c++){const d=o[c].node,u=d.getValue();u&&!u.matches("none")&&this.addEntry(d,te.PropertyIgnoredDueToDisplay,g("inline-block is ignored due to the float. If 'float' has a value other than 'none', the box is floated and 'display' is treated as 'block'"))}}if(s=this.fetchWithValue(r,"display","block"),s.length>0){const o=this.fetch(r,"vertical-align");for(let c=0;c<o.length;c++)this.addEntry(o[c].node,te.PropertyIgnoredDueToDisplay,g("Property is ignored due to the display. With 'display: block', vertical-align should not be used."))}const a=this.fetch(r,"float");for(let o=0;o<a.length;o++){const c=a[o];this.isValidPropertyDeclaration(c)||this.addEntry(c.node,te.AvoidFloat)}for(let o=0;o<r.length;o++){const c=r[o];if(c.fullPropertyName!=="background"&&!this.validProperties[c.fullPropertyName]){const d=c.node.getValue();if(d&&this.documentText.charAt(d.offset)!=="-"){const u=this.fetch(r,c.fullPropertyName);if(u.length>1)for(let f=0;f<u.length;f++){const m=u[f].node.getValue();m&&this.documentText.charAt(m.offset)!=="-"&&u[f]!==c&&this.addEntry(c.node,te.DuplicateDeclarations)}}}}if(!t.getSelectors().matches(":export")){const o=new nh;let c=!1;for(const d of r){const u=d.node;if(this.isCSSDeclaration(u)){let f=d.fullPropertyName;const m=f.charAt(0);if(m==="-"){if(f.charAt(1)!=="-"){!this.cssDataManager.isKnownProperty(f)&&!this.validProperties[f]&&this.addEntry(u.getProperty(),te.UnknownVendorSpecificProperty);const v=u.getNonPrefixedPropertyName();o.add(v,f,u.getProperty())}}else{const v=f;(m==="*"||m==="_")&&(this.addEntry(u.getProperty(),te.IEStarHack),f=f.substr(1)),!this.cssDataManager.isKnownProperty(v)&&!this.cssDataManager.isKnownProperty(f)&&(this.validProperties[f]||this.addEntry(u.getProperty(),te.UnknownProperty,g("Unknown property: '{0}'",u.getFullPropertyName()))),o.add(f,f,null)}}else c=!0}if(!c)for(const d in o.data){const u=o.data[d],f=u.names,m=this.cssDataManager.isStandardProperty(d)&&f.indexOf(d)===-1;if(!m&&f.length===1)continue;const v=new Set(m?u.nodes:[]);if(m){const R=this.getContextualVendorSpecificPseudoElements(t);for(const I of u.nodes){const N=I.getName(),E=N.substring(0,N.length-d.length);R.some(F=>F.startsWith(E))&&v.delete(I)}}const w=[];for(let R=0,I=Ur.prefixes.length;R<I;R++){const N=Ur.prefixes[R];this.cssDataManager.isStandardProperty(N+d)&&w.push(N+d)}const k=this.getMissingNames(w,f);if(k||m)for(const R of u.nodes){if(m&&v.has(R)){const I=g("Also define the standard property '{0}' for compatibility",d);this.addEntry(R,te.IncludeStandardPropertyWhenUsingVendorPrefix,I)}if(k){const I=g("Always include all vendor specific properties: Missing: {0}",k);this.addEntry(R,te.AllVendorPrefixes,I)}}}}return!0}getContextualVendorSpecificPseudoElements(t){function n(s,a){var l;for(const o of a.getChildren()){if(o.type===b.PseudoSelector){const c=(l=o.getChildren()[0])==null?void 0:l.getText();c&&s.add(c)}n(s,o)}}function r(s,a){if(a.type===b.Ruleset)for(const l of a.getSelectors().getChildren())n(s,l);return a.parent?r(s,a.parent):void 0}const i=new Set;return r(i,t),Array.from(i)}visitPrio(t){return this.addEntry(t,te.AvoidImportant),!0}visitNumericValue(t){const n=t.findParent(b.Function);if(n&&n.getName()==="calc")return!0;const r=t.findParent(b.Declaration);if(r&&r.getValue()){const s=t.getValue();if(!s.unit||Bc.length.indexOf(s.unit.toLowerCase())===-1)return!0;parseFloat(s.value)===0&&s.unit&&!this.validProperties[r.getFullPropertyName()]&&this.addEntry(t,te.ZeroWithUnit)}return!0}visitFontFace(t){const n=t.getDeclarations();if(!n)return!1;let r=!1,i=!1,s=!1;for(const a of n.getChildren())if(this.isCSSDeclaration(a)){const l=a.getProperty().getName().toLowerCase();l==="src"&&(r=!0),l==="font-family"&&(i=!0)}else s=!0;return!s&&(!r||!i)&&this.addEntry(t,te.RequiredPropertiesForFontFace),!0}isCSSDeclaration(t){if(t instanceof je){if(!t.getValue())return!1;const n=t.getProperty();if(!n)return!1;const r=n.getIdentifier();return!(!r||r.containsInterpolation())}return!1}visitHexColorValue(t){const n=t.length;return n!==9&&n!==7&&n!==5&&n!==4&&this.addEntry(t,te.HexColorLength),!1}visitFunction(t){const n=t.getName().toLowerCase();let r=-1,i=0;switch(n){case"rgb(":case"hsl(":r=3;break;case"rgba(":case"hsla(":r=4;break}return r!==-1&&(t.getArguments().accept(s=>s instanceof Ui?(i+=1,!1):!0),i!==r&&this.addEntry(t,te.ArgsInColorFunction)),!0}};rh.prefixes=["-ms-","-moz-","-o-","-webkit-"];var Ss=class{constructor(e){this.cssDataManager=e}configure(e){this.settings=e}doValidation(e,t,n=this.settings){if(n&&n.validate===!1)return[];const r=[];r.push.apply(r,am.entries(t)),r.push.apply(r,rh.entries(t,e,new Xm(n&&n.lint),this.cssDataManager));const i=[];for(const a in te)i.push(te[a].id);function s(a){const l=X.create(e.positionAt(a.getOffset()),e.positionAt(a.getOffset()+a.getLength())),o=e.languageId;return{code:a.getRule().id,source:o,message:a.getMessage(),severity:a.getLevel()===Re.Warning?pr.Warning:pr.Error,range:l}}return r.filter(a=>a.getLevel()!==Re.Ignore).map(s)}},ih=47,nf=10,rf=13,sf=12,af=36,of=35,lf=123,Ln=61,cf=33,hf=60,df=62,Cs=46,at=p.CustomToken,_s=at++,Nr=at++;at++;var sh=at++,ah=at++,ks=at++,Es=at++,Dr=at++;at++;var oh=class extends Sn{scanNext(e){if(this.stream.advanceIfChar(af)){const t=["$"];if(this.ident(t))return this.finishToken(e,_s,t.join(""));this.stream.goBackTo(e)}return this.stream.advanceIfChars([of,lf])?this.finishToken(e,Nr):this.stream.advanceIfChars([Ln,Ln])?this.finishToken(e,sh):this.stream.advanceIfChars([cf,Ln])?this.finishToken(e,ah):this.stream.advanceIfChar(hf)?this.stream.advanceIfChar(Ln)?this.finishToken(e,Es):this.finishToken(e,p.Delim):this.stream.advanceIfChar(df)?this.stream.advanceIfChar(Ln)?this.finishToken(e,ks):this.finishToken(e,p.Delim):this.stream.advanceIfChars([Cs,Cs,Cs])?this.finishToken(e,Dr):super.scanNext(e)}comment(){return super.comment()?!0:!this.inURL&&this.stream.advanceIfChars([ih,ih])?(this.stream.advanceWhileChar(e=>{switch(e){case nf:case rf:case sf:return!1;default:return!0}}),!0):!1}},Fs=class{constructor(e,t){this.id=e,this.message=t}},Rs={FromExpected:new Fs("scss-fromexpected",g("'from' expected")),ThroughOrToExpected:new Fs("scss-throughexpected",g("'through' or 'to' expected")),InExpected:new Fs("scss-fromexpected",g("'in' expected"))},uf=class extends Cr{constructor(){super(new oh)}_parseStylesheetStatement(e=!1){return this.peek(p.AtKeyword)?this._parseWarnAndDebug()||this._parseControlStatement()||this._parseMixinDeclaration()||this._parseMixinContent()||this._parseMixinReference()||this._parseFunctionDeclaration()||this._parseForward()||this._parseUse()||this._parseRuleset(e)||super._parseStylesheetAtStatement(e):this._parseRuleset(!0)||this._parseVariableDeclaration()}_parseImport(){if(!this.peekKeyword("@import"))return null;const e=this.create(Ti);if(this.consumeToken(),!e.addChild(this._parseURILiteral())&&!e.addChild(this._parseStringLiteral()))return this.finish(e,y.URIOrStringExpected);for(;this.accept(p.Comma);)if(!e.addChild(this._parseURILiteral())&&!e.addChild(this._parseStringLiteral()))return this.finish(e,y.URIOrStringExpected);return this._completeParseImport(e)}_parseVariableDeclaration(e=[]){if(!this.peek(_s))return null;const t=this.create(hr);if(!t.setVariable(this._parseVariable()))return null;if(!this.accept(p.Colon))return this.finish(t,y.ColonExpected);if(this.prevToken&&(t.colonPosition=this.prevToken.offset),!t.setValue(this._parseExpr()))return this.finish(t,y.VariableValueExpected,[],e);for(;this.peek(p.Exclamation);)if(!t.addChild(this._tryParsePrio())){if(this.consumeToken(),!this.peekRegExp(p.Ident,/^(default|global)$/))return this.finish(t,y.UnknownKeyword);this.consumeToken()}return this.peek(p.SemiColon)&&(t.semicolonPosition=this.token.offset),this.finish(t)}_parseMediaCondition(){return this._parseInterpolation()||super._parseMediaCondition()}_parseMediaFeatureRangeOperator(){return this.accept(Es)||this.accept(ks)||super._parseMediaFeatureRangeOperator()}_parseMediaFeatureName(){return this._parseModuleMember()||this._parseFunction()||this._parseIdent()||this._parseVariable()}_parseKeyframeSelector(){return this._tryParseKeyframeSelector()||this._parseControlStatement(this._parseKeyframeSelector.bind(this))||this._parseWarnAndDebug()||this._parseMixinReference()||this._parseFunctionDeclaration()||this._parseVariableDeclaration()||this._parseMixinContent()}_parseVariable(){if(!this.peek(_s))return null;const e=this.create(qi);return this.consumeToken(),e}_parseModuleMember(){const e=this.mark(),t=this.create(Fl);return t.setIdentifier(this._parseIdent([J.Module]))?this.hasWhitespace()||!this.acceptDelim(".")||this.hasWhitespace()?(this.restoreAtMark(e),null):t.addChild(this._parseVariable()||this._parseFunction())?t:this.finish(t,y.IdentifierOrVariableExpected):null}_parseIdent(e){if(!this.peek(p.Ident)&&!this.peek(Nr)&&!this.peekDelim("-"))return null;const t=this.create(Me);t.referenceTypes=e,t.isCustomProperty=this.peekRegExp(p.Ident,/^--/);let n=!1;const r=()=>{const i=this.mark();return this.acceptDelim("-")&&(this.hasWhitespace()||this.acceptDelim("-"),this.hasWhitespace())?(this.restoreAtMark(i),null):this._parseInterpolation()};for(;(this.accept(p.Ident)||t.addChild(r())||n&&this.acceptRegexp(/^[\w-]/))&&(n=!0,!this.hasWhitespace()););return n?this.finish(t):null}_parseTermExpression(){return this._parseModuleMember()||this._parseVariable()||this._parseNestingSelector()||super._parseTermExpression()}_parseInterpolation(){if(this.peek(Nr)){const e=this.create(Bi);return this.consumeToken(),!e.addChild(this._parseExpr())&&!this._parseNestingSelector()?this.accept(p.CurlyR)?this.finish(e):this.finish(e,y.ExpressionExpected):this.accept(p.CurlyR)?this.finish(e):this.finish(e,y.RightCurlyExpected)}return null}_parseOperator(){if(this.peek(sh)||this.peek(ah)||this.peek(ks)||this.peek(Es)||this.peekDelim(">")||this.peekDelim("<")||this.peekIdent("and")||this.peekIdent("or")||this.peekDelim("%")){const e=this.createNode(b.Operator);return this.consumeToken(),this.finish(e)}return super._parseOperator()}_parseUnaryOperator(){if(this.peekIdent("not")){const e=this.create(O);return this.consumeToken(),this.finish(e)}return super._parseUnaryOperator()}_parseRuleSetDeclaration(){return this.peek(p.AtKeyword)?this._parseKeyframe()||this._parseImport()||this._parseMedia(!0)||this._parseFontFace()||this._parseWarnAndDebug()||this._parseControlStatement()||this._parseFunctionDeclaration()||this._parseExtends()||this._parseMixinReference()||this._parseMixinContent()||this._parseMixinDeclaration()||this._parseRuleset(!0)||this._parseSupports(!0)||this._parseLayer()||this._parsePropertyAtRule()||this._parseContainer(!0)||this._parseRuleSetDeclarationAtStatement():this._parseVariableDeclaration()||this._tryParseRuleset(!0)||this._parseDeclaration()}_parseDeclaration(e){const t=this._tryParseCustomPropertyDeclaration(e);if(t)return t;const n=this.create(je);if(!n.setProperty(this._parseProperty()))return null;if(!this.accept(p.Colon))return this.finish(n,y.ColonExpected,[p.Colon],e||[p.SemiColon]);this.prevToken&&(n.colonPosition=this.prevToken.offset);let r=!1;if(n.setValue(this._parseExpr())&&(r=!0,n.addChild(this._parsePrio())),this.peek(p.CurlyL))n.setNestedProperties(this._parseNestedProperties());else if(!r)return this.finish(n,y.PropertyValueExpected);return this.peek(p.SemiColon)&&(n.semicolonPosition=this.token.offset),this.finish(n)}_parseNestedProperties(){const e=this.create(yl);return this._parseBody(e,this._parseDeclaration.bind(this))}_parseExtends(){if(this.peekKeyword("@extend")){const e=this.create(En);if(this.consumeToken(),!e.getSelectors().addChild(this._parseSimpleSelector()))return this.finish(e,y.SelectorExpected);for(;this.accept(p.Comma);)e.getSelectors().addChild(this._parseSimpleSelector());return this.accept(p.Exclamation)&&!this.acceptIdent("optional")?this.finish(e,y.UnknownKeyword):this.finish(e)}return null}_parseSimpleSelectorBody(){return this._parseSelectorPlaceholder()||super._parseSimpleSelectorBody()}_parseNestingSelector(){if(this.peekDelim("&")){const e=this.createNode(b.SelectorCombinator);for(this.consumeToken();!this.hasWhitespace()&&(this.acceptDelim("-")||this.accept(p.Num)||this.accept(p.Dimension)||e.addChild(this._parseIdent())||this.acceptDelim("&")););return this.finish(e)}return null}_parseSelectorPlaceholder(){if(this.peekDelim("%")){const e=this.createNode(b.SelectorPlaceholder);return this.consumeToken(),this._parseIdent(),this.finish(e)}else if(this.peekKeyword("@at-root")){const e=this.createNode(b.SelectorPlaceholder);if(this.consumeToken(),this.accept(p.ParenthesisL)){if(!this.acceptIdent("with")&&!this.acceptIdent("without"))return this.finish(e,y.IdentifierExpected);if(!this.accept(p.Colon))return this.finish(e,y.ColonExpected);if(!e.addChild(this._parseIdent()))return this.finish(e,y.IdentifierExpected);if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[p.CurlyR])}return this.finish(e)}return null}_parseElementName(){const e=this.mark(),t=super._parseElementName();return t&&!this.hasWhitespace()&&this.peek(p.ParenthesisL)?(this.restoreAtMark(e),null):t}_tryParsePseudoIdentifier(){return this._parseInterpolation()||super._tryParsePseudoIdentifier()}_parseWarnAndDebug(){if(!this.peekKeyword("@debug")&&!this.peekKeyword("@warn")&&!this.peekKeyword("@error"))return null;const e=this.createNode(b.Debug);return this.consumeToken(),e.addChild(this._parseExpr()),this.finish(e)}_parseControlStatement(e=this._parseRuleSetDeclaration.bind(this)){return this.peek(p.AtKeyword)?this._parseIfStatement(e)||this._parseForStatement(e)||this._parseEachStatement(e)||this._parseWhileStatement(e):null}_parseIfStatement(e){return this.peekKeyword("@if")?this._internalParseIfStatement(e):null}_internalParseIfStatement(e){const t=this.create(Dp);if(this.consumeToken(),!t.setExpression(this._parseExpr(!0)))return this.finish(t,y.ExpressionExpected);if(this._parseBody(t,e),this.acceptKeyword("@else")){if(this.peekIdent("if"))t.setElseClause(this._internalParseIfStatement(e));else if(this.peek(p.CurlyL)){const n=this.create(Lp);this._parseBody(n,e),t.setElseClause(n)}}return this.finish(t)}_parseForStatement(e){if(!this.peekKeyword("@for"))return null;const t=this.create(Ip);return this.consumeToken(),t.setVariable(this._parseVariable())?this.acceptIdent("from")?t.addChild(this._parseBinaryExpr())?!this.acceptIdent("to")&&!this.acceptIdent("through")?this.finish(t,Rs.ThroughOrToExpected,[p.CurlyR]):t.addChild(this._parseBinaryExpr())?this._parseBody(t,e):this.finish(t,y.ExpressionExpected,[p.CurlyR]):this.finish(t,y.ExpressionExpected,[p.CurlyR]):this.finish(t,Rs.FromExpected,[p.CurlyR]):this.finish(t,y.VariableNameExpected,[p.CurlyR])}_parseEachStatement(e){if(!this.peekKeyword("@each"))return null;const t=this.create(Ap);this.consumeToken();const n=t.getVariables();if(!n.addChild(this._parseVariable()))return this.finish(t,y.VariableNameExpected,[p.CurlyR]);for(;this.accept(p.Comma);)if(!n.addChild(this._parseVariable()))return this.finish(t,y.VariableNameExpected,[p.CurlyR]);return this.finish(n),this.acceptIdent("in")?t.addChild(this._parseExpr())?this._parseBody(t,e):this.finish(t,y.ExpressionExpected,[p.CurlyR]):this.finish(t,Rs.InExpected,[p.CurlyR])}_parseWhileStatement(e){if(!this.peekKeyword("@while"))return null;const t=this.create(Mp);return this.consumeToken(),t.addChild(this._parseBinaryExpr())?this._parseBody(t,e):this.finish(t,y.ExpressionExpected,[p.CurlyR])}_parseFunctionBodyDeclaration(){return this._parseVariableDeclaration()||this._parseReturnStatement()||this._parseWarnAndDebug()||this._parseControlStatement(this._parseFunctionBodyDeclaration.bind(this))}_parseFunctionDeclaration(){if(!this.peekKeyword("@function"))return null;const e=this.create(cr);if(this.consumeToken(),!e.setIdentifier(this._parseIdent([J.Function])))return this.finish(e,y.IdentifierExpected,[p.CurlyR]);if(!this.accept(p.ParenthesisL))return this.finish(e,y.LeftParenthesisExpected,[p.CurlyR]);if(e.getParameters().addChild(this._parseParameterDeclaration())){for(;this.accept(p.Comma)&&!this.peek(p.ParenthesisR);)if(!e.getParameters().addChild(this._parseParameterDeclaration()))return this.finish(e,y.VariableNameExpected)}return this.accept(p.ParenthesisR)?this._parseBody(e,this._parseFunctionBodyDeclaration.bind(this)):this.finish(e,y.RightParenthesisExpected,[p.CurlyR])}_parseReturnStatement(){if(!this.peekKeyword("@return"))return null;const e=this.createNode(b.ReturnStatement);return this.consumeToken(),e.addChild(this._parseExpr())?this.finish(e):this.finish(e,y.ExpressionExpected)}_parseMixinDeclaration(){if(!this.peekKeyword("@mixin"))return null;const e=this.create(Fn);if(this.consumeToken(),!e.setIdentifier(this._parseIdent([J.Mixin])))return this.finish(e,y.IdentifierExpected,[p.CurlyR]);if(this.accept(p.ParenthesisL)){if(e.getParameters().addChild(this._parseParameterDeclaration())){for(;this.accept(p.Comma)&&!this.peek(p.ParenthesisR);)if(!e.getParameters().addChild(this._parseParameterDeclaration()))return this.finish(e,y.VariableNameExpected)}if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[p.CurlyR])}return this._parseBody(e,this._parseRuleSetDeclaration.bind(this))}_parseParameterDeclaration(){const e=this.create(lr);return e.setIdentifier(this._parseVariable())?(this.accept(Dr),this.accept(p.Colon)&&!e.setDefaultValue(this._parseExpr(!0))?this.finish(e,y.VariableValueExpected,[],[p.Comma,p.ParenthesisR]):this.finish(e)):null}_parseMixinContent(){if(!this.peekKeyword("@content"))return null;const e=this.create(tm);if(this.consumeToken(),this.accept(p.ParenthesisL)){if(e.getArguments().addChild(this._parseFunctionArgument())){for(;this.accept(p.Comma)&&!this.peek(p.ParenthesisR);)if(!e.getArguments().addChild(this._parseFunctionArgument()))return this.finish(e,y.ExpressionExpected)}if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected)}return this.finish(e)}_parseMixinReference(){if(!this.peekKeyword("@include"))return null;const e=this.create(dr);this.consumeToken();const t=this._parseIdent([J.Mixin]);if(!e.setIdentifier(t))return this.finish(e,y.IdentifierExpected,[p.CurlyR]);if(!this.hasWhitespace()&&this.acceptDelim(".")&&!this.hasWhitespace()){const n=this._parseIdent([J.Mixin]);if(!n)return this.finish(e,y.IdentifierExpected,[p.CurlyR]);const r=this.create(Fl);t.referenceTypes=[J.Module],r.setIdentifier(t),e.setIdentifier(n),e.addChild(r)}if(this.accept(p.ParenthesisL)){if(e.getArguments().addChild(this._parseFunctionArgument())){for(;this.accept(p.Comma)&&!this.peek(p.ParenthesisR);)if(!e.getArguments().addChild(this._parseFunctionArgument()))return this.finish(e,y.ExpressionExpected)}if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected)}return(this.peekIdent("using")||this.peek(p.CurlyL))&&e.setContent(this._parseMixinContentDeclaration()),this.finish(e)}_parseMixinContentDeclaration(){const e=this.create(nm);if(this.acceptIdent("using")){if(!this.accept(p.ParenthesisL))return this.finish(e,y.LeftParenthesisExpected,[p.CurlyL]);if(e.getParameters().addChild(this._parseParameterDeclaration())){for(;this.accept(p.Comma)&&!this.peek(p.ParenthesisR);)if(!e.getParameters().addChild(this._parseParameterDeclaration()))return this.finish(e,y.VariableNameExpected)}if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[p.CurlyL])}return this.peek(p.CurlyL)&&this._parseBody(e,this._parseMixinReferenceBodyStatement.bind(this)),this.finish(e)}_parseMixinReferenceBodyStatement(){return this._tryParseKeyframeSelector()||this._parseRuleSetDeclaration()}_parseFunctionArgument(){const e=this.create(Xt),t=this.mark(),n=this._parseVariable();if(n)if(this.accept(p.Colon))e.setIdentifier(n);else{if(this.accept(Dr))return e.setValue(n),this.finish(e);this.restoreAtMark(t)}return e.setValue(this._parseExpr(!0))?(this.accept(Dr),e.addChild(this._parsePrio()),this.finish(e)):e.setValue(this._tryParsePrio())?this.finish(e):null}_parseURLArgument(){const e=this.mark(),t=super._parseURLArgument();if(!t||!this.peek(p.ParenthesisR)){this.restoreAtMark(e);const n=this.create(O);return n.addChild(this._parseBinaryExpr()),this.finish(n)}return t}_parseOperation(){if(!this.peek(p.ParenthesisL))return null;const e=this.create(O);for(this.consumeToken();e.addChild(this._parseListElement());)this.accept(p.Comma);return this.accept(p.ParenthesisR)?this.finish(e):this.finish(e,y.RightParenthesisExpected)}_parseListElement(){const e=this.create(rm),t=this._parseBinaryExpr();if(!t)return null;if(this.accept(p.Colon)){if(e.setKey(t),!e.setValue(this._parseBinaryExpr()))return this.finish(e,y.ExpressionExpected)}else e.setValue(t);return this.finish(e)}_parseUse(){if(!this.peekKeyword("@use"))return null;const e=this.create(Pp);if(this.consumeToken(),!e.addChild(this._parseStringLiteral()))return this.finish(e,y.StringLiteralExpected);if(!this.peek(p.SemiColon)&&!this.peek(p.EOF)){if(!this.peekRegExp(p.Ident,/as|with/))return this.finish(e,y.UnknownKeyword);if(this.acceptIdent("as")&&!e.setIdentifier(this._parseIdent([J.Module]))&&!this.acceptDelim("*"))return this.finish(e,y.IdentifierOrWildcardExpected);if(this.acceptIdent("with")){if(!this.accept(p.ParenthesisL))return this.finish(e,y.LeftParenthesisExpected,[p.ParenthesisR]);if(!e.getParameters().addChild(this._parseModuleConfigDeclaration()))return this.finish(e,y.VariableNameExpected);for(;this.accept(p.Comma)&&!this.peek(p.ParenthesisR);)if(!e.getParameters().addChild(this._parseModuleConfigDeclaration()))return this.finish(e,y.VariableNameExpected);if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected)}}return!this.accept(p.SemiColon)&&!this.accept(p.EOF)?this.finish(e,y.SemiColonExpected):this.finish(e)}_parseModuleConfigDeclaration(){const e=this.create(Tp);return e.setIdentifier(this._parseVariable())?!this.accept(p.Colon)||!e.setValue(this._parseExpr(!0))?this.finish(e,y.VariableValueExpected,[],[p.Comma,p.ParenthesisR]):this.accept(p.Exclamation)&&(this.hasWhitespace()||!this.acceptIdent("default"))?this.finish(e,y.UnknownKeyword):this.finish(e):null}_parseForward(){if(!this.peekKeyword("@forward"))return null;const e=this.create(Op);if(this.consumeToken(),!e.addChild(this._parseStringLiteral()))return this.finish(e,y.StringLiteralExpected);if(this.acceptIdent("as")){const t=this._parseIdent([J.Forward]);if(!e.setIdentifier(t))return this.finish(e,y.IdentifierExpected);if(this.hasWhitespace()||!this.acceptDelim("*"))return this.finish(e,y.WildcardExpected)}if(this.acceptIdent("with")){if(!this.accept(p.ParenthesisL))return this.finish(e,y.LeftParenthesisExpected,[p.ParenthesisR]);if(!e.getParameters().addChild(this._parseModuleConfigDeclaration()))return this.finish(e,y.VariableNameExpected);for(;this.accept(p.Comma)&&!this.peek(p.ParenthesisR);)if(!e.getParameters().addChild(this._parseModuleConfigDeclaration()))return this.finish(e,y.VariableNameExpected);if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected)}else if((this.peekIdent("hide")||this.peekIdent("show"))&&!e.addChild(this._parseForwardVisibility()))return this.finish(e,y.IdentifierOrVariableExpected);return!this.accept(p.SemiColon)&&!this.accept(p.EOF)?this.finish(e,y.SemiColonExpected):this.finish(e)}_parseForwardVisibility(){const e=this.create(Wp);for(e.setIdentifier(this._parseIdent());e.addChild(this._parseVariable()||this._parseIdent());)this.accept(p.Comma);return e.getChildren().length>1?e:null}_parseSupportsCondition(){return this._parseInterpolation()||super._parseSupportsCondition()}},ot=g("Sass documentation"),xt=class Xe extends us{constructor(t,n){super("$",t,n),lh(Xe.scssModuleLoaders),lh(Xe.scssModuleBuiltIns)}isImportPathParent(t){return t===b.Forward||t===b.Use||super.isImportPathParent(t)}getCompletionForImportPath(t,n){const r=t.getParent().type;if(r===b.Forward||r===b.Use)for(let i of Xe.scssModuleBuiltIns){const s={label:i.label,documentation:i.documentation,textEdit:B.replace(this.getCompletionRange(t),`'${i.label}'`),kind:V.Module};n.items.push(s)}return super.getCompletionForImportPath(t,n)}createReplaceFunction(){let t=1;return(n,r)=>"\\"+r+": ${"+t+++":"+(Xe.variableDefaults[r]||"")+"}"}createFunctionProposals(t,n,r,i){for(const s of t){const a=s.func.replace(/\[?(\$\w+)\]?/g,this.createReplaceFunction()),o={label:s.func.substr(0,s.func.indexOf("(")),detail:s.func,documentation:s.desc,textEdit:B.replace(this.getCompletionRange(n),a),insertTextFormat:Ne.Snippet,kind:V.Function};r&&(o.sortText="z"),i.items.push(o)}return i}getCompletionsForSelector(t,n,r){return this.createFunctionProposals(Xe.selectorFuncs,null,!0,r),super.getCompletionsForSelector(t,n,r)}getTermProposals(t,n,r){let i=Xe.builtInFuncs;return t&&(i=i.filter(s=>!s.type||!t.restrictions||t.restrictions.indexOf(s.type)!==-1)),this.createFunctionProposals(i,n,!0,r),super.getTermProposals(t,n,r)}getColorProposals(t,n,r){return this.createFunctionProposals(Xe.colorProposals,n,!1,r),super.getColorProposals(t,n,r)}getCompletionsForDeclarationProperty(t,n){return this.getCompletionForAtDirectives(n),this.getCompletionsForSelector(null,!0,n),super.getCompletionsForDeclarationProperty(t,n)}getCompletionsForExtendsReference(t,n,r){const i=this.getSymbolContext().findSymbolsAtOffset(this.offset,J.Rule);for(const s of i){const a={label:s.name,textEdit:B.replace(this.getCompletionRange(n),s.name),kind:V.Function};r.items.push(a)}return r}getCompletionForAtDirectives(t){return t.items.push(...Xe.scssAtDirectives),t}getCompletionForTopLevel(t){return this.getCompletionForAtDirectives(t),this.getCompletionForModuleLoaders(t),super.getCompletionForTopLevel(t),t}getCompletionForModuleLoaders(t){return t.items.push(...Xe.scssModuleLoaders),t}};xt.variableDefaults={$red:"1",$green:"2",$blue:"3",$alpha:"1.0",$color:"#000000",$weight:"0.5",$hue:"0",$saturation:"0%",$lightness:"0%",$degrees:"0",$amount:"0",$string:'""',$substring:'"s"',$number:"0",$limit:"1"},xt.colorProposals=[{func:"red($color)",desc:g("Gets the red component of a color.")},{func:"green($color)",desc:g("Gets the green component of a color.")},{func:"blue($color)",desc:g("Gets the blue component of a color.")},{func:"mix($color, $color, [$weight])",desc:g("Mixes two colors together.")},{func:"hue($color)",desc:g("Gets the hue component of a color.")},{func:"saturation($color)",desc:g("Gets the saturation component of a color.")},{func:"lightness($color)",desc:g("Gets the lightness component of a color.")},{func:"adjust-hue($color, $degrees)",desc:g("Changes the hue of a color.")},{func:"lighten($color, $amount)",desc:g("Makes a color lighter.")},{func:"darken($color, $amount)",desc:g("Makes a color darker.")},{func:"saturate($color, $amount)",desc:g("Makes a color more saturated.")},{func:"desaturate($color, $amount)",desc:g("Makes a color less saturated.")},{func:"grayscale($color)",desc:g("Converts a color to grayscale.")},{func:"complement($color)",desc:g("Returns the complement of a color.")},{func:"invert($color)",desc:g("Returns the inverse of a color.")},{func:"alpha($color)",desc:g("Gets the opacity component of a color.")},{func:"opacity($color)",desc:"Gets the alpha component (opacity) of a color."},{func:"rgba($color, $alpha)",desc:g("Changes the alpha component for a color.")},{func:"opacify($color, $amount)",desc:g("Makes a color more opaque.")},{func:"fade-in($color, $amount)",desc:g("Makes a color more opaque.")},{func:"transparentize($color, $amount)",desc:g("Makes a color more transparent.")},{func:"fade-out($color, $amount)",desc:g("Makes a color more transparent.")},{func:"adjust-color($color, [$red], [$green], [$blue], [$hue], [$saturation], [$lightness], [$alpha])",desc:g("Increases or decreases one or more components of a color.")},{func:"scale-color($color, [$red], [$green], [$blue], [$saturation], [$lightness], [$alpha])",desc:g("Fluidly scales one or more properties of a color.")},{func:"change-color($color, [$red], [$green], [$blue], [$hue], [$saturation], [$lightness], [$alpha])",desc:g("Changes one or more properties of a color.")},{func:"ie-hex-str($color)",desc:g("Converts a color into the format understood by IE filters.")}],xt.selectorFuncs=[{func:"selector-nest($selectors…)",desc:g("Nests selector beneath one another like they would be nested in the stylesheet.")},{func:"selector-append($selectors…)",desc:g("Appends selectors to one another without spaces in between.")},{func:"selector-extend($selector, $extendee, $extender)",desc:g("Extends $extendee with $extender within $selector.")},{func:"selector-replace($selector, $original, $replacement)",desc:g("Replaces $original with $replacement within $selector.")},{func:"selector-unify($selector1, $selector2)",desc:g("Unifies two selectors to produce a selector that matches elements matched by both.")},{func:"is-superselector($super, $sub)",desc:g("Returns whether $super matches all the elements $sub does, and possibly more.")},{func:"simple-selectors($selector)",desc:g("Returns the simple selectors that comprise a compound selector.")},{func:"selector-parse($selector)",desc:g("Parses a selector into the format returned by &.")}],xt.builtInFuncs=[{func:"unquote($string)",desc:g("Removes quotes from a string.")},{func:"quote($string)",desc:g("Adds quotes to a string.")},{func:"str-length($string)",desc:g("Returns the number of characters in a string.")},{func:"str-insert($string, $insert, $index)",desc:g("Inserts $insert into $string at $index.")},{func:"str-index($string, $substring)",desc:g("Returns the index of the first occurance of $substring in $string.")},{func:"str-slice($string, $start-at, [$end-at])",desc:g("Extracts a substring from $string.")},{func:"to-upper-case($string)",desc:g("Converts a string to upper case.")},{func:"to-lower-case($string)",desc:g("Converts a string to lower case.")},{func:"percentage($number)",desc:g("Converts a unitless number to a percentage."),type:"percentage"},{func:"round($number)",desc:g("Rounds a number to the nearest whole number.")},{func:"ceil($number)",desc:g("Rounds a number up to the next whole number.")},{func:"floor($number)",desc:g("Rounds a number down to the previous whole number.")},{func:"abs($number)",desc:g("Returns the absolute value of a number.")},{func:"min($numbers)",desc:g("Finds the minimum of several numbers.")},{func:"max($numbers)",desc:g("Finds the maximum of several numbers.")},{func:"random([$limit])",desc:g("Returns a random number.")},{func:"length($list)",desc:g("Returns the length of a list.")},{func:"nth($list, $n)",desc:g("Returns a specific item in a list.")},{func:"set-nth($list, $n, $value)",desc:g("Replaces the nth item in a list.")},{func:"join($list1, $list2, [$separator])",desc:g("Joins together two lists into one.")},{func:"append($list1, $val, [$separator])",desc:g("Appends a single value onto the end of a list.")},{func:"zip($lists)",desc:g("Combines several lists into a single multidimensional list.")},{func:"index($list, $value)",desc:g("Returns the position of a value within a list.")},{func:"list-separator(#list)",desc:g("Returns the separator of a list.")},{func:"map-get($map, $key)",desc:g("Returns the value in a map associated with a given key.")},{func:"map-merge($map1, $map2)",desc:g("Merges two maps together into a new map.")},{func:"map-remove($map, $keys)",desc:g("Returns a new map with keys removed.")},{func:"map-keys($map)",desc:g("Returns a list of all keys in a map.")},{func:"map-values($map)",desc:g("Returns a list of all values in a map.")},{func:"map-has-key($map, $key)",desc:g("Returns whether a map has a value associated with a given key.")},{func:"keywords($args)",desc:g("Returns the keywords passed to a function that takes variable arguments.")},{func:"feature-exists($feature)",desc:g("Returns whether a feature exists in the current Sass runtime.")},{func:"variable-exists($name)",desc:g("Returns whether a variable with the given name exists in the current scope.")},{func:"global-variable-exists($name)",desc:g("Returns whether a variable with the given name exists in the global scope.")},{func:"function-exists($name)",desc:g("Returns whether a function with the given name exists.")},{func:"mixin-exists($name)",desc:g("Returns whether a mixin with the given name exists.")},{func:"inspect($value)",desc:g("Returns the string representation of a value as it would be represented in Sass.")},{func:"type-of($value)",desc:g("Returns the type of a value.")},{func:"unit($number)",desc:g("Returns the unit(s) associated with a number.")},{func:"unitless($number)",desc:g("Returns whether a number has units.")},{func:"comparable($number1, $number2)",desc:g("Returns whether two numbers can be added, subtracted, or compared.")},{func:"call($name, $args…)",desc:g("Dynamically calls a Sass function.")}],xt.scssAtDirectives=[{label:"@extend",documentation:g("Inherits the styles of another selector."),kind:V.Keyword},{label:"@at-root",documentation:g("Causes one or more rules to be emitted at the root of the document."),kind:V.Keyword},{label:"@debug",documentation:g("Prints the value of an expression to the standard error output stream. Useful for debugging complicated Sass files."),kind:V.Keyword},{label:"@warn",documentation:g("Prints the value of an expression to the standard error output stream. Useful for libraries that need to warn users of deprecations or recovering from minor mixin usage mistakes. Warnings can be turned off with the `--quiet` command-line option or the `:quiet` Sass option."),kind:V.Keyword},{label:"@error",documentation:g("Throws the value of an expression as a fatal error with stack trace. Useful for validating arguments to mixins and functions."),kind:V.Keyword},{label:"@if",documentation:g("Includes the body if the expression does not evaluate to `false` or `null`."),insertText:`@if \${1:expr} {
+ $0
+}`,insertTextFormat:Ne.Snippet,kind:V.Keyword},{label:"@for",documentation:g("For loop that repeatedly outputs a set of styles for each `$var` in the `from/through` or `from/to` clause."),insertText:"@for \\$${1:var} from ${2:start} ${3|to,through|} ${4:end} {\n $0\n}",insertTextFormat:Ne.Snippet,kind:V.Keyword},{label:"@each",documentation:g("Each loop that sets `$var` to each item in the list or map, then outputs the styles it contains using that value of `$var`."),insertText:"@each \\$${1:var} in ${2:list} {\n $0\n}",insertTextFormat:Ne.Snippet,kind:V.Keyword},{label:"@while",documentation:g("While loop that takes an expression and repeatedly outputs the nested styles until the statement evaluates to `false`."),insertText:`@while \${1:condition} {
+ $0
+}`,insertTextFormat:Ne.Snippet,kind:V.Keyword},{label:"@mixin",documentation:g("Defines styles that can be re-used throughout the stylesheet with `@include`."),insertText:`@mixin \${1:name} {
+ $0
+}`,insertTextFormat:Ne.Snippet,kind:V.Keyword},{label:"@include",documentation:g("Includes the styles defined by another mixin into the current rule."),kind:V.Keyword},{label:"@function",documentation:g("Defines complex operations that can be re-used throughout stylesheets."),kind:V.Keyword}],xt.scssModuleLoaders=[{label:"@use",documentation:g("Loads mixins, functions, and variables from other Sass stylesheets as 'modules', and combines CSS from multiple stylesheets together."),references:[{name:ot,url:"https://sass-lang.com/documentation/at-rules/use"}],insertText:"@use $0;",insertTextFormat:Ne.Snippet,kind:V.Keyword},{label:"@forward",documentation:g("Loads a Sass stylesheet and makes its mixins, functions, and variables available when this stylesheet is loaded with the @use rule."),references:[{name:ot,url:"https://sass-lang.com/documentation/at-rules/forward"}],insertText:"@forward $0;",insertTextFormat:Ne.Snippet,kind:V.Keyword}],xt.scssModuleBuiltIns=[{label:"sass:math",documentation:g("Provides functions that operate on numbers."),references:[{name:ot,url:"https://sass-lang.com/documentation/modules/math"}]},{label:"sass:string",documentation:g("Makes it easy to combine, search, or split apart strings."),references:[{name:ot,url:"https://sass-lang.com/documentation/modules/string"}]},{label:"sass:color",documentation:g("Generates new colors based on existing ones, making it easy to build color themes."),references:[{name:ot,url:"https://sass-lang.com/documentation/modules/color"}]},{label:"sass:list",documentation:g("Lets you access and modify values in lists."),references:[{name:ot,url:"https://sass-lang.com/documentation/modules/list"}]},{label:"sass:map",documentation:g("Makes it possible to look up the value associated with a key in a map, and much more."),references:[{name:ot,url:"https://sass-lang.com/documentation/modules/map"}]},{label:"sass:selector",documentation:g("Provides access to Sass’s powerful selector engine."),references:[{name:ot,url:"https://sass-lang.com/documentation/modules/selector"}]},{label:"sass:meta",documentation:g("Exposes the details of Sass’s inner workings."),references:[{name:ot,url:"https://sass-lang.com/documentation/modules/meta"}]}];function lh(e){e.forEach(t=>{if(t.documentation&&t.references&&t.references.length>0){const n=typeof t.documentation=="string"?{kind:"markdown",value:t.documentation}:{kind:"markdown",value:t.documentation.value};n.value+=`
+
+`,n.value+=t.references.map(r=>`[${r.name}](${r.url})`).join(" | "),t.documentation=n}})}var ch=47,pf=10,mf=13,ff=12,Ns=96,Ds=46,gf=p.CustomToken,Is=gf++,hh=class extends Sn{scanNext(e){const t=this.escapedJavaScript();return t!==null?this.finishToken(e,t):this.stream.advanceIfChars([Ds,Ds,Ds])?this.finishToken(e,Is):super.scanNext(e)}comment(){return super.comment()?!0:!this.inURL&&this.stream.advanceIfChars([ch,ch])?(this.stream.advanceWhileChar(e=>{switch(e){case pf:case mf:case ff:return!1;default:return!0}}),!0):!1}escapedJavaScript(){return this.stream.peekChar()===Ns?(this.stream.advance(1),this.stream.advanceWhileChar(t=>t!==Ns),this.stream.advanceIfChar(Ns)?p.EscapedJavaScript:p.BadEscapedJavaScript):null}},bf=class extends Cr{constructor(){super(new hh)}_parseStylesheetStatement(e=!1){return this.peek(p.AtKeyword)?this._parseVariableDeclaration()||this._parsePlugin()||super._parseStylesheetAtStatement(e):this._tryParseMixinDeclaration()||this._tryParseMixinReference()||this._parseFunction()||this._parseRuleset(!0)}_parseImport(){if(!this.peekKeyword("@import")&&!this.peekKeyword("@import-once"))return null;const e=this.create(Ti);if(this.consumeToken(),this.accept(p.ParenthesisL)){if(!this.accept(p.Ident))return this.finish(e,y.IdentifierExpected,[p.SemiColon]);do if(!this.accept(p.Comma))break;while(this.accept(p.Ident));if(!this.accept(p.ParenthesisR))return this.finish(e,y.RightParenthesisExpected,[p.SemiColon])}return!e.addChild(this._parseURILiteral())&&!e.addChild(this._parseStringLiteral())?this.finish(e,y.URIOrStringExpected,[p.SemiColon]):(!this.peek(p.SemiColon)&&!this.peek(p.EOF)&&e.setMedialist(this._parseMediaQueryList()),this._completeParseImport(e))}_parsePlugin(){if(!this.peekKeyword("@plugin"))return null;const e=this.createNode(b.Plugin);return this.consumeToken(),e.addChild(this._parseStringLiteral())?this.accept(p.SemiColon)?this.finish(e):this.finish(e,y.SemiColonExpected):this.finish(e,y.StringLiteralExpected)}_parseMediaQuery(){const e=super._parseMediaQuery();if(!e){const t=this.create(_l);return t.addChild(this._parseVariable())?this.finish(t):null}return e}_parseMediaDeclaration(e=!1){return this._tryParseRuleset(e)||this._tryToParseDeclaration()||this._tryParseMixinDeclaration()||this._tryParseMixinReference()||this._parseDetachedRuleSetMixin()||this._parseStylesheetStatement(e)}_parseMediaFeatureName(){return this._parseIdent()||this._parseVariable()}_parseVariableDeclaration(e=[]){const t=this.create(hr),n=this.mark();if(!t.setVariable(this._parseVariable(!0)))return null;if(this.accept(p.Colon)){if(this.prevToken&&(t.colonPosition=this.prevToken.offset),t.setValue(this._parseDetachedRuleSet()))t.needsSemicolon=!1;else if(!t.setValue(this._parseExpr()))return this.finish(t,y.VariableValueExpected,[],e);t.addChild(this._parsePrio())}else return this.restoreAtMark(n),null;return this.peek(p.SemiColon)&&(t.semicolonPosition=this.token.offset),this.finish(t)}_parseDetachedRuleSet(){let e=this.mark();if(this.peekDelim("#")||this.peekDelim("."))if(this.consumeToken(),!this.hasWhitespace()&&this.accept(p.ParenthesisL)){let n=this.create(Fn);if(n.getParameters().addChild(this._parseMixinParameter()))for(;(this.accept(p.Comma)||this.accept(p.SemiColon))&&!this.peek(p.ParenthesisR);)n.getParameters().addChild(this._parseMixinParameter())||this.markError(n,y.IdentifierExpected,[],[p.ParenthesisR]);if(!this.accept(p.ParenthesisR))return this.restoreAtMark(e),null}else return this.restoreAtMark(e),null;if(!this.peek(p.CurlyL))return null;const t=this.create(se);return this._parseBody(t,this._parseDetachedRuleSetBody.bind(this)),this.finish(t)}_parseDetachedRuleSetBody(){return this._tryParseKeyframeSelector()||this._parseRuleSetDeclaration()}_addLookupChildren(e){if(!e.addChild(this._parseLookupValue()))return!1;let t=!1;for(;this.peek(p.BracketL)&&(t=!0),!!e.addChild(this._parseLookupValue());)t=!1;return!t}_parseLookupValue(){const e=this.create(O),t=this.mark();return this.accept(p.BracketL)?(e.addChild(this._parseVariable(!1,!0))||e.addChild(this._parsePropertyIdentifier()))&&this.accept(p.BracketR)||this.accept(p.BracketR)?e:(this.restoreAtMark(t),null):(this.restoreAtMark(t),null)}_parseVariable(e=!1,t=!1){const n=!e&&this.peekDelim("$");if(!this.peekDelim("@")&&!n&&!this.peek(p.AtKeyword))return null;const r=this.create(qi),i=this.mark();for(;this.acceptDelim("@")||!e&&this.acceptDelim("$");)if(this.hasWhitespace())return this.restoreAtMark(i),null;return!this.accept(p.AtKeyword)&&!this.accept(p.Ident)?(this.restoreAtMark(i),null):!t&&this.peek(p.BracketL)&&!this._addLookupChildren(r)?(this.restoreAtMark(i),null):r}_parseTermExpression(){return this._parseVariable()||this._parseEscaped()||super._parseTermExpression()||this._tryParseMixinReference(!1)}_parseEscaped(){if(this.peek(p.EscapedJavaScript)||this.peek(p.BadEscapedJavaScript)){const e=this.createNode(b.EscapedValue);return this.consumeToken(),this.finish(e)}if(this.peekDelim("~")){const e=this.createNode(b.EscapedValue);return this.consumeToken(),this.accept(p.String)||this.accept(p.EscapedJavaScript)?this.finish(e):this.finish(e,y.TermExpected)}return null}_parseOperator(){const e=this._parseGuardOperator();return e||super._parseOperator()}_parseGuardOperator(){if(this.peekDelim(">")){const e=this.createNode(b.Operator);return this.consumeToken(),this.acceptDelim("="),e}else if(this.peekDelim("=")){const e=this.createNode(b.Operator);return this.consumeToken(),this.acceptDelim("<"),e}else if(this.peekDelim("<")){const e=this.createNode(b.Operator);return this.consumeToken(),this.acceptDelim("="),e}return null}_parseRuleSetDeclaration(){return this.peek(p.AtKeyword)?this._parseKeyframe()||this._parseMedia(!0)||this._parseImport()||this._parseSupports(!0)||this._parseLayer()||this._parsePropertyAtRule()||this._parseContainer(!0)||this._parseDetachedRuleSetMixin()||this._parseVariableDeclaration()||this._parseRuleSetDeclarationAtStatement():this._tryParseMixinDeclaration()||this._tryParseRuleset(!0)||this._tryParseMixinReference()||this._parseFunction()||this._parseExtend()||this._parseDeclaration()}_parseKeyframeIdent(){return this._parseIdent([J.Keyframe])||this._parseVariable()}_parseKeyframeSelector(){return this._parseDetachedRuleSetMixin()||super._parseKeyframeSelector()}_parseSelector(e){const t=this.create(Cn);let n=!1;for(e&&(n=t.addChild(this._parseCombinator()));t.addChild(this._parseSimpleSelector());){n=!0;const r=this.mark();if(t.addChild(this._parseGuard())&&this.peek(p.CurlyL))break;this.restoreAtMark(r),t.addChild(this._parseCombinator())}return n?this.finish(t):null}_parseNestingSelector(){if(this.peekDelim("&")){const e=this.createNode(b.SelectorCombinator);for(this.consumeToken();!this.hasWhitespace()&&(this.acceptDelim("-")||this.accept(p.Num)||this.accept(p.Dimension)||e.addChild(this._parseIdent())||this.acceptDelim("&")););return this.finish(e)}return null}_parseSelectorIdent(){if(!this.peekInterpolatedIdent())return null;const e=this.createNode(b.SelectorInterpolation);return this._acceptInterpolatedIdent(e)?this.finish(e):null}_parsePropertyIdentifier(e=!1){const t=/^[\w-]+/;if(!this.peekInterpolatedIdent()&&!this.peekRegExp(this.token.type,t))return null;const n=this.mark(),r=this.create(Me);r.isCustomProperty=this.acceptDelim("-")&&this.acceptDelim("-");let i=!1;return e?r.isCustomProperty?i=r.addChild(this._parseIdent()):i=r.addChild(this._parseRegexp(t)):r.isCustomProperty?i=this._acceptInterpolatedIdent(r):i=this._acceptInterpolatedIdent(r,t),i?(!e&&!this.hasWhitespace()&&(this.acceptDelim("+"),this.hasWhitespace()||this.acceptIdent("_")),this.finish(r)):(this.restoreAtMark(n),null)}peekInterpolatedIdent(){return this.peek(p.Ident)||this.peekDelim("@")||this.peekDelim("$")||this.peekDelim("-")}_acceptInterpolatedIdent(e,t){let n=!1;const r=()=>{const s=this.mark();return this.acceptDelim("-")&&(this.hasWhitespace()||this.acceptDelim("-"),this.hasWhitespace())?(this.restoreAtMark(s),null):this._parseInterpolation()},i=t?()=>this.acceptRegexp(t):()=>this.accept(p.Ident);for(;(i()||e.addChild(this._parseInterpolation()||this.try(r)))&&(n=!0,!this.hasWhitespace()););return n}_parseInterpolation(){const e=this.mark();if(this.peekDelim("@")||this.peekDelim("$")){const t=this.createNode(b.Interpolation);return this.consumeToken(),this.hasWhitespace()||!this.accept(p.CurlyL)?(this.restoreAtMark(e),null):t.addChild(this._parseIdent())?this.accept(p.CurlyR)?this.finish(t):this.finish(t,y.RightCurlyExpected):this.finish(t,y.IdentifierExpected)}return null}_tryParseMixinDeclaration(){const e=this.mark(),t=this.create(Fn);if(!t.setIdentifier(this._parseMixinDeclarationIdentifier())||!this.accept(p.ParenthesisL))return this.restoreAtMark(e),null;if(t.getParameters().addChild(this._parseMixinParameter()))for(;(this.accept(p.Comma)||this.accept(p.SemiColon))&&!this.peek(p.ParenthesisR);)t.getParameters().addChild(this._parseMixinParameter())||this.markError(t,y.IdentifierExpected,[],[p.ParenthesisR]);return this.accept(p.ParenthesisR)?(t.setGuard(this._parseGuard()),this.peek(p.CurlyL)?this._parseBody(t,this._parseMixInBodyDeclaration.bind(this)):(this.restoreAtMark(e),null)):(this.restoreAtMark(e),null)}_parseMixInBodyDeclaration(){return this._parseFontFace()||this._parseRuleSetDeclaration()}_parseMixinDeclarationIdentifier(){let e;if(this.peekDelim("#")||this.peekDelim(".")){if(e=this.create(Me),this.consumeToken(),this.hasWhitespace()||!e.addChild(this._parseIdent()))return null}else if(this.peek(p.Hash))e=this.create(Me),this.consumeToken();else return null;return e.referenceTypes=[J.Mixin],this.finish(e)}_parsePseudo(){if(!this.peek(p.Colon))return null;const e=this.mark(),t=this.create(En);return this.consumeToken(),this.acceptIdent("extend")?this._completeExtends(t):(this.restoreAtMark(e),super._parsePseudo())}_parseExtend(){if(!this.peekDelim("&"))return null;const e=this.mark(),t=this.create(En);return this.consumeToken(),this.hasWhitespace()||!this.accept(p.Colon)||!this.acceptIdent("extend")?(this.restoreAtMark(e),null):this._completeExtends(t)}_completeExtends(e){if(!this.accept(p.ParenthesisL))return this.finish(e,y.LeftParenthesisExpected);const t=e.getSelectors();if(!t.addChild(this._parseSelector(!0)))return this.finish(e,y.SelectorExpected);for(;this.accept(p.Comma);)if(!t.addChild(this._parseSelector(!0)))return this.finish(e,y.SelectorExpected);return this.accept(p.ParenthesisR)?this.finish(e):this.finish(e,y.RightParenthesisExpected)}_parseDetachedRuleSetMixin(){if(!this.peek(p.AtKeyword))return null;const e=this.mark(),t=this.create(dr);return t.addChild(this._parseVariable(!0))&&(this.hasWhitespace()||!this.accept(p.ParenthesisL))?(this.restoreAtMark(e),null):this.accept(p.ParenthesisR)?this.finish(t):this.finish(t,y.RightParenthesisExpected)}_tryParseMixinReference(e=!0){const t=this.mark(),n=this.create(dr);let r=this._parseMixinDeclarationIdentifier();for(;r;){this.acceptDelim(">");const s=this._parseMixinDeclarationIdentifier();if(s)n.getNamespaces().addChild(r),r=s;else break}if(!n.setIdentifier(r))return this.restoreAtMark(t),null;let i=!1;if(this.accept(p.ParenthesisL)){if(i=!0,n.getArguments().addChild(this._parseMixinArgument())){for(;(this.accept(p.Comma)||this.accept(p.SemiColon))&&!this.peek(p.ParenthesisR);)if(!n.getArguments().addChild(this._parseMixinArgument()))return this.finish(n,y.ExpressionExpected)}if(!this.accept(p.ParenthesisR))return this.finish(n,y.RightParenthesisExpected);r.referenceTypes=[J.Mixin]}else r.referenceTypes=[J.Mixin,J.Rule];return this.peek(p.BracketL)?e||this._addLookupChildren(n):n.addChild(this._parsePrio()),!i&&!this.peek(p.SemiColon)&&!this.peek(p.CurlyR)&&!this.peek(p.EOF)?(this.restoreAtMark(t),null):this.finish(n)}_parseMixinArgument(){const e=this.create(Xt),t=this.mark(),n=this._parseVariable();return n&&(this.accept(p.Colon)?e.setIdentifier(n):this.restoreAtMark(t)),e.setValue(this._parseDetachedRuleSet()||this._parseExpr(!0))?this.finish(e):(this.restoreAtMark(t),null)}_parseMixinParameter(){const e=this.create(lr);if(this.peekKeyword("@rest")){const n=this.create(O);return this.consumeToken(),this.accept(Is)?(e.setIdentifier(this.finish(n)),this.finish(e)):this.finish(e,y.DotExpected,[],[p.Comma,p.ParenthesisR])}if(this.peek(Is)){const n=this.create(O);return this.consumeToken(),e.setIdentifier(this.finish(n)),this.finish(e)}let t=!1;return e.setIdentifier(this._parseVariable())&&(this.accept(p.Colon),t=!0),!e.setDefaultValue(this._parseDetachedRuleSet()||this._parseExpr(!0))&&!t?null:this.finish(e)}_parseGuard(){if(!this.peekIdent("when"))return null;const e=this.create(im);if(this.consumeToken(),!e.getConditions().addChild(this._parseGuardCondition()))return this.finish(e,y.ConditionExpected);for(;this.acceptIdent("and")||this.accept(p.Comma);)if(!e.getConditions().addChild(this._parseGuardCondition()))return this.finish(e,y.ConditionExpected);return this.finish(e)}_parseGuardCondition(){const e=this.create(sm);return e.isNegated=this.acceptIdent("not"),this.accept(p.ParenthesisL)?(e.addChild(this._parseExpr()),this.accept(p.ParenthesisR)?this.finish(e):this.finish(e,y.RightParenthesisExpected)):e.isNegated?this.finish(e,y.LeftParenthesisExpected):null}_parseFunction(){const e=this.mark(),t=this.create(_n);if(!t.setIdentifier(this._parseFunctionIdentifier()))return null;if(this.hasWhitespace()||!this.accept(p.ParenthesisL))return this.restoreAtMark(e),null;if(t.getArguments().addChild(this._parseMixinArgument())){for(;(this.accept(p.Comma)||this.accept(p.SemiColon))&&!this.peek(p.ParenthesisR);)if(!t.getArguments().addChild(this._parseMixinArgument()))return this.finish(t,y.ExpressionExpected)}return this.accept(p.ParenthesisR)?this.finish(t):this.finish(t,y.RightParenthesisExpected)}_parseFunctionIdentifier(){if(this.peekDelim("%")){const e=this.create(Me);return e.referenceTypes=[J.Function],this.consumeToken(),this.finish(e)}return super._parseFunctionIdentifier()}_parseURLArgument(){const e=this.mark(),t=super._parseURLArgument();if(!t||!this.peek(p.ParenthesisR)){this.restoreAtMark(e);const n=this.create(O);return n.addChild(this._parseBinaryExpr()),this.finish(n)}return t}},As=class Us extends us{constructor(t,n){super("@",t,n)}createFunctionProposals(t,n,r,i){for(const s of t){const a={label:s.name,detail:s.example,documentation:s.description,textEdit:B.replace(this.getCompletionRange(n),s.name+"($0)"),insertTextFormat:Ne.Snippet,kind:V.Function};r&&(a.sortText="z"),i.items.push(a)}return i}getTermProposals(t,n,r){let i=Us.builtInProposals;return t&&(i=i.filter(s=>!s.type||!t.restrictions||t.restrictions.indexOf(s.type)!==-1)),this.createFunctionProposals(i,n,!0,r),super.getTermProposals(t,n,r)}getColorProposals(t,n,r){return this.createFunctionProposals(Us.colorProposals,n,!1,r),super.getColorProposals(t,n,r)}getCompletionsForDeclarationProperty(t,n){return this.getCompletionsForSelector(null,!0,n),super.getCompletionsForDeclarationProperty(t,n)}};As.builtInProposals=[{name:"if",example:"if(condition, trueValue [, falseValue]);",description:g("returns one of two values depending on a condition.")},{name:"boolean",example:"boolean(condition);",description:g('"store" a boolean test for later evaluation in a guard or if().')},{name:"length",example:"length(@list);",description:g("returns the number of elements in a value list")},{name:"extract",example:"extract(@list, index);",description:g("returns a value at the specified position in the list")},{name:"range",example:"range([start, ] end [, step]);",description:g("generate a list spanning a range of values")},{name:"each",example:"each(@list, ruleset);",description:g("bind the evaluation of a ruleset to each member of a list.")},{name:"escape",example:"escape(@string);",description:g("URL encodes a string")},{name:"e",example:"e(@string);",description:g("escape string content")},{name:"replace",example:"replace(@string, @pattern, @replacement[, @flags]);",description:g("string replace")},{name:"unit",example:"unit(@dimension, [@unit: '']);",description:g("remove or change the unit of a dimension")},{name:"color",example:"color(@string);",description:g("parses a string to a color"),type:"color"},{name:"convert",example:"convert(@value, unit);",description:g("converts numbers from one type into another")},{name:"data-uri",example:"data-uri([mimetype,] url);",description:g("inlines a resource and falls back to `url()`"),type:"url"},{name:"abs",description:g("absolute value of a number"),example:"abs(number);"},{name:"acos",description:g("arccosine - inverse of cosine function"),example:"acos(number);"},{name:"asin",description:g("arcsine - inverse of sine function"),example:"asin(number);"},{name:"ceil",example:"ceil(@number);",description:g("rounds up to an integer")},{name:"cos",description:g("cosine function"),example:"cos(number);"},{name:"floor",description:g("rounds down to an integer"),example:"floor(@number);"},{name:"percentage",description:g("converts to a %, e.g. 0.5 > 50%"),example:"percentage(@number);",type:"percentage"},{name:"round",description:g("rounds a number to a number of places"),example:"round(number, [places: 0]);"},{name:"sqrt",description:g("calculates square root of a number"),example:"sqrt(number);"},{name:"sin",description:g("sine function"),example:"sin(number);"},{name:"tan",description:g("tangent function"),example:"tan(number);"},{name:"atan",description:g("arctangent - inverse of tangent function"),example:"atan(number);"},{name:"pi",description:g("returns pi"),example:"pi();"},{name:"pow",description:g("first argument raised to the power of the second argument"),example:"pow(@base, @exponent);"},{name:"mod",description:g("first argument modulus second argument"),example:"mod(number, number);"},{name:"min",description:g("returns the lowest of one or more values"),example:"min(@x, @y);"},{name:"max",description:g("returns the lowest of one or more values"),example:"max(@x, @y);"}],As.colorProposals=[{name:"argb",example:"argb(@color);",description:g("creates a #AARRGGBB")},{name:"hsl",example:"hsl(@hue, @saturation, @lightness);",description:g("creates a color")},{name:"hsla",example:"hsla(@hue, @saturation, @lightness, @alpha);",description:g("creates a color")},{name:"hsv",example:"hsv(@hue, @saturation, @value);",description:g("creates a color")},{name:"hsva",example:"hsva(@hue, @saturation, @value, @alpha);",description:g("creates a color")},{name:"hue",example:"hue(@color);",description:g("returns the `hue` channel of `@color` in the HSL space")},{name:"saturation",example:"saturation(@color);",description:g("returns the `saturation` channel of `@color` in the HSL space")},{name:"lightness",example:"lightness(@color);",description:g("returns the `lightness` channel of `@color` in the HSL space")},{name:"hsvhue",example:"hsvhue(@color);",description:g("returns the `hue` channel of `@color` in the HSV space")},{name:"hsvsaturation",example:"hsvsaturation(@color);",description:g("returns the `saturation` channel of `@color` in the HSV space")},{name:"hsvvalue",example:"hsvvalue(@color);",description:g("returns the `value` channel of `@color` in the HSV space")},{name:"red",example:"red(@color);",description:g("returns the `red` channel of `@color`")},{name:"green",example:"green(@color);",description:g("returns the `green` channel of `@color`")},{name:"blue",example:"blue(@color);",description:g("returns the `blue` channel of `@color`")},{name:"alpha",example:"alpha(@color);",description:g("returns the `alpha` channel of `@color`")},{name:"luma",example:"luma(@color);",description:g("returns the `luma` value (perceptual brightness) of `@color`")},{name:"saturate",example:"saturate(@color, 10%);",description:g("return `@color` 10% points more saturated")},{name:"desaturate",example:"desaturate(@color, 10%);",description:g("return `@color` 10% points less saturated")},{name:"lighten",example:"lighten(@color, 10%);",description:g("return `@color` 10% points lighter")},{name:"darken",example:"darken(@color, 10%);",description:g("return `@color` 10% points darker")},{name:"fadein",example:"fadein(@color, 10%);",description:g("return `@color` 10% points less transparent")},{name:"fadeout",example:"fadeout(@color, 10%);",description:g("return `@color` 10% points more transparent")},{name:"fade",example:"fade(@color, 50%);",description:g("return `@color` with 50% transparency")},{name:"spin",example:"spin(@color, 10);",description:g("return `@color` with a 10 degree larger in hue")},{name:"mix",example:"mix(@color1, @color2, [@weight: 50%]);",description:g("return a mix of `@color1` and `@color2`")},{name:"greyscale",example:"greyscale(@color);",description:g("returns a grey, 100% desaturated color")},{name:"contrast",example:"contrast(@color1, [@darkcolor: black], [@lightcolor: white], [@threshold: 43%]);",description:g("return `@darkcolor` if `@color1 is> 43% luma` otherwise return `@lightcolor`, see notes")},{name:"multiply",example:"multiply(@color1, @color2);"},{name:"screen",example:"screen(@color1, @color2);"},{name:"overlay",example:"overlay(@color1, @color2);"},{name:"softlight",example:"softlight(@color1, @color2);"},{name:"hardlight",example:"hardlight(@color1, @color2);"},{name:"difference",example:"difference(@color1, @color2);"},{name:"exclusion",example:"exclusion(@color1, @color2);"},{name:"average",example:"average(@color1, @color2);"},{name:"negation",example:"negation(@color1, @color2);"}];function vf(e,t){const n=wf(e);return yf(n,t)}function wf(e){function t(d){return e.positionAt(d.offset).line}function n(d){return e.positionAt(d.offset+d.len).line}function r(){switch(e.languageId){case"scss":return new oh;case"less":return new hh;default:return new Sn}}function i(d,u){const f=t(d),m=n(d);return f!==m?{startLine:f,endLine:m,kind:u}:null}const s=[],a=[],l=r();l.ignoreComment=!1,l.setSource(e.getText());let o=l.scan(),c=null;for(;o.type!==p.EOF;){switch(o.type){case p.CurlyL:case Nr:{a.push({line:t(o),type:"brace",isStart:!0});break}case p.CurlyR:{if(a.length!==0){const d=dh(a,"brace");if(!d)break;let u=n(o);d.type==="brace"&&(c&&n(c)!==u&&u--,d.line!==u&&s.push({startLine:d.line,endLine:u,kind:void 0}))}break}case p.Comment:{const d=m=>m==="#region"?{line:t(o),type:"comment",isStart:!0}:{line:n(o),type:"comment",isStart:!1},f=(m=>{const v=m.text.match(/^\s*\/\*\s*(#region|#endregion)\b\s*(.*?)\s*\*\//);if(v)return d(v[1]);if(e.languageId==="scss"||e.languageId==="less"){const w=m.text.match(/^\s*\/\/\s*(#region|#endregion)\b\s*(.*?)\s*/);if(w)return d(w[1])}return null})(o);if(f)if(f.isStart)a.push(f);else{const m=dh(a,"comment");if(!m)break;m.type==="comment"&&m.line!==f.line&&s.push({startLine:m.line,endLine:f.line,kind:"region"})}else{const m=i(o,"comment");m&&s.push(m)}break}}c=o,o=l.scan()}return s}function dh(e,t){if(e.length===0)return null;for(let n=e.length-1;n>=0;n--)if(e[n].type===t&&e[n].isStart)return e.splice(n,1)[0];return null}function yf(e,t){const n=t&&t.rangeLimit||Number.MAX_VALUE,r=e.sort((a,l)=>{let o=a.startLine-l.startLine;return o===0&&(o=a.endLine-l.endLine),o}),i=[];let s=-1;return r.forEach(a=>{a.startLine<s&&s<a.endLine||(i.push(a),s=a.endLine)}),i.length<n?i:i.slice(0,n)}var uh;(function(){var e=[,,function(i){function s(o){this.__parent=o,this.__character_count=0,this.__indent_count=-1,this.__alignment_count=0,this.__wrap_point_index=0,this.__wrap_point_character_count=0,this.__wrap_point_indent_count=-1,this.__wrap_point_alignment_count=0,this.__items=[]}s.prototype.clone_empty=function(){var o=new s(this.__parent);return o.set_indent(this.__indent_count,this.__alignment_count),o},s.prototype.item=function(o){return o<0?this.__items[this.__items.length+o]:this.__items[o]},s.prototype.has_match=function(o){for(var c=this.__items.length-1;c>=0;c--)if(this.__items[c].match(o))return!0;return!1},s.prototype.set_indent=function(o,c){this.is_empty()&&(this.__indent_count=o||0,this.__alignment_count=c||0,this.__character_count=this.__parent.get_indent_size(this.__indent_count,this.__alignment_count))},s.prototype._set_wrap_point=function(){this.__parent.wrap_line_length&&(this.__wrap_point_index=this.__items.length,this.__wrap_point_character_count=this.__character_count,this.__wrap_point_indent_count=this.__parent.next_line.__indent_count,this.__wrap_point_alignment_count=this.__parent.next_line.__alignment_count)},s.prototype._should_wrap=function(){return this.__wrap_point_index&&this.__character_count>this.__parent.wrap_line_length&&this.__wrap_point_character_count>this.__parent.next_line.__character_count},s.prototype._allow_wrap=function(){if(this._should_wrap()){this.__parent.add_new_line();var o=this.__parent.current_line;return o.set_indent(this.__wrap_point_indent_count,this.__wrap_point_alignment_count),o.__items=this.__items.slice(this.__wrap_point_index),this.__items=this.__items.slice(0,this.__wrap_point_index),o.__character_count+=this.__character_count-this.__wrap_point_character_count,this.__character_count=this.__wrap_point_character_count,o.__items[0]===" "&&(o.__items.splice(0,1),o.__character_count-=1),!0}return!1},s.prototype.is_empty=function(){return this.__items.length===0},s.prototype.last=function(){return this.is_empty()?null:this.__items[this.__items.length-1]},s.prototype.push=function(o){this.__items.push(o);var c=o.lastIndexOf(`
+`);c!==-1?this.__character_count=o.length-c:this.__character_count+=o.length},s.prototype.pop=function(){var o=null;return this.is_empty()||(o=this.__items.pop(),this.__character_count-=o.length),o},s.prototype._remove_indent=function(){this.__indent_count>0&&(this.__indent_count-=1,this.__character_count-=this.__parent.indent_size)},s.prototype._remove_wrap_indent=function(){this.__wrap_point_indent_count>0&&(this.__wrap_point_indent_count-=1)},s.prototype.trim=function(){for(;this.last()===" ";)this.__items.pop(),this.__character_count-=1},s.prototype.toString=function(){var o="";return this.is_empty()?this.__parent.indent_empty_lines&&(o=this.__parent.get_indent_string(this.__indent_count)):(o=this.__parent.get_indent_string(this.__indent_count,this.__alignment_count),o+=this.__items.join("")),o};function a(o,c){this.__cache=[""],this.__indent_size=o.indent_size,this.__indent_string=o.indent_char,o.indent_with_tabs||(this.__indent_string=new Array(o.indent_size+1).join(o.indent_char)),c=c||"",o.indent_level>0&&(c=new Array(o.indent_level+1).join(this.__indent_string)),this.__base_string=c,this.__base_string_length=c.length}a.prototype.get_indent_size=function(o,c){var d=this.__base_string_length;return c=c||0,o<0&&(d=0),d+=o*this.__indent_size,d+=c,d},a.prototype.get_indent_string=function(o,c){var d=this.__base_string;return c=c||0,o<0&&(o=0,d=""),c+=o*this.__indent_size,this.__ensure_cache(c),d+=this.__cache[c],d},a.prototype.__ensure_cache=function(o){for(;o>=this.__cache.length;)this.__add_column()},a.prototype.__add_column=function(){var o=this.__cache.length,c=0,d="";this.__indent_size&&o>=this.__indent_size&&(c=Math.floor(o/this.__indent_size),o-=c*this.__indent_size,d=new Array(c+1).join(this.__indent_string)),o&&(d+=new Array(o+1).join(" ")),this.__cache.push(d)};function l(o,c){this.__indent_cache=new a(o,c),this.raw=!1,this._end_with_newline=o.end_with_newline,this.indent_size=o.indent_size,this.wrap_line_length=o.wrap_line_length,this.indent_empty_lines=o.indent_empty_lines,this.__lines=[],this.previous_line=null,this.current_line=null,this.next_line=new s(this),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=!1,this.__add_outputline()}l.prototype.__add_outputline=function(){this.previous_line=this.current_line,this.current_line=this.next_line.clone_empty(),this.__lines.push(this.current_line)},l.prototype.get_line_number=function(){return this.__lines.length},l.prototype.get_indent_string=function(o,c){return this.__indent_cache.get_indent_string(o,c)},l.prototype.get_indent_size=function(o,c){return this.__indent_cache.get_indent_size(o,c)},l.prototype.is_empty=function(){return!this.previous_line&&this.current_line.is_empty()},l.prototype.add_new_line=function(o){return this.is_empty()||!o&&this.just_added_newline()?!1:(this.raw||this.__add_outputline(),!0)},l.prototype.get_code=function(o){this.trim(!0);var c=this.current_line.pop();c&&(c[c.length-1]===`
+`&&(c=c.replace(/\n+$/g,"")),this.current_line.push(c)),this._end_with_newline&&this.__add_outputline();var d=this.__lines.join(`
+`);return o!==`
+`&&(d=d.replace(/[\n]/g,o)),d},l.prototype.set_wrap_point=function(){this.current_line._set_wrap_point()},l.prototype.set_indent=function(o,c){return o=o||0,c=c||0,this.next_line.set_indent(o,c),this.__lines.length>1?(this.current_line.set_indent(o,c),!0):(this.current_line.set_indent(),!1)},l.prototype.add_raw_token=function(o){for(var c=0;c<o.newlines;c++)this.__add_outputline();this.current_line.set_indent(-1),this.current_line.push(o.whitespace_before),this.current_line.push(o.text),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=!1},l.prototype.add_token=function(o){this.__add_space_before_token(),this.current_line.push(o),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=this.current_line._allow_wrap()},l.prototype.__add_space_before_token=function(){this.space_before_token&&!this.just_added_newline()&&(this.non_breaking_space||this.set_wrap_point(),this.current_line.push(" "))},l.prototype.remove_indent=function(o){for(var c=this.__lines.length;o<c;)this.__lines[o]._remove_indent(),o++;this.current_line._remove_wrap_indent()},l.prototype.trim=function(o){for(o=o===void 0?!1:o,this.current_line.trim();o&&this.__lines.length>1&&this.current_line.is_empty();)this.__lines.pop(),this.current_line=this.__lines[this.__lines.length-1],this.current_line.trim();this.previous_line=this.__lines.length>1?this.__lines[this.__lines.length-2]:null},l.prototype.just_added_newline=function(){return this.current_line.is_empty()},l.prototype.just_added_blankline=function(){return this.is_empty()||this.current_line.is_empty()&&this.previous_line.is_empty()},l.prototype.ensure_empty_line_above=function(o,c){for(var d=this.__lines.length-2;d>=0;){var u=this.__lines[d];if(u.is_empty())break;if(u.item(0).indexOf(o)!==0&&u.item(-1)!==c){this.__lines.splice(d+1,0,new s(this)),this.previous_line=this.__lines[this.__lines.length-2];break}d--}},i.exports.Output=l},,,,function(i){function s(o,c){this.raw_options=a(o,c),this.disabled=this._get_boolean("disabled"),this.eol=this._get_characters("eol","auto"),this.end_with_newline=this._get_boolean("end_with_newline"),this.indent_size=this._get_number("indent_size",4),this.indent_char=this._get_characters("indent_char"," "),this.indent_level=this._get_number("indent_level"),this.preserve_newlines=this._get_boolean("preserve_newlines",!0),this.max_preserve_newlines=this._get_number("max_preserve_newlines",32786),this.preserve_newlines||(this.max_preserve_newlines=0),this.indent_with_tabs=this._get_boolean("indent_with_tabs",this.indent_char===" "),this.indent_with_tabs&&(this.indent_char=" ",this.indent_size===1&&(this.indent_size=4)),this.wrap_line_length=this._get_number("wrap_line_length",this._get_number("max_char")),this.indent_empty_lines=this._get_boolean("indent_empty_lines"),this.templating=this._get_selection_list("templating",["auto","none","angular","django","erb","handlebars","php","smarty"],["auto"])}s.prototype._get_array=function(o,c){var d=this.raw_options[o],u=c||[];return typeof d=="object"?d!==null&&typeof d.concat=="function"&&(u=d.concat()):typeof d=="string"&&(u=d.split(/[^a-zA-Z0-9_\/\-]+/)),u},s.prototype._get_boolean=function(o,c){var d=this.raw_options[o],u=d===void 0?!!c:!!d;return u},s.prototype._get_characters=function(o,c){var d=this.raw_options[o],u=c||"";return typeof d=="string"&&(u=d.replace(/\\r/,"\r").replace(/\\n/,`
+`).replace(/\\t/," ")),u},s.prototype._get_number=function(o,c){var d=this.raw_options[o];c=parseInt(c,10),isNaN(c)&&(c=0);var u=parseInt(d,10);return isNaN(u)&&(u=c),u},s.prototype._get_selection=function(o,c,d){var u=this._get_selection_list(o,c,d);if(u.length!==1)throw new Error("Invalid Option Value: The option '"+o+`' can only be one of the following values:
+`+c+`
+You passed in: '`+this.raw_options[o]+"'");return u[0]},s.prototype._get_selection_list=function(o,c,d){if(!c||c.length===0)throw new Error("Selection list cannot be empty.");if(d=d||[c[0]],!this._is_valid_selection(d,c))throw new Error("Invalid Default Value!");var u=this._get_array(o,d);if(!this._is_valid_selection(u,c))throw new Error("Invalid Option Value: The option '"+o+`' can contain only the following values:
+`+c+`
+You passed in: '`+this.raw_options[o]+"'");return u},s.prototype._is_valid_selection=function(o,c){return o.length&&c.length&&!o.some(function(d){return c.indexOf(d)===-1})};function a(o,c){var d={};o=l(o);var u;for(u in o)u!==c&&(d[u]=o[u]);if(c&&o[c])for(u in o[c])d[u]=o[c][u];return d}function l(o){var c={},d;for(d in o){var u=d.replace(/-/g,"_");c[u]=o[d]}return c}i.exports.Options=s,i.exports.normalizeOpts=l,i.exports.mergeOpts=a},,function(i){var s=RegExp.prototype.hasOwnProperty("sticky");function a(l){this.__input=l||"",this.__input_length=this.__input.length,this.__position=0}a.prototype.restart=function(){this.__position=0},a.prototype.back=function(){this.__position>0&&(this.__position-=1)},a.prototype.hasNext=function(){return this.__position<this.__input_length},a.prototype.next=function(){var l=null;return this.hasNext()&&(l=this.__input.charAt(this.__position),this.__position+=1),l},a.prototype.peek=function(l){var o=null;return l=l||0,l+=this.__position,l>=0&&l<this.__input_length&&(o=this.__input.charAt(l)),o},a.prototype.__match=function(l,o){l.lastIndex=o;var c=l.exec(this.__input);return c&&!(s&&l.sticky)&&c.index!==o&&(c=null),c},a.prototype.test=function(l,o){return o=o||0,o+=this.__position,o>=0&&o<this.__input_length?!!this.__match(l,o):!1},a.prototype.testChar=function(l,o){var c=this.peek(o);return l.lastIndex=0,c!==null&&l.test(c)},a.prototype.match=function(l){var o=this.__match(l,this.__position);return o?this.__position+=o[0].length:o=null,o},a.prototype.read=function(l,o,c){var d="",u;return l&&(u=this.match(l),u&&(d+=u[0])),o&&(u||!l)&&(d+=this.readUntil(o,c)),d},a.prototype.readUntil=function(l,o){var c="",d=this.__position;l.lastIndex=this.__position;var u=l.exec(this.__input);return u?(d=u.index,o&&(d+=u[0].length)):d=this.__input_length,c=this.__input.substring(this.__position,d),this.__position=d,c},a.prototype.readUntilAfter=function(l){return this.readUntil(l,!0)},a.prototype.get_regexp=function(l,o){var c=null,d="g";return o&&s&&(d="y"),typeof l=="string"&&l!==""?c=new RegExp(l,d):l&&(c=new RegExp(l.source,d)),c},a.prototype.get_literal_regexp=function(l){return RegExp(l.replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&"))},a.prototype.peekUntilAfter=function(l){var o=this.__position,c=this.readUntilAfter(l);return this.__position=o,c},a.prototype.lookBack=function(l){var o=this.__position-1;return o>=l.length&&this.__input.substring(o-l.length,o).toLowerCase()===l},i.exports.InputScanner=a},,,,,function(i){function s(a,l){a=typeof a=="string"?a:a.source,l=typeof l=="string"?l:l.source,this.__directives_block_pattern=new RegExp(a+/ beautify( \w+[:]\w+)+ /.source+l,"g"),this.__directive_pattern=/ (\w+)[:](\w+)/g,this.__directives_end_ignore_pattern=new RegExp(a+/\sbeautify\signore:end\s/.source+l,"g")}s.prototype.get_directives=function(a){if(!a.match(this.__directives_block_pattern))return null;var l={};this.__directive_pattern.lastIndex=0;for(var o=this.__directive_pattern.exec(a);o;)l[o[1]]=o[2],o=this.__directive_pattern.exec(a);return l},s.prototype.readIgnored=function(a){return a.readUntilAfter(this.__directives_end_ignore_pattern)},i.exports.Directives=s},,function(i,s,a){var l=a(16).Beautifier,o=a(17).Options;function c(d,u){var f=new l(d,u);return f.beautify()}i.exports=c,i.exports.defaultOptions=function(){return new o}},function(i,s,a){var l=a(17).Options,o=a(2).Output,c=a(8).InputScanner,d=a(13).Directives,u=new d(/\/\*/,/\*\//),f=/\r\n|[\r\n]/,m=/\r\n|[\r\n]/g,v=/\s/,w=/(?:\s|\n)+/g,k=/\/\*(?:[\s\S]*?)((?:\*\/)|$)/g,R=/\/\/(?:[^\n\r\u2028\u2029]*)/g;function I(N,E){this._source_text=N||"",this._options=new l(E),this._ch=null,this._input=null,this.NESTED_AT_RULE={page:!0,"font-face":!0,keyframes:!0,media:!0,supports:!0,document:!0},this.CONDITIONAL_GROUP_RULE={media:!0,supports:!0,document:!0},this.NON_SEMICOLON_NEWLINE_PROPERTY=["grid-template-areas","grid-template"]}I.prototype.eatString=function(N){var E="";for(this._ch=this._input.next();this._ch;){if(E+=this._ch,this._ch==="\\")E+=this._input.next();else if(N.indexOf(this._ch)!==-1||this._ch===`
+`)break;this._ch=this._input.next()}return E},I.prototype.eatWhitespace=function(N){for(var E=v.test(this._input.peek()),F=0;v.test(this._input.peek());)this._ch=this._input.next(),N&&this._ch===`
+`&&(F===0||F<this._options.max_preserve_newlines)&&(F++,this._output.add_new_line(!0));return E},I.prototype.foundNestedPseudoClass=function(){for(var N=0,E=1,F=this._input.peek(E);F;){if(F==="{")return!0;if(F==="(")N+=1;else if(F===")"){if(N===0)return!1;N-=1}else if(F===";"||F==="}")return!1;E++,F=this._input.peek(E)}return!1},I.prototype.print_string=function(N){this._output.set_indent(this._indentLevel),this._output.non_breaking_space=!0,this._output.add_token(N)},I.prototype.preserveSingleSpace=function(N){N&&(this._output.space_before_token=!0)},I.prototype.indent=function(){this._indentLevel++},I.prototype.outdent=function(){this._indentLevel>0&&this._indentLevel--},I.prototype.beautify=function(){if(this._options.disabled)return this._source_text;var N=this._source_text,E=this._options.eol;E==="auto"&&(E=`
+`,N&&f.test(N||"")&&(E=N.match(f)[0])),N=N.replace(m,`
+`);var F=N.match(/^[\t ]*/)[0];this._output=new o(this._options,F),this._input=new c(N),this._indentLevel=0,this._nestedLevel=0,this._ch=null;for(var M=0,T=!1,P=!1,j=!1,ee=!1,A=!1,_=this._ch,C=!1,S,z,D;S=this._input.read(w),z=S!=="",D=_,this._ch=this._input.next(),this._ch==="\\"&&this._input.hasNext()&&(this._ch+=this._input.next()),_=this._ch,this._ch;)if(this._ch==="/"&&this._input.peek()==="*"){this._output.add_new_line(),this._input.back();var L=this._input.read(k),U=u.get_directives(L);U&&U.ignore==="start"&&(L+=u.readIgnored(this._input)),this.print_string(L),this.eatWhitespace(!0),this._output.add_new_line()}else if(this._ch==="/"&&this._input.peek()==="/")this._output.space_before_token=!0,this._input.back(),this.print_string(this._input.read(R)),this.eatWhitespace(!0);else if(this._ch==="$"){this.preserveSingleSpace(z),this.print_string(this._ch);var $=this._input.peekUntilAfter(/[: ,;{}()[\]\/='"]/g);$.match(/[ :]$/)&&($=this.eatString(": ").replace(/\s+$/,""),this.print_string($),this._output.space_before_token=!0),M===0&&$.indexOf(":")!==-1&&(P=!0,this.indent())}else if(this._ch==="@")if(this.preserveSingleSpace(z),this._input.peek()==="{")this.print_string(this._ch+this.eatString("}"));else{this.print_string(this._ch);var q=this._input.peekUntilAfter(/[: ,;{}()[\]\/='"]/g);q.match(/[ :]$/)&&(q=this.eatString(": ").replace(/\s+$/,""),this.print_string(q),this._output.space_before_token=!0),M===0&&q.indexOf(":")!==-1?(P=!0,this.indent()):q in this.NESTED_AT_RULE?(this._nestedLevel+=1,q in this.CONDITIONAL_GROUP_RULE&&(j=!0)):M===0&&!P&&(ee=!0)}else if(this._ch==="#"&&this._input.peek()==="{")this.preserveSingleSpace(z),this.print_string(this._ch+this.eatString("}"));else if(this._ch==="{")P&&(P=!1,this.outdent()),ee=!1,j?(j=!1,T=this._indentLevel>=this._nestedLevel):T=this._indentLevel>=this._nestedLevel-1,this._options.newline_between_rules&&T&&this._output.previous_line&&this._output.previous_line.item(-1)!=="{"&&this._output.ensure_empty_line_above("/",","),this._output.space_before_token=!0,this._options.brace_style==="expand"?(this._output.add_new_line(),this.print_string(this._ch),this.indent(),this._output.set_indent(this._indentLevel)):(D==="("?this._output.space_before_token=!1:D!==","&&this.indent(),this.print_string(this._ch)),this.eatWhitespace(!0),this._output.add_new_line();else if(this._ch==="}")this.outdent(),this._output.add_new_line(),D==="{"&&this._output.trim(!0),P&&(this.outdent(),P=!1),this.print_string(this._ch),T=!1,this._nestedLevel&&this._nestedLevel--,this.eatWhitespace(!0),this._output.add_new_line(),this._options.newline_between_rules&&!this._output.just_added_blankline()&&this._input.peek()!=="}"&&this._output.add_new_line(!0),this._input.peek()===")"&&(this._output.trim(!0),this._options.brace_style==="expand"&&this._output.add_new_line(!0));else if(this._ch===":"){for(var ae=0;ae<this.NON_SEMICOLON_NEWLINE_PROPERTY.length;ae++)if(this._input.lookBack(this.NON_SEMICOLON_NEWLINE_PROPERTY[ae])){C=!0;break}(T||j)&&!(this._input.lookBack("&")||this.foundNestedPseudoClass())&&!this._input.lookBack("(")&&!ee&&M===0?(this.print_string(":"),P||(P=!0,this._output.space_before_token=!0,this.eatWhitespace(!0),this.indent())):(this._input.lookBack(" ")&&(this._output.space_before_token=!0),this._input.peek()===":"?(this._ch=this._input.next(),this.print_string("::")):this.print_string(":"))}else if(this._ch==='"'||this._ch==="'"){var Ct=D==='"'||D==="'";this.preserveSingleSpace(Ct||z),this.print_string(this._ch+this.eatString(this._ch)),this.eatWhitespace(!0)}else if(this._ch===";")C=!1,M===0?(P&&(this.outdent(),P=!1),ee=!1,this.print_string(this._ch),this.eatWhitespace(!0),this._input.peek()!=="/"&&this._output.add_new_line()):(this.print_string(this._ch),this.eatWhitespace(!0),this._output.space_before_token=!0);else if(this._ch==="(")if(this._input.lookBack("url"))this.print_string(this._ch),this.eatWhitespace(),M++,this.indent(),this._ch=this._input.next(),this._ch===")"||this._ch==='"'||this._ch==="'"?this._input.back():this._ch&&(this.print_string(this._ch+this.eatString(")")),M&&(M--,this.outdent()));else{var At=!1;this._input.lookBack("with")&&(At=!0),this.preserveSingleSpace(z||At),this.print_string(this._ch),P&&D==="$"&&this._options.selector_separator_newline?(this._output.add_new_line(),A=!0):(this.eatWhitespace(),M++,this.indent())}else if(this._ch===")")M&&(M--,this.outdent()),A&&this._input.peek()===";"&&this._options.selector_separator_newline&&(A=!1,this.outdent(),this._output.add_new_line()),this.print_string(this._ch);else if(this._ch===",")this.print_string(this._ch),this.eatWhitespace(!0),this._options.selector_separator_newline&&(!P||A)&&M===0&&!ee?this._output.add_new_line():this._output.space_before_token=!0;else if((this._ch===">"||this._ch==="+"||this._ch==="~")&&!P&&M===0)this._options.space_around_combinator?(this._output.space_before_token=!0,this.print_string(this._ch),this._output.space_before_token=!0):(this.print_string(this._ch),this.eatWhitespace(),this._ch&&v.test(this._ch)&&(this._ch=""));else if(this._ch==="]")this.print_string(this._ch);else if(this._ch==="[")this.preserveSingleSpace(z),this.print_string(this._ch);else if(this._ch==="=")this.eatWhitespace(),this.print_string("="),v.test(this._ch)&&(this._ch="");else if(this._ch==="!"&&!this._input.lookBack("\\"))this._output.space_before_token=!0,this.print_string(this._ch);else{var ht=D==='"'||D==="'";this.preserveSingleSpace(ht||z),this.print_string(this._ch),!this._output.just_added_newline()&&this._input.peek()===`
+`&&C&&this._output.add_new_line()}var Ts=this._output.get_code(E);return Ts},i.exports.Beautifier=I},function(i,s,a){var l=a(6).Options;function o(c){l.call(this,c,"css"),this.selector_separator_newline=this._get_boolean("selector_separator_newline",!0),this.newline_between_rules=this._get_boolean("newline_between_rules",!0);var d=this._get_boolean("space_around_selector_separator");this.space_around_combinator=this._get_boolean("space_around_combinator")||d;var u=this._get_selection_list("brace_style",["collapse","expand","end-expand","none","preserve-inline"]);this.brace_style="collapse";for(var f=0;f<u.length;f++)u[f]!=="expand"?this.brace_style="collapse":this.brace_style=u[f]}o.prototype=new l,i.exports.Options=o}],t={};function n(i){var s=t[i];if(s!==void 0)return s.exports;var a=t[i]={exports:{}};return e[i](a,a.exports,n),a.exports}var r=n(15);uh=r})();var xf=uh;function Sf(e,t,n){let r=e.getText(),i=!0,s=0,a=!1;const l=n.tabSize||4;if(t){let d=e.offsetAt(t.start),u=d;for(;u>0&&fh(r,u-1);)u--;u===0||mh(r,u-1)?d=u:u<d&&(d=u+1);let f=e.offsetAt(t.end),m=f;for(;m<r.length&&fh(r,m);)m++;if((m===r.length||mh(r,m))&&(f=m),t=X.create(e.positionAt(d),e.positionAt(f)),a=kf(r,d),i=f===r.length,r=r.substring(d,f),d!==0){const v=e.offsetAt(Se.create(t.start.line,0));s=Ef(e.getText(),v,n)}a&&(r=`{
+${ph(r)}`)}else t=X.create(Se.create(0,0),e.positionAt(r.length));const o={indent_size:l,indent_char:n.insertSpaces?" ":" ",end_with_newline:i&&lt(n,"insertFinalNewline",!1),selector_separator_newline:lt(n,"newlineBetweenSelectors",!0),newline_between_rules:lt(n,"newlineBetweenRules",!0),space_around_selector_separator:lt(n,"spaceAroundSelectorSeparator",!1),brace_style:lt(n,"braceStyle","collapse"),indent_empty_lines:lt(n,"indentEmptyLines",!1),max_preserve_newlines:lt(n,"maxPreserveNewLines",void 0),preserve_newlines:lt(n,"preserveNewLines",!0),wrap_line_length:lt(n,"wrapLineLength",void 0),eol:`
+`};let c=xf(r,o);if(a&&(c=ph(c.substring(2))),s>0){const d=n.insertSpaces?vl(" ",l*s):vl(" ",s);c=c.split(`
+`).join(`
+`+d),t.start.character===0&&(c=d+c)}return[{range:t,newText:c}]}function ph(e){return e.replace(/^\s+/,"")}var Cf=123,_f=125;function kf(e,t){for(;t>=0;){const n=e.charCodeAt(t);if(n===Cf)return!0;if(n===_f)return!1;t--}return!1}function lt(e,t,n){if(e&&e.hasOwnProperty(t)){const r=e[t];if(r!==null)return r}return n}function Ef(e,t,n){let r=t,i=0;const s=n.tabSize||4;for(;r<e.length;){const a=e.charAt(r);if(a===" ")i++;else if(a===" ")i+=s;else break;r++}return Math.floor(i/s)}function mh(e,t){return`\r
+`.indexOf(e.charAt(t))!==-1}function fh(e,t){return" ".indexOf(e.charAt(t))!==-1}var Ff={version:1.1,properties:[{name:"additive-symbols",browsers:["FF33"],atRule:"@counter-style",syntax:"[ <integer> && <symbol> ]#",relevance:50,description:"@counter-style descriptor. Specifies the symbols used by the marker-construction algorithm specified by the system descriptor. Needs to be specified if the counter system is 'additive'.",restrictions:["integer","string","image","identifier"]},{name:"align-content",browsers:["E12","FF28","S9","C29","IE11","O16"],values:[{name:"center",description:"Lines are packed toward the center of the flex container."},{name:"flex-end",description:"Lines are packed toward the end of the flex container."},{name:"flex-start",description:"Lines are packed toward the start of the flex container."},{name:"space-around",description:"Lines are evenly distributed in the flex container, with half-size spaces on either end."},{name:"space-between",description:"Lines are evenly distributed in the flex container."},{name:"stretch",description:"Lines stretch to take up the remaining space."},{name:"start"},{name:"end"},{name:"normal"},{name:"baseline"},{name:"first baseline"},{name:"last baseline"},{name:"space-around"},{name:"space-between"},{name:"space-evenly"},{name:"stretch"},{name:"safe"},{name:"unsafe"}],syntax:"normal | <baseline-position> | <content-distribution> | <overflow-position>? <content-position>",relevance:66,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/align-content"}],description:"Aligns a flex container's lines within the flex container when there is extra space in the cross-axis, similar to how 'justify-content' aligns individual items within the main-axis.",restrictions:["enum"]},{name:"align-items",browsers:["E12","FF20","S9","C29","IE11","O16"],values:[{name:"baseline",description:"If the flex item's inline axis is the same as the cross axis, this value is identical to 'flex-start'. Otherwise, it participates in baseline alignment."},{name:"center",description:"The flex item's margin box is centered in the cross axis within the line."},{name:"flex-end",description:"The cross-end margin edge of the flex item is placed flush with the cross-end edge of the line."},{name:"flex-start",description:"The cross-start margin edge of the flex item is placed flush with the cross-start edge of the line."},{name:"stretch",description:"If the cross size property of the flex item computes to auto, and neither of the cross-axis margins are auto, the flex item is stretched."},{name:"normal"},{name:"start"},{name:"end"},{name:"self-start"},{name:"self-end"},{name:"first baseline"},{name:"last baseline"},{name:"stretch"},{name:"safe"},{name:"unsafe"}],syntax:"normal | stretch | <baseline-position> | [ <overflow-position>? <self-position> ]",relevance:87,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/align-items"}],description:"Aligns flex items along the cross axis of the current line of the flex container.",restrictions:["enum"]},{name:"justify-items",browsers:["E12","FF20","S9","C52","IE11","O12.1"],values:[{name:"auto"},{name:"normal"},{name:"end"},{name:"start"},{name:"flex-end",description:'"Flex items are packed toward the end of the line."'},{name:"flex-start",description:'"Flex items are packed toward the start of the line."'},{name:"self-end",description:"The item is packed flush to the edge of the alignment container of the end side of the item, in the appropriate axis."},{name:"self-start",description:"The item is packed flush to the edge of the alignment container of the start side of the item, in the appropriate axis.."},{name:"center",description:"The items are packed flush to each other toward the center of the of the alignment container."},{name:"left"},{name:"right"},{name:"baseline"},{name:"first baseline"},{name:"last baseline"},{name:"stretch",description:"If the cross size property of the flex item computes to auto, and neither of the cross-axis margins are auto, the flex item is stretched."},{name:"safe"},{name:"unsafe"},{name:"legacy"}],syntax:"normal | stretch | <baseline-position> | <overflow-position>? [ <self-position> | left | right ] | legacy | legacy && [ left | right | center ]",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/justify-items"}],description:"Defines the default justify-self for all items of the box, giving them the default way of justifying each box along the appropriate axis",restrictions:["enum"]},{name:"justify-self",browsers:["E16","FF45","S10.1","C57","IE10","O44"],values:[{name:"auto"},{name:"normal"},{name:"end"},{name:"start"},{name:"flex-end",description:'"Flex items are packed toward the end of the line."'},{name:"flex-start",description:'"Flex items are packed toward the start of the line."'},{name:"self-end",description:"The item is packed flush to the edge of the alignment container of the end side of the item, in the appropriate axis."},{name:"self-start",description:"The item is packed flush to the edge of the alignment container of the start side of the item, in the appropriate axis.."},{name:"center",description:"The items are packed flush to each other toward the center of the of the alignment container."},{name:"left"},{name:"right"},{name:"baseline"},{name:"first baseline"},{name:"last baseline"},{name:"stretch",description:"If the cross size property of the flex item computes to auto, and neither of the cross-axis margins are auto, the flex item is stretched."},{name:"save"},{name:"unsave"}],syntax:"auto | normal | stretch | <baseline-position> | <overflow-position>? [ <self-position> | left | right ]",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/justify-self"}],description:"Defines the way of justifying a box inside its container along the appropriate axis.",restrictions:["enum"]},{name:"align-self",browsers:["E12","FF20","S9","C29","IE10","O12.1"],values:[{name:"auto",description:"Computes to the value of 'align-items' on the element's parent, or 'stretch' if the element has no parent. On absolutely positioned elements, it computes to itself."},{name:"normal"},{name:"self-end"},{name:"self-start"},{name:"baseline",description:"If the flex item's inline axis is the same as the cross axis, this value is identical to 'flex-start'. Otherwise, it participates in baseline alignment."},{name:"center",description:"The flex item's margin box is centered in the cross axis within the line."},{name:"flex-end",description:"The cross-end margin edge of the flex item is placed flush with the cross-end edge of the line."},{name:"flex-start",description:"The cross-start margin edge of the flex item is placed flush with the cross-start edge of the line."},{name:"stretch",description:"If the cross size property of the flex item computes to auto, and neither of the cross-axis margins are auto, the flex item is stretched."},{name:"baseline"},{name:"first baseline"},{name:"last baseline"},{name:"safe"},{name:"unsafe"}],syntax:"auto | normal | stretch | <baseline-position> | <overflow-position>? <self-position>",relevance:73,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/align-self"}],description:"Allows the default alignment along the cross axis to be overridden for individual flex items.",restrictions:["enum"]},{name:"all",browsers:["E79","FF27","S9.1","C37","O24"],values:[],syntax:"initial | inherit | unset | revert | revert-layer",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/all"}],description:"Shorthand that resets all properties except 'direction' and 'unicode-bidi'.",restrictions:["enum"]},{name:"alt",browsers:["S9"],values:[],relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/alt"}],description:"Provides alternative text for assistive technology to replace the generated content of a ::before or ::after element.",restrictions:["string","enum"]},{name:"animation",browsers:["E12","FF16","S9","C43","IE10","O30"],values:[{name:"alternate",description:"The animation cycle iterations that are odd counts are played in the normal direction, and the animation cycle iterations that are even counts are played in a reverse direction."},{name:"alternate-reverse",description:"The animation cycle iterations that are odd counts are played in the reverse direction, and the animation cycle iterations that are even counts are played in a normal direction."},{name:"backwards",description:"The beginning property value (as defined in the first @keyframes at-rule) is applied before the animation is displayed, during the period defined by 'animation-delay'."},{name:"both",description:"Both forwards and backwards fill modes are applied."},{name:"forwards",description:"The final property value (as defined in the last @keyframes at-rule) is maintained after the animation completes."},{name:"infinite",description:"Causes the animation to repeat forever."},{name:"none",description:"No animation is performed"},{name:"normal",description:"Normal playback."},{name:"reverse",description:"All iterations of the animation are played in the reverse direction from the way they were specified."}],syntax:"<single-animation>#",relevance:82,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation"}],description:"Shorthand property combines six of the animation properties into a single property.",restrictions:["time","timing-function","enum","identifier","number"]},{name:"animation-delay",browsers:["E12","FF16","S9","C43","IE10","O30"],syntax:"<time>#",relevance:66,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-delay"}],description:"Defines when the animation will start.",restrictions:["time"]},{name:"animation-direction",browsers:["E12","FF16","S9","C43","IE10","O30"],values:[{name:"alternate",description:"The animation cycle iterations that are odd counts are played in the normal direction, and the animation cycle iterations that are even counts are played in a reverse direction."},{name:"alternate-reverse",description:"The animation cycle iterations that are odd counts are played in the reverse direction, and the animation cycle iterations that are even counts are played in a normal direction."},{name:"normal",description:"Normal playback."},{name:"reverse",description:"All iterations of the animation are played in the reverse direction from the way they were specified."}],syntax:"<single-animation-direction>#",relevance:58,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-direction"}],description:"Defines whether or not the animation should play in reverse on alternate cycles.",restrictions:["enum"]},{name:"animation-duration",browsers:["E12","FF16","S9","C43","IE10","O30"],syntax:"<time>#",relevance:72,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-duration"}],description:"Defines the length of time that an animation takes to complete one cycle.",restrictions:["time"]},{name:"animation-fill-mode",browsers:["E12","FF16","S9","C43","IE10","O30"],values:[{name:"backwards",description:"The beginning property value (as defined in the first @keyframes at-rule) is applied before the animation is displayed, during the period defined by 'animation-delay'."},{name:"both",description:"Both forwards and backwards fill modes are applied."},{name:"forwards",description:"The final property value (as defined in the last @keyframes at-rule) is maintained after the animation completes."},{name:"none",description:"There is no change to the property value between the time the animation is applied and the time the animation begins playing or after the animation completes."}],syntax:"<single-animation-fill-mode>#",relevance:65,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-fill-mode"}],description:"Defines what values are applied by the animation outside the time it is executing.",restrictions:["enum"]},{name:"animation-iteration-count",browsers:["E12","FF16","S9","C43","IE10","O30"],values:[{name:"infinite",description:"Causes the animation to repeat forever."}],syntax:"<single-animation-iteration-count>#",relevance:64,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-iteration-count"}],description:"Defines the number of times an animation cycle is played. The default value is one, meaning the animation will play from beginning to end once.",restrictions:["number","enum"]},{name:"animation-name",browsers:["E12","FF16","S9","C43","IE10","O30"],values:[{name:"none",description:"No animation is performed"}],syntax:"[ none | <keyframes-name> ]#",relevance:72,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-name"}],description:"Defines a list of animations that apply. Each name is used to select the keyframe at-rule that provides the property values for the animation.",restrictions:["identifier","enum"]},{name:"animation-play-state",browsers:["E12","FF16","S9","C43","IE10","O30"],values:[{name:"paused",description:"A running animation will be paused."},{name:"running",description:"Resume playback of a paused animation."}],syntax:"<single-animation-play-state>#",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-play-state"}],description:"Defines whether the animation is running or paused.",restrictions:["enum"]},{name:"animation-timing-function",browsers:["E12","FF16","S9","C43","IE10","O30"],syntax:"<easing-function>#",relevance:71,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-timing-function"}],description:"Describes how the animation will progress over one cycle of its duration.",restrictions:["timing-function"]},{name:"backface-visibility",browsers:["E12","FF16","S15.4","C36","IE10","O23"],values:[{name:"hidden",description:"Back side is hidden."},{name:"visible",description:"Back side is visible."}],syntax:"visible | hidden",relevance:60,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/backface-visibility"}],description:"Determines whether or not the 'back' side of a transformed element is visible when facing the viewer. With an identity transform, the front side of an element faces the viewer.",restrictions:["enum"]},{name:"background",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"fixed",description:"The background is fixed with regard to the viewport. In paged media where there is no viewport, a 'fixed' background is fixed with respect to the page box and therefore replicated on every page."},{name:"local",description:"The background is fixed with regard to the element's contents: if the element has a scrolling mechanism, the background scrolls with the element's contents."},{name:"none",description:"A value of 'none' counts as an image layer but draws nothing."},{name:"scroll",description:"The background is fixed with regard to the element itself and does not scroll with its contents. (It is effectively attached to the element's border.)"}],syntax:"[ <bg-layer> , ]* <final-bg-layer>",relevance:93,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background"}],description:"Shorthand property for setting most background properties at the same place in the style sheet.",restrictions:["enum","image","color","position","length","repeat","percentage","box"]},{name:"background-attachment",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"fixed",description:"The background is fixed with regard to the viewport. In paged media where there is no viewport, a 'fixed' background is fixed with respect to the page box and therefore replicated on every page."},{name:"local",browsers:["E12","FF1","S1","C1","IE4","O3.5"],description:"The background is fixed with regard to the element's contents: if the element has a scrolling mechanism, the background scrolls with the element's contents."},{name:"scroll",description:"The background is fixed with regard to the element itself and does not scroll with its contents. (It is effectively attached to the element's border.)"}],syntax:"<attachment>#",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-attachment"}],description:"Specifies whether the background images are fixed with regard to the viewport ('fixed') or scroll along with the element ('scroll') or its contents ('local').",restrictions:["enum"]},{name:"background-blend-mode",browsers:["E79","FF30","S8","C35","O22"],values:[{name:"normal",description:"Default attribute which specifies no blending"},{name:"multiply",description:"The source color is multiplied by the destination color and replaces the destination."},{name:"screen",description:"Multiplies the complements of the backdrop and source color values, then complements the result."},{name:"overlay",description:"Multiplies or screens the colors, depending on the backdrop color value."},{name:"darken",description:"Selects the darker of the backdrop and source colors."},{name:"lighten",description:"Selects the lighter of the backdrop and source colors."},{name:"color-dodge",description:"Brightens the backdrop color to reflect the source color."},{name:"color-burn",description:"Darkens the backdrop color to reflect the source color."},{name:"hard-light",description:"Multiplies or screens the colors, depending on the source color value."},{name:"soft-light",description:"Darkens or lightens the colors, depending on the source color value."},{name:"difference",description:"Subtracts the darker of the two constituent colors from the lighter color.."},{name:"exclusion",description:"Produces an effect similar to that of the Difference mode but lower in contrast."},{name:"hue",browsers:["E79","FF30","S8","C35","O22"],description:"Creates a color with the hue of the source color and the saturation and luminosity of the backdrop color."},{name:"saturation",browsers:["E79","FF30","S8","C35","O22"],description:"Creates a color with the saturation of the source color and the hue and luminosity of the backdrop color."},{name:"color",browsers:["E79","FF30","S8","C35","O22"],description:"Creates a color with the hue and saturation of the source color and the luminosity of the backdrop color."},{name:"luminosity",browsers:["E79","FF30","S8","C35","O22"],description:"Creates a color with the luminosity of the source color and the hue and saturation of the backdrop color."}],syntax:"<blend-mode>#",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-blend-mode"}],description:"Defines the blending mode of each background layer.",restrictions:["enum"]},{name:"background-clip",browsers:["E12","FF4","S5","C1","IE9","O10.5"],syntax:"<box>#",relevance:69,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-clip"}],description:"Determines the background painting area.",restrictions:["box"]},{name:"background-color",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<color>",relevance:94,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-color"}],description:"Sets the background color of an element.",restrictions:["color"]},{name:"background-image",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"none",description:"Counts as an image layer but draws nothing."}],syntax:"<bg-image>#",relevance:88,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-image"}],description:"Sets the background image(s) of an element.",restrictions:["image","enum"]},{name:"background-origin",browsers:["E12","FF4","S3","C1","IE9","O10.5"],syntax:"<box>#",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-origin"}],description:"For elements rendered as a single box, specifies the background positioning area. For elements rendered as multiple boxes (e.g., inline boxes on several lines, boxes on several pages) specifies which boxes 'box-decoration-break' operates on to determine the background positioning area(s).",restrictions:["box"]},{name:"background-position",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<bg-position>#",relevance:87,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-position"}],description:"Specifies the initial position of the background image(s) (after any resizing) within their corresponding background positioning area.",restrictions:["position","length","percentage"]},{name:"background-position-x",browsers:["E12","FF49","S1","C1","IE6","O15"],values:[{name:"center",description:"Equivalent to '50%' ('left 50%') for the horizontal position if the horizontal position is not otherwise specified, or '50%' ('top 50%') for the vertical position if it is."},{name:"left",description:"Equivalent to '0%' for the horizontal position if one or two values are given, otherwise specifies the left edge as the origin for the next offset."},{name:"right",description:"Equivalent to '100%' for the horizontal position if one or two values are given, otherwise specifies the right edge as the origin for the next offset."}],syntax:"[ center | [ [ left | right | x-start | x-end ]? <length-percentage>? ]! ]#",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-position-x"}],description:"If background images have been specified, this property specifies their initial position (after any resizing) within their corresponding background positioning area.",restrictions:["length","percentage"]},{name:"background-position-y",browsers:["E12","FF49","S1","C1","IE6","O15"],values:[{name:"bottom",description:"Equivalent to '100%' for the vertical position if one or two values are given, otherwise specifies the bottom edge as the origin for the next offset."},{name:"center",description:"Equivalent to '50%' ('left 50%') for the horizontal position if the horizontal position is not otherwise specified, or '50%' ('top 50%') for the vertical position if it is."},{name:"top",description:"Equivalent to '0%' for the vertical position if one or two values are given, otherwise specifies the top edge as the origin for the next offset."}],syntax:"[ center | [ [ top | bottom | y-start | y-end ]? <length-percentage>? ]! ]#",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-position-y"}],description:"If background images have been specified, this property specifies their initial position (after any resizing) within their corresponding background positioning area.",restrictions:["length","percentage"]},{name:"background-repeat",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[],syntax:"<repeat-style>#",relevance:85,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-repeat"}],description:"Specifies how background images are tiled after they have been sized and positioned.",restrictions:["repeat"]},{name:"background-size",browsers:["E12","FF4","S5","C3","IE9","O10"],values:[{name:"auto",description:"Resolved by using the image's intrinsic ratio and the size of the other dimension, or failing that, using the image's intrinsic size, or failing that, treating it as 100%."},{name:"contain",description:"Scale the image, while preserving its intrinsic aspect ratio (if any), to the largest size such that both its width and its height can fit inside the background positioning area."},{name:"cover",description:"Scale the image, while preserving its intrinsic aspect ratio (if any), to the smallest size such that both its width and its height can completely cover the background positioning area."}],syntax:"<bg-size>#",relevance:85,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/background-size"}],description:"Specifies the size of the background images.",restrictions:["length","percentage"]},{name:"behavior",browsers:["IE6"],relevance:50,description:"IE only. Used to extend behaviors of the browser.",restrictions:["url"]},{name:"block-size",browsers:["E79","FF41","S12.1","C57","O44"],values:[{name:"auto",description:"Depends on the values of other properties."}],syntax:"<'width'>",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/block-size"}],description:"Size of an element in the direction opposite that of the direction specified by 'writing-mode'.",restrictions:["length","percentage"]},{name:"border",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<line-width> || <line-style> || <color>",relevance:95,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border"}],description:"Shorthand property for setting border width, style, and color.",restrictions:["length","line-width","line-style","color"]},{name:"border-block-end",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-width'> || <'border-top-style'> || <color>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-end"}],description:"Logical 'border-bottom'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","line-width","line-style","color"]},{name:"border-block-start",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-width'> || <'border-top-style'> || <color>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-start"}],description:"Logical 'border-top'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","line-width","line-style","color"]},{name:"border-block-end-color",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-color'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-end-color"}],description:"Logical 'border-bottom-color'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["color"]},{name:"border-block-start-color",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-color'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-start-color"}],description:"Logical 'border-top-color'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["color"]},{name:"border-block-end-style",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-style'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-end-style"}],description:"Logical 'border-bottom-style'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["line-style"]},{name:"border-block-start-style",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-style'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-start-style"}],description:"Logical 'border-top-style'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["line-style"]},{name:"border-block-end-width",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-width'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-end-width"}],description:"Logical 'border-bottom-width'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","line-width"]},{name:"border-block-start-width",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-width'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-start-width"}],description:"Logical 'border-top-width'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","line-width"]},{name:"border-bottom",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<line-width> || <line-style> || <color>",relevance:87,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-bottom"}],description:"Shorthand property for setting border width, style and color.",restrictions:["length","line-width","line-style","color"]},{name:"border-bottom-color",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<'border-top-color'>",relevance:70,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-bottom-color"}],description:"Sets the color of the bottom border.",restrictions:["color"]},{name:"border-bottom-left-radius",browsers:["E12","FF4","S5","C4","IE9","O10.5"],syntax:"<length-percentage>{1,2}",relevance:74,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-bottom-left-radius"}],description:"Defines the radii of the bottom left outer border edge.",restrictions:["length","percentage"]},{name:"border-bottom-right-radius",browsers:["E12","FF4","S5","C4","IE9","O10.5"],syntax:"<length-percentage>{1,2}",relevance:74,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-bottom-right-radius"}],description:"Defines the radii of the bottom right outer border edge.",restrictions:["length","percentage"]},{name:"border-bottom-style",browsers:["E12","FF1","S1","C1","IE5.5","O9.2"],syntax:"<line-style>",relevance:60,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-bottom-style"}],description:"Sets the style of the bottom border.",restrictions:["line-style"]},{name:"border-bottom-width",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<line-width>",relevance:65,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-bottom-width"}],description:"Sets the thickness of the bottom border.",restrictions:["length","line-width"]},{name:"border-collapse",browsers:["E12","FF1","S1.2","C1","IE5","O4"],values:[{name:"collapse",description:"Selects the collapsing borders model."},{name:"separate",description:"Selects the separated borders border model."}],syntax:"collapse | separate",relevance:71,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-collapse"}],description:"Selects a table's border model.",restrictions:["enum"]},{name:"border-color",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[],syntax:"<color>{1,4}",relevance:86,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-color"}],description:"The color of the border around all four edges of an element.",restrictions:["color"]},{name:"border-image",browsers:["E12","FF15","S6","C16","IE11","O11"],values:[{name:"auto",description:"If 'auto' is specified then the border image width is the intrinsic width or height (whichever is applicable) of the corresponding image slice. If the image does not have the required intrinsic dimension then the corresponding border-width is used instead."},{name:"fill",description:"Causes the middle part of the border-image to be preserved."},{name:"none",description:"Use the border styles."},{name:"repeat",description:"The image is tiled (repeated) to fill the area."},{name:"round",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the image is rescaled so that it does."},{name:"space",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the extra space is distributed around the tiles."},{name:"stretch",description:"The image is stretched to fill the area."},{name:"url()"}],syntax:"<'border-image-source'> || <'border-image-slice'> [ / <'border-image-width'> | / <'border-image-width'>? / <'border-image-outset'> ]? || <'border-image-repeat'>",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-image"}],description:"Shorthand property for setting 'border-image-source', 'border-image-slice', 'border-image-width', 'border-image-outset' and 'border-image-repeat'. Omitted values are set to their initial values.",restrictions:["length","percentage","number","url","enum"]},{name:"border-image-outset",browsers:["E12","FF15","S6","C15","IE11","O15"],syntax:"[ <length> | <number> ]{1,4}",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-image-outset"}],description:"The values specify the amount by which the border image area extends beyond the border box on the top, right, bottom, and left sides respectively. If the fourth value is absent, it is the same as the second. If the third one is also absent, it is the same as the first. If the second one is also absent, it is the same as the first. Numbers represent multiples of the corresponding border-width.",restrictions:["length","number"]},{name:"border-image-repeat",browsers:["E12","FF15","S6","C15","IE11","O15"],values:[{name:"repeat",description:"The image is tiled (repeated) to fill the area."},{name:"round",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the image is rescaled so that it does."},{name:"space",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the extra space is distributed around the tiles."},{name:"stretch",description:"The image is stretched to fill the area."}],syntax:"[ stretch | repeat | round | space ]{1,2}",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-image-repeat"}],description:"Specifies how the images for the sides and the middle part of the border image are scaled and tiled. If the second keyword is absent, it is assumed to be the same as the first.",restrictions:["enum"]},{name:"border-image-slice",browsers:["E12","FF15","S6","C15","IE11","O15"],values:[{name:"fill",description:"Causes the middle part of the border-image to be preserved."}],syntax:"<number-percentage>{1,4} && fill?",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-image-slice"}],description:"Specifies inward offsets from the top, right, bottom, and left edges of the image, dividing it into nine regions: four corners, four edges and a middle.",restrictions:["number","percentage"]},{name:"border-image-source",browsers:["E12","FF15","S6","C15","IE11","O15"],values:[{name:"none",description:"Use the border styles."}],syntax:"none | <image>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-image-source"}],description:"Specifies an image to use instead of the border styles given by the 'border-style' properties and as an additional background layer for the element. If the value is 'none' or if the image cannot be displayed, the border styles will be used.",restrictions:["image"]},{name:"border-image-width",browsers:["E12","FF13","S6","C15","IE11","O15"],values:[{name:"auto",description:"The border image width is the intrinsic width or height (whichever is applicable) of the corresponding image slice. If the image does not have the required intrinsic dimension then the corresponding border-width is used instead."}],syntax:"[ <length-percentage> | <number> | auto ]{1,4}",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-image-width"}],description:"The four values of 'border-image-width' specify offsets that are used to divide the border image area into nine parts. They represent inward distances from the top, right, bottom, and left sides of the area, respectively.",restrictions:["length","percentage","number"]},{name:"border-inline-end",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-width'> || <'border-top-style'> || <color>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-end"}],description:"Logical 'border-right'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","line-width","line-style","color"]},{name:"border-inline-start",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-width'> || <'border-top-style'> || <color>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-start"}],description:"Logical 'border-left'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","line-width","line-style","color"]},{name:"border-inline-end-color",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-color'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-end-color"}],description:"Logical 'border-right-color'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["color"]},{name:"border-inline-start-color",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-color'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-start-color"}],description:"Logical 'border-left-color'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["color"]},{name:"border-inline-end-style",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-style'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-end-style"}],description:"Logical 'border-right-style'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["line-style"]},{name:"border-inline-start-style",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-style'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-start-style"}],description:"Logical 'border-left-style'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["line-style"]},{name:"border-inline-end-width",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-width'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-end-width"}],description:"Logical 'border-right-width'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","line-width"]},{name:"border-inline-start-width",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'border-top-width'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-start-width"}],description:"Logical 'border-left-width'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","line-width"]},{name:"border-left",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<line-width> || <line-style> || <color>",relevance:81,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-left"}],description:"Shorthand property for setting border width, style and color",restrictions:["length","line-width","line-style","color"]},{name:"border-left-color",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<color>",relevance:67,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-left-color"}],description:"Sets the color of the left border.",restrictions:["color"]},{name:"border-left-style",browsers:["E12","FF1","S1","C1","IE5.5","O9.2"],syntax:"<line-style>",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-left-style"}],description:"Sets the style of the left border.",restrictions:["line-style"]},{name:"border-left-width",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<line-width>",relevance:63,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-left-width"}],description:"Sets the thickness of the left border.",restrictions:["length","line-width"]},{name:"border-radius",browsers:["E12","FF4","S5","C4","IE9","O10.5"],syntax:"<length-percentage>{1,4} [ / <length-percentage>{1,4} ]?",relevance:92,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-radius"}],description:"Defines the radii of the outer border edge.",restrictions:["length","percentage"]},{name:"border-right",browsers:["E12","FF1","S1","C1","IE5.5","O9.2"],syntax:"<line-width> || <line-style> || <color>",relevance:80,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-right"}],description:"Shorthand property for setting border width, style and color",restrictions:["length","line-width","line-style","color"]},{name:"border-right-color",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<color>",relevance:66,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-right-color"}],description:"Sets the color of the right border.",restrictions:["color"]},{name:"border-right-style",browsers:["E12","FF1","S1","C1","IE5.5","O9.2"],syntax:"<line-style>",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-right-style"}],description:"Sets the style of the right border.",restrictions:["line-style"]},{name:"border-right-width",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<line-width>",relevance:63,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-right-width"}],description:"Sets the thickness of the right border.",restrictions:["length","line-width"]},{name:"border-spacing",browsers:["E12","FF1","S1","C1","IE8","O4"],syntax:"<length> <length>?",relevance:67,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-spacing"}],description:"The lengths specify the distance that separates adjoining cell borders. If one length is specified, it gives both the horizontal and vertical spacing. If two are specified, the first gives the horizontal spacing and the second the vertical spacing. Lengths may not be negative.",restrictions:["length"]},{name:"border-style",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[],syntax:"<line-style>{1,4}",relevance:79,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-style"}],description:"The style of the border around edges of an element.",restrictions:["line-style"]},{name:"border-top",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<line-width> || <line-style> || <color>",relevance:86,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-top"}],description:"Shorthand property for setting border width, style and color",restrictions:["length","line-width","line-style","color"]},{name:"border-top-color",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<color>",relevance:71,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-top-color"}],description:"Sets the color of the top border.",restrictions:["color"]},{name:"border-top-left-radius",browsers:["E12","FF4","S5","C4","IE9","O10.5"],syntax:"<length-percentage>{1,2}",relevance:75,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-top-left-radius"}],description:"Defines the radii of the top left outer border edge.",restrictions:["length","percentage"]},{name:"border-top-right-radius",browsers:["E12","FF4","S5","C4","IE9","O10.5"],syntax:"<length-percentage>{1,2}",relevance:75,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-top-right-radius"}],description:"Defines the radii of the top right outer border edge.",restrictions:["length","percentage"]},{name:"border-top-style",browsers:["E12","FF1","S1","C1","IE5.5","O9.2"],syntax:"<line-style>",relevance:58,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-top-style"}],description:"Sets the style of the top border.",restrictions:["line-style"]},{name:"border-top-width",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<line-width>",relevance:61,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-top-width"}],description:"Sets the thickness of the top border.",restrictions:["length","line-width"]},{name:"border-width",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[],syntax:"<line-width>{1,4}",relevance:82,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-width"}],description:"Shorthand that sets the four 'border-*-width' properties. If it has four values, they set top, right, bottom and left in that order. If left is missing, it is the same as right; if bottom is missing, it is the same as top; if right is missing, it is the same as top.",restrictions:["length","line-width"]},{name:"bottom",browsers:["E12","FF1","S1","C1","IE5","O6"],values:[{name:"auto",description:"For non-replaced elements, the effect of this value depends on which of related properties have the value 'auto' as well"}],syntax:"<length> | <percentage> | auto",relevance:90,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/bottom"}],description:"Specifies how far an absolutely positioned box's bottom margin edge is offset above the bottom edge of the box's 'containing block'.",restrictions:["length","percentage"]},{name:"box-decoration-break",browsers:["E79","FF32","S7","C22","O15"],values:[{name:"clone",description:"Each box is independently wrapped with the border and padding."},{name:"slice",description:"The effect is as though the element were rendered with no breaks present, and then sliced by the breaks afterward."}],syntax:"slice | clone",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-decoration-break"}],description:"Specifies whether individual boxes are treated as broken pieces of one continuous box, or whether each box is individually wrapped with the border and padding.",restrictions:["enum"]},{name:"box-shadow",browsers:["E12","FF4","S5.1","C10","IE9","O10.5"],values:[{name:"inset",description:"Changes the drop shadow from an outer shadow (one that shadows the box onto the canvas, as if it were lifted above the canvas) to an inner shadow (one that shadows the canvas onto the box, as if the box were cut out of the canvas and shifted behind it)."},{name:"none",description:"No shadow."}],syntax:"none | <shadow>#",relevance:90,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-shadow"}],description:"Attaches one or more drop-shadows to the box. The property is a comma-separated list of shadows, each specified by 2-4 length values, an optional color, and an optional 'inset' keyword. Omitted lengths are 0; omitted colors are a user agent chosen color.",restrictions:["length","color","enum"]},{name:"box-sizing",browsers:["E12","FF29","S5.1","C10","IE8","O7"],values:[{name:"border-box",description:"The specified width and height (and respective min/max properties) on this element determine the border box of the element."},{name:"content-box",description:"Behavior of width and height as specified by CSS2.1. The specified width and height (and respective min/max properties) apply to the width and height respectively of the content box of the element."}],syntax:"content-box | border-box",relevance:92,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-sizing"}],description:"Specifies the behavior of the 'width' and 'height' properties.",restrictions:["enum"]},{name:"break-after",browsers:["E12","FF65","S10","C50","IE10","O37"],values:[{name:"always",description:"Always force a page break before/after the generated box."},{name:"auto",description:"Neither force nor forbid a page/column break before/after the principal box."},{name:"avoid",description:"Avoid a break before/after the principal box."},{name:"avoid-column",description:"Avoid a column break before/after the principal box."},{name:"avoid-page",description:"Avoid a page break before/after the principal box."},{name:"column",description:"Always force a column break before/after the principal box."},{name:"left",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a left page."},{name:"page",description:"Always force a page break before/after the principal box."},{name:"right",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a right page."}],syntax:"auto | avoid | always | all | avoid-page | page | left | right | recto | verso | avoid-column | column | avoid-region | region",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/break-after"}],description:"Describes the page/column/region break behavior after the generated box.",restrictions:["enum"]},{name:"break-before",browsers:["E12","FF65","S10","C50","IE10","O37"],values:[{name:"always",description:"Always force a page break before/after the generated box."},{name:"auto",description:"Neither force nor forbid a page/column break before/after the principal box."},{name:"avoid",description:"Avoid a break before/after the principal box."},{name:"avoid-column",description:"Avoid a column break before/after the principal box."},{name:"avoid-page",description:"Avoid a page break before/after the principal box."},{name:"column",description:"Always force a column break before/after the principal box."},{name:"left",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a left page."},{name:"page",description:"Always force a page break before/after the principal box."},{name:"right",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a right page."}],syntax:"auto | avoid | always | all | avoid-page | page | left | right | recto | verso | avoid-column | column | avoid-region | region",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/break-before"}],description:"Describes the page/column/region break behavior before the generated box.",restrictions:["enum"]},{name:"break-inside",browsers:["E12","FF65","S10","C50","IE10","O37"],values:[{name:"auto",description:"Impose no additional breaking constraints within the box."},{name:"avoid",description:"Avoid breaks within the box."},{name:"avoid-column",description:"Avoid a column break within the box."},{name:"avoid-page",description:"Avoid a page break within the box."}],syntax:"auto | avoid | avoid-page | avoid-column | avoid-region",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/break-inside"}],description:"Describes the page/column/region break behavior inside the principal box.",restrictions:["enum"]},{name:"caption-side",browsers:["E12","FF1","S1","C1","IE8","O4"],values:[{name:"bottom",description:"Positions the caption box below the table box."},{name:"top",description:"Positions the caption box above the table box."}],syntax:"top | bottom | block-start | block-end | inline-start | inline-end",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/caption-side"}],description:"Specifies the position of the caption box with respect to the table box.",restrictions:["enum"]},{name:"caret-color",browsers:["E79","FF53","S11.1","C57","O44"],values:[{name:"auto",description:"The user agent selects an appropriate color for the caret. This is generally currentcolor, but the user agent may choose a different color to ensure good visibility and contrast with the surrounding content, taking into account the value of currentcolor, the background, shadows, and other factors."}],syntax:"auto | <color>",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/caret-color"}],description:"Controls the color of the text insertion indicator.",restrictions:["color","enum"]},{name:"clear",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"both",description:"The clearance of the generated box is set to the amount necessary to place the top border edge below the bottom outer edge of any right-floating and left-floating boxes that resulted from elements earlier in the source document."},{name:"left",description:"The clearance of the generated box is set to the amount necessary to place the top border edge below the bottom outer edge of any left-floating boxes that resulted from elements earlier in the source document."},{name:"none",description:"No constraint on the box's position with respect to floats."},{name:"right",description:"The clearance of the generated box is set to the amount necessary to place the top border edge below the bottom outer edge of any right-floating boxes that resulted from elements earlier in the source document."}],syntax:"none | left | right | both | inline-start | inline-end",relevance:83,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/clear"}],description:"Indicates which sides of an element's box(es) may not be adjacent to an earlier floating box. The 'clear' property does not consider floats inside the element itself or in other block formatting contexts.",restrictions:["enum"]},{name:"clip",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"auto",description:"The element does not clip."},{name:"rect()",description:"Specifies offsets from the edges of the border box."}],syntax:"<shape> | auto",relevance:74,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/clip"}],description:"Deprecated. Use the 'clip-path' property when support allows. Defines the visible portion of an element's box.",restrictions:["enum"]},{name:"clip-path",browsers:["E79","FF3.5","S9.1","C55","IE10","O42"],values:[{name:"none",description:"No clipping path gets created."},{name:"url()",description:"References a <clipPath> element to create a clipping path."}],syntax:"<clip-source> | [ <basic-shape> || <geometry-box> ] | none",relevance:64,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/clip-path"}],description:"Specifies a clipping path where everything inside the path is visible and everything outside is clipped out.",restrictions:["url","shape","geometry-box","enum"]},{name:"clip-rule",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"evenodd",description:"Determines the 'insideness' of a point on the canvas by drawing a ray from that point to infinity in any direction and counting the number of path segments from the given shape that the ray crosses."},{name:"nonzero",description:"Determines the 'insideness' of a point on the canvas by drawing a ray from that point to infinity in any direction and then examining the places where a segment of the shape crosses the ray."}],relevance:50,description:"Indicates the algorithm which is to be used to determine what parts of the canvas are included inside the shape.",restrictions:["enum"]},{name:"color",browsers:["E12","FF1","S1","C1","IE3","O3.5"],syntax:"<color>",relevance:94,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/color"}],description:"Sets the color of an element's text",restrictions:["color"]},{name:"color-interpolation-filters",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"auto",description:"Color operations are not required to occur in a particular color space."},{name:"linearRGB",description:"Color operations should occur in the linearized RGB color space."},{name:"sRGB",description:"Color operations should occur in the sRGB color space."}],relevance:50,description:"Specifies the color space for imaging operations performed via filter effects.",restrictions:["enum"]},{name:"column-count",browsers:["E12","FF52","S9","C50","IE10","O11.1"],values:[{name:"auto",description:"Determines the number of columns by the 'column-width' property and the element width."}],syntax:"<integer> | auto",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-count"}],description:"Describes the optimal number of columns into which the content of the element will be flowed.",restrictions:["integer","enum"]},{name:"column-fill",browsers:["E12","FF52","S9","C50","IE10","O37"],values:[{name:"auto",description:"Fills columns sequentially."},{name:"balance",description:"Balance content equally between columns, if possible."}],syntax:"auto | balance | balance-all",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-fill"}],description:"In continuous media, this property will only be consulted if the length of columns has been constrained. Otherwise, columns will automatically be balanced.",restrictions:["enum"]},{name:"column-gap",browsers:["E12","FF1.5","S3","C1","IE10","O11.1"],values:[{name:"normal",description:"User agent specific and typically equivalent to 1em."}],syntax:"normal | <length-percentage>",relevance:60,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-gap"}],description:"Sets the gap between columns. If there is a column rule between columns, it will appear in the middle of the gap.",restrictions:["length","enum"]},{name:"column-rule",browsers:["E12","FF52","S9","C50","IE10","O11.1"],syntax:"<'column-rule-width'> || <'column-rule-style'> || <'column-rule-color'>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-rule"}],description:"Shorthand for setting 'column-rule-width', 'column-rule-style', and 'column-rule-color' at the same place in the style sheet. Omitted values are set to their initial values.",restrictions:["length","line-width","line-style","color"]},{name:"column-rule-color",browsers:["E12","FF52","S9","C50","IE10","O11.1"],syntax:"<color>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-rule-color"}],description:"Sets the color of the column rule",restrictions:["color"]},{name:"column-rule-style",browsers:["E12","FF52","S9","C50","IE10","O11.1"],syntax:"<'border-style'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-rule-style"}],description:"Sets the style of the rule between columns of an element.",restrictions:["line-style"]},{name:"column-rule-width",browsers:["E12","FF52","S9","C50","IE10","O11.1"],syntax:"<'border-width'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-rule-width"}],description:"Sets the width of the rule between columns. Negative values are not allowed.",restrictions:["length","line-width"]},{name:"columns",browsers:["E12","FF52","S9","C50","IE10","O11.1"],values:[{name:"auto",description:"The width depends on the values of other properties."}],syntax:"<'column-width'> || <'column-count'>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/columns"}],description:"A shorthand property which sets both 'column-width' and 'column-count'.",restrictions:["length","integer","enum"]},{name:"column-span",browsers:["E12","FF71","S9","C50","IE10","O11.1"],values:[{name:"all",description:"The element spans across all columns. Content in the normal flow that appears before the element is automatically balanced across all columns before the element appear."},{name:"none",description:"The element does not span multiple columns."}],syntax:"none | all",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-span"}],description:"Describes the page/column break behavior after the generated box.",restrictions:["enum"]},{name:"column-width",browsers:["E12","FF50","S9","C50","IE10","O11.1"],values:[{name:"auto",description:"The width depends on the values of other properties."}],syntax:"<length> | auto",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/column-width"}],description:"Describes the width of columns in multicol elements.",restrictions:["length","enum"]},{name:"contain",browsers:["E79","FF69","S15.4","C52","O39"],values:[{name:"none",description:"Indicates that the property has no effect."},{name:"strict",description:"Turns on all forms of containment for the element."},{name:"content",description:"All containment rules except size are applied to the element."},{name:"size",description:"For properties that can have effects on more than just an element and its descendants, those effects don't escape the containing element."},{name:"layout",description:"Turns on layout containment for the element."},{name:"style",description:"Turns on style containment for the element."},{name:"paint",description:"Turns on paint containment for the element."}],syntax:"none | strict | content | [ [ size || inline-size ] || layout || style || paint ]",relevance:58,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/contain"}],description:"Indicates that an element and its contents are, as much as possible, independent of the rest of the document tree.",restrictions:["enum"]},{name:"content",browsers:["E12","FF1","S1","C1","IE8","O4"],values:[{name:"attr()",description:"The attr(n) function returns as a string the value of attribute n for the subject of the selector."},{name:"counter(name)",description:"Counters are denoted by identifiers (see the 'counter-increment' and 'counter-reset' properties)."},{name:"icon",description:"The (pseudo-)element is replaced in its entirety by the resource referenced by its 'icon' property, and treated as a replaced element."},{name:"none",description:"On elements, this inhibits the children of the element from being rendered as children of this element, as if the element was empty. On pseudo-elements it causes the pseudo-element to have no content."},{name:"normal",description:"See http://www.w3.org/TR/css3-content/#content for computation rules."},{name:"url()"}],syntax:"normal | none | [ <content-replacement> | <content-list> ] [/ [ <string> | <counter> ]+ ]?",relevance:89,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/content"}],description:"Determines which page-based occurrence of a given element is applied to a counter or string value.",restrictions:["string","url"]},{name:"counter-increment",browsers:["E12","FF1","S3","C2","IE8","O9.2"],values:[{name:"none",description:"This element does not alter the value of any counters."}],syntax:"[ <counter-name> <integer>? ]+ | none",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/counter-increment"}],description:"Manipulate the value of existing counters.",restrictions:["identifier","integer"]},{name:"counter-reset",browsers:["E12","FF1","S3","C2","IE8","O9.2"],values:[{name:"none",description:"The counter is not modified."}],syntax:"[ <counter-name> <integer>? | <reversed-counter-name> <integer>? ]+ | none",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/counter-reset"}],description:"Property accepts one or more names of counters (identifiers), each one optionally followed by an integer. The integer gives the value that the counter is set to on each occurrence of the element.",restrictions:["identifier","integer"]},{name:"cursor",browsers:["E12","FF1","S1.2","C1","IE4","O7"],values:[{name:"alias",description:"Indicates an alias of/shortcut to something is to be created. Often rendered as an arrow with a small curved arrow next to it."},{name:"all-scroll",description:"Indicates that the something can be scrolled in any direction. Often rendered as arrows pointing up, down, left, and right with a dot in the middle."},{name:"auto",description:"The UA determines the cursor to display based on the current context."},{name:"cell",description:"Indicates that a cell or set of cells may be selected. Often rendered as a thick plus-sign with a dot in the middle."},{name:"col-resize",description:"Indicates that the item/column can be resized horizontally. Often rendered as arrows pointing left and right with a vertical bar separating them."},{name:"context-menu",description:"A context menu is available for the object under the cursor. Often rendered as an arrow with a small menu-like graphic next to it."},{name:"copy",description:"Indicates something is to be copied. Often rendered as an arrow with a small plus sign next to it."},{name:"crosshair",description:"A simple crosshair (e.g., short line segments resembling a '+' sign). Often used to indicate a two dimensional bitmap selection mode."},{name:"default",description:"The platform-dependent default cursor. Often rendered as an arrow."},{name:"e-resize",description:"Indicates that east edge is to be moved."},{name:"ew-resize",description:"Indicates a bidirectional east-west resize cursor."},{name:"grab",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be grabbed."},{name:"grabbing",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something is being grabbed."},{name:"help",description:"Help is available for the object under the cursor. Often rendered as a question mark or a balloon."},{name:"move",description:"Indicates something is to be moved."},{name:"-moz-grab",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be grabbed."},{name:"-moz-grabbing",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something is being grabbed."},{name:"-moz-zoom-in",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be zoomed (magnified) in."},{name:"-moz-zoom-out",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be zoomed (magnified) out."},{name:"ne-resize",description:"Indicates that movement starts from north-east corner."},{name:"nesw-resize",description:"Indicates a bidirectional north-east/south-west cursor."},{name:"no-drop",description:"Indicates that the dragged item cannot be dropped at the current cursor location. Often rendered as a hand or pointer with a small circle with a line through it."},{name:"none",description:"No cursor is rendered for the element."},{name:"not-allowed",description:"Indicates that the requested action will not be carried out. Often rendered as a circle with a line through it."},{name:"n-resize",description:"Indicates that north edge is to be moved."},{name:"ns-resize",description:"Indicates a bidirectional north-south cursor."},{name:"nw-resize",description:"Indicates that movement starts from north-west corner."},{name:"nwse-resize",description:"Indicates a bidirectional north-west/south-east cursor."},{name:"pointer",description:"The cursor is a pointer that indicates a link."},{name:"progress",description:"A progress indicator. The program is performing some processing, but is different from 'wait' in that the user may still interact with the program. Often rendered as a spinning beach ball, or an arrow with a watch or hourglass."},{name:"row-resize",description:"Indicates that the item/row can be resized vertically. Often rendered as arrows pointing up and down with a horizontal bar separating them."},{name:"se-resize",description:"Indicates that movement starts from south-east corner."},{name:"s-resize",description:"Indicates that south edge is to be moved."},{name:"sw-resize",description:"Indicates that movement starts from south-west corner."},{name:"text",description:"Indicates text that may be selected. Often rendered as a vertical I-beam."},{name:"vertical-text",description:"Indicates vertical-text that may be selected. Often rendered as a horizontal I-beam."},{name:"wait",description:"Indicates that the program is busy and the user should wait. Often rendered as a watch or hourglass."},{name:"-webkit-grab",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be grabbed."},{name:"-webkit-grabbing",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something is being grabbed."},{name:"-webkit-zoom-in",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be zoomed (magnified) in."},{name:"-webkit-zoom-out",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be zoomed (magnified) out."},{name:"w-resize",description:"Indicates that west edge is to be moved."},{name:"zoom-in",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be zoomed (magnified) in."},{name:"zoom-out",browsers:["E12","FF1","S1.2","C1","IE4","O7"],description:"Indicates that something can be zoomed (magnified) out."}],syntax:"[ [ <url> [ <x> <y> ]? , ]* [ auto | default | none | context-menu | help | pointer | progress | wait | cell | crosshair | text | vertical-text | alias | copy | move | no-drop | not-allowed | e-resize | n-resize | ne-resize | nw-resize | s-resize | se-resize | sw-resize | w-resize | ew-resize | ns-resize | nesw-resize | nwse-resize | col-resize | row-resize | all-scroll | zoom-in | zoom-out | grab | grabbing ] ]",relevance:91,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/cursor"}],description:"Allows control over cursor appearance in an element",restrictions:["url","number","enum"]},{name:"direction",browsers:["E12","FF1","S1","C2","IE5.5","O9.2"],values:[{name:"ltr",description:"Left-to-right direction."},{name:"rtl",description:"Right-to-left direction."}],syntax:"ltr | rtl",relevance:71,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/direction"}],description:"Specifies the inline base direction or directionality of any bidi paragraph, embedding, isolate, or override established by the box. Note: for HTML content use the 'dir' attribute and 'bdo' element rather than this property.",restrictions:["enum"]},{name:"display",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"block",description:"The element generates a block-level box"},{name:"contents",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element itself does not generate any boxes, but its children and pseudo-elements still generate boxes as normal."},{name:"flex",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element generates a principal flex container box and establishes a flex formatting context."},{name:"flexbox",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element lays out its contents using flow layout (block-and-inline layout). Standardized as 'flex'."},{name:"flow-root",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element generates a block container box, and lays out its contents using flow layout."},{name:"grid",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element generates a principal grid container box, and establishes a grid formatting context."},{name:"inline",description:"The element generates an inline-level box."},{name:"inline-block",description:"A block box, which itself is flowed as a single inline box, similar to a replaced element. The inside of an inline-block is formatted as a block box, and the box itself is formatted as an inline box."},{name:"inline-flex",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Inline-level flex container."},{name:"inline-flexbox",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Inline-level flex container. Standardized as 'inline-flex'"},{name:"inline-table",description:"Inline-level table wrapper box containing table box."},{name:"list-item",description:"One or more block boxes and one marker box."},{name:"-moz-box",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element lays out its contents using flow layout (block-and-inline layout). Standardized as 'flex'."},{name:"-moz-deck",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-grid",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-grid-group",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-grid-line",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-groupbox",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-inline-box",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Inline-level flex container. Standardized as 'inline-flex'"},{name:"-moz-inline-grid",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-inline-stack",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-marker",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-popup",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-moz-stack",browsers:["E12","FF1","S1","C1","IE4","O7"]},{name:"-ms-flexbox",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element lays out its contents using flow layout (block-and-inline layout). Standardized as 'flex'."},{name:"-ms-grid",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element generates a principal grid container box, and establishes a grid formatting context."},{name:"-ms-inline-flexbox",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Inline-level flex container. Standardized as 'inline-flex'"},{name:"-ms-inline-grid",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Inline-level grid container."},{name:"none",description:"The element and its descendants generates no boxes."},{name:"ruby",description:"The element generates a principal ruby container box, and establishes a ruby formatting context."},{name:"ruby-base"},{name:"ruby-base-container"},{name:"ruby-text"},{name:"ruby-text-container"},{name:"run-in",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element generates a run-in box. Run-in elements act like inlines or blocks, depending on the surrounding elements."},{name:"table",description:"The element generates a principal table wrapper box containing an additionally-generated table box, and establishes a table formatting context."},{name:"table-caption"},{name:"table-cell"},{name:"table-column"},{name:"table-column-group"},{name:"table-footer-group"},{name:"table-header-group"},{name:"table-row"},{name:"table-row-group"},{name:"-webkit-box",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element lays out its contents using flow layout (block-and-inline layout). Standardized as 'flex'."},{name:"-webkit-flex",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"The element lays out its contents using flow layout (block-and-inline layout)."},{name:"-webkit-inline-box",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Inline-level flex container. Standardized as 'inline-flex'"},{name:"-webkit-inline-flex",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Inline-level flex container."}],syntax:"[ <display-outside> || <display-inside> ] | <display-listitem> | <display-internal> | <display-box> | <display-legacy>",relevance:96,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/display"}],description:"In combination with 'float' and 'position', determines the type of box or boxes that are generated for an element.",restrictions:["enum"]},{name:"empty-cells",browsers:["E12","FF1","S1.2","C1","IE8","O4"],values:[{name:"hide",description:"No borders or backgrounds are drawn around/behind empty cells."},{name:"-moz-show-background",browsers:["E12","FF1","S1.2","C1","IE8","O4"]},{name:"show",description:"Borders and backgrounds are drawn around/behind empty cells (like normal cells)."}],syntax:"show | hide",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/empty-cells"}],description:"In the separated borders model, this property controls the rendering of borders and backgrounds around cells that have no visible content.",restrictions:["enum"]},{name:"enable-background",values:[{name:"accumulate",description:"If the ancestor container element has a property of new, then all graphics elements within the current container are rendered both on the parent's background image and onto the target."},{name:"new",description:"Create a new background image canvas. All children of the current container element can access the background, and they will be rendered onto both the parent's background image canvas in addition to the target device."}],relevance:50,description:"Deprecated. Use 'isolation' property instead when support allows. Specifies how the accumulation of the background image is managed.",restrictions:["integer","length","percentage","enum"]},{name:"fallback",browsers:["FF33"],atRule:"@counter-style",syntax:"<counter-style-name>",relevance:50,description:"@counter-style descriptor. Specifies a fallback counter style to be used when the current counter style can't create a representation for a given counter value.",restrictions:["identifier"]},{name:"fill",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"url()",description:"A URL reference to a paint server element, which is an element that defines a paint server: 'hatch', 'linearGradient', 'mesh', 'pattern', 'radialGradient' and 'solidcolor'."},{name:"none",description:"No paint is applied in this layer."}],relevance:77,description:"Paints the interior of the given graphical element.",restrictions:["color","enum","url"]},{name:"fill-opacity",browsers:["E80","FF72","S13.1","C80","O67"],relevance:52,description:"Specifies the opacity of the painting operation used to paint the interior the current object.",restrictions:["number(0-1)"]},{name:"fill-rule",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"evenodd",description:"Determines the 'insideness' of a point on the canvas by drawing a ray from that point to infinity in any direction and counting the number of path segments from the given shape that the ray crosses."},{name:"nonzero",description:"Determines the 'insideness' of a point on the canvas by drawing a ray from that point to infinity in any direction and then examining the places where a segment of the shape crosses the ray."}],relevance:51,description:"Indicates the algorithm (or winding rule) which is to be used to determine what parts of the canvas are included inside the shape.",restrictions:["enum"]},{name:"filter",browsers:["E12","FF35","S9.1","C53","O40"],values:[{name:"none",description:"No filter effects are applied."},{name:"blur()",description:"Applies a Gaussian blur to the input image."},{name:"brightness()",description:"Applies a linear multiplier to input image, making it appear more or less bright."},{name:"contrast()",description:"Adjusts the contrast of the input."},{name:"drop-shadow()",description:"Applies a drop shadow effect to the input image."},{name:"grayscale()",description:"Converts the input image to grayscale."},{name:"hue-rotate()",description:"Applies a hue rotation on the input image. "},{name:"invert()",description:"Inverts the samples in the input image."},{name:"opacity()",description:"Applies transparency to the samples in the input image."},{name:"saturate()",description:"Saturates the input image."},{name:"sepia()",description:"Converts the input image to sepia."},{name:"url()",browsers:["E12","FF35","S9.1","C53","O40"],description:"A filter reference to a <filter> element."}],syntax:"none | <filter-function-list>",relevance:70,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/filter"}],description:"Processes an element's rendering before it is displayed in the document, by applying one or more filter effects.",restrictions:["enum","url"]},{name:"flex",browsers:["E12","FF20","S9","C29","IE11","O12.1"],values:[{name:"auto",description:"Retrieves the value of the main size property as the used 'flex-basis'."},{name:"content",browsers:["E12","FF20","S9","C29","IE11","O12.1"],description:"Indicates automatic sizing, based on the flex item's content."},{name:"none",description:"Expands to '0 0 auto'."}],syntax:"none | [ <'flex-grow'> <'flex-shrink'>? || <'flex-basis'> ]",relevance:81,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/flex"}],description:"Specifies the components of a flexible length: the flex grow factor and flex shrink factor, and the flex basis.",restrictions:["length","number","percentage"]},{name:"flex-basis",browsers:["E12","FF22","S9","C29","IE11","O12.1"],values:[{name:"auto",description:"Retrieves the value of the main size property as the used 'flex-basis'."},{name:"content",browsers:["E12","FF22","S9","C29","IE11","O12.1"],description:"Indicates automatic sizing, based on the flex item's content."}],syntax:"content | <'width'>",relevance:70,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/flex-basis"}],description:"Sets the flex basis.",restrictions:["length","number","percentage"]},{name:"flex-direction",browsers:["E12","FF81","S9","C29","IE11","O12.1"],values:[{name:"column",description:"The flex container's main axis has the same orientation as the block axis of the current writing mode."},{name:"column-reverse",description:"Same as 'column', except the main-start and main-end directions are swapped."},{name:"row",description:"The flex container's main axis has the same orientation as the inline axis of the current writing mode."},{name:"row-reverse",description:"Same as 'row', except the main-start and main-end directions are swapped."}],syntax:"row | row-reverse | column | column-reverse",relevance:84,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/flex-direction"}],description:"Specifies how flex items are placed in the flex container, by setting the direction of the flex container's main axis.",restrictions:["enum"]},{name:"flex-flow",browsers:["E12","FF28","S9","C29","IE11","O12.1"],values:[{name:"column",description:"The flex container's main axis has the same orientation as the block axis of the current writing mode."},{name:"column-reverse",description:"Same as 'column', except the main-start and main-end directions are swapped."},{name:"nowrap",description:"The flex container is single-line."},{name:"row",description:"The flex container's main axis has the same orientation as the inline axis of the current writing mode."},{name:"row-reverse",description:"Same as 'row', except the main-start and main-end directions are swapped."},{name:"wrap",description:"The flexbox is multi-line."},{name:"wrap-reverse",description:"Same as 'wrap', except the cross-start and cross-end directions are swapped."}],syntax:"<'flex-direction'> || <'flex-wrap'>",relevance:64,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/flex-flow"}],description:"Specifies how flexbox items are placed in the flexbox.",restrictions:["enum"]},{name:"flex-grow",browsers:["E12","FF20","S9","C29","IE11","O12.1"],syntax:"<number>",relevance:77,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/flex-grow"}],description:"Sets the flex grow factor. Negative numbers are invalid.",restrictions:["number"]},{name:"flex-shrink",browsers:["E12","FF20","S9","C29","IE10","O12.1"],syntax:"<number>",relevance:76,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/flex-shrink"}],description:"Sets the flex shrink factor. Negative numbers are invalid.",restrictions:["number"]},{name:"flex-wrap",browsers:["E12","FF28","S9","C29","IE11","O17"],values:[{name:"nowrap",description:"The flex container is single-line."},{name:"wrap",description:"The flexbox is multi-line."},{name:"wrap-reverse",description:"Same as 'wrap', except the cross-start and cross-end directions are swapped."}],syntax:"nowrap | wrap | wrap-reverse",relevance:82,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/flex-wrap"}],description:"Controls whether the flex container is single-line or multi-line, and the direction of the cross-axis, which determines the direction new lines are stacked in.",restrictions:["enum"]},{name:"float",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"inline-end",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"A keyword indicating that the element must float on the end side of its containing block. That is the right side with ltr scripts, and the left side with rtl scripts."},{name:"inline-start",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"A keyword indicating that the element must float on the start side of its containing block. That is the left side with ltr scripts, and the right side with rtl scripts."},{name:"left",description:"The element generates a block box that is floated to the left. Content flows on the right side of the box, starting at the top (subject to the 'clear' property)."},{name:"none",description:"The box is not floated."},{name:"right",description:"Similar to 'left', except the box is floated to the right, and content flows on the left side of the box, starting at the top."}],syntax:"left | right | none | inline-start | inline-end",relevance:89,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/float"}],description:"Specifies how a box should be floated. It may be set for any element, but only applies to elements that generate boxes that are not absolutely positioned.",restrictions:["enum"]},{name:"flood-color",browsers:["E12","FF3","S6","C5","IE","O15"],relevance:50,description:"Indicates what color to use to flood the current filter primitive subregion.",restrictions:["color"]},{name:"flood-opacity",browsers:["E12","FF3","S6","C5","IE","O15"],relevance:50,description:"Indicates what opacity to use to flood the current filter primitive subregion.",restrictions:["number(0-1)","percentage"]},{name:"font",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"100",description:"Thin"},{name:"200",description:"Extra Light (Ultra Light)"},{name:"300",description:"Light"},{name:"400",description:"Normal"},{name:"500",description:"Medium"},{name:"600",description:"Semi Bold (Demi Bold)"},{name:"700",description:"Bold"},{name:"800",description:"Extra Bold (Ultra Bold)"},{name:"900",description:"Black (Heavy)"},{name:"bold",description:"Same as 700"},{name:"bolder",description:"Specifies the weight of the face bolder than the inherited value."},{name:"caption",description:"The font used for captioned controls (e.g., buttons, drop-downs, etc.)."},{name:"icon",description:"The font used to label icons."},{name:"italic",description:"Selects a font that is labeled 'italic', or, if that is not available, one labeled 'oblique'."},{name:"large"},{name:"larger"},{name:"lighter",description:"Specifies the weight of the face lighter than the inherited value."},{name:"medium"},{name:"menu",description:"The font used in menus (e.g., dropdown menus and menu lists)."},{name:"message-box",description:"The font used in dialog boxes."},{name:"normal",description:"Specifies a face that is not labeled as a small-caps font."},{name:"oblique",description:"Selects a font that is labeled 'oblique'."},{name:"small"},{name:"small-caps",description:"Specifies a font that is labeled as a small-caps font. If a genuine small-caps font is not available, user agents should simulate a small-caps font."},{name:"small-caption",description:"The font used for labeling small controls."},{name:"smaller"},{name:"status-bar",description:"The font used in window status bars."},{name:"x-large"},{name:"x-small"},{name:"xx-large"},{name:"xx-small"}],syntax:"[ [ <'font-style'> || <font-variant-css21> || <'font-weight'> || <'font-stretch'> ]? <'font-size'> [ / <'line-height'> ]? <'font-family'> ] | caption | icon | menu | message-box | small-caption | status-bar",relevance:83,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font"}],description:"Shorthand property for setting 'font-style', 'font-variant', 'font-weight', 'font-size', 'line-height', and 'font-family', at the same place in the style sheet. The syntax of this property is based on a traditional typographical shorthand notation to set multiple properties related to fonts.",restrictions:["font"]},{name:"font-family",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"system-ui, -apple-system, BlinkMacSystemFont, 'Segoe UI', Roboto, Oxygen, Ubuntu, Cantarell, 'Open Sans', 'Helvetica Neue', sans-serif"},{name:"Arial, Helvetica, sans-serif"},{name:"Cambria, Cochin, Georgia, Times, 'Times New Roman', serif"},{name:"'Courier New', Courier, monospace"},{name:"cursive"},{name:"fantasy"},{name:"'Franklin Gothic Medium', 'Arial Narrow', Arial, sans-serif"},{name:"Georgia, 'Times New Roman', Times, serif"},{name:"'Gill Sans', 'Gill Sans MT', Calibri, 'Trebuchet MS', sans-serif"},{name:"Impact, Haettenschweiler, 'Arial Narrow Bold', sans-serif"},{name:"'Lucida Sans', 'Lucida Sans Regular', 'Lucida Grande', 'Lucida Sans Unicode', Geneva, Verdana, sans-serif"},{name:"monospace"},{name:"sans-serif"},{name:"'Segoe UI', Tahoma, Geneva, Verdana, sans-serif"},{name:"serif"},{name:"'Times New Roman', Times, serif"},{name:"'Trebuchet MS', 'Lucida Sans Unicode', 'Lucida Grande', 'Lucida Sans', Arial, sans-serif"},{name:"Verdana, Geneva, Tahoma, sans-serif"}],atRule:"@font-face",syntax:"<family-name>",relevance:93,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-family"}],description:"Specifies a prioritized list of font family names or generic family names. A user agent iterates through the list of family names until it matches an available font that contains a glyph for the character to be rendered.",restrictions:["font"]},{name:"font-feature-settings",browsers:["E15","FF34","S9.1","C48","IE10","O35"],values:[{name:'"aalt"',description:"Access All Alternates."},{name:'"abvf"',description:"Above-base Forms. Required in Khmer script."},{name:'"abvm"',description:"Above-base Mark Positioning. Required in Indic scripts."},{name:'"abvs"',description:"Above-base Substitutions. Required in Indic scripts."},{name:'"afrc"',description:"Alternative Fractions."},{name:'"akhn"',description:"Akhand. Required in most Indic scripts."},{name:'"blwf"',description:"Below-base Form. Required in a number of Indic scripts."},{name:'"blwm"',description:"Below-base Mark Positioning. Required in Indic scripts."},{name:'"blws"',description:"Below-base Substitutions. Required in Indic scripts."},{name:'"calt"',description:"Contextual Alternates."},{name:'"case"',description:"Case-Sensitive Forms. Applies only to European scripts; particularly prominent in Spanish-language setting."},{name:'"ccmp"',description:"Glyph Composition/Decomposition."},{name:'"cfar"',description:"Conjunct Form After Ro. Required in Khmer scripts."},{name:'"cjct"',description:"Conjunct Forms. Required in Indic scripts that show similarity to Devanagari."},{name:'"clig"',description:"Contextual Ligatures."},{name:'"cpct"',description:"Centered CJK Punctuation. Used primarily in Chinese fonts."},{name:'"cpsp"',description:"Capital Spacing. Should not be used in connecting scripts (e.g. most Arabic)."},{name:'"cswh"',description:"Contextual Swash."},{name:'"curs"',description:"Cursive Positioning. Can be used in any cursive script."},{name:'"c2pc"',description:"Petite Capitals From Capitals. Applies only to bicameral scripts."},{name:'"c2sc"',description:"Small Capitals From Capitals. Applies only to bicameral scripts."},{name:'"dist"',description:"Distances. Required in Indic scripts."},{name:'"dlig"',description:"Discretionary ligatures."},{name:'"dnom"',description:"Denominators."},{name:'"dtls"',description:"Dotless Forms. Applied to math formula layout."},{name:'"expt"',description:"Expert Forms. Applies only to Japanese."},{name:'"falt"',description:"Final Glyph on Line Alternates. Can be used in any cursive script."},{name:'"fin2"',description:"Terminal Form #2. Used only with the Syriac script."},{name:'"fin3"',description:"Terminal Form #3. Used only with the Syriac script."},{name:'"fina"',description:"Terminal Forms. Can be used in any alphabetic script."},{name:'"flac"',description:"Flattened ascent forms. Applied to math formula layout."},{name:'"frac"',description:"Fractions."},{name:'"fwid"',description:"Full Widths. Applies to any script which can use monospaced forms."},{name:'"half"',description:"Half Forms. Required in Indic scripts that show similarity to Devanagari."},{name:'"haln"',description:"Halant Forms. Required in Indic scripts."},{name:'"halt"',description:"Alternate Half Widths. Used only in CJKV fonts."},{name:'"hist"',description:"Historical Forms."},{name:'"hkna"',description:"Horizontal Kana Alternates. Applies only to fonts that support kana (hiragana and katakana)."},{name:'"hlig"',description:"Historical Ligatures."},{name:'"hngl"',description:"Hangul. Korean only."},{name:'"hojo"',description:"Hojo Kanji Forms (JIS X 0212-1990 Kanji Forms). Used only with Kanji script."},{name:'"hwid"',description:"Half Widths. Generally used only in CJKV fonts."},{name:'"init"',description:"Initial Forms. Can be used in any alphabetic script."},{name:'"isol"',description:"Isolated Forms. Can be used in any cursive script."},{name:'"ital"',description:"Italics. Applies mostly to Latin; note that many non-Latin fonts contain Latin as well."},{name:'"jalt"',description:"Justification Alternates. Can be used in any cursive script."},{name:'"jp78"',description:"JIS78 Forms. Applies only to Japanese."},{name:'"jp83"',description:"JIS83 Forms. Applies only to Japanese."},{name:'"jp90"',description:"JIS90 Forms. Applies only to Japanese."},{name:'"jp04"',description:"JIS2004 Forms. Applies only to Japanese."},{name:'"kern"',description:"Kerning."},{name:'"lfbd"',description:"Left Bounds."},{name:'"liga"',description:"Standard Ligatures."},{name:'"ljmo"',description:"Leading Jamo Forms. Required for Hangul script when Ancient Hangul writing system is supported."},{name:'"lnum"',description:"Lining Figures."},{name:'"locl"',description:"Localized Forms."},{name:'"ltra"',description:"Left-to-right glyph alternates."},{name:'"ltrm"',description:"Left-to-right mirrored forms."},{name:'"mark"',description:"Mark Positioning."},{name:'"med2"',description:"Medial Form #2. Used only with the Syriac script."},{name:'"medi"',description:"Medial Forms."},{name:'"mgrk"',description:"Mathematical Greek."},{name:'"mkmk"',description:"Mark to Mark Positioning."},{name:'"nalt"',description:"Alternate Annotation Forms."},{name:'"nlck"',description:"NLC Kanji Forms. Used only with Kanji script."},{name:'"nukt"',description:"Nukta Forms. Required in Indic scripts.."},{name:'"numr"',description:"Numerators."},{name:'"onum"',description:"Oldstyle Figures."},{name:'"opbd"',description:"Optical Bounds."},{name:'"ordn"',description:"Ordinals. Applies mostly to Latin script."},{name:'"ornm"',description:"Ornaments."},{name:'"palt"',description:"Proportional Alternate Widths. Used mostly in CJKV fonts."},{name:'"pcap"',description:"Petite Capitals."},{name:'"pkna"',description:"Proportional Kana. Generally used only in Japanese fonts."},{name:'"pnum"',description:"Proportional Figures."},{name:'"pref"',description:"Pre-base Forms. Required in Khmer and Myanmar (Burmese) scripts and southern Indic scripts that may display a pre-base form of Ra."},{name:'"pres"',description:"Pre-base Substitutions. Required in Indic scripts."},{name:'"pstf"',description:"Post-base Forms. Required in scripts of south and southeast Asia that have post-base forms for consonants eg: Gurmukhi, Malayalam, Khmer."},{name:'"psts"',description:"Post-base Substitutions."},{name:'"pwid"',description:"Proportional Widths."},{name:'"qwid"',description:"Quarter Widths. Generally used only in CJKV fonts."},{name:'"rand"',description:"Randomize."},{name:'"rclt"',description:"Required Contextual Alternates. May apply to any script, but is especially important for many styles of Arabic."},{name:'"rlig"',description:"Required Ligatures. Applies to Arabic and Syriac. May apply to some other scripts."},{name:'"rkrf"',description:"Rakar Forms. Required in Devanagari and Gujarati scripts."},{name:'"rphf"',description:"Reph Form. Required in Indic scripts. E.g. Devanagari, Kannada."},{name:'"rtbd"',description:"Right Bounds."},{name:'"rtla"',description:"Right-to-left alternates."},{name:'"rtlm"',description:"Right-to-left mirrored forms."},{name:'"ruby"',description:"Ruby Notation Forms. Applies only to Japanese."},{name:'"salt"',description:"Stylistic Alternates."},{name:'"sinf"',description:"Scientific Inferiors."},{name:'"size"',description:"Optical size."},{name:'"smcp"',description:"Small Capitals. Applies only to bicameral scripts."},{name:'"smpl"',description:"Simplified Forms. Applies only to Chinese and Japanese."},{name:'"ssty"',description:"Math script style alternates."},{name:'"stch"',description:"Stretching Glyph Decomposition."},{name:'"subs"',description:"Subscript."},{name:'"sups"',description:"Superscript."},{name:'"swsh"',description:"Swash. Does not apply to ideographic scripts."},{name:'"titl"',description:"Titling."},{name:'"tjmo"',description:"Trailing Jamo Forms. Required for Hangul script when Ancient Hangul writing system is supported."},{name:'"tnam"',description:"Traditional Name Forms. Applies only to Japanese."},{name:'"tnum"',description:"Tabular Figures."},{name:'"trad"',description:"Traditional Forms. Applies only to Chinese and Japanese."},{name:'"twid"',description:"Third Widths. Generally used only in CJKV fonts."},{name:'"unic"',description:"Unicase."},{name:'"valt"',description:"Alternate Vertical Metrics. Applies only to scripts with vertical writing modes."},{name:'"vatu"',description:"Vattu Variants. Used for Indic scripts. E.g. Devanagari."},{name:'"vert"',description:"Vertical Alternates. Applies only to scripts with vertical writing modes."},{name:'"vhal"',description:"Alternate Vertical Half Metrics. Used only in CJKV fonts."},{name:'"vjmo"',description:"Vowel Jamo Forms. Required for Hangul script when Ancient Hangul writing system is supported."},{name:'"vkna"',description:"Vertical Kana Alternates. Applies only to fonts that support kana (hiragana and katakana)."},{name:'"vkrn"',description:"Vertical Kerning."},{name:'"vpal"',description:"Proportional Alternate Vertical Metrics. Used mostly in CJKV fonts."},{name:'"vrt2"',description:"Vertical Alternates and Rotation. Applies only to scripts with vertical writing modes."},{name:'"zero"',description:"Slashed Zero."},{name:"normal",description:"No change in glyph substitution or positioning occurs."},{name:"off",description:"Disable feature."},{name:"on",description:"Enable feature."}],atRule:"@font-face",syntax:"normal | <feature-tag-value>#",relevance:57,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-feature-settings"}],description:"Provides low-level control over OpenType font features. It is intended as a way of providing access to font features that are not widely used but are needed for a particular use case.",restrictions:["string","integer"]},{name:"font-kerning",browsers:["E79","FF32","S9","C33","O20"],values:[{name:"auto",description:"Specifies that kerning is applied at the discretion of the user agent."},{name:"none",description:"Specifies that kerning is not applied."},{name:"normal",description:"Specifies that kerning is applied."}],syntax:"auto | normal | none",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-kerning"}],description:"Kerning is the contextual adjustment of inter-glyph spacing. This property controls metric kerning, kerning that utilizes adjustment data contained in the font.",restrictions:["enum"]},{name:"font-language-override",browsers:["FF34"],values:[{name:"normal",description:"Implies that when rendering with OpenType fonts the language of the document is used to infer the OpenType language system, used to select language specific features when rendering."}],syntax:"normal | <string>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-language-override"}],description:"The value of 'normal' implies that when rendering with OpenType fonts the language of the document is used to infer the OpenType language system, used to select language specific features when rendering.",restrictions:["string"]},{name:"font-size",browsers:["E12","FF1","S1","C1","IE5.5","O7"],values:[{name:"large"},{name:"larger"},{name:"medium"},{name:"small"},{name:"smaller"},{name:"x-large"},{name:"x-small"},{name:"xx-large"},{name:"xx-small"}],syntax:"<absolute-size> | <relative-size> | <length-percentage>",relevance:94,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-size"}],description:"Indicates the desired height of glyphs from the font. For scalable fonts, the font-size is a scale factor applied to the EM unit of the font. (Note that certain glyphs may bleed outside their EM box.) For non-scalable fonts, the font-size is converted into absolute units and matched against the declared font-size of the font, using the same absolute coordinate space for both of the matched values.",restrictions:["length","percentage"]},{name:"font-size-adjust",browsers:["FF3","S16.4"],values:[{name:"none",description:"Do not preserve the font's x-height."}],syntax:"none | [ ex-height | cap-height | ch-width | ic-width | ic-height ]? [ from-font | <number> ]",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-size-adjust"}],description:"Preserves the readability of text when font fallback occurs by adjusting the font-size so that the x-height is the same regardless of the font used.",restrictions:["number"]},{name:"font-stretch",browsers:["E12","FF9","S11","C60","IE9","O47"],values:[{name:"condensed"},{name:"expanded"},{name:"extra-condensed"},{name:"extra-expanded"},{name:"narrower",browsers:["E12","FF9","S11","C60","IE9","O47"],description:"Indicates a narrower value relative to the width of the parent element."},{name:"normal"},{name:"semi-condensed"},{name:"semi-expanded"},{name:"ultra-condensed"},{name:"ultra-expanded"},{name:"wider",browsers:["E12","FF9","S11","C60","IE9","O47"],description:"Indicates a wider value relative to the width of the parent element."}],atRule:"@font-face",syntax:"<font-stretch-absolute>{1,2}",relevance:56,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-stretch"}],description:"Selects a normal, condensed, or expanded face from a font family.",restrictions:["enum"]},{name:"font-style",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"italic",description:"Selects a font that is labeled as an 'italic' face, or an 'oblique' face if one is not"},{name:"normal",description:"Selects a face that is classified as 'normal'."},{name:"oblique",description:"Selects a font that is labeled as an 'oblique' face, or an 'italic' face if one is not."}],atRule:"@font-face",syntax:"normal | italic | oblique <angle>{0,2}",relevance:89,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-style"}],description:"Allows italic or oblique faces to be selected. Italic forms are generally cursive in nature while oblique faces are typically sloped versions of the regular face.",restrictions:["enum"]},{name:"font-synthesis",browsers:["E97","FF34","S9","C97","O83"],values:[{name:"none",description:"Disallow all synthetic faces."},{name:"style",description:"Allow synthetic italic faces."},{name:"weight",description:"Allow synthetic bold faces."}],syntax:"none | [ weight || style || small-caps || position]",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-synthesis"}],description:"Controls whether user agents are allowed to synthesize bold or oblique font faces when a font family lacks bold or italic faces.",restrictions:["enum"]},{name:"font-variant",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"normal",description:"Specifies a face that is not labeled as a small-caps font."},{name:"small-caps",description:"Specifies a font that is labeled as a small-caps font. If a genuine small-caps font is not available, user agents should simulate a small-caps font."}],syntax:"normal | none | [ <common-lig-values> || <discretionary-lig-values> || <historical-lig-values> || <contextual-alt-values> || stylistic( <feature-value-name> ) || historical-forms || styleset( <feature-value-name># ) || character-variant( <feature-value-name># ) || swash( <feature-value-name> ) || ornaments( <feature-value-name> ) || annotation( <feature-value-name> ) || [ small-caps | all-small-caps | petite-caps | all-petite-caps | unicase | titling-caps ] || <numeric-figure-values> || <numeric-spacing-values> || <numeric-fraction-values> || ordinal || slashed-zero || <east-asian-variant-values> || <east-asian-width-values> || ruby ]",relevance:64,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variant"}],description:"Specifies variant representations of the font",restrictions:["enum"]},{name:"font-variant-alternates",browsers:["E111","FF34","S9.1","C111","O97"],values:[{name:"annotation()",description:"Enables display of alternate annotation forms."},{name:"character-variant()",description:"Enables display of specific character variants."},{name:"historical-forms",description:"Enables display of historical forms."},{name:"normal",description:"None of the features are enabled."},{name:"ornaments()",description:"Enables replacement of default glyphs with ornaments, if provided in the font."},{name:"styleset()",description:"Enables display with stylistic sets."},{name:"stylistic()",description:"Enables display of stylistic alternates."},{name:"swash()",description:"Enables display of swash glyphs."}],syntax:"normal | [ stylistic( <feature-value-name> ) || historical-forms || styleset( <feature-value-name># ) || character-variant( <feature-value-name># ) || swash( <feature-value-name> ) || ornaments( <feature-value-name> ) || annotation( <feature-value-name> ) ]",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variant-alternates"}],description:"For any given character, fonts can provide a variety of alternate glyphs in addition to the default glyph for that character. This property provides control over the selection of these alternate glyphs.",restrictions:["enum"]},{name:"font-variant-caps",browsers:["E79","FF34","S9.1","C52","O39"],values:[{name:"all-petite-caps",description:"Enables display of petite capitals for both upper and lowercase letters."},{name:"all-small-caps",description:"Enables display of small capitals for both upper and lowercase letters."},{name:"normal",description:"None of the features are enabled."},{name:"petite-caps",description:"Enables display of petite capitals."},{name:"small-caps",description:"Enables display of small capitals. Small-caps glyphs typically use the form of uppercase letters but are reduced to the size of lowercase letters."},{name:"titling-caps",description:"Enables display of titling capitals."},{name:"unicase",description:"Enables display of mixture of small capitals for uppercase letters with normal lowercase letters."}],syntax:"normal | small-caps | all-small-caps | petite-caps | all-petite-caps | unicase | titling-caps",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variant-caps"}],description:"Specifies control over capitalized forms.",restrictions:["enum"]},{name:"font-variant-east-asian",browsers:["E79","FF34","S9.1","C63","O50"],values:[{name:"full-width",description:"Enables rendering of full-width variants."},{name:"jis04",description:"Enables rendering of JIS04 forms."},{name:"jis78",description:"Enables rendering of JIS78 forms."},{name:"jis83",description:"Enables rendering of JIS83 forms."},{name:"jis90",description:"Enables rendering of JIS90 forms."},{name:"normal",description:"None of the features are enabled."},{name:"proportional-width",description:"Enables rendering of proportionally-spaced variants."},{name:"ruby",description:"Enables display of ruby variant glyphs."},{name:"simplified",description:"Enables rendering of simplified forms."},{name:"traditional",description:"Enables rendering of traditional forms."}],syntax:"normal | [ <east-asian-variant-values> || <east-asian-width-values> || ruby ]",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variant-east-asian"}],description:"Allows control of glyph substitute and positioning in East Asian text.",restrictions:["enum"]},{name:"font-variant-ligatures",browsers:["E79","FF34","S9.1","C34","O21"],values:[{name:"additional-ligatures",description:"Enables display of additional ligatures."},{name:"common-ligatures",description:"Enables display of common ligatures."},{name:"contextual",browsers:["E79","FF34","S9.1","C34","O21"],description:"Enables display of contextual alternates."},{name:"discretionary-ligatures",description:"Enables display of discretionary ligatures."},{name:"historical-ligatures",description:"Enables display of historical ligatures."},{name:"no-additional-ligatures",description:"Disables display of additional ligatures."},{name:"no-common-ligatures",description:"Disables display of common ligatures."},{name:"no-contextual",browsers:["E79","FF34","S9.1","C34","O21"],description:"Disables display of contextual alternates."},{name:"no-discretionary-ligatures",description:"Disables display of discretionary ligatures."},{name:"no-historical-ligatures",description:"Disables display of historical ligatures."},{name:"none",browsers:["E79","FF34","S9.1","C34","O21"],description:"Disables all ligatures."},{name:"normal",description:"Implies that the defaults set by the font are used."}],syntax:"normal | none | [ <common-lig-values> || <discretionary-lig-values> || <historical-lig-values> || <contextual-alt-values> ]",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variant-ligatures"}],description:"Specifies control over which ligatures are enabled or disabled. A value of 'normal' implies that the defaults set by the font are used.",restrictions:["enum"]},{name:"font-variant-numeric",browsers:["E79","FF34","S9.1","C52","O39"],values:[{name:"diagonal-fractions",description:"Enables display of lining diagonal fractions."},{name:"lining-nums",description:"Enables display of lining numerals."},{name:"normal",description:"None of the features are enabled."},{name:"oldstyle-nums",description:"Enables display of old-style numerals."},{name:"ordinal",description:"Enables display of letter forms used with ordinal numbers."},{name:"proportional-nums",description:"Enables display of proportional numerals."},{name:"slashed-zero",description:"Enables display of slashed zeros."},{name:"stacked-fractions",description:"Enables display of lining stacked fractions."},{name:"tabular-nums",description:"Enables display of tabular numerals."}],syntax:"normal | [ <numeric-figure-values> || <numeric-spacing-values> || <numeric-fraction-values> || ordinal || slashed-zero ]",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variant-numeric"}],description:"Specifies control over numerical forms.",restrictions:["enum"]},{name:"font-variant-position",browsers:["E117","FF34","S9.1","C117","O103"],values:[{name:"normal",description:"None of the features are enabled."},{name:"sub",description:"Enables display of subscript variants (OpenType feature: subs)."},{name:"super",description:"Enables display of superscript variants (OpenType feature: sups)."}],syntax:"normal | sub | super",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variant-position"}],description:"Specifies the vertical position",restrictions:["enum"]},{name:"font-weight",browsers:["E12","FF1","S1","C2","IE3","O3.5"],values:[{name:"100",description:"Thin"},{name:"200",description:"Extra Light (Ultra Light)"},{name:"300",description:"Light"},{name:"400",description:"Normal"},{name:"500",description:"Medium"},{name:"600",description:"Semi Bold (Demi Bold)"},{name:"700",description:"Bold"},{name:"800",description:"Extra Bold (Ultra Bold)"},{name:"900",description:"Black (Heavy)"},{name:"bold",description:"Same as 700"},{name:"bolder",description:"Specifies the weight of the face bolder than the inherited value."},{name:"lighter",description:"Specifies the weight of the face lighter than the inherited value."},{name:"normal",description:"Same as 400"}],atRule:"@font-face",syntax:"<font-weight-absolute>{1,2}",relevance:93,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-weight"}],description:"Specifies weight of glyphs in the font, their degree of blackness or stroke thickness.",restrictions:["enum"]},{name:"glyph-orientation-horizontal",relevance:50,description:"Controls glyph orientation when the inline-progression-direction is horizontal.",restrictions:["angle","number"]},{name:"glyph-orientation-vertical",browsers:["S13.1"],values:[{name:"auto",description:"Sets the orientation based on the fullwidth or non-fullwidth characters and the most common orientation."}],relevance:50,description:"Controls glyph orientation when the inline-progression-direction is vertical.",restrictions:["angle","number","enum"]},{name:"grid-area",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"auto",description:"The property contributes nothing to the grid item's placement, indicating auto-placement, an automatic span, or a default span of one."},{name:"span",description:"Contributes a grid span to the grid item's placement such that the corresponding edge of the grid item's grid area is N lines from its opposite edge."}],syntax:"<grid-line> [ / <grid-line> ]{0,3}",relevance:57,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-area"}],description:"Determine a grid item's size and location within the grid by contributing a line, a span, or nothing (automatic) to its grid placement. Shorthand for 'grid-row-start', 'grid-column-start', 'grid-row-end', and 'grid-column-end'.",restrictions:["identifier","integer"]},{name:"grid",browsers:["E16","FF52","S10.1","C57","O44"],syntax:"<'grid-template'> | <'grid-template-rows'> / [ auto-flow && dense? ] <'grid-auto-columns'>? | [ auto-flow && dense? ] <'grid-auto-rows'>? / <'grid-template-columns'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid"}],description:"The grid CSS property is a shorthand property that sets all of the explicit grid properties ('grid-template-rows', 'grid-template-columns', and 'grid-template-areas'), and all the implicit grid properties ('grid-auto-rows', 'grid-auto-columns', and 'grid-auto-flow'), in a single declaration.",restrictions:["identifier","length","percentage","string","enum"]},{name:"grid-auto-columns",browsers:["E16","FF70","S10.1","C57","IE10","O44"],values:[{name:"min-content",description:"Represents the largest min-content contribution of the grid items occupying the grid track."},{name:"max-content",description:"Represents the largest max-content contribution of the grid items occupying the grid track."},{name:"auto",description:"As a maximum, identical to 'max-content'. As a minimum, represents the largest minimum size (as specified by min-width/min-height) of the grid items occupying the grid track."},{name:"minmax()",description:"Defines a size range greater than or equal to min and less than or equal to max."}],syntax:"<track-size>+",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-auto-columns"}],description:"Specifies the size of implicitly created columns.",restrictions:["length","percentage"]},{name:"grid-auto-flow",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"row",description:"The auto-placement algorithm places items by filling each row in turn, adding new rows as necessary."},{name:"column",description:"The auto-placement algorithm places items by filling each column in turn, adding new columns as necessary."},{name:"dense",description:'If specified, the auto-placement algorithm uses a "dense" packing algorithm, which attempts to fill in holes earlier in the grid if smaller items come up later.'}],syntax:"[ row | column ] || dense",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-auto-flow"}],description:"Controls how the auto-placement algorithm works, specifying exactly how auto-placed items get flowed into the grid.",restrictions:["enum"]},{name:"grid-auto-rows",browsers:["E16","FF70","S10.1","C57","IE10","O44"],values:[{name:"min-content",description:"Represents the largest min-content contribution of the grid items occupying the grid track."},{name:"max-content",description:"Represents the largest max-content contribution of the grid items occupying the grid track."},{name:"auto",description:"As a maximum, identical to 'max-content'. As a minimum, represents the largest minimum size (as specified by min-width/min-height) of the grid items occupying the grid track."},{name:"minmax()",description:"Defines a size range greater than or equal to min and less than or equal to max."}],syntax:"<track-size>+",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-auto-rows"}],description:"Specifies the size of implicitly created rows.",restrictions:["length","percentage"]},{name:"grid-column",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"auto",description:"The property contributes nothing to the grid item's placement, indicating auto-placement, an automatic span, or a default span of one."},{name:"span",description:"Contributes a grid span to the grid item's placement such that the corresponding edge of the grid item's grid area is N lines from its opposite edge."}],syntax:"<grid-line> [ / <grid-line> ]?",relevance:56,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-column"}],description:"Shorthand for 'grid-column-start' and 'grid-column-end'.",restrictions:["identifier","integer","enum"]},{name:"grid-column-end",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"auto",description:"The property contributes nothing to the grid item's placement, indicating auto-placement, an automatic span, or a default span of one."},{name:"span",description:"Contributes a grid span to the grid item's placement such that the corresponding edge of the grid item's grid area is N lines from its opposite edge."}],syntax:"<grid-line>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-column-end"}],description:"Determine a grid item's size and location within the grid by contributing a line, a span, or nothing (automatic) to its grid placement.",restrictions:["identifier","integer","enum"]},{name:"grid-column-gap",browsers:["FF52","C57","S10.1","O44"],status:"obsolete",syntax:"<length-percentage>",relevance:4,description:"Specifies the gutters between grid columns. Replaced by 'column-gap' property.",restrictions:["length"]},{name:"grid-column-start",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"auto",description:"The property contributes nothing to the grid item's placement, indicating auto-placement, an automatic span, or a default span of one."},{name:"span",description:"Contributes a grid span to the grid item's placement such that the corresponding edge of the grid item's grid area is N lines from its opposite edge."}],syntax:"<grid-line>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-column-start"}],description:"Determine a grid item's size and location within the grid by contributing a line, a span, or nothing (automatic) to its grid placement.",restrictions:["identifier","integer","enum"]},{name:"grid-gap",browsers:["FF52","C57","S10.1","O44"],status:"obsolete",syntax:"<'grid-row-gap'> <'grid-column-gap'>?",relevance:5,description:"Shorthand that specifies the gutters between grid columns and grid rows in one declaration. Replaced by 'gap' property.",restrictions:["length"]},{name:"grid-row",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"auto",description:"The property contributes nothing to the grid item's placement, indicating auto-placement, an automatic span, or a default span of one."},{name:"span",description:"Contributes a grid span to the grid item's placement such that the corresponding edge of the grid item's grid area is N lines from its opposite edge."}],syntax:"<grid-line> [ / <grid-line> ]?",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-row"}],description:"Shorthand for 'grid-row-start' and 'grid-row-end'.",restrictions:["identifier","integer","enum"]},{name:"grid-row-end",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"auto",description:"The property contributes nothing to the grid item's placement, indicating auto-placement, an automatic span, or a default span of one."},{name:"span",description:"Contributes a grid span to the grid item's placement such that the corresponding edge of the grid item's grid area is N lines from its opposite edge."}],syntax:"<grid-line>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-row-end"}],description:"Determine a grid item's size and location within the grid by contributing a line, a span, or nothing (automatic) to its grid placement.",restrictions:["identifier","integer","enum"]},{name:"grid-row-gap",browsers:["FF52","C57","S10.1","O44"],status:"obsolete",syntax:"<length-percentage>",relevance:2,description:"Specifies the gutters between grid rows. Replaced by 'row-gap' property.",restrictions:["length"]},{name:"grid-row-start",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"auto",description:"The property contributes nothing to the grid item's placement, indicating auto-placement, an automatic span, or a default span of one."},{name:"span",description:"Contributes a grid span to the grid item's placement such that the corresponding edge of the grid item's grid area is N lines from its opposite edge."}],syntax:"<grid-line>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-row-start"}],description:"Determine a grid item's size and location within the grid by contributing a line, a span, or nothing (automatic) to its grid placement.",restrictions:["identifier","integer","enum"]},{name:"grid-template",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"none",description:"Sets all three properties to their initial values."},{name:"min-content",description:"Represents the largest min-content contribution of the grid items occupying the grid track."},{name:"max-content",description:"Represents the largest max-content contribution of the grid items occupying the grid track."},{name:"auto",description:"As a maximum, identical to 'max-content'. As a minimum, represents the largest minimum size (as specified by min-width/min-height) of the grid items occupying the grid track."},{name:"subgrid",description:"Sets 'grid-template-rows' and 'grid-template-columns' to 'subgrid', and 'grid-template-areas' to its initial value."},{name:"minmax()",description:"Defines a size range greater than or equal to min and less than or equal to max."},{name:"repeat()",description:"Represents a repeated fragment of the track list, allowing a large number of columns or rows that exhibit a recurring pattern to be written in a more compact form."}],syntax:"none | [ <'grid-template-rows'> / <'grid-template-columns'> ] | [ <line-names>? <string> <track-size>? <line-names>? ]+ [ / <explicit-track-list> ]?",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-template"}],description:"Shorthand for setting grid-template-columns, grid-template-rows, and grid-template-areas in a single declaration.",restrictions:["identifier","length","percentage","string","enum"]},{name:"grid-template-areas",browsers:["E16","FF52","S10.1","C57","O44"],values:[{name:"none",description:"The grid container doesn't define any named grid areas."}],syntax:"none | <string>+",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-template-areas"}],description:"Specifies named grid areas, which are not associated with any particular grid item, but can be referenced from the grid-placement properties.",restrictions:["string"]},{name:"grid-template-columns",browsers:["E16","FF52","S10.1","C57","IE10","O44"],values:[{name:"none",description:"There is no explicit grid; any rows/columns will be implicitly generated."},{name:"min-content",description:"Represents the largest min-content contribution of the grid items occupying the grid track."},{name:"max-content",description:"Represents the largest max-content contribution of the grid items occupying the grid track."},{name:"auto",description:"As a maximum, identical to 'max-content'. As a minimum, represents the largest minimum size (as specified by min-width/min-height) of the grid items occupying the grid track."},{name:"subgrid",description:"Indicates that the grid will align to its parent grid in that axis."},{name:"minmax()",description:"Defines a size range greater than or equal to min and less than or equal to max."},{name:"repeat()",description:"Represents a repeated fragment of the track list, allowing a large number of columns or rows that exhibit a recurring pattern to be written in a more compact form."}],syntax:"none | <track-list> | <auto-track-list> | subgrid <line-name-list>?",relevance:64,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-template-columns"}],description:"specifies, as a space-separated track list, the line names and track sizing functions of the grid.",restrictions:["identifier","length","percentage","enum"]},{name:"grid-template-rows",browsers:["E16","FF52","S10.1","C57","IE10","O44"],values:[{name:"none",description:"There is no explicit grid; any rows/columns will be implicitly generated."},{name:"min-content",description:"Represents the largest min-content contribution of the grid items occupying the grid track."},{name:"max-content",description:"Represents the largest max-content contribution of the grid items occupying the grid track."},{name:"auto",description:"As a maximum, identical to 'max-content'. As a minimum, represents the largest minimum size (as specified by min-width/min-height) of the grid items occupying the grid track."},{name:"subgrid",description:"Indicates that the grid will align to its parent grid in that axis."},{name:"minmax()",description:"Defines a size range greater than or equal to min and less than or equal to max."},{name:"repeat()",description:"Represents a repeated fragment of the track list, allowing a large number of columns or rows that exhibit a recurring pattern to be written in a more compact form."}],syntax:"none | <track-list> | <auto-track-list> | subgrid <line-name-list>?",relevance:57,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/grid-template-rows"}],description:"specifies, as a space-separated track list, the line names and track sizing functions of the grid.",restrictions:["identifier","length","percentage","string","enum"]},{name:"height",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"auto",description:"The height depends on the values of other properties."},{name:"fit-content",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Use the fit-content inline size or fit-content block size, as appropriate to the writing mode."},{name:"max-content",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Use the max-content inline size or max-content block size, as appropriate to the writing mode."},{name:"min-content",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Use the min-content inline size or min-content block size, as appropriate to the writing mode."}],syntax:"auto | <length> | <percentage> | min-content | max-content | fit-content | fit-content(<length-percentage>)",relevance:96,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/height"}],description:"Specifies the height of the content area, padding area or border area (depending on 'box-sizing') of certain boxes.",restrictions:["length","percentage"]},{name:"hyphens",browsers:["E79","FF43","S17","C55","IE10","O42"],values:[{name:"auto",description:"Conditional hyphenation characters inside a word, if present, take priority over automatic resources when determining hyphenation points within the word."},{name:"manual",description:"Words are only broken at line breaks where there are characters inside the word that suggest line break opportunities"},{name:"none",description:"Words are not broken at line breaks, even if characters inside the word suggest line break points."}],syntax:"none | manual | auto",relevance:56,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/hyphens"}],description:"Controls whether hyphenation is allowed to create more break opportunities within a line of text.",restrictions:["enum"]},{name:"image-orientation",browsers:["E81","FF26","S13.1","C81","O67"],values:[{name:"flip",description:"After rotating by the precededing angle, the image is flipped horizontally. Defaults to 0deg if the angle is ommitted."},{name:"from-image",description:"If the image has an orientation specified in its metadata, such as EXIF, this value computes to the angle that the metadata specifies is necessary to correctly orient the image."}],syntax:"from-image | <angle> | [ <angle>? flip ]",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/image-orientation"}],description:"Specifies an orthogonal rotation to be applied to an image before it is laid out.",restrictions:["angle"]},{name:"image-rendering",browsers:["E79","FF3.6","S6","C13","O15"],values:[{name:"auto",description:"The image should be scaled with an algorithm that maximizes the appearance of the image."},{name:"crisp-edges",description:"The image must be scaled with an algorithm that preserves contrast and edges in the image, and which does not smooth colors or introduce blur to the image in the process."},{name:"-moz-crisp-edges",browsers:["E79","FF3.6","S6","C13","O15"]},{name:"optimizeQuality",description:"Deprecated."},{name:"optimizeSpeed",description:"Deprecated."},{name:"pixelated",description:"When scaling the image up, the 'nearest neighbor' or similar algorithm must be used, so that the image appears to be simply composed of very large pixels."}],syntax:"auto | crisp-edges | pixelated",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/image-rendering"}],description:"Provides a hint to the user-agent about what aspects of an image are most important to preserve when the image is scaled, to aid the user-agent in the choice of an appropriate scaling algorithm.",restrictions:["enum"]},{name:"ime-mode",browsers:["E12","FF3","IE5"],values:[{name:"active",description:"The input method editor is initially active; text entry is performed using it unless the user specifically dismisses it."},{name:"auto",description:"No change is made to the current input method editor state. This is the default."},{name:"disabled",description:"The input method editor is disabled and may not be activated by the user."},{name:"inactive",description:"The input method editor is initially inactive, but the user may activate it if they wish."},{name:"normal",description:"The IME state should be normal; this value can be used in a user style sheet to override the page setting."}],status:"obsolete",syntax:"auto | normal | active | inactive | disabled",relevance:0,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/ime-mode"}],description:"Controls the state of the input method editor for text fields.",restrictions:["enum"]},{name:"inline-size",browsers:["E79","FF41","S12.1","C57","O44"],values:[{name:"auto",description:"Depends on the values of other properties."}],syntax:"<'width'>",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/inline-size"}],description:"Size of an element in the direction specified by 'writing-mode'.",restrictions:["length","percentage"]},{name:"isolation",browsers:["E79","FF36","S8","C41","O30"],values:[{name:"auto",description:"Elements are not isolated unless an operation is applied that causes the creation of a stacking context."},{name:"isolate",description:"In CSS will turn the element into a stacking context."}],syntax:"auto | isolate",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/isolation"}],description:"In CSS setting to 'isolate' will turn the element into a stacking context. In SVG, it defines whether an element is isolated or not.",restrictions:["enum"]},{name:"justify-content",browsers:["E12","FF20","S9","C29","IE11","O12.1"],values:[{name:"center",description:"Flex items are packed toward the center of the line."},{name:"start",description:"The items are packed flush to each other toward the start edge of the alignment container in the main axis."},{name:"end",description:"The items are packed flush to each other toward the end edge of the alignment container in the main axis."},{name:"left",description:"The items are packed flush to each other toward the left edge of the alignment container in the main axis."},{name:"right",description:"The items are packed flush to each other toward the right edge of the alignment container in the main axis."},{name:"safe",description:"If the size of the item overflows the alignment container, the item is instead aligned as if the alignment mode were start."},{name:"unsafe",description:"Regardless of the relative sizes of the item and alignment container, the given alignment value is honored."},{name:"stretch",description:"If the combined size of the alignment subjects is less than the size of the alignment container, any auto-sized alignment subjects have their size increased equally (not proportionally), while still respecting the constraints imposed by max-height/max-width (or equivalent functionality), so that the combined size exactly fills the alignment container."},{name:"space-evenly",description:"The items are evenly distributed within the alignment container along the main axis."},{name:"flex-end",description:"Flex items are packed toward the end of the line."},{name:"flex-start",description:"Flex items are packed toward the start of the line."},{name:"space-around",description:"Flex items are evenly distributed in the line, with half-size spaces on either end."},{name:"space-between",description:"Flex items are evenly distributed in the line."},{name:"baseline",description:"Specifies participation in first-baseline alignment."},{name:"first baseline",description:"Specifies participation in first-baseline alignment."},{name:"last baseline",description:"Specifies participation in last-baseline alignment."}],syntax:"normal | <content-distribution> | <overflow-position>? [ <content-position> | left | right ]",relevance:87,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/justify-content"}],description:"Aligns flex items along the main axis of the current line of the flex container.",restrictions:["enum"]},{name:"kerning",values:[{name:"auto",description:"Indicates that the user agent should adjust inter-glyph spacing based on kerning tables that are included in the font that will be used."}],relevance:50,description:"Indicates whether the user agent should adjust inter-glyph spacing based on kerning tables that are included in the relevant font or instead disable auto-kerning and set inter-character spacing to a specific length.",restrictions:["length","enum"]},{name:"left",browsers:["E12","FF1","S1","C1","IE5.5","O5"],values:[{name:"auto",description:"For non-replaced elements, the effect of this value depends on which of related properties have the value 'auto' as well"}],syntax:"<length> | <percentage> | auto",relevance:94,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/left"}],description:"Specifies how far an absolutely positioned box's left margin edge is offset to the right of the left edge of the box's 'containing block'.",restrictions:["length","percentage"]},{name:"letter-spacing",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"normal",description:"The spacing is the normal spacing for the current font. It is typically zero-length."}],syntax:"normal | <length>",relevance:81,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/letter-spacing"}],description:"Specifies the minimum, maximum, and optimal spacing between grapheme clusters.",restrictions:["length"]},{name:"lighting-color",browsers:["E12","FF3","S6","C5","IE","O15"],relevance:50,description:"Defines the color of the light source for filter primitives 'feDiffuseLighting' and 'feSpecularLighting'.",restrictions:["color"]},{name:"line-break",browsers:["E14","FF69","S11","C58","IE5.5","O45"],values:[{name:"auto",description:"The UA determines the set of line-breaking restrictions to use for CJK scripts, and it may vary the restrictions based on the length of the line; e.g., use a less restrictive set of line-break rules for short lines."},{name:"loose",description:"Breaks text using the least restrictive set of line-breaking rules. Typically used for short lines, such as in newspapers."},{name:"normal",description:"Breaks text using the most common set of line-breaking rules."},{name:"strict",description:"Breaks CJK scripts using a more restrictive set of line-breaking rules than 'normal'."},{name:"anywhere",description:"There is a soft wrap opportunity around every typographic character unit, including around any punctuation character or preserved white spaces, or in the middle of words, disregarding any prohibition against line breaks, even those introduced by characters with the GL, WJ, or ZWJ line breaking classes or mandated by the word-break property."}],syntax:"auto | loose | normal | strict | anywhere",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/line-break"}],description:"Specifies what set of line breaking restrictions are in effect within the element.",restrictions:["enum"]},{name:"line-height",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"normal",description:"Tells user agents to set the computed value to a 'reasonable' value based on the font size of the element."}],syntax:"normal | <number> | <length> | <percentage>",relevance:92,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/line-height"}],description:"Determines the block-progression dimension of the text content area of an inline box.",restrictions:["number","length","percentage"]},{name:"list-style",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"armenian"},{name:"circle",description:"A hollow circle."},{name:"decimal"},{name:"decimal-leading-zero"},{name:"disc",description:"A filled circle."},{name:"georgian"},{name:"inside",description:"The marker box is outside the principal block box, as described in the section on the ::marker pseudo-element below."},{name:"lower-alpha"},{name:"lower-greek"},{name:"lower-latin"},{name:"lower-roman"},{name:"none"},{name:"outside",description:"The ::marker pseudo-element is an inline element placed immediately before all ::before pseudo-elements in the principal block box, after which the element's content flows."},{name:"square",description:"A filled square."},{name:"symbols()",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Allows a counter style to be defined inline."},{name:"upper-alpha"},{name:"upper-latin"},{name:"upper-roman"},{name:"url()"}],syntax:"<'list-style-type'> || <'list-style-position'> || <'list-style-image'>",relevance:83,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/list-style"}],description:"Shorthand for setting 'list-style-type', 'list-style-position' and 'list-style-image'",restrictions:["image","enum","url"]},{name:"list-style-image",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"none",description:"The default contents of the of the list item's marker are given by 'list-style-type' instead."}],syntax:"<image> | none",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/list-style-image"}],description:"Sets the image that will be used as the list item marker. When the image is available, it will replace the marker set with the 'list-style-type' marker.",restrictions:["image"]},{name:"list-style-position",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"inside",description:"The marker box is outside the principal block box, as described in the section on the ::marker pseudo-element below."},{name:"outside",description:"The ::marker pseudo-element is an inline element placed immediately before all ::before pseudo-elements in the principal block box, after which the element's content flows."}],syntax:"inside | outside",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/list-style-position"}],description:"Specifies the position of the '::marker' pseudo-element's box in the list item.",restrictions:["enum"]},{name:"list-style-type",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"armenian",description:"Traditional uppercase Armenian numbering."},{name:"circle",description:"A hollow circle."},{name:"decimal",description:"Western decimal numbers."},{name:"decimal-leading-zero",description:"Decimal numbers padded by initial zeros."},{name:"disc",description:"A filled circle."},{name:"georgian",description:"Traditional Georgian numbering."},{name:"lower-alpha",description:"Lowercase ASCII letters."},{name:"lower-greek",description:"Lowercase classical Greek."},{name:"lower-latin",description:"Lowercase ASCII letters."},{name:"lower-roman",description:"Lowercase ASCII Roman numerals."},{name:"none",description:"No marker"},{name:"square",description:"A filled square."},{name:"symbols()",browsers:["E12","FF1","S1","C1","IE4","O3.5"],description:"Allows a counter style to be defined inline."},{name:"upper-alpha",description:"Uppercase ASCII letters."},{name:"upper-latin",description:"Uppercase ASCII letters."},{name:"upper-roman",description:"Uppercase ASCII Roman numerals."}],syntax:"<counter-style> | <string> | none",relevance:73,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/list-style-type"}],description:"Used to construct the default contents of a list item's marker",restrictions:["enum","string"]},{name:"margin",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"auto"}],syntax:"[ <length> | <percentage> | auto ]{1,4}",relevance:95,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin"}],description:"Shorthand property to set values for the thickness of the margin area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. Negative values for margin properties are allowed, but there may be implementation-specific limits.",restrictions:["length","percentage"]},{name:"margin-block-end",browsers:["E79","FF41","S12.1","C69","O56"],values:[{name:"auto"}],syntax:"<'margin-left'>",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-block-end"}],description:"Logical 'margin-bottom'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"margin-block-start",browsers:["E79","FF41","S12.1","C69","O56"],values:[{name:"auto"}],syntax:"<'margin-left'>",relevance:56,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-block-start"}],description:"Logical 'margin-top'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"margin-bottom",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"auto"}],syntax:"<length> | <percentage> | auto",relevance:91,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-bottom"}],description:"Shorthand property to set values for the thickness of the margin area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. Negative values for margin properties are allowed, but there may be implementation-specific limits..",restrictions:["length","percentage"]},{name:"margin-inline-end",browsers:["E79","FF41","S12.1","C69","O56"],values:[{name:"auto"}],syntax:"<'margin-left'>",relevance:58,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-inline-end"}],description:"Logical 'margin-right'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"margin-inline-start",browsers:["E79","FF41","S12.1","C69","O56"],values:[{name:"auto"}],syntax:"<'margin-left'>",relevance:59,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-inline-start"}],description:"Logical 'margin-left'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"margin-left",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"auto"}],syntax:"<length> | <percentage> | auto",relevance:91,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-left"}],description:"Shorthand property to set values for the thickness of the margin area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. Negative values for margin properties are allowed, but there may be implementation-specific limits..",restrictions:["length","percentage"]},{name:"margin-right",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"auto"}],syntax:"<length> | <percentage> | auto",relevance:91,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-right"}],description:"Shorthand property to set values for the thickness of the margin area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. Negative values for margin properties are allowed, but there may be implementation-specific limits..",restrictions:["length","percentage"]},{name:"margin-top",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"auto"}],syntax:"<length> | <percentage> | auto",relevance:94,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-top"}],description:"Shorthand property to set values for the thickness of the margin area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. Negative values for margin properties are allowed, but there may be implementation-specific limits..",restrictions:["length","percentage"]},{name:"marker",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"none",description:"Indicates that no marker symbol will be drawn at the given vertex or vertices."},{name:"url()",description:"Indicates that the <marker> element referenced will be used."}],relevance:50,description:"Specifies the marker symbol that shall be used for all points on the sets the value for all vertices on the given 'path' element or basic shape.",restrictions:["url"]},{name:"marker-end",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"none",description:"Indicates that no marker symbol will be drawn at the given vertex or vertices."},{name:"url()",description:"Indicates that the <marker> element referenced will be used."}],relevance:50,description:"Specifies the marker that will be drawn at the last vertices of the given markable element.",restrictions:["url"]},{name:"marker-mid",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"none",description:"Indicates that no marker symbol will be drawn at the given vertex or vertices."},{name:"url()",description:"Indicates that the <marker> element referenced will be used."}],relevance:50,description:"Specifies the marker that will be drawn at all vertices except the first and last.",restrictions:["url"]},{name:"marker-start",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"none",description:"Indicates that no marker symbol will be drawn at the given vertex or vertices."},{name:"url()",description:"Indicates that the <marker> element referenced will be used."}],relevance:50,description:"Specifies the marker that will be drawn at the first vertices of the given markable element.",restrictions:["url"]},{name:"mask-image",browsers:["E79","FF53","S15.4","C120","O15"],values:[{name:"none",description:"Counts as a transparent black image layer."},{name:"url()",description:"Reference to a <mask element or to a CSS image."}],syntax:"<mask-reference>#",relevance:57,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-image"}],description:"Sets the mask layer image of an element.",restrictions:["url","image","enum"]},{name:"mask-mode",browsers:["E120","FF53","S15.4","C120","O106"],values:[{name:"alpha",description:"Alpha values of the mask layer image should be used as the mask values."},{name:"auto",description:"Use alpha values if 'mask-image' is an image, luminance if a <mask> element or a CSS image."},{name:"luminance",description:"Luminance values of the mask layer image should be used as the mask values."}],syntax:"<masking-mode>#",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-mode"}],description:"Indicates whether the mask layer image is treated as luminance mask or alpha mask.",restrictions:["url","image","enum"]},{name:"mask-origin",browsers:["E120","FF53","S15.4","C120","O106"],syntax:"<geometry-box>#",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-origin"}],description:"Specifies the mask positioning area.",restrictions:["geometry-box","enum"]},{name:"mask-position",browsers:["E79","FF53","S15.4","C120","O106"],syntax:"<position>#",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-position"}],description:"Specifies how mask layer images are positioned.",restrictions:["position","length","percentage"]},{name:"mask-repeat",browsers:["E79","FF53","S15.4","C120","O106"],syntax:"<repeat-style>#",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-repeat"}],description:"Specifies how mask layer images are tiled after they have been sized and positioned.",restrictions:["repeat"]},{name:"mask-size",browsers:["E79","FF53","S15.4","C120","O106"],values:[{name:"auto",description:"Resolved by using the image's intrinsic ratio and the size of the other dimension, or failing that, using the image's intrinsic size, or failing that, treating it as 100%."},{name:"contain",description:"Scale the image, while preserving its intrinsic aspect ratio (if any), to the largest size such that both its width and its height can fit inside the background positioning area."},{name:"cover",description:"Scale the image, while preserving its intrinsic aspect ratio (if any), to the smallest size such that both its width and its height can completely cover the background positioning area."}],syntax:"<bg-size>#",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-size"}],description:"Specifies the size of the mask layer images.",restrictions:["length","percentage","enum"]},{name:"mask-type",browsers:["E79","FF35","S7","C24","O15"],values:[{name:"alpha",description:"Indicates that the alpha values of the mask should be used."},{name:"luminance",description:"Indicates that the luminance values of the mask should be used."}],syntax:"luminance | alpha",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-type"}],description:"Defines whether the content of the <mask> element is treated as as luminance mask or alpha mask.",restrictions:["enum"]},{name:"max-block-size",browsers:["E79","FF41","S12.1","C57","O44"],values:[{name:"none",description:"No limit on the width of the box."}],syntax:"<'max-width'>",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/max-block-size"}],description:"Maximum size of an element in the direction opposite that of the direction specified by 'writing-mode'.",restrictions:["length","percentage"]},{name:"max-height",browsers:["E12","FF1","S1.3","C18","IE7","O7"],values:[{name:"none",description:"No limit on the height of the box."},{name:"fit-content",browsers:["E12","FF1","S1.3","C18","IE7","O7"],description:"Use the fit-content inline size or fit-content block size, as appropriate to the writing mode."},{name:"max-content",browsers:["E12","FF1","S1.3","C18","IE7","O7"],description:"Use the max-content inline size or max-content block size, as appropriate to the writing mode."},{name:"min-content",browsers:["E12","FF1","S1.3","C18","IE7","O7"],description:"Use the min-content inline size or min-content block size, as appropriate to the writing mode."}],syntax:"none | <length-percentage> | min-content | max-content | fit-content | fit-content(<length-percentage>)",relevance:85,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/max-height"}],description:"Allows authors to constrain content height to a certain range.",restrictions:["length","percentage"]},{name:"max-inline-size",browsers:["E79","FF41","S12.1","C57","O44"],values:[{name:"none",description:"No limit on the height of the box."}],syntax:"<'max-width'>",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/max-inline-size"}],description:"Maximum size of an element in the direction specified by 'writing-mode'.",restrictions:["length","percentage"]},{name:"max-width",browsers:["E12","FF1","S1","C1","IE7","O4"],values:[{name:"none",description:"No limit on the width of the box."},{name:"fit-content",browsers:["E12","FF1","S1","C1","IE7","O4"],description:"Use the fit-content inline size or fit-content block size, as appropriate to the writing mode."},{name:"max-content",browsers:["E12","FF1","S1","C1","IE7","O4"],description:"Use the max-content inline size or max-content block size, as appropriate to the writing mode."},{name:"min-content",browsers:["E12","FF1","S1","C1","IE7","O4"],description:"Use the min-content inline size or min-content block size, as appropriate to the writing mode."}],syntax:"none | <length-percentage> | min-content | max-content | fit-content | fit-content(<length-percentage>)",relevance:91,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/max-width"}],description:"Allows authors to constrain content width to a certain range.",restrictions:["length","percentage"]},{name:"min-block-size",browsers:["E79","FF41","S12.1","C57","O44"],syntax:"<'min-width'>",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/min-block-size"}],description:"Minimal size of an element in the direction opposite that of the direction specified by 'writing-mode'.",restrictions:["length","percentage"]},{name:"min-height",browsers:["E12","FF3","S1.3","C1","IE7","O4"],values:[{name:"auto",browsers:["E12","FF3","S1.3","C1","IE7","O4"]},{name:"fit-content",browsers:["E12","FF3","S1.3","C1","IE7","O4"],description:"Use the fit-content inline size or fit-content block size, as appropriate to the writing mode."},{name:"max-content",browsers:["E12","FF3","S1.3","C1","IE7","O4"],description:"Use the max-content inline size or max-content block size, as appropriate to the writing mode."},{name:"min-content",browsers:["E12","FF3","S1.3","C1","IE7","O4"],description:"Use the min-content inline size or min-content block size, as appropriate to the writing mode."}],syntax:"auto | <length> | <percentage> | min-content | max-content | fit-content | fit-content(<length-percentage>)",relevance:89,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/min-height"}],description:"Allows authors to constrain content height to a certain range.",restrictions:["length","percentage"]},{name:"min-inline-size",browsers:["E79","FF41","S12.1","C57","O44"],syntax:"<'min-width'>",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/min-inline-size"}],description:"Minimal size of an element in the direction specified by 'writing-mode'.",restrictions:["length","percentage"]},{name:"min-width",browsers:["E12","FF1","S1","C1","IE7","O4"],values:[{name:"auto",browsers:["E12","FF1","S1","C1","IE7","O4"]},{name:"fit-content",browsers:["E12","FF1","S1","C1","IE7","O4"],description:"Use the fit-content inline size or fit-content block size, as appropriate to the writing mode."},{name:"max-content",browsers:["E12","FF1","S1","C1","IE7","O4"],description:"Use the max-content inline size or max-content block size, as appropriate to the writing mode."},{name:"min-content",browsers:["E12","FF1","S1","C1","IE7","O4"],description:"Use the min-content inline size or min-content block size, as appropriate to the writing mode."}],syntax:"auto | <length> | <percentage> | min-content | max-content | fit-content | fit-content(<length-percentage>)",relevance:88,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/min-width"}],description:"Allows authors to constrain content width to a certain range.",restrictions:["length","percentage"]},{name:"mix-blend-mode",browsers:["E79","FF32","S8","C41","O28"],values:[{name:"normal",description:"Default attribute which specifies no blending"},{name:"multiply",description:"The source color is multiplied by the destination color and replaces the destination."},{name:"screen",description:"Multiplies the complements of the backdrop and source color values, then complements the result."},{name:"overlay",description:"Multiplies or screens the colors, depending on the backdrop color value."},{name:"darken",description:"Selects the darker of the backdrop and source colors."},{name:"lighten",description:"Selects the lighter of the backdrop and source colors."},{name:"color-dodge",description:"Brightens the backdrop color to reflect the source color."},{name:"color-burn",description:"Darkens the backdrop color to reflect the source color."},{name:"hard-light",description:"Multiplies or screens the colors, depending on the source color value."},{name:"soft-light",description:"Darkens or lightens the colors, depending on the source color value."},{name:"difference",description:"Subtracts the darker of the two constituent colors from the lighter color.."},{name:"exclusion",description:"Produces an effect similar to that of the Difference mode but lower in contrast."},{name:"hue",browsers:["E79","FF32","S8","C41","O28"],description:"Creates a color with the hue of the source color and the saturation and luminosity of the backdrop color."},{name:"saturation",browsers:["E79","FF32","S8","C41","O28"],description:"Creates a color with the saturation of the source color and the hue and luminosity of the backdrop color."},{name:"color",browsers:["E79","FF32","S8","C41","O28"],description:"Creates a color with the hue and saturation of the source color and the luminosity of the backdrop color."},{name:"luminosity",browsers:["E79","FF32","S8","C41","O28"],description:"Creates a color with the luminosity of the source color and the hue and saturation of the backdrop color."}],syntax:"<blend-mode> | plus-lighter",relevance:54,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mix-blend-mode"}],description:"Defines the formula that must be used to mix the colors with the backdrop.",restrictions:["enum"]},{name:"motion",browsers:["C46","O33"],values:[{name:"none",description:"No motion path gets created."},{name:"path()",description:"Defines an SVG path as a string, with optional 'fill-rule' as the first argument."},{name:"auto",description:"Indicates that the object is rotated by the angle of the direction of the motion path."},{name:"reverse",description:"Indicates that the object is rotated by the angle of the direction of the motion path plus 180 degrees."}],relevance:50,description:"Shorthand property for setting 'motion-path', 'motion-offset' and 'motion-rotation'.",restrictions:["url","length","percentage","angle","shape","geometry-box","enum"]},{name:"motion-offset",browsers:["C46","O33"],relevance:50,description:"A distance that describes the position along the specified motion path.",restrictions:["length","percentage"]},{name:"motion-path",browsers:["C46","O33"],values:[{name:"none",description:"No motion path gets created."},{name:"path()",description:"Defines an SVG path as a string, with optional 'fill-rule' as the first argument."}],relevance:50,description:"Specifies the motion path the element gets positioned at.",restrictions:["url","shape","geometry-box","enum"]},{name:"motion-rotation",browsers:["C46","O33"],values:[{name:"auto",description:"Indicates that the object is rotated by the angle of the direction of the motion path."},{name:"reverse",description:"Indicates that the object is rotated by the angle of the direction of the motion path plus 180 degrees."}],relevance:50,description:"Defines the direction of the element while positioning along the motion path.",restrictions:["angle"]},{name:"-moz-animation",browsers:["FF9"],values:[{name:"alternate",description:"The animation cycle iterations that are odd counts are played in the normal direction, and the animation cycle iterations that are even counts are played in a reverse direction."},{name:"alternate-reverse",description:"The animation cycle iterations that are odd counts are played in the reverse direction, and the animation cycle iterations that are even counts are played in a normal direction."},{name:"backwards",description:"The beginning property value (as defined in the first @keyframes at-rule) is applied before the animation is displayed, during the period defined by 'animation-delay'."},{name:"both",description:"Both forwards and backwards fill modes are applied."},{name:"forwards",description:"The final property value (as defined in the last @keyframes at-rule) is maintained after the animation completes."},{name:"infinite",description:"Causes the animation to repeat forever."},{name:"none",description:"No animation is performed"},{name:"normal",description:"Normal playback."},{name:"reverse",description:"All iterations of the animation are played in the reverse direction from the way they were specified."}],relevance:50,description:"Shorthand property combines six of the animation properties into a single property.",restrictions:["time","enum","timing-function","identifier","number"]},{name:"-moz-animation-delay",browsers:["FF9"],relevance:50,description:"Defines when the animation will start.",restrictions:["time"]},{name:"-moz-animation-direction",browsers:["FF9"],values:[{name:"alternate",description:"The animation cycle iterations that are odd counts are played in the normal direction, and the animation cycle iterations that are even counts are played in a reverse direction."},{name:"alternate-reverse",description:"The animation cycle iterations that are odd counts are played in the reverse direction, and the animation cycle iterations that are even counts are played in a normal direction."},{name:"normal",description:"Normal playback."},{name:"reverse",description:"All iterations of the animation are played in the reverse direction from the way they were specified."}],relevance:50,description:"Defines whether or not the animation should play in reverse on alternate cycles.",restrictions:["enum"]},{name:"-moz-animation-duration",browsers:["FF9"],relevance:50,description:"Defines the length of time that an animation takes to complete one cycle.",restrictions:["time"]},{name:"-moz-animation-iteration-count",browsers:["FF9"],values:[{name:"infinite",description:"Causes the animation to repeat forever."}],relevance:50,description:"Defines the number of times an animation cycle is played. The default value is one, meaning the animation will play from beginning to end once.",restrictions:["number","enum"]},{name:"-moz-animation-name",browsers:["FF9"],values:[{name:"none",description:"No animation is performed"}],relevance:50,description:"Defines a list of animations that apply. Each name is used to select the keyframe at-rule that provides the property values for the animation.",restrictions:["identifier","enum"]},{name:"-moz-animation-play-state",browsers:["FF9"],values:[{name:"paused",description:"A running animation will be paused."},{name:"running",description:"Resume playback of a paused animation."}],relevance:50,description:"Defines whether the animation is running or paused.",restrictions:["enum"]},{name:"-moz-animation-timing-function",browsers:["FF9"],relevance:50,description:"Describes how the animation will progress over one cycle of its duration. See the 'transition-timing-function'.",restrictions:["timing-function"]},{name:"-moz-appearance",browsers:["FF1"],values:[{name:"button"},{name:"button-arrow-down"},{name:"button-arrow-next"},{name:"button-arrow-previous"},{name:"button-arrow-up"},{name:"button-bevel"},{name:"checkbox"},{name:"checkbox-container"},{name:"checkbox-label"},{name:"dialog"},{name:"groupbox"},{name:"listbox"},{name:"menuarrow"},{name:"menuimage"},{name:"menuitem"},{name:"menuitemtext"},{name:"menulist"},{name:"menulist-button"},{name:"menulist-text"},{name:"menulist-textfield"},{name:"menupopup"},{name:"menuradio"},{name:"menuseparator"},{name:"-moz-mac-unified-toolbar"},{name:"-moz-win-borderless-glass"},{name:"-moz-win-browsertabbar-toolbox"},{name:"-moz-win-communications-toolbox"},{name:"-moz-win-glass"},{name:"-moz-win-media-toolbox"},{name:"none"},{name:"progressbar"},{name:"progresschunk"},{name:"radio"},{name:"radio-container"},{name:"radio-label"},{name:"radiomenuitem"},{name:"resizer"},{name:"resizerpanel"},{name:"scrollbarbutton-down"},{name:"scrollbarbutton-left"},{name:"scrollbarbutton-right"},{name:"scrollbarbutton-up"},{name:"scrollbar-small"},{name:"scrollbartrack-horizontal"},{name:"scrollbartrack-vertical"},{name:"separator"},{name:"spinner"},{name:"spinner-downbutton"},{name:"spinner-textfield"},{name:"spinner-upbutton"},{name:"statusbar"},{name:"statusbarpanel"},{name:"tab"},{name:"tabpanels"},{name:"tab-scroll-arrow-back"},{name:"tab-scroll-arrow-forward"},{name:"textfield"},{name:"textfield-multiline"},{name:"toolbar"},{name:"toolbox"},{name:"tooltip"},{name:"treeheadercell"},{name:"treeheadersortarrow"},{name:"treeitem"},{name:"treetwistyopen"},{name:"treeview"},{name:"treewisty"},{name:"window"}],status:"nonstandard",syntax:"none | button | button-arrow-down | button-arrow-next | button-arrow-previous | button-arrow-up | button-bevel | button-focus | caret | checkbox | checkbox-container | checkbox-label | checkmenuitem | dualbutton | groupbox | listbox | listitem | menuarrow | menubar | menucheckbox | menuimage | menuitem | menuitemtext | menulist | menulist-button | menulist-text | menulist-textfield | menupopup | menuradio | menuseparator | meterbar | meterchunk | progressbar | progressbar-vertical | progresschunk | progresschunk-vertical | radio | radio-container | radio-label | radiomenuitem | range | range-thumb | resizer | resizerpanel | scale-horizontal | scalethumbend | scalethumb-horizontal | scalethumbstart | scalethumbtick | scalethumb-vertical | scale-vertical | scrollbarbutton-down | scrollbarbutton-left | scrollbarbutton-right | scrollbarbutton-up | scrollbarthumb-horizontal | scrollbarthumb-vertical | scrollbartrack-horizontal | scrollbartrack-vertical | searchfield | separator | sheet | spinner | spinner-downbutton | spinner-textfield | spinner-upbutton | splitter | statusbar | statusbarpanel | tab | tabpanel | tabpanels | tab-scroll-arrow-back | tab-scroll-arrow-forward | textfield | textfield-multiline | toolbar | toolbarbutton | toolbarbutton-dropdown | toolbargripper | toolbox | tooltip | treeheader | treeheadercell | treeheadersortarrow | treeitem | treeline | treetwisty | treetwistyopen | treeview | -moz-mac-unified-toolbar | -moz-win-borderless-glass | -moz-win-browsertabbar-toolbox | -moz-win-communicationstext | -moz-win-communications-toolbox | -moz-win-exclude-glass | -moz-win-glass | -moz-win-mediatext | -moz-win-media-toolbox | -moz-window-button-box | -moz-window-button-box-maximized | -moz-window-button-close | -moz-window-button-maximize | -moz-window-button-minimize | -moz-window-button-restore | -moz-window-frame-bottom | -moz-window-frame-left | -moz-window-frame-right | -moz-window-titlebar | -moz-window-titlebar-maximized",relevance:0,description:"Used in Gecko (Firefox) to display an element using a platform-native styling based on the operating system's theme.",restrictions:["enum"]},{name:"-moz-backface-visibility",browsers:["FF10"],values:[{name:"hidden"},{name:"visible"}],relevance:50,description:"Determines whether or not the 'back' side of a transformed element is visible when facing the viewer. With an identity transform, the front side of an element faces the viewer.",restrictions:["enum"]},{name:"-moz-background-clip",browsers:["FF1-3.6"],values:[{name:"padding"}],relevance:50,description:"Determines the background painting area.",restrictions:["box","enum"]},{name:"-moz-background-inline-policy",browsers:["FF1"],values:[{name:"bounding-box"},{name:"continuous"},{name:"each-box"}],relevance:50,description:"In Gecko-based applications like Firefox, the -moz-background-inline-policy CSS property specifies how the background image of an inline element is determined when the content of the inline element wraps onto multiple lines. The choice of position has significant effects on repetition.",restrictions:["enum"]},{name:"-moz-background-origin",browsers:["FF1"],relevance:50,description:"For elements rendered as a single box, specifies the background positioning area. For elements rendered as multiple boxes (e.g., inline boxes on several lines, boxes on several pages) specifies which boxes 'box-decoration-break' operates on to determine the background positioning area(s).",restrictions:["box"]},{name:"-moz-border-bottom-colors",browsers:["FF1"],status:"nonstandard",syntax:"<color>+ | none",relevance:0,description:"Sets a list of colors for the bottom border.",restrictions:["color"]},{name:"-moz-border-image",browsers:["FF3.6"],values:[{name:"auto",description:"If 'auto' is specified then the border image width is the intrinsic width or height (whichever is applicable) of the corresponding image slice. If the image does not have the required intrinsic dimension then the corresponding border-width is used instead."},{name:"fill",description:"Causes the middle part of the border-image to be preserved."},{name:"none"},{name:"repeat",description:"The image is tiled (repeated) to fill the area."},{name:"round",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the image is rescaled so that it does."},{name:"space",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the extra space is distributed around the tiles."},{name:"stretch",description:"The image is stretched to fill the area."},{name:"url()"}],relevance:50,description:"Shorthand property for setting 'border-image-source', 'border-image-slice', 'border-image-width', 'border-image-outset' and 'border-image-repeat'. Omitted values are set to their initial values.",restrictions:["length","percentage","number","url","enum"]},{name:"-moz-border-left-colors",browsers:["FF1"],status:"nonstandard",syntax:"<color>+ | none",relevance:0,description:"Sets a list of colors for the bottom border.",restrictions:["color"]},{name:"-moz-border-right-colors",browsers:["FF1"],status:"nonstandard",syntax:"<color>+ | none",relevance:0,description:"Sets a list of colors for the bottom border.",restrictions:["color"]},{name:"-moz-border-top-colors",browsers:["FF1"],status:"nonstandard",syntax:"<color>+ | none",relevance:0,description:"Ske Firefox, -moz-border-bottom-colors sets a list of colors for the bottom border.",restrictions:["color"]},{name:"-moz-box-align",browsers:["FF1"],values:[{name:"baseline",description:"If this box orientation is inline-axis or horizontal, all children are placed with their baselines aligned, and extra space placed before or after as necessary. For block flows, the baseline of the first non-empty line box located within the element is used. For tables, the baseline of the first cell is used."},{name:"center",description:"Any extra space is divided evenly, with half placed above the child and the other half placed after the child."},{name:"end",description:"For normal direction boxes, the bottom edge of each child is placed along the bottom of the box. Extra space is placed above the element. For reverse direction boxes, the top edge of each child is placed along the top of the box. Extra space is placed below the element."},{name:"start",description:"For normal direction boxes, the top edge of each child is placed along the top of the box. Extra space is placed below the element. For reverse direction boxes, the bottom edge of each child is placed along the bottom of the box. Extra space is placed above the element."},{name:"stretch",description:"The height of each child is adjusted to that of the containing block."}],relevance:50,description:"Specifies how a XUL box aligns its contents across (perpendicular to) the direction of its layout. The effect of this is only visible if there is extra space in the box.",restrictions:["enum"]},{name:"-moz-box-direction",browsers:["FF1"],values:[{name:"normal",description:"A box with a computed value of horizontal for box-orient displays its children from left to right. A box with a computed value of vertical displays its children from top to bottom."},{name:"reverse",description:"A box with a computed value of horizontal for box-orient displays its children from right to left. A box with a computed value of vertical displays its children from bottom to top."}],relevance:50,description:"Specifies whether a box lays out its contents normally (from the top or left edge), or in reverse (from the bottom or right edge).",restrictions:["enum"]},{name:"-moz-box-flex",browsers:["FF1"],relevance:50,description:"Specifies how a box grows to fill the box that contains it, in the direction of the containing box's layout.",restrictions:["number"]},{name:"-moz-box-flexgroup",browsers:["FF1"],relevance:50,description:"Flexible elements can be assigned to flex groups using the 'box-flex-group' property.",restrictions:["integer"]},{name:"-moz-box-ordinal-group",browsers:["FF1"],relevance:50,description:"Indicates the ordinal group the element belongs to. Elements with a lower ordinal group are displayed before those with a higher ordinal group.",restrictions:["integer"]},{name:"-moz-box-orient",browsers:["FF1"],values:[{name:"block-axis",description:"Elements are oriented along the box's axis."},{name:"horizontal",description:"The box displays its children from left to right in a horizontal line."},{name:"inline-axis",description:"Elements are oriented vertically."},{name:"vertical",description:"The box displays its children from stacked from top to bottom vertically."}],relevance:50,description:"In Mozilla applications, -moz-box-orient specifies whether a box lays out its contents horizontally or vertically.",restrictions:["enum"]},{name:"-moz-box-pack",browsers:["FF1"],values:[{name:"center",description:"The extra space is divided evenly, with half placed before the first child and the other half placed after the last child."},{name:"end",description:"For normal direction boxes, the right edge of the last child is placed at the right side, with all extra space placed before the first child. For reverse direction boxes, the left edge of the first child is placed at the left side, with all extra space placed after the last child."},{name:"justify",description:"The space is divided evenly in-between each child, with none of the extra space placed before the first child or after the last child. If there is only one child, treat the pack value as if it were start."},{name:"start",description:"For normal direction boxes, the left edge of the first child is placed at the left side, with all extra space placed after the last child. For reverse direction boxes, the right edge of the last child is placed at the right side, with all extra space placed before the first child."}],relevance:50,description:"Specifies how a box packs its contents in the direction of its layout. The effect of this is only visible if there is extra space in the box.",restrictions:["enum"]},{name:"-moz-box-sizing",browsers:["FF1"],values:[{name:"border-box",description:"The specified width and height (and respective min/max properties) on this element determine the border box of the element."},{name:"content-box",description:"Behavior of width and height as specified by CSS2.1. The specified width and height (and respective min/max properties) apply to the width and height respectively of the content box of the element."},{name:"padding-box",description:"The specified width and height (and respective min/max properties) on this element determine the padding box of the element."}],relevance:50,description:"Box Model addition in CSS3.",restrictions:["enum"]},{name:"-moz-column-count",browsers:["FF3.5"],values:[{name:"auto",description:"Determines the number of columns by the 'column-width' property and the element width."}],relevance:50,description:"Describes the optimal number of columns into which the content of the element will be flowed.",restrictions:["integer"]},{name:"-moz-column-gap",browsers:["FF3.5"],values:[{name:"normal",description:"User agent specific and typically equivalent to 1em."}],relevance:50,description:"Sets the gap between columns. If there is a column rule between columns, it will appear in the middle of the gap.",restrictions:["length"]},{name:"-moz-column-rule",browsers:["FF3.5"],relevance:50,description:"Shorthand for setting 'column-rule-width', 'column-rule-style', and 'column-rule-color' at the same place in the style sheet. Omitted values are set to their initial values.",restrictions:["length","line-width","line-style","color"]},{name:"-moz-column-rule-color",browsers:["FF3.5"],relevance:50,description:"Sets the color of the column rule",restrictions:["color"]},{name:"-moz-column-rule-style",browsers:["FF3.5"],relevance:50,description:"Sets the style of the rule between columns of an element.",restrictions:["line-style"]},{name:"-moz-column-rule-width",browsers:["FF3.5"],relevance:50,description:"Sets the width of the rule between columns. Negative values are not allowed.",restrictions:["length","line-width"]},{name:"-moz-columns",browsers:["FF9"],values:[{name:"auto",description:"The width depends on the values of other properties."}],relevance:50,description:"A shorthand property which sets both 'column-width' and 'column-count'.",restrictions:["length","integer"]},{name:"-moz-column-width",browsers:["FF3.5"],values:[{name:"auto",description:"The width depends on the values of other properties."}],relevance:50,description:"This property describes the width of columns in multicol elements.",restrictions:["length"]},{name:"-moz-font-feature-settings",browsers:["FF4"],values:[{name:'"c2cs"'},{name:'"dlig"'},{name:'"kern"'},{name:'"liga"'},{name:'"lnum"'},{name:'"onum"'},{name:'"smcp"'},{name:'"swsh"'},{name:'"tnum"'},{name:"normal",description:"No change in glyph substitution or positioning occurs."},{name:"off",browsers:["FF4"]},{name:"on",browsers:["FF4"]}],relevance:50,description:"Provides low-level control over OpenType font features. It is intended as a way of providing access to font features that are not widely used but are needed for a particular use case.",restrictions:["string","integer"]},{name:"-moz-hyphens",browsers:["FF9"],values:[{name:"auto",description:"Conditional hyphenation characters inside a word, if present, take priority over automatic resources when determining hyphenation points within the word."},{name:"manual",description:"Words are only broken at line breaks where there are characters inside the word that suggest line break opportunities"},{name:"none",description:"Words are not broken at line breaks, even if characters inside the word suggest line break points."}],relevance:50,description:"Controls whether hyphenation is allowed to create more break opportunities within a line of text.",restrictions:["enum"]},{name:"-moz-perspective",browsers:["FF10"],values:[{name:"none",description:"No perspective transform is applied."}],relevance:50,description:"Applies the same transform as the perspective(<number>) transform function, except that it applies only to the positioned or transformed children of the element, not to the transform on the element itself.",restrictions:["length"]},{name:"-moz-perspective-origin",browsers:["FF10"],relevance:50,description:"Establishes the origin for the perspective property. It effectively sets the X and Y position at which the viewer appears to be looking at the children of the element.",restrictions:["position","percentage","length"]},{name:"-moz-text-align-last",browsers:["FF12"],values:[{name:"auto"},{name:"center",description:"The inline contents are centered within the line box."},{name:"justify",description:"The text is justified according to the method specified by the 'text-justify' property."},{name:"left",description:"The inline contents are aligned to the left edge of the line box. In vertical text, 'left' aligns to the edge of the line box that would be the start edge for left-to-right text."},{name:"right",description:"The inline contents are aligned to the right edge of the line box. In vertical text, 'right' aligns to the edge of the line box that would be the end edge for left-to-right text."}],relevance:50,description:"Describes how the last line of a block or a line right before a forced line break is aligned when 'text-align' is set to 'justify'.",restrictions:["enum"]},{name:"-moz-text-decoration-color",browsers:["FF6"],relevance:50,description:"Specifies the color of text decoration (underlines overlines, and line-throughs) set on the element with text-decoration-line.",restrictions:["color"]},{name:"-moz-text-decoration-line",browsers:["FF6"],values:[{name:"line-through",description:"Each line of text has a line through the middle."},{name:"none",description:"Neither produces nor inhibits text decoration."},{name:"overline",description:"Each line of text has a line above it."},{name:"underline",description:"Each line of text is underlined."}],relevance:50,description:"Specifies what line decorations, if any, are added to the element.",restrictions:["enum"]},{name:"-moz-text-decoration-style",browsers:["FF6"],values:[{name:"dashed",description:"Produces a dashed line style."},{name:"dotted",description:"Produces a dotted line."},{name:"double",description:"Produces a double line."},{name:"none",description:"Produces no line."},{name:"solid",description:"Produces a solid line."},{name:"wavy",description:"Produces a wavy line."}],relevance:50,description:"Specifies the line style for underline, line-through and overline text decoration.",restrictions:["enum"]},{name:"-moz-text-size-adjust",browsers:["FF"],values:[{name:"auto",description:"Renderers must use the default size adjustment when displaying on a small device."},{name:"none",description:"Renderers must not do size adjustment when displaying on a small device."}],relevance:50,description:"Specifies a size adjustment for displaying text content in mobile browsers.",restrictions:["enum","percentage"]},{name:"-moz-transform",browsers:["FF3.5"],values:[{name:"matrix()",description:"Specifies a 2D transformation in the form of a transformation matrix of six values. matrix(a,b,c,d,e,f) is equivalent to applying the transformation matrix [a b c d e f]"},{name:"matrix3d()",description:"Specifies a 3D transformation as a 4x4 homogeneous matrix of 16 values in column-major order."},{name:"none"},{name:"perspective",description:"Specifies a perspective projection matrix."},{name:"rotate()",description:"Specifies a 2D rotation by the angle specified in the parameter about the origin of the element, as defined by the transform-origin property."},{name:"rotate3d()",description:"Specifies a clockwise 3D rotation by the angle specified in last parameter about the [x,y,z] direction vector described by the first 3 parameters."},{name:"rotateX('angle')",description:"Specifies a clockwise rotation by the given angle about the X axis."},{name:"rotateY('angle')",description:"Specifies a clockwise rotation by the given angle about the Y axis."},{name:"rotateZ('angle')",description:"Specifies a clockwise rotation by the given angle about the Z axis."},{name:"scale()",description:"Specifies a 2D scale operation by the [sx,sy] scaling vector described by the 2 parameters. If the second parameter is not provided, it is takes a value equal to the first."},{name:"scale3d()",description:"Specifies a 3D scale operation by the [sx,sy,sz] scaling vector described by the 3 parameters."},{name:"scaleX()",description:"Specifies a scale operation using the [sx,1] scaling vector, where sx is given as the parameter."},{name:"scaleY()",description:"Specifies a scale operation using the [sy,1] scaling vector, where sy is given as the parameter."},{name:"scaleZ()",description:"Specifies a scale operation using the [1,1,sz] scaling vector, where sz is given as the parameter."},{name:"skew()",description:"Specifies a skew transformation along the X and Y axes. The first angle parameter specifies the skew on the X axis. The second angle parameter specifies the skew on the Y axis. If the second parameter is not given then a value of 0 is used for the Y angle (ie: no skew on the Y axis)."},{name:"skewX()",description:"Specifies a skew transformation along the X axis by the given angle."},{name:"skewY()",description:"Specifies a skew transformation along the Y axis by the given angle."},{name:"translate()",description:"Specifies a 2D translation by the vector [tx, ty], where tx is the first translation-value parameter and ty is the optional second translation-value parameter."},{name:"translate3d()",description:"Specifies a 3D translation by the vector [tx,ty,tz], with tx, ty and tz being the first, second and third translation-value parameters respectively."},{name:"translateX()",description:"Specifies a translation by the given amount in the X direction."},{name:"translateY()",description:"Specifies a translation by the given amount in the Y direction."},{name:"translateZ()",description:"Specifies a translation by the given amount in the Z direction. Note that percentage values are not allowed in the translateZ translation-value, and if present are evaluated as 0."}],relevance:50,description:"A two-dimensional transformation is applied to an element through the 'transform' property. This property contains a list of transform functions similar to those allowed by SVG.",restrictions:["enum"]},{name:"-moz-transform-origin",browsers:["FF3.5"],relevance:50,description:"Establishes the origin of transformation for an element.",restrictions:["position","length","percentage"]},{name:"-moz-transition",browsers:["FF4"],values:[{name:"all",description:"Every property that is able to undergo a transition will do so."},{name:"none",description:"No property will transition."}],relevance:50,description:"Shorthand property combines four of the transition properties into a single property.",restrictions:["time","property","timing-function","enum"]},{name:"-moz-transition-delay",browsers:["FF4"],relevance:50,description:"Defines when the transition will start. It allows a transition to begin execution some period of time from when it is applied.",restrictions:["time"]},{name:"-moz-transition-duration",browsers:["FF4"],relevance:50,description:"Specifies how long the transition from the old value to the new value should take.",restrictions:["time"]},{name:"-moz-transition-property",browsers:["FF4"],values:[{name:"all",description:"Every property that is able to undergo a transition will do so."},{name:"none",description:"No property will transition."}],relevance:50,description:"Specifies the name of the CSS property to which the transition is applied.",restrictions:["property"]},{name:"-moz-transition-timing-function",browsers:["FF4"],relevance:50,description:"Describes how the intermediate values used during a transition will be calculated.",restrictions:["timing-function"]},{name:"-moz-user-focus",browsers:["FF1"],values:[{name:"ignore"},{name:"normal"}],status:"nonstandard",syntax:"ignore | normal | select-after | select-before | select-menu | select-same | select-all | none",relevance:0,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-moz-user-focus"}],description:"Used to indicate whether the element can have focus."},{name:"-moz-user-select",browsers:["FF1.5"],values:[{name:"all"},{name:"element"},{name:"elements"},{name:"-moz-all"},{name:"-moz-none"},{name:"none"},{name:"text"},{name:"toggle"}],relevance:50,description:"Controls the appearance of selection.",restrictions:["enum"]},{name:"-ms-accelerator",browsers:["E","IE10"],values:[{name:"false",description:"The element does not contain an accelerator key sequence."},{name:"true",description:"The element contains an accelerator key sequence."}],status:"nonstandard",syntax:"false | true",relevance:0,description:"IE only. Has the ability to turn off its system underlines for accelerator keys until the ALT key is pressed",restrictions:["enum"]},{name:"-ms-behavior",browsers:["IE8"],relevance:50,description:"IE only. Used to extend behaviors of the browser",restrictions:["url"]},{name:"-ms-block-progression",browsers:["IE8"],values:[{name:"bt",description:"Bottom-to-top block flow. Layout is horizontal."},{name:"lr",description:"Left-to-right direction. The flow orientation is vertical."},{name:"rl",description:"Right-to-left direction. The flow orientation is vertical."},{name:"tb",description:"Top-to-bottom direction. The flow orientation is horizontal."}],status:"nonstandard",syntax:"tb | rl | bt | lr",relevance:0,description:"Sets the block-progression value and the flow orientation",restrictions:["enum"]},{name:"-ms-content-zoom-chaining",browsers:["E","IE10"],values:[{name:"chained",description:"The nearest zoomable parent element begins zooming when the user hits a zoom limit during a manipulation. No bounce effect is shown."},{name:"none",description:"A bounce effect is shown when the user hits a zoom limit during a manipulation."}],status:"nonstandard",syntax:"none | chained",relevance:0,description:"Specifies the zoom behavior that occurs when a user hits the zoom limit during a manipulation."},{name:"-ms-content-zooming",browsers:["E","IE10"],values:[{name:"none",description:"The element is not zoomable."},{name:"zoom",description:"The element is zoomable."}],status:"nonstandard",syntax:"none | zoom",relevance:0,description:"Specifies whether zooming is enabled.",restrictions:["enum"]},{name:"-ms-content-zoom-limit",browsers:["E","IE10"],status:"nonstandard",syntax:"<'-ms-content-zoom-limit-min'> <'-ms-content-zoom-limit-max'>",relevance:0,description:"Shorthand property for the -ms-content-zoom-limit-min and -ms-content-zoom-limit-max properties.",restrictions:["percentage"]},{name:"-ms-content-zoom-limit-max",browsers:["E","IE10"],status:"nonstandard",syntax:"<percentage>",relevance:0,description:"Specifies the maximum zoom factor.",restrictions:["percentage"]},{name:"-ms-content-zoom-limit-min",browsers:["E","IE10"],status:"nonstandard",syntax:"<percentage>",relevance:0,description:"Specifies the minimum zoom factor.",restrictions:["percentage"]},{name:"-ms-content-zoom-snap",browsers:["E","IE10"],values:[{name:"mandatory",description:"Indicates that the motion of the content after the contact is picked up is always adjusted so that it lands on a snap-point."},{name:"none",description:"Indicates that zooming is unaffected by any defined snap-points."},{name:"proximity",description:'Indicates that the motion of the content after the contact is picked up may be adjusted if the content would normally stop "close enough" to a snap-point.'},{name:"snapInterval(100%, 100%)",description:"Specifies where the snap-points will be placed."},{name:"snapList()",description:"Specifies the position of individual snap-points as a comma-separated list of zoom factors."}],status:"nonstandard",syntax:"<'-ms-content-zoom-snap-type'> || <'-ms-content-zoom-snap-points'>",relevance:0,description:"Shorthand property for the -ms-content-zoom-snap-type and -ms-content-zoom-snap-points properties."},{name:"-ms-content-zoom-snap-points",browsers:["E","IE10"],values:[{name:"snapInterval(100%, 100%)",description:"Specifies where the snap-points will be placed."},{name:"snapList()",description:"Specifies the position of individual snap-points as a comma-separated list of zoom factors."}],status:"nonstandard",syntax:"snapInterval( <percentage>, <percentage> ) | snapList( <percentage># )",relevance:0,description:"Defines where zoom snap-points are located."},{name:"-ms-content-zoom-snap-type",browsers:["E","IE10"],values:[{name:"mandatory",description:"Indicates that the motion of the content after the contact is picked up is always adjusted so that it lands on a snap-point."},{name:"none",description:"Indicates that zooming is unaffected by any defined snap-points."},{name:"proximity",description:'Indicates that the motion of the content after the contact is picked up may be adjusted if the content would normally stop "close enough" to a snap-point.'}],status:"nonstandard",syntax:"none | proximity | mandatory",relevance:0,description:"Specifies how zooming is affected by defined snap-points.",restrictions:["enum"]},{name:"-ms-filter",browsers:["IE8-9"],status:"nonstandard",syntax:"<string>",relevance:0,description:"IE only. Used to produce visual effects.",restrictions:["string"]},{name:"-ms-flex",browsers:["IE10"],values:[{name:"auto",description:"Retrieves the value of the main size property as the used 'flex-basis'."},{name:"none",description:"Expands to '0 0 auto'."}],relevance:50,description:"specifies the parameters of a flexible length: the positive and negative flexibility, and the preferred size.",restrictions:["length","number","percentage"]},{name:"-ms-flex-align",browsers:["IE10"],values:[{name:"baseline",description:"If the flex item's inline axis is the same as the cross axis, this value is identical to 'flex-start'. Otherwise, it participates in baseline alignment."},{name:"center",description:"The flex item's margin box is centered in the cross axis within the line."},{name:"end",description:"The cross-end margin edge of the flex item is placed flush with the cross-end edge of the line."},{name:"start",description:"The cross-start margin edge of the flexbox item is placed flush with the cross-start edge of the line."},{name:"stretch",description:"If the cross size property of the flexbox item is anything other than 'auto', this value is identical to 'start'."}],relevance:50,description:"Aligns flex items along the cross axis of the current line of the flex container.",restrictions:["enum"]},{name:"-ms-flex-direction",browsers:["IE10"],values:[{name:"column",description:"The flex container's main axis has the same orientation as the block axis of the current writing mode."},{name:"column-reverse",description:"Same as 'column', except the main-start and main-end directions are swapped."},{name:"row",description:"The flex container's main axis has the same orientation as the inline axis of the current writing mode."},{name:"row-reverse",description:"Same as 'row', except the main-start and main-end directions are swapped."}],relevance:50,description:"Specifies how flex items are placed in the flex container, by setting the direction of the flex container's main axis.",restrictions:["enum"]},{name:"-ms-flex-flow",browsers:["IE10"],values:[{name:"column",description:"The flex container's main axis has the same orientation as the block axis of the current writing mode."},{name:"column-reverse",description:"Same as 'column', except the main-start and main-end directions are swapped."},{name:"nowrap",description:"The flex container is single-line."},{name:"row",description:"The flex container's main axis has the same orientation as the inline axis of the current writing mode."},{name:"wrap",description:"The flexbox is multi-line."},{name:"wrap-reverse",description:"Same as 'wrap', except the cross-start and cross-end directions are swapped."}],relevance:50,description:"Specifies how flexbox items are placed in the flexbox.",restrictions:["enum"]},{name:"-ms-flex-item-align",browsers:["IE10"],values:[{name:"auto",description:"Computes to the value of 'align-items' on the element's parent, or 'stretch' if the element has no parent. On absolutely positioned elements, it computes to itself."},{name:"baseline",description:"If the flex item's inline axis is the same as the cross axis, this value is identical to 'flex-start'. Otherwise, it participates in baseline alignment."},{name:"center",description:"The flex item's margin box is centered in the cross axis within the line."},{name:"end",description:"The cross-end margin edge of the flex item is placed flush with the cross-end edge of the line."},{name:"start",description:"The cross-start margin edge of the flex item is placed flush with the cross-start edge of the line."},{name:"stretch",description:"If the cross size property of the flex item computes to auto, and neither of the cross-axis margins are auto, the flex item is stretched."}],relevance:50,description:"Allows the default alignment along the cross axis to be overridden for individual flex items.",restrictions:["enum"]},{name:"-ms-flex-line-pack",browsers:["IE10"],values:[{name:"center",description:"Lines are packed toward the center of the flex container."},{name:"distribute",description:"Lines are evenly distributed in the flex container, with half-size spaces on either end."},{name:"end",description:"Lines are packed toward the end of the flex container."},{name:"justify",description:"Lines are evenly distributed in the flex container."},{name:"start",description:"Lines are packed toward the start of the flex container."},{name:"stretch",description:"Lines stretch to take up the remaining space."}],relevance:50,description:"Aligns a flex container's lines within the flex container when there is extra space in the cross-axis, similar to how 'justify-content' aligns individual items within the main-axis.",restrictions:["enum"]},{name:"-ms-flex-order",browsers:["IE10"],relevance:50,description:"Controls the order in which children of a flex container appear within the flex container, by assigning them to ordinal groups.",restrictions:["integer"]},{name:"-ms-flex-pack",browsers:["IE10"],values:[{name:"center",description:"Flex items are packed toward the center of the line."},{name:"distribute",description:"Flex items are evenly distributed in the line, with half-size spaces on either end."},{name:"end",description:"Flex items are packed toward the end of the line."},{name:"justify",description:"Flex items are evenly distributed in the line."},{name:"start",description:"Flex items are packed toward the start of the line."}],relevance:50,description:"Aligns flex items along the main axis of the current line of the flex container.",restrictions:["enum"]},{name:"-ms-flex-wrap",browsers:["IE10"],values:[{name:"nowrap",description:"The flex container is single-line."},{name:"wrap",description:"The flexbox is multi-line."},{name:"wrap-reverse",description:"Same as 'wrap', except the cross-start and cross-end directions are swapped."}],relevance:50,description:"Controls whether the flex container is single-line or multi-line, and the direction of the cross-axis, which determines the direction new lines are stacked in.",restrictions:["enum"]},{name:"-ms-flow-from",browsers:["E","IE10"],values:[{name:"none",description:"The block container is not a CSS Region."}],status:"nonstandard",syntax:"[ none | <custom-ident> ]#",relevance:0,description:"Makes a block container a region and associates it with a named flow.",restrictions:["identifier"]},{name:"-ms-flow-into",browsers:["E","IE10"],values:[{name:"none",description:"The element is not moved to a named flow and normal CSS processing takes place."}],status:"nonstandard",syntax:"[ none | <custom-ident> ]#",relevance:0,description:"Places an element or its contents into a named flow.",restrictions:["identifier"]},{name:"-ms-grid-column",browsers:["E","IE10"],values:[{name:"auto"},{name:"end"},{name:"start"}],relevance:50,description:"Used to place grid items and explicitly defined grid cells in the Grid.",restrictions:["integer","string","enum"]},{name:"-ms-grid-column-align",browsers:["E","IE10"],values:[{name:"center",description:"Places the center of the Grid Item's margin box at the center of the Grid Item's column."},{name:"end",description:"Aligns the end edge of the Grid Item's margin box to the end edge of the Grid Item's column."},{name:"start",description:"Aligns the starting edge of the Grid Item's margin box to the starting edge of the Grid Item's column."},{name:"stretch",description:"Ensures that the Grid Item's margin box is equal to the size of the Grid Item's column."}],relevance:50,description:"Aligns the columns in a grid.",restrictions:["enum"]},{name:"-ms-grid-columns",browsers:["E","IE10"],status:"nonstandard",syntax:"none | <track-list> | <auto-track-list>",relevance:0,description:"Lays out the columns of the grid."},{name:"-ms-grid-column-span",browsers:["E","IE10"],relevance:50,description:"Specifies the number of columns to span.",restrictions:["integer"]},{name:"-ms-grid-layer",browsers:["E","IE10"],relevance:50,description:"Grid-layer is similar in concept to z-index, but avoids overloading the meaning of the z-index property, which is applicable only to positioned elements.",restrictions:["integer"]},{name:"-ms-grid-row",browsers:["E","IE10"],values:[{name:"auto"},{name:"end"},{name:"start"}],relevance:50,description:"grid-row is used to place grid items and explicitly defined grid cells in the Grid.",restrictions:["integer","string","enum"]},{name:"-ms-grid-row-align",browsers:["E","IE10"],values:[{name:"center",description:"Places the center of the Grid Item's margin box at the center of the Grid Item's row."},{name:"end",description:"Aligns the end edge of the Grid Item's margin box to the end edge of the Grid Item's row."},{name:"start",description:"Aligns the starting edge of the Grid Item's margin box to the starting edge of the Grid Item's row."},{name:"stretch",description:"Ensures that the Grid Item's margin box is equal to the size of the Grid Item's row."}],relevance:50,description:"Aligns the rows in a grid.",restrictions:["enum"]},{name:"-ms-grid-rows",browsers:["E","IE10"],status:"nonstandard",syntax:"none | <track-list> | <auto-track-list>",relevance:0,description:"Lays out the columns of the grid."},{name:"-ms-grid-row-span",browsers:["E","IE10"],relevance:50,description:"Specifies the number of rows to span.",restrictions:["integer"]},{name:"-ms-high-contrast-adjust",browsers:["E","IE10"],values:[{name:"auto",description:"Properties will be adjusted as applicable."},{name:"none",description:"No adjustments will be applied."}],status:"nonstandard",syntax:"auto | none",relevance:0,description:"Specifies if properties should be adjusted in high contrast mode.",restrictions:["enum"]},{name:"-ms-hyphenate-limit-chars",browsers:["E","IE10"],values:[{name:"auto",description:"The user agent chooses a value that adapts to the current layout."}],status:"nonstandard",syntax:"auto | <integer>{1,3}",relevance:0,description:"Specifies the minimum number of characters in a hyphenated word.",restrictions:["integer"]},{name:"-ms-hyphenate-limit-lines",browsers:["E","IE10"],values:[{name:"no-limit",description:"There is no limit."}],status:"nonstandard",syntax:"no-limit | <integer>",relevance:0,description:"Indicates the maximum number of successive hyphenated lines in an element.",restrictions:["integer"]},{name:"-ms-hyphenate-limit-zone",browsers:["E","IE10"],status:"nonstandard",syntax:"<percentage> | <length>",relevance:0,description:"Specifies the maximum amount of unfilled space (before justification) that may be left in the line box before hyphenation is triggered to pull part of a word from the next line back up into the current line.",restrictions:["percentage","length"]},{name:"-ms-hyphens",browsers:["E","IE10"],values:[{name:"auto",description:"Conditional hyphenation characters inside a word, if present, take priority over automatic resources when determining hyphenation points within the word."},{name:"manual",description:"Words are only broken at line breaks where there are characters inside the word that suggest line break opportunities"},{name:"none",description:"Words are not broken at line breaks, even if characters inside the word suggest line break points."}],relevance:50,description:"Controls whether hyphenation is allowed to create more break opportunities within a line of text.",restrictions:["enum"]},{name:"-ms-ime-mode",browsers:["IE10"],values:[{name:"active",description:"The input method editor is initially active; text entry is performed using it unless the user specifically dismisses it."},{name:"auto",description:"No change is made to the current input method editor state. This is the default."},{name:"disabled",description:"The input method editor is disabled and may not be activated by the user."},{name:"inactive",description:"The input method editor is initially inactive, but the user may activate it if they wish."},{name:"normal",description:"The IME state should be normal; this value can be used in a user style sheet to override the page setting."}],relevance:50,description:"Controls the state of the input method editor for text fields.",restrictions:["enum"]},{name:"-ms-interpolation-mode",browsers:["IE7"],values:[{name:"bicubic"},{name:"nearest-neighbor"}],relevance:50,description:"Gets or sets the interpolation (resampling) method used to stretch images.",restrictions:["enum"]},{name:"-ms-layout-grid",browsers:["E","IE10"],values:[{name:"char",description:"Any of the range of character values available to the -ms-layout-grid-char property."},{name:"line",description:"Any of the range of line values available to the -ms-layout-grid-line property."},{name:"mode",description:"Any of the range of mode values available to the -ms-layout-grid-mode property."},{name:"type",description:"Any of the range of type values available to the -ms-layout-grid-type property."}],relevance:50,description:"Sets or retrieves the composite document grid properties that specify the layout of text characters."},{name:"-ms-layout-grid-char",browsers:["E","IE10"],values:[{name:"auto",description:"Largest character in the font of the element is used to set the character grid."},{name:"none",description:"Default. No character grid is set."}],relevance:50,description:"Sets or retrieves the size of the character grid used for rendering the text content of an element.",restrictions:["enum","length","percentage"]},{name:"-ms-layout-grid-line",browsers:["E","IE10"],values:[{name:"auto",description:"Largest character in the font of the element is used to set the character grid."},{name:"none",description:"Default. No grid line is set."}],relevance:50,description:"Sets or retrieves the gridline value used for rendering the text content of an element.",restrictions:["length"]},{name:"-ms-layout-grid-mode",browsers:["E","IE10"],values:[{name:"both",description:"Default. Both the char and line grid modes are enabled. This setting is necessary to fully enable the layout grid on an element."},{name:"char",description:"Only a character grid is used. This is recommended for use with block-level elements, such as a blockquote, where the line grid is intended to be disabled."},{name:"line",description:"Only a line grid is used. This is recommended for use with inline elements, such as a span, to disable the horizontal grid on runs of text that act as a single entity in the grid layout."},{name:"none",description:"No grid is used."}],relevance:50,description:"Gets or sets whether the text layout grid uses two dimensions.",restrictions:["enum"]},{name:"-ms-layout-grid-type",browsers:["E","IE10"],values:[{name:"fixed",description:"Grid used for monospaced layout. All noncursive characters are treated as equal; every character is centered within a single grid space by default."},{name:"loose",description:"Default. Grid used for Japanese and Korean characters."},{name:"strict",description:"Grid used for Chinese, as well as Japanese (Genko) and Korean characters. Only the ideographs, kanas, and wide characters are snapped to the grid."}],relevance:50,description:"Sets or retrieves the type of grid used for rendering the text content of an element.",restrictions:["enum"]},{name:"-ms-line-break",browsers:["E","IE10"],values:[{name:"auto",description:"The UA determines the set of line-breaking restrictions to use for CJK scripts, and it may vary the restrictions based on the length of the line; e.g., use a less restrictive set of line-break rules for short lines."},{name:"keep-all",description:"Sequences of CJK characters can no longer break on implied break points. This option should only be used where the presence of word separator characters still creates line-breaking opportunities, as in Korean."},{name:"newspaper",description:"Breaks CJK scripts using the least restrictive set of line-breaking rules. Typically used for short lines, such as in newspapers."},{name:"normal",description:"Breaks CJK scripts using a normal set of line-breaking rules."},{name:"strict",description:"Breaks CJK scripts using a more restrictive set of line-breaking rules than 'normal'."}],relevance:50,description:"Specifies what set of line breaking restrictions are in effect within the element.",restrictions:["enum"]},{name:"-ms-overflow-style",browsers:["E","IE10"],values:[{name:"auto",description:"No preference, UA should use the first scrolling method in the list that it supports."},{name:"-ms-autohiding-scrollbar",description:"Indicates the element displays auto-hiding scrollbars during mouse interactions and panning indicators during touch and keyboard interactions."},{name:"none",description:"Indicates the element does not display scrollbars or panning indicators, even when its content overflows."},{name:"scrollbar",description:'Scrollbars are typically narrow strips inserted on one or two edges of an element and which often have arrows to click on and a "thumb" to drag up and down (or left and right) to move the contents of the element.'}],status:"nonstandard",syntax:"auto | none | scrollbar | -ms-autohiding-scrollbar",relevance:0,description:"Specify whether content is clipped when it overflows the element's content area.",restrictions:["enum"]},{name:"-ms-perspective",browsers:["IE10"],values:[{name:"none",description:"No perspective transform is applied."}],relevance:50,description:"Applies the same transform as the perspective(<number>) transform function, except that it applies only to the positioned or transformed children of the element, not to the transform on the element itself.",restrictions:["length"]},{name:"-ms-perspective-origin",browsers:["IE10"],relevance:50,description:"Establishes the origin for the perspective property. It effectively sets the X and Y position at which the viewer appears to be looking at the children of the element.",restrictions:["position","percentage","length"]},{name:"-ms-perspective-origin-x",browsers:["IE10"],relevance:50,description:"Establishes the origin for the perspective property. It effectively sets the X position at which the viewer appears to be looking at the children of the element.",restrictions:["position","percentage","length"]},{name:"-ms-perspective-origin-y",browsers:["IE10"],relevance:50,description:"Establishes the origin for the perspective property. It effectively sets the Y position at which the viewer appears to be looking at the children of the element.",restrictions:["position","percentage","length"]},{name:"-ms-progress-appearance",browsers:["IE10"],values:[{name:"bar"},{name:"ring"}],relevance:50,description:"Gets or sets a value that specifies whether a progress control displays as a bar or a ring.",restrictions:["enum"]},{name:"-ms-scrollbar-3dlight-color",browsers:["IE8"],status:"nonstandard",syntax:"<color>",relevance:0,description:"Determines the color of the top and left edges of the scroll box and scroll arrows of a scroll bar.",restrictions:["color"]},{name:"-ms-scrollbar-arrow-color",browsers:["IE8"],status:"nonstandard",syntax:"<color>",relevance:0,description:"Determines the color of the arrow elements of a scroll arrow.",restrictions:["color"]},{name:"-ms-scrollbar-base-color",browsers:["IE8"],status:"nonstandard",syntax:"<color>",relevance:0,description:"Determines the color of the main elements of a scroll bar, which include the scroll box, track, and scroll arrows.",restrictions:["color"]},{name:"-ms-scrollbar-darkshadow-color",browsers:["IE8"],status:"nonstandard",syntax:"<color>",relevance:0,description:"Determines the color of the gutter of a scroll bar.",restrictions:["color"]},{name:"-ms-scrollbar-face-color",browsers:["IE8"],status:"nonstandard",syntax:"<color>",relevance:0,description:"Determines the color of the scroll box and scroll arrows of a scroll bar.",restrictions:["color"]},{name:"-ms-scrollbar-highlight-color",browsers:["IE8"],status:"nonstandard",syntax:"<color>",relevance:0,description:"Determines the color of the top and left edges of the scroll box and scroll arrows of a scroll bar.",restrictions:["color"]},{name:"-ms-scrollbar-shadow-color",browsers:["IE8"],status:"nonstandard",syntax:"<color>",relevance:0,description:"Determines the color of the bottom and right edges of the scroll box and scroll arrows of a scroll bar.",restrictions:["color"]},{name:"-ms-scrollbar-track-color",browsers:["IE8"],status:"nonstandard",syntax:"<color>",relevance:0,description:"Determines the color of the track element of a scroll bar.",restrictions:["color"]},{name:"-ms-scroll-chaining",browsers:["E","IE10"],values:[{name:"chained"},{name:"none"}],status:"nonstandard",syntax:"chained | none",relevance:0,description:"Gets or sets a value that indicates the scrolling behavior that occurs when a user hits the content boundary during a manipulation.",restrictions:["enum","length"]},{name:"-ms-scroll-limit",browsers:["E","IE10"],values:[{name:"auto"}],status:"nonstandard",syntax:"<'-ms-scroll-limit-x-min'> <'-ms-scroll-limit-y-min'> <'-ms-scroll-limit-x-max'> <'-ms-scroll-limit-y-max'>",relevance:0,description:"Gets or sets a shorthand value that sets values for the -ms-scroll-limit-x-min, -ms-scroll-limit-y-min, -ms-scroll-limit-x-max, and -ms-scroll-limit-y-max properties.",restrictions:["length"]},{name:"-ms-scroll-limit-x-max",browsers:["E","IE10"],values:[{name:"auto"}],status:"nonstandard",syntax:"auto | <length>",relevance:0,description:"Gets or sets a value that specifies the maximum value for the scrollLeft property.",restrictions:["length"]},{name:"-ms-scroll-limit-x-min",browsers:["E","IE10"],status:"nonstandard",syntax:"<length>",relevance:0,description:"Gets or sets a value that specifies the minimum value for the scrollLeft property.",restrictions:["length"]},{name:"-ms-scroll-limit-y-max",browsers:["E","IE10"],values:[{name:"auto"}],status:"nonstandard",syntax:"auto | <length>",relevance:0,description:"Gets or sets a value that specifies the maximum value for the scrollTop property.",restrictions:["length"]},{name:"-ms-scroll-limit-y-min",browsers:["E","IE10"],status:"nonstandard",syntax:"<length>",relevance:0,description:"Gets or sets a value that specifies the minimum value for the scrollTop property.",restrictions:["length"]},{name:"-ms-scroll-rails",browsers:["E","IE10"],values:[{name:"none"},{name:"railed"}],status:"nonstandard",syntax:"none | railed",relevance:0,description:"Gets or sets a value that indicates whether or not small motions perpendicular to the primary axis of motion will result in either changes to both the scrollTop and scrollLeft properties or a change to the primary axis (for instance, either the scrollTop or scrollLeft properties will change, but not both).",restrictions:["enum","length"]},{name:"-ms-scroll-snap-points-x",browsers:["E","IE10"],values:[{name:"snapInterval(100%, 100%)"},{name:"snapList()"}],status:"nonstandard",syntax:"snapInterval( <length-percentage>, <length-percentage> ) | snapList( <length-percentage># )",relevance:0,description:"Gets or sets a value that defines where snap-points will be located along the x-axis.",restrictions:["enum"]},{name:"-ms-scroll-snap-points-y",browsers:["E","IE10"],values:[{name:"snapInterval(100%, 100%)"},{name:"snapList()"}],status:"nonstandard",syntax:"snapInterval( <length-percentage>, <length-percentage> ) | snapList( <length-percentage># )",relevance:0,description:"Gets or sets a value that defines where snap-points will be located along the y-axis.",restrictions:["enum"]},{name:"-ms-scroll-snap-type",browsers:["E","IE10"],values:[{name:"none",description:"The visual viewport of this scroll container must ignore snap points, if any, when scrolled."},{name:"mandatory",description:"The visual viewport of this scroll container is guaranteed to rest on a snap point when there are no active scrolling operations."},{name:"proximity",description:"The visual viewport of this scroll container may come to rest on a snap point at the termination of a scroll at the discretion of the UA given the parameters of the scroll."}],status:"nonstandard",syntax:"none | proximity | mandatory",relevance:0,description:"Gets or sets a value that defines what type of snap-point should be used for the current element. There are two type of snap-points, with the primary difference being whether or not the user is guaranteed to always stop on a snap-point.",restrictions:["enum"]},{name:"-ms-scroll-snap-x",browsers:["E","IE10"],values:[{name:"mandatory"},{name:"none"},{name:"proximity"},{name:"snapInterval(100%, 100%)"},{name:"snapList()"}],status:"nonstandard",syntax:"<'-ms-scroll-snap-type'> <'-ms-scroll-snap-points-x'>",relevance:0,description:"Gets or sets a shorthand value that sets values for the -ms-scroll-snap-type and -ms-scroll-snap-points-x properties.",restrictions:["enum"]},{name:"-ms-scroll-snap-y",browsers:["E","IE10"],values:[{name:"mandatory"},{name:"none"},{name:"proximity"},{name:"snapInterval(100%, 100%)"},{name:"snapList()"}],status:"nonstandard",syntax:"<'-ms-scroll-snap-type'> <'-ms-scroll-snap-points-y'>",relevance:0,description:"Gets or sets a shorthand value that sets values for the -ms-scroll-snap-type and -ms-scroll-snap-points-y properties.",restrictions:["enum"]},{name:"-ms-scroll-translation",browsers:["E","IE10"],values:[{name:"none"},{name:"vertical-to-horizontal"}],status:"nonstandard",syntax:"none | vertical-to-horizontal",relevance:0,description:"Gets or sets a value that specifies whether vertical-to-horizontal scroll wheel translation occurs on the specified element.",restrictions:["enum"]},{name:"-ms-text-align-last",browsers:["E","IE8"],values:[{name:"auto"},{name:"center",description:"The inline contents are centered within the line box."},{name:"justify",description:"The text is justified according to the method specified by the 'text-justify' property."},{name:"left",description:"The inline contents are aligned to the left edge of the line box. In vertical text, 'left' aligns to the edge of the line box that would be the start edge for left-to-right text."},{name:"right",description:"The inline contents are aligned to the right edge of the line box. In vertical text, 'right' aligns to the edge of the line box that would be the end edge for left-to-right text."}],relevance:50,description:"Describes how the last line of a block or a line right before a forced line break is aligned when 'text-align' is set to 'justify'.",restrictions:["enum"]},{name:"-ms-text-autospace",browsers:["E","IE8"],values:[{name:"ideograph-alpha",description:"Creates 1/4em extra spacing between runs of ideographic letters and non-ideographic letters, such as Latin-based, Cyrillic, Greek, Arabic or Hebrew."},{name:"ideograph-numeric",description:"Creates 1/4em extra spacing between runs of ideographic letters and numeric glyphs."},{name:"ideograph-parenthesis",description:"Creates extra spacing between normal (non wide) parenthesis and ideographs."},{name:"ideograph-space",description:"Extends the width of the space character while surrounded by ideographs."},{name:"none",description:"No extra space is created."},{name:"punctuation",description:"Creates extra non-breaking spacing around punctuation as required by language-specific typographic conventions."}],status:"nonstandard",syntax:"none | ideograph-alpha | ideograph-numeric | ideograph-parenthesis | ideograph-space",relevance:0,description:"Determines whether or not a full-width punctuation mark character should be trimmed if it appears at the beginning of a line, so that its 'ink' lines up with the first glyph in the line above and below.",restrictions:["enum"]},{name:"-ms-text-combine-horizontal",browsers:["E","IE11"],values:[{name:"all",description:"Attempt to typeset horizontally all consecutive characters within the box such that they take up the space of a single character within the vertical line box."},{name:"digits",description:"Attempt to typeset horizontally each maximal sequence of consecutive ASCII digits (U+0030-U+0039) that has as many or fewer characters than the specified integer such that it takes up the space of a single character within the vertical line box."},{name:"none",description:"No special processing."}],relevance:50,description:"This property specifies the combination of multiple characters into the space of a single character.",restrictions:["enum","integer"]},{name:"-ms-text-justify",browsers:["E","IE8"],values:[{name:"auto",description:"The UA determines the justification algorithm to follow, based on a balance between performance and adequate presentation quality."},{name:"distribute",description:"Justification primarily changes spacing both at word separators and at grapheme cluster boundaries in all scripts except those in the connected and cursive groups. This value is sometimes used in e.g. Japanese, often with the 'text-align-last' property."},{name:"inter-cluster",description:"Justification primarily changes spacing at word separators and at grapheme cluster boundaries in clustered scripts. This value is typically used for Southeast Asian scripts such as Thai."},{name:"inter-ideograph",description:"Justification primarily changes spacing at word separators and at inter-graphemic boundaries in scripts that use no word spaces. This value is typically used for CJK languages."},{name:"inter-word",description:"Justification primarily changes spacing at word separators. This value is typically used for languages that separate words using spaces, like English or (sometimes) Korean."},{name:"kashida",description:"Justification primarily stretches Arabic and related scripts through the use of kashida or other calligraphic elongation."}],relevance:50,description:"Selects the justification algorithm used when 'text-align' is set to 'justify'. The property applies to block containers, but the UA may (but is not required to) also support it on inline elements.",restrictions:["enum"]},{name:"-ms-text-kashida-space",browsers:["E","IE10"],relevance:50,description:"Sets or retrieves the ratio of kashida expansion to white space expansion when justifying lines of text in the object.",restrictions:["percentage"]},{name:"-ms-text-overflow",browsers:["IE10"],values:[{name:"clip",description:"Clip inline content that overflows. Characters may be only partially rendered."},{name:"ellipsis",description:"Render an ellipsis character (U+2026) to represent clipped inline content."}],relevance:50,description:"Text can overflow for example when it is prevented from wrapping",restrictions:["enum"]},{name:"-ms-text-size-adjust",browsers:["E","IE10"],values:[{name:"auto",description:"Renderers must use the default size adjustment when displaying on a small device."},{name:"none",description:"Renderers must not do size adjustment when displaying on a small device."}],relevance:50,description:"Specifies a size adjustment for displaying text content in mobile browsers.",restrictions:["enum","percentage"]},{name:"-ms-text-underline-position",browsers:["E","IE10"],values:[{name:"alphabetic",description:"The underline is aligned with the alphabetic baseline. In this case the underline is likely to cross some descenders."},{name:"auto",description:"The user agent may use any algorithm to determine the underline's position. In horizontal line layout, the underline should be aligned as for alphabetic. In vertical line layout, if the language is set to Japanese or Korean, the underline should be aligned as for over."},{name:"over",description:"The underline is aligned with the 'top' (right in vertical writing) edge of the element's em-box. In this mode, an overline also switches sides."},{name:"under",description:"The underline is aligned with the 'bottom' (left in vertical writing) edge of the element's em-box. In this case the underline usually does not cross the descenders. This is sometimes called 'accounting' underline."}],relevance:50,description:"Sets the position of an underline specified on the same element: it does not affect underlines specified by ancestor elements.This property is typically used in vertical writing contexts such as in Japanese documents where it often desired to have the underline appear 'over' (to the right of) the affected run of text",restrictions:["enum"]},{name:"-ms-touch-action",browsers:["IE10"],values:[{name:"auto",description:"The element is a passive element, with several exceptions."},{name:"double-tap-zoom",description:"The element will zoom on double-tap."},{name:"manipulation",description:"The element is a manipulation-causing element."},{name:"none",description:"The element is a manipulation-blocking element."},{name:"pan-x",description:"The element permits touch-driven panning on the horizontal axis. The touch pan is performed on the nearest ancestor with horizontally scrollable content."},{name:"pan-y",description:"The element permits touch-driven panning on the vertical axis. The touch pan is performed on the nearest ancestor with vertically scrollable content."},{name:"pinch-zoom",description:"The element permits pinch-zooming. The pinch-zoom is performed on the nearest ancestor with zoomable content."}],relevance:50,description:"Gets or sets a value that indicates whether and how a given region can be manipulated by the user.",restrictions:["enum"]},{name:"-ms-touch-select",browsers:["E","IE10"],values:[{name:"grippers",description:"Grippers are always on."},{name:"none",description:"Grippers are always off."}],status:"nonstandard",syntax:"grippers | none",relevance:0,description:"Gets or sets a value that toggles the 'gripper' visual elements that enable touch text selection.",restrictions:["enum"]},{name:"-ms-transform",browsers:["IE9-9"],values:[{name:"matrix()",description:"Specifies a 2D transformation in the form of a transformation matrix of six values. matrix(a,b,c,d,e,f) is equivalent to applying the transformation matrix [a b c d e f]"},{name:"matrix3d()",description:"Specifies a 3D transformation as a 4x4 homogeneous matrix of 16 values in column-major order."},{name:"none"},{name:"rotate()",description:"Specifies a 2D rotation by the angle specified in the parameter about the origin of the element, as defined by the transform-origin property."},{name:"rotate3d()",description:"Specifies a clockwise 3D rotation by the angle specified in last parameter about the [x,y,z] direction vector described by the first 3 parameters."},{name:"rotateX('angle')",description:"Specifies a clockwise rotation by the given angle about the X axis."},{name:"rotateY('angle')",description:"Specifies a clockwise rotation by the given angle about the Y axis."},{name:"rotateZ('angle')",description:"Specifies a clockwise rotation by the given angle about the Z axis."},{name:"scale()",description:"Specifies a 2D scale operation by the [sx,sy] scaling vector described by the 2 parameters. If the second parameter is not provided, it is takes a value equal to the first."},{name:"scale3d()",description:"Specifies a 3D scale operation by the [sx,sy,sz] scaling vector described by the 3 parameters."},{name:"scaleX()",description:"Specifies a scale operation using the [sx,1] scaling vector, where sx is given as the parameter."},{name:"scaleY()",description:"Specifies a scale operation using the [sy,1] scaling vector, where sy is given as the parameter."},{name:"scaleZ()",description:"Specifies a scale operation using the [1,1,sz] scaling vector, where sz is given as the parameter."},{name:"skew()",description:"Specifies a skew transformation along the X and Y axes. The first angle parameter specifies the skew on the X axis. The second angle parameter specifies the skew on the Y axis. If the second parameter is not given then a value of 0 is used for the Y angle (ie: no skew on the Y axis)."},{name:"skewX()",description:"Specifies a skew transformation along the X axis by the given angle."},{name:"skewY()",description:"Specifies a skew transformation along the Y axis by the given angle."},{name:"translate()",description:"Specifies a 2D translation by the vector [tx, ty], where tx is the first translation-value parameter and ty is the optional second translation-value parameter."},{name:"translate3d()",description:"Specifies a 3D translation by the vector [tx,ty,tz], with tx, ty and tz being the first, second and third translation-value parameters respectively."},{name:"translateX()",description:"Specifies a translation by the given amount in the X direction."},{name:"translateY()",description:"Specifies a translation by the given amount in the Y direction."},{name:"translateZ()",description:"Specifies a translation by the given amount in the Z direction. Note that percentage values are not allowed in the translateZ translation-value, and if present are evaluated as 0."}],relevance:50,description:"A two-dimensional transformation is applied to an element through the 'transform' property. This property contains a list of transform functions similar to those allowed by SVG.",restrictions:["enum"]},{name:"-ms-transform-origin",browsers:["IE9-9"],relevance:50,description:"Establishes the origin of transformation for an element.",restrictions:["position","length","percentage"]},{name:"-ms-transform-origin-x",browsers:["IE10"],relevance:50,description:"The x coordinate of the origin for transforms applied to an element with respect to its border box.",restrictions:["length","percentage"]},{name:"-ms-transform-origin-y",browsers:["IE10"],relevance:50,description:"The y coordinate of the origin for transforms applied to an element with respect to its border box.",restrictions:["length","percentage"]},{name:"-ms-transform-origin-z",browsers:["IE10"],relevance:50,description:"The z coordinate of the origin for transforms applied to an element with respect to its border box.",restrictions:["length","percentage"]},{name:"-ms-user-select",browsers:["E","IE10"],values:[{name:"element"},{name:"none"},{name:"text"}],status:"nonstandard",syntax:"none | element | text",relevance:0,description:"Controls the appearance of selection.",restrictions:["enum"]},{name:"-ms-word-break",browsers:["IE8"],values:[{name:"break-all",description:"Lines may break between any two grapheme clusters for non-CJK scripts."},{name:"keep-all",description:"Block characters can no longer create implied break points."},{name:"normal",description:"Breaks non-CJK scripts according to their own rules."}],relevance:50,description:"Specifies line break opportunities for non-CJK scripts.",restrictions:["enum"]},{name:"-ms-word-wrap",browsers:["IE8"],values:[{name:"break-word",description:"An unbreakable 'word' may be broken at an arbitrary point if there are no otherwise-acceptable break points in the line."},{name:"normal",description:"Lines may break only at allowed break points."}],relevance:50,description:"Specifies whether the UA may break within a word to prevent overflow when an otherwise-unbreakable string is too long to fit.",restrictions:["enum"]},{name:"-ms-wrap-flow",browsers:["E","IE10"],values:[{name:"auto",description:"For floats an exclusion is created, for all other elements an exclusion is not created."},{name:"both",description:"Inline flow content can flow on all sides of the exclusion."},{name:"clear",description:"Inline flow content can only wrap on top and bottom of the exclusion and must leave the areas to the start and end edges of the exclusion box empty."},{name:"end",description:"Inline flow content can wrap on the end side of the exclusion area but must leave the area to the start edge of the exclusion area empty."},{name:"maximum",description:"Inline flow content can wrap on the side of the exclusion with the largest available space for the given line, and must leave the other side of the exclusion empty."},{name:"minimum",description:"Inline flow content can flow around the edge of the exclusion with the smallest available space within the flow content's containing block, and must leave the other edge of the exclusion empty."},{name:"start",description:"Inline flow content can wrap on the start edge of the exclusion area but must leave the area to end edge of the exclusion area empty."}],status:"nonstandard",syntax:"auto | both | start | end | maximum | clear",relevance:0,description:"An element becomes an exclusion when its 'wrap-flow' property has a computed value other than 'auto'.",restrictions:["enum"]},{name:"-ms-wrap-margin",browsers:["E","IE10"],status:"nonstandard",syntax:"<length>",relevance:0,description:"Gets or sets a value that is used to offset the inner wrap shape from other shapes.",restrictions:["length","percentage"]},{name:"-ms-wrap-through",browsers:["E","IE10"],values:[{name:"none",description:"The exclusion element does not inherit its parent node's wrapping context. Its descendants are only subject to exclusion shapes defined inside the element."},{name:"wrap",description:"The exclusion element inherits its parent node's wrapping context. Its descendant inline content wraps around exclusions defined outside the element."}],status:"nonstandard",syntax:"wrap | none",relevance:0,description:"Specifies if an element inherits its parent wrapping context. In other words if it is subject to the exclusions defined outside the element.",restrictions:["enum"]},{name:"-ms-writing-mode",browsers:["IE8"],values:[{name:"bt-lr"},{name:"bt-rl"},{name:"lr-bt"},{name:"lr-tb"},{name:"rl-bt"},{name:"rl-tb"},{name:"tb-lr"},{name:"tb-rl"}],relevance:50,description:"Shorthand property for both 'direction' and 'block-progression'.",restrictions:["enum"]},{name:"-ms-zoom",browsers:["IE8"],values:[{name:"normal"}],relevance:50,description:"Sets or retrieves the magnification scale of the object.",restrictions:["enum","integer","number","percentage"]},{name:"-ms-zoom-animation",browsers:["IE10"],values:[{name:"default"},{name:"none"}],relevance:50,description:"Gets or sets a value that indicates whether an animation is used when zooming.",restrictions:["enum"]},{name:"nav-down",browsers:["O9.5"],values:[{name:"auto",description:"The user agent automatically determines which element to navigate the focus to in response to directional navigational input."},{name:"current",description:"Indicates that the user agent should target the frame that the element is in."},{name:"root",description:"Indicates that the user agent should target the full window."}],relevance:50,description:"Provides an way to control directional focus navigation.",restrictions:["enum","identifier","string"]},{name:"nav-index",browsers:["O9.5"],values:[{name:"auto",description:"The element's sequential navigation order is assigned automatically by the user agent."}],relevance:50,description:"Provides an input-method-neutral way of specifying the sequential navigation order (also known as 'tabbing order').",restrictions:["number"]},{name:"nav-left",browsers:["O9.5"],values:[{name:"auto",description:"The user agent automatically determines which element to navigate the focus to in response to directional navigational input."},{name:"current",description:"Indicates that the user agent should target the frame that the element is in."},{name:"root",description:"Indicates that the user agent should target the full window."}],relevance:50,description:"Provides an way to control directional focus navigation.",restrictions:["enum","identifier","string"]},{name:"nav-right",browsers:["O9.5"],values:[{name:"auto",description:"The user agent automatically determines which element to navigate the focus to in response to directional navigational input."},{name:"current",description:"Indicates that the user agent should target the frame that the element is in."},{name:"root",description:"Indicates that the user agent should target the full window."}],relevance:50,description:"Provides an way to control directional focus navigation.",restrictions:["enum","identifier","string"]},{name:"nav-up",browsers:["O9.5"],values:[{name:"auto",description:"The user agent automatically determines which element to navigate the focus to in response to directional navigational input."},{name:"current",description:"Indicates that the user agent should target the frame that the element is in."},{name:"root",description:"Indicates that the user agent should target the full window."}],relevance:50,description:"Provides an way to control directional focus navigation.",restrictions:["enum","identifier","string"]},{name:"negative",browsers:["FF33"],atRule:"@counter-style",syntax:"<symbol> <symbol>?",relevance:50,description:"@counter-style descriptor. Defines how to alter the representation when the counter value is negative.",restrictions:["image","identifier","string"]},{name:"-o-animation",browsers:["O12"],values:[{name:"alternate",description:"The animation cycle iterations that are odd counts are played in the normal direction, and the animation cycle iterations that are even counts are played in a reverse direction."},{name:"alternate-reverse",description:"The animation cycle iterations that are odd counts are played in the reverse direction, and the animation cycle iterations that are even counts are played in a normal direction."},{name:"backwards",description:"The beginning property value (as defined in the first @keyframes at-rule) is applied before the animation is displayed, during the period defined by 'animation-delay'."},{name:"both",description:"Both forwards and backwards fill modes are applied."},{name:"forwards",description:"The final property value (as defined in the last @keyframes at-rule) is maintained after the animation completes."},{name:"infinite",description:"Causes the animation to repeat forever."},{name:"none",description:"No animation is performed"},{name:"normal",description:"Normal playback."},{name:"reverse",description:"All iterations of the animation are played in the reverse direction from the way they were specified."}],relevance:50,description:"Shorthand property combines six of the animation properties into a single property.",restrictions:["time","enum","timing-function","identifier","number"]},{name:"-o-animation-delay",browsers:["O12"],relevance:50,description:"Defines when the animation will start.",restrictions:["time"]},{name:"-o-animation-direction",browsers:["O12"],values:[{name:"alternate",description:"The animation cycle iterations that are odd counts are played in the normal direction, and the animation cycle iterations that are even counts are played in a reverse direction."},{name:"alternate-reverse",description:"The animation cycle iterations that are odd counts are played in the reverse direction, and the animation cycle iterations that are even counts are played in a normal direction."},{name:"normal",description:"Normal playback."},{name:"reverse",description:"All iterations of the animation are played in the reverse direction from the way they were specified."}],relevance:50,description:"Defines whether or not the animation should play in reverse on alternate cycles.",restrictions:["enum"]},{name:"-o-animation-duration",browsers:["O12"],relevance:50,description:"Defines the length of time that an animation takes to complete one cycle.",restrictions:["time"]},{name:"-o-animation-fill-mode",browsers:["O12"],values:[{name:"backwards",description:"The beginning property value (as defined in the first @keyframes at-rule) is applied before the animation is displayed, during the period defined by 'animation-delay'."},{name:"both",description:"Both forwards and backwards fill modes are applied."},{name:"forwards",description:"The final property value (as defined in the last @keyframes at-rule) is maintained after the animation completes."},{name:"none",description:"There is no change to the property value between the time the animation is applied and the time the animation begins playing or after the animation completes."}],relevance:50,description:"Defines what values are applied by the animation outside the time it is executing.",restrictions:["enum"]},{name:"-o-animation-iteration-count",browsers:["O12"],values:[{name:"infinite",description:"Causes the animation to repeat forever."}],relevance:50,description:"Defines the number of times an animation cycle is played. The default value is one, meaning the animation will play from beginning to end once.",restrictions:["number","enum"]},{name:"-o-animation-name",browsers:["O12"],values:[{name:"none",description:"No animation is performed"}],relevance:50,description:"Defines a list of animations that apply. Each name is used to select the keyframe at-rule that provides the property values for the animation.",restrictions:["identifier","enum"]},{name:"-o-animation-play-state",browsers:["O12"],values:[{name:"paused",description:"A running animation will be paused."},{name:"running",description:"Resume playback of a paused animation."}],relevance:50,description:"Defines whether the animation is running or paused.",restrictions:["enum"]},{name:"-o-animation-timing-function",browsers:["O12"],relevance:50,description:"Describes how the animation will progress over one cycle of its duration. See the 'transition-timing-function'.",restrictions:["timing-function"]},{name:"object-fit",browsers:["E79","FF36","S10","C32","O19"],values:[{name:"contain",description:"The replaced content is sized to maintain its aspect ratio while fitting within the element's content box: its concrete object size is resolved as a contain constraint against the element's used width and height."},{name:"cover",description:"The replaced content is sized to maintain its aspect ratio while filling the element's entire content box: its concrete object size is resolved as a cover constraint against the element's used width and height."},{name:"fill",description:"The replaced content is sized to fill the element's content box: the object's concrete object size is the element's used width and height."},{name:"none",description:"The replaced content is not resized to fit inside the element's content box"},{name:"scale-down",description:"Size the content as if 'none' or 'contain' were specified, whichever would result in a smaller concrete object size."}],syntax:"fill | contain | cover | none | scale-down",relevance:72,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/object-fit"}],description:"Specifies how the contents of a replaced element should be scaled relative to the box established by its used height and width.",restrictions:["enum"]},{name:"object-position",browsers:["E79","FF36","S10","C32","O19"],syntax:"<position>",relevance:57,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/object-position"}],description:"Determines the alignment of the replaced element inside its box.",restrictions:["position","length","percentage"]},{name:"-o-border-image",browsers:["O11.6"],values:[{name:"auto",description:"If 'auto' is specified then the border image width is the intrinsic width or height (whichever is applicable) of the corresponding image slice. If the image does not have the required intrinsic dimension then the corresponding border-width is used instead."},{name:"fill",description:"Causes the middle part of the border-image to be preserved."},{name:"none"},{name:"repeat",description:"The image is tiled (repeated) to fill the area."},{name:"round",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the image is rescaled so that it does."},{name:"space",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the extra space is distributed around the tiles."},{name:"stretch",description:"The image is stretched to fill the area."}],relevance:50,description:"Shorthand property for setting 'border-image-source', 'border-image-slice', 'border-image-width', 'border-image-outset' and 'border-image-repeat'. Omitted values are set to their initial values.",restrictions:["length","percentage","number","image","enum"]},{name:"-o-object-fit",browsers:["O10.6"],values:[{name:"contain",description:"The replaced content is sized to maintain its aspect ratio while fitting within the element's content box: its concrete object size is resolved as a contain constraint against the element's used width and height."},{name:"cover",description:"The replaced content is sized to maintain its aspect ratio while filling the element's entire content box: its concrete object size is resolved as a cover constraint against the element's used width and height."},{name:"fill",description:"The replaced content is sized to fill the element's content box: the object's concrete object size is the element's used width and height."},{name:"none",description:"The replaced content is not resized to fit inside the element's content box"},{name:"scale-down",description:"Size the content as if 'none' or 'contain' were specified, whichever would result in a smaller concrete object size."}],relevance:50,description:"Specifies how the contents of a replaced element should be scaled relative to the box established by its used height and width.",restrictions:["enum"]},{name:"-o-object-position",browsers:["O10.6"],relevance:50,description:"Determines the alignment of the replaced element inside its box.",restrictions:["position","length","percentage"]},{name:"opacity",browsers:["E12","FF1","S2","C1","IE9","O9"],syntax:"<alpha-value>",relevance:92,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/opacity"}],description:"Opacity of an element's text, where 1 is opaque and 0 is entirely transparent.",restrictions:["number(0-1)"]},{name:"order",browsers:["E12","FF20","S9","C29","IE11","O12.1"],syntax:"<integer>",relevance:67,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/order"}],description:"Controls the order in which children of a flex container appear within the flex container, by assigning them to ordinal groups.",restrictions:["integer"]},{name:"orphans",browsers:["E12","S1.3","C25","IE8","O9.2"],syntax:"<integer>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/orphans"}],description:"Specifies the minimum number of line boxes in a block container that must be left in a fragment before a fragmentation break.",restrictions:["integer"]},{name:"-o-table-baseline",browsers:["O9.6"],relevance:50,description:"Determines which row of a inline-table should be used as baseline of inline-table.",restrictions:["integer"]},{name:"-o-tab-size",browsers:["O10.6"],relevance:50,description:"This property determines the width of the tab character (U+0009), in space characters (U+0020), when rendered.",restrictions:["integer","length"]},{name:"-o-text-overflow",browsers:["O10"],values:[{name:"clip",description:"Clip inline content that overflows. Characters may be only partially rendered."},{name:"ellipsis",description:"Render an ellipsis character (U+2026) to represent clipped inline content."}],relevance:50,description:"Text can overflow for example when it is prevented from wrapping",restrictions:["enum"]},{name:"-o-transform",browsers:["O10.5"],values:[{name:"matrix()",description:"Specifies a 2D transformation in the form of a transformation matrix of six values. matrix(a,b,c,d,e,f) is equivalent to applying the transformation matrix [a b c d e f]"},{name:"matrix3d()",description:"Specifies a 3D transformation as a 4x4 homogeneous matrix of 16 values in column-major order."},{name:"none"},{name:"rotate()",description:"Specifies a 2D rotation by the angle specified in the parameter about the origin of the element, as defined by the transform-origin property."},{name:"rotate3d()",description:"Specifies a clockwise 3D rotation by the angle specified in last parameter about the [x,y,z] direction vector described by the first 3 parameters."},{name:"rotateX('angle')",description:"Specifies a clockwise rotation by the given angle about the X axis."},{name:"rotateY('angle')",description:"Specifies a clockwise rotation by the given angle about the Y axis."},{name:"rotateZ('angle')",description:"Specifies a clockwise rotation by the given angle about the Z axis."},{name:"scale()",description:"Specifies a 2D scale operation by the [sx,sy] scaling vector described by the 2 parameters. If the second parameter is not provided, it is takes a value equal to the first."},{name:"scale3d()",description:"Specifies a 3D scale operation by the [sx,sy,sz] scaling vector described by the 3 parameters."},{name:"scaleX()",description:"Specifies a scale operation using the [sx,1] scaling vector, where sx is given as the parameter."},{name:"scaleY()",description:"Specifies a scale operation using the [sy,1] scaling vector, where sy is given as the parameter."},{name:"scaleZ()",description:"Specifies a scale operation using the [1,1,sz] scaling vector, where sz is given as the parameter."},{name:"skew()",description:"Specifies a skew transformation along the X and Y axes. The first angle parameter specifies the skew on the X axis. The second angle parameter specifies the skew on the Y axis. If the second parameter is not given then a value of 0 is used for the Y angle (ie: no skew on the Y axis)."},{name:"skewX()",description:"Specifies a skew transformation along the X axis by the given angle."},{name:"skewY()",description:"Specifies a skew transformation along the Y axis by the given angle."},{name:"translate()",description:"Specifies a 2D translation by the vector [tx, ty], where tx is the first translation-value parameter and ty is the optional second translation-value parameter."},{name:"translate3d()",description:"Specifies a 3D translation by the vector [tx,ty,tz], with tx, ty and tz being the first, second and third translation-value parameters respectively."},{name:"translateX()",description:"Specifies a translation by the given amount in the X direction."},{name:"translateY()",description:"Specifies a translation by the given amount in the Y direction."},{name:"translateZ()",description:"Specifies a translation by the given amount in the Z direction. Note that percentage values are not allowed in the translateZ translation-value, and if present are evaluated as 0."}],relevance:50,description:"A two-dimensional transformation is applied to an element through the 'transform' property. This property contains a list of transform functions similar to those allowed by SVG.",restrictions:["enum"]},{name:"-o-transform-origin",browsers:["O10.5"],relevance:50,description:"Establishes the origin of transformation for an element.",restrictions:["positon","length","percentage"]},{name:"-o-transition",browsers:["O11.5"],values:[{name:"all",description:"Every property that is able to undergo a transition will do so."},{name:"none",description:"No property will transition."}],relevance:50,description:"Shorthand property combines four of the transition properties into a single property.",restrictions:["time","property","timing-function","enum"]},{name:"-o-transition-delay",browsers:["O11.5"],relevance:50,description:"Defines when the transition will start. It allows a transition to begin execution some period of time from when it is applied.",restrictions:["time"]},{name:"-o-transition-duration",browsers:["O11.5"],relevance:50,description:"Specifies how long the transition from the old value to the new value should take.",restrictions:["time"]},{name:"-o-transition-property",browsers:["O11.5"],values:[{name:"all",description:"Every property that is able to undergo a transition will do so."},{name:"none",description:"No property will transition."}],relevance:50,description:"Specifies the name of the CSS property to which the transition is applied.",restrictions:["property"]},{name:"-o-transition-timing-function",browsers:["O11.5"],relevance:50,description:"Describes how the intermediate values used during a transition will be calculated.",restrictions:["timing-function"]},{name:"offset-block-end",browsers:["FF41"],values:[{name:"auto",description:"For non-replaced elements, the effect of this value depends on which of related properties have the value 'auto' as well."}],relevance:50,description:"Logical 'bottom'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"offset-block-start",browsers:["FF41"],values:[{name:"auto",description:"For non-replaced elements, the effect of this value depends on which of related properties have the value 'auto' as well."}],relevance:50,description:"Logical 'top'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"offset-inline-end",browsers:["FF41"],values:[{name:"auto",description:"For non-replaced elements, the effect of this value depends on which of related properties have the value 'auto' as well."}],relevance:50,description:"Logical 'right'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"offset-inline-start",browsers:["FF41"],values:[{name:"auto",description:"For non-replaced elements, the effect of this value depends on which of related properties have the value 'auto' as well."}],relevance:50,description:"Logical 'left'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"outline",browsers:["E94","FF88","S16.4","C94","IE8","O80"],values:[{name:"auto",description:"Permits the user agent to render a custom outline style, typically the default platform style."},{name:"invert",browsers:["E94","FF88","S16.4","C94","IE8","O80"],description:"Performs a color inversion on the pixels on the screen."}],syntax:"[ <'outline-color'> || <'outline-style'> || <'outline-width'> ]",relevance:88,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/outline"}],description:"Shorthand property for 'outline-style', 'outline-width', and 'outline-color'.",restrictions:["length","line-width","line-style","color","enum"]},{name:"outline-color",browsers:["E12","FF1.5","S1.2","C1","IE8","O7"],values:[{name:"invert",browsers:["E12","FF1.5","S1.2","C1","IE8","O7"],description:"Performs a color inversion on the pixels on the screen."}],syntax:"auto | <color>",relevance:61,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/outline-color"}],description:"The color of the outline.",restrictions:["enum","color"]},{name:"outline-offset",browsers:["E15","FF1.5","S1.2","C1","O9.5"],syntax:"<length>",relevance:69,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/outline-offset"}],description:"Offset the outline and draw it beyond the border edge.",restrictions:["length"]},{name:"outline-style",browsers:["E12","FF1.5","S1.2","C1","IE8","O7"],values:[{name:"auto",description:"Permits the user agent to render a custom outline style, typically the default platform style."}],syntax:"auto | <'border-style'>",relevance:61,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/outline-style"}],description:"Style of the outline.",restrictions:["line-style","enum"]},{name:"outline-width",browsers:["E12","FF1.5","S1.2","C1","IE8","O7"],syntax:"<line-width>",relevance:62,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/outline-width"}],description:"Width of the outline.",restrictions:["length","line-width"]},{name:"overflow",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"auto",description:"The behavior of the 'auto' value is UA-dependent, but should cause a scrolling mechanism to be provided for overflowing boxes."},{name:"hidden",description:"Content is clipped and no scrolling mechanism should be provided to view the content outside the clipping region."},{name:"-moz-hidden-unscrollable",browsers:["E12","FF1","S1","C1","IE4","O7"],description:"Same as the standardized 'clip', except doesn't establish a block formatting context."},{name:"scroll",description:"Content is clipped and if the user agent uses a scrolling mechanism that is visible on the screen (such as a scroll bar or a panner), that mechanism should be displayed for a box whether or not any of its content is clipped."},{name:"visible",description:"Content is not clipped, i.e., it may be rendered outside the content box."}],syntax:"[ visible | hidden | clip | scroll | auto ]{1,2}",relevance:93,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overflow"}],description:"Shorthand for setting 'overflow-x' and 'overflow-y'.",restrictions:["enum"]},{name:"overflow-wrap",browsers:["E18","FF49","S7","C23","IE5.5","O12.1"],values:[{name:"break-word",description:"An otherwise unbreakable sequence of characters may be broken at an arbitrary point if there are no otherwise-acceptable break points in the line."},{name:"normal",description:"Lines may break only at allowed break points."}],syntax:"normal | break-word | anywhere",relevance:65,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overflow-wrap"}],description:"Specifies whether the UA may break within a word to prevent overflow when an otherwise-unbreakable string is too long to fit within the line box.",restrictions:["enum"]},{name:"overflow-x",browsers:["E12","FF3.5","S3","C1","IE5","O9.5"],values:[{name:"auto",description:"The behavior of the 'auto' value is UA-dependent, but should cause a scrolling mechanism to be provided for overflowing boxes."},{name:"hidden",description:"Content is clipped and no scrolling mechanism should be provided to view the content outside the clipping region."},{name:"scroll",description:"Content is clipped and if the user agent uses a scrolling mechanism that is visible on the screen (such as a scroll bar or a panner), that mechanism should be displayed for a box whether or not any of its content is clipped."},{name:"visible",description:"Content is not clipped, i.e., it may be rendered outside the content box."}],syntax:"visible | hidden | clip | scroll | auto",relevance:81,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overflow-x"}],description:"Specifies the handling of overflow in the horizontal direction.",restrictions:["enum"]},{name:"overflow-y",browsers:["E12","FF3.5","S3","C1","IE5","O9.5"],values:[{name:"auto",description:"The behavior of the 'auto' value is UA-dependent, but should cause a scrolling mechanism to be provided for overflowing boxes."},{name:"hidden",description:"Content is clipped and no scrolling mechanism should be provided to view the content outside the clipping region."},{name:"scroll",description:"Content is clipped and if the user agent uses a scrolling mechanism that is visible on the screen (such as a scroll bar or a panner), that mechanism should be displayed for a box whether or not any of its content is clipped."},{name:"visible",description:"Content is not clipped, i.e., it may be rendered outside the content box."}],syntax:"visible | hidden | clip | scroll | auto",relevance:82,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overflow-y"}],description:"Specifies the handling of overflow in the vertical direction.",restrictions:["enum"]},{name:"pad",browsers:["FF33"],atRule:"@counter-style",syntax:"<integer> && <symbol>",relevance:50,description:'@counter-style descriptor. Specifies a "fixed-width" counter style, where representations shorter than the pad value are padded with a particular <symbol>',restrictions:["integer","image","string","identifier"]},{name:"padding",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[],syntax:"[ <length> | <percentage> ]{1,4}",relevance:95,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding"}],description:"Shorthand property to set values for the thickness of the padding area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. The value may not be negative.",restrictions:["length","percentage"]},{name:"padding-bottom",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<length> | <percentage>",relevance:88,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-bottom"}],description:"Shorthand property to set values for the thickness of the padding area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. The value may not be negative.",restrictions:["length","percentage"]},{name:"padding-block-end",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'padding-left'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-block-end"}],description:"Logical 'padding-bottom'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"padding-block-start",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'padding-left'>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-block-start"}],description:"Logical 'padding-top'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"padding-inline-end",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'padding-left'>",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-inline-end"}],description:"Logical 'padding-right'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"padding-inline-start",browsers:["E79","FF41","S12.1","C69","O56"],syntax:"<'padding-left'>",relevance:56,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-inline-start"}],description:"Logical 'padding-left'. Mapping depends on the parent element's 'writing-mode', 'direction', and 'text-orientation'.",restrictions:["length","percentage"]},{name:"padding-left",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<length> | <percentage>",relevance:89,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-left"}],description:"Shorthand property to set values for the thickness of the padding area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. The value may not be negative.",restrictions:["length","percentage"]},{name:"padding-right",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<length> | <percentage>",relevance:88,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-right"}],description:"Shorthand property to set values for the thickness of the padding area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. The value may not be negative.",restrictions:["length","percentage"]},{name:"padding-top",browsers:["E12","FF1","S1","C1","IE4","O3.5"],syntax:"<length> | <percentage>",relevance:89,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-top"}],description:"Shorthand property to set values for the thickness of the padding area. If left is omitted, it is the same as right. If bottom is omitted it is the same as top, if right is omitted it is the same as top. The value may not be negative.",restrictions:["length","percentage"]},{name:"page-break-after",browsers:["E12","FF1","S1.2","C1","IE4","O7"],values:[{name:"always",description:"Always force a page break after the generated box."},{name:"auto",description:"Neither force nor forbid a page break after generated box."},{name:"avoid",description:"Avoid a page break after the generated box."},{name:"left",description:"Force one or two page breaks after the generated box so that the next page is formatted as a left page."},{name:"right",description:"Force one or two page breaks after the generated box so that the next page is formatted as a right page."}],syntax:"auto | always | avoid | left | right | recto | verso",relevance:52,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/page-break-after"}],description:"Defines rules for page breaks after an element.",restrictions:["enum"]},{name:"page-break-before",browsers:["E12","FF1","S1.2","C1","IE4","O7"],values:[{name:"always",description:"Always force a page break before the generated box."},{name:"auto",description:"Neither force nor forbid a page break before the generated box."},{name:"avoid",description:"Avoid a page break before the generated box."},{name:"left",description:"Force one or two page breaks before the generated box so that the next page is formatted as a left page."},{name:"right",description:"Force one or two page breaks before the generated box so that the next page is formatted as a right page."}],syntax:"auto | always | avoid | left | right | recto | verso",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/page-break-before"}],description:"Defines rules for page breaks before an element.",restrictions:["enum"]},{name:"page-break-inside",browsers:["E12","FF19","S1.3","C1","IE8","O7"],values:[{name:"auto",description:"Neither force nor forbid a page break inside the generated box."},{name:"avoid",description:"Avoid a page break inside the generated box."}],syntax:"auto | avoid",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/page-break-inside"}],description:"Defines rules for page breaks inside an element.",restrictions:["enum"]},{name:"paint-order",browsers:["E79","FF60","S11","C35","O22"],values:[{name:"fill"},{name:"markers"},{name:"normal",description:"The element is painted with the standard order of painting operations: the 'fill' is painted first, then its 'stroke' and finally its markers."},{name:"stroke"}],syntax:"normal | [ fill || stroke || markers ]",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/paint-order"}],description:"Controls the order that the three paint operations that shapes and text are rendered with: their fill, their stroke and any markers they might have.",restrictions:["enum"]},{name:"perspective",browsers:["E12","FF16","S9","C36","IE10","O23"],values:[{name:"none",description:"No perspective transform is applied."}],syntax:"none | <length>",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/perspective"}],description:"Applies the same transform as the perspective(<number>) transform function, except that it applies only to the positioned or transformed children of the element, not to the transform on the element itself.",restrictions:["length","enum"]},{name:"perspective-origin",browsers:["E12","FF16","S9","C36","IE10","O23"],syntax:"<position>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/perspective-origin"}],description:"Establishes the origin for the perspective property. It effectively sets the X and Y position at which the viewer appears to be looking at the children of the element.",restrictions:["position","percentage","length"]},{name:"pointer-events",browsers:["E12","FF1.5","S4","C1","IE11","O9"],values:[{name:"all",description:"The given element can be the target element for pointer events whenever the pointer is over either the interior or the perimeter of the element."},{name:"fill",description:"The given element can be the target element for pointer events whenever the pointer is over the interior of the element."},{name:"none",description:"The given element does not receive pointer events."},{name:"painted",description:'The given element can be the target element for pointer events when the pointer is over a "painted" area. '},{name:"stroke",description:"The given element can be the target element for pointer events whenever the pointer is over the perimeter of the element."},{name:"visible",description:"The given element can be the target element for pointer events when the 'visibility' property is set to visible and the pointer is over either the interior or the perimeter of the element."},{name:"visibleFill",description:"The given element can be the target element for pointer events when the 'visibility' property is set to visible and when the pointer is over the interior of the element."},{name:"visiblePainted",description:"The given element can be the target element for pointer events when the 'visibility' property is set to visible and when the pointer is over a 'painted' area."},{name:"visibleStroke",description:"The given element can be the target element for pointer events when the 'visibility' property is set to visible and when the pointer is over the perimeter of the element."}],syntax:"auto | none | visiblePainted | visibleFill | visibleStroke | visible | painted | fill | stroke | all | inherit",relevance:82,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/pointer-events"}],description:"Specifies under what circumstances a given element can be the target element for a pointer event.",restrictions:["enum"]},{name:"position",browsers:["E12","FF1","S1","C1","IE4","O4"],values:[{name:"absolute",description:"The box's position (and possibly size) is specified with the 'top', 'right', 'bottom', and 'left' properties. These properties specify offsets with respect to the box's 'containing block'."},{name:"fixed",description:"The box's position is calculated according to the 'absolute' model, but in addition, the box is fixed with respect to some reference. As with the 'absolute' model, the box's margins do not collapse with any other margins."},{name:"-ms-page",browsers:["E12","FF1","S1","C1","IE4","O4"],description:"The box's position is calculated according to the 'absolute' model."},{name:"relative",description:"The box's position is calculated according to the normal flow (this is called the position in normal flow). Then the box is offset relative to its normal position."},{name:"static",description:"The box is a normal box, laid out according to the normal flow. The 'top', 'right', 'bottom', and 'left' properties do not apply."},{name:"sticky",browsers:["E12","FF1","S1","C1","IE4","O4"],description:"The box's position is calculated according to the normal flow. Then the box is offset relative to its flow root and containing block and in all cases, including table elements, does not affect the position of any following boxes."},{name:"-webkit-sticky",browsers:["E12","FF1","S1","C1","IE4","O4"],description:"The box's position is calculated according to the normal flow. Then the box is offset relative to its flow root and containing block and in all cases, including table elements, does not affect the position of any following boxes."}],syntax:"static | relative | absolute | sticky | fixed",relevance:95,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/position"}],description:"The position CSS property sets how an element is positioned in a document. The top, right, bottom, and left properties determine the final location of positioned elements.",restrictions:["enum"]},{name:"prefix",browsers:["FF33"],atRule:"@counter-style",syntax:"<symbol>",relevance:50,description:"@counter-style descriptor. Specifies a <symbol> that is prepended to the marker representation.",restrictions:["image","string","identifier"]},{name:"quotes",browsers:["E12","FF1.5","S9","C11","IE8","O4"],values:[{name:"none",description:"The 'open-quote' and 'close-quote' values of the 'content' property produce no quotations marks, as if they were 'no-open-quote' and 'no-close-quote' respectively."}],syntax:"none | auto | [ <string> <string> ]+",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/quotes"}],description:"Specifies quotation marks for any number of embedded quotations.",restrictions:["string"]},{name:"range",browsers:["FF33"],values:[{name:"auto",description:"The range depends on the counter system."},{name:"infinite",description:"If used as the first value in a range, it represents negative infinity; if used as the second value, it represents positive infinity."}],atRule:"@counter-style",syntax:"[ [ <integer> | infinite ]{2} ]# | auto",relevance:50,description:"@counter-style descriptor. Defines the ranges over which the counter style is defined.",restrictions:["integer","enum"]},{name:"resize",browsers:["E79","FF4","S3","C1","O12.1"],values:[{name:"both",description:"The UA presents a bidirectional resizing mechanism to allow the user to adjust both the height and the width of the element."},{name:"horizontal",description:"The UA presents a unidirectional horizontal resizing mechanism to allow the user to adjust only the width of the element."},{name:"none",description:"The UA does not present a resizing mechanism on the element, and the user is given no direct manipulation mechanism to resize the element."},{name:"vertical",description:"The UA presents a unidirectional vertical resizing mechanism to allow the user to adjust only the height of the element."}],syntax:"none | both | horizontal | vertical | block | inline",relevance:66,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/resize"}],description:"Specifies whether or not an element is resizable by the user, and if so, along which axis/axes.",restrictions:["enum"]},{name:"right",browsers:["E12","FF1","S1","C1","IE5.5","O5"],values:[{name:"auto",description:"For non-replaced elements, the effect of this value depends on which of related properties have the value 'auto' as well"}],syntax:"<length> | <percentage> | auto",relevance:91,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/right"}],description:"Specifies how far an absolutely positioned box's right margin edge is offset to the left of the right edge of the box's 'containing block'.",restrictions:["length","percentage"]},{name:"ruby-align",browsers:["FF38","Spreview"],values:[{name:"auto",browsers:["FF38","Spreview"],description:"The user agent determines how the ruby contents are aligned. This is the initial value."},{name:"center",description:"The ruby content is centered within its box."},{name:"distribute-letter",browsers:["FF38","Spreview"],description:"If the width of the ruby text is smaller than that of the base, then the ruby text contents are evenly distributed across the width of the base, with the first and last ruby text glyphs lining up with the corresponding first and last base glyphs. If the width of the ruby text is at least the width of the base, then the letters of the base are evenly distributed across the width of the ruby text."},{name:"distribute-space",browsers:["FF38","Spreview"],description:"If the width of the ruby text is smaller than that of the base, then the ruby text contents are evenly distributed across the width of the base, with a certain amount of white space preceding the first and following the last character in the ruby text. That amount of white space is normally equal to half the amount of inter-character space of the ruby text."},{name:"left",description:"The ruby text content is aligned with the start edge of the base."},{name:"line-edge",browsers:["FF38","Spreview"],description:"If the ruby text is not adjacent to a line edge, it is aligned as in 'auto'. If it is adjacent to a line edge, then it is still aligned as in auto, but the side of the ruby text that touches the end of the line is lined up with the corresponding edge of the base."},{name:"right",browsers:["FF38","Spreview"],description:"The ruby text content is aligned with the end edge of the base."},{name:"start",browsers:["FF38","Spreview"],description:"The ruby text content is aligned with the start edge of the base."},{name:"space-between",browsers:["FF38","Spreview"],description:"The ruby content expands as defined for normal text justification (as defined by 'text-justify'),"},{name:"space-around",browsers:["FF38","Spreview"],description:"As for 'space-between' except that there exists an extra justification opportunities whose space is distributed half before and half after the ruby content."}],status:"experimental",syntax:"start | center | space-between | space-around",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/ruby-align"}],description:"Specifies how text is distributed within the various ruby boxes when their contents do not exactly fill their respective boxes.",restrictions:["enum"]},{name:"ruby-overhang",browsers:["FF10","IE5"],values:[{name:"auto",description:"The ruby text can overhang text adjacent to the base on either side. This is the initial value."},{name:"end",description:"The ruby text can overhang the text that follows it."},{name:"none",description:"The ruby text cannot overhang any text adjacent to its base, only its own base."},{name:"start",description:"The ruby text can overhang the text that precedes it."}],relevance:50,description:"Determines whether, and on which side, ruby text is allowed to partially overhang any adjacent text in addition to its own base, when the ruby text is wider than the ruby base.",restrictions:["enum"]},{name:"ruby-position",browsers:["E84","FF38","S7","C84","O70"],values:[{name:"after",description:"The ruby text appears after the base. This is a relatively rare setting used in ideographic East Asian writing systems, most easily found in educational text."},{name:"before",description:"The ruby text appears before the base. This is the most common setting used in ideographic East Asian writing systems."},{name:"inline"},{name:"right",description:"The ruby text appears on the right of the base. Unlike 'before' and 'after', this value is not relative to the text flow direction."}],syntax:"[ alternate || [ over | under ] ] | inter-character",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/ruby-position"}],description:"Used by the parent of elements with display: ruby-text to control the position of the ruby text with respect to its base.",restrictions:["enum"]},{name:"ruby-span",browsers:["FF10"],values:[{name:"attr(x)",description:"The value of attribute 'x' is a string value. The string value is evaluated as a <number> to determine the number of ruby base elements to be spanned by the annotation element."},{name:"none",description:"No spanning. The computed value is '1'."}],relevance:50,description:"Determines whether, and on which side, ruby text is allowed to partially overhang any adjacent text in addition to its own base, when the ruby text is wider than the ruby base.",restrictions:["enum"]},{name:"scrollbar-3dlight-color",browsers:["IE5"],relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-3dlight-color"}],description:"Determines the color of the top and left edges of the scroll box and scroll arrows of a scroll bar.",restrictions:["color"]},{name:"scrollbar-arrow-color",browsers:["IE5"],relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-arrow-color"}],description:"Determines the color of the arrow elements of a scroll arrow.",restrictions:["color"]},{name:"scrollbar-base-color",browsers:["IE5"],relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-base-color"}],description:"Determines the color of the main elements of a scroll bar, which include the scroll box, track, and scroll arrows.",restrictions:["color"]},{name:"scrollbar-darkshadow-color",browsers:["IE5"],relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-darkshadow-color"}],description:"Determines the color of the gutter of a scroll bar.",restrictions:["color"]},{name:"scrollbar-face-color",browsers:["IE5"],relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-face-color"}],description:"Determines the color of the scroll box and scroll arrows of a scroll bar.",restrictions:["color"]},{name:"scrollbar-highlight-color",browsers:["IE5"],relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-highlight-color"}],description:"Determines the color of the top and left edges of the scroll box and scroll arrows of a scroll bar.",restrictions:["color"]},{name:"scrollbar-shadow-color",browsers:["IE5"],relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-shadow-color"}],description:"Determines the color of the bottom and right edges of the scroll box and scroll arrows of a scroll bar.",restrictions:["color"]},{name:"scrollbar-track-color",browsers:["IE6"],relevance:50,description:"Determines the color of the track element of a scroll bar.",restrictions:["color"]},{name:"scroll-behavior",browsers:["E79","FF36","S15.4","C61","O48"],values:[{name:"auto",description:"Scrolls in an instant fashion."},{name:"smooth",description:"Scrolls in a smooth fashion using a user-agent-defined timing function and time period."}],syntax:"auto | smooth",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-behavior"}],description:"Specifies the scrolling behavior for a scrolling box, when scrolling happens due to navigation or CSSOM scrolling APIs.",restrictions:["enum"]},{name:"scroll-snap-coordinate",browsers:["FF39"],values:[{name:"none",description:"Specifies that this element does not contribute a snap point."}],status:"obsolete",syntax:"none | <position>#",relevance:0,description:"Defines the x and y coordinate within the element which will align with the nearest ancestor scroll container's snap-destination for the respective axis.",restrictions:["position","length","percentage","enum"]},{name:"scroll-snap-destination",browsers:["FF39"],status:"obsolete",syntax:"<position>",relevance:0,description:"Define the x and y coordinate within the scroll container's visual viewport which element snap points will align with.",restrictions:["position","length","percentage"]},{name:"scroll-snap-points-x",browsers:["FF39"],values:[{name:"none",description:"No snap points are defined by this scroll container."},{name:"repeat()",description:"Defines an interval at which snap points are defined, starting from the container's relevant start edge."}],status:"obsolete",syntax:"none | repeat( <length-percentage> )",relevance:0,description:"Defines the positioning of snap points along the x axis of the scroll container it is applied to.",restrictions:["enum"]},{name:"scroll-snap-points-y",browsers:["FF39"],values:[{name:"none",description:"No snap points are defined by this scroll container."},{name:"repeat()",description:"Defines an interval at which snap points are defined, starting from the container's relevant start edge."}],status:"obsolete",syntax:"none | repeat( <length-percentage> )",relevance:0,description:"Defines the positioning of snap points along the y axis of the scroll container it is applied to.",restrictions:["enum"]},{name:"scroll-snap-type",browsers:["E79","FF99","S11","C69","IE10","O56"],values:[{name:"none",description:"The visual viewport of this scroll container must ignore snap points, if any, when scrolled."},{name:"mandatory",description:"The visual viewport of this scroll container is guaranteed to rest on a snap point when there are no active scrolling operations."},{name:"proximity",description:"The visual viewport of this scroll container may come to rest on a snap point at the termination of a scroll at the discretion of the UA given the parameters of the scroll."}],syntax:"none | [ x | y | block | inline | both ] [ mandatory | proximity ]?",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-snap-type"}],description:"Defines how strictly snap points are enforced on the scroll container.",restrictions:["enum"]},{name:"shape-image-threshold",browsers:["E79","FF62","S10.1","C37","O24"],syntax:"<alpha-value>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/shape-image-threshold"}],description:"Defines the alpha channel threshold used to extract the shape using an image. A value of 0.5 means that the shape will enclose all the pixels that are more than 50% opaque.",restrictions:["number"]},{name:"shape-margin",browsers:["E79","FF62","S10.1","C37","O24"],syntax:"<length-percentage>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/shape-margin"}],description:"Adds a margin to a 'shape-outside'. This defines a new shape that is the smallest contour that includes all the points that are the 'shape-margin' distance outward in the perpendicular direction from a point on the underlying shape.",restrictions:["url","length","percentage"]},{name:"shape-outside",browsers:["E79","FF62","S10.1","C37","O24"],values:[{name:"margin-box",description:"The background is painted within (clipped to) the margin box."},{name:"none",description:"The float area is unaffected."}],syntax:"none | [ <shape-box> || <basic-shape> ] | <image>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/shape-outside"}],description:"Specifies an orthogonal rotation to be applied to an image before it is laid out.",restrictions:["image","box","shape","enum"]},{name:"shape-rendering",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"auto",description:"Suppresses aural rendering."},{name:"crispEdges",description:"Emphasize the contrast between clean edges of artwork over rendering speed and geometric precision."},{name:"geometricPrecision",description:"Emphasize geometric precision over speed and crisp edges."},{name:"optimizeSpeed",description:"Emphasize rendering speed over geometric precision and crisp edges."}],relevance:50,description:"Provides hints about what tradeoffs to make as it renders vector graphics elements such as <path> elements and basic shapes such as circles and rectangles.",restrictions:["enum"]},{name:"size",browsers:["C","O8"],atRule:"@page",syntax:"<length>{1,2} | auto | [ <page-size> || [ portrait | landscape ] ]",relevance:53,description:"The size CSS at-rule descriptor, used with the @page at-rule, defines the size and orientation of the box which is used to represent a page. Most of the time, this size corresponds to the target size of the printed page if applicable.",restrictions:["length"]},{name:"src",values:[{name:"url()",description:"Reference font by URL"},{name:"format()",description:"Optional hint describing the format of the font resource."},{name:"local()",description:"Format-specific string that identifies a locally available copy of a given font."}],atRule:"@font-face",syntax:"[ <url> [ format( <string># ) ]? | local( <family-name> ) ]#",relevance:86,description:"@font-face descriptor. Specifies the resource containing font data. It is required, whether the font is downloadable or locally installed.",restrictions:["enum","url","identifier"]},{name:"stop-color",browsers:["E80","FF72","S13.1","C80","O67"],relevance:50,description:"Indicates what color to use at that gradient stop.",restrictions:["color"]},{name:"stop-opacity",browsers:["E80","FF72","S13.1","C80","O67"],relevance:50,description:"Defines the opacity of a given gradient stop.",restrictions:["number(0-1)"]},{name:"stroke",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"url()",description:"A URL reference to a paint server element, which is an element that defines a paint server: 'hatch', 'linearGradient', 'mesh', 'pattern', 'radialGradient' and 'solidcolor'."},{name:"none",description:"No paint is applied in this layer."}],relevance:67,description:"Paints along the outline of the given graphical element.",restrictions:["color","enum","url"]},{name:"stroke-dasharray",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"none",description:"Indicates that no dashing is used."}],relevance:61,description:"Controls the pattern of dashes and gaps used to stroke paths.",restrictions:["length","percentage","number","enum"]},{name:"stroke-dashoffset",browsers:["E80","FF72","S13.1","C80","O67"],relevance:62,description:"Specifies the distance into the dash pattern to start the dash.",restrictions:["percentage","length"]},{name:"stroke-linecap",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"butt",description:"Indicates that the stroke for each subpath does not extend beyond its two endpoints."},{name:"round",description:"Indicates that at each end of each subpath, the shape representing the stroke will be extended by a half circle with a radius equal to the stroke width."},{name:"square",description:"Indicates that at the end of each subpath, the shape representing the stroke will be extended by a rectangle with the same width as the stroke width and whose length is half of the stroke width."}],relevance:53,description:"Specifies the shape to be used at the end of open subpaths when they are stroked.",restrictions:["enum"]},{name:"stroke-linejoin",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"bevel",description:"Indicates that a bevelled corner is to be used to join path segments."},{name:"miter",description:"Indicates that a sharp corner is to be used to join path segments."},{name:"round",description:"Indicates that a round corner is to be used to join path segments."}],relevance:51,description:"Specifies the shape to be used at the corners of paths or basic shapes when they are stroked.",restrictions:["enum"]},{name:"stroke-miterlimit",browsers:["E80","FF72","S13.1","C80","O67"],relevance:51,description:"When two line segments meet at a sharp angle and miter joins have been specified for 'stroke-linejoin', it is possible for the miter to extend far beyond the thickness of the line stroking the path.",restrictions:["number"]},{name:"stroke-opacity",browsers:["E80","FF72","S13.1","C80","O67"],relevance:52,description:"Specifies the opacity of the painting operation used to stroke the current object.",restrictions:["number(0-1)"]},{name:"stroke-width",browsers:["E80","FF72","S13.1","C80","O67"],relevance:64,description:"Specifies the width of the stroke on the current object.",restrictions:["percentage","length"]},{name:"suffix",browsers:["FF33"],atRule:"@counter-style",syntax:"<symbol>",relevance:50,description:"@counter-style descriptor. Specifies a <symbol> that is appended to the marker representation.",restrictions:["image","string","identifier"]},{name:"system",browsers:["FF33"],values:[{name:"additive",description:'Represents "sign-value" numbering systems, which, rather than using reusing digits in different positions to change their value, define additional digits with much larger values, so that the value of the number can be obtained by adding all the digits together.'},{name:"alphabetic",description:'Interprets the list of counter symbols as digits to an alphabetic numbering system, similar to the default lower-alpha counter style, which wraps from "a", "b", "c", to "aa", "ab", "ac".'},{name:"cyclic",description:"Cycles repeatedly through its provided symbols, looping back to the beginning when it reaches the end of the list."},{name:"extends",description:"Use the algorithm of another counter style, but alter other aspects."},{name:"fixed",description:"Runs through its list of counter symbols once, then falls back."},{name:"numeric",description:`interprets the list of counter symbols as digits to a "place-value" numbering system, similar to the default 'decimal' counter style.`},{name:"symbolic",description:"Cycles repeatedly through its provided symbols, doubling, tripling, etc. the symbols on each successive pass through the list."}],atRule:"@counter-style",syntax:"cyclic | numeric | alphabetic | symbolic | additive | [ fixed <integer>? ] | [ extends <counter-style-name> ]",relevance:50,description:"@counter-style descriptor. Specifies which algorithm will be used to construct the counter's representation based on the counter value.",restrictions:["enum","integer"]},{name:"symbols",browsers:["FF33"],atRule:"@counter-style",syntax:"<symbol>+",relevance:50,description:"@counter-style descriptor. Specifies the symbols used by the marker-construction algorithm specified by the system descriptor.",restrictions:["image","string","identifier"]},{name:"table-layout",browsers:["E12","FF1","S1","C14","IE5","O7"],values:[{name:"auto",description:"Use any automatic table layout algorithm."},{name:"fixed",description:"Use the fixed table layout algorithm."}],syntax:"auto | fixed",relevance:58,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/table-layout"}],description:"Controls the algorithm used to lay out the table cells, rows, and columns.",restrictions:["enum"]},{name:"tab-size",browsers:["E79","FF91","S7","C21","O15"],syntax:"<integer> | <length>",relevance:53,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/tab-size"}],description:"Determines the width of the tab character (U+0009), in space characters (U+0020), when rendered.",restrictions:["integer","length"]},{name:"text-align",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"center",description:"The inline contents are centered within the line box."},{name:"end",browsers:["E12","FF1","S1","C1","IE3","O3.5"],description:"The inline contents are aligned to the end edge of the line box."},{name:"justify",description:"The text is justified according to the method specified by the 'text-justify' property."},{name:"left",description:"The inline contents are aligned to the left edge of the line box. In vertical text, 'left' aligns to the edge of the line box that would be the start edge for left-to-right text."},{name:"right",description:"The inline contents are aligned to the right edge of the line box. In vertical text, 'right' aligns to the edge of the line box that would be the end edge for left-to-right text."},{name:"start",browsers:["E12","FF1","S1","C1","IE3","O3.5"],description:"The inline contents are aligned to the start edge of the line box."}],syntax:"start | end | left | right | center | justify | match-parent",relevance:93,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-align"}],description:"Describes how inline contents of a block are horizontally aligned if the contents do not completely fill the line box.",restrictions:["string"]},{name:"text-align-last",browsers:["E12","FF49","S16","C47","IE5.5","O34"],values:[{name:"auto",description:"Content on the affected line is aligned per 'text-align' unless 'text-align' is set to 'justify', in which case it is 'start-aligned'."},{name:"center",description:"The inline contents are centered within the line box."},{name:"justify",description:"The text is justified according to the method specified by the 'text-justify' property."},{name:"left",description:"The inline contents are aligned to the left edge of the line box. In vertical text, 'left' aligns to the edge of the line box that would be the start edge for left-to-right text."},{name:"right",description:"The inline contents are aligned to the right edge of the line box. In vertical text, 'right' aligns to the edge of the line box that would be the end edge for left-to-right text."}],syntax:"auto | start | end | left | right | center | justify",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-align-last"}],description:"Describes how the last line of a block or a line right before a forced line break is aligned when 'text-align' is set to 'justify'.",restrictions:["enum"]},{name:"text-anchor",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"end",description:"The rendered characters are aligned such that the end of the resulting rendered text is at the initial current text position."},{name:"middle",description:"The rendered characters are aligned such that the geometric middle of the resulting rendered text is at the initial current text position."},{name:"start",description:"The rendered characters are aligned such that the start of the resulting rendered text is at the initial current text position."}],relevance:50,description:"Used to align (start-, middle- or end-alignment) a string of text relative to a given point.",restrictions:["enum"]},{name:"text-decoration",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[{name:"dashed",description:"Produces a dashed line style."},{name:"dotted",description:"Produces a dotted line."},{name:"double",description:"Produces a double line."},{name:"line-through",description:"Each line of text has a line through the middle."},{name:"none",description:"Produces no line."},{name:"overline",description:"Each line of text has a line above it."},{name:"solid",description:"Produces a solid line."},{name:"underline",description:"Each line of text is underlined."},{name:"wavy",description:"Produces a wavy line."}],syntax:"<'text-decoration-line'> || <'text-decoration-style'> || <'text-decoration-color'> || <'text-decoration-thickness'>",relevance:91,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-decoration"}],description:"Decorations applied to font used for an element's text.",restrictions:["enum","color"]},{name:"text-decoration-color",browsers:["E79","FF36","S12.1","C57","O44"],syntax:"<color>",relevance:55,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-decoration-color"}],description:"Specifies the color of text decoration (underlines overlines, and line-throughs) set on the element with text-decoration-line.",restrictions:["color"]},{name:"text-decoration-line",browsers:["E79","FF36","S12.1","C57","O44"],values:[{name:"line-through",description:"Each line of text has a line through the middle."},{name:"none",description:"Neither produces nor inhibits text decoration."},{name:"overline",description:"Each line of text has a line above it."},{name:"underline",description:"Each line of text is underlined."}],syntax:"none | [ underline || overline || line-through || blink ] | spelling-error | grammar-error",relevance:57,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-decoration-line"}],description:"Specifies what line decorations, if any, are added to the element.",restrictions:["enum"]},{name:"text-decoration-style",browsers:["E79","FF36","S12.1","C57","O44"],values:[{name:"dashed",description:"Produces a dashed line style."},{name:"dotted",description:"Produces a dotted line."},{name:"double",description:"Produces a double line."},{name:"none",description:"Produces no line."},{name:"solid",description:"Produces a solid line."},{name:"wavy",description:"Produces a wavy line."}],syntax:"solid | double | dotted | dashed | wavy",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-decoration-style"}],description:"Specifies the line style for underline, line-through and overline text decoration.",restrictions:["enum"]},{name:"text-indent",browsers:["E12","FF1","S1","C1","IE3","O3.5"],values:[],syntax:"<length-percentage> && hanging? && each-line?",relevance:67,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-indent"}],description:"Specifies the indentation applied to lines of inline content in a block. The indentation only affects the first line of inline content in the block unless the 'hanging' keyword is specified, in which case it affects all lines except the first.",restrictions:["percentage","length"]},{name:"text-justify",browsers:["E79","FF55","C32","IE11","O19"],values:[{name:"auto",description:"The UA determines the justification algorithm to follow, based on a balance between performance and adequate presentation quality."},{name:"distribute",description:"Justification primarily changes spacing both at word separators and at grapheme cluster boundaries in all scripts except those in the connected and cursive groups. This value is sometimes used in e.g. Japanese, often with the 'text-align-last' property."},{name:"distribute-all-lines"},{name:"inter-cluster",description:"Justification primarily changes spacing at word separators and at grapheme cluster boundaries in clustered scripts. This value is typically used for Southeast Asian scripts such as Thai."},{name:"inter-ideograph",description:"Justification primarily changes spacing at word separators and at inter-graphemic boundaries in scripts that use no word spaces. This value is typically used for CJK languages."},{name:"inter-word",description:"Justification primarily changes spacing at word separators. This value is typically used for languages that separate words using spaces, like English or (sometimes) Korean."},{name:"kashida",description:"Justification primarily stretches Arabic and related scripts through the use of kashida or other calligraphic elongation."},{name:"newspaper"}],syntax:"auto | inter-character | inter-word | none",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-justify"}],description:"Selects the justification algorithm used when 'text-align' is set to 'justify'. The property applies to block containers, but the UA may (but is not required to) also support it on inline elements.",restrictions:["enum"]},{name:"text-orientation",browsers:["E79","FF41","S14","C48","O35"],values:[{name:"sideways",browsers:["E79","FF41","S14","C48","O35"],description:"This value is equivalent to 'sideways-right' in 'vertical-rl' writing mode and equivalent to 'sideways-left' in 'vertical-lr' writing mode."},{name:"sideways-right",browsers:["E79","FF41","S14","C48","O35"],description:"In vertical writing modes, this causes text to be set as if in a horizontal layout, but rotated 90° clockwise."},{name:"upright",description:"In vertical writing modes, characters from horizontal-only scripts are rendered upright, i.e. in their standard horizontal orientation."}],syntax:"mixed | upright | sideways",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-orientation"}],description:"Specifies the orientation of text within a line.",restrictions:["enum"]},{name:"text-overflow",browsers:["E12","FF7","S1.3","C1","IE6","O11"],values:[{name:"clip",description:"Clip inline content that overflows. Characters may be only partially rendered."},{name:"ellipsis",description:"Render an ellipsis character (U+2026) to represent clipped inline content."}],syntax:"[ clip | ellipsis | <string> ]{1,2}",relevance:82,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-overflow"}],description:"Text can overflow for example when it is prevented from wrapping.",restrictions:["enum","string"]},{name:"text-rendering",browsers:["E79","FF1","S5","C4","O15"],values:[{name:"auto"},{name:"geometricPrecision",description:"Indicates that the user agent shall emphasize geometric precision over legibility and rendering speed."},{name:"optimizeLegibility",description:"Indicates that the user agent shall emphasize legibility over rendering speed and geometric precision."},{name:"optimizeSpeed",description:"Indicates that the user agent shall emphasize rendering speed over legibility and geometric precision."}],syntax:"auto | optimizeSpeed | optimizeLegibility | geometricPrecision",relevance:68,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-rendering"}],description:"The creator of SVG content might want to provide a hint to the implementation about what tradeoffs to make as it renders text. The 'text-rendering' property provides these hints.",restrictions:["enum"]},{name:"text-shadow",browsers:["E12","FF3.5","S1.1","C2","IE10","O9.5"],values:[{name:"none",description:"No shadow."}],syntax:"none | <shadow-t>#",relevance:73,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-shadow"}],description:"Enables shadow effects to be applied to the text of the element.",restrictions:["length","color"]},{name:"text-transform",browsers:["E12","FF1","S1","C1","IE4","O7"],values:[{name:"capitalize",description:"Puts the first typographic letter unit of each word in titlecase."},{name:"lowercase",description:"Puts all letters in lowercase."},{name:"none",description:"No effects."},{name:"uppercase",description:"Puts all letters in uppercase."}],syntax:"none | capitalize | uppercase | lowercase | full-width | full-size-kana",relevance:86,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-transform"}],description:"Controls capitalization effects of an element's text.",restrictions:["enum"]},{name:"text-underline-position",browsers:["E12","FF74","S12.1","C33","IE6","O20"],values:[{name:"above"},{name:"auto",description:"The user agent may use any algorithm to determine the underline's position. In horizontal line layout, the underline should be aligned as for alphabetic. In vertical line layout, if the language is set to Japanese or Korean, the underline should be aligned as for over."},{name:"below",description:"The underline is aligned with the under edge of the element's content box."}],syntax:"auto | from-font | [ under || [ left | right ] ]",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-underline-position"}],description:"Sets the position of an underline specified on the same element: it does not affect underlines specified by ancestor elements. This property is typically used in vertical writing contexts such as in Japanese documents where it often desired to have the underline appear 'over' (to the right of) the affected run of text",restrictions:["enum"]},{name:"top",browsers:["E12","FF1","S1","C1","IE5","O6"],values:[{name:"auto",description:"For non-replaced elements, the effect of this value depends on which of related properties have the value 'auto' as well"}],syntax:"<length> | <percentage> | auto",relevance:95,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/top"}],description:"Specifies how far an absolutely positioned box's top margin edge is offset below the top edge of the box's 'containing block'.",restrictions:["length","percentage"]},{name:"touch-action",browsers:["E12","FF52","S13","C36","IE11","O23"],values:[{name:"auto",description:"The user agent may determine any permitted touch behaviors for touches that begin on the element."},{name:"cross-slide-x",browsers:["E12","FF52","S13","C36","IE11","O23"]},{name:"cross-slide-y",browsers:["E12","FF52","S13","C36","IE11","O23"]},{name:"double-tap-zoom",browsers:["E12","FF52","S13","C36","IE11","O23"]},{name:"manipulation",description:"The user agent may consider touches that begin on the element only for the purposes of scrolling and continuous zooming."},{name:"none",description:"Touches that begin on the element must not trigger default touch behaviors."},{name:"pan-x",description:"The user agent may consider touches that begin on the element only for the purposes of horizontally scrolling the element's nearest ancestor with horizontally scrollable content."},{name:"pan-y",description:"The user agent may consider touches that begin on the element only for the purposes of vertically scrolling the element's nearest ancestor with vertically scrollable content."},{name:"pinch-zoom",browsers:["E12","FF52","S13","C36","IE11","O23"]}],syntax:"auto | none | [ [ pan-x | pan-left | pan-right ] || [ pan-y | pan-up | pan-down ] || pinch-zoom ] | manipulation",relevance:69,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/touch-action"}],description:"Determines whether touch input may trigger default behavior supplied by user agent.",restrictions:["enum"]},{name:"transform",browsers:["E12","FF16","S9","C36","IE10","O23"],values:[{name:"matrix()",description:"Specifies a 2D transformation in the form of a transformation matrix of six values. matrix(a,b,c,d,e,f) is equivalent to applying the transformation matrix [a b c d e f]"},{name:"matrix3d()",description:"Specifies a 3D transformation as a 4x4 homogeneous matrix of 16 values in column-major order."},{name:"none"},{name:"perspective()",description:"Specifies a perspective projection matrix."},{name:"rotate()",description:"Specifies a 2D rotation by the angle specified in the parameter about the origin of the element, as defined by the transform-origin property."},{name:"rotate3d()",description:"Specifies a clockwise 3D rotation by the angle specified in last parameter about the [x,y,z] direction vector described by the first 3 parameters."},{name:"rotateX('angle')",description:"Specifies a clockwise rotation by the given angle about the X axis."},{name:"rotateY('angle')",description:"Specifies a clockwise rotation by the given angle about the Y axis."},{name:"rotateZ('angle')",description:"Specifies a clockwise rotation by the given angle about the Z axis."},{name:"scale()",description:"Specifies a 2D scale operation by the [sx,sy] scaling vector described by the 2 parameters. If the second parameter is not provided, it is takes a value equal to the first."},{name:"scale3d()",description:"Specifies a 3D scale operation by the [sx,sy,sz] scaling vector described by the 3 parameters."},{name:"scaleX()",description:"Specifies a scale operation using the [sx,1] scaling vector, where sx is given as the parameter."},{name:"scaleY()",description:"Specifies a scale operation using the [sy,1] scaling vector, where sy is given as the parameter."},{name:"scaleZ()",description:"Specifies a scale operation using the [1,1,sz] scaling vector, where sz is given as the parameter."},{name:"skew()",description:"Specifies a skew transformation along the X and Y axes. The first angle parameter specifies the skew on the X axis. The second angle parameter specifies the skew on the Y axis. If the second parameter is not given then a value of 0 is used for the Y angle (ie: no skew on the Y axis)."},{name:"skewX()",description:"Specifies a skew transformation along the X axis by the given angle."},{name:"skewY()",description:"Specifies a skew transformation along the Y axis by the given angle."},{name:"translate()",description:"Specifies a 2D translation by the vector [tx, ty], where tx is the first translation-value parameter and ty is the optional second translation-value parameter."},{name:"translate3d()",description:"Specifies a 3D translation by the vector [tx,ty,tz], with tx, ty and tz being the first, second and third translation-value parameters respectively."},{name:"translateX()",description:"Specifies a translation by the given amount in the X direction."},{name:"translateY()",description:"Specifies a translation by the given amount in the Y direction."},{name:"translateZ()",description:"Specifies a translation by the given amount in the Z direction. Note that percentage values are not allowed in the translateZ translation-value, and if present are evaluated as 0."}],syntax:"none | <transform-list>",relevance:90,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transform"}],description:"A two-dimensional transformation is applied to an element through the 'transform' property. This property contains a list of transform functions similar to those allowed by SVG.",restrictions:["enum"]},{name:"transform-origin",browsers:["E12","FF16","S9","C36","IE10","O23"],syntax:"[ <length-percentage> | left | center | right | top | bottom ] | [ [ <length-percentage> | left | center | right ] && [ <length-percentage> | top | center | bottom ] ] <length>?",relevance:76,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transform-origin"}],description:"Establishes the origin of transformation for an element.",restrictions:["position","length","percentage"]},{name:"transform-style",browsers:["E12","FF16","S9","C36","O23"],values:[{name:"flat",description:"All children of this element are rendered flattened into the 2D plane of the element."},{name:"preserve-3d",browsers:["E12","FF16","S9","C36","O23"],description:"Flattening is not performed, so children maintain their position in 3D space."}],syntax:"flat | preserve-3d",relevance:56,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transform-style"}],description:"Defines how nested elements are rendered in 3D space.",restrictions:["enum"]},{name:"transition",browsers:["E12","FF16","S9","C26","IE10","O12.1"],values:[{name:"all",description:"Every property that is able to undergo a transition will do so."},{name:"none",description:"No property will transition."}],syntax:"<single-transition>#",relevance:89,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transition"}],description:"Shorthand property combines four of the transition properties into a single property.",restrictions:["time","property","timing-function","enum"]},{name:"transition-delay",browsers:["E12","FF16","S9","C26","IE10","O12.1"],syntax:"<time>#",relevance:64,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transition-delay"}],description:"Defines when the transition will start. It allows a transition to begin execution some period of time from when it is applied.",restrictions:["time"]},{name:"transition-duration",browsers:["E12","FF16","S9","C26","IE10","O12.1"],syntax:"<time>#",relevance:68,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transition-duration"}],description:"Specifies how long the transition from the old value to the new value should take.",restrictions:["time"]},{name:"transition-property",browsers:["E12","FF16","S9","C26","IE10","O12.1"],values:[{name:"all",description:"Every property that is able to undergo a transition will do so."},{name:"none",description:"No property will transition."}],syntax:"none | <single-transition-property>#",relevance:68,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transition-property"}],description:"Specifies the name of the CSS property to which the transition is applied.",restrictions:["property"]},{name:"transition-timing-function",browsers:["E12","FF16","S9","C26","IE10","O12.1"],syntax:"<easing-function>#",relevance:65,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transition-timing-function"}],description:"Describes how the intermediate values used during a transition will be calculated.",restrictions:["timing-function"]},{name:"unicode-bidi",browsers:["E12","FF1","S1.3","C2","IE5.5","O9.2"],values:[{name:"bidi-override",description:"Inside the element, reordering is strictly in sequence according to the 'direction' property; the implicit part of the bidirectional algorithm is ignored."},{name:"embed",description:"If the element is inline-level, this value opens an additional level of embedding with respect to the bidirectional algorithm. The direction of this embedding level is given by the 'direction' property."},{name:"isolate",browsers:["E12","FF1","S1.3","C2","IE5.5","O9.2"],description:"The contents of the element are considered to be inside a separate, independent paragraph."},{name:"isolate-override",browsers:["E12","FF1","S1.3","C2","IE5.5","O9.2"],description:"This combines the isolation behavior of 'isolate' with the directional override behavior of 'bidi-override'"},{name:"normal",description:"The element does not open an additional level of embedding with respect to the bidirectional algorithm. For inline-level elements, implicit reordering works across element boundaries."},{name:"plaintext",browsers:["E12","FF1","S1.3","C2","IE5.5","O9.2"],description:"For the purposes of the Unicode bidirectional algorithm, the base directionality of each bidi paragraph for which the element forms the containing block is determined not by the element's computed 'direction'."}],syntax:"normal | embed | isolate | bidi-override | isolate-override | plaintext",relevance:56,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/unicode-bidi"}],description:"The level of embedding with respect to the bidirectional algorithm.",restrictions:["enum"]},{name:"unicode-range",values:[{name:"U+26",description:"Ampersand."},{name:"U+20-24F, U+2B0-2FF, U+370-4FF, U+1E00-1EFF, U+2000-20CF, U+2100-23FF, U+2500-26FF, U+E000-F8FF, U+FB00-FB4F",description:"WGL4 character set (Pan-European)."},{name:"U+20-17F, U+2B0-2FF, U+2000-206F, U+20A0-20CF, U+2100-21FF, U+2600-26FF",description:"The Multilingual European Subset No. 1. Latin. Covers ~44 languages."},{name:"U+20-2FF, U+370-4FF, U+1E00-20CF, U+2100-23FF, U+2500-26FF, U+FB00-FB4F, U+FFF0-FFFD",description:"The Multilingual European Subset No. 2. Latin, Greek, and Cyrillic. Covers ~128 language."},{name:"U+20-4FF, U+530-58F, U+10D0-10FF, U+1E00-23FF, U+2440-245F, U+2500-26FF, U+FB00-FB4F, U+FE20-FE2F, U+FFF0-FFFD",description:"The Multilingual European Subset No. 3. Covers all characters belonging to European scripts."},{name:"U+00-7F",description:"Basic Latin (ASCII)."},{name:"U+80-FF",description:"Latin-1 Supplement. Accented characters for Western European languages, common punctuation characters, multiplication and division signs."},{name:"U+100-17F",description:"Latin Extended-A. Accented characters for for Czech, Dutch, Polish, and Turkish."},{name:"U+180-24F",description:"Latin Extended-B. Croatian, Slovenian, Romanian, Non-European and historic latin, Khoisan, Pinyin, Livonian, Sinology."},{name:"U+1E00-1EFF",description:"Latin Extended Additional. Vietnamese, German captial sharp s, Medievalist, Latin general use."},{name:"U+250-2AF",description:"International Phonetic Alphabet Extensions."},{name:"U+370-3FF",description:"Greek and Coptic."},{name:"U+1F00-1FFF",description:"Greek Extended. Accented characters for polytonic Greek."},{name:"U+400-4FF",description:"Cyrillic."},{name:"U+500-52F",description:"Cyrillic Supplement. Extra letters for Komi, Khanty, Chukchi, Mordvin, Kurdish, Aleut, Chuvash, Abkhaz, Azerbaijani, and Orok."},{name:"U+00-52F, U+1E00-1FFF, U+2200-22FF",description:"Latin, Greek, Cyrillic, some punctuation and symbols."},{name:"U+530-58F",description:"Armenian."},{name:"U+590-5FF",description:"Hebrew."},{name:"U+600-6FF",description:"Arabic."},{name:"U+750-77F",description:"Arabic Supplement. Additional letters for African languages, Khowar, Torwali, Burushaski, and early Persian."},{name:"U+8A0-8FF",description:"Arabic Extended-A. Additional letters for African languages, European and Central Asian languages, Rohingya, Tamazight, Arwi, and Koranic annotation signs."},{name:"U+700-74F",description:"Syriac."},{name:"U+900-97F",description:"Devanagari."},{name:"U+980-9FF",description:"Bengali."},{name:"U+A00-A7F",description:"Gurmukhi."},{name:"U+A80-AFF",description:"Gujarati."},{name:"U+B00-B7F",description:"Oriya."},{name:"U+B80-BFF",description:"Tamil."},{name:"U+C00-C7F",description:"Telugu."},{name:"U+C80-CFF",description:"Kannada."},{name:"U+D00-D7F",description:"Malayalam."},{name:"U+D80-DFF",description:"Sinhala."},{name:"U+118A0-118FF",description:"Warang Citi."},{name:"U+E00-E7F",description:"Thai."},{name:"U+1A20-1AAF",description:"Tai Tham."},{name:"U+AA80-AADF",description:"Tai Viet."},{name:"U+E80-EFF",description:"Lao."},{name:"U+F00-FFF",description:"Tibetan."},{name:"U+1000-109F",description:"Myanmar (Burmese)."},{name:"U+10A0-10FF",description:"Georgian."},{name:"U+1200-137F",description:"Ethiopic."},{name:"U+1380-139F",description:"Ethiopic Supplement. Extra Syllables for Sebatbeit, and Tonal marks"},{name:"U+2D80-2DDF",description:"Ethiopic Extended. Extra Syllables for Me'en, Blin, and Sebatbeit."},{name:"U+AB00-AB2F",description:"Ethiopic Extended-A. Extra characters for Gamo-Gofa-Dawro, Basketo, and Gumuz."},{name:"U+1780-17FF",description:"Khmer."},{name:"U+1800-18AF",description:"Mongolian."},{name:"U+1B80-1BBF",description:"Sundanese."},{name:"U+1CC0-1CCF",description:"Sundanese Supplement. Punctuation."},{name:"U+4E00-9FD5",description:"CJK (Chinese, Japanese, Korean) Unified Ideographs. Most common ideographs for modern Chinese and Japanese."},{name:"U+3400-4DB5",description:"CJK Unified Ideographs Extension A. Rare ideographs."},{name:"U+2F00-2FDF",description:"Kangxi Radicals."},{name:"U+2E80-2EFF",description:"CJK Radicals Supplement. Alternative forms of Kangxi Radicals."},{name:"U+1100-11FF",description:"Hangul Jamo."},{name:"U+AC00-D7AF",description:"Hangul Syllables."},{name:"U+3040-309F",description:"Hiragana."},{name:"U+30A0-30FF",description:"Katakana."},{name:"U+A5, U+4E00-9FFF, U+30??, U+FF00-FF9F",description:"Japanese Kanji, Hiragana and Katakana characters plus Yen/Yuan symbol."},{name:"U+A4D0-A4FF",description:"Lisu."},{name:"U+A000-A48F",description:"Yi Syllables."},{name:"U+A490-A4CF",description:"Yi Radicals."},{name:"U+2000-206F",description:"General Punctuation."},{name:"U+3000-303F",description:"CJK Symbols and Punctuation."},{name:"U+2070-209F",description:"Superscripts and Subscripts."},{name:"U+20A0-20CF",description:"Currency Symbols."},{name:"U+2100-214F",description:"Letterlike Symbols."},{name:"U+2150-218F",description:"Number Forms."},{name:"U+2190-21FF",description:"Arrows."},{name:"U+2200-22FF",description:"Mathematical Operators."},{name:"U+2300-23FF",description:"Miscellaneous Technical."},{name:"U+E000-F8FF",description:"Private Use Area."},{name:"U+FB00-FB4F",description:"Alphabetic Presentation Forms. Ligatures for latin, Armenian, and Hebrew."},{name:"U+FB50-FDFF",description:"Arabic Presentation Forms-A. Contextual forms / ligatures for Persian, Urdu, Sindhi, Central Asian languages, etc, Arabic pedagogical symbols, word ligatures."},{name:"U+1F600-1F64F",description:"Emoji: Emoticons."},{name:"U+2600-26FF",description:"Emoji: Miscellaneous Symbols."},{name:"U+1F300-1F5FF",description:"Emoji: Miscellaneous Symbols and Pictographs."},{name:"U+1F900-1F9FF",description:"Emoji: Supplemental Symbols and Pictographs."},{name:"U+1F680-1F6FF",description:"Emoji: Transport and Map Symbols."}],atRule:"@font-face",syntax:"<unicode-range>#",relevance:72,description:"@font-face descriptor. Defines the set of Unicode codepoints that may be supported by the font face for which it is declared.",restrictions:["unicode-range"]},{name:"user-select",browsers:["E79","FF69","S3","C54","IE10","O41"],values:[{name:"all",description:"The content of the element must be selected atomically"},{name:"auto"},{name:"contain",description:"UAs must not allow a selection which is started in this element to be extended outside of this element."},{name:"none",description:"The UA must not allow selections to be started in this element."},{name:"text",description:"The element imposes no constraint on the selection."}],syntax:"auto | text | none | contain | all",relevance:82,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/user-select"}],description:"Controls the appearance of selection.",restrictions:["enum"]},{name:"vertical-align",browsers:["E12","FF1","S1","C1","IE4","O4"],values:[{name:"auto",description:"Align the dominant baseline of the parent box with the equivalent, or heuristically reconstructed, baseline of the element inline box."},{name:"baseline",description:"Align the 'alphabetic' baseline of the element with the 'alphabetic' baseline of the parent element."},{name:"bottom",description:"Align the after edge of the extended inline box with the after-edge of the line box."},{name:"middle",description:"Align the 'middle' baseline of the inline element with the middle baseline of the parent."},{name:"sub",description:"Lower the baseline of the box to the proper position for subscripts of the parent's box. (This value has no effect on the font size of the element's text.)"},{name:"super",description:"Raise the baseline of the box to the proper position for superscripts of the parent's box. (This value has no effect on the font size of the element's text.)"},{name:"text-bottom",description:"Align the bottom of the box with the after-edge of the parent element's font."},{name:"text-top",description:"Align the top of the box with the before-edge of the parent element's font."},{name:"top",description:"Align the before edge of the extended inline box with the before-edge of the line box."},{name:"-webkit-baseline-middle",browsers:["E12","FF1","S1","C1","IE4","O4"]}],syntax:"baseline | sub | super | text-top | text-bottom | middle | top | bottom | <percentage> | <length>",relevance:90,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/vertical-align"}],description:"Affects the vertical positioning of the inline boxes generated by an inline-level element inside a line box.",restrictions:["percentage","length"]},{name:"visibility",browsers:["E12","FF1","S1","C1","IE4","O4"],values:[{name:"collapse",description:"Table-specific. If used on elements other than rows, row groups, columns, or column groups, 'collapse' has the same meaning as 'hidden'."},{name:"hidden",description:"The generated box is invisible (fully transparent, nothing is drawn), but still affects layout."},{name:"visible",description:"The generated box is visible."}],syntax:"visible | hidden | collapse",relevance:87,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/visibility"}],description:"Specifies whether the boxes generated by an element are rendered. Invisible boxes still affect layout (set the 'display' property to 'none' to suppress box generation altogether).",restrictions:["enum"]},{name:"-webkit-animation",browsers:["C","S5"],values:[{name:"alternate",description:"The animation cycle iterations that are odd counts are played in the normal direction, and the animation cycle iterations that are even counts are played in a reverse direction."},{name:"alternate-reverse",description:"The animation cycle iterations that are odd counts are played in the reverse direction, and the animation cycle iterations that are even counts are played in a normal direction."},{name:"backwards",description:"The beginning property value (as defined in the first @keyframes at-rule) is applied before the animation is displayed, during the period defined by 'animation-delay'."},{name:"both",description:"Both forwards and backwards fill modes are applied."},{name:"forwards",description:"The final property value (as defined in the last @keyframes at-rule) is maintained after the animation completes."},{name:"infinite",description:"Causes the animation to repeat forever."},{name:"none",description:"No animation is performed"},{name:"normal",description:"Normal playback."},{name:"reverse",description:"All iterations of the animation are played in the reverse direction from the way they were specified."}],relevance:50,description:"Shorthand property combines six of the animation properties into a single property.",restrictions:["time","enum","timing-function","identifier","number"]},{name:"-webkit-animation-delay",browsers:["C","S5"],relevance:50,description:"Defines when the animation will start.",restrictions:["time"]},{name:"-webkit-animation-direction",browsers:["C","S5"],values:[{name:"alternate",description:"The animation cycle iterations that are odd counts are played in the normal direction, and the animation cycle iterations that are even counts are played in a reverse direction."},{name:"alternate-reverse",description:"The animation cycle iterations that are odd counts are played in the reverse direction, and the animation cycle iterations that are even counts are played in a normal direction."},{name:"normal",description:"Normal playback."},{name:"reverse",description:"All iterations of the animation are played in the reverse direction from the way they were specified."}],relevance:50,description:"Defines whether or not the animation should play in reverse on alternate cycles.",restrictions:["enum"]},{name:"-webkit-animation-duration",browsers:["C","S5"],relevance:50,description:"Defines the length of time that an animation takes to complete one cycle.",restrictions:["time"]},{name:"-webkit-animation-fill-mode",browsers:["C","S5"],values:[{name:"backwards",description:"The beginning property value (as defined in the first @keyframes at-rule) is applied before the animation is displayed, during the period defined by 'animation-delay'."},{name:"both",description:"Both forwards and backwards fill modes are applied."},{name:"forwards",description:"The final property value (as defined in the last @keyframes at-rule) is maintained after the animation completes."},{name:"none",description:"There is no change to the property value between the time the animation is applied and the time the animation begins playing or after the animation completes."}],relevance:50,description:"Defines what values are applied by the animation outside the time it is executing.",restrictions:["enum"]},{name:"-webkit-animation-iteration-count",browsers:["C","S5"],values:[{name:"infinite",description:"Causes the animation to repeat forever."}],relevance:50,description:"Defines the number of times an animation cycle is played. The default value is one, meaning the animation will play from beginning to end once.",restrictions:["number","enum"]},{name:"-webkit-animation-name",browsers:["C","S5"],values:[{name:"none",description:"No animation is performed"}],relevance:50,description:"Defines a list of animations that apply. Each name is used to select the keyframe at-rule that provides the property values for the animation.",restrictions:["identifier","enum"]},{name:"-webkit-animation-play-state",browsers:["C","S5"],values:[{name:"paused",description:"A running animation will be paused."},{name:"running",description:"Resume playback of a paused animation."}],relevance:50,description:"Defines whether the animation is running or paused.",restrictions:["enum"]},{name:"-webkit-animation-timing-function",browsers:["C","S5"],relevance:50,description:"Describes how the animation will progress over one cycle of its duration. See the 'transition-timing-function'.",restrictions:["timing-function"]},{name:"-webkit-appearance",browsers:["C","S3"],values:[{name:"button"},{name:"button-bevel"},{name:"caps-lock-indicator"},{name:"caret"},{name:"checkbox"},{name:"default-button"},{name:"listbox"},{name:"listitem"},{name:"media-fullscreen-button"},{name:"media-mute-button"},{name:"media-play-button"},{name:"media-seek-back-button"},{name:"media-seek-forward-button"},{name:"media-slider"},{name:"media-sliderthumb"},{name:"menulist"},{name:"menulist-button"},{name:"menulist-text"},{name:"menulist-textfield"},{name:"none"},{name:"push-button"},{name:"radio"},{name:"scrollbarbutton-down"},{name:"scrollbarbutton-left"},{name:"scrollbarbutton-right"},{name:"scrollbarbutton-up"},{name:"scrollbargripper-horizontal"},{name:"scrollbargripper-vertical"},{name:"scrollbarthumb-horizontal"},{name:"scrollbarthumb-vertical"},{name:"scrollbartrack-horizontal"},{name:"scrollbartrack-vertical"},{name:"searchfield"},{name:"searchfield-cancel-button"},{name:"searchfield-decoration"},{name:"searchfield-results-button"},{name:"searchfield-results-decoration"},{name:"slider-horizontal"},{name:"sliderthumb-horizontal"},{name:"sliderthumb-vertical"},{name:"slider-vertical"},{name:"square-button"},{name:"textarea"},{name:"textfield"}],status:"nonstandard",syntax:"none | button | button-bevel | caret | checkbox | default-button | inner-spin-button | listbox | listitem | media-controls-background | media-controls-fullscreen-background | media-current-time-display | media-enter-fullscreen-button | media-exit-fullscreen-button | media-fullscreen-button | media-mute-button | media-overlay-play-button | media-play-button | media-seek-back-button | media-seek-forward-button | media-slider | media-sliderthumb | media-time-remaining-display | media-toggle-closed-captions-button | media-volume-slider | media-volume-slider-container | media-volume-sliderthumb | menulist | menulist-button | menulist-text | menulist-textfield | meter | progress-bar | progress-bar-value | push-button | radio | searchfield | searchfield-cancel-button | searchfield-decoration | searchfield-results-button | searchfield-results-decoration | slider-horizontal | slider-vertical | sliderthumb-horizontal | sliderthumb-vertical | square-button | textarea | textfield | -apple-pay-button",relevance:0,description:"Changes the appearance of buttons and other controls to resemble native controls.",restrictions:["enum"]},{name:"-webkit-backdrop-filter",browsers:["S9"],values:[{name:"none",description:"No filter effects are applied."},{name:"blur()",description:"Applies a Gaussian blur to the input image."},{name:"brightness()",description:"Applies a linear multiplier to input image, making it appear more or less bright."},{name:"contrast()",description:"Adjusts the contrast of the input."},{name:"drop-shadow()",description:"Applies a drop shadow effect to the input image."},{name:"grayscale()",description:"Converts the input image to grayscale."},{name:"hue-rotate()",description:"Applies a hue rotation on the input image. "},{name:"invert()",description:"Inverts the samples in the input image."},{name:"opacity()",description:"Applies transparency to the samples in the input image."},{name:"saturate()",description:"Saturates the input image."},{name:"sepia()",description:"Converts the input image to sepia."},{name:"url()",description:"A filter reference to a <filter> element."}],relevance:50,description:"Applies a filter effect where the first filter in the list takes the element's background image as the input image.",restrictions:["enum","url"]},{name:"-webkit-backface-visibility",browsers:["C","S5"],values:[{name:"hidden"},{name:"visible"}],relevance:50,description:"Determines whether or not the 'back' side of a transformed element is visible when facing the viewer. With an identity transform, the front side of an element faces the viewer.",restrictions:["enum"]},{name:"-webkit-background-clip",browsers:["C","S3"],relevance:50,description:"Determines the background painting area.",restrictions:["box"]},{name:"-webkit-background-composite",browsers:["C","S3"],values:[{name:"border"},{name:"padding"}],relevance:50,restrictions:["enum"]},{name:"-webkit-background-origin",browsers:["C","S3"],relevance:50,description:"For elements rendered as a single box, specifies the background positioning area. For elements rendered as multiple boxes (e.g., inline boxes on several lines, boxes on several pages) specifies which boxes 'box-decoration-break' operates on to determine the background positioning area(s).",restrictions:["box"]},{name:"-webkit-border-image",browsers:["C","S5"],values:[{name:"auto",description:"If 'auto' is specified then the border image width is the intrinsic width or height (whichever is applicable) of the corresponding image slice. If the image does not have the required intrinsic dimension then the corresponding border-width is used instead."},{name:"fill",description:"Causes the middle part of the border-image to be preserved."},{name:"none"},{name:"repeat",description:"The image is tiled (repeated) to fill the area."},{name:"round",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the image is rescaled so that it does."},{name:"space",description:"The image is tiled (repeated) to fill the area. If it does not fill the area with a whole number of tiles, the extra space is distributed around the tiles."},{name:"stretch",description:"The image is stretched to fill the area."},{name:"url()"}],relevance:50,description:"Shorthand property for setting 'border-image-source', 'border-image-slice', 'border-image-width', 'border-image-outset' and 'border-image-repeat'. Omitted values are set to their initial values.",restrictions:["length","percentage","number","url","enum"]},{name:"-webkit-box-align",browsers:["C","S3"],values:[{name:"baseline",description:"If this box orientation is inline-axis or horizontal, all children are placed with their baselines aligned, and extra space placed before or after as necessary. For block flows, the baseline of the first non-empty line box located within the element is used. For tables, the baseline of the first cell is used."},{name:"center",description:"Any extra space is divided evenly, with half placed above the child and the other half placed after the child."},{name:"end",description:"For normal direction boxes, the bottom edge of each child is placed along the bottom of the box. Extra space is placed above the element. For reverse direction boxes, the top edge of each child is placed along the top of the box. Extra space is placed below the element."},{name:"start",description:"For normal direction boxes, the top edge of each child is placed along the top of the box. Extra space is placed below the element. For reverse direction boxes, the bottom edge of each child is placed along the bottom of the box. Extra space is placed above the element."},{name:"stretch",description:"The height of each child is adjusted to that of the containing block."}],relevance:50,description:"Specifies the alignment of nested elements within an outer flexible box element.",restrictions:["enum"]},{name:"-webkit-box-direction",browsers:["C","S3"],values:[{name:"normal",description:"A box with a computed value of horizontal for box-orient displays its children from left to right. A box with a computed value of vertical displays its children from top to bottom."},{name:"reverse",description:"A box with a computed value of horizontal for box-orient displays its children from right to left. A box with a computed value of vertical displays its children from bottom to top."}],relevance:50,description:"In webkit applications, -webkit-box-direction specifies whether a box lays out its contents normally (from the top or left edge), or in reverse (from the bottom or right edge).",restrictions:["enum"]},{name:"-webkit-box-flex",browsers:["C","S3"],relevance:50,description:"Specifies an element's flexibility.",restrictions:["number"]},{name:"-webkit-box-flex-group",browsers:["C","S3"],relevance:50,description:"Flexible elements can be assigned to flex groups using the 'box-flex-group' property.",restrictions:["integer"]},{name:"-webkit-box-ordinal-group",browsers:["C","S3"],relevance:50,description:"Indicates the ordinal group the element belongs to. Elements with a lower ordinal group are displayed before those with a higher ordinal group.",restrictions:["integer"]},{name:"-webkit-box-orient",browsers:["C","S3"],values:[{name:"block-axis",description:"Elements are oriented along the box's axis."},{name:"horizontal",description:"The box displays its children from left to right in a horizontal line."},{name:"inline-axis",description:"Elements are oriented vertically."},{name:"vertical",description:"The box displays its children from stacked from top to bottom vertically."}],relevance:50,description:"In webkit applications, -webkit-box-orient specifies whether a box lays out its contents horizontally or vertically.",restrictions:["enum"]},{name:"-webkit-box-pack",browsers:["C","S3"],values:[{name:"center",description:"The extra space is divided evenly, with half placed before the first child and the other half placed after the last child."},{name:"end",description:"For normal direction boxes, the right edge of the last child is placed at the right side, with all extra space placed before the first child. For reverse direction boxes, the left edge of the first child is placed at the left side, with all extra space placed after the last child."},{name:"justify",description:"The space is divided evenly in-between each child, with none of the extra space placed before the first child or after the last child. If there is only one child, treat the pack value as if it were start."},{name:"start",description:"For normal direction boxes, the left edge of the first child is placed at the left side, with all extra space placed after the last child. For reverse direction boxes, the right edge of the last child is placed at the right side, with all extra space placed before the first child."}],relevance:50,description:"Specifies alignment of child elements within the current element in the direction of orientation.",restrictions:["enum"]},{name:"-webkit-box-reflect",browsers:["E79","S4","C4","O15"],values:[{name:"above",description:"The reflection appears above the border box."},{name:"below",description:"The reflection appears below the border box."},{name:"left",description:"The reflection appears to the left of the border box."},{name:"right",description:"The reflection appears to the right of the border box."}],status:"nonstandard",syntax:"[ above | below | right | left ]? <length>? <image>?",relevance:0,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-box-reflect"}],description:"Defines a reflection of a border box."},{name:"-webkit-box-sizing",browsers:["C","S3"],values:[{name:"border-box",description:"The specified width and height (and respective min/max properties) on this element determine the border box of the element."},{name:"content-box",description:"Behavior of width and height as specified by CSS2.1. The specified width and height (and respective min/max properties) apply to the width and height respectively of the content box of the element."}],relevance:50,description:"Box Model addition in CSS3.",restrictions:["enum"]},{name:"-webkit-break-after",browsers:["S7"],values:[{name:"always",description:"Always force a page break before/after the generated box."},{name:"auto",description:"Neither force nor forbid a page/column break before/after the generated box."},{name:"avoid",description:"Avoid a page/column break before/after the generated box."},{name:"avoid-column",description:"Avoid a column break before/after the generated box."},{name:"avoid-page",description:"Avoid a page break before/after the generated box."},{name:"avoid-region"},{name:"column",description:"Always force a column break before/after the generated box."},{name:"left",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a left page."},{name:"page",description:"Always force a page break before/after the generated box."},{name:"region"},{name:"right",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a right page."}],relevance:50,description:"Describes the page/column break behavior before the generated box.",restrictions:["enum"]},{name:"-webkit-break-before",browsers:["S7"],values:[{name:"always",description:"Always force a page break before/after the generated box."},{name:"auto",description:"Neither force nor forbid a page/column break before/after the generated box."},{name:"avoid",description:"Avoid a page/column break before/after the generated box."},{name:"avoid-column",description:"Avoid a column break before/after the generated box."},{name:"avoid-page",description:"Avoid a page break before/after the generated box."},{name:"avoid-region"},{name:"column",description:"Always force a column break before/after the generated box."},{name:"left",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a left page."},{name:"page",description:"Always force a page break before/after the generated box."},{name:"region"},{name:"right",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a right page."}],relevance:50,description:"Describes the page/column break behavior before the generated box.",restrictions:["enum"]},{name:"-webkit-break-inside",browsers:["S7"],values:[{name:"auto",description:"Neither force nor forbid a page/column break inside the generated box."},{name:"avoid",description:"Avoid a page/column break inside the generated box."},{name:"avoid-column",description:"Avoid a column break inside the generated box."},{name:"avoid-page",description:"Avoid a page break inside the generated box."},{name:"avoid-region"}],relevance:50,description:"Describes the page/column break behavior inside the generated box.",restrictions:["enum"]},{name:"-webkit-column-break-after",browsers:["E80","S13.1","C80","O67"],values:[{name:"always",description:"Always force a page break before/after the generated box."},{name:"auto",description:"Neither force nor forbid a page/column break before/after the generated box."},{name:"avoid",description:"Avoid a page/column break before/after the generated box."},{name:"avoid-column",description:"Avoid a column break before/after the generated box."},{name:"avoid-page",description:"Avoid a page break before/after the generated box."},{name:"avoid-region"},{name:"column",description:"Always force a column break before/after the generated box."},{name:"left",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a left page."},{name:"page",description:"Always force a page break before/after the generated box."},{name:"region"},{name:"right",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a right page."}],relevance:50,description:"Describes the page/column break behavior before the generated box.",restrictions:["enum"]},{name:"-webkit-column-break-before",browsers:["E80","S13.1","C80","O67"],values:[{name:"always",description:"Always force a page break before/after the generated box."},{name:"auto",description:"Neither force nor forbid a page/column break before/after the generated box."},{name:"avoid",description:"Avoid a page/column break before/after the generated box."},{name:"avoid-column",description:"Avoid a column break before/after the generated box."},{name:"avoid-page",description:"Avoid a page break before/after the generated box."},{name:"avoid-region"},{name:"column",description:"Always force a column break before/after the generated box."},{name:"left",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a left page."},{name:"page",description:"Always force a page break before/after the generated box."},{name:"region"},{name:"right",description:"Force one or two page breaks before/after the generated box so that the next page is formatted as a right page."}],relevance:50,description:"Describes the page/column break behavior before the generated box.",restrictions:["enum"]},{name:"-webkit-column-break-inside",browsers:["E80","S13.1","C80","O67"],values:[{name:"auto",description:"Neither force nor forbid a page/column break inside the generated box."},{name:"avoid",description:"Avoid a page/column break inside the generated box."},{name:"avoid-column",description:"Avoid a column break inside the generated box."},{name:"avoid-page",description:"Avoid a page break inside the generated box."},{name:"avoid-region"}],relevance:50,description:"Describes the page/column break behavior inside the generated box.",restrictions:["enum"]},{name:"-webkit-column-count",browsers:["C","S3"],values:[{name:"auto",description:"Determines the number of columns by the 'column-width' property and the element width."}],relevance:50,description:"Describes the optimal number of columns into which the content of the element will be flowed.",restrictions:["integer"]},{name:"-webkit-column-gap",browsers:["C","S3"],values:[{name:"normal",description:"User agent specific and typically equivalent to 1em."}],relevance:50,description:"Sets the gap between columns. If there is a column rule between columns, it will appear in the middle of the gap.",restrictions:["length"]},{name:"-webkit-column-rule",browsers:["C","S3"],relevance:50,description:"This property is a shorthand for setting 'column-rule-width', 'column-rule-style', and 'column-rule-color' at the same place in the style sheet. Omitted values are set to their initial values.",restrictions:["length","line-width","line-style","color"]},{name:"-webkit-column-rule-color",browsers:["C","S3"],relevance:50,description:"Sets the color of the column rule",restrictions:["color"]},{name:"-webkit-column-rule-style",browsers:["C","S3"],relevance:50,description:"Sets the style of the rule between columns of an element.",restrictions:["line-style"]},{name:"-webkit-column-rule-width",browsers:["C","S3"],relevance:50,description:"Sets the width of the rule between columns. Negative values are not allowed.",restrictions:["length","line-width"]},{name:"-webkit-columns",browsers:["C","S3"],values:[{name:"auto",description:"The width depends on the values of other properties."}],relevance:50,description:"A shorthand property which sets both 'column-width' and 'column-count'.",restrictions:["length","integer"]},{name:"-webkit-column-span",browsers:["C","S3"],values:[{name:"all",description:"The element spans across all columns. Content in the normal flow that appears before the element is automatically balanced across all columns before the element appear."},{name:"none",description:"The element does not span multiple columns."}],relevance:50,description:"Describes the page/column break behavior after the generated box.",restrictions:["enum"]},{name:"-webkit-column-width",browsers:["C","S3"],values:[{name:"auto",description:"The width depends on the values of other properties."}],relevance:50,description:"This property describes the width of columns in multicol elements.",restrictions:["length"]},{name:"-webkit-filter",browsers:["C18","O15","S6"],values:[{name:"none",description:"No filter effects are applied."},{name:"blur()",description:"Applies a Gaussian blur to the input image."},{name:"brightness()",description:"Applies a linear multiplier to input image, making it appear more or less bright."},{name:"contrast()",description:"Adjusts the contrast of the input."},{name:"drop-shadow()",description:"Applies a drop shadow effect to the input image."},{name:"grayscale()",description:"Converts the input image to grayscale."},{name:"hue-rotate()",description:"Applies a hue rotation on the input image. "},{name:"invert()",description:"Inverts the samples in the input image."},{name:"opacity()",description:"Applies transparency to the samples in the input image."},{name:"saturate()",description:"Saturates the input image."},{name:"sepia()",description:"Converts the input image to sepia."},{name:"url()",description:"A filter reference to a <filter> element."}],relevance:50,description:"Processes an element's rendering before it is displayed in the document, by applying one or more filter effects.",restrictions:["enum","url"]},{name:"-webkit-flow-from",browsers:["S6.1"],values:[{name:"none",description:"The block container is not a CSS Region."}],relevance:50,description:"Makes a block container a region and associates it with a named flow.",restrictions:["identifier"]},{name:"-webkit-flow-into",browsers:["S6.1"],values:[{name:"none",description:"The element is not moved to a named flow and normal CSS processing takes place."}],relevance:50,description:"Places an element or its contents into a named flow.",restrictions:["identifier"]},{name:"-webkit-font-feature-settings",browsers:["C16"],values:[{name:'"c2cs"'},{name:'"dlig"'},{name:'"kern"'},{name:'"liga"'},{name:'"lnum"'},{name:'"onum"'},{name:'"smcp"'},{name:'"swsh"'},{name:'"tnum"'},{name:"normal",description:"No change in glyph substitution or positioning occurs."},{name:"off"},{name:"on"}],relevance:50,description:"This property provides low-level control over OpenType font features. It is intended as a way of providing access to font features that are not widely used but are needed for a particular use case.",restrictions:["string","integer"]},{name:"-webkit-hyphens",browsers:["S5.1"],values:[{name:"auto",description:"Conditional hyphenation characters inside a word, if present, take priority over automatic resources when determining hyphenation points within the word."},{name:"manual",description:"Words are only broken at line breaks where there are characters inside the word that suggest line break opportunities"},{name:"none",description:"Words are not broken at line breaks, even if characters inside the word suggest line break points."}],relevance:50,description:"Controls whether hyphenation is allowed to create more break opportunities within a line of text.",restrictions:["enum"]},{name:"-webkit-line-break",browsers:["C","S3"],values:[{name:"after-white-space"},{name:"normal"}],relevance:50,description:"Specifies line-breaking rules for CJK (Chinese, Japanese, and Korean) text."},{name:"-webkit-margin-bottom-collapse",browsers:["C","S3"],values:[{name:"collapse"},{name:"discard"},{name:"separate"}],relevance:50,restrictions:["enum"]},{name:"-webkit-margin-collapse",browsers:["C","S3"],values:[{name:"collapse"},{name:"discard"},{name:"separate"}],relevance:50,restrictions:["enum"]},{name:"-webkit-margin-start",browsers:["C","S3"],values:[{name:"auto"}],relevance:50,restrictions:["percentage","length"]},{name:"-webkit-margin-top-collapse",browsers:["C","S3"],values:[{name:"collapse"},{name:"discard"},{name:"separate"}],relevance:50,restrictions:["enum"]},{name:"-webkit-mask-clip",browsers:["C","O15","S4"],status:"nonstandard",syntax:"[ <box> | border | padding | content | text ]#",relevance:0,description:"Determines the mask painting area, which determines the area that is affected by the mask.",restrictions:["box"]},{name:"-webkit-mask-image",browsers:["C","O15","S4"],values:[{name:"none",description:"Counts as a transparent black image layer."},{name:"url()",description:"Reference to a <mask element or to a CSS image."}],status:"nonstandard",syntax:"<mask-reference>#",relevance:0,description:"Sets the mask layer image of an element.",restrictions:["url","image","enum"]},{name:"-webkit-mask-origin",browsers:["C","O15","S4"],status:"nonstandard",syntax:"[ <box> | border | padding | content ]#",relevance:0,description:"Specifies the mask positioning area.",restrictions:["box"]},{name:"-webkit-mask-repeat",browsers:["C","O15","S4"],status:"nonstandard",syntax:"<repeat-style>#",relevance:0,description:"Specifies how mask layer images are tiled after they have been sized and positioned.",restrictions:["repeat"]},{name:"-webkit-mask-size",browsers:["C","O15","S4"],values:[{name:"auto",description:"Resolved by using the image's intrinsic ratio and the size of the other dimension, or failing that, using the image's intrinsic size, or failing that, treating it as 100%."},{name:"contain",description:"Scale the image, while preserving its intrinsic aspect ratio (if any), to the largest size such that both its width and its height can fit inside the background positioning area."},{name:"cover",description:"Scale the image, while preserving its intrinsic aspect ratio (if any), to the smallest size such that both its width and its height can completely cover the background positioning area."}],status:"nonstandard",syntax:"<bg-size>#",relevance:0,description:"Specifies the size of the mask layer images.",restrictions:["length","percentage","enum"]},{name:"-webkit-nbsp-mode",browsers:["S13.1"],values:[{name:"normal"},{name:"space"}],relevance:50,description:"Defines the behavior of nonbreaking spaces within text."},{name:"-webkit-overflow-scrolling",browsers:["C","S5"],values:[{name:"auto"},{name:"touch"}],status:"nonstandard",syntax:"auto | touch",relevance:0,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-overflow-scrolling"}],description:"Specifies whether to use native-style scrolling in an overflow:scroll element."},{name:"-webkit-padding-start",browsers:["C","S3"],relevance:50,restrictions:["percentage","length"]},{name:"-webkit-perspective",browsers:["C","S4"],values:[{name:"none",description:"No perspective transform is applied."}],relevance:50,description:"Applies the same transform as the perspective(<number>) transform function, except that it applies only to the positioned or transformed children of the element, not to the transform on the element itself.",restrictions:["length"]},{name:"-webkit-perspective-origin",browsers:["C","S4"],relevance:50,description:"Establishes the origin for the perspective property. It effectively sets the X and Y position at which the viewer appears to be looking at the children of the element.",restrictions:["position","percentage","length"]},{name:"-webkit-region-fragment",browsers:["S7"],values:[{name:"auto",description:"Content flows as it would in a regular content box."},{name:"break",description:"If the content fits within the CSS Region, then this property has no effect."}],relevance:50,description:"The 'region-fragment' property controls the behavior of the last region associated with a named flow.",restrictions:["enum"]},{name:"-webkit-tap-highlight-color",browsers:["E12","C16","O15"],status:"nonstandard",syntax:"<color>",relevance:0,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-tap-highlight-color"}],restrictions:["color"]},{name:"-webkit-text-fill-color",browsers:["E12","FF49","S3","C1","O15"],syntax:"<color>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-text-fill-color"}],restrictions:["color"]},{name:"-webkit-text-size-adjust",browsers:["E","C","S3"],values:[{name:"auto",description:"Renderers must use the default size adjustment when displaying on a small device."},{name:"none",description:"Renderers must not do size adjustment when displaying on a small device."}],relevance:50,description:"Specifies a size adjustment for displaying text content in mobile browsers.",restrictions:["percentage"]},{name:"-webkit-text-stroke",browsers:["E15","FF49","S3","C4","O15"],syntax:"<length> || <color>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-text-stroke"}],restrictions:["length","line-width","color","percentage"]},{name:"-webkit-text-stroke-color",browsers:["E15","FF49","S3","C1","O15"],syntax:"<color>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-text-stroke-color"}],restrictions:["color"]},{name:"-webkit-text-stroke-width",browsers:["E15","FF49","S3","C1","O15"],syntax:"<length>",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-text-stroke-width"}],restrictions:["length","line-width","percentage"]},{name:"-webkit-touch-callout",browsers:["S3"],values:[{name:"none"}],status:"nonstandard",syntax:"default | none",relevance:0,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-touch-callout"}],restrictions:["enum"]},{name:"-webkit-transform",browsers:["C","O12","S3.1"],values:[{name:"matrix()",description:"Specifies a 2D transformation in the form of a transformation matrix of six values. matrix(a,b,c,d,e,f) is equivalent to applying the transformation matrix [a b c d e f]"},{name:"matrix3d()",description:"Specifies a 3D transformation as a 4x4 homogeneous matrix of 16 values in column-major order."},{name:"none"},{name:"perspective()",description:"Specifies a perspective projection matrix."},{name:"rotate()",description:"Specifies a 2D rotation by the angle specified in the parameter about the origin of the element, as defined by the transform-origin property."},{name:"rotate3d()",description:"Specifies a clockwise 3D rotation by the angle specified in last parameter about the [x,y,z] direction vector described by the first 3 parameters."},{name:"rotateX('angle')",description:"Specifies a clockwise rotation by the given angle about the X axis."},{name:"rotateY('angle')",description:"Specifies a clockwise rotation by the given angle about the Y axis."},{name:"rotateZ('angle')",description:"Specifies a clockwise rotation by the given angle about the Z axis."},{name:"scale()",description:"Specifies a 2D scale operation by the [sx,sy] scaling vector described by the 2 parameters. If the second parameter is not provided, it is takes a value equal to the first."},{name:"scale3d()",description:"Specifies a 3D scale operation by the [sx,sy,sz] scaling vector described by the 3 parameters."},{name:"scaleX()",description:"Specifies a scale operation using the [sx,1] scaling vector, where sx is given as the parameter."},{name:"scaleY()",description:"Specifies a scale operation using the [sy,1] scaling vector, where sy is given as the parameter."},{name:"scaleZ()",description:"Specifies a scale operation using the [1,1,sz] scaling vector, where sz is given as the parameter."},{name:"skew()",description:"Specifies a skew transformation along the X and Y axes. The first angle parameter specifies the skew on the X axis. The second angle parameter specifies the skew on the Y axis. If the second parameter is not given then a value of 0 is used for the Y angle (ie: no skew on the Y axis)."},{name:"skewX()",description:"Specifies a skew transformation along the X axis by the given angle."},{name:"skewY()",description:"Specifies a skew transformation along the Y axis by the given angle."},{name:"translate()",description:"Specifies a 2D translation by the vector [tx, ty], where tx is the first translation-value parameter and ty is the optional second translation-value parameter."},{name:"translate3d()",description:"Specifies a 3D translation by the vector [tx,ty,tz], with tx, ty and tz being the first, second and third translation-value parameters respectively."},{name:"translateX()",description:"Specifies a translation by the given amount in the X direction."},{name:"translateY()",description:"Specifies a translation by the given amount in the Y direction."},{name:"translateZ()",description:"Specifies a translation by the given amount in the Z direction. Note that percentage values are not allowed in the translateZ translation-value, and if present are evaluated as 0."}],relevance:50,description:"A two-dimensional transformation is applied to an element through the 'transform' property. This property contains a list of transform functions similar to those allowed by SVG.",restrictions:["enum"]},{name:"-webkit-transform-origin",browsers:["C","O15","S3.1"],relevance:50,description:"Establishes the origin of transformation for an element.",restrictions:["position","length","percentage"]},{name:"-webkit-transform-origin-x",browsers:["E80","S13.1","C80","O67"],relevance:50,description:"The x coordinate of the origin for transforms applied to an element with respect to its border box.",restrictions:["length","percentage"]},{name:"-webkit-transform-origin-y",browsers:["E80","S13.1","C80","O67"],relevance:50,description:"The y coordinate of the origin for transforms applied to an element with respect to its border box.",restrictions:["length","percentage"]},{name:"-webkit-transform-origin-z",browsers:["E80","S13.1","C80","O67"],relevance:50,description:"The z coordinate of the origin for transforms applied to an element with respect to its border box.",restrictions:["length","percentage"]},{name:"-webkit-transform-style",browsers:["C","S4"],values:[{name:"flat",description:"All children of this element are rendered flattened into the 2D plane of the element."}],relevance:50,description:"Defines how nested elements are rendered in 3D space.",restrictions:["enum"]},{name:"-webkit-transition",browsers:["C","O12","S5"],values:[{name:"all",description:"Every property that is able to undergo a transition will do so."},{name:"none",description:"No property will transition."}],relevance:50,description:"Shorthand property combines four of the transition properties into a single property.",restrictions:["time","property","timing-function","enum"]},{name:"-webkit-transition-delay",browsers:["C","O12","S5"],relevance:50,description:"Defines when the transition will start. It allows a transition to begin execution some period of time from when it is applied.",restrictions:["time"]},{name:"-webkit-transition-duration",browsers:["C","O12","S5"],relevance:50,description:"Specifies how long the transition from the old value to the new value should take.",restrictions:["time"]},{name:"-webkit-transition-property",browsers:["C","O12","S5"],values:[{name:"all",description:"Every property that is able to undergo a transition will do so."},{name:"none",description:"No property will transition."}],relevance:50,description:"Specifies the name of the CSS property to which the transition is applied.",restrictions:["property"]},{name:"-webkit-transition-timing-function",browsers:["C","O12","S5"],relevance:50,description:"Describes how the intermediate values used during a transition will be calculated.",restrictions:["timing-function"]},{name:"-webkit-user-drag",browsers:["E80","S13.1","C80","O67"],values:[{name:"auto"},{name:"element"},{name:"none"}],relevance:50,restrictions:["enum"]},{name:"-webkit-user-modify",browsers:["E80","S13.1","C80","O67"],values:[{name:"read-only"},{name:"read-write"},{name:"read-write-plaintext-only"}],syntax:"read-only | read-write | read-write-plaintext-only",relevance:50,description:"Determines whether a user can edit the content of an element.",restrictions:["enum"]},{name:"-webkit-user-select",browsers:["C","S3"],values:[{name:"auto"},{name:"none"},{name:"text"}],relevance:50,description:"Controls the appearance of selection.",restrictions:["enum"]},{name:"widows",browsers:["E12","S1.3","C25","IE8","O9.2"],syntax:"<integer>",relevance:51,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/widows"}],description:"Specifies the minimum number of line boxes of a block container that must be left in a fragment after a break.",restrictions:["integer"]},{name:"width",browsers:["E12","FF1","S1","C1","IE4","O3.5"],values:[{name:"auto",description:"The width depends on the values of other properties."},{name:"fit-content",browsers:["E12","FF1","S1","C1","IE4","O3.5"],description:"Use the fit-content inline size or fit-content block size, as appropriate to the writing mode."},{name:"max-content",browsers:["E12","FF1","S1","C1","IE4","O3.5"],description:"Use the max-content inline size or max-content block size, as appropriate to the writing mode."},{name:"min-content",browsers:["E12","FF1","S1","C1","IE4","O3.5"],description:"Use the min-content inline size or min-content block size, as appropriate to the writing mode."}],syntax:"auto | <length> | <percentage> | min-content | max-content | fit-content | fit-content(<length-percentage>)",relevance:96,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/width"}],description:"Specifies the width of the content area, padding area or border area (depending on 'box-sizing') of certain boxes.",restrictions:["length","percentage"]},{name:"will-change",browsers:["E79","FF36","S9.1","C36","O24"],values:[{name:"auto",description:"Expresses no particular intent."},{name:"contents",description:"Indicates that the author expects to animate or change something about the element's contents in the near future."},{name:"scroll-position",description:"Indicates that the author expects to animate or change the scroll position of the element in the near future."}],syntax:"auto | <animateable-feature>#",relevance:65,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/will-change"}],description:"Provides a rendering hint to the user agent, stating what kinds of changes the author expects to perform on the element.",restrictions:["enum","identifier"]},{name:"word-break",browsers:["E12","FF15","S3","C1","IE5.5","O15"],values:[{name:"break-all",description:"Lines may break between any two grapheme clusters for non-CJK scripts."},{name:"keep-all",description:"Block characters can no longer create implied break points."},{name:"normal",description:"Breaks non-CJK scripts according to their own rules."}],syntax:"normal | break-all | keep-all | break-word",relevance:76,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/word-break"}],description:"Specifies line break opportunities for non-CJK scripts.",restrictions:["enum"]},{name:"word-spacing",browsers:["E12","FF1","S1","C1","IE6","O3.5"],values:[{name:"normal",description:"No additional spacing is applied. Computes to zero."}],syntax:"normal | <length>",relevance:57,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/word-spacing"}],description:'Specifies additional spacing between "words".',restrictions:["length","percentage"]},{name:"word-wrap",browsers:["E80","FF72","S13.1","C80","O67"],values:[{name:"break-word",description:"An otherwise unbreakable sequence of characters may be broken at an arbitrary point if there are no otherwise-acceptable break points in the line."},{name:"normal",description:"Lines may break only at allowed break points."}],syntax:"normal | break-word",relevance:77,description:"Specifies whether the UA may break within a word to prevent overflow when an otherwise-unbreakable string is too long to fit.",restrictions:["enum"]},{name:"writing-mode",browsers:["E12","FF41","S10.1","C48","IE9","O35"],values:[{name:"horizontal-tb",description:"Top-to-bottom block flow direction. The writing mode is horizontal."},{name:"sideways-lr",browsers:["E12","FF41","S10.1","C48","IE9","O35"],description:"Left-to-right block flow direction. The writing mode is vertical, while the typographic mode is horizontal."},{name:"sideways-rl",browsers:["E12","FF41","S10.1","C48","IE9","O35"],description:"Right-to-left block flow direction. The writing mode is vertical, while the typographic mode is horizontal."},{name:"vertical-lr",description:"Left-to-right block flow direction. The writing mode is vertical."},{name:"vertical-rl",description:"Right-to-left block flow direction. The writing mode is vertical."}],syntax:"horizontal-tb | vertical-rl | vertical-lr | sideways-rl | sideways-lr",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/writing-mode"}],description:"This is a shorthand property for both 'direction' and 'block-progression'.",restrictions:["enum"]},{name:"z-index",browsers:["E12","FF1","S1","C1","IE4","O4"],values:[{name:"auto",description:"The stack level of the generated box in the current stacking context is 0. The box does not establish a new stacking context unless it is the root element."}],syntax:"auto | <integer>",relevance:92,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/z-index"}],description:"For a positioned box, the 'z-index' property specifies the stack level of the box in the current stacking context and whether the box establishes a local stacking context.",restrictions:["integer"]},{name:"zoom",browsers:["E12","FFpreview","S3.1","C1","IE5.5","O15"],values:[{name:"normal"}],status:"nonstandard",syntax:"normal | reset | <number> | <percentage>",relevance:15,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/zoom"}],description:"Non-standard. Specifies the magnification scale of the object. See 'transform: scale()' for a standards-based alternative.",restrictions:["enum","integer","number","percentage"]},{name:"-ms-ime-align",status:"nonstandard",syntax:"auto | after",values:[{name:"auto"},{name:"after"}],relevance:0,description:"Aligns the Input Method Editor (IME) candidate window box relative to the element on which the IME composition is active."},{name:"-moz-binding",status:"nonstandard",syntax:"<url> | none",relevance:0,description:"The -moz-binding CSS property is used by Mozilla-based applications to attach an XBL binding to a DOM element."},{name:"-moz-context-properties",status:"nonstandard",syntax:"none | [ fill | fill-opacity | stroke | stroke-opacity ]#",relevance:0,description:`If you reference an SVG image in a webpage (such as with the <img> element or as a background image), the SVG image can coordinate with the embedding element (its context) to have the image adopt property values set on the embedding element. To do this the embedding element needs to list the properties that are to be made available to the image by listing them as values of the -moz-context-properties property, and the image needs to opt in to using those properties by using values such as the context-fill value.
+
+This feature is available since Firefox 55, but is only currently supported with SVG images loaded via chrome:// or resource:// URLs. To experiment with the feature in SVG on the Web it is necessary to set the svg.context-properties.content.enabled pref to true.`},{name:"-moz-float-edge",status:"obsolete",syntax:"border-box | content-box | margin-box | padding-box",values:[{name:"border-box"},{name:"content-box"},{name:"margin-box"},{name:"padding-box"}],relevance:0,browsers:["FF1"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-moz-float-edge"}],description:"The non-standard -moz-float-edge CSS property specifies whether the height and width properties of the element include the margin, border, or padding thickness."},{name:"-moz-force-broken-image-icon",status:"obsolete",syntax:"0 | 1",values:[{name:"0"},{name:"1"}],relevance:0,browsers:["FF1"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-moz-force-broken-image-icon"}],description:"The -moz-force-broken-image-icon extended CSS property can be used to force the broken image icon to be shown even when a broken image has an alt attribute."},{name:"-moz-image-region",status:"nonstandard",syntax:"<shape> | auto",relevance:0,browsers:["FF1"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-moz-image-region"}],description:"For certain XUL elements and pseudo-elements that use an image from the list-style-image property, this property specifies a region of the image that is used in place of the whole image. This allows elements to use different pieces of the same image to improve performance."},{name:"-moz-orient",status:"nonstandard",syntax:"inline | block | horizontal | vertical",values:[{name:"inline"},{name:"block"},{name:"horizontal"},{name:"vertical"}],relevance:0,browsers:["FF6"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-moz-orient"}],description:"The -moz-orient CSS property specifies the orientation of the element to which it's applied."},{name:"-moz-outline-radius",status:"nonstandard",syntax:"<outline-radius>{1,4} [ / <outline-radius>{1,4} ]?",relevance:0,description:"In Mozilla applications like Firefox, the -moz-outline-radius CSS property can be used to give an element's outline rounded corners."},{name:"-moz-outline-radius-bottomleft",status:"nonstandard",syntax:"<outline-radius>",relevance:0,description:"In Mozilla applications, the -moz-outline-radius-bottomleft CSS property can be used to round the bottom-left corner of an element's outline."},{name:"-moz-outline-radius-bottomright",status:"nonstandard",syntax:"<outline-radius>",relevance:0,description:"In Mozilla applications, the -moz-outline-radius-bottomright CSS property can be used to round the bottom-right corner of an element's outline."},{name:"-moz-outline-radius-topleft",status:"nonstandard",syntax:"<outline-radius>",relevance:0,description:"In Mozilla applications, the -moz-outline-radius-topleft CSS property can be used to round the top-left corner of an element's outline."},{name:"-moz-outline-radius-topright",status:"nonstandard",syntax:"<outline-radius>",relevance:0,description:"In Mozilla applications, the -moz-outline-radius-topright CSS property can be used to round the top-right corner of an element's outline."},{name:"-moz-stack-sizing",status:"nonstandard",syntax:"ignore | stretch-to-fit",values:[{name:"ignore"},{name:"stretch-to-fit"}],relevance:0,description:"-moz-stack-sizing is an extended CSS property. Normally, a stack will change its size so that all of its child elements are completely visible. For example, moving a child of the stack far to the right will widen the stack so the child remains visible."},{name:"-moz-text-blink",status:"nonstandard",syntax:"none | blink",values:[{name:"none"},{name:"blink"}],relevance:0,description:"The -moz-text-blink non-standard Mozilla CSS extension specifies the blink mode."},{name:"-moz-user-input",status:"obsolete",syntax:"auto | none | enabled | disabled",values:[{name:"auto"},{name:"none"},{name:"enabled"},{name:"disabled"}],relevance:0,browsers:["FF1"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-moz-user-input"}],description:"In Mozilla applications, -moz-user-input determines if an element will accept user input."},{name:"-moz-user-modify",status:"nonstandard",syntax:"read-only | read-write | write-only",values:[{name:"read-only"},{name:"read-write"},{name:"write-only"}],relevance:0,description:"The -moz-user-modify property has no effect. It was originally planned to determine whether or not the content of an element can be edited by a user."},{name:"-moz-window-dragging",status:"nonstandard",syntax:"drag | no-drag",values:[{name:"drag"},{name:"no-drag"}],relevance:0,description:"The -moz-window-dragging CSS property specifies whether a window is draggable or not. It only works in Chrome code, and only on Mac OS X."},{name:"-moz-window-shadow",status:"nonstandard",syntax:"default | menu | tooltip | sheet | none",values:[{name:"default"},{name:"menu"},{name:"tooltip"},{name:"sheet"},{name:"none"}],relevance:0,description:"The -moz-window-shadow CSS property specifies whether a window will have a shadow. It only works on Mac OS X."},{name:"-webkit-border-before",status:"nonstandard",syntax:"<'border-width'> || <'border-style'> || <color>",relevance:0,browsers:["E79","S5.1","C8","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-border-before"}],description:"The -webkit-border-before CSS property is a shorthand property for setting the individual logical block start border property values in a single place in the style sheet."},{name:"-webkit-border-before-color",status:"nonstandard",syntax:"<color>",relevance:0,description:"The -webkit-border-before-color CSS property sets the color of the individual logical block start border in a single place in the style sheet."},{name:"-webkit-border-before-style",status:"nonstandard",syntax:"<'border-style'>",relevance:0,description:"The -webkit-border-before-style CSS property sets the style of the individual logical block start border in a single place in the style sheet."},{name:"-webkit-border-before-width",status:"nonstandard",syntax:"<'border-width'>",relevance:0,description:"The -webkit-border-before-width CSS property sets the width of the individual logical block start border in a single place in the style sheet."},{name:"-webkit-line-clamp",syntax:"none | <integer>",relevance:50,browsers:["E17","FF68","S5","C6","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-line-clamp"}],description:"The -webkit-line-clamp CSS property allows limiting of the contents of a block container to the specified number of lines."},{name:"-webkit-mask",status:"nonstandard",syntax:"[ <mask-reference> || <position> [ / <bg-size> ]? || <repeat-style> || [ <box> | border | padding | content | text ] || [ <box> | border | padding | content ] ]#",relevance:0,description:"The mask CSS property alters the visibility of an element by either partially or fully hiding it. This is accomplished by either masking or clipping the image at specific points."},{name:"-webkit-mask-attachment",status:"nonstandard",syntax:"<attachment>#",relevance:0,browsers:["S4","C1"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-mask-attachment"}],description:"If a -webkit-mask-image is specified, -webkit-mask-attachment determines whether the mask image's position is fixed within the viewport, or scrolls along with its containing block."},{name:"-webkit-mask-composite",status:"nonstandard",syntax:"<composite-style>#",relevance:0,browsers:["E18","S3.1","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-mask-composite"}],description:"The -webkit-mask-composite property specifies the manner in which multiple mask images applied to the same element are composited with one another. Mask images are composited in the opposite order that they are declared with the -webkit-mask-image property."},{name:"-webkit-mask-position",status:"nonstandard",syntax:"<position>#",relevance:0,description:"The mask-position CSS property sets the initial position, relative to the mask position layer defined by mask-origin, for each defined mask image."},{name:"-webkit-mask-position-x",status:"nonstandard",syntax:"[ <length-percentage> | left | center | right ]#",relevance:0,browsers:["E18","FF49","S3.1","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-mask-position-x"}],description:"The -webkit-mask-position-x CSS property sets the initial horizontal position of a mask image."},{name:"-webkit-mask-position-y",status:"nonstandard",syntax:"[ <length-percentage> | top | center | bottom ]#",relevance:0,browsers:["E18","FF49","S3.1","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-mask-position-y"}],description:"The -webkit-mask-position-y CSS property sets the initial vertical position of a mask image."},{name:"-webkit-mask-repeat-x",status:"nonstandard",syntax:"repeat | no-repeat | space | round",values:[{name:"repeat"},{name:"no-repeat"},{name:"space"},{name:"round"}],relevance:0,browsers:["E79","S5","C3","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-mask-repeat-x"}],description:"The -webkit-mask-repeat-x property specifies whether and how a mask image is repeated (tiled) horizontally."},{name:"-webkit-mask-repeat-y",status:"nonstandard",syntax:"repeat | no-repeat | space | round",values:[{name:"repeat"},{name:"no-repeat"},{name:"space"},{name:"round"}],relevance:0,browsers:["E79","S5","C3","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/-webkit-mask-repeat-y"}],description:"The -webkit-mask-repeat-y property specifies whether and how a mask image is repeated (tiled) vertically."},{name:"accent-color",syntax:"auto | <color>",relevance:50,browsers:["E93","FF92","S15.4","C93","O79"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/accent-color"}],description:"Sets the color of the elements accent"},{name:"align-tracks",status:"experimental",syntax:"[ normal | <baseline-position> | <content-distribution> | <overflow-position>? <content-position> ]#",relevance:50,browsers:["FF77"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/align-tracks"}],description:"The align-tracks CSS property sets the alignment in the masonry axis for grid containers that have masonry in their block axis."},{name:"animation-composition",syntax:"<single-animation-composition>#",relevance:50,browsers:["E112","FF115","S16","C112","O98"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-composition"}],description:"The composite operation to use when multiple animations affect the same property."},{name:"animation-range",status:"experimental",syntax:"[ <'animation-range-start'> <'animation-range-end'>? ]#",relevance:50,browsers:["E115","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-range"}],description:"The animation-range CSS shorthand property is used to set the start and end of an animation's attachment range along its timeline, i.e. where along the timeline an animation will start and end."},{name:"animation-range-end",status:"experimental",syntax:"[ normal | <length-percentage> | <timeline-range-name> <length-percentage>? ]#",relevance:50,browsers:["E115","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-range-end"}],description:"The animation-range-end CSS property is used to set the end of an animation's attachment range along its timeline, i.e. where along the timeline an animation will end."},{name:"animation-range-start",status:"experimental",syntax:"[ normal | <length-percentage> | <timeline-range-name> <length-percentage>? ]#",relevance:50,browsers:["E115","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-range-start"}],description:"The animation-range-start CSS property is used to set the start of an animation's attachment range along its timeline, i.e. where along the timeline an animation will start."},{name:"animation-timeline",status:"experimental",syntax:"<single-animation-timeline>#",relevance:50,browsers:["E115","FF110","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/animation-timeline"}],description:"Specifies the names of one or more @scroll-timeline at-rules to describe the element's scroll animations."},{name:"appearance",syntax:"none | auto | textfield | menulist-button | <compat-auto>",relevance:69,browsers:["E84","FF80","S15.4","C84","O70"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/appearance"}],description:"Changes the appearance of buttons and other controls to resemble native controls."},{name:"aspect-ratio",syntax:"auto | <ratio>",relevance:60,browsers:["E88","FF89","S15","C88","O74"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/aspect-ratio"}],description:"The aspect-ratio CSS property sets a preferred aspect ratio for the box, which will be used in the calculation of auto sizes and some other layout functions."},{name:"azimuth",status:"obsolete",syntax:"<angle> | [ [ left-side | far-left | left | center-left | center | center-right | right | far-right | right-side ] || behind ] | leftwards | rightwards",relevance:0,description:"In combination with elevation, the azimuth CSS property enables different audio sources to be positioned spatially for aural presentation. This is important in that it provides a natural way to tell several voices apart, as each can be positioned to originate at a different location on the sound stage. Stereo output produce a lateral sound stage, while binaural headphones and multi-speaker setups allow for a fully three-dimensional stage."},{name:"backdrop-filter",syntax:"none | <filter-function-list>",relevance:58,browsers:["E17","FF103","S9","C76","O63"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/backdrop-filter"}],description:"The backdrop-filter CSS property lets you apply graphical effects such as blurring or color shifting to the area behind an element. Because it applies to everything behind the element, to see the effect you must make the element or its background at least partially transparent."},{name:"border-block",syntax:"<'border-top-width'> || <'border-top-style'> || <color>",relevance:50,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block"}],description:"The border-block CSS property is a shorthand property for setting the individual logical block border property values in a single place in the style sheet."},{name:"border-block-color",syntax:"<'border-top-color'>{1,2}",relevance:50,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-color"}],description:"The border-block-color CSS property defines the color of the logical block borders of an element, which maps to a physical border color depending on the element's writing mode, directionality, and text orientation. It corresponds to the border-top-color and border-bottom-color, or border-right-color and border-left-color property depending on the values defined for writing-mode, direction, and text-orientation."},{name:"border-block-style",syntax:"<'border-top-style'>",relevance:50,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-style"}],description:"The border-block-style CSS property defines the style of the logical block borders of an element, which maps to a physical border style depending on the element's writing mode, directionality, and text orientation. It corresponds to the border-top-style and border-bottom-style, or border-left-style and border-right-style properties depending on the values defined for writing-mode, direction, and text-orientation."},{name:"border-block-width",syntax:"<'border-top-width'>",relevance:50,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-block-width"}],description:"The border-block-width CSS property defines the width of the logical block borders of an element, which maps to a physical border width depending on the element's writing mode, directionality, and text orientation. It corresponds to the border-top-width and border-bottom-width, or border-left-width, and border-right-width property depending on the values defined for writing-mode, direction, and text-orientation."},{name:"border-end-end-radius",syntax:"<length-percentage>{1,2}",relevance:53,browsers:["E89","FF66","S15","C89","O75"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-end-end-radius"}],description:"The border-end-end-radius CSS property defines a logical border radius on an element, which maps to a physical border radius that depends on on the element's writing-mode, direction, and text-orientation."},{name:"border-end-start-radius",syntax:"<length-percentage>{1,2}",relevance:53,browsers:["E89","FF66","S15","C89","O75"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-end-start-radius"}],description:"The border-end-start-radius CSS property defines a logical border radius on an element, which maps to a physical border radius depending on the element's writing-mode, direction, and text-orientation."},{name:"border-inline",syntax:"<'border-top-width'> || <'border-top-style'> || <color>",relevance:50,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline"}],description:"The border-inline CSS property is a shorthand property for setting the individual logical inline border property values in a single place in the style sheet."},{name:"border-inline-color",syntax:"<'border-top-color'>{1,2}",relevance:50,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-color"}],description:"The border-inline-color CSS property defines the color of the logical inline borders of an element, which maps to a physical border color depending on the element's writing mode, directionality, and text orientation. It corresponds to the border-top-color and border-bottom-color, or border-right-color and border-left-color property depending on the values defined for writing-mode, direction, and text-orientation."},{name:"border-inline-style",syntax:"<'border-top-style'>",relevance:50,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-style"}],description:"The border-inline-style CSS property defines the style of the logical inline borders of an element, which maps to a physical border style depending on the element's writing mode, directionality, and text orientation. It corresponds to the border-top-style and border-bottom-style, or border-left-style and border-right-style properties depending on the values defined for writing-mode, direction, and text-orientation."},{name:"border-inline-width",syntax:"<'border-top-width'>",relevance:50,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-inline-width"}],description:"The border-inline-width CSS property defines the width of the logical inline borders of an element, which maps to a physical border width depending on the element's writing mode, directionality, and text orientation. It corresponds to the border-top-width and border-bottom-width, or border-left-width, and border-right-width property depending on the values defined for writing-mode, direction, and text-orientation."},{name:"border-start-end-radius",syntax:"<length-percentage>{1,2}",relevance:53,browsers:["E89","FF66","S15","C89","O75"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-start-end-radius"}],description:"The border-start-end-radius CSS property defines a logical border radius on an element, which maps to a physical border radius depending on the element's writing-mode, direction, and text-orientation."},{name:"border-start-start-radius",syntax:"<length-percentage>{1,2}",relevance:53,browsers:["E89","FF66","S15","C89","O75"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/border-start-start-radius"}],description:"The border-start-start-radius CSS property defines a logical border radius on an element, which maps to a physical border radius that depends on the element's writing-mode, direction, and text-orientation."},{name:"box-align",status:"obsolete",syntax:"start | center | end | baseline | stretch",values:[{name:"start"},{name:"center"},{name:"end"},{name:"baseline"},{name:"stretch"}],relevance:0,browsers:["E12","FF49","S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-align"}],description:"The box-align CSS property specifies how an element aligns its contents across its layout in a perpendicular direction. The effect of the property is only visible if there is extra space in the box."},{name:"box-direction",status:"obsolete",syntax:"normal | reverse | inherit",values:[{name:"normal"},{name:"reverse"},{name:"inherit"}],relevance:0,browsers:["E12","FF49","S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-direction"}],description:"The box-direction CSS property specifies whether a box lays out its contents normally (from the top or left edge), or in reverse (from the bottom or right edge)."},{name:"box-flex",status:"obsolete",syntax:"<number>",relevance:0,browsers:["E12","FF49","S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-flex"}],description:"The -moz-box-flex and -webkit-box-flex CSS properties specify how a -moz-box or -webkit-box grows to fill the box that contains it, in the direction of the containing box's layout."},{name:"box-flex-group",status:"obsolete",syntax:"<integer>",relevance:0,browsers:["S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-flex-group"}],description:"The box-flex-group CSS property assigns the flexbox's child elements to a flex group."},{name:"box-lines",status:"obsolete",syntax:"single | multiple",values:[{name:"single"},{name:"multiple"}],relevance:0,browsers:["S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-lines"}],description:"The box-lines CSS property determines whether the box may have a single or multiple lines (rows for horizontally oriented boxes, columns for vertically oriented boxes)."},{name:"box-ordinal-group",status:"obsolete",syntax:"<integer>",relevance:0,browsers:["E12","FF49","S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-ordinal-group"}],description:"The box-ordinal-group CSS property assigns the flexbox's child elements to an ordinal group."},{name:"box-orient",status:"obsolete",syntax:"horizontal | vertical | inline-axis | block-axis | inherit",values:[{name:"horizontal"},{name:"vertical"},{name:"inline-axis"},{name:"block-axis"},{name:"inherit"}],relevance:0,browsers:["E12","FF49","S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-orient"}],description:"The box-orient CSS property specifies whether an element lays out its contents horizontally or vertically."},{name:"box-pack",status:"obsolete",syntax:"start | center | end | justify",values:[{name:"start"},{name:"center"},{name:"end"},{name:"justify"}],relevance:0,browsers:["E12","FF49","S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/box-pack"}],description:"The -moz-box-pack and -webkit-box-pack CSS properties specify how a -moz-box or -webkit-box packs its contents in the direction of its layout. The effect of this is only visible if there is extra space in the box."},{name:"caret",syntax:"<'caret-color'> || <'caret-shape'>",relevance:50,description:"Shorthand for setting caret-color and caret-shape."},{name:"caret-shape",syntax:"auto | bar | block | underscore",values:[{name:"auto"},{name:"bar"},{name:"block"},{name:"underscore"}],relevance:50,description:"Specifies the desired shape of the text insertion caret."},{name:"color-scheme",syntax:"normal | [ light | dark | <custom-ident> ]+ && only?",relevance:57,browsers:["E81","FF96","S13","C81","O68"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/color-scheme"}],description:"The color-scheme CSS property allows an element to indicate which color schemes it can comfortably be rendered in."},{name:"contain-intrinsic-size",syntax:"[ auto? [ none | <length> ] ]{1,2}",relevance:50,browsers:["E83","FF107","S17","C83","O69"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-size"}],description:"Size of an element when the element is subject to size containment."},{name:"contain-intrinsic-block-size",syntax:"auto? [ none | <length> ]",relevance:50,browsers:["E95","FF107","S17","C95","O81"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-contain-intrinsic-block-size"}],description:"Block size of an element when the element is subject to size containment."},{name:"contain-intrinsic-height",syntax:"auto? [ none | <length> ]",relevance:50,browsers:["E95","FF107","S17","C95","O81"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-height"}],description:"Height of an element when the element is subject to size containment."},{name:"contain-intrinsic-inline-size",syntax:"auto? [ none | <length> ]",relevance:50,browsers:["E95","FF107","S17","C95","O81"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-contain-intrinsic-inline-size"}],description:"Inline size of an element when the element is subject to size containment."},{name:"contain-intrinsic-width",syntax:"auto? [ none | <length> ]",relevance:50,browsers:["E95","FF107","S17","C95","O81"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-width"}],description:"Width of an element when the element is subject to size containment."},{name:"container",syntax:"<'container-name'> [ / <'container-type'> ]?",relevance:53,browsers:["E105","FF110","S16","C105","O91"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/container"}],description:"The container shorthand CSS property establishes the element as a query container and specifies the name or name for the containment context used in a container query."},{name:"container-name",syntax:"none | <custom-ident>+",relevance:50,browsers:["E105","FF110","S16","C105","O91"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/container-name"}],description:"The container-name CSS property specifies a list of query container names used by the @container at-rule in a container query."},{name:"container-type",syntax:"normal | size | inline-size",values:[{name:"normal"},{name:"size"},{name:"inline-size"}],relevance:50,browsers:["E105","FF110","S16","C105","O91"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/container-type"}],description:"The container-type CSS property is used to define the type of containment used in a container query."},{name:"content-visibility",syntax:"visible | auto | hidden",values:[{name:"visible"},{name:"auto"},{name:"hidden"}],relevance:52,browsers:["E85","FFpreview","Spreview","C85","O71"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/content-visibility"}],description:"Controls whether or not an element renders its contents at all, along with forcing a strong set of containments, allowing user agents to potentially omit large swathes of layout and rendering work until it becomes needed."},{name:"counter-set",syntax:"[ <counter-name> <integer>? ]+ | none",relevance:50,browsers:["E85","FF68","S17.2","C85","O71"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/counter-set"}],description:"The counter-set CSS property sets a CSS counter to a given value. It manipulates the value of existing counters, and will only create new counters if there isn't already a counter of the given name on the element."},{name:"font-optical-sizing",syntax:"auto | none",values:[{name:"auto"},{name:"none"}],relevance:50,browsers:["E17","FF62","S11","C79","O66"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-optical-sizing"}],description:"The font-optical-sizing CSS property allows developers to control whether browsers render text with slightly differing visual representations to optimize viewing at different sizes, or not. This only works for fonts that have an optical size variation axis."},{name:"font-palette",syntax:"normal | light | dark | <palette-identifier>",relevance:50,browsers:["E101","FF107","S15.4","C101","O87"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-palette"}],description:"The font-palette CSS property allows specifying one of the many palettes contained in a font that a user agent should use for the font. Users can also override the values in a palette or create a new palette by using the @font-palette-values at-rule."},{name:"font-variation-settings",atRule:"@font-face",syntax:"normal | [ <string> <number> ]#",relevance:51,browsers:["E17","FF62","S11","C62","O49"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variation-settings"}],description:"The font-variation-settings CSS property provides low-level control over OpenType or TrueType font variations, by specifying the four letter axis names of the features you want to vary, along with their variation values."},{name:"font-smooth",status:"nonstandard",syntax:"auto | never | always | <absolute-size> | <length>",relevance:0,browsers:["E79","FF25","S4","C5","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-smooth"}],description:"The font-smooth CSS property controls the application of anti-aliasing when fonts are rendered."},{name:"font-synthesis-position",syntax:"auto | none",values:[{name:"auto"},{name:"none"}],relevance:50,browsers:["FF118"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-synthesis-position"}],description:'The font-synthesis-position CSS property lets you specify whether or not a browser may synthesize the subscript and superscript "position" typefaces when they are missing in a font family, while using font-variant-position to set the positions.'},{name:"font-synthesis-small-caps",syntax:"auto | none",values:[{name:"auto"},{name:"none"}],relevance:50,browsers:["E97","FF111","S16.4","C97","O83"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-synthesis-small-caps"}],description:"The font-synthesis-small-caps CSS property lets you specify whether or not the browser may synthesize small-caps typeface when it is missing in a font family. Small-caps glyphs typically use the form of uppercase letters but are reduced to the size of lowercase letters."},{name:"font-synthesis-style",syntax:"auto | none",values:[{name:"auto"},{name:"none"}],relevance:50,browsers:["E97","FF111","S16.4","C97","O83"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-synthesis-style"}],description:"The font-synthesis-style CSS property lets you specify whether or not the browser may synthesize the oblique typeface when it is missing in a font family."},{name:"font-synthesis-weight",syntax:"auto | none",values:[{name:"auto"},{name:"none"}],relevance:50,browsers:["E97","FF111","S16.4","C97","O83"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-synthesis-weight"}],description:"The font-synthesis-weight CSS property lets you specify whether or not the browser may synthesize the bold typeface when it is missing in a font family."},{name:"font-variant-emoji",syntax:"normal | text | emoji | unicode",values:[{name:"normal"},{name:"text"},{name:"emoji"},{name:"unicode"}],relevance:50,browsers:["FF108"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/font-variant-emoji"}],description:"The font-variant-emoji CSS property specifies the default presentation style for displaying emojis."},{name:"forced-color-adjust",syntax:"auto | none",values:[{name:"auto"},{name:"none"}],relevance:57,browsers:["E79","FF113","C89","IE10","O75"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/forced-color-adjust"}],description:"Allows authors to opt certain elements out of forced colors mode. This then restores the control of those values to CSS"},{name:"gap",syntax:"<'row-gap'> <'column-gap'>?",relevance:70,browsers:["E16","FF52","S10.1","C57","O44"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/gap"}],description:"The gap CSS property is a shorthand property for row-gap and column-gap specifying the gutters between grid rows and columns."},{name:"hanging-punctuation",syntax:"none | [ first || [ force-end | allow-end ] || last ]",relevance:50,browsers:["S10"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/hanging-punctuation"}],description:"The hanging-punctuation CSS property specifies whether a punctuation mark should hang at the start or end of a line of text. Hanging punctuation may be placed outside the line box."},{name:"hyphenate-character",syntax:"auto | <string>",relevance:50,browsers:["E106","FF98","S17","C106","O92"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/hyphenate-character"}],description:"A hyphenate character used at the end of a line."},{name:"hyphenate-limit-chars",syntax:"[ auto | <integer> ]{1,3}",relevance:50,browsers:["E109","C109","O95"],description:"The hyphenate-limit-chars CSS property specifies the minimum word length to allow hyphenation of words as well as the minimum number of characters before and after the hyphen."},{name:"image-resolution",status:"experimental",syntax:"[ from-image || <resolution> ] && snap?",relevance:50,description:"The image-resolution property specifies the intrinsic resolution of all raster images used in or on the element. It affects both content images (e.g. replaced elements and generated content) and decorative images (such as background-image). The intrinsic resolution of an image is used to determine the image’s intrinsic dimensions."},{name:"initial-letter",status:"experimental",syntax:"normal | [ <number> <integer>? ]",relevance:50,browsers:["E110","S9","C110","O96"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/initial-letter"}],description:"The initial-letter CSS property specifies styling for dropped, raised, and sunken initial letters."},{name:"initial-letter-align",status:"experimental",syntax:"[ auto | alphabetic | hanging | ideographic ]",relevance:50,references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/initial-letter-align"}],description:"The initial-letter-align CSS property specifies the alignment of initial letters within a paragraph."},{name:"input-security",syntax:"auto | none",values:[{name:"auto"},{name:"none"}],relevance:50,description:"Enables or disables the obscuring a sensitive test input."},{name:"inset",syntax:"<'top'>{1,4}",relevance:58,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/inset"}],description:"The inset CSS property defines the logical block and inline start and end offsets of an element, which map to physical offsets depending on the element's writing mode, directionality, and text orientation. It corresponds to the top and bottom, or right and left properties depending on the values defined for writing-mode, direction, and text-orientation."},{name:"inset-block",syntax:"<'top'>{1,2}",relevance:53,browsers:["E87","FF63","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/inset-block"}],description:"The inset-block CSS property defines the logical block start and end offsets of an element, which maps to physical offsets depending on the element's writing mode, directionality, and text orientation. It corresponds to the top and bottom, or right and left properties depending on the values defined for writing-mode, direction, and text-orientation."},{name:"inset-block-end",syntax:"<'top'>",relevance:50,browsers:["E87","FF63","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/inset-block-end"}],description:"The inset-block-end CSS property defines the logical block end offset of an element, which maps to a physical offset depending on the element's writing mode, directionality, and text orientation. It corresponds to the top, right, bottom, or left property depending on the values defined for writing-mode, direction, and text-orientation."},{name:"inset-block-start",syntax:"<'top'>",relevance:53,browsers:["E87","FF63","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/inset-block-start"}],description:"The inset-block-start CSS property defines the logical block start offset of an element, which maps to a physical offset depending on the element's writing mode, directionality, and text orientation. It corresponds to the top, right, bottom, or left property depending on the values defined for writing-mode, direction, and text-orientation."},{name:"inset-inline",syntax:"<'top'>{1,2}",relevance:53,browsers:["E87","FF63","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/inset-inline"}],description:"The inset-inline CSS property defines the logical block start and end offsets of an element, which maps to physical offsets depending on the element's writing mode, directionality, and text orientation. It corresponds to the top and bottom, or right and left properties depending on the values defined for writing-mode, direction, and text-orientation."},{name:"inset-inline-end",syntax:"<'top'>",relevance:51,browsers:["E87","FF63","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/inset-inline-end"}],description:"The inset-inline-end CSS property defines the logical inline end inset of an element, which maps to a physical inset depending on the element's writing mode, directionality, and text orientation. It corresponds to the top, right, bottom, or left property depending on the values defined for writing-mode, direction, and text-orientation."},{name:"inset-inline-start",syntax:"<'top'>",relevance:54,browsers:["E87","FF63","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/inset-inline-start"}],description:"The inset-inline-start CSS property defines the logical inline start inset of an element, which maps to a physical offset depending on the element's writing mode, directionality, and text orientation. It corresponds to the top, right, bottom, or left property depending on the values defined for writing-mode, direction, and text-orientation."},{name:"justify-tracks",status:"experimental",syntax:"[ normal | <content-distribution> | <overflow-position>? [ <content-position> | left | right ] ]#",relevance:50,browsers:["FF77"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/justify-tracks"}],description:"The justify-tracks CSS property sets the alignment in the masonry axis for grid containers that have masonry in their inline axis"},{name:"line-clamp",status:"experimental",syntax:"none | <integer>",relevance:50,description:"The line-clamp property allows limiting the contents of a block container to the specified number of lines; remaining content is fragmented away and neither rendered nor measured. Optionally, it also allows inserting content into the last line box to indicate the continuity of truncated/interrupted content."},{name:"line-height-step",status:"experimental",syntax:"<length>",relevance:50,browsers:["E79","C60","O47"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/line-height-step"}],description:"The line-height-step CSS property defines the step units for line box heights. When the step unit is positive, line box heights are rounded up to the closest multiple of the unit. Negative values are invalid."},{name:"margin-block",syntax:"<'margin-left'>{1,2}",relevance:54,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-block"}],description:"The margin-block CSS property defines the logical block start and end margins of an element, which maps to physical margins depending on the element's writing mode, directionality, and text orientation."},{name:"margin-inline",syntax:"<'margin-left'>{1,2}",relevance:54,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-inline"}],description:"The margin-inline CSS property defines the logical inline start and end margins of an element, which maps to physical margins depending on the element's writing mode, directionality, and text orientation."},{name:"margin-trim",status:"experimental",syntax:"none | in-flow | all",values:[{name:"none"},{name:"in-flow"},{name:"all"}],relevance:50,browsers:["S16.4"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/margin-trim"}],description:"The margin-trim property allows the container to trim the margins of its children where they adjoin the container’s edges."},{name:"mask",syntax:"<mask-layer>#",relevance:55,browsers:["E79","FF53","S15.4","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask"}],description:"The mask CSS property alters the visibility of an element by either partially or fully hiding it. This is accomplished by either masking or clipping the image at specific points."},{name:"mask-border",syntax:"<'mask-border-source'> || <'mask-border-slice'> [ / <'mask-border-width'>? [ / <'mask-border-outset'> ]? ]? || <'mask-border-repeat'> || <'mask-border-mode'>",relevance:50,browsers:["E79","S17.2","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-border"}],description:`The mask-border CSS property lets you create a mask along the edge of an element's border.
+
+This property is a shorthand for mask-border-source, mask-border-slice, mask-border-width, mask-border-outset, mask-border-repeat, and mask-border-mode. As with all shorthand properties, any omitted sub-values will be set to their initial value.`},{name:"mask-border-mode",syntax:"luminance | alpha",values:[{name:"luminance"},{name:"alpha"}],relevance:50,description:"The mask-border-mode CSS property specifies the blending mode used in a mask border."},{name:"mask-border-outset",syntax:"[ <length> | <number> ]{1,4}",relevance:50,browsers:["E79","S17.2","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-border-outset"}],description:"The mask-border-outset CSS property specifies the distance by which an element's mask border is set out from its border box."},{name:"mask-border-repeat",syntax:"[ stretch | repeat | round | space ]{1,2}",relevance:50,browsers:["E79","S17.2","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-border-repeat"}],description:"The mask-border-repeat CSS property defines how the edge regions of a source image are adjusted to fit the dimensions of an element's mask border."},{name:"mask-border-slice",syntax:"<number-percentage>{1,4} fill?",relevance:50,browsers:["E79","S17.2","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-border-slice"}],description:"The mask-border-slice CSS property divides the image specified by mask-border-source into regions. These regions are used to form the components of an element's mask border."},{name:"mask-border-source",syntax:"none | <image>",relevance:50,browsers:["E79","S17.2","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-border-source"}],description:`The mask-border-source CSS property specifies the source image used to create an element's mask border.
+
+The mask-border-slice property is used to divide the source image into regions, which are then dynamically applied to the final mask border.`},{name:"mask-border-width",syntax:"[ <length-percentage> | <number> | auto ]{1,4}",relevance:50,browsers:["E79","S17.2","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-border-width"}],description:"The mask-border-width CSS property specifies the width of an element's mask border."},{name:"mask-clip",syntax:"[ <geometry-box> | no-clip ]#",relevance:50,browsers:["E120","FF53","S15.4","C120","O106"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-clip"}],description:"The mask-clip CSS property determines the area, which is affected by a mask. The painted content of an element must be restricted to this area."},{name:"mask-composite",syntax:"<compositing-operator>#",relevance:50,browsers:["E18","FF53","S15.4","C120","O106"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/mask-composite"}],description:"The mask-composite CSS property represents a compositing operation used on the current mask layer with the mask layers below it."},{name:"masonry-auto-flow",status:"experimental",syntax:"[ pack | next ] || [ definite-first | ordered ]",relevance:50,browsers:["Spreview"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/masonry-auto-flow"}],description:"The masonry-auto-flow CSS property modifies how items are placed when using masonry in CSS Grid Layout."},{name:"math-depth",syntax:"auto-add | add(<integer>) | <integer>",relevance:50,browsers:["E109","FF117","C109","O95"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/math-depth"}],description:'Describe a notion of "depth" for each element of a mathematical formula, with respect to the top-level container of that formula.'},{name:"math-shift",syntax:"normal | compact",values:[{name:"normal"},{name:"compact"}],relevance:50,browsers:["E109","C109","O95"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/math-shift"}],description:"Used for positioning superscript during the layout of MathML scripted elements."},{name:"math-style",syntax:"normal | compact",values:[{name:"normal"},{name:"compact"}],relevance:50,browsers:["E109","FF117","S14.1","C109","O95"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/math-style"}],description:"The math-style property indicates whether MathML equations should render with normal or compact height."},{name:"max-lines",status:"experimental",syntax:"none | <integer>",relevance:50,description:"The max-lines property forces a break after a set number of lines"},{name:"offset",syntax:"[ <'offset-position'>? [ <'offset-path'> [ <'offset-distance'> || <'offset-rotate'> ]? ]? ]! [ / <'offset-anchor'> ]?",relevance:50,browsers:["E79","FF72","S16","C55","O42"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/offset"}],description:"The offset CSS property is a shorthand property for animating an element along a defined path."},{name:"offset-anchor",syntax:"auto | <position>",relevance:50,browsers:["E116","FF72","S16","C116","O102"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/offset-anchor"}],description:"Defines an anchor point of the box positioned along the path. The anchor point specifies the point of the box which is to be considered as the point that is moved along the path."},{name:"offset-distance",syntax:"<length-percentage>",relevance:50,browsers:["E79","FF72","S16","C55","O42"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/offset-distance"}],description:"The offset-distance CSS property specifies a position along an offset-path."},{name:"offset-path",syntax:"none | <offset-path> || <coord-box>",relevance:50,browsers:["E79","FF72","S15.4","C55","O45"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/offset-path"}],description:`The offset-path CSS property specifies the offset path where the element gets positioned. The exact element’s position on the offset path is determined by the offset-distance property. An offset path is either a specified path with one or multiple sub-paths or the geometry of a not-styled basic shape. Each shape or path must define an initial position for the computed value of "0" for offset-distance and an initial direction which specifies the rotation of the object to the initial position.
+
+In this specification, a direction (or rotation) of 0 degrees is equivalent to the direction of the positive x-axis in the object’s local coordinate system. In other words, a rotation of 0 degree points to the right side of the UA if the object and its ancestors have no transformation applied.`},{name:"offset-position",syntax:"normal | auto | <position>",relevance:50,browsers:["E116","FF122","S16","C116","O102"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/offset-position"}],description:"Specifies the initial position of the offset path. If position is specified with static, offset-position would be ignored."},{name:"offset-rotate",syntax:"[ auto | reverse ] || <angle>",relevance:50,browsers:["E79","FF72","S16","C56","O43"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/offset-rotate"}],description:"The offset-rotate CSS property defines the direction of the element while positioning along the offset path."},{name:"overflow-anchor",syntax:"auto | none",values:[{name:"auto"},{name:"none"}],relevance:52,browsers:["E79","FF66","Spreview","C56","O43"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overflow-anchor"}],description:"The overflow-anchor CSS property provides a way to opt out browser scroll anchoring behavior which adjusts scroll position to minimize content shifts."},{name:"overflow-block",syntax:"visible | hidden | clip | scroll | auto",values:[{name:"visible"},{name:"hidden"},{name:"clip"},{name:"scroll"},{name:"auto"}],relevance:50,browsers:["FF69"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overflow-block"}],description:"The overflow-block CSS media feature can be used to test how the output device handles content that overflows the initial containing block along the block axis."},{name:"overflow-clip-box",status:"nonstandard",syntax:"padding-box | content-box",values:[{name:"padding-box"},{name:"content-box"}],relevance:0,description:"The overflow-clip-box CSS property specifies relative to which box the clipping happens when there is an overflow. It is short hand for the overflow-clip-box-inline and overflow-clip-box-block properties."},{name:"overflow-clip-margin",syntax:"<visual-box> || <length [0,∞]>",relevance:50,browsers:["E90","FF102","C90","O76"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overflow-clip-margin"}],description:"The overflow-clip-margin CSS property determines how far outside its bounds an element with overflow: clip may be painted before being clipped."},{name:"overflow-inline",syntax:"visible | hidden | clip | scroll | auto",values:[{name:"visible"},{name:"hidden"},{name:"clip"},{name:"scroll"},{name:"auto"}],relevance:50,browsers:["FF69"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overflow-inline"}],description:"The overflow-inline CSS media feature can be used to test how the output device handles content that overflows the initial containing block along the inline axis."},{name:"overlay",status:"experimental",syntax:"none | auto",values:[{name:"none"},{name:"auto"}],relevance:50,browsers:["E117","C117","O103"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overlay"}],description:'The overlay CSS property specifies whether an element appearing in the top layer (for example, a shown popover or modal {{htmlelement("dialog")}} element) is actually rendered in the top layer. This property is only relevant within a list of transition-property values, and only if allow-discrete is set as the transition-behavior.'},{name:"overscroll-behavior",syntax:"[ contain | none | auto ]{1,2}",relevance:50,browsers:["E18","FF59","S16","C63","O50"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior"}],description:"The overscroll-behavior CSS property is shorthand for the overscroll-behavior-x and overscroll-behavior-y properties, which allow you to control the browser's scroll overflow behavior — what happens when the boundary of a scrolling area is reached."},{name:"overscroll-behavior-block",syntax:"contain | none | auto",values:[{name:"contain"},{name:"none"},{name:"auto"}],relevance:50,browsers:["E79","FF73","S16","C77","O64"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior-block"}],description:"The overscroll-behavior-block CSS property sets the browser's behavior when the block direction boundary of a scrolling area is reached."},{name:"overscroll-behavior-inline",syntax:"contain | none | auto",values:[{name:"contain"},{name:"none"},{name:"auto"}],relevance:50,browsers:["E79","FF73","S16","C77","O64"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior-inline"}],description:"The overscroll-behavior-inline CSS property sets the browser's behavior when the inline direction boundary of a scrolling area is reached."},{name:"overscroll-behavior-x",syntax:"contain | none | auto",values:[{name:"contain"},{name:"none"},{name:"auto"}],relevance:50,browsers:["E18","FF59","S16","C63","O50"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior-x"}],description:"The overscroll-behavior-x CSS property is allows you to control the browser's scroll overflow behavior — what happens when the boundary of a scrolling area is reached — in the x axis direction."},{name:"overscroll-behavior-y",syntax:"contain | none | auto",values:[{name:"contain"},{name:"none"},{name:"auto"}],relevance:50,browsers:["E18","FF59","S16","C63","O50"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior-y"}],description:"The overscroll-behavior-y CSS property is allows you to control the browser's scroll overflow behavior — what happens when the boundary of a scrolling area is reached — in the y axis direction."},{name:"padding-block",syntax:"<'padding-left'>{1,2}",relevance:54,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-block"}],description:"The padding-block CSS property defines the logical block start and end padding of an element, which maps to physical padding properties depending on the element's writing mode, directionality, and text orientation."},{name:"padding-inline",syntax:"<'padding-left'>{1,2}",relevance:54,browsers:["E87","FF66","S14.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/padding-inline"}],description:"The padding-inline CSS property defines the logical inline start and end padding of an element, which maps to physical padding properties depending on the element's writing mode, directionality, and text orientation."},{name:"page",syntax:"auto | <custom-ident>",relevance:50,browsers:["E85","FF110","S13.1","C85","O71"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/page"}],description:"The page CSS property is used to specify the named page, a specific type of page defined by the @page at-rule."},{name:"place-content",syntax:"<'align-content'> <'justify-content'>?",relevance:51,browsers:["E79","FF45","S9","C59","O46"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/place-content"}],description:"The place-content CSS shorthand property sets both the align-content and justify-content properties."},{name:"place-items",syntax:"<'align-items'> <'justify-items'>?",relevance:51,browsers:["E79","FF45","S11","C59","O46"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/place-items"}],description:"The CSS place-items shorthand property sets both the align-items and justify-items properties. The first value is the align-items property value, the second the justify-items one. If the second value is not present, the first value is also used for it."},{name:"place-self",syntax:"<'align-self'> <'justify-self'>?",relevance:50,browsers:["E79","FF45","S11","C59","O46"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/place-self"}],description:"The place-self CSS property is a shorthand property sets both the align-self and justify-self properties. The first value is the align-self property value, the second the justify-self one. If the second value is not present, the first value is also used for it."},{name:"print-color-adjust",syntax:"economy | exact",values:[{name:"economy"},{name:"exact"}],relevance:50,browsers:["E79","FF97","S15.4","C17","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/print-color-adjust"}],description:"Defines what optimization the user agent is allowed to do when adjusting the appearance for an output device."},{name:"rotate",syntax:"none | <angle> | [ x | y | z | <number>{3} ] && <angle>",relevance:50,browsers:["E104","FF72","S14.1","C104","O90"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/rotate"}],description:"The rotate CSS property allows you to specify rotation transforms individually and independently of the transform property. This maps better to typical user interface usage, and saves having to remember the exact order of transform functions to specify in the transform value."},{name:"row-gap",syntax:"normal | <length-percentage>",relevance:58,browsers:["E16","FF52","S10.1","C47","O34"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/row-gap"}],description:"The row-gap CSS property specifies the gutter between grid rows."},{name:"ruby-merge",status:"experimental",syntax:"separate | collapse | auto",values:[{name:"separate"},{name:"collapse"},{name:"auto"}],relevance:50,description:"This property controls how ruby annotation boxes should be rendered when there are more than one in a ruby container box: whether each pair should be kept separate, the annotations should be collapsed and rendered as a group, or the separation should be determined based on the space available."},{name:"scale",syntax:"none | <number>{1,3}",relevance:51,browsers:["E104","FF72","S14.1","C104","O90"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scale"}],description:"The scale CSS property allows you to specify scale transforms individually and independently of the transform property. This maps better to typical user interface usage, and saves having to remember the exact order of transform functions to specify in the transform value."},{name:"scrollbar-color",syntax:"auto | <color>{2}",relevance:52,browsers:["E121","FF64","C121"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-color"}],description:"The scrollbar-color CSS property sets the color of the scrollbar track and thumb."},{name:"scrollbar-gutter",syntax:"auto | stable && both-edges?",relevance:50,browsers:["E94","FF97","S17","C94","O80"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-gutter"}],description:"The scrollbar-gutter CSS property allows authors to reserve space for the scrollbar, preventing unwanted layout changes as the content grows while also avoiding unnecessary visuals when scrolling isn't needed."},{name:"scrollbar-width",syntax:"auto | thin | none",values:[{name:"auto"},{name:"thin"},{name:"none"}],relevance:63,browsers:["E121","FF64","C121"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scrollbar-width"}],description:"The scrollbar-width property allows the author to set the maximum thickness of an element’s scrollbars when they are shown. "},{name:"scroll-margin",syntax:"<length>{1,4}",relevance:50,browsers:["E79","FF90","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin"}],description:"The scroll-margin property is a shorthand property which sets all of the scroll-margin longhands, assigning values much like the margin property does for the margin-* longhands."},{name:"scroll-margin-block",syntax:"<length>{1,2}",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-block"}],description:"The scroll-margin-block property is a shorthand property which sets the scroll-margin longhands in the block dimension."},{name:"scroll-margin-block-start",syntax:"<length>",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-block-start"}],description:"The scroll-margin-block-start property defines the margin of the scroll snap area at the start of the block dimension that is used for snapping this box to the snapport. The scroll snap area is determined by taking the transformed border box, finding its rectangular bounding box (axis-aligned in the scroll container’s coordinate space), then adding the specified outsets."},{name:"scroll-margin-block-end",syntax:"<length>",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-block-end"}],description:"The scroll-margin-block-end property defines the margin of the scroll snap area at the end of the block dimension that is used for snapping this box to the snapport. The scroll snap area is determined by taking the transformed border box, finding its rectangular bounding box (axis-aligned in the scroll container’s coordinate space), then adding the specified outsets."},{name:"scroll-margin-bottom",syntax:"<length>",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-bottom"}],description:"The scroll-margin-bottom property defines the bottom margin of the scroll snap area that is used for snapping this box to the snapport. The scroll snap area is determined by taking the transformed border box, finding its rectangular bounding box (axis-aligned in the scroll container’s coordinate space), then adding the specified outsets."},{name:"scroll-margin-inline",syntax:"<length>{1,2}",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-inline"}],description:"The scroll-margin-inline property is a shorthand property which sets the scroll-margin longhands in the inline dimension."},{name:"scroll-margin-inline-start",syntax:"<length>",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-inline-start"}],description:"The scroll-margin-inline-start property defines the margin of the scroll snap area at the start of the inline dimension that is used for snapping this box to the snapport. The scroll snap area is determined by taking the transformed border box, finding its rectangular bounding box (axis-aligned in the scroll container’s coordinate space), then adding the specified outsets."},{name:"scroll-margin-inline-end",syntax:"<length>",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-inline-end"}],description:"The scroll-margin-inline-end property defines the margin of the scroll snap area at the end of the inline dimension that is used for snapping this box to the snapport. The scroll snap area is determined by taking the transformed border box, finding its rectangular bounding box (axis-aligned in the scroll container’s coordinate space), then adding the specified outsets."},{name:"scroll-margin-left",syntax:"<length>",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-left"}],description:"The scroll-margin-left property defines the left margin of the scroll snap area that is used for snapping this box to the snapport. The scroll snap area is determined by taking the transformed border box, finding its rectangular bounding box (axis-aligned in the scroll container’s coordinate space), then adding the specified outsets."},{name:"scroll-margin-right",syntax:"<length>",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-right"}],description:"The scroll-margin-right property defines the right margin of the scroll snap area that is used for snapping this box to the snapport. The scroll snap area is determined by taking the transformed border box, finding its rectangular bounding box (axis-aligned in the scroll container’s coordinate space), then adding the specified outsets."},{name:"scroll-margin-top",syntax:"<length>",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-margin-top"}],description:"The scroll-margin-top property defines the top margin of the scroll snap area that is used for snapping this box to the snapport. The scroll snap area is determined by taking the transformed border box, finding its rectangular bounding box (axis-aligned in the scroll container’s coordinate space), then adding the specified outsets."},{name:"scroll-padding",syntax:"[ auto | <length-percentage> ]{1,4}",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding"}],description:"The scroll-padding property is a shorthand property which sets all of the scroll-padding longhands, assigning values much like the padding property does for the padding-* longhands."},{name:"scroll-padding-block",syntax:"[ auto | <length-percentage> ]{1,2}",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-block"}],description:"The scroll-padding-block property is a shorthand property which sets the scroll-padding longhands for the block dimension."},{name:"scroll-padding-block-start",syntax:"auto | <length-percentage>",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-block-start"}],description:"The scroll-padding-block-start property defines offsets for the start edge in the block dimension of the optimal viewing region of the scrollport: the region used as the target region for placing things in view of the user. This allows the author to exclude regions of the scrollport that are obscured by other content (such as fixed-positioned toolbars or sidebars) or simply to put more breathing room between a targeted element and the edges of the scrollport."},{name:"scroll-padding-block-end",syntax:"auto | <length-percentage>",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-block-end"}],description:"The scroll-padding-block-end property defines offsets for the end edge in the block dimension of the optimal viewing region of the scrollport: the region used as the target region for placing things in view of the user. This allows the author to exclude regions of the scrollport that are obscured by other content (such as fixed-positioned toolbars or sidebars) or simply to put more breathing room between a targeted element and the edges of the scrollport."},{name:"scroll-padding-bottom",syntax:"auto | <length-percentage>",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-bottom"}],description:"The scroll-padding-bottom property defines offsets for the bottom of the optimal viewing region of the scrollport: the region used as the target region for placing things in view of the user. This allows the author to exclude regions of the scrollport that are obscured by other content (such as fixed-positioned toolbars or sidebars) or simply to put more breathing room between a targeted element and the edges of the scrollport."},{name:"scroll-padding-inline",syntax:"[ auto | <length-percentage> ]{1,2}",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-inline"}],description:"The scroll-padding-inline property is a shorthand property which sets the scroll-padding longhands for the inline dimension."},{name:"scroll-padding-inline-start",syntax:"auto | <length-percentage>",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-inline-start"}],description:"The scroll-padding-inline-start property defines offsets for the start edge in the inline dimension of the optimal viewing region of the scrollport: the region used as the target region for placing things in view of the user. This allows the author to exclude regions of the scrollport that are obscured by other content (such as fixed-positioned toolbars or sidebars) or simply to put more breathing room between a targeted element and the edges of the scrollport."},{name:"scroll-padding-inline-end",syntax:"auto | <length-percentage>",relevance:50,browsers:["E79","FF68","S15","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-inline-end"}],description:"The scroll-padding-inline-end property defines offsets for the end edge in the inline dimension of the optimal viewing region of the scrollport: the region used as the target region for placing things in view of the user. This allows the author to exclude regions of the scrollport that are obscured by other content (such as fixed-positioned toolbars or sidebars) or simply to put more breathing room between a targeted element and the edges of the scrollport."},{name:"scroll-padding-left",syntax:"auto | <length-percentage>",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-left"}],description:"The scroll-padding-left property defines offsets for the left of the optimal viewing region of the scrollport: the region used as the target region for placing things in view of the user. This allows the author to exclude regions of the scrollport that are obscured by other content (such as fixed-positioned toolbars or sidebars) or simply to put more breathing room between a targeted element and the edges of the scrollport."},{name:"scroll-padding-right",syntax:"auto | <length-percentage>",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-right"}],description:"The scroll-padding-right property defines offsets for the right of the optimal viewing region of the scrollport: the region used as the target region for placing things in view of the user. This allows the author to exclude regions of the scrollport that are obscured by other content (such as fixed-positioned toolbars or sidebars) or simply to put more breathing room between a targeted element and the edges of the scrollport."},{name:"scroll-padding-top",syntax:"auto | <length-percentage>",relevance:50,browsers:["E79","FF68","S14.1","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-padding-top"}],description:"The scroll-padding-top property defines offsets for the top of the optimal viewing region of the scrollport: the region used as the target region for placing things in view of the user. This allows the author to exclude regions of the scrollport that are obscured by other content (such as fixed-positioned toolbars or sidebars) or simply to put more breathing room between a targeted element and the edges of the scrollport."},{name:"scroll-snap-align",syntax:"[ none | start | end | center ]{1,2}",relevance:53,browsers:["E79","FF68","S11","C69","O56"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-snap-align"}],description:"The scroll-snap-align property specifies the box’s snap position as an alignment of its snap area (as the alignment subject) within its snap container’s snapport (as the alignment container). The two values specify the snapping alignment in the block axis and inline axis, respectively. If only one value is specified, the second value defaults to the same value."},{name:"scroll-snap-stop",syntax:"normal | always",values:[{name:"normal"},{name:"always"}],relevance:51,browsers:["E79","FF103","S15","C75","O62"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-snap-stop"}],description:'The scroll-snap-stop CSS property defines whether the scroll container is allowed to "pass over" possible snap positions.'},{name:"scroll-snap-type-x",status:"obsolete",syntax:"none | mandatory | proximity",values:[{name:"none"},{name:"mandatory"},{name:"proximity"}],relevance:0,description:`The scroll-snap-type-x CSS property defines how strictly snap points are enforced on the horizontal axis of the scroll container in case there is one.
+
+Specifying any precise animations or physics used to enforce those snap points is not covered by this property but instead left up to the user agent.`},{name:"scroll-snap-type-y",status:"obsolete",syntax:"none | mandatory | proximity",values:[{name:"none"},{name:"mandatory"},{name:"proximity"}],relevance:0,description:`The scroll-snap-type-y CSS property defines how strictly snap points are enforced on the vertical axis of the scroll container in case there is one.
+
+Specifying any precise animations or physics used to enforce those snap points is not covered by this property but instead left up to the user agent.`},{name:"scroll-timeline",status:"experimental",syntax:"[ <'scroll-timeline-name'> <'scroll-timeline-axis'>? ]#",relevance:50,browsers:["E115","FF111","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-timeline"}],description:"Defines a name that can be used to identify the source element of a scroll timeline, along with the scrollbar axis that should provide the timeline."},{name:"scroll-timeline-axis",status:"experimental",syntax:"[ block | inline | x | y ]#",relevance:50,browsers:["E115","FF111","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-timeline-axis"}],description:"Specifies the scrollbar that will be used to provide the timeline for a scroll-timeline animation"},{name:"scroll-timeline-name",status:"experimental",syntax:"none | <dashed-ident>#",relevance:50,browsers:["E115","FF111","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/scroll-timeline-name"}],description:"Defines a name that can be used to identify an element as the source of a scroll-timeline."},{name:"text-combine-upright",syntax:"none | all | [ digits <integer>? ]",relevance:50,browsers:["E79","FF48","S15.4","C48","IE11","O35"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-combine-upright"}],description:`The text-combine-upright CSS property specifies the combination of multiple characters into the space of a single character. If the combined text is wider than 1em, the user agent must fit the contents within 1em. The resulting composition is treated as a single upright glyph for layout and decoration. This property only has an effect in vertical writing modes.
+
+This is used to produce an effect that is known as tate-chū-yoko (縦中横) in Japanese, or as 直書橫向 in Chinese.`},{name:"text-decoration-skip",status:"experimental",syntax:"none | [ objects || [ spaces | [ leading-spaces || trailing-spaces ] ] || edges || box-decoration ]",relevance:52,browsers:["S12.1","C57","O44"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-decoration-skip"}],description:"The text-decoration-skip CSS property specifies what parts of the element’s content any text decoration affecting the element must skip over. It controls all text decoration lines drawn by the element and also any text decoration lines drawn by its ancestors."},{name:"text-decoration-skip-ink",syntax:"auto | all | none",values:[{name:"auto"},{name:"all"},{name:"none"}],relevance:51,browsers:["E79","FF70","S15.4","C64","O50"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-decoration-skip-ink"}],description:"The text-decoration-skip-ink CSS property specifies how overlines and underlines are drawn when they pass over glyph ascenders and descenders."},{name:"text-decoration-thickness",syntax:"auto | from-font | <length> | <percentage> ",relevance:50,browsers:["E89","FF70","S12.1","C89","O75"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-decoration-thickness"}],description:"The text-decoration-thickness CSS property sets the thickness, or width, of the decoration line that is used on text in an element, such as a line-through, underline, or overline."},{name:"text-emphasis",syntax:"<'text-emphasis-style'> || <'text-emphasis-color'>",relevance:50,browsers:["E99","FF46","S7","C99","O85"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-emphasis"}],description:"The text-emphasis CSS property is a shorthand property for setting text-emphasis-style and text-emphasis-color in one declaration. This property will apply the specified emphasis mark to each character of the element's text, except separator characters, like spaces, and control characters."},{name:"text-emphasis-color",syntax:"<color>",relevance:50,browsers:["E99","FF46","S7","C99","O85"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-emphasis-color"}],description:"The text-emphasis-color CSS property defines the color used to draw emphasis marks on text being rendered in the HTML document. This value can also be set and reset using the text-emphasis shorthand."},{name:"text-emphasis-position",syntax:"[ over | under ] && [ right | left ]",relevance:50,browsers:["E99","FF46","S7","C99","O85"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-emphasis-position"}],description:"The text-emphasis-position CSS property describes where emphasis marks are drawn at. The effect of emphasis marks on the line height is the same as for ruby text: if there isn't enough place, the line height is increased."},{name:"text-emphasis-style",syntax:"none | [ [ filled | open ] || [ dot | circle | double-circle | triangle | sesame ] ] | <string>",relevance:50,browsers:["E99","FF46","S7","C99","O85"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-emphasis-style"}],description:"The text-emphasis-style CSS property defines the type of emphasis used. It can also be set, and reset, using the text-emphasis shorthand."},{name:"text-size-adjust",status:"experimental",syntax:"none | auto | <percentage>",relevance:57,browsers:["E79","C54","O41"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-size-adjust"}],description:"The text-size-adjust CSS property controls the text inflation algorithm used on some smartphones and tablets. Other browsers will ignore this property."},{name:"text-underline-offset",syntax:"auto | <length> | <percentage> ",relevance:51,browsers:["E87","FF70","S12.1","C87","O73"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-underline-offset"}],description:"The text-underline-offset CSS property sets the offset distance of an underline text decoration line (applied using text-decoration) from its original position."},{name:"text-wrap",syntax:"wrap | nowrap | balance | stable | pretty",values:[{name:"wrap"},{name:"nowrap"},{name:"balance"},{name:"stable"},{name:"pretty"}],relevance:54,browsers:["E114","FF121","Spreview","C114","O100"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/text-wrap"}],description:"The text-wrap CSS property controls how text inside an element is wrapped."},{name:"timeline-scope",status:"experimental",syntax:"none | <dashed-ident>#",relevance:50,browsers:["E116","C116","O102"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/timeline-scope"}],description:"The timeline-scope CSS property modifies the scope of a named animation timeline."},{name:"transform-box",syntax:"content-box | border-box | fill-box | stroke-box | view-box",values:[{name:"content-box"},{name:"border-box"},{name:"fill-box"},{name:"stroke-box"},{name:"view-box"}],relevance:50,browsers:["E79","FF55","S11","C64","O51"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transform-box"}],description:"The transform-box CSS property defines the layout box to which the transform and transform-origin properties relate."},{name:"transition-behavior",status:"experimental",syntax:"<transition-behavior-value>#",relevance:50,browsers:["E117","C117","O103"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/transition-behavior"}],description:"The transition-behavior CSS property specifies whether transitions will be started for properties whose animation behavior is discrete."},{name:"translate",syntax:"none | <length-percentage> [ <length-percentage> <length>? ]?",relevance:50,browsers:["E104","FF72","S14.1","C104","O90"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/translate"}],description:"The translate CSS property allows you to specify translation transforms individually and independently of the transform property. This maps better to typical user interface usage, and saves having to remember the exact order of transform functions to specify in the transform value."},{name:"view-timeline",status:"experimental",syntax:"[ <'view-timeline-name'> <'view-timeline-axis'>? ]#",relevance:50,browsers:["E115","FF114","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/view-timeline"}],description:"The view-timeline CSS shorthand property is used to define a named view progress timeline, which is progressed through based on the change in visibility of an element (known as the subject) inside a scrollable element (scroller). view-timeline is set on the subject."},{name:"view-timeline-axis",status:"experimental",syntax:"[ block | inline | x | y ]#",relevance:50,browsers:["E115","FF114","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/view-timeline-axis"}],description:"The view-timeline-axis CSS property is used to specify the scrollbar direction that will be used to provide the timeline for a named view progress timeline animation, which is progressed through based on the change in visibility of an element (known as the subject) inside a scrollable element (scroller). view-timeline-axis is set on the subject. See CSS scroll-driven animations for more details."},{name:"view-timeline-inset",status:"experimental",syntax:"[ [ auto | <length-percentage> ]{1,2} ]#",relevance:50,browsers:["E115","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/view-timeline-inset"}],description:"The view-timeline-inset CSS property is used to specify one or two values representing an adjustment to the position of the scrollport (see Scroll container for more details) in which the subject element of a named view progress timeline animation is deemed to be visible. Put another way, this allows you to specify start and/or end inset (or outset) values that offset the position of the timeline."},{name:"view-timeline-name",status:"experimental",syntax:"none | <dashed-ident>#",relevance:50,browsers:["E115","FF111","C115","O101"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/view-timeline-name"}],description:"The view-timeline-name CSS property is used to define the name of a named view progress timeline, which is progressed through based on the change in visibility of an element (known as the subject) inside a scrollable element (scroller). view-timeline is set on the subject."},{name:"view-transition-name",status:"experimental",syntax:"none | <custom-ident>",relevance:50,browsers:["E111","C111","O97"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/view-transition-name"}],description:"The view-transition-name CSS property provides the selected element with a distinct identifying name (a custom-ident) and causes it to participate in a separate view transition from the root view transition — or no view transition if the none value is specified."},{name:"white-space",syntax:"normal | pre | nowrap | pre-wrap | pre-line | break-spaces | [ <'white-space-collapse'> || <'text-wrap'> || <'white-space-trim'> ]",relevance:89,browsers:["E12","FF1","S1","C1","IE5.5","O4"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/white-space"}],description:"Specifies how whitespace is handled in an element."},{name:"white-space-collapse",syntax:"collapse | discard | preserve | preserve-breaks | preserve-spaces | break-spaces",values:[{name:"collapse"},{name:"discard"},{name:"preserve"},{name:"preserve-breaks"},{name:"preserve-spaces"},{name:"break-spaces"}],relevance:50,browsers:["E114","Spreview","C114","O100"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/white-space-collapse"}],description:"The white-space-collapse CSS property controls how white space inside an element is collapsed."},{name:"speak-as",atRule:"@counter-style",syntax:"auto | bullets | numbers | words | spell-out | <counter-style-name>",relevance:50,browsers:["S11.1"],description:"The speak-as descriptor specifies how a counter symbol constructed with a given @counter-style will be represented in the spoken form. For example, an author can specify a counter symbol to be either spoken as its numerical value or just represented with an audio cue."},{name:"base-palette",atRule:"@font-palette-values",syntax:"light | dark | <integer [0,∞]>",relevance:50,description:"The base-palette CSS descriptor is used to specify the name or index of a pre-defined palette to be used for creating a new palette. If the specified base-palette does not exist, then the palette defined at index 0 will be used."},{name:"override-colors",atRule:"@font-palette-values",syntax:"[ <integer [0,∞]> <absolute-color-base> ]#",relevance:50,description:"The override-colors CSS descriptor is used to override colors in the chosen base-palette for a color font."},{name:"ascent-override",atRule:"@font-face",status:"experimental",syntax:"normal | <percentage>",relevance:50,description:"Describes the ascent metric of a font."},{name:"descent-override",atRule:"@font-face",status:"experimental",syntax:"normal | <percentage>",relevance:50,description:"Describes the descent metric of a font."},{name:"font-display",atRule:"@font-face",status:"experimental",syntax:"[ auto | block | swap | fallback | optional ]",relevance:74,description:"The font-display descriptor determines how a font face is displayed based on whether and when it is downloaded and ready to use."},{name:"line-gap-override",atRule:"@font-face",status:"experimental",syntax:"normal | <percentage>",relevance:50,description:"Describes the line-gap metric of a font."},{name:"size-adjust",atRule:"@font-face",status:"experimental",syntax:"<percentage>",relevance:50,description:"A multiplier for glyph outlines and metrics of a font."},{name:"bleed",atRule:"@page",syntax:"auto | <length>",relevance:50,description:"The bleed CSS at-rule descriptor, used with the @page at-rule, specifies the extent of the page bleed area outside the page box. This property only has effect if crop marks are enabled using the marks property."},{name:"marks",atRule:"@page",syntax:"none | [ crop || cross ]",relevance:50,description:"The marks CSS at-rule descriptor, used with the @page at-rule, adds crop and/or cross marks to the presentation of the document. Crop marks indicate where the page should be cut. Cross marks are used to align sheets."},{name:"page-orientation",atRule:"@page",syntax:"upright | rotate-left | rotate-right ",relevance:50,description:"The page-orientation CSS descriptor for the @page at-rule controls the rotation of a printed page. It handles the flow of content across pages when the orientation of a page is changed. This behavior differs from the size descriptor in that a user can define the direction in which to rotate the page."},{name:"syntax",atRule:"@property",status:"experimental",syntax:"<string>",relevance:50,description:"Specifies the syntax of the custom property registration represented by the @property rule, controlling how the property’s value is parsed at computed value time."},{name:"inherits",atRule:"@property",status:"experimental",syntax:"true | false",values:[{name:"true"},{name:"false"}],relevance:50,description:"Specifies the inherit flag of the custom property registration represented by the @property rule, controlling whether or not the property inherits by default."},{name:"initial-value",atRule:"@property",status:"experimental",syntax:"<declaration-value>?",relevance:50,description:"Specifies the initial value of the custom property registration represented by the @property rule, controlling the property’s initial value."}],atDirectives:[{name:"@charset",browsers:["E12","FF1.5","S4","C2","IE5.5","O9"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@charset"}],description:"Defines character set of the document."},{name:"@counter-style",browsers:["E91","FF33","S17","C91","O77"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@counter-style"}],description:"Defines a custom counter style."},{name:"@font-face",browsers:["E12","FF3.5","S3.1","C1","IE4","O10"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@font-face"}],description:"Allows for linking to fonts that are automatically activated when needed. This permits authors to work around the limitation of 'web-safe' fonts, allowing for consistent rendering independent of the fonts available in a given user's environment."},{name:"@font-feature-values",browsers:["E111","FF34","S9.1","C111","O97"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@font-feature-values"}],description:"Defines named values for the indices used to select alternate glyphs for a given font family."},{name:"@import",browsers:["E12","FF1","S1","C1","IE5.5","O3.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@import"}],description:"Includes content of another file."},{name:"@keyframes",browsers:["E12","FF16","S9","C43","IE10","O30"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@keyframes"}],description:"Defines set of animation key frames."},{name:"@layer",browsers:["E99","FF97","S15.4","C99","O85"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@layer"}],description:"Declare a cascade layer and the order of precedence in case of multiple cascade layers."},{name:"@media",browsers:["E12","FF1","S3","C1","IE6","O9.2"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@media"}],description:"Defines a stylesheet for a particular media type."},{name:"@-moz-document",browsers:["FF1.8"],description:"Gecko-specific at-rule that restricts the style rules contained within it based on the URL of the document."},{name:"@-moz-keyframes",browsers:["FF5"],description:"Defines set of animation key frames."},{name:"@-ms-viewport",browsers:["E","IE10"],description:"Specifies the size, zoom factor, and orientation of the viewport."},{name:"@namespace",browsers:["E12","FF1","S1","C1","IE9","O8"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@namespace"}],description:"Declares a prefix and associates it with a namespace name."},{name:"@-o-keyframes",browsers:["O12"],description:"Defines set of animation key frames."},{name:"@-o-viewport",browsers:["O11"],description:"Specifies the size, zoom factor, and orientation of the viewport."},{name:"@page",browsers:["E12","FF19","S13.1","C2","IE8","O6"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@page"}],description:"Directive defines various page parameters."},{name:"@property",browsers:["E85","FFpreview","S16.4","C85","O71"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@property"}],description:"Describes the aspect of custom properties and variables."},{name:"@supports",browsers:["E12","FF22","S9","C28","O12.1"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/@supports"}],description:"A conditional group rule whose condition tests whether the user agent supports CSS property:value pairs."},{name:"@-webkit-keyframes",browsers:["C","S4"],description:"Defines set of animation key frames."}],pseudoClasses:[{name:":active",browsers:["E12","FF1","S1","C1","IE4","O5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:active"}],description:"Applies while an element is being activated by the user. For example, between the times the user presses the mouse button and releases it."},{name:":any-link",browsers:["E79","FF50","S9","C65","O52"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:any-link"}],description:"Represents an element that acts as the source anchor of a hyperlink. Applies to both visited and unvisited links."},{name:":checked",browsers:["E12","FF1","S3.1","C1","IE9","O9"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:checked"}],description:"Radio and checkbox elements can be toggled by the user. Some menu items are 'checked' when the user selects them. When such elements are toggled 'on' the :checked pseudo-class applies."},{name:":corner-present",browsers:["C","S5"],description:"Non-standard. Indicates whether or not a scrollbar corner is present."},{name:":decrement",browsers:["C","S5"],description:"Non-standard. Applies to buttons and track pieces. Indicates whether or not the button or track piece will decrement the view's position when used."},{name:":default",browsers:["E79","FF4","S5","C10","O10"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:default"}],description:"Applies to the one or more UI elements that are the default among a set of similar elements. Typically applies to context menu items, buttons, and select lists/menus."},{name:":disabled",browsers:["E12","FF1","S3.1","C1","IE9","O9"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:disabled"}],description:"Represents user interface elements that are in a disabled state; such elements have a corresponding enabled state."},{name:":double-button",browsers:["C","S5"],description:"Non-standard. Applies to buttons and track pieces. Applies when both buttons are displayed together at the same end of the scrollbar."},{name:":empty",browsers:["E12","FF1","S3.1","C1","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:empty"}],description:"Represents an element that has no children at all."},{name:":enabled",browsers:["E12","FF1","S3.1","C1","IE9","O9"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:enabled"}],description:"Represents user interface elements that are in an enabled state; such elements have a corresponding disabled state."},{name:":end",browsers:["C","S5"],description:"Non-standard. Applies to buttons and track pieces. Indicates whether the object is placed after the thumb."},{name:":first",browsers:["E12","FF116","S6","C18","IE8","O9.2"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:first"}],description:"When printing double-sided documents, the page boxes on left and right pages may be different. This can be expressed through CSS pseudo-classes defined in the page context."},{name:":first-child",browsers:["E12","FF3","S3.1","C4","IE7","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:first-child"}],description:"Same as :nth-child(1). Represents an element that is the first child of some other element."},{name:":first-of-type",browsers:["E12","FF3.5","S3.1","C1","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:first-of-type"}],description:"Same as :nth-of-type(1). Represents an element that is the first sibling of its type in the list of children of its parent element."},{name:":focus",browsers:["E12","FF1","S1","C1","IE8","O7"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:focus"}],description:"Applies while an element has the focus (accepts keyboard or mouse events, or other forms of input)."},{name:":fullscreen",browsers:["E12","FF64","S16.4","C71","IE11","O58"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:fullscreen"}],description:"Matches any element that has its fullscreen flag set."},{name:":future",browsers:["E79","S7","C23","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:future"}],description:"Represents any element that is defined to occur entirely after a :current element."},{name:":horizontal",browsers:["C","S5"],description:"Non-standard. Applies to any scrollbar pieces that have a horizontal orientation."},{name:":host",browsers:["E79","FF63","S10","C54","O41"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:host"}],description:"When evaluated in the context of a shadow tree, matches the shadow tree's host element."},{name:":host()",browsers:["C35","O22"],description:"When evaluated in the context of a shadow tree, it matches the shadow tree's host element if the host element, in its normal context, matches the selector argument."},{name:":host-context()",browsers:["C35","O22"],description:"Tests whether there is an ancestor, outside the shadow tree, which matches a particular selector."},{name:":hover",browsers:["E12","FF1","S2","C1","IE4","O4"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:hover"}],description:"Applies while the user designates an element with a pointing device, but does not necessarily activate it. For example, a visual user agent could apply this pseudo-class when the cursor (mouse pointer) hovers over a box generated by the element."},{name:":increment",browsers:["C","S5"],description:"Non-standard. Applies to buttons and track pieces. Indicates whether or not the button or track piece will increment the view's position when used."},{name:":indeterminate",browsers:["E12","FF2","S3","C1","IE10","O9"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:indeterminate"}],description:"Applies to UI elements whose value is in an indeterminate state."},{name:":in-range",browsers:["E13","FF29","S5.1","C10","O11"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:in-range"}],description:"Used in conjunction with the min and max attributes, whether on a range input, a number field, or any other types that accept those attributes."},{name:":invalid",browsers:["E12","FF4","S5","C10","IE10","O10"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:invalid"}],description:"An element is :valid or :invalid when it is, respectively, valid or invalid with respect to data validity semantics defined by a different specification."},{name:":lang()",browsers:["E","C","FF1","IE8","O8","S3"],description:"Represents an element that is in language specified."},{name:":last-child",browsers:["E12","FF1","S3.1","C1","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:last-child"}],description:"Same as :nth-last-child(1). Represents an element that is the last child of some other element."},{name:":last-of-type",browsers:["E12","FF3.5","S3.1","C1","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:last-of-type"}],description:"Same as :nth-last-of-type(1). Represents an element that is the last sibling of its type in the list of children of its parent element."},{name:":left",browsers:["E12","S5","C6","IE8","O9.2"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:left"}],description:"When printing double-sided documents, the page boxes on left and right pages may be different. This can be expressed through CSS pseudo-classes defined in the page context."},{name:":link",browsers:["E12","FF1","S1","C1","IE3","O3.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:link"}],description:"Applies to links that have not yet been visited."},{name:":matches()",browsers:["S9"],description:"Takes a selector list as its argument. It represents an element that is represented by its argument."},{name:":-moz-any()",browsers:["FF4"],description:"Represents an element that is represented by the selector list passed as its argument. Standardized as :matches()."},{name:":-moz-any-link",browsers:["FF1"],description:"Represents an element that acts as the source anchor of a hyperlink. Applies to both visited and unvisited links."},{name:":-moz-broken",browsers:["FF3"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:-moz-broken"}],description:"Non-standard. Matches elements representing broken images."},{name:":-moz-drag-over",browsers:["FF1"],description:"Non-standard. Matches elements when a drag-over event applies to it."},{name:":-moz-first-node",browsers:["FF72"],description:"Non-standard. Represents an element that is the first child node of some other element."},{name:":-moz-focusring",browsers:["FF4"],description:"Non-standard. Matches an element that has focus and focus ring drawing is enabled in the browser."},{name:":-moz-full-screen",browsers:["FF9"],description:"Matches any element that has its fullscreen flag set. Standardized as :fullscreen."},{name:":-moz-last-node",browsers:["FF72"],description:"Non-standard. Represents an element that is the last child node of some other element."},{name:":-moz-loading",browsers:["FF3"],description:"Non-standard. Matches elements, such as images, that haven't started loading yet."},{name:":-moz-only-whitespace",browsers:["FF1"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:-moz-only-whitespace"}],description:"The same as :empty, except that it additionally matches elements that only contain code points affected by whitespace processing. Standardized as :blank."},{name:":-moz-placeholder",browsers:["FF4"],description:"Deprecated. Represents placeholder text in an input field. Use ::-moz-placeholder for Firefox 19+."},{name:":-moz-submit-invalid",browsers:["FF88"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:-moz-submit-invalid"}],description:"Non-standard. Represents any submit button when the contents of the associated form are not valid."},{name:":-moz-suppressed",browsers:["FF3"],description:"Non-standard. Matches elements representing images that have been blocked from loading."},{name:":-moz-ui-invalid",browsers:["FF4"],description:"Non-standard. Represents any validated form element whose value isn't valid "},{name:":-moz-ui-valid",browsers:["FF4"],description:"Non-standard. Represents any validated form element whose value is valid "},{name:":-moz-user-disabled",browsers:["FF3"],description:"Non-standard. Matches elements representing images that have been disabled due to the user's preferences."},{name:":-moz-window-inactive",browsers:["FF4"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:-moz-window-inactive"}],description:"Non-standard. Matches elements in an inactive window."},{name:":-ms-fullscreen",browsers:["IE11"],description:"Matches any element that has its fullscreen flag set."},{name:":-ms-input-placeholder",browsers:["IE10"],description:"Represents placeholder text in an input field. Note: for Edge use the pseudo-element ::-ms-input-placeholder. Standardized as ::placeholder."},{name:":-ms-keyboard-active",browsers:["IE10"],description:"Windows Store apps only. Applies one or more styles to an element when it has focus and the user presses the space bar."},{name:":-ms-lang()",browsers:["E","IE10"],description:"Represents an element that is in the language specified. Accepts a comma separated list of language tokens."},{name:":no-button",browsers:["C","S5"],description:"Non-standard. Applies to track pieces. Applies when there is no button at that end of the track."},{name:":not()",browsers:["E","C","FF1","IE9","O9.5","S2"],description:"The negation pseudo-class, :not(X), is a functional notation taking a simple selector (excluding the negation pseudo-class itself) as an argument. It represents an element that is not represented by its argument."},{name:":nth-child()",browsers:["E","C","FF3.5","IE9","O9.5","S3.1"],description:"Represents an element that has an+b-1 siblings before it in the document tree, for any positive integer or zero value of n, and has a parent element."},{name:":nth-last-child()",browsers:["E","C","FF3.5","IE9","O9.5","S3.1"],description:"Represents an element that has an+b-1 siblings after it in the document tree, for any positive integer or zero value of n, and has a parent element."},{name:":nth-last-of-type()",browsers:["E","C","FF3.5","IE9","O9.5","S3.1"],description:"Represents an element that has an+b-1 siblings with the same expanded element name after it in the document tree, for any zero or positive integer value of n, and has a parent element."},{name:":nth-of-type()",browsers:["E","C","FF3.5","IE9","O9.5","S3.1"],description:"Represents an element that has an+b-1 siblings with the same expanded element name before it in the document tree, for any zero or positive integer value of n, and has a parent element."},{name:":only-child",browsers:["E12","FF1.5","S3.1","C2","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:only-child"}],description:"Represents an element that has a parent element and whose parent element has no other element children. Same as :first-child:last-child or :nth-child(1):nth-last-child(1), but with a lower specificity."},{name:":only-of-type",browsers:["E12","FF3.5","S3.1","C1","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:only-of-type"}],description:"Matches every element that is the only child of its type, of its parent. Same as :first-of-type:last-of-type or :nth-of-type(1):nth-last-of-type(1), but with a lower specificity."},{name:":optional",browsers:["E12","FF4","S5","C10","IE10","O10"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:optional"}],description:"A form element is :required or :optional if a value for it is, respectively, required or optional before the form it belongs to is submitted. Elements that are not form elements are neither required nor optional."},{name:":out-of-range",browsers:["E13","FF29","S5.1","C10","O11"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:out-of-range"}],description:"Used in conjunction with the min and max attributes, whether on a range input, a number field, or any other types that accept those attributes."},{name:":past",browsers:["E79","S7","C23","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:past"}],description:"Represents any element that is defined to occur entirely prior to a :current element."},{name:":read-only",browsers:["E13","FF78","S4","C1","O9"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:read-only"}],description:"An element whose contents are not user-alterable is :read-only. However, elements whose contents are user-alterable (such as text input fields) are considered to be in a :read-write state. In typical documents, most elements are :read-only."},{name:":read-write",browsers:["E13","FF78","S4","C1","O9"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:read-write"}],description:"An element whose contents are not user-alterable is :read-only. However, elements whose contents are user-alterable (such as text input fields) are considered to be in a :read-write state. In typical documents, most elements are :read-only."},{name:":required",browsers:["E12","FF4","S5","C10","IE10","O10"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:required"}],description:"A form element is :required or :optional if a value for it is, respectively, required or optional before the form it belongs to is submitted. Elements that are not form elements are neither required nor optional."},{name:":right",browsers:["E12","S5","C6","IE8","O9.2"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:right"}],description:"When printing double-sided documents, the page boxes on left and right pages may be different. This can be expressed through CSS pseudo-classes defined in the page context."},{name:":root",browsers:["E12","FF1","S1","C1","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:root"}],description:"Represents an element that is the root of the document. In HTML 4, this is always the HTML element."},{name:":scope",browsers:["E79","FF32","S7","C27","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:scope"}],description:"Represents any element that is in the contextual reference element set."},{name:":single-button",browsers:["C","S5"],description:"Non-standard. Applies to buttons and track pieces. Applies when both buttons are displayed separately at either end of the scrollbar."},{name:":start",browsers:["C","S5"],description:"Non-standard. Applies to buttons and track pieces. Indicates whether the object is placed before the thumb."},{name:":target",browsers:["E12","FF1","S1.3","C1","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:target"}],description:"Some URIs refer to a location within a resource. This kind of URI ends with a 'number sign' (#) followed by an anchor identifier (called the fragment identifier)."},{name:":valid",browsers:["E12","FF4","S5","C10","IE10","O10"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:valid"}],description:"An element is :valid or :invalid when it is, respectively, valid or invalid with respect to data validity semantics defined by a different specification."},{name:":vertical",browsers:["C","S5"],description:"Non-standard. Applies to any scrollbar pieces that have a vertical orientation."},{name:":visited",browsers:["E12","FF1","S1","C1","IE4","O3.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:visited"}],description:"Applies once the link has been visited by the user."},{name:":-webkit-any()",browsers:["C","S5"],description:"Represents an element that is represented by the selector list passed as its argument. Standardized as :matches()."},{name:":-webkit-full-screen",browsers:["C","S6"],description:"Matches any element that has its fullscreen flag set. Standardized as :fullscreen."},{name:":window-inactive",browsers:["C","S3"],description:"Non-standard. Applies to all scrollbar pieces. Indicates whether or not the window containing the scrollbar is currently active."},{name:":current",status:"experimental",description:"The :current CSS pseudo-class selector is a time-dimensional pseudo-class that represents the element, or an ancestor of the element, that is currently being displayed"},{name:":blank",status:"experimental",references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:blank"}],description:"The :blank CSS pseudo-class selects empty user input elements (eg. <input> or <textarea>)."},{name:":defined",status:"experimental",browsers:["E79","FF63","S10","C54","O41"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:defined"}],description:"The :defined CSS pseudo-class represents any element that has been defined. This includes any standard element built in to the browser, and custom elements that have been successfully defined (i.e. with the CustomElementRegistry.define() method)."},{name:":dir",browsers:["E120","FF49","S16.4","C120","O106"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:dir"}],description:"The :dir() CSS pseudo-class matches elements based on the directionality of the text contained in them."},{name:":focus-visible",browsers:["E86","FF85","S15.4","C86","O72"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:focus-visible"}],description:"The :focus-visible pseudo-class applies while an element matches the :focus pseudo-class and the UA determines via heuristics that the focus should be made evident on the element."},{name:":focus-within",browsers:["E79","FF52","S10.1","C60","O47"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:focus-within"}],description:"The :focus-within pseudo-class applies to any element for which the :focus pseudo class applies as well as to an element whose descendant in the flat tree (including non-element nodes, such as text nodes) matches the conditions for matching :focus."},{name:":has",status:"experimental",browsers:["E105","FF121","S15.4","C105","O91"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:has"}],description:":The :has() CSS pseudo-class represents an element if any of the selectors passed as parameters (relative to the :scope of the given element), match at least one element."},{name:":is",status:"experimental",browsers:["E88","FF78","S14","C88","O74"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:is"}],description:"The :is() CSS pseudo-class function takes a selector list as its argument, and selects any element that can be selected by one of the selectors in that list. This is useful for writing large selectors in a more compact form."},{name:":local-link",status:"experimental",description:"The :local-link CSS pseudo-class represents an link to the same document"},{name:":paused",status:"experimental",browsers:["S15.4"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:paused"}],description:"The :paused CSS pseudo-class selector is a resource state pseudo-class that will match an audio, video, or similar resource that is capable of being “played” or “paused”, when that element is “paused”."},{name:":placeholder-shown",status:"experimental",browsers:["E79","FF51","S9","C47","IE10","O34"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:placeholder-shown"}],description:"The :placeholder-shown CSS pseudo-class represents any <input> or <textarea> element that is currently displaying placeholder text."},{name:":playing",status:"experimental",browsers:["S15.4"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:playing"}],description:"The :playing CSS pseudo-class selector is a resource state pseudo-class that will match an audio, video, or similar resource that is capable of being “played” or “paused”, when that element is “playing”. "},{name:":target-within",status:"experimental",references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:target-within"}],description:"The :target-within CSS pseudo-class represents an element that is a target element or contains an element that is a target. A target element is a unique element with an id matching the URL's fragment."},{name:":user-invalid",status:"experimental",browsers:["E119","FF88","S16.5","C119","O105"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:user-invalid"}],description:"The :user-invalid CSS pseudo-class represents any validated form element whose value isn't valid based on their validation constraints, after the user has interacted with it."},{name:":user-valid",status:"experimental",browsers:["E119","FF88","S16.5","C119","O105"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:user-valid"}],description:"The :user-valid CSS pseudo-class represents any validated form element whose value validates correctly based on its validation constraints. However, unlike :valid it only matches once the user has interacted with it."},{name:":where",status:"experimental",browsers:["E88","FF78","S14","C88","O74"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:where"}],description:"The :where() CSS pseudo-class function takes a selector list as its argument, and selects any element that can be selected by one of the selectors in that list."},{name:":picture-in-picture",status:"experimental",browsers:["E110","S13.1","C110","O96"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/:picture-in-picture"}],description:"The :picture-in-picture CSS pseudo-class matches the element which is currently in picture-in-picture mode."}],pseudoElements:[{name:"::after",browsers:["E12","FF1.5","S4","C1","IE9","O7"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::after"}],description:"Represents a styleable child pseudo-element immediately after the originating element's actual content."},{name:"::backdrop",browsers:["E79","FF47","S15.4","C37","IE11","O24"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::backdrop"}],description:"Used to create a backdrop that hides the underlying document for an element in a top layer (such as an element that is displayed fullscreen)."},{name:"::before",browsers:["E12","FF1.5","S4","C1","IE9","O7"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::before"}],description:"Represents a styleable child pseudo-element immediately before the originating element's actual content."},{name:"::content",browsers:["C35","O22"],description:"Deprecated. Matches the distribution list itself, on elements that have one. Use ::slotted for forward compatibility."},{name:"::cue",browsers:["E79","FF55","S7","C26","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::cue"}]},{name:"::cue()",browsers:["C","O16","S6"]},{name:"::cue-region",browsers:["C","O16","S6"]},{name:"::cue-region()",browsers:["C","O16","S6"]},{name:"::first-letter",browsers:["E12","FF1","S1","C1","IE9","O7"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::first-letter"}],description:"Represents the first letter of an element, if it is not preceded by any other content (such as images or inline tables) on its line."},{name:"::first-line",browsers:["E12","FF1","S1","C1","IE9","O7"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::first-line"}],description:"Describes the contents of the first formatted line of its originating element."},{name:"::-moz-focus-inner",browsers:["FF72"]},{name:"::-moz-focus-outer",browsers:["FF4"]},{name:"::-moz-list-bullet",browsers:["FF72"],description:"Used to style the bullet of a list element. Similar to the standardized ::marker."},{name:"::-moz-list-number",browsers:["FF72"],description:"Used to style the numbers of a list element. Similar to the standardized ::marker."},{name:"::-moz-placeholder",browsers:["FF19"],description:"Represents placeholder text in an input field"},{name:"::-moz-progress-bar",browsers:["FF72"],description:"Represents the bar portion of a progress bar."},{name:"::-moz-selection",browsers:["FF1"],description:"Represents the portion of a document that has been highlighted by the user."},{name:"::-ms-backdrop",browsers:["IE11"],description:"Used to create a backdrop that hides the underlying document for an element in a top layer (such as an element that is displayed fullscreen)."},{name:"::-ms-browse",browsers:["E","IE10"],description:"Represents the browse button of an input type=file control."},{name:"::-ms-check",browsers:["E","IE10"],description:"Represents the check of a checkbox or radio button input control."},{name:"::-ms-clear",browsers:["E","IE10"],description:"Represents the clear button of a text input control"},{name:"::-ms-expand",browsers:["E","IE10"],description:"Represents the drop-down button of a select control."},{name:"::-ms-fill",browsers:["E","IE10"],description:"Represents the bar portion of a progress bar."},{name:"::-ms-fill-lower",browsers:["E","IE10"],description:"Represents the portion of the slider track from its smallest value up to the value currently selected by the thumb. In a left-to-right layout, this is the portion of the slider track to the left of the thumb."},{name:"::-ms-fill-upper",browsers:["E","IE10"],description:"Represents the portion of the slider track from the value currently selected by the thumb up to the slider's largest value. In a left-to-right layout, this is the portion of the slider track to the right of the thumb."},{name:"::-ms-reveal",browsers:["E","IE10"],description:"Represents the password reveal button of an input type=password control."},{name:"::-ms-thumb",browsers:["E","IE10"],description:"Represents the portion of range input control (also known as a slider control) that the user drags."},{name:"::-ms-ticks-after",browsers:["E","IE10"],description:"Represents the tick marks of a slider that begin just after the thumb and continue up to the slider's largest value. In a left-to-right layout, these are the ticks to the right of the thumb."},{name:"::-ms-ticks-before",browsers:["E","IE10"],description:"Represents the tick marks of a slider that represent its smallest values up to the value currently selected by the thumb. In a left-to-right layout, these are the ticks to the left of the thumb."},{name:"::-ms-tooltip",browsers:["E","IE10"],description:"Represents the tooltip of a slider (input type=range)."},{name:"::-ms-track",browsers:["E","IE10"],description:"Represents the track of a slider."},{name:"::-ms-value",browsers:["E","IE10"],description:"Represents the content of a text or password input control, or a select control."},{name:"::selection",browsers:["E12","FF62","S1.1","C1","IE9","O9.5"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::selection"}],description:"Represents the portion of a document that has been highlighted by the user."},{name:"::shadow",browsers:["C35","O22"],description:"Matches the shadow root if an element has a shadow tree."},{name:"::-webkit-file-upload-button",browsers:["C","O","S6"]},{name:"::-webkit-inner-spin-button",browsers:["E79","S5","C6","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-inner-spin-button"}]},{name:"::-webkit-input-placeholder",browsers:["C","S4"]},{name:"::-webkit-keygen-select",browsers:["C","O","S6"]},{name:"::-webkit-meter-bar",browsers:["E79","S5.1","C12","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-meter-bar"}]},{name:"::-webkit-meter-even-less-good-value",browsers:["E79","S5.1","C12","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-meter-even-less-good-value"}]},{name:"::-webkit-meter-optimum-value",browsers:["E79","S5.1","C12","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-meter-optimum-value"}]},{name:"::-webkit-meter-suboptimum-value",browsers:["E79","S5.1","C12","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-meter-suboptimum-value"}]},{name:"::-webkit-outer-spin-button",browsers:["C","O","S6"]},{name:"::-webkit-progress-bar",browsers:["E79","S7","C25","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-progress-bar"}]},{name:"::-webkit-progress-inner-element",browsers:["E79","S7","C23","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-progress-inner-element"}]},{name:"::-webkit-progress-value",browsers:["E79","S7","C25","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-progress-value"}]},{name:"::-webkit-resizer",browsers:["E79","S4","C2","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-scrollbar"}]},{name:"::-webkit-scrollbar",browsers:["E79","S4","C2","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-scrollbar"}]},{name:"::-webkit-scrollbar-button",browsers:["E79","S4","C2","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-scrollbar"}]},{name:"::-webkit-scrollbar-corner",browsers:["E79","S4","C2","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-scrollbar"}]},{name:"::-webkit-scrollbar-thumb",browsers:["E79","S4","C2","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-scrollbar"}]},{name:"::-webkit-scrollbar-track",browsers:["E79","S4","C2","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-scrollbar"}]},{name:"::-webkit-scrollbar-track-piece",browsers:["E79","S4","C2","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-scrollbar"}]},{name:"::-webkit-search-cancel-button",browsers:["E79","S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-search-cancel-button"}]},{name:"::-webkit-search-decoration",browsers:["C","S4"]},{name:"::-webkit-search-results-button",browsers:["E79","S3","C1","O15"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-webkit-search-results-button"}]},{name:"::-webkit-search-results-decoration",browsers:["C","S4"]},{name:"::-webkit-slider-runnable-track",browsers:["E83","C83","O69"]},{name:"::-webkit-slider-thumb",browsers:["E83","C83","O69"]},{name:"::-webkit-textfield-decoration-container",browsers:["C","O","S6"]},{name:"::-webkit-validation-bubble",browsers:["C","O","S6"]},{name:"::-webkit-validation-bubble-arrow",browsers:["C","O","S6"]},{name:"::-webkit-validation-bubble-arrow-clipper",browsers:["C","O","S6"]},{name:"::-webkit-validation-bubble-heading",browsers:["C","O","S6"]},{name:"::-webkit-validation-bubble-message",browsers:["C","O","S6"]},{name:"::-webkit-validation-bubble-text-block",browsers:["C","O","S6"]},{name:"::target-text",status:"experimental",browsers:["E89","C89","O75"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::target-text"}],description:"The ::target-text CSS pseudo-element represents the text that has been scrolled to if the browser supports scroll-to-text fragments. It allows authors to choose how to highlight that section of text."},{name:"::-moz-range-progress",status:"nonstandard",browsers:["FF22"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-moz-range-progress"}],description:'The ::-moz-range-progress CSS pseudo-element is a Mozilla extension that represents the lower portion of the track (i.e., groove) in which the indicator slides in an <input> of type="range". This portion corresponds to values lower than the value currently selected by the thumb (i.e., virtual knob).'},{name:"::-moz-range-thumb",status:"nonstandard",browsers:["FF21"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-moz-range-thumb"}],description:`The ::-moz-range-thumb CSS pseudo-element is a Mozilla extension that represents the thumb (i.e., virtual knob) of an <input> of type="range". The user can move the thumb along the input's track to alter its numerical value.`},{name:"::-moz-range-track",status:"nonstandard",browsers:["FF21"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::-moz-range-track"}],description:'The ::-moz-range-track CSS pseudo-element is a Mozilla extension that represents the track (i.e., groove) in which the indicator slides in an <input> of type="range".'},{name:"::-webkit-progress-inner-value",status:"nonstandard",description:`The ::-webkit-progress-value CSS pseudo-element represents the filled-in portion of the bar of a <progress> element. It is a child of the ::-webkit-progress-bar pseudo-element.
+
+In order to let ::-webkit-progress-value take effect, -webkit-appearance needs to be set to none on the <progress> element.`},{name:"::grammar-error",status:"experimental",browsers:["E121","Spreview","C121"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::grammar-error"}],description:"The ::grammar-error CSS pseudo-element represents a text segment which the user agent has flagged as grammatically incorrect."},{name:"::marker",browsers:["E86","FF68","S11.1","C86","O72"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::marker"}],description:"The ::marker CSS pseudo-element selects the marker box of a list item, which typically contains a bullet or number. It works on any element or pseudo-element set to display: list-item, such as the <li> and <summary> elements."},{name:"::part",status:"experimental",browsers:["E79","FF72","S13.1","C73","O60"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::part"}],description:"The ::part CSS pseudo-element represents any element within a shadow tree that has a matching part attribute."},{name:"::placeholder",browsers:["E79","FF51","S10.1","C57","O44"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::placeholder"}],description:"The ::placeholder CSS pseudo-element represents the placeholder text of a form element."},{name:"::slotted",browsers:["E79","FF63","S10","C50","O37"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::slotted"}],description:"The :slotted() CSS pseudo-element represents any element that has been placed into a slot inside an HTML template."},{name:"::spelling-error",status:"experimental",browsers:["E121","Spreview","C121"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::spelling-error"}],description:"The ::spelling-error CSS pseudo-element represents a text segment which the user agent has flagged as incorrectly spelled."},{name:"::view-transition",status:"experimental",browsers:["E109","C109","O95"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::view-transition"}],description:"The ::view-transition CSS pseudo-element represents the root of the view transitions overlay, which contains all view transitions and sits over the top of all other page content."},{name:"::view-transition-group",status:"experimental",browsers:["E109","C109","O95"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::view-transition-group"}],description:"The ::view-transition-group CSS pseudo-element represents a single view transition group."},{name:"::view-transition-image-pair",status:"experimental",browsers:["E109","C109","O95"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::view-transition-image-pair"}],description:`The ::view-transition-image-pair CSS pseudo-element represents a container for a view transition's "old" and "new" view states — before and after the transition.`},{name:"::view-transition-new",status:"experimental",browsers:["E109","C109","O95"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::view-transition-new"}],description:'The ::view-transition-new CSS pseudo-element represents the "new" view state of a view transition — a live representation of the new view, after the transition.'},{name:"::view-transition-old",status:"experimental",browsers:["E109","C109","O95"],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/CSS/::view-transition-old"}],description:'The ::view-transition-old CSS pseudo-element represents the "old" view state of a view transition — a static screenshot of the old view, before the transition.'}]},gh=class{constructor(e){this._properties=[],this._atDirectives=[],this._pseudoClasses=[],this._pseudoElements=[],this.addData(e)}provideProperties(){return this._properties}provideAtDirectives(){return this._atDirectives}providePseudoClasses(){return this._pseudoClasses}providePseudoElements(){return this._pseudoElements}addData(e){if(Array.isArray(e.properties))for(const t of e.properties)Rf(t)&&this._properties.push(t);if(Array.isArray(e.atDirectives))for(const t of e.atDirectives)Nf(t)&&this._atDirectives.push(t);if(Array.isArray(e.pseudoClasses))for(const t of e.pseudoClasses)Df(t)&&this._pseudoClasses.push(t);if(Array.isArray(e.pseudoElements))for(const t of e.pseudoElements)If(t)&&this._pseudoElements.push(t)}};function Rf(e){return typeof e.name=="string"}function Nf(e){return typeof e.name=="string"}function Df(e){return typeof e.name=="string"}function If(e){return typeof e.name=="string"}var Ms=class{constructor(e){this.dataProviders=[],this._propertySet={},this._atDirectiveSet={},this._pseudoClassSet={},this._pseudoElementSet={},this._properties=[],this._atDirectives=[],this._pseudoClasses=[],this._pseudoElements=[],this.setDataProviders((e==null?void 0:e.useDefaultDataProvider)!==!1,(e==null?void 0:e.customDataProviders)||[])}setDataProviders(e,t){this.dataProviders=[],e&&this.dataProviders.push(new gh(Ff)),this.dataProviders.push(...t),this.collectData()}collectData(){this._propertySet={},this._atDirectiveSet={},this._pseudoClassSet={},this._pseudoElementSet={},this.dataProviders.forEach(e=>{e.provideProperties().forEach(t=>{this._propertySet[t.name]||(this._propertySet[t.name]=t)}),e.provideAtDirectives().forEach(t=>{this._atDirectiveSet[t.name]||(this._atDirectiveSet[t.name]=t)}),e.providePseudoClasses().forEach(t=>{this._pseudoClassSet[t.name]||(this._pseudoClassSet[t.name]=t)}),e.providePseudoElements().forEach(t=>{this._pseudoElementSet[t.name]||(this._pseudoElementSet[t.name]=t)})}),this._properties=Sr(this._propertySet),this._atDirectives=Sr(this._atDirectiveSet),this._pseudoClasses=Sr(this._pseudoClassSet),this._pseudoElements=Sr(this._pseudoElementSet)}getProperty(e){return this._propertySet[e]}getAtDirective(e){return this._atDirectiveSet[e]}getPseudoClass(e){return this._pseudoClassSet[e]}getPseudoElement(e){return this._pseudoElementSet[e]}getProperties(){return this._properties}getAtDirectives(){return this._atDirectives}getPseudoClasses(){return this._pseudoClasses}getPseudoElements(){return this._pseudoElements}isKnownProperty(e){return e.toLowerCase()in this._propertySet}isStandardProperty(e){return this.isKnownProperty(e)&&(!this._propertySet[e.toLowerCase()].status||this._propertySet[e.toLowerCase()].status==="standard")}};function Af(e,t,n){function r(s){const a=i(s);let l;for(let o=a.length-1;o>=0;o--)l=vr.create(X.create(e.positionAt(a[o][0]),e.positionAt(a[o][1])),l);return l||(l=vr.create(X.create(s,s))),l}return t.map(r);function i(s){const a=e.offsetAt(s);let l=n.findChildAtOffset(a,!0);if(!l)return[];const o=[];for(;l;){if(l.parent&&l.offset===l.parent.offset&&l.end===l.parent.end){l=l.parent;continue}l.type===b.Declarations&&a>l.offset&&a<l.end&&o.push([l.offset+1,l.end-1]),o.push([l.offset,l.end]),l=l.parent}return o}}var Mf=class extends vs{constructor(e){super(e,!0)}isRawStringDocumentLinkNode(e){return super.isRawStringDocumentLinkNode(e)||e.type===b.Use||e.type===b.Forward}async mapReference(e,t){if(this.fileSystemProvider&&e&&t){const n=Lf(e);for(const r of n)if(await this.fileExists(r))return r}return e}async resolveReference(e,t,n,r=!1){if(!pe(e,"sass:"))return super.resolveReference(e,t,n,r)}};function Lf(e){if(e.endsWith(".css"))return[e];if(e.endsWith("/"))return[e+"index.scss",e+"_index.scss"];const t=cs.parse(e.replace(/\.scss$/,"")),n=yt.basename(t),r=yt.dirname(t);return n.startsWith("_")?[yt.joinPath(r,n+".scss").toString(!0)]:[yt.joinPath(r,n+".scss").toString(!0),yt.joinPath(r,"_"+n+".scss").toString(!0),e+"/index.scss",e+"/_index.scss",yt.joinPath(r,n+".css").toString(!0)]}function zf(e){return new gh(e)}function Ls(e,t,n,r,i,s,a){return{configure:l=>{s.configure(l),t.configure(l==null?void 0:l.completion),n.configure(l==null?void 0:l.hover),r.configure(l==null?void 0:l.importAliases)},setDataProviders:a.setDataProviders.bind(a),doValidation:s.doValidation.bind(s),parseStylesheet:e.parseStylesheet.bind(e),doComplete:t.doComplete.bind(t),doComplete2:t.doComplete2.bind(t),setCompletionParticipants:t.setCompletionParticipants.bind(t),doHover:n.doHover.bind(n),format:Sf,findDefinition:r.findDefinition.bind(r),findReferences:r.findReferences.bind(r),findDocumentHighlights:r.findDocumentHighlights.bind(r),findDocumentLinks:r.findDocumentLinks.bind(r),findDocumentLinks2:r.findDocumentLinks2.bind(r),findDocumentSymbols:r.findSymbolInformations.bind(r),findDocumentSymbols2:r.findDocumentSymbols.bind(r),doCodeActions:i.doCodeActions.bind(i),doCodeActions2:i.doCodeActions2.bind(i),findDocumentColors:r.findDocumentColors.bind(r),getColorPresentations:r.getColorPresentations.bind(r),prepareRename:r.prepareRename.bind(r),doRename:r.doRename.bind(r),getFoldingRanges:vf,getSelectionRanges:Af}}var zs={};function Pf(e=zs){const t=new Ms(e);return Ls(new Cr,new us(null,e,t),new bs(e&&e.clientCapabilities,t),new vs(e&&e.fileSystemProvider,!1),new ws(t),new Ss(t),t)}function Tf(e=zs){const t=new Ms(e);return Ls(new uf,new xt(e,t),new bs(e&&e.clientCapabilities,t),new Mf(e&&e.fileSystemProvider),new ws(t),new Ss(t),t)}function Of(e=zs){const t=new Ms(e);return Ls(new bf,new As(e,t),new bs(e&&e.clientCapabilities,t),new vs(e&&e.fileSystemProvider,!0),new ws(t),new Ss(t),t)}var Wf=class{constructor(e,t){this._ctx=e,this._languageSettings=t.options,this._languageId=t.languageId;const n=t.options.data,r=n==null?void 0:n.useDefaultDataProvider,i=[];if(n!=null&&n.dataProviders)for(const a in n.dataProviders)i.push(zf(n.dataProviders[a]));const s={customDataProviders:i,useDefaultDataProvider:r};switch(this._languageId){case"css":this._languageService=Pf(s);break;case"less":this._languageService=Of(s);break;case"scss":this._languageService=Tf(s);break;default:throw new Error("Invalid language id: "+this._languageId)}this._languageService.configure(this._languageSettings)}async doValidation(e){const t=this._getTextDocument(e);if(t){const n=this._languageService.parseStylesheet(t),r=this._languageService.doValidation(t,n);return Promise.resolve(r)}return Promise.resolve([])}async doComplete(e,t){const n=this._getTextDocument(e);if(!n)return null;const r=this._languageService.parseStylesheet(n),i=this._languageService.doComplete(n,t,r);return Promise.resolve(i)}async doHover(e,t){const n=this._getTextDocument(e);if(!n)return null;const r=this._languageService.parseStylesheet(n),i=this._languageService.doHover(n,t,r);return Promise.resolve(i)}async findDefinition(e,t){const n=this._getTextDocument(e);if(!n)return null;const r=this._languageService.parseStylesheet(n),i=this._languageService.findDefinition(n,t,r);return Promise.resolve(i)}async findReferences(e,t){const n=this._getTextDocument(e);if(!n)return[];const r=this._languageService.parseStylesheet(n),i=this._languageService.findReferences(n,t,r);return Promise.resolve(i)}async findDocumentHighlights(e,t){const n=this._getTextDocument(e);if(!n)return[];const r=this._languageService.parseStylesheet(n),i=this._languageService.findDocumentHighlights(n,t,r);return Promise.resolve(i)}async findDocumentSymbols(e){const t=this._getTextDocument(e);if(!t)return[];const n=this._languageService.parseStylesheet(t),r=this._languageService.findDocumentSymbols(t,n);return Promise.resolve(r)}async doCodeActions(e,t,n){const r=this._getTextDocument(e);if(!r)return[];const i=this._languageService.parseStylesheet(r),s=this._languageService.doCodeActions(r,t,n,i);return Promise.resolve(s)}async findDocumentColors(e){const t=this._getTextDocument(e);if(!t)return[];const n=this._languageService.parseStylesheet(t),r=this._languageService.findDocumentColors(t,n);return Promise.resolve(r)}async getColorPresentations(e,t,n){const r=this._getTextDocument(e);if(!r)return[];const i=this._languageService.parseStylesheet(r),s=this._languageService.getColorPresentations(r,i,t,n);return Promise.resolve(s)}async getFoldingRanges(e,t){const n=this._getTextDocument(e);if(!n)return[];const r=this._languageService.getFoldingRanges(n,t);return Promise.resolve(r)}async getSelectionRanges(e,t){const n=this._getTextDocument(e);if(!n)return[];const r=this._languageService.parseStylesheet(n),i=this._languageService.getSelectionRanges(n,t,r);return Promise.resolve(i)}async doRename(e,t,n){const r=this._getTextDocument(e);if(!r)return null;const i=this._languageService.parseStylesheet(r),s=this._languageService.doRename(r,t,n,i);return Promise.resolve(s)}async format(e,t,n){const r=this._getTextDocument(e);if(!r)return[];const i={...this._languageSettings.format,...n},s=this._languageService.format(r,t,i);return Promise.resolve(s)}_getTextDocument(e){const t=this._ctx.getMirrorModels();for(const n of t)if(n.uri.toString()===e)return ss.create(e,this._languageId,n.version,n.getValue());return null}};self.onmessage=()=>{nl((e,t)=>new Wf(e,t))}})();
diff --git a/docs/assets/editor.worker-oRlJJsnX.js b/docs/assets/editor.worker-oRlJJsnX.js
new file mode 100644
index 0000000..81281c2
--- /dev/null
+++ b/docs/assets/editor.worker-oRlJJsnX.js
@@ -0,0 +1,12 @@
+(function(){"use strict";var jr,Gr,Xr,Qr;class Yr{constructor(){this.listeners=[],this.unexpectedErrorHandler=function(t){setTimeout(()=>{throw t.stack?Pe.isErrorNoTelemetry(t)?new Pe(t.message+`
+
+`+t.stack):new Error(t.message+`
+
+`+t.stack):t},0)}}emit(t){this.listeners.forEach(n=>{n(t)})}onUnexpectedError(t){this.unexpectedErrorHandler(t),this.emit(t)}onUnexpectedExternalError(t){this.unexpectedErrorHandler(t)}}const Jr=new Yr;function Je(e){Zr(e)||Jr.onUnexpectedError(e)}function kn(e){if(e instanceof Error){const{name:t,message:n}=e,s=e.stacktrace||e.stack;return{$isError:!0,name:t,message:n,stack:s,noTelemetry:Pe.isErrorNoTelemetry(e)}}return e}const $t="Canceled";function Zr(e){return e instanceof Kr?!0:e instanceof Error&&e.name===$t&&e.message===$t}class Kr extends Error{constructor(){super($t),this.name=this.message}}class Pe extends Error{constructor(t){super(t),this.name="CodeExpectedError"}static fromError(t){if(t instanceof Pe)return t;const n=new Pe;return n.message=t.message,n.stack=t.stack,n}static isErrorNoTelemetry(t){return t.name==="CodeExpectedError"}}class ie extends Error{constructor(t){super(t||"An unexpected bug occurred."),Object.setPrototypeOf(this,ie.prototype)}}function ei(e,t){const n=this;let s=!1,r;return function(){return s||(s=!0,r=e.apply(n,arguments)),r}}var ft;(function(e){function t(_){return _&&typeof _=="object"&&typeof _[Symbol.iterator]=="function"}e.is=t;const n=Object.freeze([]);function s(){return n}e.empty=s;function*r(_){yield _}e.single=r;function i(_){return t(_)?_:r(_)}e.wrap=i;function o(_){return _||n}e.from=o;function*l(_){for(let x=_.length-1;x>=0;x--)yield _[x]}e.reverse=l;function u(_){return!_||_[Symbol.iterator]().next().done===!0}e.isEmpty=u;function c(_){return _[Symbol.iterator]().next().value}e.first=c;function f(_,x){let L=0;for(const A of _)if(x(A,L++))return!0;return!1}e.some=f;function h(_,x){for(const L of _)if(x(L))return L}e.find=h;function*d(_,x){for(const L of _)x(L)&&(yield L)}e.filter=d;function*m(_,x){let L=0;for(const A of _)yield x(A,L++)}e.map=m;function*g(_,x){let L=0;for(const A of _)yield*x(A,L++)}e.flatMap=g;function*b(..._){for(const x of _)yield*x}e.concat=b;function p(_,x,L){let A=L;for(const E of _)A=x(A,E);return A}e.reduce=p;function*v(_,x,L=_.length){for(x<0&&(x+=_.length),L<0?L+=_.length:L>_.length&&(L=_.length);x<L;x++)yield _[x]}e.slice=v;function S(_,x=Number.POSITIVE_INFINITY){const L=[];if(x===0)return[L,_];const A=_[Symbol.iterator]();for(let E=0;E<x;E++){const B=A.next();if(B.done)return[L,e.empty()];L.push(B.value)}return[L,{[Symbol.iterator](){return A}}]}e.consume=S;async function N(_){const x=[];for await(const L of _)x.push(L);return Promise.resolve(x)}e.asyncToArray=N})(ft||(ft={}));function V1(e){return e}function B1(e,t){}function Pn(e){if(ft.is(e)){const t=[];for(const n of e)if(n)try{n.dispose()}catch(s){t.push(s)}if(t.length===1)throw t[0];if(t.length>1)throw new AggregateError(t,"Encountered errors while disposing of store");return Array.isArray(e)?[]:e}else if(e)return e.dispose(),e}function ti(...e){return dt(()=>Pn(e))}function dt(e){return{dispose:ei(()=>{e()})}}const Ft=class Ft{constructor(){this._toDispose=new Set,this._isDisposed=!1}dispose(){this._isDisposed||(this._isDisposed=!0,this.clear())}get isDisposed(){return this._isDisposed}clear(){if(this._toDispose.size!==0)try{Pn(this._toDispose)}finally{this._toDispose.clear()}}add(t){if(!t)return t;if(t===this)throw new Error("Cannot register a disposable on itself!");return this._isDisposed?Ft.DISABLE_DISPOSED_WARNING||console.warn(new Error("Trying to add a disposable to a DisposableStore that has already been disposed of. The added object will be leaked!").stack):this._toDispose.add(t),t}deleteAndLeak(t){t&&this._toDispose.has(t)&&this._toDispose.delete(t)}};Ft.DISABLE_DISPOSED_WARNING=!1;let Ze=Ft;const Mn=class Mn{constructor(){this._store=new Ze,this._store}dispose(){this._store.dispose()}_register(t){if(t===this)throw new Error("Cannot register a disposable on itself!");return this._store.add(t)}};Mn.None=Object.freeze({dispose(){}});let Fe=Mn;const Oe=class Oe{constructor(t){this.element=t,this.next=Oe.Undefined,this.prev=Oe.Undefined}};Oe.Undefined=new Oe(void 0);let O=Oe;class ni{constructor(){this._first=O.Undefined,this._last=O.Undefined,this._size=0}get size(){return this._size}isEmpty(){return this._first===O.Undefined}clear(){let t=this._first;for(;t!==O.Undefined;){const n=t.next;t.prev=O.Undefined,t.next=O.Undefined,t=n}this._first=O.Undefined,this._last=O.Undefined,this._size=0}unshift(t){return this._insert(t,!1)}push(t){return this._insert(t,!0)}_insert(t,n){const s=new O(t);if(this._first===O.Undefined)this._first=s,this._last=s;else if(n){const i=this._last;this._last=s,s.prev=i,i.next=s}else{const i=this._first;this._first=s,s.next=i,i.prev=s}this._size+=1;let r=!1;return()=>{r||(r=!0,this._remove(s))}}shift(){if(this._first!==O.Undefined){const t=this._first.element;return this._remove(this._first),t}}pop(){if(this._last!==O.Undefined){const t=this._last.element;return this._remove(this._last),t}}_remove(t){if(t.prev!==O.Undefined&&t.next!==O.Undefined){const n=t.prev;n.next=t.next,t.next.prev=n}else t.prev===O.Undefined&&t.next===O.Undefined?(this._first=O.Undefined,this._last=O.Undefined):t.next===O.Undefined?(this._last=this._last.prev,this._last.next=O.Undefined):t.prev===O.Undefined&&(this._first=this._first.next,this._first.prev=O.Undefined);this._size-=1}*[Symbol.iterator](){let t=this._first;for(;t!==O.Undefined;)yield t.element,t=t.next}}const si=globalThis.performance&&typeof globalThis.performance.now=="function";class mt{static create(t){return new mt(t)}constructor(t){this._now=si&&t===!1?Date.now:globalThis.performance.now.bind(globalThis.performance),this._startTime=this._now(),this._stopTime=-1}stop(){this._stopTime=this._now()}reset(){this._startTime=this._now(),this._stopTime=-1}elapsed(){return this._stopTime!==-1?this._stopTime-this._startTime:this._now()-this._startTime}}var gt;(function(e){e.None=()=>Fe.None;function t(C,w){return d(C,()=>{},0,void 0,!0,void 0,w)}e.defer=t;function n(C){return(w,y=null,R)=>{let P=!1,I;return I=C(U=>{if(!P)return I?I.dispose():P=!0,w.call(y,U)},null,R),P&&I.dispose(),I}}e.once=n;function s(C,w){return e.once(e.filter(C,w))}e.onceIf=s;function r(C,w,y){return f((R,P=null,I)=>C(U=>R.call(P,w(U)),null,I),y)}e.map=r;function i(C,w,y){return f((R,P=null,I)=>C(U=>{w(U),R.call(P,U)},null,I),y)}e.forEach=i;function o(C,w,y){return f((R,P=null,I)=>C(U=>w(U)&&R.call(P,U),null,I),y)}e.filter=o;function l(C){return C}e.signal=l;function u(...C){return(w,y=null,R)=>{const P=ti(...C.map(I=>I(U=>w.call(y,U))));return h(P,R)}}e.any=u;function c(C,w,y,R){let P=y;return r(C,I=>(P=w(P,I),P),R)}e.reduce=c;function f(C,w){let y;const R={onWillAddFirstListener(){y=C(P.fire,P)},onDidRemoveLastListener(){y==null||y.dispose()}},P=new oe(R);return w==null||w.add(P),P.event}function h(C,w){return w instanceof Array?w.push(C):w&&w.add(C),C}function d(C,w,y=100,R=!1,P=!1,I,U){let J,te,Ye,Ut=0,ke;const D1={leakWarningThreshold:I,onWillAddFirstListener(){J=C(T1=>{Ut++,te=w(te,T1),R&&!Ye&&(Ht.fire(te),te=void 0),ke=()=>{const I1=te;te=void 0,Ye=void 0,(!R||Ut>1)&&Ht.fire(I1),Ut=0},typeof y=="number"?(clearTimeout(Ye),Ye=setTimeout(ke,y)):Ye===void 0&&(Ye=0,queueMicrotask(ke))})},onWillRemoveListener(){P&&Ut>0&&(ke==null||ke())},onDidRemoveLastListener(){ke=void 0,J.dispose()}},Ht=new oe(D1);return U==null||U.add(Ht),Ht.event}e.debounce=d;function m(C,w=0,y){return e.debounce(C,(R,P)=>R?(R.push(P),R):[P],w,void 0,!0,void 0,y)}e.accumulate=m;function g(C,w=(R,P)=>R===P,y){let R=!0,P;return o(C,I=>{const U=R||!w(I,P);return R=!1,P=I,U},y)}e.latch=g;function b(C,w,y){return[e.filter(C,w,y),e.filter(C,R=>!w(R),y)]}e.split=b;function p(C,w=!1,y=[],R){let P=y.slice(),I=C(te=>{P?P.push(te):J.fire(te)});R&&R.add(I);const U=()=>{P==null||P.forEach(te=>J.fire(te)),P=null},J=new oe({onWillAddFirstListener(){I||(I=C(te=>J.fire(te)),R&&R.add(I))},onDidAddFirstListener(){P&&(w?setTimeout(U):U())},onDidRemoveLastListener(){I&&I.dispose(),I=null}});return R&&R.add(J),J.event}e.buffer=p;function v(C,w){return(R,P,I)=>{const U=w(new N);return C(function(J){const te=U.evaluate(J);te!==S&&R.call(P,te)},void 0,I)}}e.chain=v;const S=Symbol("HaltChainable");class N{constructor(){this.steps=[]}map(w){return this.steps.push(w),this}forEach(w){return this.steps.push(y=>(w(y),y)),this}filter(w){return this.steps.push(y=>w(y)?y:S),this}reduce(w,y){let R=y;return this.steps.push(P=>(R=w(R,P),R)),this}latch(w=(y,R)=>y===R){let y=!0,R;return this.steps.push(P=>{const I=y||!w(P,R);return y=!1,R=P,I?P:S}),this}evaluate(w){for(const y of this.steps)if(w=y(w),w===S)break;return w}}function _(C,w,y=R=>R){const R=(...J)=>U.fire(y(...J)),P=()=>C.on(w,R),I=()=>C.removeListener(w,R),U=new oe({onWillAddFirstListener:P,onDidRemoveLastListener:I});return U.event}e.fromNodeEventEmitter=_;function x(C,w,y=R=>R){const R=(...J)=>U.fire(y(...J)),P=()=>C.addEventListener(w,R),I=()=>C.removeEventListener(w,R),U=new oe({onWillAddFirstListener:P,onDidRemoveLastListener:I});return U.event}e.fromDOMEventEmitter=x;function L(C){return new Promise(w=>n(C)(w))}e.toPromise=L;function A(C){const w=new oe;return C.then(y=>{w.fire(y)},()=>{w.fire(void 0)}).finally(()=>{w.dispose()}),w.event}e.fromPromise=A;function E(C,w){return C(y=>w.fire(y))}e.forward=E;function B(C,w,y){return w(y),C(R=>w(R))}e.runAndSubscribe=B;class Q{constructor(w,y){this._observable=w,this._counter=0,this._hasChanged=!1;const R={onWillAddFirstListener:()=>{w.addObserver(this),this._observable.reportChanges()},onDidRemoveLastListener:()=>{w.removeObserver(this)}};this.emitter=new oe(R),y&&y.add(this.emitter)}beginUpdate(w){this._counter++}handlePossibleChange(w){}handleChange(w,y){this._hasChanged=!0}endUpdate(w){this._counter--,this._counter===0&&(this._observable.reportChanges(),this._hasChanged&&(this._hasChanged=!1,this.emitter.fire(this._observable.get())))}}function q(C,w){return new Q(C,w).emitter.event}e.fromObservable=q;function F(C){return(w,y,R)=>{let P=0,I=!1;const U={beginUpdate(){P++},endUpdate(){P--,P===0&&(C.reportChanges(),I&&(I=!1,w.call(y)))},handlePossibleChange(){},handleChange(){I=!0}};C.addObserver(U),C.reportChanges();const J={dispose(){C.removeObserver(U)}};return R instanceof Ze?R.add(J):Array.isArray(R)&&R.push(J),J}}e.fromObservableLight=F})(gt||(gt={}));const je=class je{constructor(t){this.listenerCount=0,this.invocationCount=0,this.elapsedOverall=0,this.durations=[],this.name=`${t}_${je._idPool++}`,je.all.add(this)}start(t){this._stopWatch=new mt,this.listenerCount=t}stop(){if(this._stopWatch){const t=this._stopWatch.elapsed();this.durations.push(t),this.elapsedOverall+=t,this.invocationCount+=1,this._stopWatch=void 0}}};je.all=new Set,je._idPool=0;let Wt=je,ri=-1;const Dt=class Dt{constructor(t,n,s=(Dt._idPool++).toString(16).padStart(3,"0")){this._errorHandler=t,this.threshold=n,this.name=s,this._warnCountdown=0}dispose(){var t;(t=this._stacks)==null||t.clear()}check(t,n){const s=this.threshold;if(s<=0||n<s)return;this._stacks||(this._stacks=new Map);const r=this._stacks.get(t.value)||0;if(this._stacks.set(t.value,r+1),this._warnCountdown-=1,this._warnCountdown<=0){this._warnCountdown=s*.5;const[i,o]=this.getMostFrequentStack(),l=`[${this.name}] potential listener LEAK detected, having ${n} listeners already. MOST frequent listener (${o}):`;console.warn(l),console.warn(i);const u=new ii(l,i);this._errorHandler(u)}return()=>{const i=this._stacks.get(t.value)||0;this._stacks.set(t.value,i-1)}}getMostFrequentStack(){if(!this._stacks)return;let t,n=0;for(const[s,r]of this._stacks)(!t||n<r)&&(t=[s,r],n=r);return t}};Dt._idPool=1;let zt=Dt;class Ot{static create(){const t=new Error;return new Ot(t.stack??"")}constructor(t){this.value=t}print(){console.warn(this.value.split(`
+`).slice(2).join(`
+`))}}class ii extends Error{constructor(t,n){super(t),this.name="ListenerLeakError",this.stack=n}}class ai extends Error{constructor(t,n){super(t),this.name="ListenerRefusalError",this.stack=n}}class jt{constructor(t){this.value=t}}const oi=2;class oe{constructor(t){var n,s,r,i;this._size=0,this._options=t,this._leakageMon=(n=this._options)!=null&&n.leakWarningThreshold?new zt((t==null?void 0:t.onListenerError)??Je,((s=this._options)==null?void 0:s.leakWarningThreshold)??ri):void 0,this._perfMon=(r=this._options)!=null&&r._profName?new Wt(this._options._profName):void 0,this._deliveryQueue=(i=this._options)==null?void 0:i.deliveryQueue}dispose(){var t,n,s,r;this._disposed||(this._disposed=!0,((t=this._deliveryQueue)==null?void 0:t.current)===this&&this._deliveryQueue.reset(),this._listeners&&(this._listeners=void 0,this._size=0),(s=(n=this._options)==null?void 0:n.onDidRemoveLastListener)==null||s.call(n),(r=this._leakageMon)==null||r.dispose())}get event(){return this._event??(this._event=(t,n,s)=>{var l,u,c,f,h;if(this._leakageMon&&this._size>this._leakageMon.threshold**2){const d=`[${this._leakageMon.name}] REFUSES to accept new listeners because it exceeded its threshold by far (${this._size} vs ${this._leakageMon.threshold})`;console.warn(d);const m=this._leakageMon.getMostFrequentStack()??["UNKNOWN stack",-1],g=new ai(`${d}. HINT: Stack shows most frequent listener (${m[1]}-times)`,m[0]);return(((l=this._options)==null?void 0:l.onListenerError)||Je)(g),Fe.None}if(this._disposed)return Fe.None;n&&(t=t.bind(n));const r=new jt(t);let i;this._leakageMon&&this._size>=Math.ceil(this._leakageMon.threshold*.2)&&(r.stack=Ot.create(),i=this._leakageMon.check(r.stack,this._size+1)),this._listeners?this._listeners instanceof jt?(this._deliveryQueue??(this._deliveryQueue=new li),this._listeners=[this._listeners,r]):this._listeners.push(r):((c=(u=this._options)==null?void 0:u.onWillAddFirstListener)==null||c.call(u,this),this._listeners=r,(h=(f=this._options)==null?void 0:f.onDidAddFirstListener)==null||h.call(f,this)),this._size++;const o=dt(()=>{i==null||i(),this._removeListener(r)});return s instanceof Ze?s.add(o):Array.isArray(s)&&s.push(o),o}),this._event}_removeListener(t){var i,o,l,u;if((o=(i=this._options)==null?void 0:i.onWillRemoveListener)==null||o.call(i,this),!this._listeners)return;if(this._size===1){this._listeners=void 0,(u=(l=this._options)==null?void 0:l.onDidRemoveLastListener)==null||u.call(l,this),this._size=0;return}const n=this._listeners,s=n.indexOf(t);if(s===-1)throw console.log("disposed?",this._disposed),console.log("size?",this._size),console.log("arr?",JSON.stringify(this._listeners)),new Error("Attempted to dispose unknown listener");this._size--,n[s]=void 0;const r=this._deliveryQueue.current===this;if(this._size*oi<=n.length){let c=0;for(let f=0;f<n.length;f++)n[f]?n[c++]=n[f]:r&&(this._deliveryQueue.end--,c<this._deliveryQueue.i&&this._deliveryQueue.i--);n.length=c}}_deliver(t,n){var r;if(!t)return;const s=((r=this._options)==null?void 0:r.onListenerError)||Je;if(!s){t.value(n);return}try{t.value(n)}catch(i){s(i)}}_deliverQueue(t){const n=t.current._listeners;for(;t.i<t.end;)this._deliver(n[t.i++],t.value);t.reset()}fire(t){var n,s,r,i;if((n=this._deliveryQueue)!=null&&n.current&&(this._deliverQueue(this._deliveryQueue),(s=this._perfMon)==null||s.stop()),(r=this._perfMon)==null||r.start(this._size),this._listeners)if(this._listeners instanceof jt)this._deliver(this._listeners,t);else{const o=this._deliveryQueue;o.enqueue(this,t,this._listeners.length),this._deliverQueue(o)}(i=this._perfMon)==null||i.stop()}hasListeners(){return this._size>0}}class li{constructor(){this.i=-1,this.end=0}enqueue(t,n,s){this.i=0,this.end=s,this.current=t,this.value=n}reset(){this.i=this.end,this.current=void 0,this.value=void 0}}function ui(){return globalThis._VSCODE_NLS_MESSAGES}function Fn(){return globalThis._VSCODE_NLS_LANGUAGE}const ci=Fn()==="pseudo"||typeof document<"u"&&document.location&&document.location.hash.indexOf("pseudo=true")>=0;function Dn(e,t){let n;return t.length===0?n=e:n=e.replace(/\{(\d+)\}/g,(s,r)=>{const i=r[0],o=t[i];let l=s;return typeof o=="string"?l=o:(typeof o=="number"||typeof o=="boolean"||o===void 0||o===null)&&(l=String(o)),l}),ci&&(n="["+n.replace(/[aouei]/g,"$&$&")+"]"),n}function z(e,t,...n){return Dn(typeof e=="number"?hi(e,t):t,n)}function hi(e,t){var s;const n=(s=ui())==null?void 0:s[e];if(typeof n!="string"){if(typeof t=="string")return t;throw new Error(`!!! NLS MISSING: ${e} !!!`)}return n}const De="en";let Gt=!1,Xt=!1,Qt=!1,Tn=!1,Yt=!1,bt,Jt=De,In=De,fi,he;const xe=globalThis;let Z;typeof xe.vscode<"u"&&typeof xe.vscode.process<"u"?Z=xe.vscode.process:typeof process<"u"&&typeof((jr=process==null?void 0:process.versions)==null?void 0:jr.node)=="string"&&(Z=process);const di=typeof((Gr=Z==null?void 0:Z.versions)==null?void 0:Gr.electron)=="string"&&(Z==null?void 0:Z.type)==="renderer";if(typeof Z=="object"){Gt=Z.platform==="win32",Xt=Z.platform==="darwin",Qt=Z.platform==="linux",Qt&&Z.env.SNAP&&Z.env.SNAP_REVISION,Z.env.CI||Z.env.BUILD_ARTIFACTSTAGINGDIRECTORY,bt=De,Jt=De;const e=Z.env.VSCODE_NLS_CONFIG;if(e)try{const t=JSON.parse(e);bt=t.userLocale,In=t.osLocale,Jt=t.resolvedLanguage||De,fi=(Xr=t.languagePack)==null?void 0:Xr.translationsConfigFile}catch{}Tn=!0}else typeof navigator=="object"&&!di?(he=navigator.userAgent,Gt=he.indexOf("Windows")>=0,Xt=he.indexOf("Macintosh")>=0,(he.indexOf("Macintosh")>=0||he.indexOf("iPad")>=0||he.indexOf("iPhone")>=0)&&navigator.maxTouchPoints&&navigator.maxTouchPoints>0,Qt=he.indexOf("Linux")>=0,(he==null?void 0:he.indexOf("Mobi"))>=0,Yt=!0,Jt=Fn()||De,bt=navigator.language.toLowerCase(),In=bt):console.error("Unable to resolve platform.");const Ke=Gt,mi=Xt,gi=Tn,bi=Yt,xi=Yt&&typeof xe.importScripts=="function"?xe.origin:void 0,me=he,_i=typeof xe.postMessage=="function"&&!xe.importScripts;(()=>{if(_i){const e=[];xe.addEventListener("message",n=>{if(n.data&&n.data.vscodeScheduleAsyncWork)for(let s=0,r=e.length;s<r;s++){const i=e[s];if(i.id===n.data.vscodeScheduleAsyncWork){e.splice(s,1),i.callback();return}}});let t=0;return n=>{const s=++t;e.push({id:s,callback:n}),xe.postMessage({vscodeScheduleAsyncWork:s},"*")}}return e=>setTimeout(e)})();const pi=!!(me&&me.indexOf("Chrome")>=0);me&&me.indexOf("Firefox")>=0,!pi&&me&&me.indexOf("Safari")>=0,me&&me.indexOf("Edg/")>=0,me&&me.indexOf("Android")>=0;function wi(e){return e}class Li{constructor(t,n){this.lastCache=void 0,this.lastArgKey=void 0,typeof t=="function"?(this._fn=t,this._computeKey=wi):(this._fn=n,this._computeKey=t.getCacheKey)}get(t){const n=this._computeKey(t);return this.lastArgKey!==n&&(this.lastArgKey=n,this.lastCache=this._fn(t)),this.lastCache}}class Vn{constructor(t){this.executor=t,this._didRun=!1}get value(){if(!this._didRun)try{this._value=this.executor()}catch(t){this._error=t}finally{this._didRun=!0}if(this._error)throw this._error;return this._value}get rawValue(){return this._value}}function vi(e){return e.replace(/[\\\{\}\*\+\?\|\^\$\.\[\]\(\)]/g,"\\$&")}function Ni(e){return e.split(/\r\n|\r|\n/)}function Si(e){for(let t=0,n=e.length;t<n;t++){const s=e.charCodeAt(t);if(s!==32&&s!==9)return t}return-1}function Ci(e,t=e.length-1){for(let n=t;n>=0;n--){const s=e.charCodeAt(n);if(s!==32&&s!==9)return n}return-1}function Bn(e){return e>=65&&e<=90}function xt(e){return 55296<=e&&e<=56319}function Zt(e){return 56320<=e&&e<=57343}function qn(e,t){return(e-55296<<10)+(t-56320)+65536}function Ai(e,t,n){const s=e.charCodeAt(n);if(xt(s)&&n+1<t){const r=e.charCodeAt(n+1);if(Zt(r))return qn(s,r)}return s}const Ri=/^[\t\n\r\x20-\x7E]*$/;function yi(e){return Ri.test(e)}const be=class be{static getInstance(t){return be.cache.get(Array.from(t))}static getLocales(){return be._locales.value}constructor(t){this.confusableDictionary=t}isAmbiguous(t){return this.confusableDictionary.has(t)}getPrimaryConfusable(t){return this.confusableDictionary.get(t)}getConfusableCodePoints(){return new Set(this.confusableDictionary.keys())}};be.ambiguousCharacterData=new Vn(()=>JSON.parse('{"_common":[8232,32,8233,32,5760,32,8192,32,8193,32,8194,32,8195,32,8196,32,8197,32,8198,32,8200,32,8201,32,8202,32,8287,32,8199,32,8239,32,2042,95,65101,95,65102,95,65103,95,8208,45,8209,45,8210,45,65112,45,1748,45,8259,45,727,45,8722,45,10134,45,11450,45,1549,44,1643,44,8218,44,184,44,42233,44,894,59,2307,58,2691,58,1417,58,1795,58,1796,58,5868,58,65072,58,6147,58,6153,58,8282,58,1475,58,760,58,42889,58,8758,58,720,58,42237,58,451,33,11601,33,660,63,577,63,2429,63,5038,63,42731,63,119149,46,8228,46,1793,46,1794,46,42510,46,68176,46,1632,46,1776,46,42232,46,1373,96,65287,96,8219,96,8242,96,1370,96,1523,96,8175,96,65344,96,900,96,8189,96,8125,96,8127,96,8190,96,697,96,884,96,712,96,714,96,715,96,756,96,699,96,701,96,700,96,702,96,42892,96,1497,96,2036,96,2037,96,5194,96,5836,96,94033,96,94034,96,65339,91,10088,40,10098,40,12308,40,64830,40,65341,93,10089,41,10099,41,12309,41,64831,41,10100,123,119060,123,10101,125,65342,94,8270,42,1645,42,8727,42,66335,42,5941,47,8257,47,8725,47,8260,47,9585,47,10187,47,10744,47,119354,47,12755,47,12339,47,11462,47,20031,47,12035,47,65340,92,65128,92,8726,92,10189,92,10741,92,10745,92,119311,92,119355,92,12756,92,20022,92,12034,92,42872,38,708,94,710,94,5869,43,10133,43,66203,43,8249,60,10094,60,706,60,119350,60,5176,60,5810,60,5120,61,11840,61,12448,61,42239,61,8250,62,10095,62,707,62,119351,62,5171,62,94015,62,8275,126,732,126,8128,126,8764,126,65372,124,65293,45,120784,50,120794,50,120804,50,120814,50,120824,50,130034,50,42842,50,423,50,1000,50,42564,50,5311,50,42735,50,119302,51,120785,51,120795,51,120805,51,120815,51,120825,51,130035,51,42923,51,540,51,439,51,42858,51,11468,51,1248,51,94011,51,71882,51,120786,52,120796,52,120806,52,120816,52,120826,52,130036,52,5070,52,71855,52,120787,53,120797,53,120807,53,120817,53,120827,53,130037,53,444,53,71867,53,120788,54,120798,54,120808,54,120818,54,120828,54,130038,54,11474,54,5102,54,71893,54,119314,55,120789,55,120799,55,120809,55,120819,55,120829,55,130039,55,66770,55,71878,55,2819,56,2538,56,2666,56,125131,56,120790,56,120800,56,120810,56,120820,56,120830,56,130040,56,547,56,546,56,66330,56,2663,57,2920,57,2541,57,3437,57,120791,57,120801,57,120811,57,120821,57,120831,57,130041,57,42862,57,11466,57,71884,57,71852,57,71894,57,9082,97,65345,97,119834,97,119886,97,119938,97,119990,97,120042,97,120094,97,120146,97,120198,97,120250,97,120302,97,120354,97,120406,97,120458,97,593,97,945,97,120514,97,120572,97,120630,97,120688,97,120746,97,65313,65,119808,65,119860,65,119912,65,119964,65,120016,65,120068,65,120120,65,120172,65,120224,65,120276,65,120328,65,120380,65,120432,65,913,65,120488,65,120546,65,120604,65,120662,65,120720,65,5034,65,5573,65,42222,65,94016,65,66208,65,119835,98,119887,98,119939,98,119991,98,120043,98,120095,98,120147,98,120199,98,120251,98,120303,98,120355,98,120407,98,120459,98,388,98,5071,98,5234,98,5551,98,65314,66,8492,66,119809,66,119861,66,119913,66,120017,66,120069,66,120121,66,120173,66,120225,66,120277,66,120329,66,120381,66,120433,66,42932,66,914,66,120489,66,120547,66,120605,66,120663,66,120721,66,5108,66,5623,66,42192,66,66178,66,66209,66,66305,66,65347,99,8573,99,119836,99,119888,99,119940,99,119992,99,120044,99,120096,99,120148,99,120200,99,120252,99,120304,99,120356,99,120408,99,120460,99,7428,99,1010,99,11429,99,43951,99,66621,99,128844,67,71922,67,71913,67,65315,67,8557,67,8450,67,8493,67,119810,67,119862,67,119914,67,119966,67,120018,67,120174,67,120226,67,120278,67,120330,67,120382,67,120434,67,1017,67,11428,67,5087,67,42202,67,66210,67,66306,67,66581,67,66844,67,8574,100,8518,100,119837,100,119889,100,119941,100,119993,100,120045,100,120097,100,120149,100,120201,100,120253,100,120305,100,120357,100,120409,100,120461,100,1281,100,5095,100,5231,100,42194,100,8558,68,8517,68,119811,68,119863,68,119915,68,119967,68,120019,68,120071,68,120123,68,120175,68,120227,68,120279,68,120331,68,120383,68,120435,68,5024,68,5598,68,5610,68,42195,68,8494,101,65349,101,8495,101,8519,101,119838,101,119890,101,119942,101,120046,101,120098,101,120150,101,120202,101,120254,101,120306,101,120358,101,120410,101,120462,101,43826,101,1213,101,8959,69,65317,69,8496,69,119812,69,119864,69,119916,69,120020,69,120072,69,120124,69,120176,69,120228,69,120280,69,120332,69,120384,69,120436,69,917,69,120492,69,120550,69,120608,69,120666,69,120724,69,11577,69,5036,69,42224,69,71846,69,71854,69,66182,69,119839,102,119891,102,119943,102,119995,102,120047,102,120099,102,120151,102,120203,102,120255,102,120307,102,120359,102,120411,102,120463,102,43829,102,42905,102,383,102,7837,102,1412,102,119315,70,8497,70,119813,70,119865,70,119917,70,120021,70,120073,70,120125,70,120177,70,120229,70,120281,70,120333,70,120385,70,120437,70,42904,70,988,70,120778,70,5556,70,42205,70,71874,70,71842,70,66183,70,66213,70,66853,70,65351,103,8458,103,119840,103,119892,103,119944,103,120048,103,120100,103,120152,103,120204,103,120256,103,120308,103,120360,103,120412,103,120464,103,609,103,7555,103,397,103,1409,103,119814,71,119866,71,119918,71,119970,71,120022,71,120074,71,120126,71,120178,71,120230,71,120282,71,120334,71,120386,71,120438,71,1292,71,5056,71,5107,71,42198,71,65352,104,8462,104,119841,104,119945,104,119997,104,120049,104,120101,104,120153,104,120205,104,120257,104,120309,104,120361,104,120413,104,120465,104,1211,104,1392,104,5058,104,65320,72,8459,72,8460,72,8461,72,119815,72,119867,72,119919,72,120023,72,120179,72,120231,72,120283,72,120335,72,120387,72,120439,72,919,72,120494,72,120552,72,120610,72,120668,72,120726,72,11406,72,5051,72,5500,72,42215,72,66255,72,731,105,9075,105,65353,105,8560,105,8505,105,8520,105,119842,105,119894,105,119946,105,119998,105,120050,105,120102,105,120154,105,120206,105,120258,105,120310,105,120362,105,120414,105,120466,105,120484,105,618,105,617,105,953,105,8126,105,890,105,120522,105,120580,105,120638,105,120696,105,120754,105,1110,105,42567,105,1231,105,43893,105,5029,105,71875,105,65354,106,8521,106,119843,106,119895,106,119947,106,119999,106,120051,106,120103,106,120155,106,120207,106,120259,106,120311,106,120363,106,120415,106,120467,106,1011,106,1112,106,65322,74,119817,74,119869,74,119921,74,119973,74,120025,74,120077,74,120129,74,120181,74,120233,74,120285,74,120337,74,120389,74,120441,74,42930,74,895,74,1032,74,5035,74,5261,74,42201,74,119844,107,119896,107,119948,107,120000,107,120052,107,120104,107,120156,107,120208,107,120260,107,120312,107,120364,107,120416,107,120468,107,8490,75,65323,75,119818,75,119870,75,119922,75,119974,75,120026,75,120078,75,120130,75,120182,75,120234,75,120286,75,120338,75,120390,75,120442,75,922,75,120497,75,120555,75,120613,75,120671,75,120729,75,11412,75,5094,75,5845,75,42199,75,66840,75,1472,108,8739,73,9213,73,65512,73,1633,108,1777,73,66336,108,125127,108,120783,73,120793,73,120803,73,120813,73,120823,73,130033,73,65321,73,8544,73,8464,73,8465,73,119816,73,119868,73,119920,73,120024,73,120128,73,120180,73,120232,73,120284,73,120336,73,120388,73,120440,73,65356,108,8572,73,8467,108,119845,108,119897,108,119949,108,120001,108,120053,108,120105,73,120157,73,120209,73,120261,73,120313,73,120365,73,120417,73,120469,73,448,73,120496,73,120554,73,120612,73,120670,73,120728,73,11410,73,1030,73,1216,73,1493,108,1503,108,1575,108,126464,108,126592,108,65166,108,65165,108,1994,108,11599,73,5825,73,42226,73,93992,73,66186,124,66313,124,119338,76,8556,76,8466,76,119819,76,119871,76,119923,76,120027,76,120079,76,120131,76,120183,76,120235,76,120287,76,120339,76,120391,76,120443,76,11472,76,5086,76,5290,76,42209,76,93974,76,71843,76,71858,76,66587,76,66854,76,65325,77,8559,77,8499,77,119820,77,119872,77,119924,77,120028,77,120080,77,120132,77,120184,77,120236,77,120288,77,120340,77,120392,77,120444,77,924,77,120499,77,120557,77,120615,77,120673,77,120731,77,1018,77,11416,77,5047,77,5616,77,5846,77,42207,77,66224,77,66321,77,119847,110,119899,110,119951,110,120003,110,120055,110,120107,110,120159,110,120211,110,120263,110,120315,110,120367,110,120419,110,120471,110,1400,110,1404,110,65326,78,8469,78,119821,78,119873,78,119925,78,119977,78,120029,78,120081,78,120185,78,120237,78,120289,78,120341,78,120393,78,120445,78,925,78,120500,78,120558,78,120616,78,120674,78,120732,78,11418,78,42208,78,66835,78,3074,111,3202,111,3330,111,3458,111,2406,111,2662,111,2790,111,3046,111,3174,111,3302,111,3430,111,3664,111,3792,111,4160,111,1637,111,1781,111,65359,111,8500,111,119848,111,119900,111,119952,111,120056,111,120108,111,120160,111,120212,111,120264,111,120316,111,120368,111,120420,111,120472,111,7439,111,7441,111,43837,111,959,111,120528,111,120586,111,120644,111,120702,111,120760,111,963,111,120532,111,120590,111,120648,111,120706,111,120764,111,11423,111,4351,111,1413,111,1505,111,1607,111,126500,111,126564,111,126596,111,65259,111,65260,111,65258,111,65257,111,1726,111,64428,111,64429,111,64427,111,64426,111,1729,111,64424,111,64425,111,64423,111,64422,111,1749,111,3360,111,4125,111,66794,111,71880,111,71895,111,66604,111,1984,79,2534,79,2918,79,12295,79,70864,79,71904,79,120782,79,120792,79,120802,79,120812,79,120822,79,130032,79,65327,79,119822,79,119874,79,119926,79,119978,79,120030,79,120082,79,120134,79,120186,79,120238,79,120290,79,120342,79,120394,79,120446,79,927,79,120502,79,120560,79,120618,79,120676,79,120734,79,11422,79,1365,79,11604,79,4816,79,2848,79,66754,79,42227,79,71861,79,66194,79,66219,79,66564,79,66838,79,9076,112,65360,112,119849,112,119901,112,119953,112,120005,112,120057,112,120109,112,120161,112,120213,112,120265,112,120317,112,120369,112,120421,112,120473,112,961,112,120530,112,120544,112,120588,112,120602,112,120646,112,120660,112,120704,112,120718,112,120762,112,120776,112,11427,112,65328,80,8473,80,119823,80,119875,80,119927,80,119979,80,120031,80,120083,80,120187,80,120239,80,120291,80,120343,80,120395,80,120447,80,929,80,120504,80,120562,80,120620,80,120678,80,120736,80,11426,80,5090,80,5229,80,42193,80,66197,80,119850,113,119902,113,119954,113,120006,113,120058,113,120110,113,120162,113,120214,113,120266,113,120318,113,120370,113,120422,113,120474,113,1307,113,1379,113,1382,113,8474,81,119824,81,119876,81,119928,81,119980,81,120032,81,120084,81,120188,81,120240,81,120292,81,120344,81,120396,81,120448,81,11605,81,119851,114,119903,114,119955,114,120007,114,120059,114,120111,114,120163,114,120215,114,120267,114,120319,114,120371,114,120423,114,120475,114,43847,114,43848,114,7462,114,11397,114,43905,114,119318,82,8475,82,8476,82,8477,82,119825,82,119877,82,119929,82,120033,82,120189,82,120241,82,120293,82,120345,82,120397,82,120449,82,422,82,5025,82,5074,82,66740,82,5511,82,42211,82,94005,82,65363,115,119852,115,119904,115,119956,115,120008,115,120060,115,120112,115,120164,115,120216,115,120268,115,120320,115,120372,115,120424,115,120476,115,42801,115,445,115,1109,115,43946,115,71873,115,66632,115,65331,83,119826,83,119878,83,119930,83,119982,83,120034,83,120086,83,120138,83,120190,83,120242,83,120294,83,120346,83,120398,83,120450,83,1029,83,1359,83,5077,83,5082,83,42210,83,94010,83,66198,83,66592,83,119853,116,119905,116,119957,116,120009,116,120061,116,120113,116,120165,116,120217,116,120269,116,120321,116,120373,116,120425,116,120477,116,8868,84,10201,84,128872,84,65332,84,119827,84,119879,84,119931,84,119983,84,120035,84,120087,84,120139,84,120191,84,120243,84,120295,84,120347,84,120399,84,120451,84,932,84,120507,84,120565,84,120623,84,120681,84,120739,84,11430,84,5026,84,42196,84,93962,84,71868,84,66199,84,66225,84,66325,84,119854,117,119906,117,119958,117,120010,117,120062,117,120114,117,120166,117,120218,117,120270,117,120322,117,120374,117,120426,117,120478,117,42911,117,7452,117,43854,117,43858,117,651,117,965,117,120534,117,120592,117,120650,117,120708,117,120766,117,1405,117,66806,117,71896,117,8746,85,8899,85,119828,85,119880,85,119932,85,119984,85,120036,85,120088,85,120140,85,120192,85,120244,85,120296,85,120348,85,120400,85,120452,85,1357,85,4608,85,66766,85,5196,85,42228,85,94018,85,71864,85,8744,118,8897,118,65366,118,8564,118,119855,118,119907,118,119959,118,120011,118,120063,118,120115,118,120167,118,120219,118,120271,118,120323,118,120375,118,120427,118,120479,118,7456,118,957,118,120526,118,120584,118,120642,118,120700,118,120758,118,1141,118,1496,118,71430,118,43945,118,71872,118,119309,86,1639,86,1783,86,8548,86,119829,86,119881,86,119933,86,119985,86,120037,86,120089,86,120141,86,120193,86,120245,86,120297,86,120349,86,120401,86,120453,86,1140,86,11576,86,5081,86,5167,86,42719,86,42214,86,93960,86,71840,86,66845,86,623,119,119856,119,119908,119,119960,119,120012,119,120064,119,120116,119,120168,119,120220,119,120272,119,120324,119,120376,119,120428,119,120480,119,7457,119,1121,119,1309,119,1377,119,71434,119,71438,119,71439,119,43907,119,71919,87,71910,87,119830,87,119882,87,119934,87,119986,87,120038,87,120090,87,120142,87,120194,87,120246,87,120298,87,120350,87,120402,87,120454,87,1308,87,5043,87,5076,87,42218,87,5742,120,10539,120,10540,120,10799,120,65368,120,8569,120,119857,120,119909,120,119961,120,120013,120,120065,120,120117,120,120169,120,120221,120,120273,120,120325,120,120377,120,120429,120,120481,120,5441,120,5501,120,5741,88,9587,88,66338,88,71916,88,65336,88,8553,88,119831,88,119883,88,119935,88,119987,88,120039,88,120091,88,120143,88,120195,88,120247,88,120299,88,120351,88,120403,88,120455,88,42931,88,935,88,120510,88,120568,88,120626,88,120684,88,120742,88,11436,88,11613,88,5815,88,42219,88,66192,88,66228,88,66327,88,66855,88,611,121,7564,121,65369,121,119858,121,119910,121,119962,121,120014,121,120066,121,120118,121,120170,121,120222,121,120274,121,120326,121,120378,121,120430,121,120482,121,655,121,7935,121,43866,121,947,121,8509,121,120516,121,120574,121,120632,121,120690,121,120748,121,1199,121,4327,121,71900,121,65337,89,119832,89,119884,89,119936,89,119988,89,120040,89,120092,89,120144,89,120196,89,120248,89,120300,89,120352,89,120404,89,120456,89,933,89,978,89,120508,89,120566,89,120624,89,120682,89,120740,89,11432,89,1198,89,5033,89,5053,89,42220,89,94019,89,71844,89,66226,89,119859,122,119911,122,119963,122,120015,122,120067,122,120119,122,120171,122,120223,122,120275,122,120327,122,120379,122,120431,122,120483,122,7458,122,43923,122,71876,122,66293,90,71909,90,65338,90,8484,90,8488,90,119833,90,119885,90,119937,90,119989,90,120041,90,120197,90,120249,90,120301,90,120353,90,120405,90,120457,90,918,90,120493,90,120551,90,120609,90,120667,90,120725,90,5059,90,42204,90,71849,90,65282,34,65284,36,65285,37,65286,38,65290,42,65291,43,65294,46,65295,47,65296,48,65297,49,65298,50,65299,51,65300,52,65301,53,65302,54,65303,55,65304,56,65305,57,65308,60,65309,61,65310,62,65312,64,65316,68,65318,70,65319,71,65324,76,65329,81,65330,82,65333,85,65334,86,65335,87,65343,95,65346,98,65348,100,65350,102,65355,107,65357,109,65358,110,65361,113,65362,114,65364,116,65365,117,65367,119,65370,122,65371,123,65373,125,119846,109],"_default":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"cs":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"de":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"es":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"fr":[65374,126,65306,58,65281,33,8216,96,8245,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"it":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ja":[8211,45,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65292,44,65307,59],"ko":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pl":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pt-BR":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"qps-ploc":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ru":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,305,105,921,73,1009,112,215,120,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"tr":[160,32,8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"zh-hans":[65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65288,40,65289,41],"zh-hant":[8211,45,65374,126,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65307,59]}')),be.cache=new Li({getCacheKey:JSON.stringify},t=>{function n(f){const h=new Map;for(let d=0;d<f.length;d+=2)h.set(f[d],f[d+1]);return h}function s(f,h){const d=new Map(f);for(const[m,g]of h)d.set(m,g);return d}function r(f,h){if(!f)return h;const d=new Map;for(const[m,g]of f)h.has(m)&&d.set(m,g);return d}const i=be.ambiguousCharacterData.value;let o=t.filter(f=>!f.startsWith("_")&&f in i);o.length===0&&(o=["_default"]);let l;for(const f of o){const h=n(i[f]);l=r(l,h)}const u=n(i._common),c=s(u,l);return new be(c)}),be._locales=new Vn(()=>Object.keys(be.ambiguousCharacterData.value).filter(t=>!t.startsWith("_")));let et=be;const Ge=class Ge{static getRawData(){return JSON.parse("[9,10,11,12,13,32,127,160,173,847,1564,4447,4448,6068,6069,6155,6156,6157,6158,7355,7356,8192,8193,8194,8195,8196,8197,8198,8199,8200,8201,8202,8203,8204,8205,8206,8207,8234,8235,8236,8237,8238,8239,8287,8288,8289,8290,8291,8292,8293,8294,8295,8296,8297,8298,8299,8300,8301,8302,8303,10240,12288,12644,65024,65025,65026,65027,65028,65029,65030,65031,65032,65033,65034,65035,65036,65037,65038,65039,65279,65440,65520,65521,65522,65523,65524,65525,65526,65527,65528,65532,78844,119155,119156,119157,119158,119159,119160,119161,119162,917504,917505,917506,917507,917508,917509,917510,917511,917512,917513,917514,917515,917516,917517,917518,917519,917520,917521,917522,917523,917524,917525,917526,917527,917528,917529,917530,917531,917532,917533,917534,917535,917536,917537,917538,917539,917540,917541,917542,917543,917544,917545,917546,917547,917548,917549,917550,917551,917552,917553,917554,917555,917556,917557,917558,917559,917560,917561,917562,917563,917564,917565,917566,917567,917568,917569,917570,917571,917572,917573,917574,917575,917576,917577,917578,917579,917580,917581,917582,917583,917584,917585,917586,917587,917588,917589,917590,917591,917592,917593,917594,917595,917596,917597,917598,917599,917600,917601,917602,917603,917604,917605,917606,917607,917608,917609,917610,917611,917612,917613,917614,917615,917616,917617,917618,917619,917620,917621,917622,917623,917624,917625,917626,917627,917628,917629,917630,917631,917760,917761,917762,917763,917764,917765,917766,917767,917768,917769,917770,917771,917772,917773,917774,917775,917776,917777,917778,917779,917780,917781,917782,917783,917784,917785,917786,917787,917788,917789,917790,917791,917792,917793,917794,917795,917796,917797,917798,917799,917800,917801,917802,917803,917804,917805,917806,917807,917808,917809,917810,917811,917812,917813,917814,917815,917816,917817,917818,917819,917820,917821,917822,917823,917824,917825,917826,917827,917828,917829,917830,917831,917832,917833,917834,917835,917836,917837,917838,917839,917840,917841,917842,917843,917844,917845,917846,917847,917848,917849,917850,917851,917852,917853,917854,917855,917856,917857,917858,917859,917860,917861,917862,917863,917864,917865,917866,917867,917868,917869,917870,917871,917872,917873,917874,917875,917876,917877,917878,917879,917880,917881,917882,917883,917884,917885,917886,917887,917888,917889,917890,917891,917892,917893,917894,917895,917896,917897,917898,917899,917900,917901,917902,917903,917904,917905,917906,917907,917908,917909,917910,917911,917912,917913,917914,917915,917916,917917,917918,917919,917920,917921,917922,917923,917924,917925,917926,917927,917928,917929,917930,917931,917932,917933,917934,917935,917936,917937,917938,917939,917940,917941,917942,917943,917944,917945,917946,917947,917948,917949,917950,917951,917952,917953,917954,917955,917956,917957,917958,917959,917960,917961,917962,917963,917964,917965,917966,917967,917968,917969,917970,917971,917972,917973,917974,917975,917976,917977,917978,917979,917980,917981,917982,917983,917984,917985,917986,917987,917988,917989,917990,917991,917992,917993,917994,917995,917996,917997,917998,917999]")}static getData(){return this._data||(this._data=new Set(Ge.getRawData())),this._data}static isInvisibleCharacter(t){return Ge.getData().has(t)}static get codePoints(){return Ge.getData()}};Ge._data=void 0;let tt=Ge;var Un={};let Te;const Kt=globalThis.vscode;if(typeof Kt<"u"&&typeof Kt.process<"u"){const e=Kt.process;Te={get platform(){return e.platform},get arch(){return e.arch},get env(){return e.env},cwd(){return e.cwd()}}}else typeof process<"u"&&typeof((Qr=process==null?void 0:process.versions)==null?void 0:Qr.node)=="string"?Te={get platform(){return process.platform},get arch(){return process.arch},get env(){return Un},cwd(){return Un.VSCODE_CWD||process.cwd()}}:Te={get platform(){return Ke?"win32":mi?"darwin":"linux"},get arch(){},get env(){return{}},cwd(){return"/"}};const _t=Te.cwd,Ei=Te.env,Mi=Te.platform,ki=65,Pi=97,Fi=90,Di=122,ve=46,Y=47,se=92,Ne=58,Ti=63;class Hn extends Error{constructor(t,n,s){let r;typeof n=="string"&&n.indexOf("not ")===0?(r="must not be",n=n.replace(/^not /,"")):r="must be";const i=t.indexOf(".")!==-1?"property":"argument";let o=`The "${t}" ${i} ${r} of type ${n}`;o+=`. Received type ${typeof s}`,super(o),this.code="ERR_INVALID_ARG_TYPE"}}function Ii(e,t){if(e===null||typeof e!="object")throw new Hn(t,"Object",e)}function G(e,t){if(typeof e!="string")throw new Hn(t,"string",e)}const _e=Mi==="win32";function T(e){return e===Y||e===se}function en(e){return e===Y}function Se(e){return e>=ki&&e<=Fi||e>=Pi&&e<=Di}function pt(e,t,n,s){let r="",i=0,o=-1,l=0,u=0;for(let c=0;c<=e.length;++c){if(c<e.length)u=e.charCodeAt(c);else{if(s(u))break;u=Y}if(s(u)){if(!(o===c-1||l===1))if(l===2){if(r.length<2||i!==2||r.charCodeAt(r.length-1)!==ve||r.charCodeAt(r.length-2)!==ve){if(r.length>2){const f=r.lastIndexOf(n);f===-1?(r="",i=0):(r=r.slice(0,f),i=r.length-1-r.lastIndexOf(n)),o=c,l=0;continue}else if(r.length!==0){r="",i=0,o=c,l=0;continue}}t&&(r+=r.length>0?`${n}..`:"..",i=2)}else r.length>0?r+=`${n}${e.slice(o+1,c)}`:r=e.slice(o+1,c),i=c-o-1;o=c,l=0}else u===ve&&l!==-1?++l:l=-1}return r}function Vi(e){return e?`${e[0]==="."?"":"."}${e}`:""}function $n(e,t){Ii(t,"pathObject");const n=t.dir||t.root,s=t.base||`${t.name||""}${Vi(t.ext)}`;return n?n===t.root?`${n}${s}`:`${n}${e}${s}`:s}const K={resolve(...e){let t="",n="",s=!1;for(let r=e.length-1;r>=-1;r--){let i;if(r>=0){if(i=e[r],G(i,`paths[${r}]`),i.length===0)continue}else t.length===0?i=_t():(i=Ei[`=${t}`]||_t(),(i===void 0||i.slice(0,2).toLowerCase()!==t.toLowerCase()&&i.charCodeAt(2)===se)&&(i=`${t}\\`));const o=i.length;let l=0,u="",c=!1;const f=i.charCodeAt(0);if(o===1)T(f)&&(l=1,c=!0);else if(T(f))if(c=!0,T(i.charCodeAt(1))){let h=2,d=h;for(;h<o&&!T(i.charCodeAt(h));)h++;if(h<o&&h!==d){const m=i.slice(d,h);for(d=h;h<o&&T(i.charCodeAt(h));)h++;if(h<o&&h!==d){for(d=h;h<o&&!T(i.charCodeAt(h));)h++;(h===o||h!==d)&&(u=`\\\\${m}\\${i.slice(d,h)}`,l=h)}}}else l=1;else Se(f)&&i.charCodeAt(1)===Ne&&(u=i.slice(0,2),l=2,o>2&&T(i.charCodeAt(2))&&(c=!0,l=3));if(u.length>0)if(t.length>0){if(u.toLowerCase()!==t.toLowerCase())continue}else t=u;if(s){if(t.length>0)break}else if(n=`${i.slice(l)}\\${n}`,s=c,c&&t.length>0)break}return n=pt(n,!s,"\\",T),s?`${t}\\${n}`:`${t}${n}`||"."},normalize(e){G(e,"path");const t=e.length;if(t===0)return".";let n=0,s,r=!1;const i=e.charCodeAt(0);if(t===1)return en(i)?"\\":e;if(T(i))if(r=!0,T(e.charCodeAt(1))){let l=2,u=l;for(;l<t&&!T(e.charCodeAt(l));)l++;if(l<t&&l!==u){const c=e.slice(u,l);for(u=l;l<t&&T(e.charCodeAt(l));)l++;if(l<t&&l!==u){for(u=l;l<t&&!T(e.charCodeAt(l));)l++;if(l===t)return`\\\\${c}\\${e.slice(u)}\\`;l!==u&&(s=`\\\\${c}\\${e.slice(u,l)}`,n=l)}}}else n=1;else Se(i)&&e.charCodeAt(1)===Ne&&(s=e.slice(0,2),n=2,t>2&&T(e.charCodeAt(2))&&(r=!0,n=3));let o=n<t?pt(e.slice(n),!r,"\\",T):"";return o.length===0&&!r&&(o="."),o.length>0&&T(e.charCodeAt(t-1))&&(o+="\\"),s===void 0?r?`\\${o}`:o:r?`${s}\\${o}`:`${s}${o}`},isAbsolute(e){G(e,"path");const t=e.length;if(t===0)return!1;const n=e.charCodeAt(0);return T(n)||t>2&&Se(n)&&e.charCodeAt(1)===Ne&&T(e.charCodeAt(2))},join(...e){if(e.length===0)return".";let t,n;for(let i=0;i<e.length;++i){const o=e[i];G(o,"path"),o.length>0&&(t===void 0?t=n=o:t+=`\\${o}`)}if(t===void 0)return".";let s=!0,r=0;if(typeof n=="string"&&T(n.charCodeAt(0))){++r;const i=n.length;i>1&&T(n.charCodeAt(1))&&(++r,i>2&&(T(n.charCodeAt(2))?++r:s=!1))}if(s){for(;r<t.length&&T(t.charCodeAt(r));)r++;r>=2&&(t=`\\${t.slice(r)}`)}return K.normalize(t)},relative(e,t){if(G(e,"from"),G(t,"to"),e===t)return"";const n=K.resolve(e),s=K.resolve(t);if(n===s||(e=n.toLowerCase(),t=s.toLowerCase(),e===t))return"";let r=0;for(;r<e.length&&e.charCodeAt(r)===se;)r++;let i=e.length;for(;i-1>r&&e.charCodeAt(i-1)===se;)i--;const o=i-r;let l=0;for(;l<t.length&&t.charCodeAt(l)===se;)l++;let u=t.length;for(;u-1>l&&t.charCodeAt(u-1)===se;)u--;const c=u-l,f=o<c?o:c;let h=-1,d=0;for(;d<f;d++){const g=e.charCodeAt(r+d);if(g!==t.charCodeAt(l+d))break;g===se&&(h=d)}if(d!==f){if(h===-1)return s}else{if(c>f){if(t.charCodeAt(l+d)===se)return s.slice(l+d+1);if(d===2)return s.slice(l+d)}o>f&&(e.charCodeAt(r+d)===se?h=d:d===2&&(h=3)),h===-1&&(h=0)}let m="";for(d=r+h+1;d<=i;++d)(d===i||e.charCodeAt(d)===se)&&(m+=m.length===0?"..":"\\..");return l+=h,m.length>0?`${m}${s.slice(l,u)}`:(s.charCodeAt(l)===se&&++l,s.slice(l,u))},toNamespacedPath(e){if(typeof e!="string"||e.length===0)return e;const t=K.resolve(e);if(t.length<=2)return e;if(t.charCodeAt(0)===se){if(t.charCodeAt(1)===se){const n=t.charCodeAt(2);if(n!==Ti&&n!==ve)return`\\\\?\\UNC\\${t.slice(2)}`}}else if(Se(t.charCodeAt(0))&&t.charCodeAt(1)===Ne&&t.charCodeAt(2)===se)return`\\\\?\\${t}`;return e},dirname(e){G(e,"path");const t=e.length;if(t===0)return".";let n=-1,s=0;const r=e.charCodeAt(0);if(t===1)return T(r)?e:".";if(T(r)){if(n=s=1,T(e.charCodeAt(1))){let l=2,u=l;for(;l<t&&!T(e.charCodeAt(l));)l++;if(l<t&&l!==u){for(u=l;l<t&&T(e.charCodeAt(l));)l++;if(l<t&&l!==u){for(u=l;l<t&&!T(e.charCodeAt(l));)l++;if(l===t)return e;l!==u&&(n=s=l+1)}}}}else Se(r)&&e.charCodeAt(1)===Ne&&(n=t>2&&T(e.charCodeAt(2))?3:2,s=n);let i=-1,o=!0;for(let l=t-1;l>=s;--l)if(T(e.charCodeAt(l))){if(!o){i=l;break}}else o=!1;if(i===-1){if(n===-1)return".";i=n}return e.slice(0,i)},basename(e,t){t!==void 0&&G(t,"suffix"),G(e,"path");let n=0,s=-1,r=!0,i;if(e.length>=2&&Se(e.charCodeAt(0))&&e.charCodeAt(1)===Ne&&(n=2),t!==void 0&&t.length>0&&t.length<=e.length){if(t===e)return"";let o=t.length-1,l=-1;for(i=e.length-1;i>=n;--i){const u=e.charCodeAt(i);if(T(u)){if(!r){n=i+1;break}}else l===-1&&(r=!1,l=i+1),o>=0&&(u===t.charCodeAt(o)?--o===-1&&(s=i):(o=-1,s=l))}return n===s?s=l:s===-1&&(s=e.length),e.slice(n,s)}for(i=e.length-1;i>=n;--i)if(T(e.charCodeAt(i))){if(!r){n=i+1;break}}else s===-1&&(r=!1,s=i+1);return s===-1?"":e.slice(n,s)},extname(e){G(e,"path");let t=0,n=-1,s=0,r=-1,i=!0,o=0;e.length>=2&&e.charCodeAt(1)===Ne&&Se(e.charCodeAt(0))&&(t=s=2);for(let l=e.length-1;l>=t;--l){const u=e.charCodeAt(l);if(T(u)){if(!i){s=l+1;break}continue}r===-1&&(i=!1,r=l+1),u===ve?n===-1?n=l:o!==1&&(o=1):n!==-1&&(o=-1)}return n===-1||r===-1||o===0||o===1&&n===r-1&&n===s+1?"":e.slice(n,r)},format:$n.bind(null,"\\"),parse(e){G(e,"path");const t={root:"",dir:"",base:"",ext:"",name:""};if(e.length===0)return t;const n=e.length;let s=0,r=e.charCodeAt(0);if(n===1)return T(r)?(t.root=t.dir=e,t):(t.base=t.name=e,t);if(T(r)){if(s=1,T(e.charCodeAt(1))){let h=2,d=h;for(;h<n&&!T(e.charCodeAt(h));)h++;if(h<n&&h!==d){for(d=h;h<n&&T(e.charCodeAt(h));)h++;if(h<n&&h!==d){for(d=h;h<n&&!T(e.charCodeAt(h));)h++;h===n?s=h:h!==d&&(s=h+1)}}}}else if(Se(r)&&e.charCodeAt(1)===Ne){if(n<=2)return t.root=t.dir=e,t;if(s=2,T(e.charCodeAt(2))){if(n===3)return t.root=t.dir=e,t;s=3}}s>0&&(t.root=e.slice(0,s));let i=-1,o=s,l=-1,u=!0,c=e.length-1,f=0;for(;c>=s;--c){if(r=e.charCodeAt(c),T(r)){if(!u){o=c+1;break}continue}l===-1&&(u=!1,l=c+1),r===ve?i===-1?i=c:f!==1&&(f=1):i!==-1&&(f=-1)}return l!==-1&&(i===-1||f===0||f===1&&i===l-1&&i===o+1?t.base=t.name=e.slice(o,l):(t.name=e.slice(o,i),t.base=e.slice(o,l),t.ext=e.slice(i,l))),o>0&&o!==s?t.dir=e.slice(0,o-1):t.dir=t.root,t},sep:"\\",delimiter:";",win32:null,posix:null},Bi=(()=>{if(_e){const e=/\\/g;return()=>{const t=_t().replace(e,"/");return t.slice(t.indexOf("/"))}}return()=>_t()})(),ee={resolve(...e){let t="",n=!1;for(let s=e.length-1;s>=-1&&!n;s--){const r=s>=0?e[s]:Bi();G(r,`paths[${s}]`),r.length!==0&&(t=`${r}/${t}`,n=r.charCodeAt(0)===Y)}return t=pt(t,!n,"/",en),n?`/${t}`:t.length>0?t:"."},normalize(e){if(G(e,"path"),e.length===0)return".";const t=e.charCodeAt(0)===Y,n=e.charCodeAt(e.length-1)===Y;return e=pt(e,!t,"/",en),e.length===0?t?"/":n?"./":".":(n&&(e+="/"),t?`/${e}`:e)},isAbsolute(e){return G(e,"path"),e.length>0&&e.charCodeAt(0)===Y},join(...e){if(e.length===0)return".";let t;for(let n=0;n<e.length;++n){const s=e[n];G(s,"path"),s.length>0&&(t===void 0?t=s:t+=`/${s}`)}return t===void 0?".":ee.normalize(t)},relative(e,t){if(G(e,"from"),G(t,"to"),e===t||(e=ee.resolve(e),t=ee.resolve(t),e===t))return"";const n=1,s=e.length,r=s-n,i=1,o=t.length-i,l=r<o?r:o;let u=-1,c=0;for(;c<l;c++){const h=e.charCodeAt(n+c);if(h!==t.charCodeAt(i+c))break;h===Y&&(u=c)}if(c===l)if(o>l){if(t.charCodeAt(i+c)===Y)return t.slice(i+c+1);if(c===0)return t.slice(i+c)}else r>l&&(e.charCodeAt(n+c)===Y?u=c:c===0&&(u=0));let f="";for(c=n+u+1;c<=s;++c)(c===s||e.charCodeAt(c)===Y)&&(f+=f.length===0?"..":"/..");return`${f}${t.slice(i+u)}`},toNamespacedPath(e){return e},dirname(e){if(G(e,"path"),e.length===0)return".";const t=e.charCodeAt(0)===Y;let n=-1,s=!0;for(let r=e.length-1;r>=1;--r)if(e.charCodeAt(r)===Y){if(!s){n=r;break}}else s=!1;return n===-1?t?"/":".":t&&n===1?"//":e.slice(0,n)},basename(e,t){t!==void 0&&G(t,"ext"),G(e,"path");let n=0,s=-1,r=!0,i;if(t!==void 0&&t.length>0&&t.length<=e.length){if(t===e)return"";let o=t.length-1,l=-1;for(i=e.length-1;i>=0;--i){const u=e.charCodeAt(i);if(u===Y){if(!r){n=i+1;break}}else l===-1&&(r=!1,l=i+1),o>=0&&(u===t.charCodeAt(o)?--o===-1&&(s=i):(o=-1,s=l))}return n===s?s=l:s===-1&&(s=e.length),e.slice(n,s)}for(i=e.length-1;i>=0;--i)if(e.charCodeAt(i)===Y){if(!r){n=i+1;break}}else s===-1&&(r=!1,s=i+1);return s===-1?"":e.slice(n,s)},extname(e){G(e,"path");let t=-1,n=0,s=-1,r=!0,i=0;for(let o=e.length-1;o>=0;--o){const l=e.charCodeAt(o);if(l===Y){if(!r){n=o+1;break}continue}s===-1&&(r=!1,s=o+1),l===ve?t===-1?t=o:i!==1&&(i=1):t!==-1&&(i=-1)}return t===-1||s===-1||i===0||i===1&&t===s-1&&t===n+1?"":e.slice(t,s)},format:$n.bind(null,"/"),parse(e){G(e,"path");const t={root:"",dir:"",base:"",ext:"",name:""};if(e.length===0)return t;const n=e.charCodeAt(0)===Y;let s;n?(t.root="/",s=1):s=0;let r=-1,i=0,o=-1,l=!0,u=e.length-1,c=0;for(;u>=s;--u){const f=e.charCodeAt(u);if(f===Y){if(!l){i=u+1;break}continue}o===-1&&(l=!1,o=u+1),f===ve?r===-1?r=u:c!==1&&(c=1):r!==-1&&(c=-1)}if(o!==-1){const f=i===0&&n?1:i;r===-1||c===0||c===1&&r===o-1&&r===i+1?t.base=t.name=e.slice(f,o):(t.name=e.slice(f,r),t.base=e.slice(f,o),t.ext=e.slice(r,o))}return i>0?t.dir=e.slice(0,i-1):n&&(t.dir="/"),t},sep:"/",delimiter:":",win32:null,posix:null};ee.win32=K.win32=K,ee.posix=K.posix=ee,_e?K.normalize:ee.normalize;const qi=_e?K.join:ee.join;_e?K.resolve:ee.resolve,_e?K.relative:ee.relative,_e?K.dirname:ee.dirname,_e?K.basename:ee.basename,_e?K.extname:ee.extname,_e?K.sep:ee.sep;const Ui=/^\w[\w\d+.-]*$/,Hi=/^\//,$i=/^\/\//;function Wi(e,t){if(!e.scheme&&t)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${e.authority}", path: "${e.path}", query: "${e.query}", fragment: "${e.fragment}"}`);if(e.scheme&&!Ui.test(e.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(e.path){if(e.authority){if(!Hi.test(e.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if($i.test(e.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}function zi(e,t){return!e&&!t?"file":e}function Oi(e,t){switch(e){case"https":case"http":case"file":t?t[0]!==fe&&(t=fe+t):t=fe;break}return t}const W="",fe="/",ji=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;class re{static isUri(t){return t instanceof re?!0:t?typeof t.authority=="string"&&typeof t.fragment=="string"&&typeof t.path=="string"&&typeof t.query=="string"&&typeof t.scheme=="string"&&typeof t.fsPath=="string"&&typeof t.with=="function"&&typeof t.toString=="function":!1}constructor(t,n,s,r,i,o=!1){typeof t=="object"?(this.scheme=t.scheme||W,this.authority=t.authority||W,this.path=t.path||W,this.query=t.query||W,this.fragment=t.fragment||W):(this.scheme=zi(t,o),this.authority=n||W,this.path=Oi(this.scheme,s||W),this.query=r||W,this.fragment=i||W,Wi(this,o))}get fsPath(){return tn(this,!1)}with(t){if(!t)return this;let{scheme:n,authority:s,path:r,query:i,fragment:o}=t;return n===void 0?n=this.scheme:n===null&&(n=W),s===void 0?s=this.authority:s===null&&(s=W),r===void 0?r=this.path:r===null&&(r=W),i===void 0?i=this.query:i===null&&(i=W),o===void 0?o=this.fragment:o===null&&(o=W),n===this.scheme&&s===this.authority&&r===this.path&&i===this.query&&o===this.fragment?this:new Ie(n,s,r,i,o)}static parse(t,n=!1){const s=ji.exec(t);return s?new Ie(s[2]||W,wt(s[4]||W),wt(s[5]||W),wt(s[7]||W),wt(s[9]||W),n):new Ie(W,W,W,W,W)}static file(t){let n=W;if(Ke&&(t=t.replace(/\\/g,fe)),t[0]===fe&&t[1]===fe){const s=t.indexOf(fe,2);s===-1?(n=t.substring(2),t=fe):(n=t.substring(2,s),t=t.substring(s)||fe)}return new Ie("file",n,t,W,W)}static from(t,n){return new Ie(t.scheme,t.authority,t.path,t.query,t.fragment,n)}static joinPath(t,...n){if(!t.path)throw new Error("[UriError]: cannot call joinPath on URI without path");let s;return Ke&&t.scheme==="file"?s=re.file(K.join(tn(t,!0),...n)).path:s=ee.join(t.path,...n),t.with({path:s})}toString(t=!1){return nn(this,t)}toJSON(){return this}static revive(t){if(t){if(t instanceof re)return t;{const n=new Ie(t);return n._formatted=t.external??null,n._fsPath=t._sep===Wn?t.fsPath??null:null,n}}else return t}}const Wn=Ke?1:void 0;class Ie extends re{constructor(){super(...arguments),this._formatted=null,this._fsPath=null}get fsPath(){return this._fsPath||(this._fsPath=tn(this,!1)),this._fsPath}toString(t=!1){return t?nn(this,!0):(this._formatted||(this._formatted=nn(this,!1)),this._formatted)}toJSON(){const t={$mid:1};return this._fsPath&&(t.fsPath=this._fsPath,t._sep=Wn),this._formatted&&(t.external=this._formatted),this.path&&(t.path=this.path),this.scheme&&(t.scheme=this.scheme),this.authority&&(t.authority=this.authority),this.query&&(t.query=this.query),this.fragment&&(t.fragment=this.fragment),t}}const zn={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function On(e,t,n){let s,r=-1;for(let i=0;i<e.length;i++){const o=e.charCodeAt(i);if(o>=97&&o<=122||o>=65&&o<=90||o>=48&&o<=57||o===45||o===46||o===95||o===126||t&&o===47||n&&o===91||n&&o===93||n&&o===58)r!==-1&&(s+=encodeURIComponent(e.substring(r,i)),r=-1),s!==void 0&&(s+=e.charAt(i));else{s===void 0&&(s=e.substr(0,i));const l=zn[o];l!==void 0?(r!==-1&&(s+=encodeURIComponent(e.substring(r,i)),r=-1),s+=l):r===-1&&(r=i)}}return r!==-1&&(s+=encodeURIComponent(e.substring(r))),s!==void 0?s:e}function Gi(e){let t;for(let n=0;n<e.length;n++){const s=e.charCodeAt(n);s===35||s===63?(t===void 0&&(t=e.substr(0,n)),t+=zn[s]):t!==void 0&&(t+=e[n])}return t!==void 0?t:e}function tn(e,t){let n;return e.authority&&e.path.length>1&&e.scheme==="file"?n=`//${e.authority}${e.path}`:e.path.charCodeAt(0)===47&&(e.path.charCodeAt(1)>=65&&e.path.charCodeAt(1)<=90||e.path.charCodeAt(1)>=97&&e.path.charCodeAt(1)<=122)&&e.path.charCodeAt(2)===58?t?n=e.path.substr(1):n=e.path[1].toLowerCase()+e.path.substr(2):n=e.path,Ke&&(n=n.replace(/\//g,"\\")),n}function nn(e,t){const n=t?Gi:On;let s="",{scheme:r,authority:i,path:o,query:l,fragment:u}=e;if(r&&(s+=r,s+=":"),(i||r==="file")&&(s+=fe,s+=fe),i){let c=i.indexOf("@");if(c!==-1){const f=i.substr(0,c);i=i.substr(c+1),c=f.lastIndexOf(":"),c===-1?s+=n(f,!1,!1):(s+=n(f.substr(0,c),!1,!1),s+=":",s+=n(f.substr(c+1),!1,!0)),s+="@"}i=i.toLowerCase(),c=i.lastIndexOf(":"),c===-1?s+=n(i,!1,!0):(s+=n(i.substr(0,c),!1,!0),s+=i.substr(c))}if(o){if(o.length>=3&&o.charCodeAt(0)===47&&o.charCodeAt(2)===58){const c=o.charCodeAt(1);c>=65&&c<=90&&(o=`/${String.fromCharCode(c+32)}:${o.substr(3)}`)}else if(o.length>=2&&o.charCodeAt(1)===58){const c=o.charCodeAt(0);c>=65&&c<=90&&(o=`${String.fromCharCode(c+32)}:${o.substr(2)}`)}s+=n(o,!0,!1)}return l&&(s+="?",s+=n(l,!1,!1)),u&&(s+="#",s+=t?u:On(u,!1,!1)),s}function jn(e){try{return decodeURIComponent(e)}catch{return e.length>3?e.substr(0,3)+jn(e.substr(3)):e}}const Gn=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function wt(e){return e.match(Gn)?e.replace(Gn,t=>jn(t)):e}var Ce;(function(e){e.inMemory="inmemory",e.vscode="vscode",e.internal="private",e.walkThrough="walkThrough",e.walkThroughSnippet="walkThroughSnippet",e.http="http",e.https="https",e.file="file",e.mailto="mailto",e.untitled="untitled",e.data="data",e.command="command",e.vscodeRemote="vscode-remote",e.vscodeRemoteResource="vscode-remote-resource",e.vscodeManagedRemoteResource="vscode-managed-remote-resource",e.vscodeUserData="vscode-userdata",e.vscodeCustomEditor="vscode-custom-editor",e.vscodeNotebookCell="vscode-notebook-cell",e.vscodeNotebookCellMetadata="vscode-notebook-cell-metadata",e.vscodeNotebookCellMetadataDiff="vscode-notebook-cell-metadata-diff",e.vscodeNotebookCellOutput="vscode-notebook-cell-output",e.vscodeNotebookCellOutputDiff="vscode-notebook-cell-output-diff",e.vscodeNotebookMetadata="vscode-notebook-metadata",e.vscodeInteractiveInput="vscode-interactive-input",e.vscodeSettings="vscode-settings",e.vscodeWorkspaceTrust="vscode-workspace-trust",e.vscodeTerminal="vscode-terminal",e.vscodeChatCodeBlock="vscode-chat-code-block",e.vscodeChatCodeCompareBlock="vscode-chat-code-compare-block",e.vscodeChatSesssion="vscode-chat-editor",e.webviewPanel="webview-panel",e.vscodeWebview="vscode-webview",e.extension="extension",e.vscodeFileResource="vscode-file",e.tmp="tmp",e.vsls="vsls",e.vscodeSourceControl="vscode-scm",e.commentsInput="comment",e.codeSetting="code-setting",e.outputChannel="output"})(Ce||(Ce={}));const Xi="tkn";class Qi{constructor(){this._hosts=Object.create(null),this._ports=Object.create(null),this._connectionTokens=Object.create(null),this._preferredWebSchema="http",this._delegate=null,this._serverRootPath="/"}setPreferredWebSchema(t){this._preferredWebSchema=t}get _remoteResourcesPath(){return ee.join(this._serverRootPath,Ce.vscodeRemoteResource)}rewrite(t){if(this._delegate)try{return this._delegate(t)}catch(l){return Je(l),t}const n=t.authority;let s=this._hosts[n];s&&s.indexOf(":")!==-1&&s.indexOf("[")===-1&&(s=`[${s}]`);const r=this._ports[n],i=this._connectionTokens[n];let o=`path=${encodeURIComponent(t.path)}`;return typeof i=="string"&&(o+=`&${Xi}=${encodeURIComponent(i)}`),re.from({scheme:bi?this._preferredWebSchema:Ce.vscodeRemoteResource,authority:`${s}:${r}`,path:this._remoteResourcesPath,query:o})}}const Yi=new Qi,Ji="vscode-app",ut=class ut{asBrowserUri(t){const n=this.toUri(t);return this.uriToBrowserUri(n)}uriToBrowserUri(t){return t.scheme===Ce.vscodeRemote?Yi.rewrite(t):t.scheme===Ce.file&&(gi||xi===`${Ce.vscodeFileResource}://${ut.FALLBACK_AUTHORITY}`)?t.with({scheme:Ce.vscodeFileResource,authority:t.authority||ut.FALLBACK_AUTHORITY,query:null,fragment:null}):t}toUri(t,n){if(re.isUri(t))return t;if(globalThis._VSCODE_FILE_ROOT){const s=globalThis._VSCODE_FILE_ROOT;if(/^\w[\w\d+.-]*:\/\//.test(s))return re.joinPath(re.parse(s,!0),t);const r=qi(s,t);return re.file(r)}return re.parse(n.toUrl(t))}};ut.FALLBACK_AUTHORITY=Ji;let sn=ut;const Xn=new sn;var Qn;(function(e){const t=new Map([["1",{"Cross-Origin-Opener-Policy":"same-origin"}],["2",{"Cross-Origin-Embedder-Policy":"require-corp"}],["3",{"Cross-Origin-Opener-Policy":"same-origin","Cross-Origin-Embedder-Policy":"require-corp"}]]);e.CoopAndCoep=Object.freeze(t.get("3"));const n="vscode-coi";function s(i){let o;typeof i=="string"?o=new URL(i).searchParams:i instanceof URL?o=i.searchParams:re.isUri(i)&&(o=new URL(i.toString(!0)).searchParams);const l=o==null?void 0:o.get(n);if(l)return t.get(l)}e.getHeadersFromQuery=s;function r(i,o,l){if(!globalThis.crossOriginIsolated)return;const u=o&&l?"3":l?"2":"1";i instanceof URLSearchParams?i.set(n,u):i[n]=u}e.addSearchParam=r})(Qn||(Qn={}));const rn="default",Zi="$initialize";class Ki{constructor(t,n,s,r,i){this.vsWorker=t,this.req=n,this.channel=s,this.method=r,this.args=i,this.type=0}}class Yn{constructor(t,n,s,r){this.vsWorker=t,this.seq=n,this.res=s,this.err=r,this.type=1}}class ea{constructor(t,n,s,r,i){this.vsWorker=t,this.req=n,this.channel=s,this.eventName=r,this.arg=i,this.type=2}}class ta{constructor(t,n,s){this.vsWorker=t,this.req=n,this.event=s,this.type=3}}class na{constructor(t,n){this.vsWorker=t,this.req=n,this.type=4}}class sa{constructor(t){this._workerId=-1,this._handler=t,this._lastSentReq=0,this._pendingReplies=Object.create(null),this._pendingEmitters=new Map,this._pendingEvents=new Map}setWorkerId(t){this._workerId=t}sendMessage(t,n,s){const r=String(++this._lastSentReq);return new Promise((i,o)=>{this._pendingReplies[r]={resolve:i,reject:o},this._send(new Ki(this._workerId,r,t,n,s))})}listen(t,n,s){let r=null;const i=new oe({onWillAddFirstListener:()=>{r=String(++this._lastSentReq),this._pendingEmitters.set(r,i),this._send(new ea(this._workerId,r,t,n,s))},onDidRemoveLastListener:()=>{this._pendingEmitters.delete(r),this._send(new na(this._workerId,r)),r=null}});return i.event}handleMessage(t){!t||!t.vsWorker||this._workerId!==-1&&t.vsWorker!==this._workerId||this._handleMessage(t)}createProxyToRemoteChannel(t,n){const s={get:(r,i)=>(typeof i=="string"&&!r[i]&&(Zn(i)?r[i]=o=>this.listen(t,i,o):Jn(i)?r[i]=this.listen(t,i,void 0):i.charCodeAt(0)===36&&(r[i]=async(...o)=>(await(n==null?void 0:n()),this.sendMessage(t,i,o)))),r[i])};return new Proxy(Object.create(null),s)}_handleMessage(t){switch(t.type){case 1:return this._handleReplyMessage(t);case 0:return this._handleRequestMessage(t);case 2:return this._handleSubscribeEventMessage(t);case 3:return this._handleEventMessage(t);case 4:return this._handleUnsubscribeEventMessage(t)}}_handleReplyMessage(t){if(!this._pendingReplies[t.seq]){console.warn("Got reply to unknown seq");return}const n=this._pendingReplies[t.seq];if(delete this._pendingReplies[t.seq],t.err){let s=t.err;t.err.$isError&&(s=new Error,s.name=t.err.name,s.message=t.err.message,s.stack=t.err.stack),n.reject(s);return}n.resolve(t.res)}_handleRequestMessage(t){const n=t.req;this._handler.handleMessage(t.channel,t.method,t.args).then(r=>{this._send(new Yn(this._workerId,n,r,void 0))},r=>{r.detail instanceof Error&&(r.detail=kn(r.detail)),this._send(new Yn(this._workerId,n,void 0,kn(r)))})}_handleSubscribeEventMessage(t){const n=t.req,s=this._handler.handleEvent(t.channel,t.eventName,t.arg)(r=>{this._send(new ta(this._workerId,n,r))});this._pendingEvents.set(n,s)}_handleEventMessage(t){if(!this._pendingEmitters.has(t.req)){console.warn("Got event for unknown req");return}this._pendingEmitters.get(t.req).fire(t.event)}_handleUnsubscribeEventMessage(t){if(!this._pendingEvents.has(t.req)){console.warn("Got unsubscribe for unknown req");return}this._pendingEvents.get(t.req).dispose(),this._pendingEvents.delete(t.req)}_send(t){const n=[];if(t.type===0)for(let s=0;s<t.args.length;s++)t.args[s]instanceof ArrayBuffer&&n.push(t.args[s]);else t.type===1&&t.res instanceof ArrayBuffer&&n.push(t.res);this._handler.sendMessage(t,n)}}function Jn(e){return e[0]==="o"&&e[1]==="n"&&Bn(e.charCodeAt(2))}function Zn(e){return/^onDynamic/.test(e)&&Bn(e.charCodeAt(9))}class ra{constructor(t,n){this._localChannels=new Map,this._remoteChannels=new Map,this._requestHandlerFactory=n,this._requestHandler=null,this._protocol=new sa({sendMessage:(s,r)=>{t(s,r)},handleMessage:(s,r,i)=>this._handleMessage(s,r,i),handleEvent:(s,r,i)=>this._handleEvent(s,r,i)})}onmessage(t){this._protocol.handleMessage(t)}_handleMessage(t,n,s){if(t===rn&&n===Zi)return this.initialize(s[0],s[1],s[2]);const r=t===rn?this._requestHandler:this._localChannels.get(t);if(!r)return Promise.reject(new Error(`Missing channel ${t} on worker thread`));if(typeof r[n]!="function")return Promise.reject(new Error(`Missing method ${n} on worker thread channel ${t}`));try{return Promise.resolve(r[n].apply(r,s))}catch(i){return Promise.reject(i)}}_handleEvent(t,n,s){const r=t===rn?this._requestHandler:this._localChannels.get(t);if(!r)throw new Error(`Missing channel ${t} on worker thread`);if(Zn(n)){const i=r[n].call(r,s);if(typeof i!="function")throw new Error(`Missing dynamic event ${n} on request handler.`);return i}if(Jn(n)){const i=r[n];if(typeof i!="function")throw new Error(`Missing event ${n} on request handler.`);return i}throw new Error(`Malformed event name ${n}`)}getChannel(t){if(!this._remoteChannels.has(t)){const n=this._protocol.createProxyToRemoteChannel(t);this._remoteChannels.set(t,n)}return this._remoteChannels.get(t)}async initialize(t,n,s){if(this._protocol.setWorkerId(t),this._requestHandlerFactory){this._requestHandler=this._requestHandlerFactory(this);return}return n&&(typeof n.baseUrl<"u"&&delete n.baseUrl,typeof n.paths<"u"&&typeof n.paths.vs<"u"&&delete n.paths.vs,typeof n.trustedTypesPolicy<"u"&&delete n.trustedTypesPolicy,n.catchError=!0,globalThis.require.config(n)),import(`${Xn.asBrowserUri(`${s}.js`).toString(!0)}`).then(i=>{if(this._requestHandler=i.create(this),!this._requestHandler)throw new Error("No RequestHandler!")})}}class Ae{constructor(t,n,s,r){this.originalStart=t,this.originalLength=n,this.modifiedStart=s,this.modifiedLength=r}getOriginalEnd(){return this.originalStart+this.originalLength}getModifiedEnd(){return this.modifiedStart+this.modifiedLength}}function Kn(e,t){return(t<<5)-t+e|0}function ia(e,t){t=Kn(149417,t);for(let n=0,s=e.length;n<s;n++)t=Kn(e.charCodeAt(n),t);return t}function an(e,t,n=32){const s=n-t,r=~((1<<s)-1);return(e<<t|(r&e)>>>s)>>>0}function es(e,t=0,n=e.byteLength,s=0){for(let r=0;r<n;r++)e[t+r]=s}function aa(e,t,n="0"){for(;e.length<t;)e=n+e;return e}function nt(e,t=32){return e instanceof ArrayBuffer?Array.from(new Uint8Array(e)).map(n=>n.toString(16).padStart(2,"0")).join(""):aa((e>>>0).toString(16),t/4)}const Tt=class Tt{constructor(){this._h0=1732584193,this._h1=4023233417,this._h2=2562383102,this._h3=271733878,this._h4=3285377520,this._buff=new Uint8Array(67),this._buffDV=new DataView(this._buff.buffer),this._buffLen=0,this._totalLen=0,this._leftoverHighSurrogate=0,this._finished=!1}update(t){const n=t.length;if(n===0)return;const s=this._buff;let r=this._buffLen,i=this._leftoverHighSurrogate,o,l;for(i!==0?(o=i,l=-1,i=0):(o=t.charCodeAt(0),l=0);;){let u=o;if(xt(o))if(l+1<n){const c=t.charCodeAt(l+1);Zt(c)?(l++,u=qn(o,c)):u=65533}else{i=o;break}else Zt(o)&&(u=65533);if(r=this._push(s,r,u),l++,l<n)o=t.charCodeAt(l);else break}this._buffLen=r,this._leftoverHighSurrogate=i}_push(t,n,s){return s<128?t[n++]=s:s<2048?(t[n++]=192|(s&1984)>>>6,t[n++]=128|(s&63)>>>0):s<65536?(t[n++]=224|(s&61440)>>>12,t[n++]=128|(s&4032)>>>6,t[n++]=128|(s&63)>>>0):(t[n++]=240|(s&1835008)>>>18,t[n++]=128|(s&258048)>>>12,t[n++]=128|(s&4032)>>>6,t[n++]=128|(s&63)>>>0),n>=64&&(this._step(),n-=64,this._totalLen+=64,t[0]=t[64],t[1]=t[65],t[2]=t[66]),n}digest(){return this._finished||(this._finished=!0,this._leftoverHighSurrogate&&(this._leftoverHighSurrogate=0,this._buffLen=this._push(this._buff,this._buffLen,65533)),this._totalLen+=this._buffLen,this._wrapUp()),nt(this._h0)+nt(this._h1)+nt(this._h2)+nt(this._h3)+nt(this._h4)}_wrapUp(){this._buff[this._buffLen++]=128,es(this._buff,this._buffLen),this._buffLen>56&&(this._step(),es(this._buff));const t=8*this._totalLen;this._buffDV.setUint32(56,Math.floor(t/4294967296),!1),this._buffDV.setUint32(60,t%4294967296,!1),this._step()}_step(){const t=Tt._bigBlock32,n=this._buffDV;for(let h=0;h<64;h+=4)t.setUint32(h,n.getUint32(h,!1),!1);for(let h=64;h<320;h+=4)t.setUint32(h,an(t.getUint32(h-12,!1)^t.getUint32(h-32,!1)^t.getUint32(h-56,!1)^t.getUint32(h-64,!1),1),!1);let s=this._h0,r=this._h1,i=this._h2,o=this._h3,l=this._h4,u,c,f;for(let h=0;h<80;h++)h<20?(u=r&i|~r&o,c=1518500249):h<40?(u=r^i^o,c=1859775393):h<60?(u=r&i|r&o|i&o,c=2400959708):(u=r^i^o,c=3395469782),f=an(s,5)+u+l+c+t.getUint32(h*4,!1)&4294967295,l=o,o=i,i=an(r,30),r=s,s=f;this._h0=this._h0+s&4294967295,this._h1=this._h1+r&4294967295,this._h2=this._h2+i&4294967295,this._h3=this._h3+o&4294967295,this._h4=this._h4+l&4294967295}};Tt._bigBlock32=new DataView(new ArrayBuffer(320));let ts=Tt;class ns{constructor(t){this.source=t}getElements(){const t=this.source,n=new Int32Array(t.length);for(let s=0,r=t.length;s<r;s++)n[s]=t.charCodeAt(s);return n}}function oa(e,t,n){return new Re(new ns(e),new ns(t)).ComputeDiff(n).changes}class Ve{static Assert(t,n){if(!t)throw new Error(n)}}class Be{static Copy(t,n,s,r,i){for(let o=0;o<i;o++)s[r+o]=t[n+o]}static Copy2(t,n,s,r,i){for(let o=0;o<i;o++)s[r+o]=t[n+o]}}class ss{constructor(){this.m_changes=[],this.m_originalStart=1073741824,this.m_modifiedStart=1073741824,this.m_originalCount=0,this.m_modifiedCount=0}MarkNextChange(){(this.m_originalCount>0||this.m_modifiedCount>0)&&this.m_changes.push(new Ae(this.m_originalStart,this.m_originalCount,this.m_modifiedStart,this.m_modifiedCount)),this.m_originalCount=0,this.m_modifiedCount=0,this.m_originalStart=1073741824,this.m_modifiedStart=1073741824}AddOriginalElement(t,n){this.m_originalStart=Math.min(this.m_originalStart,t),this.m_modifiedStart=Math.min(this.m_modifiedStart,n),this.m_originalCount++}AddModifiedElement(t,n){this.m_originalStart=Math.min(this.m_originalStart,t),this.m_modifiedStart=Math.min(this.m_modifiedStart,n),this.m_modifiedCount++}getChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes}getReverseChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes.reverse(),this.m_changes}}class Re{constructor(t,n,s=null){this.ContinueProcessingPredicate=s,this._originalSequence=t,this._modifiedSequence=n;const[r,i,o]=Re._getElements(t),[l,u,c]=Re._getElements(n);this._hasStrings=o&&c,this._originalStringElements=r,this._originalElementsOrHash=i,this._modifiedStringElements=l,this._modifiedElementsOrHash=u,this.m_forwardHistory=[],this.m_reverseHistory=[]}static _isStringArray(t){return t.length>0&&typeof t[0]=="string"}static _getElements(t){const n=t.getElements();if(Re._isStringArray(n)){const s=new Int32Array(n.length);for(let r=0,i=n.length;r<i;r++)s[r]=ia(n[r],0);return[n,s,!0]}return n instanceof Int32Array?[[],n,!1]:[[],new Int32Array(n),!1]}ElementsAreEqual(t,n){return this._originalElementsOrHash[t]!==this._modifiedElementsOrHash[n]?!1:this._hasStrings?this._originalStringElements[t]===this._modifiedStringElements[n]:!0}ElementsAreStrictEqual(t,n){if(!this.ElementsAreEqual(t,n))return!1;const s=Re._getStrictElement(this._originalSequence,t),r=Re._getStrictElement(this._modifiedSequence,n);return s===r}static _getStrictElement(t,n){return typeof t.getStrictElement=="function"?t.getStrictElement(n):null}OriginalElementsAreEqual(t,n){return this._originalElementsOrHash[t]!==this._originalElementsOrHash[n]?!1:this._hasStrings?this._originalStringElements[t]===this._originalStringElements[n]:!0}ModifiedElementsAreEqual(t,n){return this._modifiedElementsOrHash[t]!==this._modifiedElementsOrHash[n]?!1:this._hasStrings?this._modifiedStringElements[t]===this._modifiedStringElements[n]:!0}ComputeDiff(t){return this._ComputeDiff(0,this._originalElementsOrHash.length-1,0,this._modifiedElementsOrHash.length-1,t)}_ComputeDiff(t,n,s,r,i){const o=[!1];let l=this.ComputeDiffRecursive(t,n,s,r,o);return i&&(l=this.PrettifyChanges(l)),{quitEarly:o[0],changes:l}}ComputeDiffRecursive(t,n,s,r,i){for(i[0]=!1;t<=n&&s<=r&&this.ElementsAreEqual(t,s);)t++,s++;for(;n>=t&&r>=s&&this.ElementsAreEqual(n,r);)n--,r--;if(t>n||s>r){let h;return s<=r?(Ve.Assert(t===n+1,"originalStart should only be one more than originalEnd"),h=[new Ae(t,0,s,r-s+1)]):t<=n?(Ve.Assert(s===r+1,"modifiedStart should only be one more than modifiedEnd"),h=[new Ae(t,n-t+1,s,0)]):(Ve.Assert(t===n+1,"originalStart should only be one more than originalEnd"),Ve.Assert(s===r+1,"modifiedStart should only be one more than modifiedEnd"),h=[]),h}const o=[0],l=[0],u=this.ComputeRecursionPoint(t,n,s,r,o,l,i),c=o[0],f=l[0];if(u!==null)return u;if(!i[0]){const h=this.ComputeDiffRecursive(t,c,s,f,i);let d=[];return i[0]?d=[new Ae(c+1,n-(c+1)+1,f+1,r-(f+1)+1)]:d=this.ComputeDiffRecursive(c+1,n,f+1,r,i),this.ConcatenateChanges(h,d)}return[new Ae(t,n-t+1,s,r-s+1)]}WALKTRACE(t,n,s,r,i,o,l,u,c,f,h,d,m,g,b,p,v,S){let N=null,_=null,x=new ss,L=n,A=s,E=m[0]-p[0]-r,B=-1073741824,Q=this.m_forwardHistory.length-1;do{const q=E+t;q===L||q<A&&c[q-1]<c[q+1]?(h=c[q+1],g=h-E-r,h<B&&x.MarkNextChange(),B=h,x.AddModifiedElement(h+1,g),E=q+1-t):(h=c[q-1]+1,g=h-E-r,h<B&&x.MarkNextChange(),B=h-1,x.AddOriginalElement(h,g+1),E=q-1-t),Q>=0&&(c=this.m_forwardHistory[Q],t=c[0],L=1,A=c.length-1)}while(--Q>=-1);if(N=x.getReverseChanges(),S[0]){let q=m[0]+1,F=p[0]+1;if(N!==null&&N.length>0){const C=N[N.length-1];q=Math.max(q,C.getOriginalEnd()),F=Math.max(F,C.getModifiedEnd())}_=[new Ae(q,d-q+1,F,b-F+1)]}else{x=new ss,L=o,A=l,E=m[0]-p[0]-u,B=1073741824,Q=v?this.m_reverseHistory.length-1:this.m_reverseHistory.length-2;do{const q=E+i;q===L||q<A&&f[q-1]>=f[q+1]?(h=f[q+1]-1,g=h-E-u,h>B&&x.MarkNextChange(),B=h+1,x.AddOriginalElement(h+1,g+1),E=q+1-i):(h=f[q-1],g=h-E-u,h>B&&x.MarkNextChange(),B=h,x.AddModifiedElement(h+1,g+1),E=q-1-i),Q>=0&&(f=this.m_reverseHistory[Q],i=f[0],L=1,A=f.length-1)}while(--Q>=-1);_=x.getChanges()}return this.ConcatenateChanges(N,_)}ComputeRecursionPoint(t,n,s,r,i,o,l){let u=0,c=0,f=0,h=0,d=0,m=0;t--,s--,i[0]=0,o[0]=0,this.m_forwardHistory=[],this.m_reverseHistory=[];const g=n-t+(r-s),b=g+1,p=new Int32Array(b),v=new Int32Array(b),S=r-s,N=n-t,_=t-s,x=n-r,A=(N-S)%2===0;p[S]=t,v[N]=n,l[0]=!1;for(let E=1;E<=g/2+1;E++){let B=0,Q=0;f=this.ClipDiagonalBound(S-E,E,S,b),h=this.ClipDiagonalBound(S+E,E,S,b);for(let F=f;F<=h;F+=2){F===f||F<h&&p[F-1]<p[F+1]?u=p[F+1]:u=p[F-1]+1,c=u-(F-S)-_;const C=u;for(;u<n&&c<r&&this.ElementsAreEqual(u+1,c+1);)u++,c++;if(p[F]=u,u+c>B+Q&&(B=u,Q=c),!A&&Math.abs(F-N)<=E-1&&u>=v[F])return i[0]=u,o[0]=c,C<=v[F]&&E<=1448?this.WALKTRACE(S,f,h,_,N,d,m,x,p,v,u,n,i,c,r,o,A,l):null}const q=(B-t+(Q-s)-E)/2;if(this.ContinueProcessingPredicate!==null&&!this.ContinueProcessingPredicate(B,q))return l[0]=!0,i[0]=B,o[0]=Q,q>0&&E<=1448?this.WALKTRACE(S,f,h,_,N,d,m,x,p,v,u,n,i,c,r,o,A,l):(t++,s++,[new Ae(t,n-t+1,s,r-s+1)]);d=this.ClipDiagonalBound(N-E,E,N,b),m=this.ClipDiagonalBound(N+E,E,N,b);for(let F=d;F<=m;F+=2){F===d||F<m&&v[F-1]>=v[F+1]?u=v[F+1]-1:u=v[F-1],c=u-(F-N)-x;const C=u;for(;u>t&&c>s&&this.ElementsAreEqual(u,c);)u--,c--;if(v[F]=u,A&&Math.abs(F-S)<=E&&u<=p[F])return i[0]=u,o[0]=c,C>=p[F]&&E<=1448?this.WALKTRACE(S,f,h,_,N,d,m,x,p,v,u,n,i,c,r,o,A,l):null}if(E<=1447){let F=new Int32Array(h-f+2);F[0]=S-f+1,Be.Copy2(p,f,F,1,h-f+1),this.m_forwardHistory.push(F),F=new Int32Array(m-d+2),F[0]=N-d+1,Be.Copy2(v,d,F,1,m-d+1),this.m_reverseHistory.push(F)}}return this.WALKTRACE(S,f,h,_,N,d,m,x,p,v,u,n,i,c,r,o,A,l)}PrettifyChanges(t){for(let n=0;n<t.length;n++){const s=t[n],r=n<t.length-1?t[n+1].originalStart:this._originalElementsOrHash.length,i=n<t.length-1?t[n+1].modifiedStart:this._modifiedElementsOrHash.length,o=s.originalLength>0,l=s.modifiedLength>0;for(;s.originalStart+s.originalLength<r&&s.modifiedStart+s.modifiedLength<i&&(!o||this.OriginalElementsAreEqual(s.originalStart,s.originalStart+s.originalLength))&&(!l||this.ModifiedElementsAreEqual(s.modifiedStart,s.modifiedStart+s.modifiedLength));){const c=this.ElementsAreStrictEqual(s.originalStart,s.modifiedStart);if(this.ElementsAreStrictEqual(s.originalStart+s.originalLength,s.modifiedStart+s.modifiedLength)&&!c)break;s.originalStart++,s.modifiedStart++}const u=[null];if(n<t.length-1&&this.ChangesOverlap(t[n],t[n+1],u)){t[n]=u[0],t.splice(n+1,1),n--;continue}}for(let n=t.length-1;n>=0;n--){const s=t[n];let r=0,i=0;if(n>0){const h=t[n-1];r=h.originalStart+h.originalLength,i=h.modifiedStart+h.modifiedLength}const o=s.originalLength>0,l=s.modifiedLength>0;let u=0,c=this._boundaryScore(s.originalStart,s.originalLength,s.modifiedStart,s.modifiedLength);for(let h=1;;h++){const d=s.originalStart-h,m=s.modifiedStart-h;if(d<r||m<i||o&&!this.OriginalElementsAreEqual(d,d+s.originalLength)||l&&!this.ModifiedElementsAreEqual(m,m+s.modifiedLength))break;const b=(d===r&&m===i?5:0)+this._boundaryScore(d,s.originalLength,m,s.modifiedLength);b>c&&(c=b,u=h)}s.originalStart-=u,s.modifiedStart-=u;const f=[null];if(n>0&&this.ChangesOverlap(t[n-1],t[n],f)){t[n-1]=f[0],t.splice(n,1),n++;continue}}if(this._hasStrings)for(let n=1,s=t.length;n<s;n++){const r=t[n-1],i=t[n],o=i.originalStart-r.originalStart-r.originalLength,l=r.originalStart,u=i.originalStart+i.originalLength,c=u-l,f=r.modifiedStart,h=i.modifiedStart+i.modifiedLength,d=h-f;if(o<5&&c<20&&d<20){const m=this._findBetterContiguousSequence(l,c,f,d,o);if(m){const[g,b]=m;(g!==r.originalStart+r.originalLength||b!==r.modifiedStart+r.modifiedLength)&&(r.originalLength=g-r.originalStart,r.modifiedLength=b-r.modifiedStart,i.originalStart=g+o,i.modifiedStart=b+o,i.originalLength=u-i.originalStart,i.modifiedLength=h-i.modifiedStart)}}}return t}_findBetterContiguousSequence(t,n,s,r,i){if(n<i||r<i)return null;const o=t+n-i+1,l=s+r-i+1;let u=0,c=0,f=0;for(let h=t;h<o;h++)for(let d=s;d<l;d++){const m=this._contiguousSequenceScore(h,d,i);m>0&&m>u&&(u=m,c=h,f=d)}return u>0?[c,f]:null}_contiguousSequenceScore(t,n,s){let r=0;for(let i=0;i<s;i++){if(!this.ElementsAreEqual(t+i,n+i))return 0;r+=this._originalStringElements[t+i].length}return r}_OriginalIsBoundary(t){return t<=0||t>=this._originalElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._originalStringElements[t])}_OriginalRegionIsBoundary(t,n){if(this._OriginalIsBoundary(t)||this._OriginalIsBoundary(t-1))return!0;if(n>0){const s=t+n;if(this._OriginalIsBoundary(s-1)||this._OriginalIsBoundary(s))return!0}return!1}_ModifiedIsBoundary(t){return t<=0||t>=this._modifiedElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._modifiedStringElements[t])}_ModifiedRegionIsBoundary(t,n){if(this._ModifiedIsBoundary(t)||this._ModifiedIsBoundary(t-1))return!0;if(n>0){const s=t+n;if(this._ModifiedIsBoundary(s-1)||this._ModifiedIsBoundary(s))return!0}return!1}_boundaryScore(t,n,s,r){const i=this._OriginalRegionIsBoundary(t,n)?1:0,o=this._ModifiedRegionIsBoundary(s,r)?1:0;return i+o}ConcatenateChanges(t,n){const s=[];if(t.length===0||n.length===0)return n.length>0?n:t;if(this.ChangesOverlap(t[t.length-1],n[0],s)){const r=new Array(t.length+n.length-1);return Be.Copy(t,0,r,0,t.length-1),r[t.length-1]=s[0],Be.Copy(n,1,r,t.length,n.length-1),r}else{const r=new Array(t.length+n.length);return Be.Copy(t,0,r,0,t.length),Be.Copy(n,0,r,t.length,n.length),r}}ChangesOverlap(t,n,s){if(Ve.Assert(t.originalStart<=n.originalStart,"Left change is not less than or equal to right change"),Ve.Assert(t.modifiedStart<=n.modifiedStart,"Left change is not less than or equal to right change"),t.originalStart+t.originalLength>=n.originalStart||t.modifiedStart+t.modifiedLength>=n.modifiedStart){const r=t.originalStart;let i=t.originalLength;const o=t.modifiedStart;let l=t.modifiedLength;return t.originalStart+t.originalLength>=n.originalStart&&(i=n.originalStart+n.originalLength-t.originalStart),t.modifiedStart+t.modifiedLength>=n.modifiedStart&&(l=n.modifiedStart+n.modifiedLength-t.modifiedStart),s[0]=new Ae(r,i,o,l),!0}else return s[0]=null,!1}ClipDiagonalBound(t,n,s,r){if(t>=0&&t<r)return t;const i=s,o=r-s-1,l=n%2===0;if(t<0){const u=i%2===0;return l===u?0:1}else{const u=o%2===0;return l===u?r-1:r-2}}}class H{constructor(t,n){this.lineNumber=t,this.column=n}with(t=this.lineNumber,n=this.column){return t===this.lineNumber&&n===this.column?this:new H(t,n)}delta(t=0,n=0){return this.with(this.lineNumber+t,this.column+n)}equals(t){return H.equals(this,t)}static equals(t,n){return!t&&!n?!0:!!t&&!!n&&t.lineNumber===n.lineNumber&&t.column===n.column}isBefore(t){return H.isBefore(this,t)}static isBefore(t,n){return t.lineNumber<n.lineNumber?!0:n.lineNumber<t.lineNumber?!1:t.column<n.column}isBeforeOrEqual(t){return H.isBeforeOrEqual(this,t)}static isBeforeOrEqual(t,n){return t.lineNumber<n.lineNumber?!0:n.lineNumber<t.lineNumber?!1:t.column<=n.column}static compare(t,n){const s=t.lineNumber|0,r=n.lineNumber|0;if(s===r){const i=t.column|0,o=n.column|0;return i-o}return s-r}clone(){return new H(this.lineNumber,this.column)}toString(){return"("+this.lineNumber+","+this.column+")"}static lift(t){return new H(t.lineNumber,t.column)}static isIPosition(t){return t&&typeof t.lineNumber=="number"&&typeof t.column=="number"}toJSON(){return{lineNumber:this.lineNumber,column:this.column}}}class k{constructor(t,n,s,r){t>s||t===s&&n>r?(this.startLineNumber=s,this.startColumn=r,this.endLineNumber=t,this.endColumn=n):(this.startLineNumber=t,this.startColumn=n,this.endLineNumber=s,this.endColumn=r)}isEmpty(){return k.isEmpty(this)}static isEmpty(t){return t.startLineNumber===t.endLineNumber&&t.startColumn===t.endColumn}containsPosition(t){return k.containsPosition(this,t)}static containsPosition(t,n){return!(n.lineNumber<t.startLineNumber||n.lineNumber>t.endLineNumber||n.lineNumber===t.startLineNumber&&n.column<t.startColumn||n.lineNumber===t.endLineNumber&&n.column>t.endColumn)}static strictContainsPosition(t,n){return!(n.lineNumber<t.startLineNumber||n.lineNumber>t.endLineNumber||n.lineNumber===t.startLineNumber&&n.column<=t.startColumn||n.lineNumber===t.endLineNumber&&n.column>=t.endColumn)}containsRange(t){return k.containsRange(this,t)}static containsRange(t,n){return!(n.startLineNumber<t.startLineNumber||n.endLineNumber<t.startLineNumber||n.startLineNumber>t.endLineNumber||n.endLineNumber>t.endLineNumber||n.startLineNumber===t.startLineNumber&&n.startColumn<t.startColumn||n.endLineNumber===t.endLineNumber&&n.endColumn>t.endColumn)}strictContainsRange(t){return k.strictContainsRange(this,t)}static strictContainsRange(t,n){return!(n.startLineNumber<t.startLineNumber||n.endLineNumber<t.startLineNumber||n.startLineNumber>t.endLineNumber||n.endLineNumber>t.endLineNumber||n.startLineNumber===t.startLineNumber&&n.startColumn<=t.startColumn||n.endLineNumber===t.endLineNumber&&n.endColumn>=t.endColumn)}plusRange(t){return k.plusRange(this,t)}static plusRange(t,n){let s,r,i,o;return n.startLineNumber<t.startLineNumber?(s=n.startLineNumber,r=n.startColumn):n.startLineNumber===t.startLineNumber?(s=n.startLineNumber,r=Math.min(n.startColumn,t.startColumn)):(s=t.startLineNumber,r=t.startColumn),n.endLineNumber>t.endLineNumber?(i=n.endLineNumber,o=n.endColumn):n.endLineNumber===t.endLineNumber?(i=n.endLineNumber,o=Math.max(n.endColumn,t.endColumn)):(i=t.endLineNumber,o=t.endColumn),new k(s,r,i,o)}intersectRanges(t){return k.intersectRanges(this,t)}static intersectRanges(t,n){let s=t.startLineNumber,r=t.startColumn,i=t.endLineNumber,o=t.endColumn;const l=n.startLineNumber,u=n.startColumn,c=n.endLineNumber,f=n.endColumn;return s<l?(s=l,r=u):s===l&&(r=Math.max(r,u)),i>c?(i=c,o=f):i===c&&(o=Math.min(o,f)),s>i||s===i&&r>o?null:new k(s,r,i,o)}equalsRange(t){return k.equalsRange(this,t)}static equalsRange(t,n){return!t&&!n?!0:!!t&&!!n&&t.startLineNumber===n.startLineNumber&&t.startColumn===n.startColumn&&t.endLineNumber===n.endLineNumber&&t.endColumn===n.endColumn}getEndPosition(){return k.getEndPosition(this)}static getEndPosition(t){return new H(t.endLineNumber,t.endColumn)}getStartPosition(){return k.getStartPosition(this)}static getStartPosition(t){return new H(t.startLineNumber,t.startColumn)}toString(){return"["+this.startLineNumber+","+this.startColumn+" -> "+this.endLineNumber+","+this.endColumn+"]"}setEndPosition(t,n){return new k(this.startLineNumber,this.startColumn,t,n)}setStartPosition(t,n){return new k(t,n,this.endLineNumber,this.endColumn)}collapseToStart(){return k.collapseToStart(this)}static collapseToStart(t){return new k(t.startLineNumber,t.startColumn,t.startLineNumber,t.startColumn)}collapseToEnd(){return k.collapseToEnd(this)}static collapseToEnd(t){return new k(t.endLineNumber,t.endColumn,t.endLineNumber,t.endColumn)}delta(t){return new k(this.startLineNumber+t,this.startColumn,this.endLineNumber+t,this.endColumn)}static fromPositions(t,n=t){return new k(t.lineNumber,t.column,n.lineNumber,n.column)}static lift(t){return t?new k(t.startLineNumber,t.startColumn,t.endLineNumber,t.endColumn):null}static isIRange(t){return t&&typeof t.startLineNumber=="number"&&typeof t.startColumn=="number"&&typeof t.endLineNumber=="number"&&typeof t.endColumn=="number"}static areIntersectingOrTouching(t,n){return!(t.endLineNumber<n.startLineNumber||t.endLineNumber===n.startLineNumber&&t.endColumn<n.startColumn||n.endLineNumber<t.startLineNumber||n.endLineNumber===t.startLineNumber&&n.endColumn<t.startColumn)}static areIntersecting(t,n){return!(t.endLineNumber<n.startLineNumber||t.endLineNumber===n.startLineNumber&&t.endColumn<=n.startColumn||n.endLineNumber<t.startLineNumber||n.endLineNumber===t.startLineNumber&&n.endColumn<=t.startColumn)}static compareRangesUsingStarts(t,n){if(t&&n){const i=t.startLineNumber|0,o=n.startLineNumber|0;if(i===o){const l=t.startColumn|0,u=n.startColumn|0;if(l===u){const c=t.endLineNumber|0,f=n.endLineNumber|0;if(c===f){const h=t.endColumn|0,d=n.endColumn|0;return h-d}return c-f}return l-u}return i-o}return(t?1:0)-(n?1:0)}static compareRangesUsingEnds(t,n){return t.endLineNumber===n.endLineNumber?t.endColumn===n.endColumn?t.startLineNumber===n.startLineNumber?t.startColumn-n.startColumn:t.startLineNumber-n.startLineNumber:t.endColumn-n.endColumn:t.endLineNumber-n.endLineNumber}static spansMultipleLines(t){return t.endLineNumber>t.startLineNumber}toJSON(){return this}}function rs(e){return e<0?0:e>255?255:e|0}function qe(e){return e<0?0:e>4294967295?4294967295:e|0}class on{constructor(t){const n=rs(t);this._defaultValue=n,this._asciiMap=on._createAsciiMap(n),this._map=new Map}static _createAsciiMap(t){const n=new Uint8Array(256);return n.fill(t),n}set(t,n){const s=rs(n);t>=0&&t<256?this._asciiMap[t]=s:this._map.set(t,s)}get(t){return t>=0&&t<256?this._asciiMap[t]:this._map.get(t)||this._defaultValue}clear(){this._asciiMap.fill(this._defaultValue),this._map.clear()}}class la{constructor(t,n,s){const r=new Uint8Array(t*n);for(let i=0,o=t*n;i<o;i++)r[i]=s;this._data=r,this.rows=t,this.cols=n}get(t,n){return this._data[t*this.cols+n]}set(t,n,s){this._data[t*this.cols+n]=s}}class ua{constructor(t){let n=0,s=0;for(let i=0,o=t.length;i<o;i++){const[l,u,c]=t[i];u>n&&(n=u),l>s&&(s=l),c>s&&(s=c)}n++,s++;const r=new la(s,n,0);for(let i=0,o=t.length;i<o;i++){const[l,u,c]=t[i];r.set(l,u,c)}this._states=r,this._maxCharCode=n}nextState(t,n){return n<0||n>=this._maxCharCode?0:this._states.get(t,n)}}let ln=null;function ca(){return ln===null&&(ln=new ua([[1,104,2],[1,72,2],[1,102,6],[1,70,6],[2,116,3],[2,84,3],[3,116,4],[3,84,4],[4,112,5],[4,80,5],[5,115,9],[5,83,9],[5,58,10],[6,105,7],[6,73,7],[7,108,8],[7,76,8],[8,101,9],[8,69,9],[9,58,10],[10,47,11],[11,47,12]])),ln}let st=null;function ha(){if(st===null){st=new on(0);const e=` <>'"、。。、,.:;‘〈「『〔([{「」}])〕』」〉’`~…`;for(let n=0;n<e.length;n++)st.set(e.charCodeAt(n),1);const t=".,;:";for(let n=0;n<t.length;n++)st.set(t.charCodeAt(n),2)}return st}class Lt{static _createLink(t,n,s,r,i){let o=i-1;do{const l=n.charCodeAt(o);if(t.get(l)!==2)break;o--}while(o>r);if(r>0){const l=n.charCodeAt(r-1),u=n.charCodeAt(o);(l===40&&u===41||l===91&&u===93||l===123&&u===125)&&o--}return{range:{startLineNumber:s,startColumn:r+1,endLineNumber:s,endColumn:o+2},url:n.substring(r,o+1)}}static computeLinks(t,n=ca()){const s=ha(),r=[];for(let i=1,o=t.getLineCount();i<=o;i++){const l=t.getLineContent(i),u=l.length;let c=0,f=0,h=0,d=1,m=!1,g=!1,b=!1,p=!1;for(;c<u;){let v=!1;const S=l.charCodeAt(c);if(d===13){let N;switch(S){case 40:m=!0,N=0;break;case 41:N=m?0:1;break;case 91:b=!0,g=!0,N=0;break;case 93:b=!1,N=g?0:1;break;case 123:p=!0,N=0;break;case 125:N=p?0:1;break;case 39:case 34:case 96:h===S?N=1:h===39||h===34||h===96?N=0:N=1;break;case 42:N=h===42?1:0;break;case 124:N=h===124?1:0;break;case 32:N=b?0:1;break;default:N=s.get(S)}N===1&&(r.push(Lt._createLink(s,l,i,f,c)),v=!0)}else if(d===12){let N;S===91?(g=!0,N=0):N=s.get(S),N===1?v=!0:d=13}else d=n.nextState(d,S),d===0&&(v=!0);v&&(d=1,m=!1,g=!1,p=!1,f=c+1,h=S),c++}d===13&&r.push(Lt._createLink(s,l,i,f,u))}return r}}function fa(e){return!e||typeof e.getLineCount!="function"||typeof e.getLineContent!="function"?[]:Lt.computeLinks(e)}const It=class It{constructor(){this._defaultValueSet=[["true","false"],["True","False"],["Private","Public","Friend","ReadOnly","Partial","Protected","WriteOnly"],["public","protected","private"]]}navigateValueSet(t,n,s,r,i){if(t&&n){const o=this.doNavigateValueSet(n,i);if(o)return{range:t,value:o}}if(s&&r){const o=this.doNavigateValueSet(r,i);if(o)return{range:s,value:o}}return null}doNavigateValueSet(t,n){const s=this.numberReplace(t,n);return s!==null?s:this.textReplace(t,n)}numberReplace(t,n){const s=Math.pow(10,t.length-(t.lastIndexOf(".")+1));let r=Number(t);const i=parseFloat(t);return!isNaN(r)&&!isNaN(i)&&r===i?r===0&&!n?null:(r=Math.floor(r*s),r+=n?s:-s,String(r/s)):null}textReplace(t,n){return this.valueSetsReplace(this._defaultValueSet,t,n)}valueSetsReplace(t,n,s){let r=null;for(let i=0,o=t.length;r===null&&i<o;i++)r=this.valueSetReplace(t[i],n,s);return r}valueSetReplace(t,n,s){let r=t.indexOf(n);return r>=0?(r+=s?1:-1,r<0?r=t.length-1:r%=t.length,t[r]):null}};It.INSTANCE=new It;let un=It;const is=Object.freeze(function(e,t){const n=setTimeout(e.bind(t),0);return{dispose(){clearTimeout(n)}}});var vt;(function(e){function t(n){return n===e.None||n===e.Cancelled||n instanceof Nt?!0:!n||typeof n!="object"?!1:typeof n.isCancellationRequested=="boolean"&&typeof n.onCancellationRequested=="function"}e.isCancellationToken=t,e.None=Object.freeze({isCancellationRequested:!1,onCancellationRequested:gt.None}),e.Cancelled=Object.freeze({isCancellationRequested:!0,onCancellationRequested:is})})(vt||(vt={}));class Nt{constructor(){this._isCancelled=!1,this._emitter=null}cancel(){this._isCancelled||(this._isCancelled=!0,this._emitter&&(this._emitter.fire(void 0),this.dispose()))}get isCancellationRequested(){return this._isCancelled}get onCancellationRequested(){return this._isCancelled?is:(this._emitter||(this._emitter=new oe),this._emitter.event)}dispose(){this._emitter&&(this._emitter.dispose(),this._emitter=null)}}class da{constructor(t){this._token=void 0,this._parentListener=void 0,this._parentListener=t&&t.onCancellationRequested(this.cancel,this)}get token(){return this._token||(this._token=new Nt),this._token}cancel(){this._token?this._token instanceof Nt&&this._token.cancel():this._token=vt.Cancelled}dispose(t=!1){var n;t&&this.cancel(),(n=this._parentListener)==null||n.dispose(),this._token?this._token instanceof Nt&&this._token.dispose():this._token=vt.None}}class cn{constructor(){this._keyCodeToStr=[],this._strToKeyCode=Object.create(null)}define(t,n){this._keyCodeToStr[t]=n,this._strToKeyCode[n.toLowerCase()]=t}keyCodeToStr(t){return this._keyCodeToStr[t]}strToKeyCode(t){return this._strToKeyCode[t.toLowerCase()]||0}}const St=new cn,hn=new cn,fn=new cn,ma=new Array(230),ga=Object.create(null),ba=Object.create(null);(function(){const e="",t=[[1,0,"None",0,"unknown",0,"VK_UNKNOWN",e,e],[1,1,"Hyper",0,e,0,e,e,e],[1,2,"Super",0,e,0,e,e,e],[1,3,"Fn",0,e,0,e,e,e],[1,4,"FnLock",0,e,0,e,e,e],[1,5,"Suspend",0,e,0,e,e,e],[1,6,"Resume",0,e,0,e,e,e],[1,7,"Turbo",0,e,0,e,e,e],[1,8,"Sleep",0,e,0,"VK_SLEEP",e,e],[1,9,"WakeUp",0,e,0,e,e,e],[0,10,"KeyA",31,"A",65,"VK_A",e,e],[0,11,"KeyB",32,"B",66,"VK_B",e,e],[0,12,"KeyC",33,"C",67,"VK_C",e,e],[0,13,"KeyD",34,"D",68,"VK_D",e,e],[0,14,"KeyE",35,"E",69,"VK_E",e,e],[0,15,"KeyF",36,"F",70,"VK_F",e,e],[0,16,"KeyG",37,"G",71,"VK_G",e,e],[0,17,"KeyH",38,"H",72,"VK_H",e,e],[0,18,"KeyI",39,"I",73,"VK_I",e,e],[0,19,"KeyJ",40,"J",74,"VK_J",e,e],[0,20,"KeyK",41,"K",75,"VK_K",e,e],[0,21,"KeyL",42,"L",76,"VK_L",e,e],[0,22,"KeyM",43,"M",77,"VK_M",e,e],[0,23,"KeyN",44,"N",78,"VK_N",e,e],[0,24,"KeyO",45,"O",79,"VK_O",e,e],[0,25,"KeyP",46,"P",80,"VK_P",e,e],[0,26,"KeyQ",47,"Q",81,"VK_Q",e,e],[0,27,"KeyR",48,"R",82,"VK_R",e,e],[0,28,"KeyS",49,"S",83,"VK_S",e,e],[0,29,"KeyT",50,"T",84,"VK_T",e,e],[0,30,"KeyU",51,"U",85,"VK_U",e,e],[0,31,"KeyV",52,"V",86,"VK_V",e,e],[0,32,"KeyW",53,"W",87,"VK_W",e,e],[0,33,"KeyX",54,"X",88,"VK_X",e,e],[0,34,"KeyY",55,"Y",89,"VK_Y",e,e],[0,35,"KeyZ",56,"Z",90,"VK_Z",e,e],[0,36,"Digit1",22,"1",49,"VK_1",e,e],[0,37,"Digit2",23,"2",50,"VK_2",e,e],[0,38,"Digit3",24,"3",51,"VK_3",e,e],[0,39,"Digit4",25,"4",52,"VK_4",e,e],[0,40,"Digit5",26,"5",53,"VK_5",e,e],[0,41,"Digit6",27,"6",54,"VK_6",e,e],[0,42,"Digit7",28,"7",55,"VK_7",e,e],[0,43,"Digit8",29,"8",56,"VK_8",e,e],[0,44,"Digit9",30,"9",57,"VK_9",e,e],[0,45,"Digit0",21,"0",48,"VK_0",e,e],[1,46,"Enter",3,"Enter",13,"VK_RETURN",e,e],[1,47,"Escape",9,"Escape",27,"VK_ESCAPE",e,e],[1,48,"Backspace",1,"Backspace",8,"VK_BACK",e,e],[1,49,"Tab",2,"Tab",9,"VK_TAB",e,e],[1,50,"Space",10,"Space",32,"VK_SPACE",e,e],[0,51,"Minus",88,"-",189,"VK_OEM_MINUS","-","OEM_MINUS"],[0,52,"Equal",86,"=",187,"VK_OEM_PLUS","=","OEM_PLUS"],[0,53,"BracketLeft",92,"[",219,"VK_OEM_4","[","OEM_4"],[0,54,"BracketRight",94,"]",221,"VK_OEM_6","]","OEM_6"],[0,55,"Backslash",93,"\\",220,"VK_OEM_5","\\","OEM_5"],[0,56,"IntlHash",0,e,0,e,e,e],[0,57,"Semicolon",85,";",186,"VK_OEM_1",";","OEM_1"],[0,58,"Quote",95,"'",222,"VK_OEM_7","'","OEM_7"],[0,59,"Backquote",91,"`",192,"VK_OEM_3","`","OEM_3"],[0,60,"Comma",87,",",188,"VK_OEM_COMMA",",","OEM_COMMA"],[0,61,"Period",89,".",190,"VK_OEM_PERIOD",".","OEM_PERIOD"],[0,62,"Slash",90,"/",191,"VK_OEM_2","/","OEM_2"],[1,63,"CapsLock",8,"CapsLock",20,"VK_CAPITAL",e,e],[1,64,"F1",59,"F1",112,"VK_F1",e,e],[1,65,"F2",60,"F2",113,"VK_F2",e,e],[1,66,"F3",61,"F3",114,"VK_F3",e,e],[1,67,"F4",62,"F4",115,"VK_F4",e,e],[1,68,"F5",63,"F5",116,"VK_F5",e,e],[1,69,"F6",64,"F6",117,"VK_F6",e,e],[1,70,"F7",65,"F7",118,"VK_F7",e,e],[1,71,"F8",66,"F8",119,"VK_F8",e,e],[1,72,"F9",67,"F9",120,"VK_F9",e,e],[1,73,"F10",68,"F10",121,"VK_F10",e,e],[1,74,"F11",69,"F11",122,"VK_F11",e,e],[1,75,"F12",70,"F12",123,"VK_F12",e,e],[1,76,"PrintScreen",0,e,0,e,e,e],[1,77,"ScrollLock",84,"ScrollLock",145,"VK_SCROLL",e,e],[1,78,"Pause",7,"PauseBreak",19,"VK_PAUSE",e,e],[1,79,"Insert",19,"Insert",45,"VK_INSERT",e,e],[1,80,"Home",14,"Home",36,"VK_HOME",e,e],[1,81,"PageUp",11,"PageUp",33,"VK_PRIOR",e,e],[1,82,"Delete",20,"Delete",46,"VK_DELETE",e,e],[1,83,"End",13,"End",35,"VK_END",e,e],[1,84,"PageDown",12,"PageDown",34,"VK_NEXT",e,e],[1,85,"ArrowRight",17,"RightArrow",39,"VK_RIGHT","Right",e],[1,86,"ArrowLeft",15,"LeftArrow",37,"VK_LEFT","Left",e],[1,87,"ArrowDown",18,"DownArrow",40,"VK_DOWN","Down",e],[1,88,"ArrowUp",16,"UpArrow",38,"VK_UP","Up",e],[1,89,"NumLock",83,"NumLock",144,"VK_NUMLOCK",e,e],[1,90,"NumpadDivide",113,"NumPad_Divide",111,"VK_DIVIDE",e,e],[1,91,"NumpadMultiply",108,"NumPad_Multiply",106,"VK_MULTIPLY",e,e],[1,92,"NumpadSubtract",111,"NumPad_Subtract",109,"VK_SUBTRACT",e,e],[1,93,"NumpadAdd",109,"NumPad_Add",107,"VK_ADD",e,e],[1,94,"NumpadEnter",3,e,0,e,e,e],[1,95,"Numpad1",99,"NumPad1",97,"VK_NUMPAD1",e,e],[1,96,"Numpad2",100,"NumPad2",98,"VK_NUMPAD2",e,e],[1,97,"Numpad3",101,"NumPad3",99,"VK_NUMPAD3",e,e],[1,98,"Numpad4",102,"NumPad4",100,"VK_NUMPAD4",e,e],[1,99,"Numpad5",103,"NumPad5",101,"VK_NUMPAD5",e,e],[1,100,"Numpad6",104,"NumPad6",102,"VK_NUMPAD6",e,e],[1,101,"Numpad7",105,"NumPad7",103,"VK_NUMPAD7",e,e],[1,102,"Numpad8",106,"NumPad8",104,"VK_NUMPAD8",e,e],[1,103,"Numpad9",107,"NumPad9",105,"VK_NUMPAD9",e,e],[1,104,"Numpad0",98,"NumPad0",96,"VK_NUMPAD0",e,e],[1,105,"NumpadDecimal",112,"NumPad_Decimal",110,"VK_DECIMAL",e,e],[0,106,"IntlBackslash",97,"OEM_102",226,"VK_OEM_102",e,e],[1,107,"ContextMenu",58,"ContextMenu",93,e,e,e],[1,108,"Power",0,e,0,e,e,e],[1,109,"NumpadEqual",0,e,0,e,e,e],[1,110,"F13",71,"F13",124,"VK_F13",e,e],[1,111,"F14",72,"F14",125,"VK_F14",e,e],[1,112,"F15",73,"F15",126,"VK_F15",e,e],[1,113,"F16",74,"F16",127,"VK_F16",e,e],[1,114,"F17",75,"F17",128,"VK_F17",e,e],[1,115,"F18",76,"F18",129,"VK_F18",e,e],[1,116,"F19",77,"F19",130,"VK_F19",e,e],[1,117,"F20",78,"F20",131,"VK_F20",e,e],[1,118,"F21",79,"F21",132,"VK_F21",e,e],[1,119,"F22",80,"F22",133,"VK_F22",e,e],[1,120,"F23",81,"F23",134,"VK_F23",e,e],[1,121,"F24",82,"F24",135,"VK_F24",e,e],[1,122,"Open",0,e,0,e,e,e],[1,123,"Help",0,e,0,e,e,e],[1,124,"Select",0,e,0,e,e,e],[1,125,"Again",0,e,0,e,e,e],[1,126,"Undo",0,e,0,e,e,e],[1,127,"Cut",0,e,0,e,e,e],[1,128,"Copy",0,e,0,e,e,e],[1,129,"Paste",0,e,0,e,e,e],[1,130,"Find",0,e,0,e,e,e],[1,131,"AudioVolumeMute",117,"AudioVolumeMute",173,"VK_VOLUME_MUTE",e,e],[1,132,"AudioVolumeUp",118,"AudioVolumeUp",175,"VK_VOLUME_UP",e,e],[1,133,"AudioVolumeDown",119,"AudioVolumeDown",174,"VK_VOLUME_DOWN",e,e],[1,134,"NumpadComma",110,"NumPad_Separator",108,"VK_SEPARATOR",e,e],[0,135,"IntlRo",115,"ABNT_C1",193,"VK_ABNT_C1",e,e],[1,136,"KanaMode",0,e,0,e,e,e],[0,137,"IntlYen",0,e,0,e,e,e],[1,138,"Convert",0,e,0,e,e,e],[1,139,"NonConvert",0,e,0,e,e,e],[1,140,"Lang1",0,e,0,e,e,e],[1,141,"Lang2",0,e,0,e,e,e],[1,142,"Lang3",0,e,0,e,e,e],[1,143,"Lang4",0,e,0,e,e,e],[1,144,"Lang5",0,e,0,e,e,e],[1,145,"Abort",0,e,0,e,e,e],[1,146,"Props",0,e,0,e,e,e],[1,147,"NumpadParenLeft",0,e,0,e,e,e],[1,148,"NumpadParenRight",0,e,0,e,e,e],[1,149,"NumpadBackspace",0,e,0,e,e,e],[1,150,"NumpadMemoryStore",0,e,0,e,e,e],[1,151,"NumpadMemoryRecall",0,e,0,e,e,e],[1,152,"NumpadMemoryClear",0,e,0,e,e,e],[1,153,"NumpadMemoryAdd",0,e,0,e,e,e],[1,154,"NumpadMemorySubtract",0,e,0,e,e,e],[1,155,"NumpadClear",131,"Clear",12,"VK_CLEAR",e,e],[1,156,"NumpadClearEntry",0,e,0,e,e,e],[1,0,e,5,"Ctrl",17,"VK_CONTROL",e,e],[1,0,e,4,"Shift",16,"VK_SHIFT",e,e],[1,0,e,6,"Alt",18,"VK_MENU",e,e],[1,0,e,57,"Meta",91,"VK_COMMAND",e,e],[1,157,"ControlLeft",5,e,0,"VK_LCONTROL",e,e],[1,158,"ShiftLeft",4,e,0,"VK_LSHIFT",e,e],[1,159,"AltLeft",6,e,0,"VK_LMENU",e,e],[1,160,"MetaLeft",57,e,0,"VK_LWIN",e,e],[1,161,"ControlRight",5,e,0,"VK_RCONTROL",e,e],[1,162,"ShiftRight",4,e,0,"VK_RSHIFT",e,e],[1,163,"AltRight",6,e,0,"VK_RMENU",e,e],[1,164,"MetaRight",57,e,0,"VK_RWIN",e,e],[1,165,"BrightnessUp",0,e,0,e,e,e],[1,166,"BrightnessDown",0,e,0,e,e,e],[1,167,"MediaPlay",0,e,0,e,e,e],[1,168,"MediaRecord",0,e,0,e,e,e],[1,169,"MediaFastForward",0,e,0,e,e,e],[1,170,"MediaRewind",0,e,0,e,e,e],[1,171,"MediaTrackNext",124,"MediaTrackNext",176,"VK_MEDIA_NEXT_TRACK",e,e],[1,172,"MediaTrackPrevious",125,"MediaTrackPrevious",177,"VK_MEDIA_PREV_TRACK",e,e],[1,173,"MediaStop",126,"MediaStop",178,"VK_MEDIA_STOP",e,e],[1,174,"Eject",0,e,0,e,e,e],[1,175,"MediaPlayPause",127,"MediaPlayPause",179,"VK_MEDIA_PLAY_PAUSE",e,e],[1,176,"MediaSelect",128,"LaunchMediaPlayer",181,"VK_MEDIA_LAUNCH_MEDIA_SELECT",e,e],[1,177,"LaunchMail",129,"LaunchMail",180,"VK_MEDIA_LAUNCH_MAIL",e,e],[1,178,"LaunchApp2",130,"LaunchApp2",183,"VK_MEDIA_LAUNCH_APP2",e,e],[1,179,"LaunchApp1",0,e,0,"VK_MEDIA_LAUNCH_APP1",e,e],[1,180,"SelectTask",0,e,0,e,e,e],[1,181,"LaunchScreenSaver",0,e,0,e,e,e],[1,182,"BrowserSearch",120,"BrowserSearch",170,"VK_BROWSER_SEARCH",e,e],[1,183,"BrowserHome",121,"BrowserHome",172,"VK_BROWSER_HOME",e,e],[1,184,"BrowserBack",122,"BrowserBack",166,"VK_BROWSER_BACK",e,e],[1,185,"BrowserForward",123,"BrowserForward",167,"VK_BROWSER_FORWARD",e,e],[1,186,"BrowserStop",0,e,0,"VK_BROWSER_STOP",e,e],[1,187,"BrowserRefresh",0,e,0,"VK_BROWSER_REFRESH",e,e],[1,188,"BrowserFavorites",0,e,0,"VK_BROWSER_FAVORITES",e,e],[1,189,"ZoomToggle",0,e,0,e,e,e],[1,190,"MailReply",0,e,0,e,e,e],[1,191,"MailForward",0,e,0,e,e,e],[1,192,"MailSend",0,e,0,e,e,e],[1,0,e,114,"KeyInComposition",229,e,e,e],[1,0,e,116,"ABNT_C2",194,"VK_ABNT_C2",e,e],[1,0,e,96,"OEM_8",223,"VK_OEM_8",e,e],[1,0,e,0,e,0,"VK_KANA",e,e],[1,0,e,0,e,0,"VK_HANGUL",e,e],[1,0,e,0,e,0,"VK_JUNJA",e,e],[1,0,e,0,e,0,"VK_FINAL",e,e],[1,0,e,0,e,0,"VK_HANJA",e,e],[1,0,e,0,e,0,"VK_KANJI",e,e],[1,0,e,0,e,0,"VK_CONVERT",e,e],[1,0,e,0,e,0,"VK_NONCONVERT",e,e],[1,0,e,0,e,0,"VK_ACCEPT",e,e],[1,0,e,0,e,0,"VK_MODECHANGE",e,e],[1,0,e,0,e,0,"VK_SELECT",e,e],[1,0,e,0,e,0,"VK_PRINT",e,e],[1,0,e,0,e,0,"VK_EXECUTE",e,e],[1,0,e,0,e,0,"VK_SNAPSHOT",e,e],[1,0,e,0,e,0,"VK_HELP",e,e],[1,0,e,0,e,0,"VK_APPS",e,e],[1,0,e,0,e,0,"VK_PROCESSKEY",e,e],[1,0,e,0,e,0,"VK_PACKET",e,e],[1,0,e,0,e,0,"VK_DBE_SBCSCHAR",e,e],[1,0,e,0,e,0,"VK_DBE_DBCSCHAR",e,e],[1,0,e,0,e,0,"VK_ATTN",e,e],[1,0,e,0,e,0,"VK_CRSEL",e,e],[1,0,e,0,e,0,"VK_EXSEL",e,e],[1,0,e,0,e,0,"VK_EREOF",e,e],[1,0,e,0,e,0,"VK_PLAY",e,e],[1,0,e,0,e,0,"VK_ZOOM",e,e],[1,0,e,0,e,0,"VK_NONAME",e,e],[1,0,e,0,e,0,"VK_PA1",e,e],[1,0,e,0,e,0,"VK_OEM_CLEAR",e,e]],n=[],s=[];for(const r of t){const[i,o,l,u,c,f,h,d,m]=r;if(s[o]||(s[o]=!0,ga[l]=o,ba[l.toLowerCase()]=o),!n[u]){if(n[u]=!0,!c)throw new Error(`String representation missing for key code ${u} around scan code ${l}`);St.define(u,c),hn.define(u,d||c),fn.define(u,m||d||c)}f&&(ma[f]=u)}})();var as;(function(e){function t(l){return St.keyCodeToStr(l)}e.toString=t;function n(l){return St.strToKeyCode(l)}e.fromString=n;function s(l){return hn.keyCodeToStr(l)}e.toUserSettingsUS=s;function r(l){return fn.keyCodeToStr(l)}e.toUserSettingsGeneral=r;function i(l){return hn.strToKeyCode(l)||fn.strToKeyCode(l)}e.fromUserSettings=i;function o(l){if(l>=98&&l<=113)return null;switch(l){case 16:return"Up";case 18:return"Down";case 15:return"Left";case 17:return"Right"}return St.keyCodeToStr(l)}e.toElectronAccelerator=o})(as||(as={}));function xa(e,t){const n=(t&65535)<<16>>>0;return(e|n)>>>0}class ae extends k{constructor(t,n,s,r){super(t,n,s,r),this.selectionStartLineNumber=t,this.selectionStartColumn=n,this.positionLineNumber=s,this.positionColumn=r}toString(){return"["+this.selectionStartLineNumber+","+this.selectionStartColumn+" -> "+this.positionLineNumber+","+this.positionColumn+"]"}equalsSelection(t){return ae.selectionsEqual(this,t)}static selectionsEqual(t,n){return t.selectionStartLineNumber===n.selectionStartLineNumber&&t.selectionStartColumn===n.selectionStartColumn&&t.positionLineNumber===n.positionLineNumber&&t.positionColumn===n.positionColumn}getDirection(){return this.selectionStartLineNumber===this.startLineNumber&&this.selectionStartColumn===this.startColumn?0:1}setEndPosition(t,n){return this.getDirection()===0?new ae(this.startLineNumber,this.startColumn,t,n):new ae(t,n,this.startLineNumber,this.startColumn)}getPosition(){return new H(this.positionLineNumber,this.positionColumn)}getSelectionStart(){return new H(this.selectionStartLineNumber,this.selectionStartColumn)}setStartPosition(t,n){return this.getDirection()===0?new ae(t,n,this.endLineNumber,this.endColumn):new ae(this.endLineNumber,this.endColumn,t,n)}static fromPositions(t,n=t){return new ae(t.lineNumber,t.column,n.lineNumber,n.column)}static fromRange(t,n){return n===0?new ae(t.startLineNumber,t.startColumn,t.endLineNumber,t.endColumn):new ae(t.endLineNumber,t.endColumn,t.startLineNumber,t.startColumn)}static liftSelection(t){return new ae(t.selectionStartLineNumber,t.selectionStartColumn,t.positionLineNumber,t.positionColumn)}static selectionsArrEqual(t,n){if(t&&!n||!t&&n)return!1;if(!t&&!n)return!0;if(t.length!==n.length)return!1;for(let s=0,r=t.length;s<r;s++)if(!this.selectionsEqual(t[s],n[s]))return!1;return!0}static isISelection(t){return t&&typeof t.selectionStartLineNumber=="number"&&typeof t.selectionStartColumn=="number"&&typeof t.positionLineNumber=="number"&&typeof t.positionColumn=="number"}static createWithDirection(t,n,s,r,i){return i===0?new ae(t,n,s,r):new ae(s,r,t,n)}}function _a(e){return typeof e=="string"}const os=Object.create(null);function a(e,t){if(_a(t)){const n=os[t];if(n===void 0)throw new Error(`${e} references an unknown codicon: ${t}`);t=n}return os[e]=t,{id:e}}const pa={add:a("add",6e4),plus:a("plus",6e4),gistNew:a("gist-new",6e4),repoCreate:a("repo-create",6e4),lightbulb:a("lightbulb",60001),lightBulb:a("light-bulb",60001),repo:a("repo",60002),repoDelete:a("repo-delete",60002),gistFork:a("gist-fork",60003),repoForked:a("repo-forked",60003),gitPullRequest:a("git-pull-request",60004),gitPullRequestAbandoned:a("git-pull-request-abandoned",60004),recordKeys:a("record-keys",60005),keyboard:a("keyboard",60005),tag:a("tag",60006),gitPullRequestLabel:a("git-pull-request-label",60006),tagAdd:a("tag-add",60006),tagRemove:a("tag-remove",60006),person:a("person",60007),personFollow:a("person-follow",60007),personOutline:a("person-outline",60007),personFilled:a("person-filled",60007),gitBranch:a("git-branch",60008),gitBranchCreate:a("git-branch-create",60008),gitBranchDelete:a("git-branch-delete",60008),sourceControl:a("source-control",60008),mirror:a("mirror",60009),mirrorPublic:a("mirror-public",60009),star:a("star",60010),starAdd:a("star-add",60010),starDelete:a("star-delete",60010),starEmpty:a("star-empty",60010),comment:a("comment",60011),commentAdd:a("comment-add",60011),alert:a("alert",60012),warning:a("warning",60012),search:a("search",60013),searchSave:a("search-save",60013),logOut:a("log-out",60014),signOut:a("sign-out",60014),logIn:a("log-in",60015),signIn:a("sign-in",60015),eye:a("eye",60016),eyeUnwatch:a("eye-unwatch",60016),eyeWatch:a("eye-watch",60016),circleFilled:a("circle-filled",60017),primitiveDot:a("primitive-dot",60017),closeDirty:a("close-dirty",60017),debugBreakpoint:a("debug-breakpoint",60017),debugBreakpointDisabled:a("debug-breakpoint-disabled",60017),debugHint:a("debug-hint",60017),terminalDecorationSuccess:a("terminal-decoration-success",60017),primitiveSquare:a("primitive-square",60018),edit:a("edit",60019),pencil:a("pencil",60019),info:a("info",60020),issueOpened:a("issue-opened",60020),gistPrivate:a("gist-private",60021),gitForkPrivate:a("git-fork-private",60021),lock:a("lock",60021),mirrorPrivate:a("mirror-private",60021),close:a("close",60022),removeClose:a("remove-close",60022),x:a("x",60022),repoSync:a("repo-sync",60023),sync:a("sync",60023),clone:a("clone",60024),desktopDownload:a("desktop-download",60024),beaker:a("beaker",60025),microscope:a("microscope",60025),vm:a("vm",60026),deviceDesktop:a("device-desktop",60026),file:a("file",60027),fileText:a("file-text",60027),more:a("more",60028),ellipsis:a("ellipsis",60028),kebabHorizontal:a("kebab-horizontal",60028),mailReply:a("mail-reply",60029),reply:a("reply",60029),organization:a("organization",60030),organizationFilled:a("organization-filled",60030),organizationOutline:a("organization-outline",60030),newFile:a("new-file",60031),fileAdd:a("file-add",60031),newFolder:a("new-folder",60032),fileDirectoryCreate:a("file-directory-create",60032),trash:a("trash",60033),trashcan:a("trashcan",60033),history:a("history",60034),clock:a("clock",60034),folder:a("folder",60035),fileDirectory:a("file-directory",60035),symbolFolder:a("symbol-folder",60035),logoGithub:a("logo-github",60036),markGithub:a("mark-github",60036),github:a("github",60036),terminal:a("terminal",60037),console:a("console",60037),repl:a("repl",60037),zap:a("zap",60038),symbolEvent:a("symbol-event",60038),error:a("error",60039),stop:a("stop",60039),variable:a("variable",60040),symbolVariable:a("symbol-variable",60040),array:a("array",60042),symbolArray:a("symbol-array",60042),symbolModule:a("symbol-module",60043),symbolPackage:a("symbol-package",60043),symbolNamespace:a("symbol-namespace",60043),symbolObject:a("symbol-object",60043),symbolMethod:a("symbol-method",60044),symbolFunction:a("symbol-function",60044),symbolConstructor:a("symbol-constructor",60044),symbolBoolean:a("symbol-boolean",60047),symbolNull:a("symbol-null",60047),symbolNumeric:a("symbol-numeric",60048),symbolNumber:a("symbol-number",60048),symbolStructure:a("symbol-structure",60049),symbolStruct:a("symbol-struct",60049),symbolParameter:a("symbol-parameter",60050),symbolTypeParameter:a("symbol-type-parameter",60050),symbolKey:a("symbol-key",60051),symbolText:a("symbol-text",60051),symbolReference:a("symbol-reference",60052),goToFile:a("go-to-file",60052),symbolEnum:a("symbol-enum",60053),symbolValue:a("symbol-value",60053),symbolRuler:a("symbol-ruler",60054),symbolUnit:a("symbol-unit",60054),activateBreakpoints:a("activate-breakpoints",60055),archive:a("archive",60056),arrowBoth:a("arrow-both",60057),arrowDown:a("arrow-down",60058),arrowLeft:a("arrow-left",60059),arrowRight:a("arrow-right",60060),arrowSmallDown:a("arrow-small-down",60061),arrowSmallLeft:a("arrow-small-left",60062),arrowSmallRight:a("arrow-small-right",60063),arrowSmallUp:a("arrow-small-up",60064),arrowUp:a("arrow-up",60065),bell:a("bell",60066),bold:a("bold",60067),book:a("book",60068),bookmark:a("bookmark",60069),debugBreakpointConditionalUnverified:a("debug-breakpoint-conditional-unverified",60070),debugBreakpointConditional:a("debug-breakpoint-conditional",60071),debugBreakpointConditionalDisabled:a("debug-breakpoint-conditional-disabled",60071),debugBreakpointDataUnverified:a("debug-breakpoint-data-unverified",60072),debugBreakpointData:a("debug-breakpoint-data",60073),debugBreakpointDataDisabled:a("debug-breakpoint-data-disabled",60073),debugBreakpointLogUnverified:a("debug-breakpoint-log-unverified",60074),debugBreakpointLog:a("debug-breakpoint-log",60075),debugBreakpointLogDisabled:a("debug-breakpoint-log-disabled",60075),briefcase:a("briefcase",60076),broadcast:a("broadcast",60077),browser:a("browser",60078),bug:a("bug",60079),calendar:a("calendar",60080),caseSensitive:a("case-sensitive",60081),check:a("check",60082),checklist:a("checklist",60083),chevronDown:a("chevron-down",60084),chevronLeft:a("chevron-left",60085),chevronRight:a("chevron-right",60086),chevronUp:a("chevron-up",60087),chromeClose:a("chrome-close",60088),chromeMaximize:a("chrome-maximize",60089),chromeMinimize:a("chrome-minimize",60090),chromeRestore:a("chrome-restore",60091),circleOutline:a("circle-outline",60092),circle:a("circle",60092),debugBreakpointUnverified:a("debug-breakpoint-unverified",60092),terminalDecorationIncomplete:a("terminal-decoration-incomplete",60092),circleSlash:a("circle-slash",60093),circuitBoard:a("circuit-board",60094),clearAll:a("clear-all",60095),clippy:a("clippy",60096),closeAll:a("close-all",60097),cloudDownload:a("cloud-download",60098),cloudUpload:a("cloud-upload",60099),code:a("code",60100),collapseAll:a("collapse-all",60101),colorMode:a("color-mode",60102),commentDiscussion:a("comment-discussion",60103),creditCard:a("credit-card",60105),dash:a("dash",60108),dashboard:a("dashboard",60109),database:a("database",60110),debugContinue:a("debug-continue",60111),debugDisconnect:a("debug-disconnect",60112),debugPause:a("debug-pause",60113),debugRestart:a("debug-restart",60114),debugStart:a("debug-start",60115),debugStepInto:a("debug-step-into",60116),debugStepOut:a("debug-step-out",60117),debugStepOver:a("debug-step-over",60118),debugStop:a("debug-stop",60119),debug:a("debug",60120),deviceCameraVideo:a("device-camera-video",60121),deviceCamera:a("device-camera",60122),deviceMobile:a("device-mobile",60123),diffAdded:a("diff-added",60124),diffIgnored:a("diff-ignored",60125),diffModified:a("diff-modified",60126),diffRemoved:a("diff-removed",60127),diffRenamed:a("diff-renamed",60128),diff:a("diff",60129),diffSidebyside:a("diff-sidebyside",60129),discard:a("discard",60130),editorLayout:a("editor-layout",60131),emptyWindow:a("empty-window",60132),exclude:a("exclude",60133),extensions:a("extensions",60134),eyeClosed:a("eye-closed",60135),fileBinary:a("file-binary",60136),fileCode:a("file-code",60137),fileMedia:a("file-media",60138),filePdf:a("file-pdf",60139),fileSubmodule:a("file-submodule",60140),fileSymlinkDirectory:a("file-symlink-directory",60141),fileSymlinkFile:a("file-symlink-file",60142),fileZip:a("file-zip",60143),files:a("files",60144),filter:a("filter",60145),flame:a("flame",60146),foldDown:a("fold-down",60147),foldUp:a("fold-up",60148),fold:a("fold",60149),folderActive:a("folder-active",60150),folderOpened:a("folder-opened",60151),gear:a("gear",60152),gift:a("gift",60153),gistSecret:a("gist-secret",60154),gist:a("gist",60155),gitCommit:a("git-commit",60156),gitCompare:a("git-compare",60157),compareChanges:a("compare-changes",60157),gitMerge:a("git-merge",60158),githubAction:a("github-action",60159),githubAlt:a("github-alt",60160),globe:a("globe",60161),grabber:a("grabber",60162),graph:a("graph",60163),gripper:a("gripper",60164),heart:a("heart",60165),home:a("home",60166),horizontalRule:a("horizontal-rule",60167),hubot:a("hubot",60168),inbox:a("inbox",60169),issueReopened:a("issue-reopened",60171),issues:a("issues",60172),italic:a("italic",60173),jersey:a("jersey",60174),json:a("json",60175),kebabVertical:a("kebab-vertical",60176),key:a("key",60177),law:a("law",60178),lightbulbAutofix:a("lightbulb-autofix",60179),linkExternal:a("link-external",60180),link:a("link",60181),listOrdered:a("list-ordered",60182),listUnordered:a("list-unordered",60183),liveShare:a("live-share",60184),loading:a("loading",60185),location:a("location",60186),mailRead:a("mail-read",60187),mail:a("mail",60188),markdown:a("markdown",60189),megaphone:a("megaphone",60190),mention:a("mention",60191),milestone:a("milestone",60192),gitPullRequestMilestone:a("git-pull-request-milestone",60192),mortarBoard:a("mortar-board",60193),move:a("move",60194),multipleWindows:a("multiple-windows",60195),mute:a("mute",60196),noNewline:a("no-newline",60197),note:a("note",60198),octoface:a("octoface",60199),openPreview:a("open-preview",60200),package:a("package",60201),paintcan:a("paintcan",60202),pin:a("pin",60203),play:a("play",60204),run:a("run",60204),plug:a("plug",60205),preserveCase:a("preserve-case",60206),preview:a("preview",60207),project:a("project",60208),pulse:a("pulse",60209),question:a("question",60210),quote:a("quote",60211),radioTower:a("radio-tower",60212),reactions:a("reactions",60213),references:a("references",60214),refresh:a("refresh",60215),regex:a("regex",60216),remoteExplorer:a("remote-explorer",60217),remote:a("remote",60218),remove:a("remove",60219),replaceAll:a("replace-all",60220),replace:a("replace",60221),repoClone:a("repo-clone",60222),repoForcePush:a("repo-force-push",60223),repoPull:a("repo-pull",60224),repoPush:a("repo-push",60225),report:a("report",60226),requestChanges:a("request-changes",60227),rocket:a("rocket",60228),rootFolderOpened:a("root-folder-opened",60229),rootFolder:a("root-folder",60230),rss:a("rss",60231),ruby:a("ruby",60232),saveAll:a("save-all",60233),saveAs:a("save-as",60234),save:a("save",60235),screenFull:a("screen-full",60236),screenNormal:a("screen-normal",60237),searchStop:a("search-stop",60238),server:a("server",60240),settingsGear:a("settings-gear",60241),settings:a("settings",60242),shield:a("shield",60243),smiley:a("smiley",60244),sortPrecedence:a("sort-precedence",60245),splitHorizontal:a("split-horizontal",60246),splitVertical:a("split-vertical",60247),squirrel:a("squirrel",60248),starFull:a("star-full",60249),starHalf:a("star-half",60250),symbolClass:a("symbol-class",60251),symbolColor:a("symbol-color",60252),symbolConstant:a("symbol-constant",60253),symbolEnumMember:a("symbol-enum-member",60254),symbolField:a("symbol-field",60255),symbolFile:a("symbol-file",60256),symbolInterface:a("symbol-interface",60257),symbolKeyword:a("symbol-keyword",60258),symbolMisc:a("symbol-misc",60259),symbolOperator:a("symbol-operator",60260),symbolProperty:a("symbol-property",60261),wrench:a("wrench",60261),wrenchSubaction:a("wrench-subaction",60261),symbolSnippet:a("symbol-snippet",60262),tasklist:a("tasklist",60263),telescope:a("telescope",60264),textSize:a("text-size",60265),threeBars:a("three-bars",60266),thumbsdown:a("thumbsdown",60267),thumbsup:a("thumbsup",60268),tools:a("tools",60269),triangleDown:a("triangle-down",60270),triangleLeft:a("triangle-left",60271),triangleRight:a("triangle-right",60272),triangleUp:a("triangle-up",60273),twitter:a("twitter",60274),unfold:a("unfold",60275),unlock:a("unlock",60276),unmute:a("unmute",60277),unverified:a("unverified",60278),verified:a("verified",60279),versions:a("versions",60280),vmActive:a("vm-active",60281),vmOutline:a("vm-outline",60282),vmRunning:a("vm-running",60283),watch:a("watch",60284),whitespace:a("whitespace",60285),wholeWord:a("whole-word",60286),window:a("window",60287),wordWrap:a("word-wrap",60288),zoomIn:a("zoom-in",60289),zoomOut:a("zoom-out",60290),listFilter:a("list-filter",60291),listFlat:a("list-flat",60292),listSelection:a("list-selection",60293),selection:a("selection",60293),listTree:a("list-tree",60294),debugBreakpointFunctionUnverified:a("debug-breakpoint-function-unverified",60295),debugBreakpointFunction:a("debug-breakpoint-function",60296),debugBreakpointFunctionDisabled:a("debug-breakpoint-function-disabled",60296),debugStackframeActive:a("debug-stackframe-active",60297),circleSmallFilled:a("circle-small-filled",60298),debugStackframeDot:a("debug-stackframe-dot",60298),terminalDecorationMark:a("terminal-decoration-mark",60298),debugStackframe:a("debug-stackframe",60299),debugStackframeFocused:a("debug-stackframe-focused",60299),debugBreakpointUnsupported:a("debug-breakpoint-unsupported",60300),symbolString:a("symbol-string",60301),debugReverseContinue:a("debug-reverse-continue",60302),debugStepBack:a("debug-step-back",60303),debugRestartFrame:a("debug-restart-frame",60304),debugAlt:a("debug-alt",60305),callIncoming:a("call-incoming",60306),callOutgoing:a("call-outgoing",60307),menu:a("menu",60308),expandAll:a("expand-all",60309),feedback:a("feedback",60310),gitPullRequestReviewer:a("git-pull-request-reviewer",60310),groupByRefType:a("group-by-ref-type",60311),ungroupByRefType:a("ungroup-by-ref-type",60312),account:a("account",60313),gitPullRequestAssignee:a("git-pull-request-assignee",60313),bellDot:a("bell-dot",60314),debugConsole:a("debug-console",60315),library:a("library",60316),output:a("output",60317),runAll:a("run-all",60318),syncIgnored:a("sync-ignored",60319),pinned:a("pinned",60320),githubInverted:a("github-inverted",60321),serverProcess:a("server-process",60322),serverEnvironment:a("server-environment",60323),pass:a("pass",60324),issueClosed:a("issue-closed",60324),stopCircle:a("stop-circle",60325),playCircle:a("play-circle",60326),record:a("record",60327),debugAltSmall:a("debug-alt-small",60328),vmConnect:a("vm-connect",60329),cloud:a("cloud",60330),merge:a("merge",60331),export:a("export",60332),graphLeft:a("graph-left",60333),magnet:a("magnet",60334),notebook:a("notebook",60335),redo:a("redo",60336),checkAll:a("check-all",60337),pinnedDirty:a("pinned-dirty",60338),passFilled:a("pass-filled",60339),circleLargeFilled:a("circle-large-filled",60340),circleLarge:a("circle-large",60341),circleLargeOutline:a("circle-large-outline",60341),combine:a("combine",60342),gather:a("gather",60342),table:a("table",60343),variableGroup:a("variable-group",60344),typeHierarchy:a("type-hierarchy",60345),typeHierarchySub:a("type-hierarchy-sub",60346),typeHierarchySuper:a("type-hierarchy-super",60347),gitPullRequestCreate:a("git-pull-request-create",60348),runAbove:a("run-above",60349),runBelow:a("run-below",60350),notebookTemplate:a("notebook-template",60351),debugRerun:a("debug-rerun",60352),workspaceTrusted:a("workspace-trusted",60353),workspaceUntrusted:a("workspace-untrusted",60354),workspaceUnknown:a("workspace-unknown",60355),terminalCmd:a("terminal-cmd",60356),terminalDebian:a("terminal-debian",60357),terminalLinux:a("terminal-linux",60358),terminalPowershell:a("terminal-powershell",60359),terminalTmux:a("terminal-tmux",60360),terminalUbuntu:a("terminal-ubuntu",60361),terminalBash:a("terminal-bash",60362),arrowSwap:a("arrow-swap",60363),copy:a("copy",60364),personAdd:a("person-add",60365),filterFilled:a("filter-filled",60366),wand:a("wand",60367),debugLineByLine:a("debug-line-by-line",60368),inspect:a("inspect",60369),layers:a("layers",60370),layersDot:a("layers-dot",60371),layersActive:a("layers-active",60372),compass:a("compass",60373),compassDot:a("compass-dot",60374),compassActive:a("compass-active",60375),azure:a("azure",60376),issueDraft:a("issue-draft",60377),gitPullRequestClosed:a("git-pull-request-closed",60378),gitPullRequestDraft:a("git-pull-request-draft",60379),debugAll:a("debug-all",60380),debugCoverage:a("debug-coverage",60381),runErrors:a("run-errors",60382),folderLibrary:a("folder-library",60383),debugContinueSmall:a("debug-continue-small",60384),beakerStop:a("beaker-stop",60385),graphLine:a("graph-line",60386),graphScatter:a("graph-scatter",60387),pieChart:a("pie-chart",60388),bracket:a("bracket",60175),bracketDot:a("bracket-dot",60389),bracketError:a("bracket-error",60390),lockSmall:a("lock-small",60391),azureDevops:a("azure-devops",60392),verifiedFilled:a("verified-filled",60393),newline:a("newline",60394),layout:a("layout",60395),layoutActivitybarLeft:a("layout-activitybar-left",60396),layoutActivitybarRight:a("layout-activitybar-right",60397),layoutPanelLeft:a("layout-panel-left",60398),layoutPanelCenter:a("layout-panel-center",60399),layoutPanelJustify:a("layout-panel-justify",60400),layoutPanelRight:a("layout-panel-right",60401),layoutPanel:a("layout-panel",60402),layoutSidebarLeft:a("layout-sidebar-left",60403),layoutSidebarRight:a("layout-sidebar-right",60404),layoutStatusbar:a("layout-statusbar",60405),layoutMenubar:a("layout-menubar",60406),layoutCentered:a("layout-centered",60407),target:a("target",60408),indent:a("indent",60409),recordSmall:a("record-small",60410),errorSmall:a("error-small",60411),terminalDecorationError:a("terminal-decoration-error",60411),arrowCircleDown:a("arrow-circle-down",60412),arrowCircleLeft:a("arrow-circle-left",60413),arrowCircleRight:a("arrow-circle-right",60414),arrowCircleUp:a("arrow-circle-up",60415),layoutSidebarRightOff:a("layout-sidebar-right-off",60416),layoutPanelOff:a("layout-panel-off",60417),layoutSidebarLeftOff:a("layout-sidebar-left-off",60418),blank:a("blank",60419),heartFilled:a("heart-filled",60420),map:a("map",60421),mapHorizontal:a("map-horizontal",60421),foldHorizontal:a("fold-horizontal",60421),mapFilled:a("map-filled",60422),mapHorizontalFilled:a("map-horizontal-filled",60422),foldHorizontalFilled:a("fold-horizontal-filled",60422),circleSmall:a("circle-small",60423),bellSlash:a("bell-slash",60424),bellSlashDot:a("bell-slash-dot",60425),commentUnresolved:a("comment-unresolved",60426),gitPullRequestGoToChanges:a("git-pull-request-go-to-changes",60427),gitPullRequestNewChanges:a("git-pull-request-new-changes",60428),searchFuzzy:a("search-fuzzy",60429),commentDraft:a("comment-draft",60430),send:a("send",60431),sparkle:a("sparkle",60432),insert:a("insert",60433),mic:a("mic",60434),thumbsdownFilled:a("thumbsdown-filled",60435),thumbsupFilled:a("thumbsup-filled",60436),coffee:a("coffee",60437),snake:a("snake",60438),game:a("game",60439),vr:a("vr",60440),chip:a("chip",60441),piano:a("piano",60442),music:a("music",60443),micFilled:a("mic-filled",60444),repoFetch:a("repo-fetch",60445),copilot:a("copilot",60446),lightbulbSparkle:a("lightbulb-sparkle",60447),robot:a("robot",60448),sparkleFilled:a("sparkle-filled",60449),diffSingle:a("diff-single",60450),diffMultiple:a("diff-multiple",60451),surroundWith:a("surround-with",60452),share:a("share",60453),gitStash:a("git-stash",60454),gitStashApply:a("git-stash-apply",60455),gitStashPop:a("git-stash-pop",60456),vscode:a("vscode",60457),vscodeInsiders:a("vscode-insiders",60458),codeOss:a("code-oss",60459),runCoverage:a("run-coverage",60460),runAllCoverage:a("run-all-coverage",60461),coverage:a("coverage",60462),githubProject:a("github-project",60463),mapVertical:a("map-vertical",60464),foldVertical:a("fold-vertical",60464),mapVerticalFilled:a("map-vertical-filled",60465),foldVerticalFilled:a("fold-vertical-filled",60465),goToSearch:a("go-to-search",60466),percentage:a("percentage",60467),sortPercentage:a("sort-percentage",60467),attach:a("attach",60468)},wa={dialogError:a("dialog-error","error"),dialogWarning:a("dialog-warning","warning"),dialogInfo:a("dialog-info","info"),dialogClose:a("dialog-close","close"),treeItemExpanded:a("tree-item-expanded","chevron-down"),treeFilterOnTypeOn:a("tree-filter-on-type-on","list-filter"),treeFilterOnTypeOff:a("tree-filter-on-type-off","list-selection"),treeFilterClear:a("tree-filter-clear","close"),treeItemLoading:a("tree-item-loading","loading"),menuSelection:a("menu-selection","check"),menuSubmenu:a("menu-submenu","chevron-right"),menuBarMore:a("menubar-more","more"),scrollbarButtonLeft:a("scrollbar-button-left","triangle-left"),scrollbarButtonRight:a("scrollbar-button-right","triangle-right"),scrollbarButtonUp:a("scrollbar-button-up","triangle-up"),scrollbarButtonDown:a("scrollbar-button-down","triangle-down"),toolBarMore:a("toolbar-more","more"),quickInputBack:a("quick-input-back","arrow-left"),dropDownButton:a("drop-down-button",60084),symbolCustomColor:a("symbol-customcolor",60252),exportIcon:a("export",60332),workspaceUnspecified:a("workspace-unspecified",60355),newLine:a("newline",60394),thumbsDownFilled:a("thumbsdown-filled",60435),thumbsUpFilled:a("thumbsup-filled",60436),gitFetch:a("git-fetch",60445),lightbulbSparkleAutofix:a("lightbulb-sparkle-autofix",60447),debugBreakpointPending:a("debug-breakpoint-pending",60377)},M={...pa,...wa};class ls{constructor(){this._tokenizationSupports=new Map,this._factories=new Map,this._onDidChange=new oe,this.onDidChange=this._onDidChange.event,this._colorMap=null}handleChange(t){this._onDidChange.fire({changedLanguages:t,changedColorMap:!1})}register(t,n){return this._tokenizationSupports.set(t,n),this.handleChange([t]),dt(()=>{this._tokenizationSupports.get(t)===n&&(this._tokenizationSupports.delete(t),this.handleChange([t]))})}get(t){return this._tokenizationSupports.get(t)||null}registerFactory(t,n){var r;(r=this._factories.get(t))==null||r.dispose();const s=new La(this,t,n);return this._factories.set(t,s),dt(()=>{const i=this._factories.get(t);!i||i!==s||(this._factories.delete(t),i.dispose())})}async getOrCreate(t){const n=this.get(t);if(n)return n;const s=this._factories.get(t);return!s||s.isResolved?null:(await s.resolve(),this.get(t))}isResolved(t){if(this.get(t))return!0;const s=this._factories.get(t);return!!(!s||s.isResolved)}setColorMap(t){this._colorMap=t,this._onDidChange.fire({changedLanguages:Array.from(this._tokenizationSupports.keys()),changedColorMap:!0})}getColorMap(){return this._colorMap}getDefaultBackground(){return this._colorMap&&this._colorMap.length>2?this._colorMap[2]:null}}class La extends Fe{get isResolved(){return this._isResolved}constructor(t,n,s){super(),this._registry=t,this._languageId=n,this._factory=s,this._isDisposed=!1,this._resolvePromise=null,this._isResolved=!1}dispose(){this._isDisposed=!0,super.dispose()}async resolve(){return this._resolvePromise||(this._resolvePromise=this._create()),this._resolvePromise}async _create(){const t=await this._factory.tokenizationSupport;this._isResolved=!0,t&&!this._isDisposed&&this._register(this._registry.register(this._languageId,t))}}class va{constructor(t,n,s){this.offset=t,this.type=n,this.language=s,this._tokenBrand=void 0}toString(){return"("+this.offset+", "+this.type+")"}}var us;(function(e){e[e.Increase=0]="Increase",e[e.Decrease=1]="Decrease"})(us||(us={}));var cs;(function(e){const t=new Map;t.set(0,M.symbolMethod),t.set(1,M.symbolFunction),t.set(2,M.symbolConstructor),t.set(3,M.symbolField),t.set(4,M.symbolVariable),t.set(5,M.symbolClass),t.set(6,M.symbolStruct),t.set(7,M.symbolInterface),t.set(8,M.symbolModule),t.set(9,M.symbolProperty),t.set(10,M.symbolEvent),t.set(11,M.symbolOperator),t.set(12,M.symbolUnit),t.set(13,M.symbolValue),t.set(15,M.symbolEnum),t.set(14,M.symbolConstant),t.set(15,M.symbolEnum),t.set(16,M.symbolEnumMember),t.set(17,M.symbolKeyword),t.set(27,M.symbolSnippet),t.set(18,M.symbolText),t.set(19,M.symbolColor),t.set(20,M.symbolFile),t.set(21,M.symbolReference),t.set(22,M.symbolCustomColor),t.set(23,M.symbolFolder),t.set(24,M.symbolTypeParameter),t.set(25,M.account),t.set(26,M.issues);function n(i){let o=t.get(i);return o||(console.info("No codicon found for CompletionItemKind "+i),o=M.symbolProperty),o}e.toIcon=n;const s=new Map;s.set("method",0),s.set("function",1),s.set("constructor",2),s.set("field",3),s.set("variable",4),s.set("class",5),s.set("struct",6),s.set("interface",7),s.set("module",8),s.set("property",9),s.set("event",10),s.set("operator",11),s.set("unit",12),s.set("value",13),s.set("constant",14),s.set("enum",15),s.set("enum-member",16),s.set("enumMember",16),s.set("keyword",17),s.set("snippet",27),s.set("text",18),s.set("color",19),s.set("file",20),s.set("reference",21),s.set("customcolor",22),s.set("folder",23),s.set("type-parameter",24),s.set("typeParameter",24),s.set("account",25),s.set("issue",26);function r(i,o){let l=s.get(i);return typeof l>"u"&&!o&&(l=9),l}e.fromString=r})(cs||(cs={}));var hs;(function(e){e[e.Automatic=0]="Automatic",e[e.Explicit=1]="Explicit"})(hs||(hs={}));var fs;(function(e){e[e.Automatic=0]="Automatic",e[e.PasteAs=1]="PasteAs"})(fs||(fs={}));var ds;(function(e){e[e.Invoke=1]="Invoke",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.ContentChange=3]="ContentChange"})(ds||(ds={}));var ms;(function(e){e[e.Text=0]="Text",e[e.Read=1]="Read",e[e.Write=2]="Write"})(ms||(ms={})),z("Array","array"),z("Boolean","boolean"),z("Class","class"),z("Constant","constant"),z("Constructor","constructor"),z("Enum","enumeration"),z("EnumMember","enumeration member"),z("Event","event"),z("Field","field"),z("File","file"),z("Function","function"),z("Interface","interface"),z("Key","key"),z("Method","method"),z("Module","module"),z("Namespace","namespace"),z("Null","null"),z("Number","number"),z("Object","object"),z("Operator","operator"),z("Package","package"),z("Property","property"),z("String","string"),z("Struct","struct"),z("TypeParameter","type parameter"),z("Variable","variable");var gs;(function(e){const t=new Map;t.set(0,M.symbolFile),t.set(1,M.symbolModule),t.set(2,M.symbolNamespace),t.set(3,M.symbolPackage),t.set(4,M.symbolClass),t.set(5,M.symbolMethod),t.set(6,M.symbolProperty),t.set(7,M.symbolField),t.set(8,M.symbolConstructor),t.set(9,M.symbolEnum),t.set(10,M.symbolInterface),t.set(11,M.symbolFunction),t.set(12,M.symbolVariable),t.set(13,M.symbolConstant),t.set(14,M.symbolString),t.set(15,M.symbolNumber),t.set(16,M.symbolBoolean),t.set(17,M.symbolArray),t.set(18,M.symbolObject),t.set(19,M.symbolKey),t.set(20,M.symbolNull),t.set(21,M.symbolEnumMember),t.set(22,M.symbolStruct),t.set(23,M.symbolEvent),t.set(24,M.symbolOperator),t.set(25,M.symbolTypeParameter);function n(s){let r=t.get(s);return r||(console.info("No codicon found for SymbolKind "+s),r=M.symbolProperty),r}e.toIcon=n})(gs||(gs={}));const ce=class ce{static fromValue(t){switch(t){case"comment":return ce.Comment;case"imports":return ce.Imports;case"region":return ce.Region}return new ce(t)}constructor(t){this.value=t}};ce.Comment=new ce("comment"),ce.Imports=new ce("imports"),ce.Region=new ce("region");let bs=ce;var xs;(function(e){e[e.AIGenerated=1]="AIGenerated"})(xs||(xs={}));var _s;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(_s||(_s={}));var ps;(function(e){function t(n){return!n||typeof n!="object"?!1:typeof n.id=="string"&&typeof n.title=="string"}e.is=t})(ps||(ps={}));var ws;(function(e){e[e.Type=1]="Type",e[e.Parameter=2]="Parameter"})(ws||(ws={})),new ls,new ls;var Ls;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Ls||(Ls={}));var vs;(function(e){e[e.Unknown=0]="Unknown",e[e.Disabled=1]="Disabled",e[e.Enabled=2]="Enabled"})(vs||(vs={}));var Ns;(function(e){e[e.Invoke=1]="Invoke",e[e.Auto=2]="Auto"})(Ns||(Ns={}));var Ss;(function(e){e[e.None=0]="None",e[e.KeepWhitespace=1]="KeepWhitespace",e[e.InsertAsSnippet=4]="InsertAsSnippet"})(Ss||(Ss={}));var Cs;(function(e){e[e.Method=0]="Method",e[e.Function=1]="Function",e[e.Constructor=2]="Constructor",e[e.Field=3]="Field",e[e.Variable=4]="Variable",e[e.Class=5]="Class",e[e.Struct=6]="Struct",e[e.Interface=7]="Interface",e[e.Module=8]="Module",e[e.Property=9]="Property",e[e.Event=10]="Event",e[e.Operator=11]="Operator",e[e.Unit=12]="Unit",e[e.Value=13]="Value",e[e.Constant=14]="Constant",e[e.Enum=15]="Enum",e[e.EnumMember=16]="EnumMember",e[e.Keyword=17]="Keyword",e[e.Text=18]="Text",e[e.Color=19]="Color",e[e.File=20]="File",e[e.Reference=21]="Reference",e[e.Customcolor=22]="Customcolor",e[e.Folder=23]="Folder",e[e.TypeParameter=24]="TypeParameter",e[e.User=25]="User",e[e.Issue=26]="Issue",e[e.Snippet=27]="Snippet"})(Cs||(Cs={}));var As;(function(e){e[e.Deprecated=1]="Deprecated"})(As||(As={}));var Rs;(function(e){e[e.Invoke=0]="Invoke",e[e.TriggerCharacter=1]="TriggerCharacter",e[e.TriggerForIncompleteCompletions=2]="TriggerForIncompleteCompletions"})(Rs||(Rs={}));var ys;(function(e){e[e.EXACT=0]="EXACT",e[e.ABOVE=1]="ABOVE",e[e.BELOW=2]="BELOW"})(ys||(ys={}));var Es;(function(e){e[e.NotSet=0]="NotSet",e[e.ContentFlush=1]="ContentFlush",e[e.RecoverFromMarkers=2]="RecoverFromMarkers",e[e.Explicit=3]="Explicit",e[e.Paste=4]="Paste",e[e.Undo=5]="Undo",e[e.Redo=6]="Redo"})(Es||(Es={}));var Ms;(function(e){e[e.LF=1]="LF",e[e.CRLF=2]="CRLF"})(Ms||(Ms={}));var ks;(function(e){e[e.Text=0]="Text",e[e.Read=1]="Read",e[e.Write=2]="Write"})(ks||(ks={}));var Ps;(function(e){e[e.None=0]="None",e[e.Keep=1]="Keep",e[e.Brackets=2]="Brackets",e[e.Advanced=3]="Advanced",e[e.Full=4]="Full"})(Ps||(Ps={}));var Fs;(function(e){e[e.acceptSuggestionOnCommitCharacter=0]="acceptSuggestionOnCommitCharacter",e[e.acceptSuggestionOnEnter=1]="acceptSuggestionOnEnter",e[e.accessibilitySupport=2]="accessibilitySupport",e[e.accessibilityPageSize=3]="accessibilityPageSize",e[e.ariaLabel=4]="ariaLabel",e[e.ariaRequired=5]="ariaRequired",e[e.autoClosingBrackets=6]="autoClosingBrackets",e[e.autoClosingComments=7]="autoClosingComments",e[e.screenReaderAnnounceInlineSuggestion=8]="screenReaderAnnounceInlineSuggestion",e[e.autoClosingDelete=9]="autoClosingDelete",e[e.autoClosingOvertype=10]="autoClosingOvertype",e[e.autoClosingQuotes=11]="autoClosingQuotes",e[e.autoIndent=12]="autoIndent",e[e.automaticLayout=13]="automaticLayout",e[e.autoSurround=14]="autoSurround",e[e.bracketPairColorization=15]="bracketPairColorization",e[e.guides=16]="guides",e[e.codeLens=17]="codeLens",e[e.codeLensFontFamily=18]="codeLensFontFamily",e[e.codeLensFontSize=19]="codeLensFontSize",e[e.colorDecorators=20]="colorDecorators",e[e.colorDecoratorsLimit=21]="colorDecoratorsLimit",e[e.columnSelection=22]="columnSelection",e[e.comments=23]="comments",e[e.contextmenu=24]="contextmenu",e[e.copyWithSyntaxHighlighting=25]="copyWithSyntaxHighlighting",e[e.cursorBlinking=26]="cursorBlinking",e[e.cursorSmoothCaretAnimation=27]="cursorSmoothCaretAnimation",e[e.cursorStyle=28]="cursorStyle",e[e.cursorSurroundingLines=29]="cursorSurroundingLines",e[e.cursorSurroundingLinesStyle=30]="cursorSurroundingLinesStyle",e[e.cursorWidth=31]="cursorWidth",e[e.disableLayerHinting=32]="disableLayerHinting",e[e.disableMonospaceOptimizations=33]="disableMonospaceOptimizations",e[e.domReadOnly=34]="domReadOnly",e[e.dragAndDrop=35]="dragAndDrop",e[e.dropIntoEditor=36]="dropIntoEditor",e[e.emptySelectionClipboard=37]="emptySelectionClipboard",e[e.experimentalWhitespaceRendering=38]="experimentalWhitespaceRendering",e[e.extraEditorClassName=39]="extraEditorClassName",e[e.fastScrollSensitivity=40]="fastScrollSensitivity",e[e.find=41]="find",e[e.fixedOverflowWidgets=42]="fixedOverflowWidgets",e[e.folding=43]="folding",e[e.foldingStrategy=44]="foldingStrategy",e[e.foldingHighlight=45]="foldingHighlight",e[e.foldingImportsByDefault=46]="foldingImportsByDefault",e[e.foldingMaximumRegions=47]="foldingMaximumRegions",e[e.unfoldOnClickAfterEndOfLine=48]="unfoldOnClickAfterEndOfLine",e[e.fontFamily=49]="fontFamily",e[e.fontInfo=50]="fontInfo",e[e.fontLigatures=51]="fontLigatures",e[e.fontSize=52]="fontSize",e[e.fontWeight=53]="fontWeight",e[e.fontVariations=54]="fontVariations",e[e.formatOnPaste=55]="formatOnPaste",e[e.formatOnType=56]="formatOnType",e[e.glyphMargin=57]="glyphMargin",e[e.gotoLocation=58]="gotoLocation",e[e.hideCursorInOverviewRuler=59]="hideCursorInOverviewRuler",e[e.hover=60]="hover",e[e.inDiffEditor=61]="inDiffEditor",e[e.inlineSuggest=62]="inlineSuggest",e[e.inlineEdit=63]="inlineEdit",e[e.letterSpacing=64]="letterSpacing",e[e.lightbulb=65]="lightbulb",e[e.lineDecorationsWidth=66]="lineDecorationsWidth",e[e.lineHeight=67]="lineHeight",e[e.lineNumbers=68]="lineNumbers",e[e.lineNumbersMinChars=69]="lineNumbersMinChars",e[e.linkedEditing=70]="linkedEditing",e[e.links=71]="links",e[e.matchBrackets=72]="matchBrackets",e[e.minimap=73]="minimap",e[e.mouseStyle=74]="mouseStyle",e[e.mouseWheelScrollSensitivity=75]="mouseWheelScrollSensitivity",e[e.mouseWheelZoom=76]="mouseWheelZoom",e[e.multiCursorMergeOverlapping=77]="multiCursorMergeOverlapping",e[e.multiCursorModifier=78]="multiCursorModifier",e[e.multiCursorPaste=79]="multiCursorPaste",e[e.multiCursorLimit=80]="multiCursorLimit",e[e.occurrencesHighlight=81]="occurrencesHighlight",e[e.overviewRulerBorder=82]="overviewRulerBorder",e[e.overviewRulerLanes=83]="overviewRulerLanes",e[e.padding=84]="padding",e[e.pasteAs=85]="pasteAs",e[e.parameterHints=86]="parameterHints",e[e.peekWidgetDefaultFocus=87]="peekWidgetDefaultFocus",e[e.placeholder=88]="placeholder",e[e.definitionLinkOpensInPeek=89]="definitionLinkOpensInPeek",e[e.quickSuggestions=90]="quickSuggestions",e[e.quickSuggestionsDelay=91]="quickSuggestionsDelay",e[e.readOnly=92]="readOnly",e[e.readOnlyMessage=93]="readOnlyMessage",e[e.renameOnType=94]="renameOnType",e[e.renderControlCharacters=95]="renderControlCharacters",e[e.renderFinalNewline=96]="renderFinalNewline",e[e.renderLineHighlight=97]="renderLineHighlight",e[e.renderLineHighlightOnlyWhenFocus=98]="renderLineHighlightOnlyWhenFocus",e[e.renderValidationDecorations=99]="renderValidationDecorations",e[e.renderWhitespace=100]="renderWhitespace",e[e.revealHorizontalRightPadding=101]="revealHorizontalRightPadding",e[e.roundedSelection=102]="roundedSelection",e[e.rulers=103]="rulers",e[e.scrollbar=104]="scrollbar",e[e.scrollBeyondLastColumn=105]="scrollBeyondLastColumn",e[e.scrollBeyondLastLine=106]="scrollBeyondLastLine",e[e.scrollPredominantAxis=107]="scrollPredominantAxis",e[e.selectionClipboard=108]="selectionClipboard",e[e.selectionHighlight=109]="selectionHighlight",e[e.selectOnLineNumbers=110]="selectOnLineNumbers",e[e.showFoldingControls=111]="showFoldingControls",e[e.showUnused=112]="showUnused",e[e.snippetSuggestions=113]="snippetSuggestions",e[e.smartSelect=114]="smartSelect",e[e.smoothScrolling=115]="smoothScrolling",e[e.stickyScroll=116]="stickyScroll",e[e.stickyTabStops=117]="stickyTabStops",e[e.stopRenderingLineAfter=118]="stopRenderingLineAfter",e[e.suggest=119]="suggest",e[e.suggestFontSize=120]="suggestFontSize",e[e.suggestLineHeight=121]="suggestLineHeight",e[e.suggestOnTriggerCharacters=122]="suggestOnTriggerCharacters",e[e.suggestSelection=123]="suggestSelection",e[e.tabCompletion=124]="tabCompletion",e[e.tabIndex=125]="tabIndex",e[e.unicodeHighlighting=126]="unicodeHighlighting",e[e.unusualLineTerminators=127]="unusualLineTerminators",e[e.useShadowDOM=128]="useShadowDOM",e[e.useTabStops=129]="useTabStops",e[e.wordBreak=130]="wordBreak",e[e.wordSegmenterLocales=131]="wordSegmenterLocales",e[e.wordSeparators=132]="wordSeparators",e[e.wordWrap=133]="wordWrap",e[e.wordWrapBreakAfterCharacters=134]="wordWrapBreakAfterCharacters",e[e.wordWrapBreakBeforeCharacters=135]="wordWrapBreakBeforeCharacters",e[e.wordWrapColumn=136]="wordWrapColumn",e[e.wordWrapOverride1=137]="wordWrapOverride1",e[e.wordWrapOverride2=138]="wordWrapOverride2",e[e.wrappingIndent=139]="wrappingIndent",e[e.wrappingStrategy=140]="wrappingStrategy",e[e.showDeprecated=141]="showDeprecated",e[e.inlayHints=142]="inlayHints",e[e.editorClassName=143]="editorClassName",e[e.pixelRatio=144]="pixelRatio",e[e.tabFocusMode=145]="tabFocusMode",e[e.layoutInfo=146]="layoutInfo",e[e.wrappingInfo=147]="wrappingInfo",e[e.defaultColorDecorators=148]="defaultColorDecorators",e[e.colorDecoratorsActivatedOn=149]="colorDecoratorsActivatedOn",e[e.inlineCompletionsAccessibilityVerbose=150]="inlineCompletionsAccessibilityVerbose"})(Fs||(Fs={}));var Ds;(function(e){e[e.TextDefined=0]="TextDefined",e[e.LF=1]="LF",e[e.CRLF=2]="CRLF"})(Ds||(Ds={}));var Ts;(function(e){e[e.LF=0]="LF",e[e.CRLF=1]="CRLF"})(Ts||(Ts={}));var Is;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=3]="Right"})(Is||(Is={}));var Vs;(function(e){e[e.Increase=0]="Increase",e[e.Decrease=1]="Decrease"})(Vs||(Vs={}));var Bs;(function(e){e[e.None=0]="None",e[e.Indent=1]="Indent",e[e.IndentOutdent=2]="IndentOutdent",e[e.Outdent=3]="Outdent"})(Bs||(Bs={}));var qs;(function(e){e[e.Both=0]="Both",e[e.Right=1]="Right",e[e.Left=2]="Left",e[e.None=3]="None"})(qs||(qs={}));var Us;(function(e){e[e.Type=1]="Type",e[e.Parameter=2]="Parameter"})(Us||(Us={}));var Hs;(function(e){e[e.Automatic=0]="Automatic",e[e.Explicit=1]="Explicit"})(Hs||(Hs={}));var $s;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})($s||($s={}));var dn;(function(e){e[e.DependsOnKbLayout=-1]="DependsOnKbLayout",e[e.Unknown=0]="Unknown",e[e.Backspace=1]="Backspace",e[e.Tab=2]="Tab",e[e.Enter=3]="Enter",e[e.Shift=4]="Shift",e[e.Ctrl=5]="Ctrl",e[e.Alt=6]="Alt",e[e.PauseBreak=7]="PauseBreak",e[e.CapsLock=8]="CapsLock",e[e.Escape=9]="Escape",e[e.Space=10]="Space",e[e.PageUp=11]="PageUp",e[e.PageDown=12]="PageDown",e[e.End=13]="End",e[e.Home=14]="Home",e[e.LeftArrow=15]="LeftArrow",e[e.UpArrow=16]="UpArrow",e[e.RightArrow=17]="RightArrow",e[e.DownArrow=18]="DownArrow",e[e.Insert=19]="Insert",e[e.Delete=20]="Delete",e[e.Digit0=21]="Digit0",e[e.Digit1=22]="Digit1",e[e.Digit2=23]="Digit2",e[e.Digit3=24]="Digit3",e[e.Digit4=25]="Digit4",e[e.Digit5=26]="Digit5",e[e.Digit6=27]="Digit6",e[e.Digit7=28]="Digit7",e[e.Digit8=29]="Digit8",e[e.Digit9=30]="Digit9",e[e.KeyA=31]="KeyA",e[e.KeyB=32]="KeyB",e[e.KeyC=33]="KeyC",e[e.KeyD=34]="KeyD",e[e.KeyE=35]="KeyE",e[e.KeyF=36]="KeyF",e[e.KeyG=37]="KeyG",e[e.KeyH=38]="KeyH",e[e.KeyI=39]="KeyI",e[e.KeyJ=40]="KeyJ",e[e.KeyK=41]="KeyK",e[e.KeyL=42]="KeyL",e[e.KeyM=43]="KeyM",e[e.KeyN=44]="KeyN",e[e.KeyO=45]="KeyO",e[e.KeyP=46]="KeyP",e[e.KeyQ=47]="KeyQ",e[e.KeyR=48]="KeyR",e[e.KeyS=49]="KeyS",e[e.KeyT=50]="KeyT",e[e.KeyU=51]="KeyU",e[e.KeyV=52]="KeyV",e[e.KeyW=53]="KeyW",e[e.KeyX=54]="KeyX",e[e.KeyY=55]="KeyY",e[e.KeyZ=56]="KeyZ",e[e.Meta=57]="Meta",e[e.ContextMenu=58]="ContextMenu",e[e.F1=59]="F1",e[e.F2=60]="F2",e[e.F3=61]="F3",e[e.F4=62]="F4",e[e.F5=63]="F5",e[e.F6=64]="F6",e[e.F7=65]="F7",e[e.F8=66]="F8",e[e.F9=67]="F9",e[e.F10=68]="F10",e[e.F11=69]="F11",e[e.F12=70]="F12",e[e.F13=71]="F13",e[e.F14=72]="F14",e[e.F15=73]="F15",e[e.F16=74]="F16",e[e.F17=75]="F17",e[e.F18=76]="F18",e[e.F19=77]="F19",e[e.F20=78]="F20",e[e.F21=79]="F21",e[e.F22=80]="F22",e[e.F23=81]="F23",e[e.F24=82]="F24",e[e.NumLock=83]="NumLock",e[e.ScrollLock=84]="ScrollLock",e[e.Semicolon=85]="Semicolon",e[e.Equal=86]="Equal",e[e.Comma=87]="Comma",e[e.Minus=88]="Minus",e[e.Period=89]="Period",e[e.Slash=90]="Slash",e[e.Backquote=91]="Backquote",e[e.BracketLeft=92]="BracketLeft",e[e.Backslash=93]="Backslash",e[e.BracketRight=94]="BracketRight",e[e.Quote=95]="Quote",e[e.OEM_8=96]="OEM_8",e[e.IntlBackslash=97]="IntlBackslash",e[e.Numpad0=98]="Numpad0",e[e.Numpad1=99]="Numpad1",e[e.Numpad2=100]="Numpad2",e[e.Numpad3=101]="Numpad3",e[e.Numpad4=102]="Numpad4",e[e.Numpad5=103]="Numpad5",e[e.Numpad6=104]="Numpad6",e[e.Numpad7=105]="Numpad7",e[e.Numpad8=106]="Numpad8",e[e.Numpad9=107]="Numpad9",e[e.NumpadMultiply=108]="NumpadMultiply",e[e.NumpadAdd=109]="NumpadAdd",e[e.NUMPAD_SEPARATOR=110]="NUMPAD_SEPARATOR",e[e.NumpadSubtract=111]="NumpadSubtract",e[e.NumpadDecimal=112]="NumpadDecimal",e[e.NumpadDivide=113]="NumpadDivide",e[e.KEY_IN_COMPOSITION=114]="KEY_IN_COMPOSITION",e[e.ABNT_C1=115]="ABNT_C1",e[e.ABNT_C2=116]="ABNT_C2",e[e.AudioVolumeMute=117]="AudioVolumeMute",e[e.AudioVolumeUp=118]="AudioVolumeUp",e[e.AudioVolumeDown=119]="AudioVolumeDown",e[e.BrowserSearch=120]="BrowserSearch",e[e.BrowserHome=121]="BrowserHome",e[e.BrowserBack=122]="BrowserBack",e[e.BrowserForward=123]="BrowserForward",e[e.MediaTrackNext=124]="MediaTrackNext",e[e.MediaTrackPrevious=125]="MediaTrackPrevious",e[e.MediaStop=126]="MediaStop",e[e.MediaPlayPause=127]="MediaPlayPause",e[e.LaunchMediaPlayer=128]="LaunchMediaPlayer",e[e.LaunchMail=129]="LaunchMail",e[e.LaunchApp2=130]="LaunchApp2",e[e.Clear=131]="Clear",e[e.MAX_VALUE=132]="MAX_VALUE"})(dn||(dn={}));var mn;(function(e){e[e.Hint=1]="Hint",e[e.Info=2]="Info",e[e.Warning=4]="Warning",e[e.Error=8]="Error"})(mn||(mn={}));var gn;(function(e){e[e.Unnecessary=1]="Unnecessary",e[e.Deprecated=2]="Deprecated"})(gn||(gn={}));var Ws;(function(e){e[e.Inline=1]="Inline",e[e.Gutter=2]="Gutter"})(Ws||(Ws={}));var zs;(function(e){e[e.Normal=1]="Normal",e[e.Underlined=2]="Underlined"})(zs||(zs={}));var Os;(function(e){e[e.UNKNOWN=0]="UNKNOWN",e[e.TEXTAREA=1]="TEXTAREA",e[e.GUTTER_GLYPH_MARGIN=2]="GUTTER_GLYPH_MARGIN",e[e.GUTTER_LINE_NUMBERS=3]="GUTTER_LINE_NUMBERS",e[e.GUTTER_LINE_DECORATIONS=4]="GUTTER_LINE_DECORATIONS",e[e.GUTTER_VIEW_ZONE=5]="GUTTER_VIEW_ZONE",e[e.CONTENT_TEXT=6]="CONTENT_TEXT",e[e.CONTENT_EMPTY=7]="CONTENT_EMPTY",e[e.CONTENT_VIEW_ZONE=8]="CONTENT_VIEW_ZONE",e[e.CONTENT_WIDGET=9]="CONTENT_WIDGET",e[e.OVERVIEW_RULER=10]="OVERVIEW_RULER",e[e.SCROLLBAR=11]="SCROLLBAR",e[e.OVERLAY_WIDGET=12]="OVERLAY_WIDGET",e[e.OUTSIDE_EDITOR=13]="OUTSIDE_EDITOR"})(Os||(Os={}));var js;(function(e){e[e.AIGenerated=1]="AIGenerated"})(js||(js={}));var Gs;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Gs||(Gs={}));var Xs;(function(e){e[e.TOP_RIGHT_CORNER=0]="TOP_RIGHT_CORNER",e[e.BOTTOM_RIGHT_CORNER=1]="BOTTOM_RIGHT_CORNER",e[e.TOP_CENTER=2]="TOP_CENTER"})(Xs||(Xs={}));var Qs;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=4]="Right",e[e.Full=7]="Full"})(Qs||(Qs={}));var Ys;(function(e){e[e.Word=0]="Word",e[e.Line=1]="Line",e[e.Suggest=2]="Suggest"})(Ys||(Ys={}));var Js;(function(e){e[e.Left=0]="Left",e[e.Right=1]="Right",e[e.None=2]="None",e[e.LeftOfInjectedText=3]="LeftOfInjectedText",e[e.RightOfInjectedText=4]="RightOfInjectedText"})(Js||(Js={}));var Zs;(function(e){e[e.Off=0]="Off",e[e.On=1]="On",e[e.Relative=2]="Relative",e[e.Interval=3]="Interval",e[e.Custom=4]="Custom"})(Zs||(Zs={}));var Ks;(function(e){e[e.None=0]="None",e[e.Text=1]="Text",e[e.Blocks=2]="Blocks"})(Ks||(Ks={}));var er;(function(e){e[e.Smooth=0]="Smooth",e[e.Immediate=1]="Immediate"})(er||(er={}));var tr;(function(e){e[e.Auto=1]="Auto",e[e.Hidden=2]="Hidden",e[e.Visible=3]="Visible"})(tr||(tr={}));var bn;(function(e){e[e.LTR=0]="LTR",e[e.RTL=1]="RTL"})(bn||(bn={}));var nr;(function(e){e.Off="off",e.OnCode="onCode",e.On="on"})(nr||(nr={}));var sr;(function(e){e[e.Invoke=1]="Invoke",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.ContentChange=3]="ContentChange"})(sr||(sr={}));var rr;(function(e){e[e.File=0]="File",e[e.Module=1]="Module",e[e.Namespace=2]="Namespace",e[e.Package=3]="Package",e[e.Class=4]="Class",e[e.Method=5]="Method",e[e.Property=6]="Property",e[e.Field=7]="Field",e[e.Constructor=8]="Constructor",e[e.Enum=9]="Enum",e[e.Interface=10]="Interface",e[e.Function=11]="Function",e[e.Variable=12]="Variable",e[e.Constant=13]="Constant",e[e.String=14]="String",e[e.Number=15]="Number",e[e.Boolean=16]="Boolean",e[e.Array=17]="Array",e[e.Object=18]="Object",e[e.Key=19]="Key",e[e.Null=20]="Null",e[e.EnumMember=21]="EnumMember",e[e.Struct=22]="Struct",e[e.Event=23]="Event",e[e.Operator=24]="Operator",e[e.TypeParameter=25]="TypeParameter"})(rr||(rr={}));var ir;(function(e){e[e.Deprecated=1]="Deprecated"})(ir||(ir={}));var ar;(function(e){e[e.Hidden=0]="Hidden",e[e.Blink=1]="Blink",e[e.Smooth=2]="Smooth",e[e.Phase=3]="Phase",e[e.Expand=4]="Expand",e[e.Solid=5]="Solid"})(ar||(ar={}));var or;(function(e){e[e.Line=1]="Line",e[e.Block=2]="Block",e[e.Underline=3]="Underline",e[e.LineThin=4]="LineThin",e[e.BlockOutline=5]="BlockOutline",e[e.UnderlineThin=6]="UnderlineThin"})(or||(or={}));var lr;(function(e){e[e.AlwaysGrowsWhenTypingAtEdges=0]="AlwaysGrowsWhenTypingAtEdges",e[e.NeverGrowsWhenTypingAtEdges=1]="NeverGrowsWhenTypingAtEdges",e[e.GrowsOnlyWhenTypingBefore=2]="GrowsOnlyWhenTypingBefore",e[e.GrowsOnlyWhenTypingAfter=3]="GrowsOnlyWhenTypingAfter"})(lr||(lr={}));var ur;(function(e){e[e.None=0]="None",e[e.Same=1]="Same",e[e.Indent=2]="Indent",e[e.DeepIndent=3]="DeepIndent"})(ur||(ur={}));const Xe=class Xe{static chord(t,n){return xa(t,n)}};Xe.CtrlCmd=2048,Xe.Shift=1024,Xe.Alt=512,Xe.WinCtrl=256;let xn=Xe;function Na(){return{editor:void 0,languages:void 0,CancellationTokenSource:da,Emitter:oe,KeyCode:dn,KeyMod:xn,Position:H,Range:k,Selection:ae,SelectionDirection:bn,MarkerSeverity:mn,MarkerTag:gn,Uri:re,Token:va}}const ct=class ct{static getChannel(t){return t.getChannel(ct.CHANNEL_NAME)}static setChannel(t,n){t.setChannel(ct.CHANNEL_NAME,n)}};ct.CHANNEL_NAME="editorWorkerHost";let _n=ct;var cr;class Sa{constructor(){this[cr]="LinkedMap",this._map=new Map,this._head=void 0,this._tail=void 0,this._size=0,this._state=0}clear(){this._map.clear(),this._head=void 0,this._tail=void 0,this._size=0,this._state++}isEmpty(){return!this._head&&!this._tail}get size(){return this._size}get first(){var t;return(t=this._head)==null?void 0:t.value}get last(){var t;return(t=this._tail)==null?void 0:t.value}has(t){return this._map.has(t)}get(t,n=0){const s=this._map.get(t);if(s)return n!==0&&this.touch(s,n),s.value}set(t,n,s=0){let r=this._map.get(t);if(r)r.value=n,s!==0&&this.touch(r,s);else{switch(r={key:t,value:n,next:void 0,previous:void 0},s){case 0:this.addItemLast(r);break;case 1:this.addItemFirst(r);break;case 2:this.addItemLast(r);break;default:this.addItemLast(r);break}this._map.set(t,r),this._size++}return this}delete(t){return!!this.remove(t)}remove(t){const n=this._map.get(t);if(n)return this._map.delete(t),this.removeItem(n),this._size--,n.value}shift(){if(!this._head&&!this._tail)return;if(!this._head||!this._tail)throw new Error("Invalid list");const t=this._head;return this._map.delete(t.key),this.removeItem(t),this._size--,t.value}forEach(t,n){const s=this._state;let r=this._head;for(;r;){if(n?t.bind(n)(r.value,r.key,this):t(r.value,r.key,this),this._state!==s)throw new Error("LinkedMap got modified during iteration.");r=r.next}}keys(){const t=this,n=this._state;let s=this._head;const r={[Symbol.iterator](){return r},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(s){const i={value:s.key,done:!1};return s=s.next,i}else return{value:void 0,done:!0}}};return r}values(){const t=this,n=this._state;let s=this._head;const r={[Symbol.iterator](){return r},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(s){const i={value:s.value,done:!1};return s=s.next,i}else return{value:void 0,done:!0}}};return r}entries(){const t=this,n=this._state;let s=this._head;const r={[Symbol.iterator](){return r},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(s){const i={value:[s.key,s.value],done:!1};return s=s.next,i}else return{value:void 0,done:!0}}};return r}[(cr=Symbol.toStringTag,Symbol.iterator)](){return this.entries()}trimOld(t){if(t>=this.size)return;if(t===0){this.clear();return}let n=this._head,s=this.size;for(;n&&s>t;)this._map.delete(n.key),n=n.next,s--;this._head=n,this._size=s,n&&(n.previous=void 0),this._state++}trimNew(t){if(t>=this.size)return;if(t===0){this.clear();return}let n=this._tail,s=this.size;for(;n&&s>t;)this._map.delete(n.key),n=n.previous,s--;this._tail=n,this._size=s,n&&(n.next=void 0),this._state++}addItemFirst(t){if(!this._head&&!this._tail)this._tail=t;else if(this._head)t.next=this._head,this._head.previous=t;else throw new Error("Invalid list");this._head=t,this._state++}addItemLast(t){if(!this._head&&!this._tail)this._head=t;else if(this._tail)t.previous=this._tail,this._tail.next=t;else throw new Error("Invalid list");this._tail=t,this._state++}removeItem(t){if(t===this._head&&t===this._tail)this._head=void 0,this._tail=void 0;else if(t===this._head){if(!t.next)throw new Error("Invalid list");t.next.previous=void 0,this._head=t.next}else if(t===this._tail){if(!t.previous)throw new Error("Invalid list");t.previous.next=void 0,this._tail=t.previous}else{const n=t.next,s=t.previous;if(!n||!s)throw new Error("Invalid list");n.previous=s,s.next=n}t.next=void 0,t.previous=void 0,this._state++}touch(t,n){if(!this._head||!this._tail)throw new Error("Invalid list");if(!(n!==1&&n!==2)){if(n===1){if(t===this._head)return;const s=t.next,r=t.previous;t===this._tail?(r.next=void 0,this._tail=r):(s.previous=r,r.next=s),t.previous=void 0,t.next=this._head,this._head.previous=t,this._head=t,this._state++}else if(n===2){if(t===this._tail)return;const s=t.next,r=t.previous;t===this._head?(s.previous=void 0,this._head=s):(s.previous=r,r.next=s),t.next=void 0,t.previous=this._tail,this._tail.next=t,this._tail=t,this._state++}}}toJSON(){const t=[];return this.forEach((n,s)=>{t.push([s,n])}),t}fromJSON(t){this.clear();for(const[n,s]of t)this.set(n,s)}}class Ca extends Sa{constructor(t,n=1){super(),this._limit=t,this._ratio=Math.min(Math.max(0,n),1)}get limit(){return this._limit}set limit(t){this._limit=t,this.checkTrim()}get(t,n=2){return super.get(t,n)}peek(t){return super.get(t,0)}set(t,n){return super.set(t,n,2),this}checkTrim(){this.size>this._limit&&this.trim(Math.round(this._limit*this._ratio))}}class Aa extends Ca{constructor(t,n=1){super(t,n)}trim(t){this.trimOld(t)}set(t,n){return super.set(t,n),this.checkTrim(),this}}class Ra{constructor(){this.map=new Map}add(t,n){let s=this.map.get(t);s||(s=new Set,this.map.set(t,s)),s.add(n)}delete(t,n){const s=this.map.get(t);s&&(s.delete(n),s.size===0&&this.map.delete(t))}forEach(t,n){const s=this.map.get(t);s&&s.forEach(n)}get(t){const n=this.map.get(t);return n||new Set}}new Aa(10);function ya(e){let t=[];for(;Object.prototype!==e;)t=t.concat(Object.getOwnPropertyNames(e)),e=Object.getPrototypeOf(e);return t}function hr(e){const t=[];for(const n of ya(e))typeof e[n]=="function"&&t.push(n);return t}function Ea(e,t){const n=r=>function(){const i=Array.prototype.slice.call(arguments,0);return t(r,i)},s={};for(const r of e)s[r]=n(r);return s}var fr;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=4]="Right",e[e.Full=7]="Full"})(fr||(fr={}));var dr;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=3]="Right"})(dr||(dr={}));var mr;(function(e){e[e.Both=0]="Both",e[e.Right=1]="Right",e[e.Left=2]="Left",e[e.None=3]="None"})(mr||(mr={}));function Ma(e,t,n,s,r){if(s===0)return!0;const i=t.charCodeAt(s-1);if(e.get(i)!==0||i===13||i===10)return!0;if(r>0){const o=t.charCodeAt(s);if(e.get(o)!==0)return!0}return!1}function ka(e,t,n,s,r){if(s+r===n)return!0;const i=t.charCodeAt(s+r);if(e.get(i)!==0||i===13||i===10)return!0;if(r>0){const o=t.charCodeAt(s+r-1);if(e.get(o)!==0)return!0}return!1}function Pa(e,t,n,s,r){return Ma(e,t,n,s,r)&&ka(e,t,n,s,r)}class Fa{constructor(t,n){this._wordSeparators=t,this._searchRegex=n,this._prevMatchStartIndex=-1,this._prevMatchLength=0}reset(t){this._searchRegex.lastIndex=t,this._prevMatchStartIndex=-1,this._prevMatchLength=0}next(t){const n=t.length;let s;do{if(this._prevMatchStartIndex+this._prevMatchLength===n||(s=this._searchRegex.exec(t),!s))return null;const r=s.index,i=s[0].length;if(r===this._prevMatchStartIndex&&i===this._prevMatchLength){if(i===0){Ai(t,n,this._searchRegex.lastIndex)>65535?this._searchRegex.lastIndex+=2:this._searchRegex.lastIndex+=1;continue}return null}if(this._prevMatchStartIndex=r,this._prevMatchLength=i,!this._wordSeparators||Pa(this._wordSeparators,t,n,r,i))return s}while(s);return null}}function Da(e,t="Unreachable"){throw new Error(t)}function Ct(e){if(!e()){debugger;e(),Je(new ie("Assertion Failed"))}}function gr(e,t){let n=0;for(;n<e.length-1;){const s=e[n],r=e[n+1];if(!t(s,r))return!1;n++}return!0}const Ta="`~!@#$%^&*()-=+[{]}\\|;:'\",.<>/?";function Ia(e=""){let t="(-?\\d*\\.\\d\\w*)|([^";for(const n of Ta)e.indexOf(n)>=0||(t+="\\"+n);return t+="\\s]+)",new RegExp(t,"g")}const br=Ia();function xr(e){let t=br;if(e&&e instanceof RegExp)if(e.global)t=e;else{let n="g";e.ignoreCase&&(n+="i"),e.multiline&&(n+="m"),e.unicode&&(n+="u"),t=new RegExp(e.source,n)}return t.lastIndex=0,t}const _r=new ni;_r.unshift({maxLen:1e3,windowSize:15,timeBudget:150});function pn(e,t,n,s,r){if(t=xr(t),r||(r=ft.first(_r)),n.length>r.maxLen){let c=e-r.maxLen/2;return c<0?c=0:s+=c,n=n.substring(c,e+r.maxLen/2),pn(e,t,n,s,r)}const i=Date.now(),o=e-1-s;let l=-1,u=null;for(let c=1;!(Date.now()-i>=r.timeBudget);c++){const f=o-r.windowSize*c;t.lastIndex=Math.max(0,f);const h=Va(t,n,o,l);if(!h&&u||(u=h,f<=0))break;l=f}if(u){const c={word:u[0],startColumn:s+1+u.index,endColumn:s+1+u.index+u[0].length};return t.lastIndex=0,c}return null}function Va(e,t,n,s){let r;for(;r=e.exec(t);){const i=r.index||0;if(i<=n&&e.lastIndex>=n)return r;if(s>0&&i>s)return null}return null}class Ba{static computeUnicodeHighlights(t,n,s){const r=s?s.startLineNumber:1,i=s?s.endLineNumber:t.getLineCount(),o=new pr(n),l=o.getCandidateCodePoints();let u;l==="allNonBasicAscii"?u=new RegExp("[^\\t\\n\\r\\x20-\\x7E]","g"):u=new RegExp(`${qa(Array.from(l))}`,"g");const c=new Fa(null,u),f=[];let h=!1,d,m=0,g=0,b=0;e:for(let p=r,v=i;p<=v;p++){const S=t.getLineContent(p),N=S.length;c.reset(0);do if(d=c.next(S),d){let _=d.index,x=d.index+d[0].length;if(_>0){const B=S.charCodeAt(_-1);xt(B)&&_--}if(x+1<N){const B=S.charCodeAt(x-1);xt(B)&&x++}const L=S.substring(_,x);let A=pn(_+1,br,S,0);A&&A.endColumn<=_+1&&(A=null);const E=o.shouldHighlightNonBasicASCII(L,A?A.word:null);if(E!==0){if(E===3?m++:E===2?g++:E===1?b++:Da(),f.length>=1e3){h=!0;break e}f.push(new k(p,_+1,p,x+1))}}while(d)}return{ranges:f,hasMore:h,ambiguousCharacterCount:m,invisibleCharacterCount:g,nonBasicAsciiCharacterCount:b}}static computeUnicodeHighlightReason(t,n){const s=new pr(n);switch(s.shouldHighlightNonBasicASCII(t,null)){case 0:return null;case 2:return{kind:1};case 3:{const i=t.codePointAt(0),o=s.ambiguousCharacters.getPrimaryConfusable(i),l=et.getLocales().filter(u=>!et.getInstance(new Set([...n.allowedLocales,u])).isAmbiguous(i));return{kind:0,confusableWith:String.fromCodePoint(o),notAmbiguousInLocales:l}}case 1:return{kind:2}}}}function qa(e,t){return`[${vi(e.map(s=>String.fromCodePoint(s)).join(""))}]`}class pr{constructor(t){this.options=t,this.allowedCodePoints=new Set(t.allowedCodePoints),this.ambiguousCharacters=et.getInstance(new Set(t.allowedLocales))}getCandidateCodePoints(){if(this.options.nonBasicASCII)return"allNonBasicAscii";const t=new Set;if(this.options.invisibleCharacters)for(const n of tt.codePoints)wr(String.fromCodePoint(n))||t.add(n);if(this.options.ambiguousCharacters)for(const n of this.ambiguousCharacters.getConfusableCodePoints())t.add(n);for(const n of this.allowedCodePoints)t.delete(n);return t}shouldHighlightNonBasicASCII(t,n){const s=t.codePointAt(0);if(this.allowedCodePoints.has(s))return 0;if(this.options.nonBasicASCII)return 1;let r=!1,i=!1;if(n)for(const o of n){const l=o.codePointAt(0),u=yi(o);r=r||u,!u&&!this.ambiguousCharacters.isAmbiguous(l)&&!tt.isInvisibleCharacter(l)&&(i=!0)}return!r&&i?0:this.options.invisibleCharacters&&!wr(t)&&tt.isInvisibleCharacter(s)?2:this.options.ambiguousCharacters&&this.ambiguousCharacters.isAmbiguous(s)?3:0}}function wr(e){return e===" "||e===`
+`||e===" "}class At{constructor(t,n,s){this.changes=t,this.moves=n,this.hitTimeout=s}}class Ua{constructor(t,n){this.lineRangeMapping=t,this.changes=n}}class V{static addRange(t,n){let s=0;for(;s<n.length&&n[s].endExclusive<t.start;)s++;let r=s;for(;r<n.length&&n[r].start<=t.endExclusive;)r++;if(s===r)n.splice(s,0,t);else{const i=Math.min(t.start,n[s].start),o=Math.max(t.endExclusive,n[r-1].endExclusive);n.splice(s,r-s,new V(i,o))}}static tryCreate(t,n){if(!(t>n))return new V(t,n)}static ofLength(t){return new V(0,t)}static ofStartAndLength(t,n){return new V(t,t+n)}constructor(t,n){if(this.start=t,this.endExclusive=n,t>n)throw new ie(`Invalid range: ${this.toString()}`)}get isEmpty(){return this.start===this.endExclusive}delta(t){return new V(this.start+t,this.endExclusive+t)}deltaStart(t){return new V(this.start+t,this.endExclusive)}deltaEnd(t){return new V(this.start,this.endExclusive+t)}get length(){return this.endExclusive-this.start}toString(){return`[${this.start}, ${this.endExclusive})`}contains(t){return this.start<=t&&t<this.endExclusive}join(t){return new V(Math.min(this.start,t.start),Math.max(this.endExclusive,t.endExclusive))}intersect(t){const n=Math.max(this.start,t.start),s=Math.min(this.endExclusive,t.endExclusive);if(n<=s)return new V(n,s)}intersects(t){const n=Math.max(this.start,t.start),s=Math.min(this.endExclusive,t.endExclusive);return n<s}isBefore(t){return this.endExclusive<=t.start}isAfter(t){return this.start>=t.endExclusive}slice(t){return t.slice(this.start,this.endExclusive)}substring(t){return t.substring(this.start,this.endExclusive)}clip(t){if(this.isEmpty)throw new ie(`Invalid clipping range: ${this.toString()}`);return Math.max(this.start,Math.min(this.endExclusive-1,t))}clipCyclic(t){if(this.isEmpty)throw new ie(`Invalid clipping range: ${this.toString()}`);return t<this.start?this.endExclusive-(this.start-t)%this.length:t>=this.endExclusive?this.start+(t-this.start)%this.length:t}forEach(t){for(let n=this.start;n<this.endExclusive;n++)t(n)}}function Ue(e,t){const n=rt(e,t);return n===-1?void 0:e[n]}function rt(e,t,n=0,s=e.length){let r=n,i=s;for(;r<i;){const o=Math.floor((r+i)/2);t(e[o])?r=o+1:i=o}return r-1}function Ha(e,t){const n=wn(e,t);return n===e.length?void 0:e[n]}function wn(e,t,n=0,s=e.length){let r=n,i=s;for(;r<i;){const o=Math.floor((r+i)/2);t(e[o])?i=o:r=o+1}return r}const Vt=class Vt{constructor(t){this._array=t,this._findLastMonotonousLastIdx=0}findLastMonotonous(t){if(Vt.assertInvariants){if(this._prevFindLastPredicate){for(const s of this._array)if(this._prevFindLastPredicate(s)&&!t(s))throw new Error("MonotonousArray: current predicate must be weaker than (or equal to) the previous predicate.")}this._prevFindLastPredicate=t}const n=rt(this._array,t,this._findLastMonotonousLastIdx);return this._findLastMonotonousLastIdx=n+1,n===-1?void 0:this._array[n]}};Vt.assertInvariants=!1;let Rt=Vt;class D{static fromRangeInclusive(t){return new D(t.startLineNumber,t.endLineNumber+1)}static joinMany(t){if(t.length===0)return[];let n=new ge(t[0].slice());for(let s=1;s<t.length;s++)n=n.getUnion(new ge(t[s].slice()));return n.ranges}static join(t){if(t.length===0)throw new ie("lineRanges cannot be empty");let n=t[0].startLineNumber,s=t[0].endLineNumberExclusive;for(let r=1;r<t.length;r++)n=Math.min(n,t[r].startLineNumber),s=Math.max(s,t[r].endLineNumberExclusive);return new D(n,s)}static ofLength(t,n){return new D(t,t+n)}static deserialize(t){return new D(t[0],t[1])}constructor(t,n){if(t>n)throw new ie(`startLineNumber ${t} cannot be after endLineNumberExclusive ${n}`);this.startLineNumber=t,this.endLineNumberExclusive=n}contains(t){return this.startLineNumber<=t&&t<this.endLineNumberExclusive}get isEmpty(){return this.startLineNumber===this.endLineNumberExclusive}delta(t){return new D(this.startLineNumber+t,this.endLineNumberExclusive+t)}deltaLength(t){return new D(this.startLineNumber,this.endLineNumberExclusive+t)}get length(){return this.endLineNumberExclusive-this.startLineNumber}join(t){return new D(Math.min(this.startLineNumber,t.startLineNumber),Math.max(this.endLineNumberExclusive,t.endLineNumberExclusive))}toString(){return`[${this.startLineNumber},${this.endLineNumberExclusive})`}intersect(t){const n=Math.max(this.startLineNumber,t.startLineNumber),s=Math.min(this.endLineNumberExclusive,t.endLineNumberExclusive);if(n<=s)return new D(n,s)}intersectsStrict(t){return this.startLineNumber<t.endLineNumberExclusive&&t.startLineNumber<this.endLineNumberExclusive}overlapOrTouch(t){return this.startLineNumber<=t.endLineNumberExclusive&&t.startLineNumber<=this.endLineNumberExclusive}equals(t){return this.startLineNumber===t.startLineNumber&&this.endLineNumberExclusive===t.endLineNumberExclusive}toInclusiveRange(){return this.isEmpty?null:new k(this.startLineNumber,1,this.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER)}toExclusiveRange(){return new k(this.startLineNumber,1,this.endLineNumberExclusive,1)}mapToLineArray(t){const n=[];for(let s=this.startLineNumber;s<this.endLineNumberExclusive;s++)n.push(t(s));return n}forEach(t){for(let n=this.startLineNumber;n<this.endLineNumberExclusive;n++)t(n)}serialize(){return[this.startLineNumber,this.endLineNumberExclusive]}includes(t){return this.startLineNumber<=t&&t<this.endLineNumberExclusive}toOffsetRange(){return new V(this.startLineNumber-1,this.endLineNumberExclusive-1)}}class ge{constructor(t=[]){this._normalizedRanges=t}get ranges(){return this._normalizedRanges}addRange(t){if(t.length===0)return;const n=wn(this._normalizedRanges,r=>r.endLineNumberExclusive>=t.startLineNumber),s=rt(this._normalizedRanges,r=>r.startLineNumber<=t.endLineNumberExclusive)+1;if(n===s)this._normalizedRanges.splice(n,0,t);else if(n===s-1){const r=this._normalizedRanges[n];this._normalizedRanges[n]=r.join(t)}else{const r=this._normalizedRanges[n].join(this._normalizedRanges[s-1]).join(t);this._normalizedRanges.splice(n,s-n,r)}}contains(t){const n=Ue(this._normalizedRanges,s=>s.startLineNumber<=t);return!!n&&n.endLineNumberExclusive>t}intersects(t){const n=Ue(this._normalizedRanges,s=>s.startLineNumber<t.endLineNumberExclusive);return!!n&&n.endLineNumberExclusive>t.startLineNumber}getUnion(t){if(this._normalizedRanges.length===0)return t;if(t._normalizedRanges.length===0)return this;const n=[];let s=0,r=0,i=null;for(;s<this._normalizedRanges.length||r<t._normalizedRanges.length;){let o=null;if(s<this._normalizedRanges.length&&r<t._normalizedRanges.length){const l=this._normalizedRanges[s],u=t._normalizedRanges[r];l.startLineNumber<u.startLineNumber?(o=l,s++):(o=u,r++)}else s<this._normalizedRanges.length?(o=this._normalizedRanges[s],s++):(o=t._normalizedRanges[r],r++);i===null?i=o:i.endLineNumberExclusive>=o.startLineNumber?i=new D(i.startLineNumber,Math.max(i.endLineNumberExclusive,o.endLineNumberExclusive)):(n.push(i),i=o)}return i!==null&&n.push(i),new ge(n)}subtractFrom(t){const n=wn(this._normalizedRanges,o=>o.endLineNumberExclusive>=t.startLineNumber),s=rt(this._normalizedRanges,o=>o.startLineNumber<=t.endLineNumberExclusive)+1;if(n===s)return new ge([t]);const r=[];let i=t.startLineNumber;for(let o=n;o<s;o++){const l=this._normalizedRanges[o];l.startLineNumber>i&&r.push(new D(i,l.startLineNumber)),i=l.endLineNumberExclusive}return i<t.endLineNumberExclusive&&r.push(new D(i,t.endLineNumberExclusive)),new ge(r)}toString(){return this._normalizedRanges.map(t=>t.toString()).join(", ")}getIntersection(t){const n=[];let s=0,r=0;for(;s<this._normalizedRanges.length&&r<t._normalizedRanges.length;){const i=this._normalizedRanges[s],o=t._normalizedRanges[r],l=i.intersect(o);l&&!l.isEmpty&&n.push(l),i.endLineNumberExclusive<o.endLineNumberExclusive?s++:r++}return new ge(n)}getWithDelta(t){return new ge(this._normalizedRanges.map(n=>n.delta(t)))}}const Ee=class Ee{static betweenPositions(t,n){return t.lineNumber===n.lineNumber?new Ee(0,n.column-t.column):new Ee(n.lineNumber-t.lineNumber,n.column-1)}static ofRange(t){return Ee.betweenPositions(t.getStartPosition(),t.getEndPosition())}static ofText(t){let n=0,s=0;for(const r of t)r===`
+`?(n++,s=0):s++;return new Ee(n,s)}constructor(t,n){this.lineCount=t,this.columnCount=n}isGreaterThanOrEqualTo(t){return this.lineCount!==t.lineCount?this.lineCount>t.lineCount:this.columnCount>=t.columnCount}createRange(t){return this.lineCount===0?new k(t.lineNumber,t.column,t.lineNumber,t.column+this.columnCount):new k(t.lineNumber,t.column,t.lineNumber+this.lineCount,this.columnCount+1)}addToPosition(t){return this.lineCount===0?new H(t.lineNumber,t.column+this.columnCount):new H(t.lineNumber+this.lineCount,this.columnCount+1)}toString(){return`${this.lineCount},${this.columnCount}`}};Ee.zero=new Ee(0,0);let Lr=Ee;class $a{constructor(t,n){this.range=t,this.text=n}toSingleEditOperation(){return{range:this.range,text:this.text}}}class le{static inverse(t,n,s){const r=[];let i=1,o=1;for(const u of t){const c=new le(new D(i,u.original.startLineNumber),new D(o,u.modified.startLineNumber));c.modified.isEmpty||r.push(c),i=u.original.endLineNumberExclusive,o=u.modified.endLineNumberExclusive}const l=new le(new D(i,n+1),new D(o,s+1));return l.modified.isEmpty||r.push(l),r}static clip(t,n,s){const r=[];for(const i of t){const o=i.original.intersect(n),l=i.modified.intersect(s);o&&!o.isEmpty&&l&&!l.isEmpty&&r.push(new le(o,l))}return r}constructor(t,n){this.original=t,this.modified=n}toString(){return`{${this.original.toString()}->${this.modified.toString()}}`}flip(){return new le(this.modified,this.original)}join(t){return new le(this.original.join(t.original),this.modified.join(t.modified))}toRangeMapping(){const t=this.original.toInclusiveRange(),n=this.modified.toInclusiveRange();if(t&&n)return new de(t,n);if(this.original.startLineNumber===1||this.modified.startLineNumber===1){if(!(this.modified.startLineNumber===1&&this.original.startLineNumber===1))throw new ie("not a valid diff");return new de(new k(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new k(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1))}else return new de(new k(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),new k(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER))}toRangeMapping2(t,n){if(vr(this.original.endLineNumberExclusive,t)&&vr(this.modified.endLineNumberExclusive,n))return new de(new k(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new k(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1));if(!this.original.isEmpty&&!this.modified.isEmpty)return new de(k.fromPositions(new H(this.original.startLineNumber,1),He(new H(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),t)),k.fromPositions(new H(this.modified.startLineNumber,1),He(new H(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),n)));if(this.original.startLineNumber>1&&this.modified.startLineNumber>1)return new de(k.fromPositions(He(new H(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER),t),He(new H(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),t)),k.fromPositions(He(new H(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER),n),He(new H(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),n)));throw new ie}}function He(e,t){if(e.lineNumber<1)return new H(1,1);if(e.lineNumber>t.length)return new H(t.length,t[t.length-1].length+1);const n=t[e.lineNumber-1];return e.column>n.length+1?new H(e.lineNumber,n.length+1):e}function vr(e,t){return e>=1&&e<=t.length}class pe extends le{static fromRangeMappings(t){const n=D.join(t.map(r=>D.fromRangeInclusive(r.originalRange))),s=D.join(t.map(r=>D.fromRangeInclusive(r.modifiedRange)));return new pe(n,s,t)}constructor(t,n,s){super(t,n),this.innerChanges=s}flip(){var t;return new pe(this.modified,this.original,(t=this.innerChanges)==null?void 0:t.map(n=>n.flip()))}withInnerChangesFromLineRanges(){return new pe(this.original,this.modified,[this.toRangeMapping()])}}class de{static assertSorted(t){for(let n=1;n<t.length;n++){const s=t[n-1],r=t[n];if(!(s.originalRange.getEndPosition().isBeforeOrEqual(r.originalRange.getStartPosition())&&s.modifiedRange.getEndPosition().isBeforeOrEqual(r.modifiedRange.getStartPosition())))throw new ie("Range mappings must be sorted")}}constructor(t,n){this.originalRange=t,this.modifiedRange=n}toString(){return`{${this.originalRange.toString()}->${this.modifiedRange.toString()}}`}flip(){return new de(this.modifiedRange,this.originalRange)}toTextEdit(t){const n=t.getValueOfRange(this.modifiedRange);return new $a(this.originalRange,n)}}const Wa=3;class za{computeDiff(t,n,s){var u;const i=new Ga(t,n,{maxComputationTime:s.maxComputationTimeMs,shouldIgnoreTrimWhitespace:s.ignoreTrimWhitespace,shouldComputeCharChanges:!0,shouldMakePrettyDiff:!0,shouldPostProcessCharChanges:!0}).computeDiff(),o=[];let l=null;for(const c of i.changes){let f;c.originalEndLineNumber===0?f=new D(c.originalStartLineNumber+1,c.originalStartLineNumber+1):f=new D(c.originalStartLineNumber,c.originalEndLineNumber+1);let h;c.modifiedEndLineNumber===0?h=new D(c.modifiedStartLineNumber+1,c.modifiedStartLineNumber+1):h=new D(c.modifiedStartLineNumber,c.modifiedEndLineNumber+1);let d=new pe(f,h,(u=c.charChanges)==null?void 0:u.map(m=>new de(new k(m.originalStartLineNumber,m.originalStartColumn,m.originalEndLineNumber,m.originalEndColumn),new k(m.modifiedStartLineNumber,m.modifiedStartColumn,m.modifiedEndLineNumber,m.modifiedEndColumn))));l&&(l.modified.endLineNumberExclusive===d.modified.startLineNumber||l.original.endLineNumberExclusive===d.original.startLineNumber)&&(d=new pe(l.original.join(d.original),l.modified.join(d.modified),l.innerChanges&&d.innerChanges?l.innerChanges.concat(d.innerChanges):void 0),o.pop()),o.push(d),l=d}return Ct(()=>gr(o,(c,f)=>f.original.startLineNumber-c.original.endLineNumberExclusive===f.modified.startLineNumber-c.modified.endLineNumberExclusive&&c.original.endLineNumberExclusive<f.original.startLineNumber&&c.modified.endLineNumberExclusive<f.modified.startLineNumber)),new At(o,[],i.quitEarly)}}function Nr(e,t,n,s){return new Re(e,t,n).ComputeDiff(s)}let Sr=class{constructor(t){const n=[],s=[];for(let r=0,i=t.length;r<i;r++)n[r]=Ln(t[r],1),s[r]=vn(t[r],1);this.lines=t,this._startColumns=n,this._endColumns=s}getElements(){const t=[];for(let n=0,s=this.lines.length;n<s;n++)t[n]=this.lines[n].substring(this._startColumns[n]-1,this._endColumns[n]-1);return t}getStrictElement(t){return this.lines[t]}getStartLineNumber(t){return t+1}getEndLineNumber(t){return t+1}createCharSequence(t,n,s){const r=[],i=[],o=[];let l=0;for(let u=n;u<=s;u++){const c=this.lines[u],f=t?this._startColumns[u]:1,h=t?this._endColumns[u]:c.length+1;for(let d=f;d<h;d++)r[l]=c.charCodeAt(d-1),i[l]=u+1,o[l]=d,l++;!t&&u<s&&(r[l]=10,i[l]=u+1,o[l]=c.length+1,l++)}return new Oa(r,i,o)}};class Oa{constructor(t,n,s){this._charCodes=t,this._lineNumbers=n,this._columns=s}toString(){return"["+this._charCodes.map((t,n)=>(t===10?"\\n":String.fromCharCode(t))+`-(${this._lineNumbers[n]},${this._columns[n]})`).join(", ")+"]"}_assertIndex(t,n){if(t<0||t>=n.length)throw new Error("Illegal index")}getElements(){return this._charCodes}getStartLineNumber(t){return t>0&&t===this._lineNumbers.length?this.getEndLineNumber(t-1):(this._assertIndex(t,this._lineNumbers),this._lineNumbers[t])}getEndLineNumber(t){return t===-1?this.getStartLineNumber(t+1):(this._assertIndex(t,this._lineNumbers),this._charCodes[t]===10?this._lineNumbers[t]+1:this._lineNumbers[t])}getStartColumn(t){return t>0&&t===this._columns.length?this.getEndColumn(t-1):(this._assertIndex(t,this._columns),this._columns[t])}getEndColumn(t){return t===-1?this.getStartColumn(t+1):(this._assertIndex(t,this._columns),this._charCodes[t]===10?1:this._columns[t]+1)}}class $e{constructor(t,n,s,r,i,o,l,u){this.originalStartLineNumber=t,this.originalStartColumn=n,this.originalEndLineNumber=s,this.originalEndColumn=r,this.modifiedStartLineNumber=i,this.modifiedStartColumn=o,this.modifiedEndLineNumber=l,this.modifiedEndColumn=u}static createFromDiffChange(t,n,s){const r=n.getStartLineNumber(t.originalStart),i=n.getStartColumn(t.originalStart),o=n.getEndLineNumber(t.originalStart+t.originalLength-1),l=n.getEndColumn(t.originalStart+t.originalLength-1),u=s.getStartLineNumber(t.modifiedStart),c=s.getStartColumn(t.modifiedStart),f=s.getEndLineNumber(t.modifiedStart+t.modifiedLength-1),h=s.getEndColumn(t.modifiedStart+t.modifiedLength-1);return new $e(r,i,o,l,u,c,f,h)}}function ja(e){if(e.length<=1)return e;const t=[e[0]];let n=t[0];for(let s=1,r=e.length;s<r;s++){const i=e[s],o=i.originalStart-(n.originalStart+n.originalLength),l=i.modifiedStart-(n.modifiedStart+n.modifiedLength);Math.min(o,l)<Wa?(n.originalLength=i.originalStart+i.originalLength-n.originalStart,n.modifiedLength=i.modifiedStart+i.modifiedLength-n.modifiedStart):(t.push(i),n=i)}return t}class it{constructor(t,n,s,r,i){this.originalStartLineNumber=t,this.originalEndLineNumber=n,this.modifiedStartLineNumber=s,this.modifiedEndLineNumber=r,this.charChanges=i}static createFromDiffResult(t,n,s,r,i,o,l){let u,c,f,h,d;if(n.originalLength===0?(u=s.getStartLineNumber(n.originalStart)-1,c=0):(u=s.getStartLineNumber(n.originalStart),c=s.getEndLineNumber(n.originalStart+n.originalLength-1)),n.modifiedLength===0?(f=r.getStartLineNumber(n.modifiedStart)-1,h=0):(f=r.getStartLineNumber(n.modifiedStart),h=r.getEndLineNumber(n.modifiedStart+n.modifiedLength-1)),o&&n.originalLength>0&&n.originalLength<20&&n.modifiedLength>0&&n.modifiedLength<20&&i()){const m=s.createCharSequence(t,n.originalStart,n.originalStart+n.originalLength-1),g=r.createCharSequence(t,n.modifiedStart,n.modifiedStart+n.modifiedLength-1);if(m.getElements().length>0&&g.getElements().length>0){let b=Nr(m,g,i,!0).changes;l&&(b=ja(b)),d=[];for(let p=0,v=b.length;p<v;p++)d.push($e.createFromDiffChange(b[p],m,g))}}return new it(u,c,f,h,d)}}class Ga{constructor(t,n,s){this.shouldComputeCharChanges=s.shouldComputeCharChanges,this.shouldPostProcessCharChanges=s.shouldPostProcessCharChanges,this.shouldIgnoreTrimWhitespace=s.shouldIgnoreTrimWhitespace,this.shouldMakePrettyDiff=s.shouldMakePrettyDiff,this.originalLines=t,this.modifiedLines=n,this.original=new Sr(t),this.modified=new Sr(n),this.continueLineDiff=Cr(s.maxComputationTime),this.continueCharDiff=Cr(s.maxComputationTime===0?0:Math.min(s.maxComputationTime,5e3))}computeDiff(){if(this.original.lines.length===1&&this.original.lines[0].length===0)return this.modified.lines.length===1&&this.modified.lines[0].length===0?{quitEarly:!1,changes:[]}:{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:1,modifiedStartLineNumber:1,modifiedEndLineNumber:this.modified.lines.length,charChanges:void 0}]};if(this.modified.lines.length===1&&this.modified.lines[0].length===0)return{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:this.original.lines.length,modifiedStartLineNumber:1,modifiedEndLineNumber:1,charChanges:void 0}]};const t=Nr(this.original,this.modified,this.continueLineDiff,this.shouldMakePrettyDiff),n=t.changes,s=t.quitEarly;if(this.shouldIgnoreTrimWhitespace){const l=[];for(let u=0,c=n.length;u<c;u++)l.push(it.createFromDiffResult(this.shouldIgnoreTrimWhitespace,n[u],this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges));return{quitEarly:s,changes:l}}const r=[];let i=0,o=0;for(let l=-1,u=n.length;l<u;l++){const c=l+1<u?n[l+1]:null,f=c?c.originalStart:this.originalLines.length,h=c?c.modifiedStart:this.modifiedLines.length;for(;i<f&&o<h;){const d=this.originalLines[i],m=this.modifiedLines[o];if(d!==m){{let g=Ln(d,1),b=Ln(m,1);for(;g>1&&b>1;){const p=d.charCodeAt(g-2),v=m.charCodeAt(b-2);if(p!==v)break;g--,b--}(g>1||b>1)&&this._pushTrimWhitespaceCharChange(r,i+1,1,g,o+1,1,b)}{let g=vn(d,1),b=vn(m,1);const p=d.length+1,v=m.length+1;for(;g<p&&b<v;){const S=d.charCodeAt(g-1),N=d.charCodeAt(b-1);if(S!==N)break;g++,b++}(g<p||b<v)&&this._pushTrimWhitespaceCharChange(r,i+1,g,p,o+1,b,v)}}i++,o++}c&&(r.push(it.createFromDiffResult(this.shouldIgnoreTrimWhitespace,c,this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges)),i+=c.originalLength,o+=c.modifiedLength)}return{quitEarly:s,changes:r}}_pushTrimWhitespaceCharChange(t,n,s,r,i,o,l){if(this._mergeTrimWhitespaceCharChange(t,n,s,r,i,o,l))return;let u;this.shouldComputeCharChanges&&(u=[new $e(n,s,n,r,i,o,i,l)]),t.push(new it(n,n,i,i,u))}_mergeTrimWhitespaceCharChange(t,n,s,r,i,o,l){const u=t.length;if(u===0)return!1;const c=t[u-1];return c.originalEndLineNumber===0||c.modifiedEndLineNumber===0?!1:c.originalEndLineNumber===n&&c.modifiedEndLineNumber===i?(this.shouldComputeCharChanges&&c.charChanges&&c.charChanges.push(new $e(n,s,n,r,i,o,i,l)),!0):c.originalEndLineNumber+1===n&&c.modifiedEndLineNumber+1===i?(c.originalEndLineNumber=n,c.modifiedEndLineNumber=i,this.shouldComputeCharChanges&&c.charChanges&&c.charChanges.push(new $e(n,s,n,r,i,o,i,l)),!0):!1}}function Ln(e,t){const n=Si(e);return n===-1?t:n+1}function vn(e,t){const n=Ci(e);return n===-1?t:n+2}function Cr(e){if(e===0)return()=>!0;const t=Date.now();return()=>Date.now()-t<e}function Xa(e,t,n=(s,r)=>s===r){if(e===t)return!0;if(!e||!t||e.length!==t.length)return!1;for(let s=0,r=e.length;s<r;s++)if(!n(e[s],t[s]))return!1;return!0}function*Qa(e,t){let n,s;for(const r of e)s!==void 0&&t(s,r)?n.push(r):(n&&(yield n),n=[r]),s=r;n&&(yield n)}function Ya(e,t){for(let n=0;n<=e.length;n++)t(n===0?void 0:e[n-1],n===e.length?void 0:e[n])}function Ja(e,t){for(let n=0;n<e.length;n++)t(n===0?void 0:e[n-1],e[n],n+1===e.length?void 0:e[n+1])}function Za(e,t){for(const n of t)e.push(n)}var Nn;(function(e){function t(i){return i<0}e.isLessThan=t;function n(i){return i<=0}e.isLessThanOrEqual=n;function s(i){return i>0}e.isGreaterThan=s;function r(i){return i===0}e.isNeitherLessOrGreaterThan=r,e.greaterThan=1,e.lessThan=-1,e.neitherLessOrGreaterThan=0})(Nn||(Nn={}));function yt(e,t){return(n,s)=>t(e(n),e(s))}const Et=(e,t)=>e-t;function Ka(e){return(t,n)=>-e(t,n)}const Qe=class Qe{constructor(t){this.iterate=t}toArray(){const t=[];return this.iterate(n=>(t.push(n),!0)),t}filter(t){return new Qe(n=>this.iterate(s=>t(s)?n(s):!0))}map(t){return new Qe(n=>this.iterate(s=>n(t(s))))}findLast(t){let n;return this.iterate(s=>(t(s)&&(n=s),!0)),n}findLastMaxBy(t){let n,s=!0;return this.iterate(r=>((s||Nn.isGreaterThan(t(r,n)))&&(s=!1,n=r),!0)),n}};Qe.empty=new Qe(t=>{});let Ar=Qe;class we{static trivial(t,n){return new we([new j(V.ofLength(t.length),V.ofLength(n.length))],!1)}static trivialTimedOut(t,n){return new we([new j(V.ofLength(t.length),V.ofLength(n.length))],!0)}constructor(t,n){this.diffs=t,this.hitTimeout=n}}class j{static invert(t,n){const s=[];return Ya(t,(r,i)=>{s.push(j.fromOffsetPairs(r?r.getEndExclusives():Le.zero,i?i.getStarts():new Le(n,(r?r.seq2Range.endExclusive-r.seq1Range.endExclusive:0)+n)))}),s}static fromOffsetPairs(t,n){return new j(new V(t.offset1,n.offset1),new V(t.offset2,n.offset2))}static assertSorted(t){let n;for(const s of t){if(n&&!(n.seq1Range.endExclusive<=s.seq1Range.start&&n.seq2Range.endExclusive<=s.seq2Range.start))throw new ie("Sequence diffs must be sorted");n=s}}constructor(t,n){this.seq1Range=t,this.seq2Range=n}swap(){return new j(this.seq2Range,this.seq1Range)}toString(){return`${this.seq1Range} <-> ${this.seq2Range}`}join(t){return new j(this.seq1Range.join(t.seq1Range),this.seq2Range.join(t.seq2Range))}delta(t){return t===0?this:new j(this.seq1Range.delta(t),this.seq2Range.delta(t))}deltaStart(t){return t===0?this:new j(this.seq1Range.deltaStart(t),this.seq2Range.deltaStart(t))}deltaEnd(t){return t===0?this:new j(this.seq1Range.deltaEnd(t),this.seq2Range.deltaEnd(t))}intersect(t){const n=this.seq1Range.intersect(t.seq1Range),s=this.seq2Range.intersect(t.seq2Range);if(!(!n||!s))return new j(n,s)}getStarts(){return new Le(this.seq1Range.start,this.seq2Range.start)}getEndExclusives(){return new Le(this.seq1Range.endExclusive,this.seq2Range.endExclusive)}}const Me=class Me{constructor(t,n){this.offset1=t,this.offset2=n}toString(){return`${this.offset1} <-> ${this.offset2}`}delta(t){return t===0?this:new Me(this.offset1+t,this.offset2+t)}equals(t){return this.offset1===t.offset1&&this.offset2===t.offset2}};Me.zero=new Me(0,0),Me.max=new Me(Number.MAX_SAFE_INTEGER,Number.MAX_SAFE_INTEGER);let Le=Me;const Bt=class Bt{isValid(){return!0}};Bt.instance=new Bt;let at=Bt;class e1{constructor(t){if(this.timeout=t,this.startTime=Date.now(),this.valid=!0,t<=0)throw new ie("timeout must be positive")}isValid(){if(!(Date.now()-this.startTime<this.timeout)&&this.valid){this.valid=!1;debugger}return this.valid}}class Sn{constructor(t,n){this.width=t,this.height=n,this.array=[],this.array=new Array(t*n)}get(t,n){return this.array[t+n*this.width]}set(t,n,s){this.array[t+n*this.width]=s}}function Cn(e){return e===32||e===9}const ht=class ht{static getKey(t){let n=this.chrKeys.get(t);return n===void 0&&(n=this.chrKeys.size,this.chrKeys.set(t,n)),n}constructor(t,n,s){this.range=t,this.lines=n,this.source=s,this.histogram=[];let r=0;for(let i=t.startLineNumber-1;i<t.endLineNumberExclusive-1;i++){const o=n[i];for(let u=0;u<o.length;u++){r++;const c=o[u],f=ht.getKey(c);this.histogram[f]=(this.histogram[f]||0)+1}r++;const l=ht.getKey(`
+`);this.histogram[l]=(this.histogram[l]||0)+1}this.totalCount=r}computeSimilarity(t){let n=0;const s=Math.max(this.histogram.length,t.histogram.length);for(let r=0;r<s;r++)n+=Math.abs((this.histogram[r]??0)-(t.histogram[r]??0));return 1-n/(this.totalCount+t.totalCount)}};ht.chrKeys=new Map;let Mt=ht;class t1{compute(t,n,s=at.instance,r){if(t.length===0||n.length===0)return we.trivial(t,n);const i=new Sn(t.length,n.length),o=new Sn(t.length,n.length),l=new Sn(t.length,n.length);for(let g=0;g<t.length;g++)for(let b=0;b<n.length;b++){if(!s.isValid())return we.trivialTimedOut(t,n);const p=g===0?0:i.get(g-1,b),v=b===0?0:i.get(g,b-1);let S;t.getElement(g)===n.getElement(b)?(g===0||b===0?S=0:S=i.get(g-1,b-1),g>0&&b>0&&o.get(g-1,b-1)===3&&(S+=l.get(g-1,b-1)),S+=r?r(g,b):1):S=-1;const N=Math.max(p,v,S);if(N===S){const _=g>0&&b>0?l.get(g-1,b-1):0;l.set(g,b,_+1),o.set(g,b,3)}else N===p?(l.set(g,b,0),o.set(g,b,1)):N===v&&(l.set(g,b,0),o.set(g,b,2));i.set(g,b,N)}const u=[];let c=t.length,f=n.length;function h(g,b){(g+1!==c||b+1!==f)&&u.push(new j(new V(g+1,c),new V(b+1,f))),c=g,f=b}let d=t.length-1,m=n.length-1;for(;d>=0&&m>=0;)o.get(d,m)===3?(h(d,m),d--,m--):o.get(d,m)===1?d--:m--;return h(-1,-1),u.reverse(),new we(u,!1)}}class Rr{compute(t,n,s=at.instance){if(t.length===0||n.length===0)return we.trivial(t,n);const r=t,i=n;function o(b,p){for(;b<r.length&&p<i.length&&r.getElement(b)===i.getElement(p);)b++,p++;return b}let l=0;const u=new n1;u.set(0,o(0,0));const c=new s1;c.set(0,u.get(0)===0?null:new yr(null,0,0,u.get(0)));let f=0;e:for(;;){if(l++,!s.isValid())return we.trivialTimedOut(r,i);const b=-Math.min(l,i.length+l%2),p=Math.min(l,r.length+l%2);for(f=b;f<=p;f+=2){const v=f===p?-1:u.get(f+1),S=f===b?-1:u.get(f-1)+1,N=Math.min(Math.max(v,S),r.length),_=N-f;if(N>r.length||_>i.length)continue;const x=o(N,_);u.set(f,x);const L=N===v?c.get(f+1):c.get(f-1);if(c.set(f,x!==N?new yr(L,N,_,x-N):L),u.get(f)===r.length&&u.get(f)-f===i.length)break e}}let h=c.get(f);const d=[];let m=r.length,g=i.length;for(;;){const b=h?h.x+h.length:0,p=h?h.y+h.length:0;if((b!==m||p!==g)&&d.push(new j(new V(b,m),new V(p,g))),!h)break;m=h.x,g=h.y,h=h.prev}return d.reverse(),new we(d,!1)}}class yr{constructor(t,n,s,r){this.prev=t,this.x=n,this.y=s,this.length=r}}class n1{constructor(){this.positiveArr=new Int32Array(10),this.negativeArr=new Int32Array(10)}get(t){return t<0?(t=-t-1,this.negativeArr[t]):this.positiveArr[t]}set(t,n){if(t<0){if(t=-t-1,t>=this.negativeArr.length){const s=this.negativeArr;this.negativeArr=new Int32Array(s.length*2),this.negativeArr.set(s)}this.negativeArr[t]=n}else{if(t>=this.positiveArr.length){const s=this.positiveArr;this.positiveArr=new Int32Array(s.length*2),this.positiveArr.set(s)}this.positiveArr[t]=n}}}class s1{constructor(){this.positiveArr=[],this.negativeArr=[]}get(t){return t<0?(t=-t-1,this.negativeArr[t]):this.positiveArr[t]}set(t,n){t<0?(t=-t-1,this.negativeArr[t]=n):this.positiveArr[t]=n}}class kt{constructor(t,n,s){this.lines=t,this.range=n,this.considerWhitespaceChanges=s,this.elements=[],this.firstElementOffsetByLineIdx=[],this.lineStartOffsets=[],this.trimmedWsLengthsByLineIdx=[],this.firstElementOffsetByLineIdx.push(0);for(let r=this.range.startLineNumber;r<=this.range.endLineNumber;r++){let i=t[r-1],o=0;r===this.range.startLineNumber&&this.range.startColumn>1&&(o=this.range.startColumn-1,i=i.substring(o)),this.lineStartOffsets.push(o);let l=0;if(!s){const c=i.trimStart();l=i.length-c.length,i=c.trimEnd()}this.trimmedWsLengthsByLineIdx.push(l);const u=r===this.range.endLineNumber?Math.min(this.range.endColumn-1-o-l,i.length):i.length;for(let c=0;c<u;c++)this.elements.push(i.charCodeAt(c));r<this.range.endLineNumber&&(this.elements.push(10),this.firstElementOffsetByLineIdx.push(this.elements.length))}}toString(){return`Slice: "${this.text}"`}get text(){return this.getText(new V(0,this.length))}getText(t){return this.elements.slice(t.start,t.endExclusive).map(n=>String.fromCharCode(n)).join("")}getElement(t){return this.elements[t]}get length(){return this.elements.length}getBoundaryScore(t){const n=Mr(t>0?this.elements[t-1]:-1),s=Mr(t<this.elements.length?this.elements[t]:-1);if(n===7&&s===8)return 0;if(n===8)return 150;let r=0;return n!==s&&(r+=10,n===0&&s===1&&(r+=1)),r+=Er(n),r+=Er(s),r}translateOffset(t,n="right"){const s=rt(this.firstElementOffsetByLineIdx,i=>i<=t),r=t-this.firstElementOffsetByLineIdx[s];return new H(this.range.startLineNumber+s,1+this.lineStartOffsets[s]+r+(r===0&&n==="left"?0:this.trimmedWsLengthsByLineIdx[s]))}translateRange(t){const n=this.translateOffset(t.start,"right"),s=this.translateOffset(t.endExclusive,"left");return s.isBefore(n)?k.fromPositions(s,s):k.fromPositions(n,s)}findWordContaining(t){if(t<0||t>=this.elements.length||!An(this.elements[t]))return;let n=t;for(;n>0&&An(this.elements[n-1]);)n--;let s=t;for(;s<this.elements.length&&An(this.elements[s]);)s++;return new V(n,s)}countLinesIn(t){return this.translateOffset(t.endExclusive).lineNumber-this.translateOffset(t.start).lineNumber}isStronglyEqual(t,n){return this.elements[t]===this.elements[n]}extendToFullLines(t){const n=Ue(this.firstElementOffsetByLineIdx,r=>r<=t.start)??0,s=Ha(this.firstElementOffsetByLineIdx,r=>t.endExclusive<=r)??this.elements.length;return new V(n,s)}}function An(e){return e>=97&&e<=122||e>=65&&e<=90||e>=48&&e<=57}const r1={0:0,1:0,2:0,3:10,4:2,5:30,6:3,7:10,8:10};function Er(e){return r1[e]}function Mr(e){return e===10?8:e===13?7:Cn(e)?6:e>=97&&e<=122?0:e>=65&&e<=90?1:e>=48&&e<=57?2:e===-1?3:e===44||e===59?5:4}function i1(e,t,n,s,r,i){let{moves:o,excludedChanges:l}=o1(e,t,n,i);if(!i.isValid())return[];const u=e.filter(f=>!l.has(f)),c=l1(u,s,r,t,n,i);return Za(o,c),o=u1(o),o=o.filter(f=>{const h=f.original.toOffsetRange().slice(t).map(m=>m.trim());return h.join(`
+`).length>=15&&a1(h,m=>m.length>=2)>=2}),o=c1(e,o),o}function a1(e,t){let n=0;for(const s of e)t(s)&&n++;return n}function o1(e,t,n,s){const r=[],i=e.filter(u=>u.modified.isEmpty&&u.original.length>=3).map(u=>new Mt(u.original,t,u)),o=new Set(e.filter(u=>u.original.isEmpty&&u.modified.length>=3).map(u=>new Mt(u.modified,n,u))),l=new Set;for(const u of i){let c=-1,f;for(const h of o){const d=u.computeSimilarity(h);d>c&&(c=d,f=h)}if(c>.9&&f&&(o.delete(f),r.push(new le(u.range,f.range)),l.add(u.source),l.add(f.source)),!s.isValid())return{moves:r,excludedChanges:l}}return{moves:r,excludedChanges:l}}function l1(e,t,n,s,r,i){const o=[],l=new Ra;for(const d of e)for(let m=d.original.startLineNumber;m<d.original.endLineNumberExclusive-2;m++){const g=`${t[m-1]}:${t[m+1-1]}:${t[m+2-1]}`;l.add(g,{range:new D(m,m+3)})}const u=[];e.sort(yt(d=>d.modified.startLineNumber,Et));for(const d of e){let m=[];for(let g=d.modified.startLineNumber;g<d.modified.endLineNumberExclusive-2;g++){const b=`${n[g-1]}:${n[g+1-1]}:${n[g+2-1]}`,p=new D(g,g+3),v=[];l.forEach(b,({range:S})=>{for(const _ of m)if(_.originalLineRange.endLineNumberExclusive+1===S.endLineNumberExclusive&&_.modifiedLineRange.endLineNumberExclusive+1===p.endLineNumberExclusive){_.originalLineRange=new D(_.originalLineRange.startLineNumber,S.endLineNumberExclusive),_.modifiedLineRange=new D(_.modifiedLineRange.startLineNumber,p.endLineNumberExclusive),v.push(_);return}const N={modifiedLineRange:p,originalLineRange:S};u.push(N),v.push(N)}),m=v}if(!i.isValid())return[]}u.sort(Ka(yt(d=>d.modifiedLineRange.length,Et)));const c=new ge,f=new ge;for(const d of u){const m=d.modifiedLineRange.startLineNumber-d.originalLineRange.startLineNumber,g=c.subtractFrom(d.modifiedLineRange),b=f.subtractFrom(d.originalLineRange).getWithDelta(m),p=g.getIntersection(b);for(const v of p.ranges){if(v.length<3)continue;const S=v,N=v.delta(-m);o.push(new le(N,S)),c.addRange(S),f.addRange(N)}}o.sort(yt(d=>d.original.startLineNumber,Et));const h=new Rt(e);for(let d=0;d<o.length;d++){const m=o[d],g=h.findLastMonotonous(L=>L.original.startLineNumber<=m.original.startLineNumber),b=Ue(e,L=>L.modified.startLineNumber<=m.modified.startLineNumber),p=Math.max(m.original.startLineNumber-g.original.startLineNumber,m.modified.startLineNumber-b.modified.startLineNumber),v=h.findLastMonotonous(L=>L.original.startLineNumber<m.original.endLineNumberExclusive),S=Ue(e,L=>L.modified.startLineNumber<m.modified.endLineNumberExclusive),N=Math.max(v.original.endLineNumberExclusive-m.original.endLineNumberExclusive,S.modified.endLineNumberExclusive-m.modified.endLineNumberExclusive);let _;for(_=0;_<p;_++){const L=m.original.startLineNumber-_-1,A=m.modified.startLineNumber-_-1;if(L>s.length||A>r.length||c.contains(A)||f.contains(L)||!kr(s[L-1],r[A-1],i))break}_>0&&(f.addRange(new D(m.original.startLineNumber-_,m.original.startLineNumber)),c.addRange(new D(m.modified.startLineNumber-_,m.modified.startLineNumber)));let x;for(x=0;x<N;x++){const L=m.original.endLineNumberExclusive+x,A=m.modified.endLineNumberExclusive+x;if(L>s.length||A>r.length||c.contains(A)||f.contains(L)||!kr(s[L-1],r[A-1],i))break}x>0&&(f.addRange(new D(m.original.endLineNumberExclusive,m.original.endLineNumberExclusive+x)),c.addRange(new D(m.modified.endLineNumberExclusive,m.modified.endLineNumberExclusive+x))),(_>0||x>0)&&(o[d]=new le(new D(m.original.startLineNumber-_,m.original.endLineNumberExclusive+x),new D(m.modified.startLineNumber-_,m.modified.endLineNumberExclusive+x)))}return o}function kr(e,t,n){if(e.trim()===t.trim())return!0;if(e.length>300&&t.length>300)return!1;const r=new Rr().compute(new kt([e],new k(1,1,1,e.length),!1),new kt([t],new k(1,1,1,t.length),!1),n);let i=0;const o=j.invert(r.diffs,e.length);for(const f of o)f.seq1Range.forEach(h=>{Cn(e.charCodeAt(h))||i++});function l(f){let h=0;for(let d=0;d<e.length;d++)Cn(f.charCodeAt(d))||h++;return h}const u=l(e.length>t.length?e:t);return i/u>.6&&u>10}function u1(e){if(e.length===0)return e;e.sort(yt(n=>n.original.startLineNumber,Et));const t=[e[0]];for(let n=1;n<e.length;n++){const s=t[t.length-1],r=e[n],i=r.original.startLineNumber-s.original.endLineNumberExclusive,o=r.modified.startLineNumber-s.modified.endLineNumberExclusive;if(i>=0&&o>=0&&i+o<=2){t[t.length-1]=s.join(r);continue}t.push(r)}return t}function c1(e,t){const n=new Rt(e);return t=t.filter(s=>{const r=n.findLastMonotonous(l=>l.original.startLineNumber<s.original.endLineNumberExclusive)||new le(new D(1,1),new D(1,1)),i=Ue(e,l=>l.modified.startLineNumber<s.modified.endLineNumberExclusive);return r!==i}),t}function Pr(e,t,n){let s=n;return s=Fr(e,t,s),s=Fr(e,t,s),s=h1(e,t,s),s}function Fr(e,t,n){if(n.length===0)return n;const s=[];s.push(n[0]);for(let i=1;i<n.length;i++){const o=s[s.length-1];let l=n[i];if(l.seq1Range.isEmpty||l.seq2Range.isEmpty){const u=l.seq1Range.start-o.seq1Range.endExclusive;let c;for(c=1;c<=u&&!(e.getElement(l.seq1Range.start-c)!==e.getElement(l.seq1Range.endExclusive-c)||t.getElement(l.seq2Range.start-c)!==t.getElement(l.seq2Range.endExclusive-c));c++);if(c--,c===u){s[s.length-1]=new j(new V(o.seq1Range.start,l.seq1Range.endExclusive-u),new V(o.seq2Range.start,l.seq2Range.endExclusive-u));continue}l=l.delta(-c)}s.push(l)}const r=[];for(let i=0;i<s.length-1;i++){const o=s[i+1];let l=s[i];if(l.seq1Range.isEmpty||l.seq2Range.isEmpty){const u=o.seq1Range.start-l.seq1Range.endExclusive;let c;for(c=0;c<u&&!(!e.isStronglyEqual(l.seq1Range.start+c,l.seq1Range.endExclusive+c)||!t.isStronglyEqual(l.seq2Range.start+c,l.seq2Range.endExclusive+c));c++);if(c===u){s[i+1]=new j(new V(l.seq1Range.start+u,o.seq1Range.endExclusive),new V(l.seq2Range.start+u,o.seq2Range.endExclusive));continue}c>0&&(l=l.delta(c))}r.push(l)}return s.length>0&&r.push(s[s.length-1]),r}function h1(e,t,n){if(!e.getBoundaryScore||!t.getBoundaryScore)return n;for(let s=0;s<n.length;s++){const r=s>0?n[s-1]:void 0,i=n[s],o=s+1<n.length?n[s+1]:void 0,l=new V(r?r.seq1Range.endExclusive+1:0,o?o.seq1Range.start-1:e.length),u=new V(r?r.seq2Range.endExclusive+1:0,o?o.seq2Range.start-1:t.length);i.seq1Range.isEmpty?n[s]=Dr(i,e,t,l,u):i.seq2Range.isEmpty&&(n[s]=Dr(i.swap(),t,e,u,l).swap())}return n}function Dr(e,t,n,s,r){let o=1;for(;e.seq1Range.start-o>=s.start&&e.seq2Range.start-o>=r.start&&n.isStronglyEqual(e.seq2Range.start-o,e.seq2Range.endExclusive-o)&&o<100;)o++;o--;let l=0;for(;e.seq1Range.start+l<s.endExclusive&&e.seq2Range.endExclusive+l<r.endExclusive&&n.isStronglyEqual(e.seq2Range.start+l,e.seq2Range.endExclusive+l)&&l<100;)l++;if(o===0&&l===0)return e;let u=0,c=-1;for(let f=-o;f<=l;f++){const h=e.seq2Range.start+f,d=e.seq2Range.endExclusive+f,m=e.seq1Range.start+f,g=t.getBoundaryScore(m)+n.getBoundaryScore(h)+n.getBoundaryScore(d);g>c&&(c=g,u=f)}return e.delta(u)}function f1(e,t,n){const s=[];for(const r of n){const i=s[s.length-1];if(!i){s.push(r);continue}r.seq1Range.start-i.seq1Range.endExclusive<=2||r.seq2Range.start-i.seq2Range.endExclusive<=2?s[s.length-1]=new j(i.seq1Range.join(r.seq1Range),i.seq2Range.join(r.seq2Range)):s.push(r)}return s}function d1(e,t,n){const s=j.invert(n,e.length),r=[];let i=new Le(0,0);function o(u,c){if(u.offset1<i.offset1||u.offset2<i.offset2)return;const f=e.findWordContaining(u.offset1),h=t.findWordContaining(u.offset2);if(!f||!h)return;let d=new j(f,h);const m=d.intersect(c);let g=m.seq1Range.length,b=m.seq2Range.length;for(;s.length>0;){const p=s[0];if(!(p.seq1Range.intersects(d.seq1Range)||p.seq2Range.intersects(d.seq2Range)))break;const S=e.findWordContaining(p.seq1Range.start),N=t.findWordContaining(p.seq2Range.start),_=new j(S,N),x=_.intersect(p);if(g+=x.seq1Range.length,b+=x.seq2Range.length,d=d.join(_),d.seq1Range.endExclusive>=p.seq1Range.endExclusive)s.shift();else break}g+b<(d.seq1Range.length+d.seq2Range.length)*2/3&&r.push(d),i=d.getEndExclusives()}for(;s.length>0;){const u=s.shift();u.seq1Range.isEmpty||(o(u.getStarts(),u),o(u.getEndExclusives().delta(-1),u))}return m1(n,r)}function m1(e,t){const n=[];for(;e.length>0||t.length>0;){const s=e[0],r=t[0];let i;s&&(!r||s.seq1Range.start<r.seq1Range.start)?i=e.shift():i=t.shift(),n.length>0&&n[n.length-1].seq1Range.endExclusive>=i.seq1Range.start?n[n.length-1]=n[n.length-1].join(i):n.push(i)}return n}function g1(e,t,n){let s=n;if(s.length===0)return s;let r=0,i;do{i=!1;const o=[s[0]];for(let l=1;l<s.length;l++){let f=function(d,m){const g=new V(c.seq1Range.endExclusive,u.seq1Range.start);return e.getText(g).replace(/\s/g,"").length<=4&&(d.seq1Range.length+d.seq2Range.length>5||m.seq1Range.length+m.seq2Range.length>5)};const u=s[l],c=o[o.length-1];f(c,u)?(i=!0,o[o.length-1]=o[o.length-1].join(u)):o.push(u)}s=o}while(r++<10&&i);return s}function b1(e,t,n){let s=n;if(s.length===0)return s;let r=0,i;do{i=!1;const l=[s[0]];for(let u=1;u<s.length;u++){let h=function(m,g){const b=new V(f.seq1Range.endExclusive,c.seq1Range.start);if(e.countLinesIn(b)>5||b.length>500)return!1;const v=e.getText(b).trim();if(v.length>20||v.split(/\r\n|\r|\n/).length>1)return!1;const S=e.countLinesIn(m.seq1Range),N=m.seq1Range.length,_=t.countLinesIn(m.seq2Range),x=m.seq2Range.length,L=e.countLinesIn(g.seq1Range),A=g.seq1Range.length,E=t.countLinesIn(g.seq2Range),B=g.seq2Range.length,Q=2*40+50;function q(F){return Math.min(F,Q)}return Math.pow(Math.pow(q(S*40+N),1.5)+Math.pow(q(_*40+x),1.5),1.5)+Math.pow(Math.pow(q(L*40+A),1.5)+Math.pow(q(E*40+B),1.5),1.5)>(Q**1.5)**1.5*1.3};const c=s[u],f=l[l.length-1];h(f,c)?(i=!0,l[l.length-1]=l[l.length-1].join(c)):l.push(c)}s=l}while(r++<10&&i);const o=[];return Ja(s,(l,u,c)=>{let f=u;function h(v){return v.length>0&&v.trim().length<=3&&u.seq1Range.length+u.seq2Range.length>100}const d=e.extendToFullLines(u.seq1Range),m=e.getText(new V(d.start,u.seq1Range.start));h(m)&&(f=f.deltaStart(-m.length));const g=e.getText(new V(u.seq1Range.endExclusive,d.endExclusive));h(g)&&(f=f.deltaEnd(g.length));const b=j.fromOffsetPairs(l?l.getEndExclusives():Le.zero,c?c.getStarts():Le.max),p=f.intersect(b);o.length>0&&p.getStarts().equals(o[o.length-1].getEndExclusives())?o[o.length-1]=o[o.length-1].join(p):o.push(p)}),o}class Tr{constructor(t,n){this.trimmedHash=t,this.lines=n}getElement(t){return this.trimmedHash[t]}get length(){return this.trimmedHash.length}getBoundaryScore(t){const n=t===0?0:Ir(this.lines[t-1]),s=t===this.lines.length?0:Ir(this.lines[t]);return 1e3-(n+s)}getText(t){return this.lines.slice(t.start,t.endExclusive).join(`
+`)}isStronglyEqual(t,n){return this.lines[t]===this.lines[n]}}function Ir(e){let t=0;for(;t<e.length&&(e.charCodeAt(t)===32||e.charCodeAt(t)===9);)t++;return t}class x1{constructor(){this.dynamicProgrammingDiffing=new t1,this.myersDiffingAlgorithm=new Rr}computeDiff(t,n,s){if(t.length<=1&&Xa(t,n,(x,L)=>x===L))return new At([],[],!1);if(t.length===1&&t[0].length===0||n.length===1&&n[0].length===0)return new At([new pe(new D(1,t.length+1),new D(1,n.length+1),[new de(new k(1,1,t.length,t[t.length-1].length+1),new k(1,1,n.length,n[n.length-1].length+1))])],[],!1);const r=s.maxComputationTimeMs===0?at.instance:new e1(s.maxComputationTimeMs),i=!s.ignoreTrimWhitespace,o=new Map;function l(x){let L=o.get(x);return L===void 0&&(L=o.size,o.set(x,L)),L}const u=t.map(x=>l(x.trim())),c=n.map(x=>l(x.trim())),f=new Tr(u,t),h=new Tr(c,n),d=f.length+h.length<1700?this.dynamicProgrammingDiffing.compute(f,h,r,(x,L)=>t[x]===n[L]?n[L].length===0?.1:1+Math.log(1+n[L].length):.99):this.myersDiffingAlgorithm.compute(f,h,r);let m=d.diffs,g=d.hitTimeout;m=Pr(f,h,m),m=g1(f,h,m);const b=[],p=x=>{if(i)for(let L=0;L<x;L++){const A=v+L,E=S+L;if(t[A]!==n[E]){const B=this.refineDiff(t,n,new j(new V(A,A+1),new V(E,E+1)),r,i);for(const Q of B.mappings)b.push(Q);B.hitTimeout&&(g=!0)}}};let v=0,S=0;for(const x of m){Ct(()=>x.seq1Range.start-v===x.seq2Range.start-S);const L=x.seq1Range.start-v;p(L),v=x.seq1Range.endExclusive,S=x.seq2Range.endExclusive;const A=this.refineDiff(t,n,x,r,i);A.hitTimeout&&(g=!0);for(const E of A.mappings)b.push(E)}p(t.length-v);const N=Vr(b,t,n);let _=[];return s.computeMoves&&(_=this.computeMoves(N,t,n,u,c,r,i)),Ct(()=>{function x(A,E){if(A.lineNumber<1||A.lineNumber>E.length)return!1;const B=E[A.lineNumber-1];return!(A.column<1||A.column>B.length+1)}function L(A,E){return!(A.startLineNumber<1||A.startLineNumber>E.length+1||A.endLineNumberExclusive<1||A.endLineNumberExclusive>E.length+1)}for(const A of N){if(!A.innerChanges)return!1;for(const E of A.innerChanges)if(!(x(E.modifiedRange.getStartPosition(),n)&&x(E.modifiedRange.getEndPosition(),n)&&x(E.originalRange.getStartPosition(),t)&&x(E.originalRange.getEndPosition(),t)))return!1;if(!L(A.modified,n)||!L(A.original,t))return!1}return!0}),new At(N,_,g)}computeMoves(t,n,s,r,i,o,l){return i1(t,n,s,r,i,o).map(f=>{const h=this.refineDiff(n,s,new j(f.original.toOffsetRange(),f.modified.toOffsetRange()),o,l),d=Vr(h.mappings,n,s,!0);return new Ua(f,d)})}refineDiff(t,n,s,r,i){const l=p1(s).toRangeMapping2(t,n),u=new kt(t,l.originalRange,i),c=new kt(n,l.modifiedRange,i),f=u.length+c.length<500?this.dynamicProgrammingDiffing.compute(u,c,r):this.myersDiffingAlgorithm.compute(u,c,r);let h=f.diffs;return h=Pr(u,c,h),h=d1(u,c,h),h=f1(u,c,h),h=b1(u,c,h),{mappings:h.map(m=>new de(u.translateRange(m.seq1Range),c.translateRange(m.seq2Range))),hitTimeout:f.hitTimeout}}}function Vr(e,t,n,s=!1){const r=[];for(const i of Qa(e.map(o=>_1(o,t,n)),(o,l)=>o.original.overlapOrTouch(l.original)||o.modified.overlapOrTouch(l.modified))){const o=i[0],l=i[i.length-1];r.push(new pe(o.original.join(l.original),o.modified.join(l.modified),i.map(u=>u.innerChanges[0])))}return Ct(()=>!s&&r.length>0&&(r[0].modified.startLineNumber!==r[0].original.startLineNumber||n.length-r[r.length-1].modified.endLineNumberExclusive!==t.length-r[r.length-1].original.endLineNumberExclusive)?!1:gr(r,(i,o)=>o.original.startLineNumber-i.original.endLineNumberExclusive===o.modified.startLineNumber-i.modified.endLineNumberExclusive&&i.original.endLineNumberExclusive<o.original.startLineNumber&&i.modified.endLineNumberExclusive<o.modified.startLineNumber)),r}function _1(e,t,n){let s=0,r=0;e.modifiedRange.endColumn===1&&e.originalRange.endColumn===1&&e.originalRange.startLineNumber+s<=e.originalRange.endLineNumber&&e.modifiedRange.startLineNumber+s<=e.modifiedRange.endLineNumber&&(r=-1),e.modifiedRange.startColumn-1>=n[e.modifiedRange.startLineNumber-1].length&&e.originalRange.startColumn-1>=t[e.originalRange.startLineNumber-1].length&&e.originalRange.startLineNumber<=e.originalRange.endLineNumber+r&&e.modifiedRange.startLineNumber<=e.modifiedRange.endLineNumber+r&&(s=1);const i=new D(e.originalRange.startLineNumber+s,e.originalRange.endLineNumber+1+r),o=new D(e.modifiedRange.startLineNumber+s,e.modifiedRange.endLineNumber+1+r);return new pe(i,o,[e])}function p1(e){return new le(new D(e.seq1Range.start+1,e.seq1Range.endExclusive+1),new D(e.seq2Range.start+1,e.seq2Range.endExclusive+1))}const Br={getLegacy:()=>new za,getDefault:()=>new x1};function ye(e,t){const n=Math.pow(10,t);return Math.round(e*n)/n}class X{constructor(t,n,s,r=1){this._rgbaBrand=void 0,this.r=Math.min(255,Math.max(0,t))|0,this.g=Math.min(255,Math.max(0,n))|0,this.b=Math.min(255,Math.max(0,s))|0,this.a=ye(Math.max(Math.min(1,r),0),3)}static equals(t,n){return t.r===n.r&&t.g===n.g&&t.b===n.b&&t.a===n.a}}class ue{constructor(t,n,s,r){this._hslaBrand=void 0,this.h=Math.max(Math.min(360,t),0)|0,this.s=ye(Math.max(Math.min(1,n),0),3),this.l=ye(Math.max(Math.min(1,s),0),3),this.a=ye(Math.max(Math.min(1,r),0),3)}static equals(t,n){return t.h===n.h&&t.s===n.s&&t.l===n.l&&t.a===n.a}static fromRGBA(t){const n=t.r/255,s=t.g/255,r=t.b/255,i=t.a,o=Math.max(n,s,r),l=Math.min(n,s,r);let u=0,c=0;const f=(l+o)/2,h=o-l;if(h>0){switch(c=Math.min(f<=.5?h/(2*f):h/(2-2*f),1),o){case n:u=(s-r)/h+(s<r?6:0);break;case s:u=(r-n)/h+2;break;case r:u=(n-s)/h+4;break}u*=60,u=Math.round(u)}return new ue(u,c,f,i)}static _hue2rgb(t,n,s){return s<0&&(s+=1),s>1&&(s-=1),s<1/6?t+(n-t)*6*s:s<1/2?n:s<2/3?t+(n-t)*(2/3-s)*6:t}static toRGBA(t){const n=t.h/360,{s,l:r,a:i}=t;let o,l,u;if(s===0)o=l=u=r;else{const c=r<.5?r*(1+s):r+s-r*s,f=2*r-c;o=ue._hue2rgb(f,c,n+1/3),l=ue._hue2rgb(f,c,n),u=ue._hue2rgb(f,c,n-1/3)}return new X(Math.round(o*255),Math.round(l*255),Math.round(u*255),i)}}class We{constructor(t,n,s,r){this._hsvaBrand=void 0,this.h=Math.max(Math.min(360,t),0)|0,this.s=ye(Math.max(Math.min(1,n),0),3),this.v=ye(Math.max(Math.min(1,s),0),3),this.a=ye(Math.max(Math.min(1,r),0),3)}static equals(t,n){return t.h===n.h&&t.s===n.s&&t.v===n.v&&t.a===n.a}static fromRGBA(t){const n=t.r/255,s=t.g/255,r=t.b/255,i=Math.max(n,s,r),o=Math.min(n,s,r),l=i-o,u=i===0?0:l/i;let c;return l===0?c=0:i===n?c=((s-r)/l%6+6)%6:i===s?c=(r-n)/l+2:c=(n-s)/l+4,new We(Math.round(c*60),u,i,t.a)}static toRGBA(t){const{h:n,s,v:r,a:i}=t,o=r*s,l=o*(1-Math.abs(n/60%2-1)),u=r-o;let[c,f,h]=[0,0,0];return n<60?(c=o,f=l):n<120?(c=l,f=o):n<180?(f=o,h=l):n<240?(f=l,h=o):n<300?(c=l,h=o):n<=360&&(c=o,h=l),c=Math.round((c+u)*255),f=Math.round((f+u)*255),h=Math.round((h+u)*255),new X(c,f,h,i)}}const $=class ${static fromHex(t){return $.Format.CSS.parseHex(t)||$.red}static equals(t,n){return!t&&!n?!0:!t||!n?!1:t.equals(n)}get hsla(){return this._hsla?this._hsla:ue.fromRGBA(this.rgba)}get hsva(){return this._hsva?this._hsva:We.fromRGBA(this.rgba)}constructor(t){if(t)if(t instanceof X)this.rgba=t;else if(t instanceof ue)this._hsla=t,this.rgba=ue.toRGBA(t);else if(t instanceof We)this._hsva=t,this.rgba=We.toRGBA(t);else throw new Error("Invalid color ctor argument");else throw new Error("Color needs a value")}equals(t){return!!t&&X.equals(this.rgba,t.rgba)&&ue.equals(this.hsla,t.hsla)&&We.equals(this.hsva,t.hsva)}getRelativeLuminance(){const t=$._relativeLuminanceForComponent(this.rgba.r),n=$._relativeLuminanceForComponent(this.rgba.g),s=$._relativeLuminanceForComponent(this.rgba.b),r=.2126*t+.7152*n+.0722*s;return ye(r,4)}static _relativeLuminanceForComponent(t){const n=t/255;return n<=.03928?n/12.92:Math.pow((n+.055)/1.055,2.4)}isLighter(){return(this.rgba.r*299+this.rgba.g*587+this.rgba.b*114)/1e3>=128}isLighterThan(t){const n=this.getRelativeLuminance(),s=t.getRelativeLuminance();return n>s}isDarkerThan(t){const n=this.getRelativeLuminance(),s=t.getRelativeLuminance();return n<s}lighten(t){return new $(new ue(this.hsla.h,this.hsla.s,this.hsla.l+this.hsla.l*t,this.hsla.a))}darken(t){return new $(new ue(this.hsla.h,this.hsla.s,this.hsla.l-this.hsla.l*t,this.hsla.a))}transparent(t){const{r:n,g:s,b:r,a:i}=this.rgba;return new $(new X(n,s,r,i*t))}isTransparent(){return this.rgba.a===0}isOpaque(){return this.rgba.a===1}opposite(){return new $(new X(255-this.rgba.r,255-this.rgba.g,255-this.rgba.b,this.rgba.a))}makeOpaque(t){if(this.isOpaque()||t.rgba.a!==1)return this;const{r:n,g:s,b:r,a:i}=this.rgba;return new $(new X(t.rgba.r-i*(t.rgba.r-n),t.rgba.g-i*(t.rgba.g-s),t.rgba.b-i*(t.rgba.b-r),1))}toString(){return this._toString||(this._toString=$.Format.CSS.format(this)),this._toString}static getLighterColor(t,n,s){if(t.isLighterThan(n))return t;s=s||.5;const r=t.getRelativeLuminance(),i=n.getRelativeLuminance();return s=s*(i-r)/i,t.lighten(s)}static getDarkerColor(t,n,s){if(t.isDarkerThan(n))return t;s=s||.5;const r=t.getRelativeLuminance(),i=n.getRelativeLuminance();return s=s*(r-i)/r,t.darken(s)}};$.white=new $(new X(255,255,255,1)),$.black=new $(new X(0,0,0,1)),$.red=new $(new X(255,0,0,1)),$.blue=new $(new X(0,0,255,1)),$.green=new $(new X(0,255,0,1)),$.cyan=new $(new X(0,255,255,1)),$.lightgrey=new $(new X(211,211,211,1)),$.transparent=new $(new X(0,0,0,0));let ze=$;(function(e){(function(t){(function(n){function s(m){return m.rgba.a===1?`rgb(${m.rgba.r}, ${m.rgba.g}, ${m.rgba.b})`:e.Format.CSS.formatRGBA(m)}n.formatRGB=s;function r(m){return`rgba(${m.rgba.r}, ${m.rgba.g}, ${m.rgba.b}, ${+m.rgba.a.toFixed(2)})`}n.formatRGBA=r;function i(m){return m.hsla.a===1?`hsl(${m.hsla.h}, ${(m.hsla.s*100).toFixed(2)}%, ${(m.hsla.l*100).toFixed(2)}%)`:e.Format.CSS.formatHSLA(m)}n.formatHSL=i;function o(m){return`hsla(${m.hsla.h}, ${(m.hsla.s*100).toFixed(2)}%, ${(m.hsla.l*100).toFixed(2)}%, ${m.hsla.a.toFixed(2)})`}n.formatHSLA=o;function l(m){const g=m.toString(16);return g.length!==2?"0"+g:g}function u(m){return`#${l(m.rgba.r)}${l(m.rgba.g)}${l(m.rgba.b)}`}n.formatHex=u;function c(m,g=!1){return g&&m.rgba.a===1?e.Format.CSS.formatHex(m):`#${l(m.rgba.r)}${l(m.rgba.g)}${l(m.rgba.b)}${l(Math.round(m.rgba.a*255))}`}n.formatHexA=c;function f(m){return m.isOpaque()?e.Format.CSS.formatHex(m):e.Format.CSS.formatRGBA(m)}n.format=f;function h(m){const g=m.length;if(g===0||m.charCodeAt(0)!==35)return null;if(g===7){const b=16*d(m.charCodeAt(1))+d(m.charCodeAt(2)),p=16*d(m.charCodeAt(3))+d(m.charCodeAt(4)),v=16*d(m.charCodeAt(5))+d(m.charCodeAt(6));return new e(new X(b,p,v,1))}if(g===9){const b=16*d(m.charCodeAt(1))+d(m.charCodeAt(2)),p=16*d(m.charCodeAt(3))+d(m.charCodeAt(4)),v=16*d(m.charCodeAt(5))+d(m.charCodeAt(6)),S=16*d(m.charCodeAt(7))+d(m.charCodeAt(8));return new e(new X(b,p,v,S/255))}if(g===4){const b=d(m.charCodeAt(1)),p=d(m.charCodeAt(2)),v=d(m.charCodeAt(3));return new e(new X(16*b+b,16*p+p,16*v+v))}if(g===5){const b=d(m.charCodeAt(1)),p=d(m.charCodeAt(2)),v=d(m.charCodeAt(3)),S=d(m.charCodeAt(4));return new e(new X(16*b+b,16*p+p,16*v+v,(16*S+S)/255))}return null}n.parseHex=h;function d(m){switch(m){case 48:return 0;case 49:return 1;case 50:return 2;case 51:return 3;case 52:return 4;case 53:return 5;case 54:return 6;case 55:return 7;case 56:return 8;case 57:return 9;case 97:return 10;case 65:return 10;case 98:return 11;case 66:return 11;case 99:return 12;case 67:return 12;case 100:return 13;case 68:return 13;case 101:return 14;case 69:return 14;case 102:return 15;case 70:return 15}return 0}})(t.CSS||(t.CSS={}))})(e.Format||(e.Format={}))})(ze||(ze={}));function qr(e){const t=[];for(const n of e){const s=Number(n);(s||s===0&&n.replace(/\s/g,"")!=="")&&t.push(s)}return t}function Rn(e,t,n,s){return{red:e/255,blue:n/255,green:t/255,alpha:s}}function ot(e,t){const n=t.index,s=t[0].length;if(!n)return;const r=e.positionAt(n);return{startLineNumber:r.lineNumber,startColumn:r.column,endLineNumber:r.lineNumber,endColumn:r.column+s}}function w1(e,t){if(!e)return;const n=ze.Format.CSS.parseHex(t);if(n)return{range:e,color:Rn(n.rgba.r,n.rgba.g,n.rgba.b,n.rgba.a)}}function Ur(e,t,n){if(!e||t.length!==1)return;const r=t[0].values(),i=qr(r);return{range:e,color:Rn(i[0],i[1],i[2],n?i[3]:1)}}function Hr(e,t,n){if(!e||t.length!==1)return;const r=t[0].values(),i=qr(r),o=new ze(new ue(i[0],i[1]/100,i[2]/100,n?i[3]:1));return{range:e,color:Rn(o.rgba.r,o.rgba.g,o.rgba.b,o.rgba.a)}}function lt(e,t){return typeof e=="string"?[...e.matchAll(t)]:e.findMatches(t)}function L1(e){const t=[],s=lt(e,/\b(rgb|rgba|hsl|hsla)(\([0-9\s,.\%]*\))|(#)([A-Fa-f0-9]{3})\b|(#)([A-Fa-f0-9]{4})\b|(#)([A-Fa-f0-9]{6})\b|(#)([A-Fa-f0-9]{8})\b/gm);if(s.length>0)for(const r of s){const i=r.filter(c=>c!==void 0),o=i[1],l=i[2];if(!l)continue;let u;if(o==="rgb"){const c=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*\)$/gm;u=Ur(ot(e,r),lt(l,c),!1)}else if(o==="rgba"){const c=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;u=Ur(ot(e,r),lt(l,c),!0)}else if(o==="hsl"){const c=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*\)$/gm;u=Hr(ot(e,r),lt(l,c),!1)}else if(o==="hsla"){const c=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;u=Hr(ot(e,r),lt(l,c),!0)}else o==="#"&&(u=w1(ot(e,r),o+l));u&&t.push(u)}return t}function v1(e){return!e||typeof e.getValue!="function"||typeof e.positionAt!="function"?[]:L1(e)}const $r=new RegExp("\\bMARK:\\s*(.*)$","d"),N1=/^-+|-+$/g;function S1(e,t){var s;let n=[];if(t.findRegionSectionHeaders&&((s=t.foldingRules)!=null&&s.markers)){const r=C1(e,t);n=n.concat(r)}if(t.findMarkSectionHeaders){const r=A1(e);n=n.concat(r)}return n}function C1(e,t){const n=[],s=e.getLineCount();for(let r=1;r<=s;r++){const i=e.getLineContent(r),o=i.match(t.foldingRules.markers.start);if(o){const l={startLineNumber:r,startColumn:o[0].length+1,endLineNumber:r,endColumn:i.length+1};if(l.endColumn>l.startColumn){const u={range:l,...Wr(i.substring(o[0].length)),shouldBeInComments:!1};(u.text||u.hasSeparatorLine)&&n.push(u)}}}return n}function A1(e){const t=[],n=e.getLineCount();for(let s=1;s<=n;s++){const r=e.getLineContent(s);R1(r,s,t)}return t}function R1(e,t,n){$r.lastIndex=0;const s=$r.exec(e);if(s){const r=s.indices[1][0]+1,i=s.indices[1][1]+1,o={startLineNumber:t,startColumn:r,endLineNumber:t,endColumn:i};if(o.endColumn>o.startColumn){const l={range:o,...Wr(s[1]),shouldBeInComments:!0};(l.text||l.hasSeparatorLine)&&n.push(l)}}}function Wr(e){e=e.trim();const t=e.startsWith("-");return e=e.replace(N1,""),{text:e,hasSeparatorLine:t}}var zr;(function(e){async function t(s){let r;const i=await Promise.all(s.map(o=>o.then(l=>l,l=>{r||(r=l)})));if(typeof r<"u")throw r;return i}e.settled=t;function n(s){return new Promise(async(r,i)=>{try{await s(r,i)}catch(o){i(o)}})}e.withAsyncBody=n})(zr||(zr={}));const ne=class ne{static fromArray(t){return new ne(n=>{n.emitMany(t)})}static fromPromise(t){return new ne(async n=>{n.emitMany(await t)})}static fromPromises(t){return new ne(async n=>{await Promise.all(t.map(async s=>n.emitOne(await s)))})}static merge(t){return new ne(async n=>{await Promise.all(t.map(async s=>{for await(const r of s)n.emitOne(r)}))})}constructor(t,n){this._state=0,this._results=[],this._error=null,this._onReturn=n,this._onStateChanged=new oe,queueMicrotask(async()=>{const s={emitOne:r=>this.emitOne(r),emitMany:r=>this.emitMany(r),reject:r=>this.reject(r)};try{await Promise.resolve(t(s)),this.resolve()}catch(r){this.reject(r)}finally{s.emitOne=void 0,s.emitMany=void 0,s.reject=void 0}})}[Symbol.asyncIterator](){let t=0;return{next:async()=>{do{if(this._state===2)throw this._error;if(t<this._results.length)return{done:!1,value:this._results[t++]};if(this._state===1)return{done:!0,value:void 0};await gt.toPromise(this._onStateChanged.event)}while(!0)},return:async()=>{var n;return(n=this._onReturn)==null||n.call(this),{done:!0,value:void 0}}}}static map(t,n){return new ne(async s=>{for await(const r of t)s.emitOne(n(r))})}map(t){return ne.map(this,t)}static filter(t,n){return new ne(async s=>{for await(const r of t)n(r)&&s.emitOne(r)})}filter(t){return ne.filter(this,t)}static coalesce(t){return ne.filter(t,n=>!!n)}coalesce(){return ne.coalesce(this)}static async toPromise(t){const n=[];for await(const s of t)n.push(s);return n}toPromise(){return ne.toPromise(this)}emitOne(t){this._state===0&&(this._results.push(t),this._onStateChanged.fire())}emitMany(t){this._state===0&&(this._results=this._results.concat(t),this._onStateChanged.fire())}resolve(){this._state===0&&(this._state=1,this._onStateChanged.fire())}reject(t){this._state===0&&(this._state=2,this._error=t,this._onStateChanged.fire())}};ne.EMPTY=ne.fromArray([]);let Or=ne;class y1{constructor(t){this.values=t,this.prefixSum=new Uint32Array(t.length),this.prefixSumValidIndex=new Int32Array(1),this.prefixSumValidIndex[0]=-1}insertValues(t,n){t=qe(t);const s=this.values,r=this.prefixSum,i=n.length;return i===0?!1:(this.values=new Uint32Array(s.length+i),this.values.set(s.subarray(0,t),0),this.values.set(s.subarray(t),t+i),this.values.set(n,t),t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),this.prefixSum=new Uint32Array(this.values.length),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(r.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}setValue(t,n){return t=qe(t),n=qe(n),this.values[t]===n?!1:(this.values[t]=n,t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),!0)}removeValues(t,n){t=qe(t),n=qe(n);const s=this.values,r=this.prefixSum;if(t>=s.length)return!1;const i=s.length-t;return n>=i&&(n=i),n===0?!1:(this.values=new Uint32Array(s.length-n),this.values.set(s.subarray(0,t),0),this.values.set(s.subarray(t+n),t),this.prefixSum=new Uint32Array(this.values.length),t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(r.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}getTotalSum(){return this.values.length===0?0:this._getPrefixSum(this.values.length-1)}getPrefixSum(t){return t<0?0:(t=qe(t),this._getPrefixSum(t))}_getPrefixSum(t){if(t<=this.prefixSumValidIndex[0])return this.prefixSum[t];let n=this.prefixSumValidIndex[0]+1;n===0&&(this.prefixSum[0]=this.values[0],n++),t>=this.values.length&&(t=this.values.length-1);for(let s=n;s<=t;s++)this.prefixSum[s]=this.prefixSum[s-1]+this.values[s];return this.prefixSumValidIndex[0]=Math.max(this.prefixSumValidIndex[0],t),this.prefixSum[t]}getIndexOf(t){t=Math.floor(t),this.getTotalSum();let n=0,s=this.values.length-1,r=0,i=0,o=0;for(;n<=s;)if(r=n+(s-n)/2|0,i=this.prefixSum[r],o=i-this.values[r],t<o)s=r-1;else if(t>=i)n=r+1;else break;return new E1(r,t-o)}}class E1{constructor(t,n){this.index=t,this.remainder=n,this._prefixSumIndexOfResultBrand=void 0,this.index=t,this.remainder=n}}class M1{constructor(t,n,s,r){this._uri=t,this._lines=n,this._eol=s,this._versionId=r,this._lineStarts=null,this._cachedTextValue=null}dispose(){this._lines.length=0}get version(){return this._versionId}getText(){return this._cachedTextValue===null&&(this._cachedTextValue=this._lines.join(this._eol)),this._cachedTextValue}onEvents(t){t.eol&&t.eol!==this._eol&&(this._eol=t.eol,this._lineStarts=null);const n=t.changes;for(const s of n)this._acceptDeleteRange(s.range),this._acceptInsertText(new H(s.range.startLineNumber,s.range.startColumn),s.text);this._versionId=t.versionId,this._cachedTextValue=null}_ensureLineStarts(){if(!this._lineStarts){const t=this._eol.length,n=this._lines.length,s=new Uint32Array(n);for(let r=0;r<n;r++)s[r]=this._lines[r].length+t;this._lineStarts=new y1(s)}}_setLineText(t,n){this._lines[t]=n,this._lineStarts&&this._lineStarts.setValue(t,this._lines[t].length+this._eol.length)}_acceptDeleteRange(t){if(t.startLineNumber===t.endLineNumber){if(t.startColumn===t.endColumn)return;this._setLineText(t.startLineNumber-1,this._lines[t.startLineNumber-1].substring(0,t.startColumn-1)+this._lines[t.startLineNumber-1].substring(t.endColumn-1));return}this._setLineText(t.startLineNumber-1,this._lines[t.startLineNumber-1].substring(0,t.startColumn-1)+this._lines[t.endLineNumber-1].substring(t.endColumn-1)),this._lines.splice(t.startLineNumber,t.endLineNumber-t.startLineNumber),this._lineStarts&&this._lineStarts.removeValues(t.startLineNumber,t.endLineNumber-t.startLineNumber)}_acceptInsertText(t,n){if(n.length===0)return;const s=Ni(n);if(s.length===1){this._setLineText(t.lineNumber-1,this._lines[t.lineNumber-1].substring(0,t.column-1)+s[0]+this._lines[t.lineNumber-1].substring(t.column-1));return}s[s.length-1]+=this._lines[t.lineNumber-1].substring(t.column-1),this._setLineText(t.lineNumber-1,this._lines[t.lineNumber-1].substring(0,t.column-1)+s[0]);const r=new Uint32Array(s.length-1);for(let i=1;i<s.length;i++)this._lines.splice(t.lineNumber+i-1,0,s[i]),r[i-1]=s[i].length+this._eol.length;this._lineStarts&&this._lineStarts.insertValues(t.lineNumber,r)}}class k1{constructor(){this._models=Object.create(null)}getModel(t){return this._models[t]}getModels(){const t=[];return Object.keys(this._models).forEach(n=>t.push(this._models[n])),t}$acceptNewModel(t){this._models[t.url]=new P1(re.parse(t.url),t.lines,t.EOL,t.versionId)}$acceptModelChanged(t,n){if(!this._models[t])return;this._models[t].onEvents(n)}$acceptRemovedModel(t){this._models[t]&&delete this._models[t]}}class P1 extends M1{get uri(){return this._uri}get eol(){return this._eol}getValue(){return this.getText()}findMatches(t){const n=[];for(let s=0;s<this._lines.length;s++){const r=this._lines[s],i=this.offsetAt(new H(s+1,1)),o=r.matchAll(t);for(const l of o)(l.index||l.index===0)&&(l.index=l.index+i),n.push(l)}return n}getLinesContent(){return this._lines.slice(0)}getLineCount(){return this._lines.length}getLineContent(t){return this._lines[t-1]}getWordAtPosition(t,n){const s=pn(t.column,xr(n),this._lines[t.lineNumber-1],0);return s?new k(t.lineNumber,s.startColumn,t.lineNumber,s.endColumn):null}words(t){const n=this._lines,s=this._wordenize.bind(this);let r=0,i="",o=0,l=[];return{*[Symbol.iterator](){for(;;)if(o<l.length){const u=i.substring(l[o].start,l[o].end);o+=1,yield u}else if(r<n.length)i=n[r],l=s(i,t),o=0,r+=1;else break}}}getLineWords(t,n){const s=this._lines[t-1],r=this._wordenize(s,n),i=[];for(const o of r)i.push({word:s.substring(o.start,o.end),startColumn:o.start+1,endColumn:o.end+1});return i}_wordenize(t,n){const s=[];let r;for(n.lastIndex=0;(r=n.exec(t))&&r[0].length!==0;)s.push({start:r.index,end:r.index+r[0].length});return s}getValueInRange(t){if(t=this._validateRange(t),t.startLineNumber===t.endLineNumber)return this._lines[t.startLineNumber-1].substring(t.startColumn-1,t.endColumn-1);const n=this._eol,s=t.startLineNumber-1,r=t.endLineNumber-1,i=[];i.push(this._lines[s].substring(t.startColumn-1));for(let o=s+1;o<r;o++)i.push(this._lines[o]);return i.push(this._lines[r].substring(0,t.endColumn-1)),i.join(n)}offsetAt(t){return t=this._validatePosition(t),this._ensureLineStarts(),this._lineStarts.getPrefixSum(t.lineNumber-2)+(t.column-1)}positionAt(t){t=Math.floor(t),t=Math.max(0,t),this._ensureLineStarts();const n=this._lineStarts.getIndexOf(t),s=this._lines[n.index].length;return{lineNumber:1+n.index,column:1+Math.min(n.remainder,s)}}_validateRange(t){const n=this._validatePosition({lineNumber:t.startLineNumber,column:t.startColumn}),s=this._validatePosition({lineNumber:t.endLineNumber,column:t.endColumn});return n.lineNumber!==t.startLineNumber||n.column!==t.startColumn||s.lineNumber!==t.endLineNumber||s.column!==t.endColumn?{startLineNumber:n.lineNumber,startColumn:n.column,endLineNumber:s.lineNumber,endColumn:s.column}:t}_validatePosition(t){if(!H.isIPosition(t))throw new Error("bad position");let{lineNumber:n,column:s}=t,r=!1;if(n<1)n=1,s=1,r=!0;else if(n>this._lines.length)n=this._lines.length,s=this._lines[n-1].length+1,r=!0;else{const i=this._lines[n-1].length+1;s<1?(s=1,r=!0):s>i&&(s=i,r=!0)}return r?{lineNumber:n,column:s}:t}}const qt=class qt{constructor(){this._workerTextModelSyncServer=new k1}dispose(){}_getModel(t){return this._workerTextModelSyncServer.getModel(t)}_getModels(){return this._workerTextModelSyncServer.getModels()}$acceptNewModel(t){this._workerTextModelSyncServer.$acceptNewModel(t)}$acceptModelChanged(t,n){this._workerTextModelSyncServer.$acceptModelChanged(t,n)}$acceptRemovedModel(t){this._workerTextModelSyncServer.$acceptRemovedModel(t)}async $computeUnicodeHighlights(t,n,s){const r=this._getModel(t);return r?Ba.computeUnicodeHighlights(r,n,s):{ranges:[],hasMore:!1,ambiguousCharacterCount:0,invisibleCharacterCount:0,nonBasicAsciiCharacterCount:0}}async $findSectionHeaders(t,n){const s=this._getModel(t);return s?S1(s,n):[]}async $computeDiff(t,n,s,r){const i=this._getModel(t),o=this._getModel(n);return!i||!o?null:Pt.computeDiff(i,o,s,r)}static computeDiff(t,n,s,r){const i=r==="advanced"?Br.getDefault():Br.getLegacy(),o=t.getLinesContent(),l=n.getLinesContent(),u=i.computeDiff(o,l,s),c=u.changes.length>0?!1:this._modelsAreIdentical(t,n);function f(h){return h.map(d=>{var m;return[d.original.startLineNumber,d.original.endLineNumberExclusive,d.modified.startLineNumber,d.modified.endLineNumberExclusive,(m=d.innerChanges)==null?void 0:m.map(g=>[g.originalRange.startLineNumber,g.originalRange.startColumn,g.originalRange.endLineNumber,g.originalRange.endColumn,g.modifiedRange.startLineNumber,g.modifiedRange.startColumn,g.modifiedRange.endLineNumber,g.modifiedRange.endColumn])]})}return{identical:c,quitEarly:u.hitTimeout,changes:f(u.changes),moves:u.moves.map(h=>[h.lineRangeMapping.original.startLineNumber,h.lineRangeMapping.original.endLineNumberExclusive,h.lineRangeMapping.modified.startLineNumber,h.lineRangeMapping.modified.endLineNumberExclusive,f(h.changes)])}}static _modelsAreIdentical(t,n){const s=t.getLineCount(),r=n.getLineCount();if(s!==r)return!1;for(let i=1;i<=s;i++){const o=t.getLineContent(i),l=n.getLineContent(i);if(o!==l)return!1}return!0}async $computeMoreMinimalEdits(t,n,s){const r=this._getModel(t);if(!r)return n;const i=[];let o;n=n.slice(0).sort((u,c)=>{if(u.range&&c.range)return k.compareRangesUsingStarts(u.range,c.range);const f=u.range?0:1,h=c.range?0:1;return f-h});let l=0;for(let u=1;u<n.length;u++)k.getEndPosition(n[l].range).equals(k.getStartPosition(n[u].range))?(n[l].range=k.fromPositions(k.getStartPosition(n[l].range),k.getEndPosition(n[u].range)),n[l].text+=n[u].text):(l++,n[l]=n[u]);n.length=l+1;for(let{range:u,text:c,eol:f}of n){if(typeof f=="number"&&(o=f),k.isEmpty(u)&&!c)continue;const h=r.getValueInRange(u);if(c=c.replace(/\r\n|\n|\r/g,r.eol),h===c)continue;if(Math.max(c.length,h.length)>Pt._diffLimit){i.push({range:u,text:c});continue}const d=oa(h,c,s),m=r.offsetAt(k.lift(u).getStartPosition());for(const g of d){const b=r.positionAt(m+g.originalStart),p=r.positionAt(m+g.originalStart+g.originalLength),v={text:c.substr(g.modifiedStart,g.modifiedLength),range:{startLineNumber:b.lineNumber,startColumn:b.column,endLineNumber:p.lineNumber,endColumn:p.column}};r.getValueInRange(v.range)!==v.text&&i.push(v)}}return typeof o=="number"&&i.push({eol:o,text:"",range:{startLineNumber:0,startColumn:0,endLineNumber:0,endColumn:0}}),i}async $computeLinks(t){const n=this._getModel(t);return n?fa(n):null}async $computeDefaultDocumentColors(t){const n=this._getModel(t);return n?v1(n):null}async $textualSuggest(t,n,s,r){const i=new mt,o=new RegExp(s,r),l=new Set;e:for(const u of t){const c=this._getModel(u);if(c){for(const f of c.words(o))if(!(f===n||!isNaN(Number(f)))&&(l.add(f),l.size>Pt._suggestionsLimit))break e}}return{words:Array.from(l),duration:i.elapsed()}}async $computeWordRanges(t,n,s,r){const i=this._getModel(t);if(!i)return Object.create(null);const o=new RegExp(s,r),l=Object.create(null);for(let u=n.startLineNumber;u<n.endLineNumber;u++){const c=i.getLineWords(u,o);for(const f of c){if(!isNaN(Number(f.word)))continue;let h=l[f.word];h||(h=[],l[f.word]=h),h.push({startLineNumber:u,startColumn:f.startColumn,endLineNumber:u,endColumn:f.endColumn})}}return l}async $navigateValueSet(t,n,s,r,i){const o=this._getModel(t);if(!o)return null;const l=new RegExp(r,i);n.startColumn===n.endColumn&&(n={startLineNumber:n.startLineNumber,startColumn:n.startColumn,endLineNumber:n.endLineNumber,endColumn:n.endColumn+1});const u=o.getValueInRange(n),c=o.getWordAtPosition({lineNumber:n.startLineNumber,column:n.startColumn},l);if(!c)return null;const f=o.getValueInRange(c);return un.INSTANCE.navigateValueSet(n,u,c,f,s)}};qt._diffLimit=1e5,qt._suggestionsLimit=1e4;let yn=qt;class Pt extends yn{constructor(t,n){super(),this._host=t,this._foreignModuleFactory=n,this._foreignModule=null}async $ping(){return"pong"}$loadForeignModule(t,n,s){const o={host:Ea(s,(l,u)=>this._host.$fhr(l,u)),getMirrorModels:()=>this._getModels()};return this._foreignModuleFactory?(this._foreignModule=this._foreignModuleFactory(o,n),Promise.resolve(hr(this._foreignModule))):new Promise((l,u)=>{const c=f=>{this._foreignModule=f.create(o,n),l(hr(this._foreignModule))};import(`${Xn.asBrowserUri(`${t}.js`).toString(!0)}`).then(c).catch(u)})}$fmr(t,n){if(!this._foreignModule||typeof this._foreignModule[t]!="function")return Promise.reject(new Error("Missing requestHandler or method: "+t));try{return Promise.resolve(this._foreignModule[t].apply(this._foreignModule,n))}catch(s){return Promise.reject(s)}}}typeof importScripts=="function"&&(globalThis.monaco=Na());let En=!1;function F1(e){if(En)return;En=!0;const t=new ra(n=>{globalThis.postMessage(n)},n=>new Pt(_n.getChannel(n),e));globalThis.onmessage=n=>{t.onmessage(n.data)}}globalThis.onmessage=e=>{En||F1(null)}})();
diff --git a/docs/assets/entry-VRLb5f9Z.css b/docs/assets/entry-VRLb5f9Z.css
new file mode 100644
index 0000000..e37ee8a
--- /dev/null
+++ b/docs/assets/entry-VRLb5f9Z.css
@@ -0,0 +1 @@
+.page-link[data-v-b110b0be]{height:10rem;min-width:10rem;display:flex;flex-direction:column;align-items:center;justify-content:center;gap:.5rem;border-radius:.25rem;background-color:#9ca3af1a;padding:1rem}.page-link[data-v-b110b0be]:hover{background-color:#9ca3af33}.page-link>svg[data-v-b110b0be]{font-size:3em;opacity:.5}
diff --git a/docs/assets/html.worker-cftAoxKd.js b/docs/assets/html.worker-cftAoxKd.js
new file mode 100644
index 0000000..1f5b6b5
--- /dev/null
+++ b/docs/assets/html.worker-cftAoxKd.js
@@ -0,0 +1,461 @@
+var fh=Object.defineProperty;var ph=(Ze,Ie,Ce)=>Ie in Ze?fh(Ze,Ie,{enumerable:!0,configurable:!0,writable:!0,value:Ce}):Ze[Ie]=Ce;var Qe=(Ze,Ie,Ce)=>ph(Ze,typeof Ie!="symbol"?Ie+"":Ie,Ce);(function(){"use strict";var Be,po,go,bo,_o,he,X;class Ze{constructor(){this.listeners=[],this.unexpectedErrorHandler=function(t){setTimeout(()=>{throw t.stack?nt.isErrorNoTelemetry(t)?new nt(t.message+`
+
+`+t.stack):new Error(t.message+`
+
+`+t.stack):t},0)}}emit(t){this.listeners.forEach(n=>{n(t)})}onUnexpectedError(t){this.unexpectedErrorHandler(t),this.emit(t)}onUnexpectedExternalError(t){this.unexpectedErrorHandler(t)}}const Ie=new Ze;function Ce(e){wo(e)||Ie.onUnexpectedError(e)}function Fi(e){if(e instanceof Error){const{name:t,message:n}=e,i=e.stacktrace||e.stack;return{$isError:!0,name:t,message:n,stack:i,noTelemetry:nt.isErrorNoTelemetry(e)}}return e}const Cn="Canceled";function wo(e){return e instanceof vo?!0:e instanceof Error&&e.name===Cn&&e.message===Cn}class vo extends Error{constructor(){super(Cn),this.name=this.message}}class nt extends Error{constructor(t){super(t),this.name="CodeExpectedError"}static fromError(t){if(t instanceof nt)return t;const n=new nt;return n.message=t.message,n.stack=t.stack,n}static isErrorNoTelemetry(t){return t.name==="CodeExpectedError"}}class ge extends Error{constructor(t){super(t||"An unexpected bug occurred."),Object.setPrototypeOf(this,ge.prototype)}}function yo(e,t){const n=this;let i=!1,r;return function(){return i||(i=!0,r=e.apply(n,arguments)),r}}var Ft;(function(e){function t(M){return M&&typeof M=="object"&&typeof M[Symbol.iterator]=="function"}e.is=t;const n=Object.freeze([]);function i(){return n}e.empty=i;function*r(M){yield M}e.single=r;function s(M){return t(M)?M:r(M)}e.wrap=s;function a(M){return M||n}e.from=a;function*l(M){for(let D=M.length-1;D>=0;D--)yield M[D]}e.reverse=l;function o(M){return!M||M[Symbol.iterator]().next().done===!0}e.isEmpty=o;function u(M){return M[Symbol.iterator]().next().value}e.first=u;function c(M,D){let N=0;for(const b of M)if(D(b,N++))return!0;return!1}e.some=c;function d(M,D){for(const N of M)if(D(N))return N}e.find=d;function*m(M,D){for(const N of M)D(N)&&(yield N)}e.filter=m;function*f(M,D){let N=0;for(const b of M)yield D(b,N++)}e.map=f;function*_(M,D){let N=0;for(const b of M)yield*D(b,N++)}e.flatMap=_;function*g(...M){for(const D of M)yield*D}e.concat=g;function k(M,D,N){let b=N;for(const p of M)b=D(b,p);return b}e.reduce=k;function*y(M,D,N=M.length){for(D<0&&(D+=M.length),N<0?N+=M.length:N>M.length&&(N=M.length);D<N;D++)yield M[D]}e.slice=y;function v(M,D=Number.POSITIVE_INFINITY){const N=[];if(D===0)return[N,M];const b=M[Symbol.iterator]();for(let p=0;p<D;p++){const x=b.next();if(x.done)return[N,e.empty()];N.push(x.value)}return[N,{[Symbol.iterator](){return b}}]}e.consume=v;async function E(M){const D=[];for await(const N of M)D.push(N);return Promise.resolve(D)}e.asyncToArray=E})(Ft||(Ft={}));function gh(e){return e}function bh(e,t){}function Pi(e){if(Ft.is(e)){const t=[];for(const n of e)if(n)try{n.dispose()}catch(i){t.push(i)}if(t.length===1)throw t[0];if(t.length>1)throw new AggregateError(t,"Encountered errors while disposing of store");return Array.isArray(e)?[]:e}else if(e)return e.dispose(),e}function xo(...e){return Pt(()=>Pi(e))}function Pt(e){return{dispose:yo(()=>{e()})}}const wn=class wn{constructor(){this._toDispose=new Set,this._isDisposed=!1}dispose(){this._isDisposed||(this._isDisposed=!0,this.clear())}get isDisposed(){return this._isDisposed}clear(){if(this._toDispose.size!==0)try{Pi(this._toDispose)}finally{this._toDispose.clear()}}add(t){if(!t)return t;if(t===this)throw new Error("Cannot register a disposable on itself!");return this._isDisposed?wn.DISABLE_DISPOSED_WARNING||console.warn(new Error("Trying to add a disposable to a DisposableStore that has already been disposed of. The added object will be leaked!").stack):this._toDispose.add(t),t}deleteAndLeak(t){t&&this._toDispose.has(t)&&this._toDispose.delete(t)}};wn.DISABLE_DISPOSED_WARNING=!1;let yt=wn;const Ui=class Ui{constructor(){this._store=new yt,this._store}dispose(){this._store.dispose()}_register(t){if(t===this)throw new Error("Cannot register a disposable on itself!");return this._store.add(t)}};Ui.None=Object.freeze({dispose(){}});let it=Ui,Z=(Be=class{constructor(t){this.element=t,this.next=Be.Undefined,this.prev=Be.Undefined}},Be.Undefined=new Be(void 0),Be);class To{constructor(){this._first=Z.Undefined,this._last=Z.Undefined,this._size=0}get size(){return this._size}isEmpty(){return this._first===Z.Undefined}clear(){let t=this._first;for(;t!==Z.Undefined;){const n=t.next;t.prev=Z.Undefined,t.next=Z.Undefined,t=n}this._first=Z.Undefined,this._last=Z.Undefined,this._size=0}unshift(t){return this._insert(t,!1)}push(t){return this._insert(t,!0)}_insert(t,n){const i=new Z(t);if(this._first===Z.Undefined)this._first=i,this._last=i;else if(n){const s=this._last;this._last=i,i.prev=s,s.next=i}else{const s=this._first;this._first=i,i.next=s,s.prev=i}this._size+=1;let r=!1;return()=>{r||(r=!0,this._remove(i))}}shift(){if(this._first!==Z.Undefined){const t=this._first.element;return this._remove(this._first),t}}pop(){if(this._last!==Z.Undefined){const t=this._last.element;return this._remove(this._last),t}}_remove(t){if(t.prev!==Z.Undefined&&t.next!==Z.Undefined){const n=t.prev;n.next=t.next,t.next.prev=n}else t.prev===Z.Undefined&&t.next===Z.Undefined?(this._first=Z.Undefined,this._last=Z.Undefined):t.next===Z.Undefined?(this._last=this._last.prev,this._last.next=Z.Undefined):t.prev===Z.Undefined&&(this._first=this._first.next,this._first.prev=Z.Undefined);this._size-=1}*[Symbol.iterator](){let t=this._first;for(;t!==Z.Undefined;)yield t.element,t=t.next}}const ko=globalThis.performance&&typeof globalThis.performance.now=="function";class Bt{static create(t){return new Bt(t)}constructor(t){this._now=ko&&t===!1?Date.now:globalThis.performance.now.bind(globalThis.performance),this._startTime=this._now(),this._stopTime=-1}stop(){this._stopTime=this._now()}reset(){this._startTime=this._now(),this._stopTime=-1}elapsed(){return this._stopTime!==-1?this._stopTime-this._startTime:this._now()-this._startTime}}var qt;(function(e){e.None=()=>it.None;function t(S,w){return m(S,()=>{},0,void 0,!0,void 0,w)}e.defer=t;function n(S){return(w,A=null,R)=>{let I=!1,F;return F=S(H=>{if(!I)return F?F.dispose():I=!0,w.call(A,H)},null,R),I&&F.dispose(),F}}e.once=n;function i(S,w){return e.once(e.filter(S,w))}e.onceIf=i;function r(S,w,A){return c((R,I=null,F)=>S(H=>R.call(I,w(H)),null,F),A)}e.map=r;function s(S,w,A){return c((R,I=null,F)=>S(H=>{w(H),R.call(I,H)},null,F),A)}e.forEach=s;function a(S,w,A){return c((R,I=null,F)=>S(H=>w(H)&&R.call(I,H),null,F),A)}e.filter=a;function l(S){return S}e.signal=l;function o(...S){return(w,A=null,R)=>{const I=xo(...S.map(F=>F(H=>w.call(A,H))));return d(I,R)}}e.any=o;function u(S,w,A,R){let I=A;return r(S,F=>(I=w(I,F),I),R)}e.reduce=u;function c(S,w){let A;const R={onWillAddFirstListener(){A=S(I.fire,I)},onDidRemoveLastListener(){A==null||A.dispose()}},I=new ve(R);return w==null||w.add(I),I.event}function d(S,w){return w instanceof Array?w.push(S):w&&w.add(S),S}function m(S,w,A=100,R=!1,I=!1,F,H){let W,q,J,oe=0,pe;const Hi={leakWarningThreshold:F,onWillAddFirstListener(){W=S(dh=>{oe++,q=w(q,dh),R&&!J&&(Sn.fire(q),q=void 0),pe=()=>{const mh=q;q=void 0,J=void 0,(!R||oe>1)&&Sn.fire(mh),oe=0},typeof A=="number"?(clearTimeout(J),J=setTimeout(pe,A)):J===void 0&&(J=0,queueMicrotask(pe))})},onWillRemoveListener(){I&&oe>0&&(pe==null||pe())},onDidRemoveLastListener(){pe=void 0,W.dispose()}},Sn=new ve(Hi);return H==null||H.add(Sn),Sn.event}e.debounce=m;function f(S,w=0,A){return e.debounce(S,(R,I)=>R?(R.push(I),R):[I],w,void 0,!0,void 0,A)}e.accumulate=f;function _(S,w=(R,I)=>R===I,A){let R=!0,I;return a(S,F=>{const H=R||!w(F,I);return R=!1,I=F,H},A)}e.latch=_;function g(S,w,A){return[e.filter(S,w,A),e.filter(S,R=>!w(R),A)]}e.split=g;function k(S,w=!1,A=[],R){let I=A.slice(),F=S(q=>{I?I.push(q):W.fire(q)});R&&R.add(F);const H=()=>{I==null||I.forEach(q=>W.fire(q)),I=null},W=new ve({onWillAddFirstListener(){F||(F=S(q=>W.fire(q)),R&&R.add(F))},onDidAddFirstListener(){I&&(w?setTimeout(H):H())},onDidRemoveLastListener(){F&&F.dispose(),F=null}});return R&&R.add(W),W.event}e.buffer=k;function y(S,w){return(R,I,F)=>{const H=w(new E);return S(function(W){const q=H.evaluate(W);q!==v&&R.call(I,q)},void 0,F)}}e.chain=y;const v=Symbol("HaltChainable");class E{constructor(){this.steps=[]}map(w){return this.steps.push(w),this}forEach(w){return this.steps.push(A=>(w(A),A)),this}filter(w){return this.steps.push(A=>w(A)?A:v),this}reduce(w,A){let R=A;return this.steps.push(I=>(R=w(R,I),R)),this}latch(w=(A,R)=>A===R){let A=!0,R;return this.steps.push(I=>{const F=A||!w(I,R);return A=!1,R=I,F?I:v}),this}evaluate(w){for(const A of this.steps)if(w=A(w),w===v)break;return w}}function M(S,w,A=R=>R){const R=(...W)=>H.fire(A(...W)),I=()=>S.on(w,R),F=()=>S.removeListener(w,R),H=new ve({onWillAddFirstListener:I,onDidRemoveLastListener:F});return H.event}e.fromNodeEventEmitter=M;function D(S,w,A=R=>R){const R=(...W)=>H.fire(A(...W)),I=()=>S.addEventListener(w,R),F=()=>S.removeEventListener(w,R),H=new ve({onWillAddFirstListener:I,onDidRemoveLastListener:F});return H.event}e.fromDOMEventEmitter=D;function N(S){return new Promise(w=>n(S)(w))}e.toPromise=N;function b(S){const w=new ve;return S.then(A=>{w.fire(A)},()=>{w.fire(void 0)}).finally(()=>{w.dispose()}),w.event}e.fromPromise=b;function p(S,w){return S(A=>w.fire(A))}e.forward=p;function x(S,w,A){return w(A),S(R=>w(R))}e.runAndSubscribe=x;class U{constructor(w,A){this._observable=w,this._counter=0,this._hasChanged=!1;const R={onWillAddFirstListener:()=>{w.addObserver(this),this._observable.reportChanges()},onDidRemoveLastListener:()=>{w.removeObserver(this)}};this.emitter=new ve(R),A&&A.add(this.emitter)}beginUpdate(w){this._counter++}handlePossibleChange(w){}handleChange(w,A){this._hasChanged=!0}endUpdate(w){this._counter--,this._counter===0&&(this._observable.reportChanges(),this._hasChanged&&(this._hasChanged=!1,this.emitter.fire(this._observable.get())))}}function L(S,w){return new U(S,w).emitter.event}e.fromObservable=L;function T(S){return(w,A,R)=>{let I=0,F=!1;const H={beginUpdate(){I++},endUpdate(){I--,I===0&&(S.reportChanges(),F&&(F=!1,w.call(A)))},handlePossibleChange(){},handleChange(){F=!0}};S.addObserver(H),S.reportChanges();const W={dispose(){S.removeObserver(H)}};return R instanceof yt?R.add(W):Array.isArray(R)&&R.push(W),W}}e.fromObservableLight=T})(qt||(qt={}));const bt=class bt{constructor(t){this.listenerCount=0,this.invocationCount=0,this.elapsedOverall=0,this.durations=[],this.name=`${t}_${bt._idPool++}`,bt.all.add(this)}start(t){this._stopWatch=new Bt,this.listenerCount=t}stop(){if(this._stopWatch){const t=this._stopWatch.elapsed();this.durations.push(t),this.elapsedOverall+=t,this.invocationCount+=1,this._stopWatch=void 0}}};bt.all=new Set,bt._idPool=0;let En=bt,Ao=-1;const vn=class vn{constructor(t,n,i=(vn._idPool++).toString(16).padStart(3,"0")){this._errorHandler=t,this.threshold=n,this.name=i,this._warnCountdown=0}dispose(){var t;(t=this._stacks)==null||t.clear()}check(t,n){const i=this.threshold;if(i<=0||n<i)return;this._stacks||(this._stacks=new Map);const r=this._stacks.get(t.value)||0;if(this._stacks.set(t.value,r+1),this._warnCountdown-=1,this._warnCountdown<=0){this._warnCountdown=i*.5;const[s,a]=this.getMostFrequentStack(),l=`[${this.name}] potential listener LEAK detected, having ${n} listeners already. MOST frequent listener (${a}):`;console.warn(l),console.warn(s);const o=new So(l,s);this._errorHandler(o)}return()=>{const s=this._stacks.get(t.value)||0;this._stacks.set(t.value,s-1)}}getMostFrequentStack(){if(!this._stacks)return;let t,n=0;for(const[i,r]of this._stacks)(!t||n<r)&&(t=[i,r],n=r);return t}};vn._idPool=1;let Rn=vn;class Mn{static create(){const t=new Error;return new Mn(t.stack??"")}constructor(t){this.value=t}print(){console.warn(this.value.split(`
+`).slice(2).join(`
+`))}}class So extends Error{constructor(t,n){super(t),this.name="ListenerLeakError",this.stack=n}}class Lo extends Error{constructor(t,n){super(t),this.name="ListenerRefusalError",this.stack=n}}class Nn{constructor(t){this.value=t}}const Co=2;class ve{constructor(t){var n,i,r,s;this._size=0,this._options=t,this._leakageMon=(n=this._options)!=null&&n.leakWarningThreshold?new Rn((t==null?void 0:t.onListenerError)??Ce,((i=this._options)==null?void 0:i.leakWarningThreshold)??Ao):void 0,this._perfMon=(r=this._options)!=null&&r._profName?new En(this._options._profName):void 0,this._deliveryQueue=(s=this._options)==null?void 0:s.deliveryQueue}dispose(){var t,n,i,r;this._disposed||(this._disposed=!0,((t=this._deliveryQueue)==null?void 0:t.current)===this&&this._deliveryQueue.reset(),this._listeners&&(this._listeners=void 0,this._size=0),(i=(n=this._options)==null?void 0:n.onDidRemoveLastListener)==null||i.call(n),(r=this._leakageMon)==null||r.dispose())}get event(){return this._event??(this._event=(t,n,i)=>{var l,o,u,c,d;if(this._leakageMon&&this._size>this._leakageMon.threshold**2){const m=`[${this._leakageMon.name}] REFUSES to accept new listeners because it exceeded its threshold by far (${this._size} vs ${this._leakageMon.threshold})`;console.warn(m);const f=this._leakageMon.getMostFrequentStack()??["UNKNOWN stack",-1],_=new Lo(`${m}. HINT: Stack shows most frequent listener (${f[1]}-times)`,f[0]);return(((l=this._options)==null?void 0:l.onListenerError)||Ce)(_),it.None}if(this._disposed)return it.None;n&&(t=t.bind(n));const r=new Nn(t);let s;this._leakageMon&&this._size>=Math.ceil(this._leakageMon.threshold*.2)&&(r.stack=Mn.create(),s=this._leakageMon.check(r.stack,this._size+1)),this._listeners?this._listeners instanceof Nn?(this._deliveryQueue??(this._deliveryQueue=new Eo),this._listeners=[this._listeners,r]):this._listeners.push(r):((u=(o=this._options)==null?void 0:o.onWillAddFirstListener)==null||u.call(o,this),this._listeners=r,(d=(c=this._options)==null?void 0:c.onDidAddFirstListener)==null||d.call(c,this)),this._size++;const a=Pt(()=>{s==null||s(),this._removeListener(r)});return i instanceof yt?i.add(a):Array.isArray(i)&&i.push(a),a}),this._event}_removeListener(t){var s,a,l,o;if((a=(s=this._options)==null?void 0:s.onWillRemoveListener)==null||a.call(s,this),!this._listeners)return;if(this._size===1){this._listeners=void 0,(o=(l=this._options)==null?void 0:l.onDidRemoveLastListener)==null||o.call(l,this),this._size=0;return}const n=this._listeners,i=n.indexOf(t);if(i===-1)throw console.log("disposed?",this._disposed),console.log("size?",this._size),console.log("arr?",JSON.stringify(this._listeners)),new Error("Attempted to dispose unknown listener");this._size--,n[i]=void 0;const r=this._deliveryQueue.current===this;if(this._size*Co<=n.length){let u=0;for(let c=0;c<n.length;c++)n[c]?n[u++]=n[c]:r&&(this._deliveryQueue.end--,u<this._deliveryQueue.i&&this._deliveryQueue.i--);n.length=u}}_deliver(t,n){var r;if(!t)return;const i=((r=this._options)==null?void 0:r.onListenerError)||Ce;if(!i){t.value(n);return}try{t.value(n)}catch(s){i(s)}}_deliverQueue(t){const n=t.current._listeners;for(;t.i<t.end;)this._deliver(n[t.i++],t.value);t.reset()}fire(t){var n,i,r,s;if((n=this._deliveryQueue)!=null&&n.current&&(this._deliverQueue(this._deliveryQueue),(i=this._perfMon)==null||i.stop()),(r=this._perfMon)==null||r.start(this._size),this._listeners)if(this._listeners instanceof Nn)this._deliver(this._listeners,t);else{const a=this._deliveryQueue;a.enqueue(this,t,this._listeners.length),this._deliverQueue(a)}(s=this._perfMon)==null||s.stop()}hasListeners(){return this._size>0}}class Eo{constructor(){this.i=-1,this.end=0}enqueue(t,n,i){this.i=0,this.end=i,this.current=t,this.value=n}reset(){this.i=this.end,this.current=void 0,this.value=void 0}}function Ro(){return globalThis._VSCODE_NLS_MESSAGES}function Bi(){return globalThis._VSCODE_NLS_LANGUAGE}const Mo=Bi()==="pseudo"||typeof document<"u"&&document.location&&document.location.hash.indexOf("pseudo=true")>=0;function qi(e,t){let n;return t.length===0?n=e:n=e.replace(/\{(\d+)\}/g,(i,r)=>{const s=r[0],a=t[s];let l=i;return typeof a=="string"?l=a:(typeof a=="number"||typeof a=="boolean"||a===void 0||a===null)&&(l=String(a)),l}),Mo&&(n="["+n.replace(/[aouei]/g,"$&$&")+"]"),n}function Q(e,t,...n){return qi(typeof e=="number"?No(e,t):t,n)}function No(e,t){var i;const n=(i=Ro())==null?void 0:i[e];if(typeof n!="string"){if(typeof t=="string")return t;throw new Error(`!!! NLS MISSING: ${e} !!!`)}return n}const rt="en";let Dn=!1,In=!1,zn=!1,Oi=!1,Un=!1,Ot,Hn=rt,Vi=rt,Do,Te;const ze=globalThis;let le;typeof ze.vscode<"u"&&typeof ze.vscode.process<"u"?le=ze.vscode.process:typeof process<"u"&&typeof((po=process==null?void 0:process.versions)==null?void 0:po.node)=="string"&&(le=process);const Io=typeof((go=le==null?void 0:le.versions)==null?void 0:go.electron)=="string"&&(le==null?void 0:le.type)==="renderer";if(typeof le=="object"){Dn=le.platform==="win32",In=le.platform==="darwin",zn=le.platform==="linux",zn&&le.env.SNAP&&le.env.SNAP_REVISION,le.env.CI||le.env.BUILD_ARTIFACTSTAGINGDIRECTORY,Ot=rt,Hn=rt;const e=le.env.VSCODE_NLS_CONFIG;if(e)try{const t=JSON.parse(e);Ot=t.userLocale,Vi=t.osLocale,Hn=t.resolvedLanguage||rt,Do=(bo=t.languagePack)==null?void 0:bo.translationsConfigFile}catch{}Oi=!0}else typeof navigator=="object"&&!Io?(Te=navigator.userAgent,Dn=Te.indexOf("Windows")>=0,In=Te.indexOf("Macintosh")>=0,(Te.indexOf("Macintosh")>=0||Te.indexOf("iPad")>=0||Te.indexOf("iPhone")>=0)&&navigator.maxTouchPoints&&navigator.maxTouchPoints>0,zn=Te.indexOf("Linux")>=0,(Te==null?void 0:Te.indexOf("Mobi"))>=0,Un=!0,Hn=Bi()||rt,Ot=navigator.language.toLowerCase(),Vi=Ot):console.error("Unable to resolve platform.");const xt=Dn,zo=In,Uo=Oi,Ho=Un,Wo=Un&&typeof ze.importScripts=="function"?ze.origin:void 0,Ee=Te,Fo=typeof ze.postMessage=="function"&&!ze.importScripts;(()=>{if(Fo){const e=[];ze.addEventListener("message",n=>{if(n.data&&n.data.vscodeScheduleAsyncWork)for(let i=0,r=e.length;i<r;i++){const s=e[i];if(s.id===n.data.vscodeScheduleAsyncWork){e.splice(i,1),s.callback();return}}});let t=0;return n=>{const i=++t;e.push({id:i,callback:n}),ze.postMessage({vscodeScheduleAsyncWork:i},"*")}}return e=>setTimeout(e)})();const Po=!!(Ee&&Ee.indexOf("Chrome")>=0);Ee&&Ee.indexOf("Firefox")>=0,!Po&&Ee&&Ee.indexOf("Safari")>=0,Ee&&Ee.indexOf("Edg/")>=0,Ee&&Ee.indexOf("Android")>=0;function Bo(e){return e}class qo{constructor(t,n){this.lastCache=void 0,this.lastArgKey=void 0,typeof t=="function"?(this._fn=t,this._computeKey=Bo):(this._fn=n,this._computeKey=t.getCacheKey)}get(t){const n=this._computeKey(t);return this.lastArgKey!==n&&(this.lastArgKey=n,this.lastCache=this._fn(t)),this.lastCache}}class ji{constructor(t){this.executor=t,this._didRun=!1}get value(){if(!this._didRun)try{this._value=this.executor()}catch(t){this._error=t}finally{this._didRun=!0}if(this._error)throw this._error;return this._value}get rawValue(){return this._value}}function Oo(e){return e.replace(/[\\\{\}\*\+\?\|\^\$\.\[\]\(\)]/g,"\\$&")}function Vo(e){return e.split(/\r\n|\r|\n/)}function jo(e){for(let t=0,n=e.length;t<n;t++){const i=e.charCodeAt(t);if(i!==32&&i!==9)return t}return-1}function $o(e,t=e.length-1){for(let n=t;n>=0;n--){const i=e.charCodeAt(n);if(i!==32&&i!==9)return n}return-1}function $i(e){return e>=65&&e<=90}function Vt(e){return 55296<=e&&e<=56319}function Wn(e){return 56320<=e&&e<=57343}function Gi(e,t){return(e-55296<<10)+(t-56320)+65536}function Go(e,t,n){const i=e.charCodeAt(n);if(Vt(i)&&n+1<t){const r=e.charCodeAt(n+1);if(Wn(r))return Gi(i,r)}return i}const Xo=/^[\t\n\r\x20-\x7E]*$/;function Jo(e){return Xo.test(e)}const De=class De{static getInstance(t){return De.cache.get(Array.from(t))}static getLocales(){return De._locales.value}constructor(t){this.confusableDictionary=t}isAmbiguous(t){return this.confusableDictionary.has(t)}getPrimaryConfusable(t){return this.confusableDictionary.get(t)}getConfusableCodePoints(){return new Set(this.confusableDictionary.keys())}};De.ambiguousCharacterData=new ji(()=>JSON.parse('{"_common":[8232,32,8233,32,5760,32,8192,32,8193,32,8194,32,8195,32,8196,32,8197,32,8198,32,8200,32,8201,32,8202,32,8287,32,8199,32,8239,32,2042,95,65101,95,65102,95,65103,95,8208,45,8209,45,8210,45,65112,45,1748,45,8259,45,727,45,8722,45,10134,45,11450,45,1549,44,1643,44,8218,44,184,44,42233,44,894,59,2307,58,2691,58,1417,58,1795,58,1796,58,5868,58,65072,58,6147,58,6153,58,8282,58,1475,58,760,58,42889,58,8758,58,720,58,42237,58,451,33,11601,33,660,63,577,63,2429,63,5038,63,42731,63,119149,46,8228,46,1793,46,1794,46,42510,46,68176,46,1632,46,1776,46,42232,46,1373,96,65287,96,8219,96,8242,96,1370,96,1523,96,8175,96,65344,96,900,96,8189,96,8125,96,8127,96,8190,96,697,96,884,96,712,96,714,96,715,96,756,96,699,96,701,96,700,96,702,96,42892,96,1497,96,2036,96,2037,96,5194,96,5836,96,94033,96,94034,96,65339,91,10088,40,10098,40,12308,40,64830,40,65341,93,10089,41,10099,41,12309,41,64831,41,10100,123,119060,123,10101,125,65342,94,8270,42,1645,42,8727,42,66335,42,5941,47,8257,47,8725,47,8260,47,9585,47,10187,47,10744,47,119354,47,12755,47,12339,47,11462,47,20031,47,12035,47,65340,92,65128,92,8726,92,10189,92,10741,92,10745,92,119311,92,119355,92,12756,92,20022,92,12034,92,42872,38,708,94,710,94,5869,43,10133,43,66203,43,8249,60,10094,60,706,60,119350,60,5176,60,5810,60,5120,61,11840,61,12448,61,42239,61,8250,62,10095,62,707,62,119351,62,5171,62,94015,62,8275,126,732,126,8128,126,8764,126,65372,124,65293,45,120784,50,120794,50,120804,50,120814,50,120824,50,130034,50,42842,50,423,50,1000,50,42564,50,5311,50,42735,50,119302,51,120785,51,120795,51,120805,51,120815,51,120825,51,130035,51,42923,51,540,51,439,51,42858,51,11468,51,1248,51,94011,51,71882,51,120786,52,120796,52,120806,52,120816,52,120826,52,130036,52,5070,52,71855,52,120787,53,120797,53,120807,53,120817,53,120827,53,130037,53,444,53,71867,53,120788,54,120798,54,120808,54,120818,54,120828,54,130038,54,11474,54,5102,54,71893,54,119314,55,120789,55,120799,55,120809,55,120819,55,120829,55,130039,55,66770,55,71878,55,2819,56,2538,56,2666,56,125131,56,120790,56,120800,56,120810,56,120820,56,120830,56,130040,56,547,56,546,56,66330,56,2663,57,2920,57,2541,57,3437,57,120791,57,120801,57,120811,57,120821,57,120831,57,130041,57,42862,57,11466,57,71884,57,71852,57,71894,57,9082,97,65345,97,119834,97,119886,97,119938,97,119990,97,120042,97,120094,97,120146,97,120198,97,120250,97,120302,97,120354,97,120406,97,120458,97,593,97,945,97,120514,97,120572,97,120630,97,120688,97,120746,97,65313,65,119808,65,119860,65,119912,65,119964,65,120016,65,120068,65,120120,65,120172,65,120224,65,120276,65,120328,65,120380,65,120432,65,913,65,120488,65,120546,65,120604,65,120662,65,120720,65,5034,65,5573,65,42222,65,94016,65,66208,65,119835,98,119887,98,119939,98,119991,98,120043,98,120095,98,120147,98,120199,98,120251,98,120303,98,120355,98,120407,98,120459,98,388,98,5071,98,5234,98,5551,98,65314,66,8492,66,119809,66,119861,66,119913,66,120017,66,120069,66,120121,66,120173,66,120225,66,120277,66,120329,66,120381,66,120433,66,42932,66,914,66,120489,66,120547,66,120605,66,120663,66,120721,66,5108,66,5623,66,42192,66,66178,66,66209,66,66305,66,65347,99,8573,99,119836,99,119888,99,119940,99,119992,99,120044,99,120096,99,120148,99,120200,99,120252,99,120304,99,120356,99,120408,99,120460,99,7428,99,1010,99,11429,99,43951,99,66621,99,128844,67,71922,67,71913,67,65315,67,8557,67,8450,67,8493,67,119810,67,119862,67,119914,67,119966,67,120018,67,120174,67,120226,67,120278,67,120330,67,120382,67,120434,67,1017,67,11428,67,5087,67,42202,67,66210,67,66306,67,66581,67,66844,67,8574,100,8518,100,119837,100,119889,100,119941,100,119993,100,120045,100,120097,100,120149,100,120201,100,120253,100,120305,100,120357,100,120409,100,120461,100,1281,100,5095,100,5231,100,42194,100,8558,68,8517,68,119811,68,119863,68,119915,68,119967,68,120019,68,120071,68,120123,68,120175,68,120227,68,120279,68,120331,68,120383,68,120435,68,5024,68,5598,68,5610,68,42195,68,8494,101,65349,101,8495,101,8519,101,119838,101,119890,101,119942,101,120046,101,120098,101,120150,101,120202,101,120254,101,120306,101,120358,101,120410,101,120462,101,43826,101,1213,101,8959,69,65317,69,8496,69,119812,69,119864,69,119916,69,120020,69,120072,69,120124,69,120176,69,120228,69,120280,69,120332,69,120384,69,120436,69,917,69,120492,69,120550,69,120608,69,120666,69,120724,69,11577,69,5036,69,42224,69,71846,69,71854,69,66182,69,119839,102,119891,102,119943,102,119995,102,120047,102,120099,102,120151,102,120203,102,120255,102,120307,102,120359,102,120411,102,120463,102,43829,102,42905,102,383,102,7837,102,1412,102,119315,70,8497,70,119813,70,119865,70,119917,70,120021,70,120073,70,120125,70,120177,70,120229,70,120281,70,120333,70,120385,70,120437,70,42904,70,988,70,120778,70,5556,70,42205,70,71874,70,71842,70,66183,70,66213,70,66853,70,65351,103,8458,103,119840,103,119892,103,119944,103,120048,103,120100,103,120152,103,120204,103,120256,103,120308,103,120360,103,120412,103,120464,103,609,103,7555,103,397,103,1409,103,119814,71,119866,71,119918,71,119970,71,120022,71,120074,71,120126,71,120178,71,120230,71,120282,71,120334,71,120386,71,120438,71,1292,71,5056,71,5107,71,42198,71,65352,104,8462,104,119841,104,119945,104,119997,104,120049,104,120101,104,120153,104,120205,104,120257,104,120309,104,120361,104,120413,104,120465,104,1211,104,1392,104,5058,104,65320,72,8459,72,8460,72,8461,72,119815,72,119867,72,119919,72,120023,72,120179,72,120231,72,120283,72,120335,72,120387,72,120439,72,919,72,120494,72,120552,72,120610,72,120668,72,120726,72,11406,72,5051,72,5500,72,42215,72,66255,72,731,105,9075,105,65353,105,8560,105,8505,105,8520,105,119842,105,119894,105,119946,105,119998,105,120050,105,120102,105,120154,105,120206,105,120258,105,120310,105,120362,105,120414,105,120466,105,120484,105,618,105,617,105,953,105,8126,105,890,105,120522,105,120580,105,120638,105,120696,105,120754,105,1110,105,42567,105,1231,105,43893,105,5029,105,71875,105,65354,106,8521,106,119843,106,119895,106,119947,106,119999,106,120051,106,120103,106,120155,106,120207,106,120259,106,120311,106,120363,106,120415,106,120467,106,1011,106,1112,106,65322,74,119817,74,119869,74,119921,74,119973,74,120025,74,120077,74,120129,74,120181,74,120233,74,120285,74,120337,74,120389,74,120441,74,42930,74,895,74,1032,74,5035,74,5261,74,42201,74,119844,107,119896,107,119948,107,120000,107,120052,107,120104,107,120156,107,120208,107,120260,107,120312,107,120364,107,120416,107,120468,107,8490,75,65323,75,119818,75,119870,75,119922,75,119974,75,120026,75,120078,75,120130,75,120182,75,120234,75,120286,75,120338,75,120390,75,120442,75,922,75,120497,75,120555,75,120613,75,120671,75,120729,75,11412,75,5094,75,5845,75,42199,75,66840,75,1472,108,8739,73,9213,73,65512,73,1633,108,1777,73,66336,108,125127,108,120783,73,120793,73,120803,73,120813,73,120823,73,130033,73,65321,73,8544,73,8464,73,8465,73,119816,73,119868,73,119920,73,120024,73,120128,73,120180,73,120232,73,120284,73,120336,73,120388,73,120440,73,65356,108,8572,73,8467,108,119845,108,119897,108,119949,108,120001,108,120053,108,120105,73,120157,73,120209,73,120261,73,120313,73,120365,73,120417,73,120469,73,448,73,120496,73,120554,73,120612,73,120670,73,120728,73,11410,73,1030,73,1216,73,1493,108,1503,108,1575,108,126464,108,126592,108,65166,108,65165,108,1994,108,11599,73,5825,73,42226,73,93992,73,66186,124,66313,124,119338,76,8556,76,8466,76,119819,76,119871,76,119923,76,120027,76,120079,76,120131,76,120183,76,120235,76,120287,76,120339,76,120391,76,120443,76,11472,76,5086,76,5290,76,42209,76,93974,76,71843,76,71858,76,66587,76,66854,76,65325,77,8559,77,8499,77,119820,77,119872,77,119924,77,120028,77,120080,77,120132,77,120184,77,120236,77,120288,77,120340,77,120392,77,120444,77,924,77,120499,77,120557,77,120615,77,120673,77,120731,77,1018,77,11416,77,5047,77,5616,77,5846,77,42207,77,66224,77,66321,77,119847,110,119899,110,119951,110,120003,110,120055,110,120107,110,120159,110,120211,110,120263,110,120315,110,120367,110,120419,110,120471,110,1400,110,1404,110,65326,78,8469,78,119821,78,119873,78,119925,78,119977,78,120029,78,120081,78,120185,78,120237,78,120289,78,120341,78,120393,78,120445,78,925,78,120500,78,120558,78,120616,78,120674,78,120732,78,11418,78,42208,78,66835,78,3074,111,3202,111,3330,111,3458,111,2406,111,2662,111,2790,111,3046,111,3174,111,3302,111,3430,111,3664,111,3792,111,4160,111,1637,111,1781,111,65359,111,8500,111,119848,111,119900,111,119952,111,120056,111,120108,111,120160,111,120212,111,120264,111,120316,111,120368,111,120420,111,120472,111,7439,111,7441,111,43837,111,959,111,120528,111,120586,111,120644,111,120702,111,120760,111,963,111,120532,111,120590,111,120648,111,120706,111,120764,111,11423,111,4351,111,1413,111,1505,111,1607,111,126500,111,126564,111,126596,111,65259,111,65260,111,65258,111,65257,111,1726,111,64428,111,64429,111,64427,111,64426,111,1729,111,64424,111,64425,111,64423,111,64422,111,1749,111,3360,111,4125,111,66794,111,71880,111,71895,111,66604,111,1984,79,2534,79,2918,79,12295,79,70864,79,71904,79,120782,79,120792,79,120802,79,120812,79,120822,79,130032,79,65327,79,119822,79,119874,79,119926,79,119978,79,120030,79,120082,79,120134,79,120186,79,120238,79,120290,79,120342,79,120394,79,120446,79,927,79,120502,79,120560,79,120618,79,120676,79,120734,79,11422,79,1365,79,11604,79,4816,79,2848,79,66754,79,42227,79,71861,79,66194,79,66219,79,66564,79,66838,79,9076,112,65360,112,119849,112,119901,112,119953,112,120005,112,120057,112,120109,112,120161,112,120213,112,120265,112,120317,112,120369,112,120421,112,120473,112,961,112,120530,112,120544,112,120588,112,120602,112,120646,112,120660,112,120704,112,120718,112,120762,112,120776,112,11427,112,65328,80,8473,80,119823,80,119875,80,119927,80,119979,80,120031,80,120083,80,120187,80,120239,80,120291,80,120343,80,120395,80,120447,80,929,80,120504,80,120562,80,120620,80,120678,80,120736,80,11426,80,5090,80,5229,80,42193,80,66197,80,119850,113,119902,113,119954,113,120006,113,120058,113,120110,113,120162,113,120214,113,120266,113,120318,113,120370,113,120422,113,120474,113,1307,113,1379,113,1382,113,8474,81,119824,81,119876,81,119928,81,119980,81,120032,81,120084,81,120188,81,120240,81,120292,81,120344,81,120396,81,120448,81,11605,81,119851,114,119903,114,119955,114,120007,114,120059,114,120111,114,120163,114,120215,114,120267,114,120319,114,120371,114,120423,114,120475,114,43847,114,43848,114,7462,114,11397,114,43905,114,119318,82,8475,82,8476,82,8477,82,119825,82,119877,82,119929,82,120033,82,120189,82,120241,82,120293,82,120345,82,120397,82,120449,82,422,82,5025,82,5074,82,66740,82,5511,82,42211,82,94005,82,65363,115,119852,115,119904,115,119956,115,120008,115,120060,115,120112,115,120164,115,120216,115,120268,115,120320,115,120372,115,120424,115,120476,115,42801,115,445,115,1109,115,43946,115,71873,115,66632,115,65331,83,119826,83,119878,83,119930,83,119982,83,120034,83,120086,83,120138,83,120190,83,120242,83,120294,83,120346,83,120398,83,120450,83,1029,83,1359,83,5077,83,5082,83,42210,83,94010,83,66198,83,66592,83,119853,116,119905,116,119957,116,120009,116,120061,116,120113,116,120165,116,120217,116,120269,116,120321,116,120373,116,120425,116,120477,116,8868,84,10201,84,128872,84,65332,84,119827,84,119879,84,119931,84,119983,84,120035,84,120087,84,120139,84,120191,84,120243,84,120295,84,120347,84,120399,84,120451,84,932,84,120507,84,120565,84,120623,84,120681,84,120739,84,11430,84,5026,84,42196,84,93962,84,71868,84,66199,84,66225,84,66325,84,119854,117,119906,117,119958,117,120010,117,120062,117,120114,117,120166,117,120218,117,120270,117,120322,117,120374,117,120426,117,120478,117,42911,117,7452,117,43854,117,43858,117,651,117,965,117,120534,117,120592,117,120650,117,120708,117,120766,117,1405,117,66806,117,71896,117,8746,85,8899,85,119828,85,119880,85,119932,85,119984,85,120036,85,120088,85,120140,85,120192,85,120244,85,120296,85,120348,85,120400,85,120452,85,1357,85,4608,85,66766,85,5196,85,42228,85,94018,85,71864,85,8744,118,8897,118,65366,118,8564,118,119855,118,119907,118,119959,118,120011,118,120063,118,120115,118,120167,118,120219,118,120271,118,120323,118,120375,118,120427,118,120479,118,7456,118,957,118,120526,118,120584,118,120642,118,120700,118,120758,118,1141,118,1496,118,71430,118,43945,118,71872,118,119309,86,1639,86,1783,86,8548,86,119829,86,119881,86,119933,86,119985,86,120037,86,120089,86,120141,86,120193,86,120245,86,120297,86,120349,86,120401,86,120453,86,1140,86,11576,86,5081,86,5167,86,42719,86,42214,86,93960,86,71840,86,66845,86,623,119,119856,119,119908,119,119960,119,120012,119,120064,119,120116,119,120168,119,120220,119,120272,119,120324,119,120376,119,120428,119,120480,119,7457,119,1121,119,1309,119,1377,119,71434,119,71438,119,71439,119,43907,119,71919,87,71910,87,119830,87,119882,87,119934,87,119986,87,120038,87,120090,87,120142,87,120194,87,120246,87,120298,87,120350,87,120402,87,120454,87,1308,87,5043,87,5076,87,42218,87,5742,120,10539,120,10540,120,10799,120,65368,120,8569,120,119857,120,119909,120,119961,120,120013,120,120065,120,120117,120,120169,120,120221,120,120273,120,120325,120,120377,120,120429,120,120481,120,5441,120,5501,120,5741,88,9587,88,66338,88,71916,88,65336,88,8553,88,119831,88,119883,88,119935,88,119987,88,120039,88,120091,88,120143,88,120195,88,120247,88,120299,88,120351,88,120403,88,120455,88,42931,88,935,88,120510,88,120568,88,120626,88,120684,88,120742,88,11436,88,11613,88,5815,88,42219,88,66192,88,66228,88,66327,88,66855,88,611,121,7564,121,65369,121,119858,121,119910,121,119962,121,120014,121,120066,121,120118,121,120170,121,120222,121,120274,121,120326,121,120378,121,120430,121,120482,121,655,121,7935,121,43866,121,947,121,8509,121,120516,121,120574,121,120632,121,120690,121,120748,121,1199,121,4327,121,71900,121,65337,89,119832,89,119884,89,119936,89,119988,89,120040,89,120092,89,120144,89,120196,89,120248,89,120300,89,120352,89,120404,89,120456,89,933,89,978,89,120508,89,120566,89,120624,89,120682,89,120740,89,11432,89,1198,89,5033,89,5053,89,42220,89,94019,89,71844,89,66226,89,119859,122,119911,122,119963,122,120015,122,120067,122,120119,122,120171,122,120223,122,120275,122,120327,122,120379,122,120431,122,120483,122,7458,122,43923,122,71876,122,66293,90,71909,90,65338,90,8484,90,8488,90,119833,90,119885,90,119937,90,119989,90,120041,90,120197,90,120249,90,120301,90,120353,90,120405,90,120457,90,918,90,120493,90,120551,90,120609,90,120667,90,120725,90,5059,90,42204,90,71849,90,65282,34,65284,36,65285,37,65286,38,65290,42,65291,43,65294,46,65295,47,65296,48,65297,49,65298,50,65299,51,65300,52,65301,53,65302,54,65303,55,65304,56,65305,57,65308,60,65309,61,65310,62,65312,64,65316,68,65318,70,65319,71,65324,76,65329,81,65330,82,65333,85,65334,86,65335,87,65343,95,65346,98,65348,100,65350,102,65355,107,65357,109,65358,110,65361,113,65362,114,65364,116,65365,117,65367,119,65370,122,65371,123,65373,125,119846,109],"_default":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"cs":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"de":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"es":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"fr":[65374,126,65306,58,65281,33,8216,96,8245,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"it":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ja":[8211,45,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65292,44,65307,59],"ko":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pl":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pt-BR":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"qps-ploc":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ru":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,305,105,921,73,1009,112,215,120,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"tr":[160,32,8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"zh-hans":[65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65288,40,65289,41],"zh-hant":[8211,45,65374,126,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65307,59]}')),De.cache=new qo({getCacheKey:JSON.stringify},t=>{function n(c){const d=new Map;for(let m=0;m<c.length;m+=2)d.set(c[m],c[m+1]);return d}function i(c,d){const m=new Map(c);for(const[f,_]of d)m.set(f,_);return m}function r(c,d){if(!c)return d;const m=new Map;for(const[f,_]of c)d.has(f)&&m.set(f,_);return m}const s=De.ambiguousCharacterData.value;let a=t.filter(c=>!c.startsWith("_")&&c in s);a.length===0&&(a=["_default"]);let l;for(const c of a){const d=n(s[c]);l=r(l,d)}const o=n(s._common),u=i(o,l);return new De(u)}),De._locales=new ji(()=>Object.keys(De.ambiguousCharacterData.value).filter(t=>!t.startsWith("_")));let Tt=De;const _t=class _t{static getRawData(){return JSON.parse("[9,10,11,12,13,32,127,160,173,847,1564,4447,4448,6068,6069,6155,6156,6157,6158,7355,7356,8192,8193,8194,8195,8196,8197,8198,8199,8200,8201,8202,8203,8204,8205,8206,8207,8234,8235,8236,8237,8238,8239,8287,8288,8289,8290,8291,8292,8293,8294,8295,8296,8297,8298,8299,8300,8301,8302,8303,10240,12288,12644,65024,65025,65026,65027,65028,65029,65030,65031,65032,65033,65034,65035,65036,65037,65038,65039,65279,65440,65520,65521,65522,65523,65524,65525,65526,65527,65528,65532,78844,119155,119156,119157,119158,119159,119160,119161,119162,917504,917505,917506,917507,917508,917509,917510,917511,917512,917513,917514,917515,917516,917517,917518,917519,917520,917521,917522,917523,917524,917525,917526,917527,917528,917529,917530,917531,917532,917533,917534,917535,917536,917537,917538,917539,917540,917541,917542,917543,917544,917545,917546,917547,917548,917549,917550,917551,917552,917553,917554,917555,917556,917557,917558,917559,917560,917561,917562,917563,917564,917565,917566,917567,917568,917569,917570,917571,917572,917573,917574,917575,917576,917577,917578,917579,917580,917581,917582,917583,917584,917585,917586,917587,917588,917589,917590,917591,917592,917593,917594,917595,917596,917597,917598,917599,917600,917601,917602,917603,917604,917605,917606,917607,917608,917609,917610,917611,917612,917613,917614,917615,917616,917617,917618,917619,917620,917621,917622,917623,917624,917625,917626,917627,917628,917629,917630,917631,917760,917761,917762,917763,917764,917765,917766,917767,917768,917769,917770,917771,917772,917773,917774,917775,917776,917777,917778,917779,917780,917781,917782,917783,917784,917785,917786,917787,917788,917789,917790,917791,917792,917793,917794,917795,917796,917797,917798,917799,917800,917801,917802,917803,917804,917805,917806,917807,917808,917809,917810,917811,917812,917813,917814,917815,917816,917817,917818,917819,917820,917821,917822,917823,917824,917825,917826,917827,917828,917829,917830,917831,917832,917833,917834,917835,917836,917837,917838,917839,917840,917841,917842,917843,917844,917845,917846,917847,917848,917849,917850,917851,917852,917853,917854,917855,917856,917857,917858,917859,917860,917861,917862,917863,917864,917865,917866,917867,917868,917869,917870,917871,917872,917873,917874,917875,917876,917877,917878,917879,917880,917881,917882,917883,917884,917885,917886,917887,917888,917889,917890,917891,917892,917893,917894,917895,917896,917897,917898,917899,917900,917901,917902,917903,917904,917905,917906,917907,917908,917909,917910,917911,917912,917913,917914,917915,917916,917917,917918,917919,917920,917921,917922,917923,917924,917925,917926,917927,917928,917929,917930,917931,917932,917933,917934,917935,917936,917937,917938,917939,917940,917941,917942,917943,917944,917945,917946,917947,917948,917949,917950,917951,917952,917953,917954,917955,917956,917957,917958,917959,917960,917961,917962,917963,917964,917965,917966,917967,917968,917969,917970,917971,917972,917973,917974,917975,917976,917977,917978,917979,917980,917981,917982,917983,917984,917985,917986,917987,917988,917989,917990,917991,917992,917993,917994,917995,917996,917997,917998,917999]")}static getData(){return this._data||(this._data=new Set(_t.getRawData())),this._data}static isInvisibleCharacter(t){return _t.getData().has(t)}static get codePoints(){return _t.getData()}};_t._data=void 0;let kt=_t;var Xi={};let st;const Fn=globalThis.vscode;if(typeof Fn<"u"&&typeof Fn.process<"u"){const e=Fn.process;st={get platform(){return e.platform},get arch(){return e.arch},get env(){return e.env},cwd(){return e.cwd()}}}else typeof process<"u"&&typeof((_o=process==null?void 0:process.versions)==null?void 0:_o.node)=="string"?st={get platform(){return process.platform},get arch(){return process.arch},get env(){return Xi},cwd(){return Xi.VSCODE_CWD||process.cwd()}}:st={get platform(){return xt?"win32":zo?"darwin":"linux"},get arch(){},get env(){return{}},cwd(){return"/"}};const jt=st.cwd,Yo=st.env,Qo=st.platform,Zo=65,Ko=97,el=90,tl=122,qe=46,se=47,me=92,Oe=58,nl=63;class Ji extends Error{constructor(t,n,i){let r;typeof n=="string"&&n.indexOf("not ")===0?(r="must not be",n=n.replace(/^not /,"")):r="must be";const s=t.indexOf(".")!==-1?"property":"argument";let a=`The "${t}" ${s} ${r} of type ${n}`;a+=`. Received type ${typeof i}`,super(a),this.code="ERR_INVALID_ARG_TYPE"}}function il(e,t){if(e===null||typeof e!="object")throw new Ji(t,"Object",e)}function ee(e,t){if(typeof e!="string")throw new Ji(t,"string",e)}const Ue=Qo==="win32";function V(e){return e===se||e===me}function Pn(e){return e===se}function Ve(e){return e>=Zo&&e<=el||e>=Ko&&e<=tl}function $t(e,t,n,i){let r="",s=0,a=-1,l=0,o=0;for(let u=0;u<=e.length;++u){if(u<e.length)o=e.charCodeAt(u);else{if(i(o))break;o=se}if(i(o)){if(!(a===u-1||l===1))if(l===2){if(r.length<2||s!==2||r.charCodeAt(r.length-1)!==qe||r.charCodeAt(r.length-2)!==qe){if(r.length>2){const c=r.lastIndexOf(n);c===-1?(r="",s=0):(r=r.slice(0,c),s=r.length-1-r.lastIndexOf(n)),a=u,l=0;continue}else if(r.length!==0){r="",s=0,a=u,l=0;continue}}t&&(r+=r.length>0?`${n}..`:"..",s=2)}else r.length>0?r+=`${n}${e.slice(a+1,u)}`:r=e.slice(a+1,u),s=u-a-1;a=u,l=0}else o===qe&&l!==-1?++l:l=-1}return r}function rl(e){return e?`${e[0]==="."?"":"."}${e}`:""}function Yi(e,t){il(t,"pathObject");const n=t.dir||t.root,i=t.base||`${t.name||""}${rl(t.ext)}`;return n?n===t.root?`${n}${i}`:`${n}${e}${i}`:i}const ue={resolve(...e){let t="",n="",i=!1;for(let r=e.length-1;r>=-1;r--){let s;if(r>=0){if(s=e[r],ee(s,`paths[${r}]`),s.length===0)continue}else t.length===0?s=jt():(s=Yo[`=${t}`]||jt(),(s===void 0||s.slice(0,2).toLowerCase()!==t.toLowerCase()&&s.charCodeAt(2)===me)&&(s=`${t}\\`));const a=s.length;let l=0,o="",u=!1;const c=s.charCodeAt(0);if(a===1)V(c)&&(l=1,u=!0);else if(V(c))if(u=!0,V(s.charCodeAt(1))){let d=2,m=d;for(;d<a&&!V(s.charCodeAt(d));)d++;if(d<a&&d!==m){const f=s.slice(m,d);for(m=d;d<a&&V(s.charCodeAt(d));)d++;if(d<a&&d!==m){for(m=d;d<a&&!V(s.charCodeAt(d));)d++;(d===a||d!==m)&&(o=`\\\\${f}\\${s.slice(m,d)}`,l=d)}}}else l=1;else Ve(c)&&s.charCodeAt(1)===Oe&&(o=s.slice(0,2),l=2,a>2&&V(s.charCodeAt(2))&&(u=!0,l=3));if(o.length>0)if(t.length>0){if(o.toLowerCase()!==t.toLowerCase())continue}else t=o;if(i){if(t.length>0)break}else if(n=`${s.slice(l)}\\${n}`,i=u,u&&t.length>0)break}return n=$t(n,!i,"\\",V),i?`${t}\\${n}`:`${t}${n}`||"."},normalize(e){ee(e,"path");const t=e.length;if(t===0)return".";let n=0,i,r=!1;const s=e.charCodeAt(0);if(t===1)return Pn(s)?"\\":e;if(V(s))if(r=!0,V(e.charCodeAt(1))){let l=2,o=l;for(;l<t&&!V(e.charCodeAt(l));)l++;if(l<t&&l!==o){const u=e.slice(o,l);for(o=l;l<t&&V(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&!V(e.charCodeAt(l));)l++;if(l===t)return`\\\\${u}\\${e.slice(o)}\\`;l!==o&&(i=`\\\\${u}\\${e.slice(o,l)}`,n=l)}}}else n=1;else Ve(s)&&e.charCodeAt(1)===Oe&&(i=e.slice(0,2),n=2,t>2&&V(e.charCodeAt(2))&&(r=!0,n=3));let a=n<t?$t(e.slice(n),!r,"\\",V):"";return a.length===0&&!r&&(a="."),a.length>0&&V(e.charCodeAt(t-1))&&(a+="\\"),i===void 0?r?`\\${a}`:a:r?`${i}\\${a}`:`${i}${a}`},isAbsolute(e){ee(e,"path");const t=e.length;if(t===0)return!1;const n=e.charCodeAt(0);return V(n)||t>2&&Ve(n)&&e.charCodeAt(1)===Oe&&V(e.charCodeAt(2))},join(...e){if(e.length===0)return".";let t,n;for(let s=0;s<e.length;++s){const a=e[s];ee(a,"path"),a.length>0&&(t===void 0?t=n=a:t+=`\\${a}`)}if(t===void 0)return".";let i=!0,r=0;if(typeof n=="string"&&V(n.charCodeAt(0))){++r;const s=n.length;s>1&&V(n.charCodeAt(1))&&(++r,s>2&&(V(n.charCodeAt(2))?++r:i=!1))}if(i){for(;r<t.length&&V(t.charCodeAt(r));)r++;r>=2&&(t=`\\${t.slice(r)}`)}return ue.normalize(t)},relative(e,t){if(ee(e,"from"),ee(t,"to"),e===t)return"";const n=ue.resolve(e),i=ue.resolve(t);if(n===i||(e=n.toLowerCase(),t=i.toLowerCase(),e===t))return"";let r=0;for(;r<e.length&&e.charCodeAt(r)===me;)r++;let s=e.length;for(;s-1>r&&e.charCodeAt(s-1)===me;)s--;const a=s-r;let l=0;for(;l<t.length&&t.charCodeAt(l)===me;)l++;let o=t.length;for(;o-1>l&&t.charCodeAt(o-1)===me;)o--;const u=o-l,c=a<u?a:u;let d=-1,m=0;for(;m<c;m++){const _=e.charCodeAt(r+m);if(_!==t.charCodeAt(l+m))break;_===me&&(d=m)}if(m!==c){if(d===-1)return i}else{if(u>c){if(t.charCodeAt(l+m)===me)return i.slice(l+m+1);if(m===2)return i.slice(l+m)}a>c&&(e.charCodeAt(r+m)===me?d=m:m===2&&(d=3)),d===-1&&(d=0)}let f="";for(m=r+d+1;m<=s;++m)(m===s||e.charCodeAt(m)===me)&&(f+=f.length===0?"..":"\\..");return l+=d,f.length>0?`${f}${i.slice(l,o)}`:(i.charCodeAt(l)===me&&++l,i.slice(l,o))},toNamespacedPath(e){if(typeof e!="string"||e.length===0)return e;const t=ue.resolve(e);if(t.length<=2)return e;if(t.charCodeAt(0)===me){if(t.charCodeAt(1)===me){const n=t.charCodeAt(2);if(n!==nl&&n!==qe)return`\\\\?\\UNC\\${t.slice(2)}`}}else if(Ve(t.charCodeAt(0))&&t.charCodeAt(1)===Oe&&t.charCodeAt(2)===me)return`\\\\?\\${t}`;return e},dirname(e){ee(e,"path");const t=e.length;if(t===0)return".";let n=-1,i=0;const r=e.charCodeAt(0);if(t===1)return V(r)?e:".";if(V(r)){if(n=i=1,V(e.charCodeAt(1))){let l=2,o=l;for(;l<t&&!V(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&V(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&!V(e.charCodeAt(l));)l++;if(l===t)return e;l!==o&&(n=i=l+1)}}}}else Ve(r)&&e.charCodeAt(1)===Oe&&(n=t>2&&V(e.charCodeAt(2))?3:2,i=n);let s=-1,a=!0;for(let l=t-1;l>=i;--l)if(V(e.charCodeAt(l))){if(!a){s=l;break}}else a=!1;if(s===-1){if(n===-1)return".";s=n}return e.slice(0,s)},basename(e,t){t!==void 0&&ee(t,"suffix"),ee(e,"path");let n=0,i=-1,r=!0,s;if(e.length>=2&&Ve(e.charCodeAt(0))&&e.charCodeAt(1)===Oe&&(n=2),t!==void 0&&t.length>0&&t.length<=e.length){if(t===e)return"";let a=t.length-1,l=-1;for(s=e.length-1;s>=n;--s){const o=e.charCodeAt(s);if(V(o)){if(!r){n=s+1;break}}else l===-1&&(r=!1,l=s+1),a>=0&&(o===t.charCodeAt(a)?--a===-1&&(i=s):(a=-1,i=l))}return n===i?i=l:i===-1&&(i=e.length),e.slice(n,i)}for(s=e.length-1;s>=n;--s)if(V(e.charCodeAt(s))){if(!r){n=s+1;break}}else i===-1&&(r=!1,i=s+1);return i===-1?"":e.slice(n,i)},extname(e){ee(e,"path");let t=0,n=-1,i=0,r=-1,s=!0,a=0;e.length>=2&&e.charCodeAt(1)===Oe&&Ve(e.charCodeAt(0))&&(t=i=2);for(let l=e.length-1;l>=t;--l){const o=e.charCodeAt(l);if(V(o)){if(!s){i=l+1;break}continue}r===-1&&(s=!1,r=l+1),o===qe?n===-1?n=l:a!==1&&(a=1):n!==-1&&(a=-1)}return n===-1||r===-1||a===0||a===1&&n===r-1&&n===i+1?"":e.slice(n,r)},format:Yi.bind(null,"\\"),parse(e){ee(e,"path");const t={root:"",dir:"",base:"",ext:"",name:""};if(e.length===0)return t;const n=e.length;let i=0,r=e.charCodeAt(0);if(n===1)return V(r)?(t.root=t.dir=e,t):(t.base=t.name=e,t);if(V(r)){if(i=1,V(e.charCodeAt(1))){let d=2,m=d;for(;d<n&&!V(e.charCodeAt(d));)d++;if(d<n&&d!==m){for(m=d;d<n&&V(e.charCodeAt(d));)d++;if(d<n&&d!==m){for(m=d;d<n&&!V(e.charCodeAt(d));)d++;d===n?i=d:d!==m&&(i=d+1)}}}}else if(Ve(r)&&e.charCodeAt(1)===Oe){if(n<=2)return t.root=t.dir=e,t;if(i=2,V(e.charCodeAt(2))){if(n===3)return t.root=t.dir=e,t;i=3}}i>0&&(t.root=e.slice(0,i));let s=-1,a=i,l=-1,o=!0,u=e.length-1,c=0;for(;u>=i;--u){if(r=e.charCodeAt(u),V(r)){if(!o){a=u+1;break}continue}l===-1&&(o=!1,l=u+1),r===qe?s===-1?s=u:c!==1&&(c=1):s!==-1&&(c=-1)}return l!==-1&&(s===-1||c===0||c===1&&s===l-1&&s===a+1?t.base=t.name=e.slice(a,l):(t.name=e.slice(a,s),t.base=e.slice(a,l),t.ext=e.slice(s,l))),a>0&&a!==i?t.dir=e.slice(0,a-1):t.dir=t.root,t},sep:"\\",delimiter:";",win32:null,posix:null},sl=(()=>{if(Ue){const e=/\\/g;return()=>{const t=jt().replace(e,"/");return t.slice(t.indexOf("/"))}}return()=>jt()})(),ce={resolve(...e){let t="",n=!1;for(let i=e.length-1;i>=-1&&!n;i--){const r=i>=0?e[i]:sl();ee(r,`paths[${i}]`),r.length!==0&&(t=`${r}/${t}`,n=r.charCodeAt(0)===se)}return t=$t(t,!n,"/",Pn),n?`/${t}`:t.length>0?t:"."},normalize(e){if(ee(e,"path"),e.length===0)return".";const t=e.charCodeAt(0)===se,n=e.charCodeAt(e.length-1)===se;return e=$t(e,!t,"/",Pn),e.length===0?t?"/":n?"./":".":(n&&(e+="/"),t?`/${e}`:e)},isAbsolute(e){return ee(e,"path"),e.length>0&&e.charCodeAt(0)===se},join(...e){if(e.length===0)return".";let t;for(let n=0;n<e.length;++n){const i=e[n];ee(i,"path"),i.length>0&&(t===void 0?t=i:t+=`/${i}`)}return t===void 0?".":ce.normalize(t)},relative(e,t){if(ee(e,"from"),ee(t,"to"),e===t||(e=ce.resolve(e),t=ce.resolve(t),e===t))return"";const n=1,i=e.length,r=i-n,s=1,a=t.length-s,l=r<a?r:a;let o=-1,u=0;for(;u<l;u++){const d=e.charCodeAt(n+u);if(d!==t.charCodeAt(s+u))break;d===se&&(o=u)}if(u===l)if(a>l){if(t.charCodeAt(s+u)===se)return t.slice(s+u+1);if(u===0)return t.slice(s+u)}else r>l&&(e.charCodeAt(n+u)===se?o=u:u===0&&(o=0));let c="";for(u=n+o+1;u<=i;++u)(u===i||e.charCodeAt(u)===se)&&(c+=c.length===0?"..":"/..");return`${c}${t.slice(s+o)}`},toNamespacedPath(e){return e},dirname(e){if(ee(e,"path"),e.length===0)return".";const t=e.charCodeAt(0)===se;let n=-1,i=!0;for(let r=e.length-1;r>=1;--r)if(e.charCodeAt(r)===se){if(!i){n=r;break}}else i=!1;return n===-1?t?"/":".":t&&n===1?"//":e.slice(0,n)},basename(e,t){t!==void 0&&ee(t,"ext"),ee(e,"path");let n=0,i=-1,r=!0,s;if(t!==void 0&&t.length>0&&t.length<=e.length){if(t===e)return"";let a=t.length-1,l=-1;for(s=e.length-1;s>=0;--s){const o=e.charCodeAt(s);if(o===se){if(!r){n=s+1;break}}else l===-1&&(r=!1,l=s+1),a>=0&&(o===t.charCodeAt(a)?--a===-1&&(i=s):(a=-1,i=l))}return n===i?i=l:i===-1&&(i=e.length),e.slice(n,i)}for(s=e.length-1;s>=0;--s)if(e.charCodeAt(s)===se){if(!r){n=s+1;break}}else i===-1&&(r=!1,i=s+1);return i===-1?"":e.slice(n,i)},extname(e){ee(e,"path");let t=-1,n=0,i=-1,r=!0,s=0;for(let a=e.length-1;a>=0;--a){const l=e.charCodeAt(a);if(l===se){if(!r){n=a+1;break}continue}i===-1&&(r=!1,i=a+1),l===qe?t===-1?t=a:s!==1&&(s=1):t!==-1&&(s=-1)}return t===-1||i===-1||s===0||s===1&&t===i-1&&t===n+1?"":e.slice(t,i)},format:Yi.bind(null,"/"),parse(e){ee(e,"path");const t={root:"",dir:"",base:"",ext:"",name:""};if(e.length===0)return t;const n=e.charCodeAt(0)===se;let i;n?(t.root="/",i=1):i=0;let r=-1,s=0,a=-1,l=!0,o=e.length-1,u=0;for(;o>=i;--o){const c=e.charCodeAt(o);if(c===se){if(!l){s=o+1;break}continue}a===-1&&(l=!1,a=o+1),c===qe?r===-1?r=o:u!==1&&(u=1):r!==-1&&(u=-1)}if(a!==-1){const c=s===0&&n?1:s;r===-1||u===0||u===1&&r===a-1&&r===s+1?t.base=t.name=e.slice(c,a):(t.name=e.slice(c,r),t.base=e.slice(c,a),t.ext=e.slice(r,a))}return s>0?t.dir=e.slice(0,s-1):n&&(t.dir="/"),t},sep:"/",delimiter:":",win32:null,posix:null};ce.win32=ue.win32=ue,ce.posix=ue.posix=ce,Ue?ue.normalize:ce.normalize;const al=Ue?ue.join:ce.join;Ue?ue.resolve:ce.resolve,Ue?ue.relative:ce.relative,Ue?ue.dirname:ce.dirname,Ue?ue.basename:ce.basename,Ue?ue.extname:ce.extname,Ue?ue.sep:ce.sep;const ol=/^\w[\w\d+.-]*$/,ll=/^\//,ul=/^\/\//;function cl(e,t){if(!e.scheme&&t)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${e.authority}", path: "${e.path}", query: "${e.query}", fragment: "${e.fragment}"}`);if(e.scheme&&!ol.test(e.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(e.path){if(e.authority){if(!ll.test(e.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if(ul.test(e.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}function hl(e,t){return!e&&!t?"file":e}function dl(e,t){switch(e){case"https":case"http":case"file":t?t[0]!==ke&&(t=ke+t):t=ke;break}return t}const Y="",ke="/",ml=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;let Re=class Ln{static isUri(t){return t instanceof Ln?!0:t?typeof t.authority=="string"&&typeof t.fragment=="string"&&typeof t.path=="string"&&typeof t.query=="string"&&typeof t.scheme=="string"&&typeof t.fsPath=="string"&&typeof t.with=="function"&&typeof t.toString=="function":!1}constructor(t,n,i,r,s,a=!1){typeof t=="object"?(this.scheme=t.scheme||Y,this.authority=t.authority||Y,this.path=t.path||Y,this.query=t.query||Y,this.fragment=t.fragment||Y):(this.scheme=hl(t,a),this.authority=n||Y,this.path=dl(this.scheme,i||Y),this.query=r||Y,this.fragment=s||Y,cl(this,a))}get fsPath(){return Bn(this,!1)}with(t){if(!t)return this;let{scheme:n,authority:i,path:r,query:s,fragment:a}=t;return n===void 0?n=this.scheme:n===null&&(n=Y),i===void 0?i=this.authority:i===null&&(i=Y),r===void 0?r=this.path:r===null&&(r=Y),s===void 0?s=this.query:s===null&&(s=Y),a===void 0?a=this.fragment:a===null&&(a=Y),n===this.scheme&&i===this.authority&&r===this.path&&s===this.query&&a===this.fragment?this:new at(n,i,r,s,a)}static parse(t,n=!1){const i=ml.exec(t);return i?new at(i[2]||Y,Gt(i[4]||Y),Gt(i[5]||Y),Gt(i[7]||Y),Gt(i[9]||Y),n):new at(Y,Y,Y,Y,Y)}static file(t){let n=Y;if(xt&&(t=t.replace(/\\/g,ke)),t[0]===ke&&t[1]===ke){const i=t.indexOf(ke,2);i===-1?(n=t.substring(2),t=ke):(n=t.substring(2,i),t=t.substring(i)||ke)}return new at("file",n,t,Y,Y)}static from(t,n){return new at(t.scheme,t.authority,t.path,t.query,t.fragment,n)}static joinPath(t,...n){if(!t.path)throw new Error("[UriError]: cannot call joinPath on URI without path");let i;return xt&&t.scheme==="file"?i=Ln.file(ue.join(Bn(t,!0),...n)).path:i=ce.join(t.path,...n),t.with({path:i})}toString(t=!1){return qn(this,t)}toJSON(){return this}static revive(t){if(t){if(t instanceof Ln)return t;{const n=new at(t);return n._formatted=t.external??null,n._fsPath=t._sep===Qi?t.fsPath??null:null,n}}else return t}};const Qi=xt?1:void 0;class at extends Re{constructor(){super(...arguments),this._formatted=null,this._fsPath=null}get fsPath(){return this._fsPath||(this._fsPath=Bn(this,!1)),this._fsPath}toString(t=!1){return t?qn(this,!0):(this._formatted||(this._formatted=qn(this,!1)),this._formatted)}toJSON(){const t={$mid:1};return this._fsPath&&(t.fsPath=this._fsPath,t._sep=Qi),this._formatted&&(t.external=this._formatted),this.path&&(t.path=this.path),this.scheme&&(t.scheme=this.scheme),this.authority&&(t.authority=this.authority),this.query&&(t.query=this.query),this.fragment&&(t.fragment=this.fragment),t}}const Zi={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function Ki(e,t,n){let i,r=-1;for(let s=0;s<e.length;s++){const a=e.charCodeAt(s);if(a>=97&&a<=122||a>=65&&a<=90||a>=48&&a<=57||a===45||a===46||a===95||a===126||t&&a===47||n&&a===91||n&&a===93||n&&a===58)r!==-1&&(i+=encodeURIComponent(e.substring(r,s)),r=-1),i!==void 0&&(i+=e.charAt(s));else{i===void 0&&(i=e.substr(0,s));const l=Zi[a];l!==void 0?(r!==-1&&(i+=encodeURIComponent(e.substring(r,s)),r=-1),i+=l):r===-1&&(r=s)}}return r!==-1&&(i+=encodeURIComponent(e.substring(r))),i!==void 0?i:e}function fl(e){let t;for(let n=0;n<e.length;n++){const i=e.charCodeAt(n);i===35||i===63?(t===void 0&&(t=e.substr(0,n)),t+=Zi[i]):t!==void 0&&(t+=e[n])}return t!==void 0?t:e}function Bn(e,t){let n;return e.authority&&e.path.length>1&&e.scheme==="file"?n=`//${e.authority}${e.path}`:e.path.charCodeAt(0)===47&&(e.path.charCodeAt(1)>=65&&e.path.charCodeAt(1)<=90||e.path.charCodeAt(1)>=97&&e.path.charCodeAt(1)<=122)&&e.path.charCodeAt(2)===58?t?n=e.path.substr(1):n=e.path[1].toLowerCase()+e.path.substr(2):n=e.path,xt&&(n=n.replace(/\//g,"\\")),n}function qn(e,t){const n=t?fl:Ki;let i="",{scheme:r,authority:s,path:a,query:l,fragment:o}=e;if(r&&(i+=r,i+=":"),(s||r==="file")&&(i+=ke,i+=ke),s){let u=s.indexOf("@");if(u!==-1){const c=s.substr(0,u);s=s.substr(u+1),u=c.lastIndexOf(":"),u===-1?i+=n(c,!1,!1):(i+=n(c.substr(0,u),!1,!1),i+=":",i+=n(c.substr(u+1),!1,!0)),i+="@"}s=s.toLowerCase(),u=s.lastIndexOf(":"),u===-1?i+=n(s,!1,!0):(i+=n(s.substr(0,u),!1,!0),i+=s.substr(u))}if(a){if(a.length>=3&&a.charCodeAt(0)===47&&a.charCodeAt(2)===58){const u=a.charCodeAt(1);u>=65&&u<=90&&(a=`/${String.fromCharCode(u+32)}:${a.substr(3)}`)}else if(a.length>=2&&a.charCodeAt(1)===58){const u=a.charCodeAt(0);u>=65&&u<=90&&(a=`${String.fromCharCode(u+32)}:${a.substr(2)}`)}i+=n(a,!0,!1)}return l&&(i+="?",i+=n(l,!1,!1)),o&&(i+="#",i+=t?o:Ki(o,!1,!1)),i}function er(e){try{return decodeURIComponent(e)}catch{return e.length>3?e.substr(0,3)+er(e.substr(3)):e}}const tr=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function Gt(e){return e.match(tr)?e.replace(tr,t=>er(t)):e}var je;(function(e){e.inMemory="inmemory",e.vscode="vscode",e.internal="private",e.walkThrough="walkThrough",e.walkThroughSnippet="walkThroughSnippet",e.http="http",e.https="https",e.file="file",e.mailto="mailto",e.untitled="untitled",e.data="data",e.command="command",e.vscodeRemote="vscode-remote",e.vscodeRemoteResource="vscode-remote-resource",e.vscodeManagedRemoteResource="vscode-managed-remote-resource",e.vscodeUserData="vscode-userdata",e.vscodeCustomEditor="vscode-custom-editor",e.vscodeNotebookCell="vscode-notebook-cell",e.vscodeNotebookCellMetadata="vscode-notebook-cell-metadata",e.vscodeNotebookCellMetadataDiff="vscode-notebook-cell-metadata-diff",e.vscodeNotebookCellOutput="vscode-notebook-cell-output",e.vscodeNotebookCellOutputDiff="vscode-notebook-cell-output-diff",e.vscodeNotebookMetadata="vscode-notebook-metadata",e.vscodeInteractiveInput="vscode-interactive-input",e.vscodeSettings="vscode-settings",e.vscodeWorkspaceTrust="vscode-workspace-trust",e.vscodeTerminal="vscode-terminal",e.vscodeChatCodeBlock="vscode-chat-code-block",e.vscodeChatCodeCompareBlock="vscode-chat-code-compare-block",e.vscodeChatSesssion="vscode-chat-editor",e.webviewPanel="webview-panel",e.vscodeWebview="vscode-webview",e.extension="extension",e.vscodeFileResource="vscode-file",e.tmp="tmp",e.vsls="vsls",e.vscodeSourceControl="vscode-scm",e.commentsInput="comment",e.codeSetting="code-setting",e.outputChannel="output"})(je||(je={}));const pl="tkn";class gl{constructor(){this._hosts=Object.create(null),this._ports=Object.create(null),this._connectionTokens=Object.create(null),this._preferredWebSchema="http",this._delegate=null,this._serverRootPath="/"}setPreferredWebSchema(t){this._preferredWebSchema=t}get _remoteResourcesPath(){return ce.join(this._serverRootPath,je.vscodeRemoteResource)}rewrite(t){if(this._delegate)try{return this._delegate(t)}catch(l){return Ce(l),t}const n=t.authority;let i=this._hosts[n];i&&i.indexOf(":")!==-1&&i.indexOf("[")===-1&&(i=`[${i}]`);const r=this._ports[n],s=this._connectionTokens[n];let a=`path=${encodeURIComponent(t.path)}`;return typeof s=="string"&&(a+=`&${pl}=${encodeURIComponent(s)}`),Re.from({scheme:Ho?this._preferredWebSchema:je.vscodeRemoteResource,authority:`${i}:${r}`,path:this._remoteResourcesPath,query:a})}}const bl=new gl,_l="vscode-app",Ut=class Ut{asBrowserUri(t){const n=this.toUri(t);return this.uriToBrowserUri(n)}uriToBrowserUri(t){return t.scheme===je.vscodeRemote?bl.rewrite(t):t.scheme===je.file&&(Uo||Wo===`${je.vscodeFileResource}://${Ut.FALLBACK_AUTHORITY}`)?t.with({scheme:je.vscodeFileResource,authority:t.authority||Ut.FALLBACK_AUTHORITY,query:null,fragment:null}):t}toUri(t,n){if(Re.isUri(t))return t;if(globalThis._VSCODE_FILE_ROOT){const i=globalThis._VSCODE_FILE_ROOT;if(/^\w[\w\d+.-]*:\/\//.test(i))return Re.joinPath(Re.parse(i,!0),t);const r=al(i,t);return Re.file(r)}return Re.parse(n.toUrl(t))}};Ut.FALLBACK_AUTHORITY=_l;let On=Ut;const nr=new On;var ir;(function(e){const t=new Map([["1",{"Cross-Origin-Opener-Policy":"same-origin"}],["2",{"Cross-Origin-Embedder-Policy":"require-corp"}],["3",{"Cross-Origin-Opener-Policy":"same-origin","Cross-Origin-Embedder-Policy":"require-corp"}]]);e.CoopAndCoep=Object.freeze(t.get("3"));const n="vscode-coi";function i(s){let a;typeof s=="string"?a=new URL(s).searchParams:s instanceof URL?a=s.searchParams:Re.isUri(s)&&(a=new URL(s.toString(!0)).searchParams);const l=a==null?void 0:a.get(n);if(l)return t.get(l)}e.getHeadersFromQuery=i;function r(s,a,l){if(!globalThis.crossOriginIsolated)return;const o=a&&l?"3":l?"2":"1";s instanceof URLSearchParams?s.set(n,o):s[n]=o}e.addSearchParam=r})(ir||(ir={}));const Vn="default",wl="$initialize";class vl{constructor(t,n,i,r,s){this.vsWorker=t,this.req=n,this.channel=i,this.method=r,this.args=s,this.type=0}}class rr{constructor(t,n,i,r){this.vsWorker=t,this.seq=n,this.res=i,this.err=r,this.type=1}}class yl{constructor(t,n,i,r,s){this.vsWorker=t,this.req=n,this.channel=i,this.eventName=r,this.arg=s,this.type=2}}class xl{constructor(t,n,i){this.vsWorker=t,this.req=n,this.event=i,this.type=3}}class Tl{constructor(t,n){this.vsWorker=t,this.req=n,this.type=4}}class kl{constructor(t){this._workerId=-1,this._handler=t,this._lastSentReq=0,this._pendingReplies=Object.create(null),this._pendingEmitters=new Map,this._pendingEvents=new Map}setWorkerId(t){this._workerId=t}sendMessage(t,n,i){const r=String(++this._lastSentReq);return new Promise((s,a)=>{this._pendingReplies[r]={resolve:s,reject:a},this._send(new vl(this._workerId,r,t,n,i))})}listen(t,n,i){let r=null;const s=new ve({onWillAddFirstListener:()=>{r=String(++this._lastSentReq),this._pendingEmitters.set(r,s),this._send(new yl(this._workerId,r,t,n,i))},onDidRemoveLastListener:()=>{this._pendingEmitters.delete(r),this._send(new Tl(this._workerId,r)),r=null}});return s.event}handleMessage(t){!t||!t.vsWorker||this._workerId!==-1&&t.vsWorker!==this._workerId||this._handleMessage(t)}createProxyToRemoteChannel(t,n){const i={get:(r,s)=>(typeof s=="string"&&!r[s]&&(ar(s)?r[s]=a=>this.listen(t,s,a):sr(s)?r[s]=this.listen(t,s,void 0):s.charCodeAt(0)===36&&(r[s]=async(...a)=>(await(n==null?void 0:n()),this.sendMessage(t,s,a)))),r[s])};return new Proxy(Object.create(null),i)}_handleMessage(t){switch(t.type){case 1:return this._handleReplyMessage(t);case 0:return this._handleRequestMessage(t);case 2:return this._handleSubscribeEventMessage(t);case 3:return this._handleEventMessage(t);case 4:return this._handleUnsubscribeEventMessage(t)}}_handleReplyMessage(t){if(!this._pendingReplies[t.seq]){console.warn("Got reply to unknown seq");return}const n=this._pendingReplies[t.seq];if(delete this._pendingReplies[t.seq],t.err){let i=t.err;t.err.$isError&&(i=new Error,i.name=t.err.name,i.message=t.err.message,i.stack=t.err.stack),n.reject(i);return}n.resolve(t.res)}_handleRequestMessage(t){const n=t.req;this._handler.handleMessage(t.channel,t.method,t.args).then(r=>{this._send(new rr(this._workerId,n,r,void 0))},r=>{r.detail instanceof Error&&(r.detail=Fi(r.detail)),this._send(new rr(this._workerId,n,void 0,Fi(r)))})}_handleSubscribeEventMessage(t){const n=t.req,i=this._handler.handleEvent(t.channel,t.eventName,t.arg)(r=>{this._send(new xl(this._workerId,n,r))});this._pendingEvents.set(n,i)}_handleEventMessage(t){if(!this._pendingEmitters.has(t.req)){console.warn("Got event for unknown req");return}this._pendingEmitters.get(t.req).fire(t.event)}_handleUnsubscribeEventMessage(t){if(!this._pendingEvents.has(t.req)){console.warn("Got unsubscribe for unknown req");return}this._pendingEvents.get(t.req).dispose(),this._pendingEvents.delete(t.req)}_send(t){const n=[];if(t.type===0)for(let i=0;i<t.args.length;i++)t.args[i]instanceof ArrayBuffer&&n.push(t.args[i]);else t.type===1&&t.res instanceof ArrayBuffer&&n.push(t.res);this._handler.sendMessage(t,n)}}function sr(e){return e[0]==="o"&&e[1]==="n"&&$i(e.charCodeAt(2))}function ar(e){return/^onDynamic/.test(e)&&$i(e.charCodeAt(9))}class Al{constructor(t,n){this._localChannels=new Map,this._remoteChannels=new Map,this._requestHandlerFactory=n,this._requestHandler=null,this._protocol=new kl({sendMessage:(i,r)=>{t(i,r)},handleMessage:(i,r,s)=>this._handleMessage(i,r,s),handleEvent:(i,r,s)=>this._handleEvent(i,r,s)})}onmessage(t){this._protocol.handleMessage(t)}_handleMessage(t,n,i){if(t===Vn&&n===wl)return this.initialize(i[0],i[1],i[2]);const r=t===Vn?this._requestHandler:this._localChannels.get(t);if(!r)return Promise.reject(new Error(`Missing channel ${t} on worker thread`));if(typeof r[n]!="function")return Promise.reject(new Error(`Missing method ${n} on worker thread channel ${t}`));try{return Promise.resolve(r[n].apply(r,i))}catch(s){return Promise.reject(s)}}_handleEvent(t,n,i){const r=t===Vn?this._requestHandler:this._localChannels.get(t);if(!r)throw new Error(`Missing channel ${t} on worker thread`);if(ar(n)){const s=r[n].call(r,i);if(typeof s!="function")throw new Error(`Missing dynamic event ${n} on request handler.`);return s}if(sr(n)){const s=r[n];if(typeof s!="function")throw new Error(`Missing event ${n} on request handler.`);return s}throw new Error(`Malformed event name ${n}`)}getChannel(t){if(!this._remoteChannels.has(t)){const n=this._protocol.createProxyToRemoteChannel(t);this._remoteChannels.set(t,n)}return this._remoteChannels.get(t)}async initialize(t,n,i){if(this._protocol.setWorkerId(t),this._requestHandlerFactory){this._requestHandler=this._requestHandlerFactory(this);return}return n&&(typeof n.baseUrl<"u"&&delete n.baseUrl,typeof n.paths<"u"&&typeof n.paths.vs<"u"&&delete n.paths.vs,typeof n.trustedTypesPolicy<"u"&&delete n.trustedTypesPolicy,n.catchError=!0,globalThis.require.config(n)),import(`${nr.asBrowserUri(`${i}.js`).toString(!0)}`).then(s=>{if(this._requestHandler=s.create(this),!this._requestHandler)throw new Error("No RequestHandler!")})}}class $e{constructor(t,n,i,r){this.originalStart=t,this.originalLength=n,this.modifiedStart=i,this.modifiedLength=r}getOriginalEnd(){return this.originalStart+this.originalLength}getModifiedEnd(){return this.modifiedStart+this.modifiedLength}}function or(e,t){return(t<<5)-t+e|0}function Sl(e,t){t=or(149417,t);for(let n=0,i=e.length;n<i;n++)t=or(e.charCodeAt(n),t);return t}function jn(e,t,n=32){const i=n-t,r=~((1<<i)-1);return(e<<t|(r&e)>>>i)>>>0}function lr(e,t=0,n=e.byteLength,i=0){for(let r=0;r<n;r++)e[t+r]=i}function Ll(e,t,n="0"){for(;e.length<t;)e=n+e;return e}function At(e,t=32){return e instanceof ArrayBuffer?Array.from(new Uint8Array(e)).map(n=>n.toString(16).padStart(2,"0")).join(""):Ll((e>>>0).toString(16),t/4)}const yn=class yn{constructor(){this._h0=1732584193,this._h1=4023233417,this._h2=2562383102,this._h3=271733878,this._h4=3285377520,this._buff=new Uint8Array(67),this._buffDV=new DataView(this._buff.buffer),this._buffLen=0,this._totalLen=0,this._leftoverHighSurrogate=0,this._finished=!1}update(t){const n=t.length;if(n===0)return;const i=this._buff;let r=this._buffLen,s=this._leftoverHighSurrogate,a,l;for(s!==0?(a=s,l=-1,s=0):(a=t.charCodeAt(0),l=0);;){let o=a;if(Vt(a))if(l+1<n){const u=t.charCodeAt(l+1);Wn(u)?(l++,o=Gi(a,u)):o=65533}else{s=a;break}else Wn(a)&&(o=65533);if(r=this._push(i,r,o),l++,l<n)a=t.charCodeAt(l);else break}this._buffLen=r,this._leftoverHighSurrogate=s}_push(t,n,i){return i<128?t[n++]=i:i<2048?(t[n++]=192|(i&1984)>>>6,t[n++]=128|(i&63)>>>0):i<65536?(t[n++]=224|(i&61440)>>>12,t[n++]=128|(i&4032)>>>6,t[n++]=128|(i&63)>>>0):(t[n++]=240|(i&1835008)>>>18,t[n++]=128|(i&258048)>>>12,t[n++]=128|(i&4032)>>>6,t[n++]=128|(i&63)>>>0),n>=64&&(this._step(),n-=64,this._totalLen+=64,t[0]=t[64],t[1]=t[65],t[2]=t[66]),n}digest(){return this._finished||(this._finished=!0,this._leftoverHighSurrogate&&(this._leftoverHighSurrogate=0,this._buffLen=this._push(this._buff,this._buffLen,65533)),this._totalLen+=this._buffLen,this._wrapUp()),At(this._h0)+At(this._h1)+At(this._h2)+At(this._h3)+At(this._h4)}_wrapUp(){this._buff[this._buffLen++]=128,lr(this._buff,this._buffLen),this._buffLen>56&&(this._step(),lr(this._buff));const t=8*this._totalLen;this._buffDV.setUint32(56,Math.floor(t/4294967296),!1),this._buffDV.setUint32(60,t%4294967296,!1),this._step()}_step(){const t=yn._bigBlock32,n=this._buffDV;for(let d=0;d<64;d+=4)t.setUint32(d,n.getUint32(d,!1),!1);for(let d=64;d<320;d+=4)t.setUint32(d,jn(t.getUint32(d-12,!1)^t.getUint32(d-32,!1)^t.getUint32(d-56,!1)^t.getUint32(d-64,!1),1),!1);let i=this._h0,r=this._h1,s=this._h2,a=this._h3,l=this._h4,o,u,c;for(let d=0;d<80;d++)d<20?(o=r&s|~r&a,u=1518500249):d<40?(o=r^s^a,u=1859775393):d<60?(o=r&s|r&a|s&a,u=2400959708):(o=r^s^a,u=3395469782),c=jn(i,5)+o+l+u+t.getUint32(d*4,!1)&4294967295,l=a,a=s,s=jn(r,30),r=i,i=c;this._h0=this._h0+i&4294967295,this._h1=this._h1+r&4294967295,this._h2=this._h2+s&4294967295,this._h3=this._h3+a&4294967295,this._h4=this._h4+l&4294967295}};yn._bigBlock32=new DataView(new ArrayBuffer(320));let ur=yn;class cr{constructor(t){this.source=t}getElements(){const t=this.source,n=new Int32Array(t.length);for(let i=0,r=t.length;i<r;i++)n[i]=t.charCodeAt(i);return n}}function Cl(e,t,n){return new Ge(new cr(e),new cr(t)).ComputeDiff(n).changes}class ot{static Assert(t,n){if(!t)throw new Error(n)}}class lt{static Copy(t,n,i,r,s){for(let a=0;a<s;a++)i[r+a]=t[n+a]}static Copy2(t,n,i,r,s){for(let a=0;a<s;a++)i[r+a]=t[n+a]}}class hr{constructor(){this.m_changes=[],this.m_originalStart=1073741824,this.m_modifiedStart=1073741824,this.m_originalCount=0,this.m_modifiedCount=0}MarkNextChange(){(this.m_originalCount>0||this.m_modifiedCount>0)&&this.m_changes.push(new $e(this.m_originalStart,this.m_originalCount,this.m_modifiedStart,this.m_modifiedCount)),this.m_originalCount=0,this.m_modifiedCount=0,this.m_originalStart=1073741824,this.m_modifiedStart=1073741824}AddOriginalElement(t,n){this.m_originalStart=Math.min(this.m_originalStart,t),this.m_modifiedStart=Math.min(this.m_modifiedStart,n),this.m_originalCount++}AddModifiedElement(t,n){this.m_originalStart=Math.min(this.m_originalStart,t),this.m_modifiedStart=Math.min(this.m_modifiedStart,n),this.m_modifiedCount++}getChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes}getReverseChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes.reverse(),this.m_changes}}class Ge{constructor(t,n,i=null){this.ContinueProcessingPredicate=i,this._originalSequence=t,this._modifiedSequence=n;const[r,s,a]=Ge._getElements(t),[l,o,u]=Ge._getElements(n);this._hasStrings=a&&u,this._originalStringElements=r,this._originalElementsOrHash=s,this._modifiedStringElements=l,this._modifiedElementsOrHash=o,this.m_forwardHistory=[],this.m_reverseHistory=[]}static _isStringArray(t){return t.length>0&&typeof t[0]=="string"}static _getElements(t){const n=t.getElements();if(Ge._isStringArray(n)){const i=new Int32Array(n.length);for(let r=0,s=n.length;r<s;r++)i[r]=Sl(n[r],0);return[n,i,!0]}return n instanceof Int32Array?[[],n,!1]:[[],new Int32Array(n),!1]}ElementsAreEqual(t,n){return this._originalElementsOrHash[t]!==this._modifiedElementsOrHash[n]?!1:this._hasStrings?this._originalStringElements[t]===this._modifiedStringElements[n]:!0}ElementsAreStrictEqual(t,n){if(!this.ElementsAreEqual(t,n))return!1;const i=Ge._getStrictElement(this._originalSequence,t),r=Ge._getStrictElement(this._modifiedSequence,n);return i===r}static _getStrictElement(t,n){return typeof t.getStrictElement=="function"?t.getStrictElement(n):null}OriginalElementsAreEqual(t,n){return this._originalElementsOrHash[t]!==this._originalElementsOrHash[n]?!1:this._hasStrings?this._originalStringElements[t]===this._originalStringElements[n]:!0}ModifiedElementsAreEqual(t,n){return this._modifiedElementsOrHash[t]!==this._modifiedElementsOrHash[n]?!1:this._hasStrings?this._modifiedStringElements[t]===this._modifiedStringElements[n]:!0}ComputeDiff(t){return this._ComputeDiff(0,this._originalElementsOrHash.length-1,0,this._modifiedElementsOrHash.length-1,t)}_ComputeDiff(t,n,i,r,s){const a=[!1];let l=this.ComputeDiffRecursive(t,n,i,r,a);return s&&(l=this.PrettifyChanges(l)),{quitEarly:a[0],changes:l}}ComputeDiffRecursive(t,n,i,r,s){for(s[0]=!1;t<=n&&i<=r&&this.ElementsAreEqual(t,i);)t++,i++;for(;n>=t&&r>=i&&this.ElementsAreEqual(n,r);)n--,r--;if(t>n||i>r){let d;return i<=r?(ot.Assert(t===n+1,"originalStart should only be one more than originalEnd"),d=[new $e(t,0,i,r-i+1)]):t<=n?(ot.Assert(i===r+1,"modifiedStart should only be one more than modifiedEnd"),d=[new $e(t,n-t+1,i,0)]):(ot.Assert(t===n+1,"originalStart should only be one more than originalEnd"),ot.Assert(i===r+1,"modifiedStart should only be one more than modifiedEnd"),d=[]),d}const a=[0],l=[0],o=this.ComputeRecursionPoint(t,n,i,r,a,l,s),u=a[0],c=l[0];if(o!==null)return o;if(!s[0]){const d=this.ComputeDiffRecursive(t,u,i,c,s);let m=[];return s[0]?m=[new $e(u+1,n-(u+1)+1,c+1,r-(c+1)+1)]:m=this.ComputeDiffRecursive(u+1,n,c+1,r,s),this.ConcatenateChanges(d,m)}return[new $e(t,n-t+1,i,r-i+1)]}WALKTRACE(t,n,i,r,s,a,l,o,u,c,d,m,f,_,g,k,y,v){let E=null,M=null,D=new hr,N=n,b=i,p=f[0]-k[0]-r,x=-1073741824,U=this.m_forwardHistory.length-1;do{const L=p+t;L===N||L<b&&u[L-1]<u[L+1]?(d=u[L+1],_=d-p-r,d<x&&D.MarkNextChange(),x=d,D.AddModifiedElement(d+1,_),p=L+1-t):(d=u[L-1]+1,_=d-p-r,d<x&&D.MarkNextChange(),x=d-1,D.AddOriginalElement(d,_+1),p=L-1-t),U>=0&&(u=this.m_forwardHistory[U],t=u[0],N=1,b=u.length-1)}while(--U>=-1);if(E=D.getReverseChanges(),v[0]){let L=f[0]+1,T=k[0]+1;if(E!==null&&E.length>0){const S=E[E.length-1];L=Math.max(L,S.getOriginalEnd()),T=Math.max(T,S.getModifiedEnd())}M=[new $e(L,m-L+1,T,g-T+1)]}else{D=new hr,N=a,b=l,p=f[0]-k[0]-o,x=1073741824,U=y?this.m_reverseHistory.length-1:this.m_reverseHistory.length-2;do{const L=p+s;L===N||L<b&&c[L-1]>=c[L+1]?(d=c[L+1]-1,_=d-p-o,d>x&&D.MarkNextChange(),x=d+1,D.AddOriginalElement(d+1,_+1),p=L+1-s):(d=c[L-1],_=d-p-o,d>x&&D.MarkNextChange(),x=d,D.AddModifiedElement(d+1,_+1),p=L-1-s),U>=0&&(c=this.m_reverseHistory[U],s=c[0],N=1,b=c.length-1)}while(--U>=-1);M=D.getChanges()}return this.ConcatenateChanges(E,M)}ComputeRecursionPoint(t,n,i,r,s,a,l){let o=0,u=0,c=0,d=0,m=0,f=0;t--,i--,s[0]=0,a[0]=0,this.m_forwardHistory=[],this.m_reverseHistory=[];const _=n-t+(r-i),g=_+1,k=new Int32Array(g),y=new Int32Array(g),v=r-i,E=n-t,M=t-i,D=n-r,b=(E-v)%2===0;k[v]=t,y[E]=n,l[0]=!1;for(let p=1;p<=_/2+1;p++){let x=0,U=0;c=this.ClipDiagonalBound(v-p,p,v,g),d=this.ClipDiagonalBound(v+p,p,v,g);for(let T=c;T<=d;T+=2){T===c||T<d&&k[T-1]<k[T+1]?o=k[T+1]:o=k[T-1]+1,u=o-(T-v)-M;const S=o;for(;o<n&&u<r&&this.ElementsAreEqual(o+1,u+1);)o++,u++;if(k[T]=o,o+u>x+U&&(x=o,U=u),!b&&Math.abs(T-E)<=p-1&&o>=y[T])return s[0]=o,a[0]=u,S<=y[T]&&p<=1448?this.WALKTRACE(v,c,d,M,E,m,f,D,k,y,o,n,s,u,r,a,b,l):null}const L=(x-t+(U-i)-p)/2;if(this.ContinueProcessingPredicate!==null&&!this.ContinueProcessingPredicate(x,L))return l[0]=!0,s[0]=x,a[0]=U,L>0&&p<=1448?this.WALKTRACE(v,c,d,M,E,m,f,D,k,y,o,n,s,u,r,a,b,l):(t++,i++,[new $e(t,n-t+1,i,r-i+1)]);m=this.ClipDiagonalBound(E-p,p,E,g),f=this.ClipDiagonalBound(E+p,p,E,g);for(let T=m;T<=f;T+=2){T===m||T<f&&y[T-1]>=y[T+1]?o=y[T+1]-1:o=y[T-1],u=o-(T-E)-D;const S=o;for(;o>t&&u>i&&this.ElementsAreEqual(o,u);)o--,u--;if(y[T]=o,b&&Math.abs(T-v)<=p&&o<=k[T])return s[0]=o,a[0]=u,S>=k[T]&&p<=1448?this.WALKTRACE(v,c,d,M,E,m,f,D,k,y,o,n,s,u,r,a,b,l):null}if(p<=1447){let T=new Int32Array(d-c+2);T[0]=v-c+1,lt.Copy2(k,c,T,1,d-c+1),this.m_forwardHistory.push(T),T=new Int32Array(f-m+2),T[0]=E-m+1,lt.Copy2(y,m,T,1,f-m+1),this.m_reverseHistory.push(T)}}return this.WALKTRACE(v,c,d,M,E,m,f,D,k,y,o,n,s,u,r,a,b,l)}PrettifyChanges(t){for(let n=0;n<t.length;n++){const i=t[n],r=n<t.length-1?t[n+1].originalStart:this._originalElementsOrHash.length,s=n<t.length-1?t[n+1].modifiedStart:this._modifiedElementsOrHash.length,a=i.originalLength>0,l=i.modifiedLength>0;for(;i.originalStart+i.originalLength<r&&i.modifiedStart+i.modifiedLength<s&&(!a||this.OriginalElementsAreEqual(i.originalStart,i.originalStart+i.originalLength))&&(!l||this.ModifiedElementsAreEqual(i.modifiedStart,i.modifiedStart+i.modifiedLength));){const u=this.ElementsAreStrictEqual(i.originalStart,i.modifiedStart);if(this.ElementsAreStrictEqual(i.originalStart+i.originalLength,i.modifiedStart+i.modifiedLength)&&!u)break;i.originalStart++,i.modifiedStart++}const o=[null];if(n<t.length-1&&this.ChangesOverlap(t[n],t[n+1],o)){t[n]=o[0],t.splice(n+1,1),n--;continue}}for(let n=t.length-1;n>=0;n--){const i=t[n];let r=0,s=0;if(n>0){const d=t[n-1];r=d.originalStart+d.originalLength,s=d.modifiedStart+d.modifiedLength}const a=i.originalLength>0,l=i.modifiedLength>0;let o=0,u=this._boundaryScore(i.originalStart,i.originalLength,i.modifiedStart,i.modifiedLength);for(let d=1;;d++){const m=i.originalStart-d,f=i.modifiedStart-d;if(m<r||f<s||a&&!this.OriginalElementsAreEqual(m,m+i.originalLength)||l&&!this.ModifiedElementsAreEqual(f,f+i.modifiedLength))break;const g=(m===r&&f===s?5:0)+this._boundaryScore(m,i.originalLength,f,i.modifiedLength);g>u&&(u=g,o=d)}i.originalStart-=o,i.modifiedStart-=o;const c=[null];if(n>0&&this.ChangesOverlap(t[n-1],t[n],c)){t[n-1]=c[0],t.splice(n,1),n++;continue}}if(this._hasStrings)for(let n=1,i=t.length;n<i;n++){const r=t[n-1],s=t[n],a=s.originalStart-r.originalStart-r.originalLength,l=r.originalStart,o=s.originalStart+s.originalLength,u=o-l,c=r.modifiedStart,d=s.modifiedStart+s.modifiedLength,m=d-c;if(a<5&&u<20&&m<20){const f=this._findBetterContiguousSequence(l,u,c,m,a);if(f){const[_,g]=f;(_!==r.originalStart+r.originalLength||g!==r.modifiedStart+r.modifiedLength)&&(r.originalLength=_-r.originalStart,r.modifiedLength=g-r.modifiedStart,s.originalStart=_+a,s.modifiedStart=g+a,s.originalLength=o-s.originalStart,s.modifiedLength=d-s.modifiedStart)}}}return t}_findBetterContiguousSequence(t,n,i,r,s){if(n<s||r<s)return null;const a=t+n-s+1,l=i+r-s+1;let o=0,u=0,c=0;for(let d=t;d<a;d++)for(let m=i;m<l;m++){const f=this._contiguousSequenceScore(d,m,s);f>0&&f>o&&(o=f,u=d,c=m)}return o>0?[u,c]:null}_contiguousSequenceScore(t,n,i){let r=0;for(let s=0;s<i;s++){if(!this.ElementsAreEqual(t+s,n+s))return 0;r+=this._originalStringElements[t+s].length}return r}_OriginalIsBoundary(t){return t<=0||t>=this._originalElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._originalStringElements[t])}_OriginalRegionIsBoundary(t,n){if(this._OriginalIsBoundary(t)||this._OriginalIsBoundary(t-1))return!0;if(n>0){const i=t+n;if(this._OriginalIsBoundary(i-1)||this._OriginalIsBoundary(i))return!0}return!1}_ModifiedIsBoundary(t){return t<=0||t>=this._modifiedElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._modifiedStringElements[t])}_ModifiedRegionIsBoundary(t,n){if(this._ModifiedIsBoundary(t)||this._ModifiedIsBoundary(t-1))return!0;if(n>0){const i=t+n;if(this._ModifiedIsBoundary(i-1)||this._ModifiedIsBoundary(i))return!0}return!1}_boundaryScore(t,n,i,r){const s=this._OriginalRegionIsBoundary(t,n)?1:0,a=this._ModifiedRegionIsBoundary(i,r)?1:0;return s+a}ConcatenateChanges(t,n){const i=[];if(t.length===0||n.length===0)return n.length>0?n:t;if(this.ChangesOverlap(t[t.length-1],n[0],i)){const r=new Array(t.length+n.length-1);return lt.Copy(t,0,r,0,t.length-1),r[t.length-1]=i[0],lt.Copy(n,1,r,t.length,n.length-1),r}else{const r=new Array(t.length+n.length);return lt.Copy(t,0,r,0,t.length),lt.Copy(n,0,r,t.length,n.length),r}}ChangesOverlap(t,n,i){if(ot.Assert(t.originalStart<=n.originalStart,"Left change is not less than or equal to right change"),ot.Assert(t.modifiedStart<=n.modifiedStart,"Left change is not less than or equal to right change"),t.originalStart+t.originalLength>=n.originalStart||t.modifiedStart+t.modifiedLength>=n.modifiedStart){const r=t.originalStart;let s=t.originalLength;const a=t.modifiedStart;let l=t.modifiedLength;return t.originalStart+t.originalLength>=n.originalStart&&(s=n.originalStart+n.originalLength-t.originalStart),t.modifiedStart+t.modifiedLength>=n.modifiedStart&&(l=n.modifiedStart+n.modifiedLength-t.modifiedStart),i[0]=new $e(r,s,a,l),!0}else return i[0]=null,!1}ClipDiagonalBound(t,n,i,r){if(t>=0&&t<r)return t;const s=i,a=r-i-1,l=n%2===0;if(t<0){const o=s%2===0;return l===o?0:1}else{const o=a%2===0;return l===o?r-1:r-2}}}let te=class tt{constructor(t,n){this.lineNumber=t,this.column=n}with(t=this.lineNumber,n=this.column){return t===this.lineNumber&&n===this.column?this:new tt(t,n)}delta(t=0,n=0){return this.with(this.lineNumber+t,this.column+n)}equals(t){return tt.equals(this,t)}static equals(t,n){return!t&&!n?!0:!!t&&!!n&&t.lineNumber===n.lineNumber&&t.column===n.column}isBefore(t){return tt.isBefore(this,t)}static isBefore(t,n){return t.lineNumber<n.lineNumber?!0:n.lineNumber<t.lineNumber?!1:t.column<n.column}isBeforeOrEqual(t){return tt.isBeforeOrEqual(this,t)}static isBeforeOrEqual(t,n){return t.lineNumber<n.lineNumber?!0:n.lineNumber<t.lineNumber?!1:t.column<=n.column}static compare(t,n){const i=t.lineNumber|0,r=n.lineNumber|0;if(i===r){const s=t.column|0,a=n.column|0;return s-a}return i-r}clone(){return new tt(this.lineNumber,this.column)}toString(){return"("+this.lineNumber+","+this.column+")"}static lift(t){return new tt(t.lineNumber,t.column)}static isIPosition(t){return t&&typeof t.lineNumber=="number"&&typeof t.column=="number"}toJSON(){return{lineNumber:this.lineNumber,column:this.column}}},G=class ne{constructor(t,n,i,r){t>i||t===i&&n>r?(this.startLineNumber=i,this.startColumn=r,this.endLineNumber=t,this.endColumn=n):(this.startLineNumber=t,this.startColumn=n,this.endLineNumber=i,this.endColumn=r)}isEmpty(){return ne.isEmpty(this)}static isEmpty(t){return t.startLineNumber===t.endLineNumber&&t.startColumn===t.endColumn}containsPosition(t){return ne.containsPosition(this,t)}static containsPosition(t,n){return!(n.lineNumber<t.startLineNumber||n.lineNumber>t.endLineNumber||n.lineNumber===t.startLineNumber&&n.column<t.startColumn||n.lineNumber===t.endLineNumber&&n.column>t.endColumn)}static strictContainsPosition(t,n){return!(n.lineNumber<t.startLineNumber||n.lineNumber>t.endLineNumber||n.lineNumber===t.startLineNumber&&n.column<=t.startColumn||n.lineNumber===t.endLineNumber&&n.column>=t.endColumn)}containsRange(t){return ne.containsRange(this,t)}static containsRange(t,n){return!(n.startLineNumber<t.startLineNumber||n.endLineNumber<t.startLineNumber||n.startLineNumber>t.endLineNumber||n.endLineNumber>t.endLineNumber||n.startLineNumber===t.startLineNumber&&n.startColumn<t.startColumn||n.endLineNumber===t.endLineNumber&&n.endColumn>t.endColumn)}strictContainsRange(t){return ne.strictContainsRange(this,t)}static strictContainsRange(t,n){return!(n.startLineNumber<t.startLineNumber||n.endLineNumber<t.startLineNumber||n.startLineNumber>t.endLineNumber||n.endLineNumber>t.endLineNumber||n.startLineNumber===t.startLineNumber&&n.startColumn<=t.startColumn||n.endLineNumber===t.endLineNumber&&n.endColumn>=t.endColumn)}plusRange(t){return ne.plusRange(this,t)}static plusRange(t,n){let i,r,s,a;return n.startLineNumber<t.startLineNumber?(i=n.startLineNumber,r=n.startColumn):n.startLineNumber===t.startLineNumber?(i=n.startLineNumber,r=Math.min(n.startColumn,t.startColumn)):(i=t.startLineNumber,r=t.startColumn),n.endLineNumber>t.endLineNumber?(s=n.endLineNumber,a=n.endColumn):n.endLineNumber===t.endLineNumber?(s=n.endLineNumber,a=Math.max(n.endColumn,t.endColumn)):(s=t.endLineNumber,a=t.endColumn),new ne(i,r,s,a)}intersectRanges(t){return ne.intersectRanges(this,t)}static intersectRanges(t,n){let i=t.startLineNumber,r=t.startColumn,s=t.endLineNumber,a=t.endColumn;const l=n.startLineNumber,o=n.startColumn,u=n.endLineNumber,c=n.endColumn;return i<l?(i=l,r=o):i===l&&(r=Math.max(r,o)),s>u?(s=u,a=c):s===u&&(a=Math.min(a,c)),i>s||i===s&&r>a?null:new ne(i,r,s,a)}equalsRange(t){return ne.equalsRange(this,t)}static equalsRange(t,n){return!t&&!n?!0:!!t&&!!n&&t.startLineNumber===n.startLineNumber&&t.startColumn===n.startColumn&&t.endLineNumber===n.endLineNumber&&t.endColumn===n.endColumn}getEndPosition(){return ne.getEndPosition(this)}static getEndPosition(t){return new te(t.endLineNumber,t.endColumn)}getStartPosition(){return ne.getStartPosition(this)}static getStartPosition(t){return new te(t.startLineNumber,t.startColumn)}toString(){return"["+this.startLineNumber+","+this.startColumn+" -> "+this.endLineNumber+","+this.endColumn+"]"}setEndPosition(t,n){return new ne(this.startLineNumber,this.startColumn,t,n)}setStartPosition(t,n){return new ne(t,n,this.endLineNumber,this.endColumn)}collapseToStart(){return ne.collapseToStart(this)}static collapseToStart(t){return new ne(t.startLineNumber,t.startColumn,t.startLineNumber,t.startColumn)}collapseToEnd(){return ne.collapseToEnd(this)}static collapseToEnd(t){return new ne(t.endLineNumber,t.endColumn,t.endLineNumber,t.endColumn)}delta(t){return new ne(this.startLineNumber+t,this.startColumn,this.endLineNumber+t,this.endColumn)}static fromPositions(t,n=t){return new ne(t.lineNumber,t.column,n.lineNumber,n.column)}static lift(t){return t?new ne(t.startLineNumber,t.startColumn,t.endLineNumber,t.endColumn):null}static isIRange(t){return t&&typeof t.startLineNumber=="number"&&typeof t.startColumn=="number"&&typeof t.endLineNumber=="number"&&typeof t.endColumn=="number"}static areIntersectingOrTouching(t,n){return!(t.endLineNumber<n.startLineNumber||t.endLineNumber===n.startLineNumber&&t.endColumn<n.startColumn||n.endLineNumber<t.startLineNumber||n.endLineNumber===t.startLineNumber&&n.endColumn<t.startColumn)}static areIntersecting(t,n){return!(t.endLineNumber<n.startLineNumber||t.endLineNumber===n.startLineNumber&&t.endColumn<=n.startColumn||n.endLineNumber<t.startLineNumber||n.endLineNumber===t.startLineNumber&&n.endColumn<=t.startColumn)}static compareRangesUsingStarts(t,n){if(t&&n){const s=t.startLineNumber|0,a=n.startLineNumber|0;if(s===a){const l=t.startColumn|0,o=n.startColumn|0;if(l===o){const u=t.endLineNumber|0,c=n.endLineNumber|0;if(u===c){const d=t.endColumn|0,m=n.endColumn|0;return d-m}return u-c}return l-o}return s-a}return(t?1:0)-(n?1:0)}static compareRangesUsingEnds(t,n){return t.endLineNumber===n.endLineNumber?t.endColumn===n.endColumn?t.startLineNumber===n.startLineNumber?t.startColumn-n.startColumn:t.startLineNumber-n.startLineNumber:t.endColumn-n.endColumn:t.endLineNumber-n.endLineNumber}static spansMultipleLines(t){return t.endLineNumber>t.startLineNumber}toJSON(){return this}};function dr(e){return e<0?0:e>255?255:e|0}function ut(e){return e<0?0:e>4294967295?4294967295:e|0}class $n{constructor(t){const n=dr(t);this._defaultValue=n,this._asciiMap=$n._createAsciiMap(n),this._map=new Map}static _createAsciiMap(t){const n=new Uint8Array(256);return n.fill(t),n}set(t,n){const i=dr(n);t>=0&&t<256?this._asciiMap[t]=i:this._map.set(t,i)}get(t){return t>=0&&t<256?this._asciiMap[t]:this._map.get(t)||this._defaultValue}clear(){this._asciiMap.fill(this._defaultValue),this._map.clear()}}class El{constructor(t,n,i){const r=new Uint8Array(t*n);for(let s=0,a=t*n;s<a;s++)r[s]=i;this._data=r,this.rows=t,this.cols=n}get(t,n){return this._data[t*this.cols+n]}set(t,n,i){this._data[t*this.cols+n]=i}}class Rl{constructor(t){let n=0,i=0;for(let s=0,a=t.length;s<a;s++){const[l,o,u]=t[s];o>n&&(n=o),l>i&&(i=l),u>i&&(i=u)}n++,i++;const r=new El(i,n,0);for(let s=0,a=t.length;s<a;s++){const[l,o,u]=t[s];r.set(l,o,u)}this._states=r,this._maxCharCode=n}nextState(t,n){return n<0||n>=this._maxCharCode?0:this._states.get(t,n)}}let Gn=null;function Ml(){return Gn===null&&(Gn=new Rl([[1,104,2],[1,72,2],[1,102,6],[1,70,6],[2,116,3],[2,84,3],[3,116,4],[3,84,4],[4,112,5],[4,80,5],[5,115,9],[5,83,9],[5,58,10],[6,105,7],[6,73,7],[7,108,8],[7,76,8],[8,101,9],[8,69,9],[9,58,10],[10,47,11],[11,47,12]])),Gn}let St=null;function Nl(){if(St===null){St=new $n(0);const e=` <>'"、。。、,.:;‘〈「『〔([{「」}])〕』」〉’`~…`;for(let n=0;n<e.length;n++)St.set(e.charCodeAt(n),1);const t=".,;:";for(let n=0;n<t.length;n++)St.set(t.charCodeAt(n),2)}return St}class Xt{static _createLink(t,n,i,r,s){let a=s-1;do{const l=n.charCodeAt(a);if(t.get(l)!==2)break;a--}while(a>r);if(r>0){const l=n.charCodeAt(r-1),o=n.charCodeAt(a);(l===40&&o===41||l===91&&o===93||l===123&&o===125)&&a--}return{range:{startLineNumber:i,startColumn:r+1,endLineNumber:i,endColumn:a+2},url:n.substring(r,a+1)}}static computeLinks(t,n=Ml()){const i=Nl(),r=[];for(let s=1,a=t.getLineCount();s<=a;s++){const l=t.getLineContent(s),o=l.length;let u=0,c=0,d=0,m=1,f=!1,_=!1,g=!1,k=!1;for(;u<o;){let y=!1;const v=l.charCodeAt(u);if(m===13){let E;switch(v){case 40:f=!0,E=0;break;case 41:E=f?0:1;break;case 91:g=!0,_=!0,E=0;break;case 93:g=!1,E=_?0:1;break;case 123:k=!0,E=0;break;case 125:E=k?0:1;break;case 39:case 34:case 96:d===v?E=1:d===39||d===34||d===96?E=0:E=1;break;case 42:E=d===42?1:0;break;case 124:E=d===124?1:0;break;case 32:E=g?0:1;break;default:E=i.get(v)}E===1&&(r.push(Xt._createLink(i,l,s,c,u)),y=!0)}else if(m===12){let E;v===91?(_=!0,E=0):E=i.get(v),E===1?y=!0:m=13}else m=n.nextState(m,v),m===0&&(y=!0);y&&(m=1,f=!1,_=!1,k=!1,c=u+1,d=v),u++}m===13&&r.push(Xt._createLink(i,l,s,c,o))}return r}}function Dl(e){return!e||typeof e.getLineCount!="function"||typeof e.getLineContent!="function"?[]:Xt.computeLinks(e)}const xn=class xn{constructor(){this._defaultValueSet=[["true","false"],["True","False"],["Private","Public","Friend","ReadOnly","Partial","Protected","WriteOnly"],["public","protected","private"]]}navigateValueSet(t,n,i,r,s){if(t&&n){const a=this.doNavigateValueSet(n,s);if(a)return{range:t,value:a}}if(i&&r){const a=this.doNavigateValueSet(r,s);if(a)return{range:i,value:a}}return null}doNavigateValueSet(t,n){const i=this.numberReplace(t,n);return i!==null?i:this.textReplace(t,n)}numberReplace(t,n){const i=Math.pow(10,t.length-(t.lastIndexOf(".")+1));let r=Number(t);const s=parseFloat(t);return!isNaN(r)&&!isNaN(s)&&r===s?r===0&&!n?null:(r=Math.floor(r*i),r+=n?i:-i,String(r/i)):null}textReplace(t,n){return this.valueSetsReplace(this._defaultValueSet,t,n)}valueSetsReplace(t,n,i){let r=null;for(let s=0,a=t.length;r===null&&s<a;s++)r=this.valueSetReplace(t[s],n,i);return r}valueSetReplace(t,n,i){let r=t.indexOf(n);return r>=0?(r+=i?1:-1,r<0?r=t.length-1:r%=t.length,t[r]):null}};xn.INSTANCE=new xn;let Xn=xn;const mr=Object.freeze(function(e,t){const n=setTimeout(e.bind(t),0);return{dispose(){clearTimeout(n)}}});var Jt;(function(e){function t(n){return n===e.None||n===e.Cancelled||n instanceof Yt?!0:!n||typeof n!="object"?!1:typeof n.isCancellationRequested=="boolean"&&typeof n.onCancellationRequested=="function"}e.isCancellationToken=t,e.None=Object.freeze({isCancellationRequested:!1,onCancellationRequested:qt.None}),e.Cancelled=Object.freeze({isCancellationRequested:!0,onCancellationRequested:mr})})(Jt||(Jt={}));class Yt{constructor(){this._isCancelled=!1,this._emitter=null}cancel(){this._isCancelled||(this._isCancelled=!0,this._emitter&&(this._emitter.fire(void 0),this.dispose()))}get isCancellationRequested(){return this._isCancelled}get onCancellationRequested(){return this._isCancelled?mr:(this._emitter||(this._emitter=new ve),this._emitter.event)}dispose(){this._emitter&&(this._emitter.dispose(),this._emitter=null)}}class Il{constructor(t){this._token=void 0,this._parentListener=void 0,this._parentListener=t&&t.onCancellationRequested(this.cancel,this)}get token(){return this._token||(this._token=new Yt),this._token}cancel(){this._token?this._token instanceof Yt&&this._token.cancel():this._token=Jt.Cancelled}dispose(t=!1){var n;t&&this.cancel(),(n=this._parentListener)==null||n.dispose(),this._token?this._token instanceof Yt&&this._token.dispose():this._token=Jt.None}}class Jn{constructor(){this._keyCodeToStr=[],this._strToKeyCode=Object.create(null)}define(t,n){this._keyCodeToStr[t]=n,this._strToKeyCode[n.toLowerCase()]=t}keyCodeToStr(t){return this._keyCodeToStr[t]}strToKeyCode(t){return this._strToKeyCode[t.toLowerCase()]||0}}const Qt=new Jn,Yn=new Jn,Qn=new Jn,zl=new Array(230),Ul=Object.create(null),Hl=Object.create(null);(function(){const e="",t=[[1,0,"None",0,"unknown",0,"VK_UNKNOWN",e,e],[1,1,"Hyper",0,e,0,e,e,e],[1,2,"Super",0,e,0,e,e,e],[1,3,"Fn",0,e,0,e,e,e],[1,4,"FnLock",0,e,0,e,e,e],[1,5,"Suspend",0,e,0,e,e,e],[1,6,"Resume",0,e,0,e,e,e],[1,7,"Turbo",0,e,0,e,e,e],[1,8,"Sleep",0,e,0,"VK_SLEEP",e,e],[1,9,"WakeUp",0,e,0,e,e,e],[0,10,"KeyA",31,"A",65,"VK_A",e,e],[0,11,"KeyB",32,"B",66,"VK_B",e,e],[0,12,"KeyC",33,"C",67,"VK_C",e,e],[0,13,"KeyD",34,"D",68,"VK_D",e,e],[0,14,"KeyE",35,"E",69,"VK_E",e,e],[0,15,"KeyF",36,"F",70,"VK_F",e,e],[0,16,"KeyG",37,"G",71,"VK_G",e,e],[0,17,"KeyH",38,"H",72,"VK_H",e,e],[0,18,"KeyI",39,"I",73,"VK_I",e,e],[0,19,"KeyJ",40,"J",74,"VK_J",e,e],[0,20,"KeyK",41,"K",75,"VK_K",e,e],[0,21,"KeyL",42,"L",76,"VK_L",e,e],[0,22,"KeyM",43,"M",77,"VK_M",e,e],[0,23,"KeyN",44,"N",78,"VK_N",e,e],[0,24,"KeyO",45,"O",79,"VK_O",e,e],[0,25,"KeyP",46,"P",80,"VK_P",e,e],[0,26,"KeyQ",47,"Q",81,"VK_Q",e,e],[0,27,"KeyR",48,"R",82,"VK_R",e,e],[0,28,"KeyS",49,"S",83,"VK_S",e,e],[0,29,"KeyT",50,"T",84,"VK_T",e,e],[0,30,"KeyU",51,"U",85,"VK_U",e,e],[0,31,"KeyV",52,"V",86,"VK_V",e,e],[0,32,"KeyW",53,"W",87,"VK_W",e,e],[0,33,"KeyX",54,"X",88,"VK_X",e,e],[0,34,"KeyY",55,"Y",89,"VK_Y",e,e],[0,35,"KeyZ",56,"Z",90,"VK_Z",e,e],[0,36,"Digit1",22,"1",49,"VK_1",e,e],[0,37,"Digit2",23,"2",50,"VK_2",e,e],[0,38,"Digit3",24,"3",51,"VK_3",e,e],[0,39,"Digit4",25,"4",52,"VK_4",e,e],[0,40,"Digit5",26,"5",53,"VK_5",e,e],[0,41,"Digit6",27,"6",54,"VK_6",e,e],[0,42,"Digit7",28,"7",55,"VK_7",e,e],[0,43,"Digit8",29,"8",56,"VK_8",e,e],[0,44,"Digit9",30,"9",57,"VK_9",e,e],[0,45,"Digit0",21,"0",48,"VK_0",e,e],[1,46,"Enter",3,"Enter",13,"VK_RETURN",e,e],[1,47,"Escape",9,"Escape",27,"VK_ESCAPE",e,e],[1,48,"Backspace",1,"Backspace",8,"VK_BACK",e,e],[1,49,"Tab",2,"Tab",9,"VK_TAB",e,e],[1,50,"Space",10,"Space",32,"VK_SPACE",e,e],[0,51,"Minus",88,"-",189,"VK_OEM_MINUS","-","OEM_MINUS"],[0,52,"Equal",86,"=",187,"VK_OEM_PLUS","=","OEM_PLUS"],[0,53,"BracketLeft",92,"[",219,"VK_OEM_4","[","OEM_4"],[0,54,"BracketRight",94,"]",221,"VK_OEM_6","]","OEM_6"],[0,55,"Backslash",93,"\\",220,"VK_OEM_5","\\","OEM_5"],[0,56,"IntlHash",0,e,0,e,e,e],[0,57,"Semicolon",85,";",186,"VK_OEM_1",";","OEM_1"],[0,58,"Quote",95,"'",222,"VK_OEM_7","'","OEM_7"],[0,59,"Backquote",91,"`",192,"VK_OEM_3","`","OEM_3"],[0,60,"Comma",87,",",188,"VK_OEM_COMMA",",","OEM_COMMA"],[0,61,"Period",89,".",190,"VK_OEM_PERIOD",".","OEM_PERIOD"],[0,62,"Slash",90,"/",191,"VK_OEM_2","/","OEM_2"],[1,63,"CapsLock",8,"CapsLock",20,"VK_CAPITAL",e,e],[1,64,"F1",59,"F1",112,"VK_F1",e,e],[1,65,"F2",60,"F2",113,"VK_F2",e,e],[1,66,"F3",61,"F3",114,"VK_F3",e,e],[1,67,"F4",62,"F4",115,"VK_F4",e,e],[1,68,"F5",63,"F5",116,"VK_F5",e,e],[1,69,"F6",64,"F6",117,"VK_F6",e,e],[1,70,"F7",65,"F7",118,"VK_F7",e,e],[1,71,"F8",66,"F8",119,"VK_F8",e,e],[1,72,"F9",67,"F9",120,"VK_F9",e,e],[1,73,"F10",68,"F10",121,"VK_F10",e,e],[1,74,"F11",69,"F11",122,"VK_F11",e,e],[1,75,"F12",70,"F12",123,"VK_F12",e,e],[1,76,"PrintScreen",0,e,0,e,e,e],[1,77,"ScrollLock",84,"ScrollLock",145,"VK_SCROLL",e,e],[1,78,"Pause",7,"PauseBreak",19,"VK_PAUSE",e,e],[1,79,"Insert",19,"Insert",45,"VK_INSERT",e,e],[1,80,"Home",14,"Home",36,"VK_HOME",e,e],[1,81,"PageUp",11,"PageUp",33,"VK_PRIOR",e,e],[1,82,"Delete",20,"Delete",46,"VK_DELETE",e,e],[1,83,"End",13,"End",35,"VK_END",e,e],[1,84,"PageDown",12,"PageDown",34,"VK_NEXT",e,e],[1,85,"ArrowRight",17,"RightArrow",39,"VK_RIGHT","Right",e],[1,86,"ArrowLeft",15,"LeftArrow",37,"VK_LEFT","Left",e],[1,87,"ArrowDown",18,"DownArrow",40,"VK_DOWN","Down",e],[1,88,"ArrowUp",16,"UpArrow",38,"VK_UP","Up",e],[1,89,"NumLock",83,"NumLock",144,"VK_NUMLOCK",e,e],[1,90,"NumpadDivide",113,"NumPad_Divide",111,"VK_DIVIDE",e,e],[1,91,"NumpadMultiply",108,"NumPad_Multiply",106,"VK_MULTIPLY",e,e],[1,92,"NumpadSubtract",111,"NumPad_Subtract",109,"VK_SUBTRACT",e,e],[1,93,"NumpadAdd",109,"NumPad_Add",107,"VK_ADD",e,e],[1,94,"NumpadEnter",3,e,0,e,e,e],[1,95,"Numpad1",99,"NumPad1",97,"VK_NUMPAD1",e,e],[1,96,"Numpad2",100,"NumPad2",98,"VK_NUMPAD2",e,e],[1,97,"Numpad3",101,"NumPad3",99,"VK_NUMPAD3",e,e],[1,98,"Numpad4",102,"NumPad4",100,"VK_NUMPAD4",e,e],[1,99,"Numpad5",103,"NumPad5",101,"VK_NUMPAD5",e,e],[1,100,"Numpad6",104,"NumPad6",102,"VK_NUMPAD6",e,e],[1,101,"Numpad7",105,"NumPad7",103,"VK_NUMPAD7",e,e],[1,102,"Numpad8",106,"NumPad8",104,"VK_NUMPAD8",e,e],[1,103,"Numpad9",107,"NumPad9",105,"VK_NUMPAD9",e,e],[1,104,"Numpad0",98,"NumPad0",96,"VK_NUMPAD0",e,e],[1,105,"NumpadDecimal",112,"NumPad_Decimal",110,"VK_DECIMAL",e,e],[0,106,"IntlBackslash",97,"OEM_102",226,"VK_OEM_102",e,e],[1,107,"ContextMenu",58,"ContextMenu",93,e,e,e],[1,108,"Power",0,e,0,e,e,e],[1,109,"NumpadEqual",0,e,0,e,e,e],[1,110,"F13",71,"F13",124,"VK_F13",e,e],[1,111,"F14",72,"F14",125,"VK_F14",e,e],[1,112,"F15",73,"F15",126,"VK_F15",e,e],[1,113,"F16",74,"F16",127,"VK_F16",e,e],[1,114,"F17",75,"F17",128,"VK_F17",e,e],[1,115,"F18",76,"F18",129,"VK_F18",e,e],[1,116,"F19",77,"F19",130,"VK_F19",e,e],[1,117,"F20",78,"F20",131,"VK_F20",e,e],[1,118,"F21",79,"F21",132,"VK_F21",e,e],[1,119,"F22",80,"F22",133,"VK_F22",e,e],[1,120,"F23",81,"F23",134,"VK_F23",e,e],[1,121,"F24",82,"F24",135,"VK_F24",e,e],[1,122,"Open",0,e,0,e,e,e],[1,123,"Help",0,e,0,e,e,e],[1,124,"Select",0,e,0,e,e,e],[1,125,"Again",0,e,0,e,e,e],[1,126,"Undo",0,e,0,e,e,e],[1,127,"Cut",0,e,0,e,e,e],[1,128,"Copy",0,e,0,e,e,e],[1,129,"Paste",0,e,0,e,e,e],[1,130,"Find",0,e,0,e,e,e],[1,131,"AudioVolumeMute",117,"AudioVolumeMute",173,"VK_VOLUME_MUTE",e,e],[1,132,"AudioVolumeUp",118,"AudioVolumeUp",175,"VK_VOLUME_UP",e,e],[1,133,"AudioVolumeDown",119,"AudioVolumeDown",174,"VK_VOLUME_DOWN",e,e],[1,134,"NumpadComma",110,"NumPad_Separator",108,"VK_SEPARATOR",e,e],[0,135,"IntlRo",115,"ABNT_C1",193,"VK_ABNT_C1",e,e],[1,136,"KanaMode",0,e,0,e,e,e],[0,137,"IntlYen",0,e,0,e,e,e],[1,138,"Convert",0,e,0,e,e,e],[1,139,"NonConvert",0,e,0,e,e,e],[1,140,"Lang1",0,e,0,e,e,e],[1,141,"Lang2",0,e,0,e,e,e],[1,142,"Lang3",0,e,0,e,e,e],[1,143,"Lang4",0,e,0,e,e,e],[1,144,"Lang5",0,e,0,e,e,e],[1,145,"Abort",0,e,0,e,e,e],[1,146,"Props",0,e,0,e,e,e],[1,147,"NumpadParenLeft",0,e,0,e,e,e],[1,148,"NumpadParenRight",0,e,0,e,e,e],[1,149,"NumpadBackspace",0,e,0,e,e,e],[1,150,"NumpadMemoryStore",0,e,0,e,e,e],[1,151,"NumpadMemoryRecall",0,e,0,e,e,e],[1,152,"NumpadMemoryClear",0,e,0,e,e,e],[1,153,"NumpadMemoryAdd",0,e,0,e,e,e],[1,154,"NumpadMemorySubtract",0,e,0,e,e,e],[1,155,"NumpadClear",131,"Clear",12,"VK_CLEAR",e,e],[1,156,"NumpadClearEntry",0,e,0,e,e,e],[1,0,e,5,"Ctrl",17,"VK_CONTROL",e,e],[1,0,e,4,"Shift",16,"VK_SHIFT",e,e],[1,0,e,6,"Alt",18,"VK_MENU",e,e],[1,0,e,57,"Meta",91,"VK_COMMAND",e,e],[1,157,"ControlLeft",5,e,0,"VK_LCONTROL",e,e],[1,158,"ShiftLeft",4,e,0,"VK_LSHIFT",e,e],[1,159,"AltLeft",6,e,0,"VK_LMENU",e,e],[1,160,"MetaLeft",57,e,0,"VK_LWIN",e,e],[1,161,"ControlRight",5,e,0,"VK_RCONTROL",e,e],[1,162,"ShiftRight",4,e,0,"VK_RSHIFT",e,e],[1,163,"AltRight",6,e,0,"VK_RMENU",e,e],[1,164,"MetaRight",57,e,0,"VK_RWIN",e,e],[1,165,"BrightnessUp",0,e,0,e,e,e],[1,166,"BrightnessDown",0,e,0,e,e,e],[1,167,"MediaPlay",0,e,0,e,e,e],[1,168,"MediaRecord",0,e,0,e,e,e],[1,169,"MediaFastForward",0,e,0,e,e,e],[1,170,"MediaRewind",0,e,0,e,e,e],[1,171,"MediaTrackNext",124,"MediaTrackNext",176,"VK_MEDIA_NEXT_TRACK",e,e],[1,172,"MediaTrackPrevious",125,"MediaTrackPrevious",177,"VK_MEDIA_PREV_TRACK",e,e],[1,173,"MediaStop",126,"MediaStop",178,"VK_MEDIA_STOP",e,e],[1,174,"Eject",0,e,0,e,e,e],[1,175,"MediaPlayPause",127,"MediaPlayPause",179,"VK_MEDIA_PLAY_PAUSE",e,e],[1,176,"MediaSelect",128,"LaunchMediaPlayer",181,"VK_MEDIA_LAUNCH_MEDIA_SELECT",e,e],[1,177,"LaunchMail",129,"LaunchMail",180,"VK_MEDIA_LAUNCH_MAIL",e,e],[1,178,"LaunchApp2",130,"LaunchApp2",183,"VK_MEDIA_LAUNCH_APP2",e,e],[1,179,"LaunchApp1",0,e,0,"VK_MEDIA_LAUNCH_APP1",e,e],[1,180,"SelectTask",0,e,0,e,e,e],[1,181,"LaunchScreenSaver",0,e,0,e,e,e],[1,182,"BrowserSearch",120,"BrowserSearch",170,"VK_BROWSER_SEARCH",e,e],[1,183,"BrowserHome",121,"BrowserHome",172,"VK_BROWSER_HOME",e,e],[1,184,"BrowserBack",122,"BrowserBack",166,"VK_BROWSER_BACK",e,e],[1,185,"BrowserForward",123,"BrowserForward",167,"VK_BROWSER_FORWARD",e,e],[1,186,"BrowserStop",0,e,0,"VK_BROWSER_STOP",e,e],[1,187,"BrowserRefresh",0,e,0,"VK_BROWSER_REFRESH",e,e],[1,188,"BrowserFavorites",0,e,0,"VK_BROWSER_FAVORITES",e,e],[1,189,"ZoomToggle",0,e,0,e,e,e],[1,190,"MailReply",0,e,0,e,e,e],[1,191,"MailForward",0,e,0,e,e,e],[1,192,"MailSend",0,e,0,e,e,e],[1,0,e,114,"KeyInComposition",229,e,e,e],[1,0,e,116,"ABNT_C2",194,"VK_ABNT_C2",e,e],[1,0,e,96,"OEM_8",223,"VK_OEM_8",e,e],[1,0,e,0,e,0,"VK_KANA",e,e],[1,0,e,0,e,0,"VK_HANGUL",e,e],[1,0,e,0,e,0,"VK_JUNJA",e,e],[1,0,e,0,e,0,"VK_FINAL",e,e],[1,0,e,0,e,0,"VK_HANJA",e,e],[1,0,e,0,e,0,"VK_KANJI",e,e],[1,0,e,0,e,0,"VK_CONVERT",e,e],[1,0,e,0,e,0,"VK_NONCONVERT",e,e],[1,0,e,0,e,0,"VK_ACCEPT",e,e],[1,0,e,0,e,0,"VK_MODECHANGE",e,e],[1,0,e,0,e,0,"VK_SELECT",e,e],[1,0,e,0,e,0,"VK_PRINT",e,e],[1,0,e,0,e,0,"VK_EXECUTE",e,e],[1,0,e,0,e,0,"VK_SNAPSHOT",e,e],[1,0,e,0,e,0,"VK_HELP",e,e],[1,0,e,0,e,0,"VK_APPS",e,e],[1,0,e,0,e,0,"VK_PROCESSKEY",e,e],[1,0,e,0,e,0,"VK_PACKET",e,e],[1,0,e,0,e,0,"VK_DBE_SBCSCHAR",e,e],[1,0,e,0,e,0,"VK_DBE_DBCSCHAR",e,e],[1,0,e,0,e,0,"VK_ATTN",e,e],[1,0,e,0,e,0,"VK_CRSEL",e,e],[1,0,e,0,e,0,"VK_EXSEL",e,e],[1,0,e,0,e,0,"VK_EREOF",e,e],[1,0,e,0,e,0,"VK_PLAY",e,e],[1,0,e,0,e,0,"VK_ZOOM",e,e],[1,0,e,0,e,0,"VK_NONAME",e,e],[1,0,e,0,e,0,"VK_PA1",e,e],[1,0,e,0,e,0,"VK_OEM_CLEAR",e,e]],n=[],i=[];for(const r of t){const[s,a,l,o,u,c,d,m,f]=r;if(i[a]||(i[a]=!0,Ul[l]=a,Hl[l.toLowerCase()]=a),!n[o]){if(n[o]=!0,!u)throw new Error(`String representation missing for key code ${o} around scan code ${l}`);Qt.define(o,u),Yn.define(o,m||u),Qn.define(o,f||m||u)}c&&(zl[c]=o)}})();var fr;(function(e){function t(l){return Qt.keyCodeToStr(l)}e.toString=t;function n(l){return Qt.strToKeyCode(l)}e.fromString=n;function i(l){return Yn.keyCodeToStr(l)}e.toUserSettingsUS=i;function r(l){return Qn.keyCodeToStr(l)}e.toUserSettingsGeneral=r;function s(l){return Yn.strToKeyCode(l)||Qn.strToKeyCode(l)}e.fromUserSettings=s;function a(l){if(l>=98&&l<=113)return null;switch(l){case 16:return"Up";case 18:return"Down";case 15:return"Left";case 17:return"Right"}return Qt.keyCodeToStr(l)}e.toElectronAccelerator=a})(fr||(fr={}));function Wl(e,t){const n=(t&65535)<<16>>>0;return(e|n)>>>0}class be extends G{constructor(t,n,i,r){super(t,n,i,r),this.selectionStartLineNumber=t,this.selectionStartColumn=n,this.positionLineNumber=i,this.positionColumn=r}toString(){return"["+this.selectionStartLineNumber+","+this.selectionStartColumn+" -> "+this.positionLineNumber+","+this.positionColumn+"]"}equalsSelection(t){return be.selectionsEqual(this,t)}static selectionsEqual(t,n){return t.selectionStartLineNumber===n.selectionStartLineNumber&&t.selectionStartColumn===n.selectionStartColumn&&t.positionLineNumber===n.positionLineNumber&&t.positionColumn===n.positionColumn}getDirection(){return this.selectionStartLineNumber===this.startLineNumber&&this.selectionStartColumn===this.startColumn?0:1}setEndPosition(t,n){return this.getDirection()===0?new be(this.startLineNumber,this.startColumn,t,n):new be(t,n,this.startLineNumber,this.startColumn)}getPosition(){return new te(this.positionLineNumber,this.positionColumn)}getSelectionStart(){return new te(this.selectionStartLineNumber,this.selectionStartColumn)}setStartPosition(t,n){return this.getDirection()===0?new be(t,n,this.endLineNumber,this.endColumn):new be(this.endLineNumber,this.endColumn,t,n)}static fromPositions(t,n=t){return new be(t.lineNumber,t.column,n.lineNumber,n.column)}static fromRange(t,n){return n===0?new be(t.startLineNumber,t.startColumn,t.endLineNumber,t.endColumn):new be(t.endLineNumber,t.endColumn,t.startLineNumber,t.startColumn)}static liftSelection(t){return new be(t.selectionStartLineNumber,t.selectionStartColumn,t.positionLineNumber,t.positionColumn)}static selectionsArrEqual(t,n){if(t&&!n||!t&&n)return!1;if(!t&&!n)return!0;if(t.length!==n.length)return!1;for(let i=0,r=t.length;i<r;i++)if(!this.selectionsEqual(t[i],n[i]))return!1;return!0}static isISelection(t){return t&&typeof t.selectionStartLineNumber=="number"&&typeof t.selectionStartColumn=="number"&&typeof t.positionLineNumber=="number"&&typeof t.positionColumn=="number"}static createWithDirection(t,n,i,r,s){return s===0?new be(t,n,i,r):new be(i,r,t,n)}}function Fl(e){return typeof e=="string"}const pr=Object.create(null);function h(e,t){if(Fl(t)){const n=pr[t];if(n===void 0)throw new Error(`${e} references an unknown codicon: ${t}`);t=n}return pr[e]=t,{id:e}}const Pl={add:h("add",6e4),plus:h("plus",6e4),gistNew:h("gist-new",6e4),repoCreate:h("repo-create",6e4),lightbulb:h("lightbulb",60001),lightBulb:h("light-bulb",60001),repo:h("repo",60002),repoDelete:h("repo-delete",60002),gistFork:h("gist-fork",60003),repoForked:h("repo-forked",60003),gitPullRequest:h("git-pull-request",60004),gitPullRequestAbandoned:h("git-pull-request-abandoned",60004),recordKeys:h("record-keys",60005),keyboard:h("keyboard",60005),tag:h("tag",60006),gitPullRequestLabel:h("git-pull-request-label",60006),tagAdd:h("tag-add",60006),tagRemove:h("tag-remove",60006),person:h("person",60007),personFollow:h("person-follow",60007),personOutline:h("person-outline",60007),personFilled:h("person-filled",60007),gitBranch:h("git-branch",60008),gitBranchCreate:h("git-branch-create",60008),gitBranchDelete:h("git-branch-delete",60008),sourceControl:h("source-control",60008),mirror:h("mirror",60009),mirrorPublic:h("mirror-public",60009),star:h("star",60010),starAdd:h("star-add",60010),starDelete:h("star-delete",60010),starEmpty:h("star-empty",60010),comment:h("comment",60011),commentAdd:h("comment-add",60011),alert:h("alert",60012),warning:h("warning",60012),search:h("search",60013),searchSave:h("search-save",60013),logOut:h("log-out",60014),signOut:h("sign-out",60014),logIn:h("log-in",60015),signIn:h("sign-in",60015),eye:h("eye",60016),eyeUnwatch:h("eye-unwatch",60016),eyeWatch:h("eye-watch",60016),circleFilled:h("circle-filled",60017),primitiveDot:h("primitive-dot",60017),closeDirty:h("close-dirty",60017),debugBreakpoint:h("debug-breakpoint",60017),debugBreakpointDisabled:h("debug-breakpoint-disabled",60017),debugHint:h("debug-hint",60017),terminalDecorationSuccess:h("terminal-decoration-success",60017),primitiveSquare:h("primitive-square",60018),edit:h("edit",60019),pencil:h("pencil",60019),info:h("info",60020),issueOpened:h("issue-opened",60020),gistPrivate:h("gist-private",60021),gitForkPrivate:h("git-fork-private",60021),lock:h("lock",60021),mirrorPrivate:h("mirror-private",60021),close:h("close",60022),removeClose:h("remove-close",60022),x:h("x",60022),repoSync:h("repo-sync",60023),sync:h("sync",60023),clone:h("clone",60024),desktopDownload:h("desktop-download",60024),beaker:h("beaker",60025),microscope:h("microscope",60025),vm:h("vm",60026),deviceDesktop:h("device-desktop",60026),file:h("file",60027),fileText:h("file-text",60027),more:h("more",60028),ellipsis:h("ellipsis",60028),kebabHorizontal:h("kebab-horizontal",60028),mailReply:h("mail-reply",60029),reply:h("reply",60029),organization:h("organization",60030),organizationFilled:h("organization-filled",60030),organizationOutline:h("organization-outline",60030),newFile:h("new-file",60031),fileAdd:h("file-add",60031),newFolder:h("new-folder",60032),fileDirectoryCreate:h("file-directory-create",60032),trash:h("trash",60033),trashcan:h("trashcan",60033),history:h("history",60034),clock:h("clock",60034),folder:h("folder",60035),fileDirectory:h("file-directory",60035),symbolFolder:h("symbol-folder",60035),logoGithub:h("logo-github",60036),markGithub:h("mark-github",60036),github:h("github",60036),terminal:h("terminal",60037),console:h("console",60037),repl:h("repl",60037),zap:h("zap",60038),symbolEvent:h("symbol-event",60038),error:h("error",60039),stop:h("stop",60039),variable:h("variable",60040),symbolVariable:h("symbol-variable",60040),array:h("array",60042),symbolArray:h("symbol-array",60042),symbolModule:h("symbol-module",60043),symbolPackage:h("symbol-package",60043),symbolNamespace:h("symbol-namespace",60043),symbolObject:h("symbol-object",60043),symbolMethod:h("symbol-method",60044),symbolFunction:h("symbol-function",60044),symbolConstructor:h("symbol-constructor",60044),symbolBoolean:h("symbol-boolean",60047),symbolNull:h("symbol-null",60047),symbolNumeric:h("symbol-numeric",60048),symbolNumber:h("symbol-number",60048),symbolStructure:h("symbol-structure",60049),symbolStruct:h("symbol-struct",60049),symbolParameter:h("symbol-parameter",60050),symbolTypeParameter:h("symbol-type-parameter",60050),symbolKey:h("symbol-key",60051),symbolText:h("symbol-text",60051),symbolReference:h("symbol-reference",60052),goToFile:h("go-to-file",60052),symbolEnum:h("symbol-enum",60053),symbolValue:h("symbol-value",60053),symbolRuler:h("symbol-ruler",60054),symbolUnit:h("symbol-unit",60054),activateBreakpoints:h("activate-breakpoints",60055),archive:h("archive",60056),arrowBoth:h("arrow-both",60057),arrowDown:h("arrow-down",60058),arrowLeft:h("arrow-left",60059),arrowRight:h("arrow-right",60060),arrowSmallDown:h("arrow-small-down",60061),arrowSmallLeft:h("arrow-small-left",60062),arrowSmallRight:h("arrow-small-right",60063),arrowSmallUp:h("arrow-small-up",60064),arrowUp:h("arrow-up",60065),bell:h("bell",60066),bold:h("bold",60067),book:h("book",60068),bookmark:h("bookmark",60069),debugBreakpointConditionalUnverified:h("debug-breakpoint-conditional-unverified",60070),debugBreakpointConditional:h("debug-breakpoint-conditional",60071),debugBreakpointConditionalDisabled:h("debug-breakpoint-conditional-disabled",60071),debugBreakpointDataUnverified:h("debug-breakpoint-data-unverified",60072),debugBreakpointData:h("debug-breakpoint-data",60073),debugBreakpointDataDisabled:h("debug-breakpoint-data-disabled",60073),debugBreakpointLogUnverified:h("debug-breakpoint-log-unverified",60074),debugBreakpointLog:h("debug-breakpoint-log",60075),debugBreakpointLogDisabled:h("debug-breakpoint-log-disabled",60075),briefcase:h("briefcase",60076),broadcast:h("broadcast",60077),browser:h("browser",60078),bug:h("bug",60079),calendar:h("calendar",60080),caseSensitive:h("case-sensitive",60081),check:h("check",60082),checklist:h("checklist",60083),chevronDown:h("chevron-down",60084),chevronLeft:h("chevron-left",60085),chevronRight:h("chevron-right",60086),chevronUp:h("chevron-up",60087),chromeClose:h("chrome-close",60088),chromeMaximize:h("chrome-maximize",60089),chromeMinimize:h("chrome-minimize",60090),chromeRestore:h("chrome-restore",60091),circleOutline:h("circle-outline",60092),circle:h("circle",60092),debugBreakpointUnverified:h("debug-breakpoint-unverified",60092),terminalDecorationIncomplete:h("terminal-decoration-incomplete",60092),circleSlash:h("circle-slash",60093),circuitBoard:h("circuit-board",60094),clearAll:h("clear-all",60095),clippy:h("clippy",60096),closeAll:h("close-all",60097),cloudDownload:h("cloud-download",60098),cloudUpload:h("cloud-upload",60099),code:h("code",60100),collapseAll:h("collapse-all",60101),colorMode:h("color-mode",60102),commentDiscussion:h("comment-discussion",60103),creditCard:h("credit-card",60105),dash:h("dash",60108),dashboard:h("dashboard",60109),database:h("database",60110),debugContinue:h("debug-continue",60111),debugDisconnect:h("debug-disconnect",60112),debugPause:h("debug-pause",60113),debugRestart:h("debug-restart",60114),debugStart:h("debug-start",60115),debugStepInto:h("debug-step-into",60116),debugStepOut:h("debug-step-out",60117),debugStepOver:h("debug-step-over",60118),debugStop:h("debug-stop",60119),debug:h("debug",60120),deviceCameraVideo:h("device-camera-video",60121),deviceCamera:h("device-camera",60122),deviceMobile:h("device-mobile",60123),diffAdded:h("diff-added",60124),diffIgnored:h("diff-ignored",60125),diffModified:h("diff-modified",60126),diffRemoved:h("diff-removed",60127),diffRenamed:h("diff-renamed",60128),diff:h("diff",60129),diffSidebyside:h("diff-sidebyside",60129),discard:h("discard",60130),editorLayout:h("editor-layout",60131),emptyWindow:h("empty-window",60132),exclude:h("exclude",60133),extensions:h("extensions",60134),eyeClosed:h("eye-closed",60135),fileBinary:h("file-binary",60136),fileCode:h("file-code",60137),fileMedia:h("file-media",60138),filePdf:h("file-pdf",60139),fileSubmodule:h("file-submodule",60140),fileSymlinkDirectory:h("file-symlink-directory",60141),fileSymlinkFile:h("file-symlink-file",60142),fileZip:h("file-zip",60143),files:h("files",60144),filter:h("filter",60145),flame:h("flame",60146),foldDown:h("fold-down",60147),foldUp:h("fold-up",60148),fold:h("fold",60149),folderActive:h("folder-active",60150),folderOpened:h("folder-opened",60151),gear:h("gear",60152),gift:h("gift",60153),gistSecret:h("gist-secret",60154),gist:h("gist",60155),gitCommit:h("git-commit",60156),gitCompare:h("git-compare",60157),compareChanges:h("compare-changes",60157),gitMerge:h("git-merge",60158),githubAction:h("github-action",60159),githubAlt:h("github-alt",60160),globe:h("globe",60161),grabber:h("grabber",60162),graph:h("graph",60163),gripper:h("gripper",60164),heart:h("heart",60165),home:h("home",60166),horizontalRule:h("horizontal-rule",60167),hubot:h("hubot",60168),inbox:h("inbox",60169),issueReopened:h("issue-reopened",60171),issues:h("issues",60172),italic:h("italic",60173),jersey:h("jersey",60174),json:h("json",60175),kebabVertical:h("kebab-vertical",60176),key:h("key",60177),law:h("law",60178),lightbulbAutofix:h("lightbulb-autofix",60179),linkExternal:h("link-external",60180),link:h("link",60181),listOrdered:h("list-ordered",60182),listUnordered:h("list-unordered",60183),liveShare:h("live-share",60184),loading:h("loading",60185),location:h("location",60186),mailRead:h("mail-read",60187),mail:h("mail",60188),markdown:h("markdown",60189),megaphone:h("megaphone",60190),mention:h("mention",60191),milestone:h("milestone",60192),gitPullRequestMilestone:h("git-pull-request-milestone",60192),mortarBoard:h("mortar-board",60193),move:h("move",60194),multipleWindows:h("multiple-windows",60195),mute:h("mute",60196),noNewline:h("no-newline",60197),note:h("note",60198),octoface:h("octoface",60199),openPreview:h("open-preview",60200),package:h("package",60201),paintcan:h("paintcan",60202),pin:h("pin",60203),play:h("play",60204),run:h("run",60204),plug:h("plug",60205),preserveCase:h("preserve-case",60206),preview:h("preview",60207),project:h("project",60208),pulse:h("pulse",60209),question:h("question",60210),quote:h("quote",60211),radioTower:h("radio-tower",60212),reactions:h("reactions",60213),references:h("references",60214),refresh:h("refresh",60215),regex:h("regex",60216),remoteExplorer:h("remote-explorer",60217),remote:h("remote",60218),remove:h("remove",60219),replaceAll:h("replace-all",60220),replace:h("replace",60221),repoClone:h("repo-clone",60222),repoForcePush:h("repo-force-push",60223),repoPull:h("repo-pull",60224),repoPush:h("repo-push",60225),report:h("report",60226),requestChanges:h("request-changes",60227),rocket:h("rocket",60228),rootFolderOpened:h("root-folder-opened",60229),rootFolder:h("root-folder",60230),rss:h("rss",60231),ruby:h("ruby",60232),saveAll:h("save-all",60233),saveAs:h("save-as",60234),save:h("save",60235),screenFull:h("screen-full",60236),screenNormal:h("screen-normal",60237),searchStop:h("search-stop",60238),server:h("server",60240),settingsGear:h("settings-gear",60241),settings:h("settings",60242),shield:h("shield",60243),smiley:h("smiley",60244),sortPrecedence:h("sort-precedence",60245),splitHorizontal:h("split-horizontal",60246),splitVertical:h("split-vertical",60247),squirrel:h("squirrel",60248),starFull:h("star-full",60249),starHalf:h("star-half",60250),symbolClass:h("symbol-class",60251),symbolColor:h("symbol-color",60252),symbolConstant:h("symbol-constant",60253),symbolEnumMember:h("symbol-enum-member",60254),symbolField:h("symbol-field",60255),symbolFile:h("symbol-file",60256),symbolInterface:h("symbol-interface",60257),symbolKeyword:h("symbol-keyword",60258),symbolMisc:h("symbol-misc",60259),symbolOperator:h("symbol-operator",60260),symbolProperty:h("symbol-property",60261),wrench:h("wrench",60261),wrenchSubaction:h("wrench-subaction",60261),symbolSnippet:h("symbol-snippet",60262),tasklist:h("tasklist",60263),telescope:h("telescope",60264),textSize:h("text-size",60265),threeBars:h("three-bars",60266),thumbsdown:h("thumbsdown",60267),thumbsup:h("thumbsup",60268),tools:h("tools",60269),triangleDown:h("triangle-down",60270),triangleLeft:h("triangle-left",60271),triangleRight:h("triangle-right",60272),triangleUp:h("triangle-up",60273),twitter:h("twitter",60274),unfold:h("unfold",60275),unlock:h("unlock",60276),unmute:h("unmute",60277),unverified:h("unverified",60278),verified:h("verified",60279),versions:h("versions",60280),vmActive:h("vm-active",60281),vmOutline:h("vm-outline",60282),vmRunning:h("vm-running",60283),watch:h("watch",60284),whitespace:h("whitespace",60285),wholeWord:h("whole-word",60286),window:h("window",60287),wordWrap:h("word-wrap",60288),zoomIn:h("zoom-in",60289),zoomOut:h("zoom-out",60290),listFilter:h("list-filter",60291),listFlat:h("list-flat",60292),listSelection:h("list-selection",60293),selection:h("selection",60293),listTree:h("list-tree",60294),debugBreakpointFunctionUnverified:h("debug-breakpoint-function-unverified",60295),debugBreakpointFunction:h("debug-breakpoint-function",60296),debugBreakpointFunctionDisabled:h("debug-breakpoint-function-disabled",60296),debugStackframeActive:h("debug-stackframe-active",60297),circleSmallFilled:h("circle-small-filled",60298),debugStackframeDot:h("debug-stackframe-dot",60298),terminalDecorationMark:h("terminal-decoration-mark",60298),debugStackframe:h("debug-stackframe",60299),debugStackframeFocused:h("debug-stackframe-focused",60299),debugBreakpointUnsupported:h("debug-breakpoint-unsupported",60300),symbolString:h("symbol-string",60301),debugReverseContinue:h("debug-reverse-continue",60302),debugStepBack:h("debug-step-back",60303),debugRestartFrame:h("debug-restart-frame",60304),debugAlt:h("debug-alt",60305),callIncoming:h("call-incoming",60306),callOutgoing:h("call-outgoing",60307),menu:h("menu",60308),expandAll:h("expand-all",60309),feedback:h("feedback",60310),gitPullRequestReviewer:h("git-pull-request-reviewer",60310),groupByRefType:h("group-by-ref-type",60311),ungroupByRefType:h("ungroup-by-ref-type",60312),account:h("account",60313),gitPullRequestAssignee:h("git-pull-request-assignee",60313),bellDot:h("bell-dot",60314),debugConsole:h("debug-console",60315),library:h("library",60316),output:h("output",60317),runAll:h("run-all",60318),syncIgnored:h("sync-ignored",60319),pinned:h("pinned",60320),githubInverted:h("github-inverted",60321),serverProcess:h("server-process",60322),serverEnvironment:h("server-environment",60323),pass:h("pass",60324),issueClosed:h("issue-closed",60324),stopCircle:h("stop-circle",60325),playCircle:h("play-circle",60326),record:h("record",60327),debugAltSmall:h("debug-alt-small",60328),vmConnect:h("vm-connect",60329),cloud:h("cloud",60330),merge:h("merge",60331),export:h("export",60332),graphLeft:h("graph-left",60333),magnet:h("magnet",60334),notebook:h("notebook",60335),redo:h("redo",60336),checkAll:h("check-all",60337),pinnedDirty:h("pinned-dirty",60338),passFilled:h("pass-filled",60339),circleLargeFilled:h("circle-large-filled",60340),circleLarge:h("circle-large",60341),circleLargeOutline:h("circle-large-outline",60341),combine:h("combine",60342),gather:h("gather",60342),table:h("table",60343),variableGroup:h("variable-group",60344),typeHierarchy:h("type-hierarchy",60345),typeHierarchySub:h("type-hierarchy-sub",60346),typeHierarchySuper:h("type-hierarchy-super",60347),gitPullRequestCreate:h("git-pull-request-create",60348),runAbove:h("run-above",60349),runBelow:h("run-below",60350),notebookTemplate:h("notebook-template",60351),debugRerun:h("debug-rerun",60352),workspaceTrusted:h("workspace-trusted",60353),workspaceUntrusted:h("workspace-untrusted",60354),workspaceUnknown:h("workspace-unknown",60355),terminalCmd:h("terminal-cmd",60356),terminalDebian:h("terminal-debian",60357),terminalLinux:h("terminal-linux",60358),terminalPowershell:h("terminal-powershell",60359),terminalTmux:h("terminal-tmux",60360),terminalUbuntu:h("terminal-ubuntu",60361),terminalBash:h("terminal-bash",60362),arrowSwap:h("arrow-swap",60363),copy:h("copy",60364),personAdd:h("person-add",60365),filterFilled:h("filter-filled",60366),wand:h("wand",60367),debugLineByLine:h("debug-line-by-line",60368),inspect:h("inspect",60369),layers:h("layers",60370),layersDot:h("layers-dot",60371),layersActive:h("layers-active",60372),compass:h("compass",60373),compassDot:h("compass-dot",60374),compassActive:h("compass-active",60375),azure:h("azure",60376),issueDraft:h("issue-draft",60377),gitPullRequestClosed:h("git-pull-request-closed",60378),gitPullRequestDraft:h("git-pull-request-draft",60379),debugAll:h("debug-all",60380),debugCoverage:h("debug-coverage",60381),runErrors:h("run-errors",60382),folderLibrary:h("folder-library",60383),debugContinueSmall:h("debug-continue-small",60384),beakerStop:h("beaker-stop",60385),graphLine:h("graph-line",60386),graphScatter:h("graph-scatter",60387),pieChart:h("pie-chart",60388),bracket:h("bracket",60175),bracketDot:h("bracket-dot",60389),bracketError:h("bracket-error",60390),lockSmall:h("lock-small",60391),azureDevops:h("azure-devops",60392),verifiedFilled:h("verified-filled",60393),newline:h("newline",60394),layout:h("layout",60395),layoutActivitybarLeft:h("layout-activitybar-left",60396),layoutActivitybarRight:h("layout-activitybar-right",60397),layoutPanelLeft:h("layout-panel-left",60398),layoutPanelCenter:h("layout-panel-center",60399),layoutPanelJustify:h("layout-panel-justify",60400),layoutPanelRight:h("layout-panel-right",60401),layoutPanel:h("layout-panel",60402),layoutSidebarLeft:h("layout-sidebar-left",60403),layoutSidebarRight:h("layout-sidebar-right",60404),layoutStatusbar:h("layout-statusbar",60405),layoutMenubar:h("layout-menubar",60406),layoutCentered:h("layout-centered",60407),target:h("target",60408),indent:h("indent",60409),recordSmall:h("record-small",60410),errorSmall:h("error-small",60411),terminalDecorationError:h("terminal-decoration-error",60411),arrowCircleDown:h("arrow-circle-down",60412),arrowCircleLeft:h("arrow-circle-left",60413),arrowCircleRight:h("arrow-circle-right",60414),arrowCircleUp:h("arrow-circle-up",60415),layoutSidebarRightOff:h("layout-sidebar-right-off",60416),layoutPanelOff:h("layout-panel-off",60417),layoutSidebarLeftOff:h("layout-sidebar-left-off",60418),blank:h("blank",60419),heartFilled:h("heart-filled",60420),map:h("map",60421),mapHorizontal:h("map-horizontal",60421),foldHorizontal:h("fold-horizontal",60421),mapFilled:h("map-filled",60422),mapHorizontalFilled:h("map-horizontal-filled",60422),foldHorizontalFilled:h("fold-horizontal-filled",60422),circleSmall:h("circle-small",60423),bellSlash:h("bell-slash",60424),bellSlashDot:h("bell-slash-dot",60425),commentUnresolved:h("comment-unresolved",60426),gitPullRequestGoToChanges:h("git-pull-request-go-to-changes",60427),gitPullRequestNewChanges:h("git-pull-request-new-changes",60428),searchFuzzy:h("search-fuzzy",60429),commentDraft:h("comment-draft",60430),send:h("send",60431),sparkle:h("sparkle",60432),insert:h("insert",60433),mic:h("mic",60434),thumbsdownFilled:h("thumbsdown-filled",60435),thumbsupFilled:h("thumbsup-filled",60436),coffee:h("coffee",60437),snake:h("snake",60438),game:h("game",60439),vr:h("vr",60440),chip:h("chip",60441),piano:h("piano",60442),music:h("music",60443),micFilled:h("mic-filled",60444),repoFetch:h("repo-fetch",60445),copilot:h("copilot",60446),lightbulbSparkle:h("lightbulb-sparkle",60447),robot:h("robot",60448),sparkleFilled:h("sparkle-filled",60449),diffSingle:h("diff-single",60450),diffMultiple:h("diff-multiple",60451),surroundWith:h("surround-with",60452),share:h("share",60453),gitStash:h("git-stash",60454),gitStashApply:h("git-stash-apply",60455),gitStashPop:h("git-stash-pop",60456),vscode:h("vscode",60457),vscodeInsiders:h("vscode-insiders",60458),codeOss:h("code-oss",60459),runCoverage:h("run-coverage",60460),runAllCoverage:h("run-all-coverage",60461),coverage:h("coverage",60462),githubProject:h("github-project",60463),mapVertical:h("map-vertical",60464),foldVertical:h("fold-vertical",60464),mapVerticalFilled:h("map-vertical-filled",60465),foldVerticalFilled:h("fold-vertical-filled",60465),goToSearch:h("go-to-search",60466),percentage:h("percentage",60467),sortPercentage:h("sort-percentage",60467),attach:h("attach",60468)},Bl={dialogError:h("dialog-error","error"),dialogWarning:h("dialog-warning","warning"),dialogInfo:h("dialog-info","info"),dialogClose:h("dialog-close","close"),treeItemExpanded:h("tree-item-expanded","chevron-down"),treeFilterOnTypeOn:h("tree-filter-on-type-on","list-filter"),treeFilterOnTypeOff:h("tree-filter-on-type-off","list-selection"),treeFilterClear:h("tree-filter-clear","close"),treeItemLoading:h("tree-item-loading","loading"),menuSelection:h("menu-selection","check"),menuSubmenu:h("menu-submenu","chevron-right"),menuBarMore:h("menubar-more","more"),scrollbarButtonLeft:h("scrollbar-button-left","triangle-left"),scrollbarButtonRight:h("scrollbar-button-right","triangle-right"),scrollbarButtonUp:h("scrollbar-button-up","triangle-up"),scrollbarButtonDown:h("scrollbar-button-down","triangle-down"),toolBarMore:h("toolbar-more","more"),quickInputBack:h("quick-input-back","arrow-left"),dropDownButton:h("drop-down-button",60084),symbolCustomColor:h("symbol-customcolor",60252),exportIcon:h("export",60332),workspaceUnspecified:h("workspace-unspecified",60355),newLine:h("newline",60394),thumbsDownFilled:h("thumbsdown-filled",60435),thumbsUpFilled:h("thumbsup-filled",60436),gitFetch:h("git-fetch",60445),lightbulbSparkleAutofix:h("lightbulb-sparkle-autofix",60447),debugBreakpointPending:h("debug-breakpoint-pending",60377)},P={...Pl,...Bl};class gr{constructor(){this._tokenizationSupports=new Map,this._factories=new Map,this._onDidChange=new ve,this.onDidChange=this._onDidChange.event,this._colorMap=null}handleChange(t){this._onDidChange.fire({changedLanguages:t,changedColorMap:!1})}register(t,n){return this._tokenizationSupports.set(t,n),this.handleChange([t]),Pt(()=>{this._tokenizationSupports.get(t)===n&&(this._tokenizationSupports.delete(t),this.handleChange([t]))})}get(t){return this._tokenizationSupports.get(t)||null}registerFactory(t,n){var r;(r=this._factories.get(t))==null||r.dispose();const i=new ql(this,t,n);return this._factories.set(t,i),Pt(()=>{const s=this._factories.get(t);!s||s!==i||(this._factories.delete(t),s.dispose())})}async getOrCreate(t){const n=this.get(t);if(n)return n;const i=this._factories.get(t);return!i||i.isResolved?null:(await i.resolve(),this.get(t))}isResolved(t){if(this.get(t))return!0;const i=this._factories.get(t);return!!(!i||i.isResolved)}setColorMap(t){this._colorMap=t,this._onDidChange.fire({changedLanguages:Array.from(this._tokenizationSupports.keys()),changedColorMap:!0})}getColorMap(){return this._colorMap}getDefaultBackground(){return this._colorMap&&this._colorMap.length>2?this._colorMap[2]:null}}class ql extends it{get isResolved(){return this._isResolved}constructor(t,n,i){super(),this._registry=t,this._languageId=n,this._factory=i,this._isDisposed=!1,this._resolvePromise=null,this._isResolved=!1}dispose(){this._isDisposed=!0,super.dispose()}async resolve(){return this._resolvePromise||(this._resolvePromise=this._create()),this._resolvePromise}async _create(){const t=await this._factory.tokenizationSupport;this._isResolved=!0,t&&!this._isDisposed&&this._register(this._registry.register(this._languageId,t))}}class Ol{constructor(t,n,i){this.offset=t,this.type=n,this.language=i,this._tokenBrand=void 0}toString(){return"("+this.offset+", "+this.type+")"}}var br;(function(e){e[e.Increase=0]="Increase",e[e.Decrease=1]="Decrease"})(br||(br={}));var _r;(function(e){const t=new Map;t.set(0,P.symbolMethod),t.set(1,P.symbolFunction),t.set(2,P.symbolConstructor),t.set(3,P.symbolField),t.set(4,P.symbolVariable),t.set(5,P.symbolClass),t.set(6,P.symbolStruct),t.set(7,P.symbolInterface),t.set(8,P.symbolModule),t.set(9,P.symbolProperty),t.set(10,P.symbolEvent),t.set(11,P.symbolOperator),t.set(12,P.symbolUnit),t.set(13,P.symbolValue),t.set(15,P.symbolEnum),t.set(14,P.symbolConstant),t.set(15,P.symbolEnum),t.set(16,P.symbolEnumMember),t.set(17,P.symbolKeyword),t.set(27,P.symbolSnippet),t.set(18,P.symbolText),t.set(19,P.symbolColor),t.set(20,P.symbolFile),t.set(21,P.symbolReference),t.set(22,P.symbolCustomColor),t.set(23,P.symbolFolder),t.set(24,P.symbolTypeParameter),t.set(25,P.account),t.set(26,P.issues);function n(s){let a=t.get(s);return a||(console.info("No codicon found for CompletionItemKind "+s),a=P.symbolProperty),a}e.toIcon=n;const i=new Map;i.set("method",0),i.set("function",1),i.set("constructor",2),i.set("field",3),i.set("variable",4),i.set("class",5),i.set("struct",6),i.set("interface",7),i.set("module",8),i.set("property",9),i.set("event",10),i.set("operator",11),i.set("unit",12),i.set("value",13),i.set("constant",14),i.set("enum",15),i.set("enum-member",16),i.set("enumMember",16),i.set("keyword",17),i.set("snippet",27),i.set("text",18),i.set("color",19),i.set("file",20),i.set("reference",21),i.set("customcolor",22),i.set("folder",23),i.set("type-parameter",24),i.set("typeParameter",24),i.set("account",25),i.set("issue",26);function r(s,a){let l=i.get(s);return typeof l>"u"&&!a&&(l=9),l}e.fromString=r})(_r||(_r={}));var wr;(function(e){e[e.Automatic=0]="Automatic",e[e.Explicit=1]="Explicit"})(wr||(wr={}));var vr;(function(e){e[e.Automatic=0]="Automatic",e[e.PasteAs=1]="PasteAs"})(vr||(vr={}));var yr;(function(e){e[e.Invoke=1]="Invoke",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.ContentChange=3]="ContentChange"})(yr||(yr={}));var xr;(function(e){e[e.Text=0]="Text",e[e.Read=1]="Read",e[e.Write=2]="Write"})(xr||(xr={})),Q("Array","array"),Q("Boolean","boolean"),Q("Class","class"),Q("Constant","constant"),Q("Constructor","constructor"),Q("Enum","enumeration"),Q("EnumMember","enumeration member"),Q("Event","event"),Q("Field","field"),Q("File","file"),Q("Function","function"),Q("Interface","interface"),Q("Key","key"),Q("Method","method"),Q("Module","module"),Q("Namespace","namespace"),Q("Null","null"),Q("Number","number"),Q("Object","object"),Q("Operator","operator"),Q("Package","package"),Q("Property","property"),Q("String","string"),Q("Struct","struct"),Q("TypeParameter","type parameter"),Q("Variable","variable");var Tr;(function(e){const t=new Map;t.set(0,P.symbolFile),t.set(1,P.symbolModule),t.set(2,P.symbolNamespace),t.set(3,P.symbolPackage),t.set(4,P.symbolClass),t.set(5,P.symbolMethod),t.set(6,P.symbolProperty),t.set(7,P.symbolField),t.set(8,P.symbolConstructor),t.set(9,P.symbolEnum),t.set(10,P.symbolInterface),t.set(11,P.symbolFunction),t.set(12,P.symbolVariable),t.set(13,P.symbolConstant),t.set(14,P.symbolString),t.set(15,P.symbolNumber),t.set(16,P.symbolBoolean),t.set(17,P.symbolArray),t.set(18,P.symbolObject),t.set(19,P.symbolKey),t.set(20,P.symbolNull),t.set(21,P.symbolEnumMember),t.set(22,P.symbolStruct),t.set(23,P.symbolEvent),t.set(24,P.symbolOperator),t.set(25,P.symbolTypeParameter);function n(i){let r=t.get(i);return r||(console.info("No codicon found for SymbolKind "+i),r=P.symbolProperty),r}e.toIcon=n})(Tr||(Tr={}));let vh=(he=class{static fromValue(t){switch(t){case"comment":return he.Comment;case"imports":return he.Imports;case"region":return he.Region}return new he(t)}constructor(t){this.value=t}},he.Comment=new he("comment"),he.Imports=new he("imports"),he.Region=new he("region"),he);var kr;(function(e){e[e.AIGenerated=1]="AIGenerated"})(kr||(kr={}));var Ar;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Ar||(Ar={}));var Sr;(function(e){function t(n){return!n||typeof n!="object"?!1:typeof n.id=="string"&&typeof n.title=="string"}e.is=t})(Sr||(Sr={}));var Lr;(function(e){e[e.Type=1]="Type",e[e.Parameter=2]="Parameter"})(Lr||(Lr={})),new gr,new gr;var Cr;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Cr||(Cr={}));var Er;(function(e){e[e.Unknown=0]="Unknown",e[e.Disabled=1]="Disabled",e[e.Enabled=2]="Enabled"})(Er||(Er={}));var Rr;(function(e){e[e.Invoke=1]="Invoke",e[e.Auto=2]="Auto"})(Rr||(Rr={}));var Mr;(function(e){e[e.None=0]="None",e[e.KeepWhitespace=1]="KeepWhitespace",e[e.InsertAsSnippet=4]="InsertAsSnippet"})(Mr||(Mr={}));var Nr;(function(e){e[e.Method=0]="Method",e[e.Function=1]="Function",e[e.Constructor=2]="Constructor",e[e.Field=3]="Field",e[e.Variable=4]="Variable",e[e.Class=5]="Class",e[e.Struct=6]="Struct",e[e.Interface=7]="Interface",e[e.Module=8]="Module",e[e.Property=9]="Property",e[e.Event=10]="Event",e[e.Operator=11]="Operator",e[e.Unit=12]="Unit",e[e.Value=13]="Value",e[e.Constant=14]="Constant",e[e.Enum=15]="Enum",e[e.EnumMember=16]="EnumMember",e[e.Keyword=17]="Keyword",e[e.Text=18]="Text",e[e.Color=19]="Color",e[e.File=20]="File",e[e.Reference=21]="Reference",e[e.Customcolor=22]="Customcolor",e[e.Folder=23]="Folder",e[e.TypeParameter=24]="TypeParameter",e[e.User=25]="User",e[e.Issue=26]="Issue",e[e.Snippet=27]="Snippet"})(Nr||(Nr={}));var Dr;(function(e){e[e.Deprecated=1]="Deprecated"})(Dr||(Dr={}));var Ir;(function(e){e[e.Invoke=0]="Invoke",e[e.TriggerCharacter=1]="TriggerCharacter",e[e.TriggerForIncompleteCompletions=2]="TriggerForIncompleteCompletions"})(Ir||(Ir={}));var zr;(function(e){e[e.EXACT=0]="EXACT",e[e.ABOVE=1]="ABOVE",e[e.BELOW=2]="BELOW"})(zr||(zr={}));var Ur;(function(e){e[e.NotSet=0]="NotSet",e[e.ContentFlush=1]="ContentFlush",e[e.RecoverFromMarkers=2]="RecoverFromMarkers",e[e.Explicit=3]="Explicit",e[e.Paste=4]="Paste",e[e.Undo=5]="Undo",e[e.Redo=6]="Redo"})(Ur||(Ur={}));var Hr;(function(e){e[e.LF=1]="LF",e[e.CRLF=2]="CRLF"})(Hr||(Hr={}));var Wr;(function(e){e[e.Text=0]="Text",e[e.Read=1]="Read",e[e.Write=2]="Write"})(Wr||(Wr={}));var Fr;(function(e){e[e.None=0]="None",e[e.Keep=1]="Keep",e[e.Brackets=2]="Brackets",e[e.Advanced=3]="Advanced",e[e.Full=4]="Full"})(Fr||(Fr={}));var Pr;(function(e){e[e.acceptSuggestionOnCommitCharacter=0]="acceptSuggestionOnCommitCharacter",e[e.acceptSuggestionOnEnter=1]="acceptSuggestionOnEnter",e[e.accessibilitySupport=2]="accessibilitySupport",e[e.accessibilityPageSize=3]="accessibilityPageSize",e[e.ariaLabel=4]="ariaLabel",e[e.ariaRequired=5]="ariaRequired",e[e.autoClosingBrackets=6]="autoClosingBrackets",e[e.autoClosingComments=7]="autoClosingComments",e[e.screenReaderAnnounceInlineSuggestion=8]="screenReaderAnnounceInlineSuggestion",e[e.autoClosingDelete=9]="autoClosingDelete",e[e.autoClosingOvertype=10]="autoClosingOvertype",e[e.autoClosingQuotes=11]="autoClosingQuotes",e[e.autoIndent=12]="autoIndent",e[e.automaticLayout=13]="automaticLayout",e[e.autoSurround=14]="autoSurround",e[e.bracketPairColorization=15]="bracketPairColorization",e[e.guides=16]="guides",e[e.codeLens=17]="codeLens",e[e.codeLensFontFamily=18]="codeLensFontFamily",e[e.codeLensFontSize=19]="codeLensFontSize",e[e.colorDecorators=20]="colorDecorators",e[e.colorDecoratorsLimit=21]="colorDecoratorsLimit",e[e.columnSelection=22]="columnSelection",e[e.comments=23]="comments",e[e.contextmenu=24]="contextmenu",e[e.copyWithSyntaxHighlighting=25]="copyWithSyntaxHighlighting",e[e.cursorBlinking=26]="cursorBlinking",e[e.cursorSmoothCaretAnimation=27]="cursorSmoothCaretAnimation",e[e.cursorStyle=28]="cursorStyle",e[e.cursorSurroundingLines=29]="cursorSurroundingLines",e[e.cursorSurroundingLinesStyle=30]="cursorSurroundingLinesStyle",e[e.cursorWidth=31]="cursorWidth",e[e.disableLayerHinting=32]="disableLayerHinting",e[e.disableMonospaceOptimizations=33]="disableMonospaceOptimizations",e[e.domReadOnly=34]="domReadOnly",e[e.dragAndDrop=35]="dragAndDrop",e[e.dropIntoEditor=36]="dropIntoEditor",e[e.emptySelectionClipboard=37]="emptySelectionClipboard",e[e.experimentalWhitespaceRendering=38]="experimentalWhitespaceRendering",e[e.extraEditorClassName=39]="extraEditorClassName",e[e.fastScrollSensitivity=40]="fastScrollSensitivity",e[e.find=41]="find",e[e.fixedOverflowWidgets=42]="fixedOverflowWidgets",e[e.folding=43]="folding",e[e.foldingStrategy=44]="foldingStrategy",e[e.foldingHighlight=45]="foldingHighlight",e[e.foldingImportsByDefault=46]="foldingImportsByDefault",e[e.foldingMaximumRegions=47]="foldingMaximumRegions",e[e.unfoldOnClickAfterEndOfLine=48]="unfoldOnClickAfterEndOfLine",e[e.fontFamily=49]="fontFamily",e[e.fontInfo=50]="fontInfo",e[e.fontLigatures=51]="fontLigatures",e[e.fontSize=52]="fontSize",e[e.fontWeight=53]="fontWeight",e[e.fontVariations=54]="fontVariations",e[e.formatOnPaste=55]="formatOnPaste",e[e.formatOnType=56]="formatOnType",e[e.glyphMargin=57]="glyphMargin",e[e.gotoLocation=58]="gotoLocation",e[e.hideCursorInOverviewRuler=59]="hideCursorInOverviewRuler",e[e.hover=60]="hover",e[e.inDiffEditor=61]="inDiffEditor",e[e.inlineSuggest=62]="inlineSuggest",e[e.inlineEdit=63]="inlineEdit",e[e.letterSpacing=64]="letterSpacing",e[e.lightbulb=65]="lightbulb",e[e.lineDecorationsWidth=66]="lineDecorationsWidth",e[e.lineHeight=67]="lineHeight",e[e.lineNumbers=68]="lineNumbers",e[e.lineNumbersMinChars=69]="lineNumbersMinChars",e[e.linkedEditing=70]="linkedEditing",e[e.links=71]="links",e[e.matchBrackets=72]="matchBrackets",e[e.minimap=73]="minimap",e[e.mouseStyle=74]="mouseStyle",e[e.mouseWheelScrollSensitivity=75]="mouseWheelScrollSensitivity",e[e.mouseWheelZoom=76]="mouseWheelZoom",e[e.multiCursorMergeOverlapping=77]="multiCursorMergeOverlapping",e[e.multiCursorModifier=78]="multiCursorModifier",e[e.multiCursorPaste=79]="multiCursorPaste",e[e.multiCursorLimit=80]="multiCursorLimit",e[e.occurrencesHighlight=81]="occurrencesHighlight",e[e.overviewRulerBorder=82]="overviewRulerBorder",e[e.overviewRulerLanes=83]="overviewRulerLanes",e[e.padding=84]="padding",e[e.pasteAs=85]="pasteAs",e[e.parameterHints=86]="parameterHints",e[e.peekWidgetDefaultFocus=87]="peekWidgetDefaultFocus",e[e.placeholder=88]="placeholder",e[e.definitionLinkOpensInPeek=89]="definitionLinkOpensInPeek",e[e.quickSuggestions=90]="quickSuggestions",e[e.quickSuggestionsDelay=91]="quickSuggestionsDelay",e[e.readOnly=92]="readOnly",e[e.readOnlyMessage=93]="readOnlyMessage",e[e.renameOnType=94]="renameOnType",e[e.renderControlCharacters=95]="renderControlCharacters",e[e.renderFinalNewline=96]="renderFinalNewline",e[e.renderLineHighlight=97]="renderLineHighlight",e[e.renderLineHighlightOnlyWhenFocus=98]="renderLineHighlightOnlyWhenFocus",e[e.renderValidationDecorations=99]="renderValidationDecorations",e[e.renderWhitespace=100]="renderWhitespace",e[e.revealHorizontalRightPadding=101]="revealHorizontalRightPadding",e[e.roundedSelection=102]="roundedSelection",e[e.rulers=103]="rulers",e[e.scrollbar=104]="scrollbar",e[e.scrollBeyondLastColumn=105]="scrollBeyondLastColumn",e[e.scrollBeyondLastLine=106]="scrollBeyondLastLine",e[e.scrollPredominantAxis=107]="scrollPredominantAxis",e[e.selectionClipboard=108]="selectionClipboard",e[e.selectionHighlight=109]="selectionHighlight",e[e.selectOnLineNumbers=110]="selectOnLineNumbers",e[e.showFoldingControls=111]="showFoldingControls",e[e.showUnused=112]="showUnused",e[e.snippetSuggestions=113]="snippetSuggestions",e[e.smartSelect=114]="smartSelect",e[e.smoothScrolling=115]="smoothScrolling",e[e.stickyScroll=116]="stickyScroll",e[e.stickyTabStops=117]="stickyTabStops",e[e.stopRenderingLineAfter=118]="stopRenderingLineAfter",e[e.suggest=119]="suggest",e[e.suggestFontSize=120]="suggestFontSize",e[e.suggestLineHeight=121]="suggestLineHeight",e[e.suggestOnTriggerCharacters=122]="suggestOnTriggerCharacters",e[e.suggestSelection=123]="suggestSelection",e[e.tabCompletion=124]="tabCompletion",e[e.tabIndex=125]="tabIndex",e[e.unicodeHighlighting=126]="unicodeHighlighting",e[e.unusualLineTerminators=127]="unusualLineTerminators",e[e.useShadowDOM=128]="useShadowDOM",e[e.useTabStops=129]="useTabStops",e[e.wordBreak=130]="wordBreak",e[e.wordSegmenterLocales=131]="wordSegmenterLocales",e[e.wordSeparators=132]="wordSeparators",e[e.wordWrap=133]="wordWrap",e[e.wordWrapBreakAfterCharacters=134]="wordWrapBreakAfterCharacters",e[e.wordWrapBreakBeforeCharacters=135]="wordWrapBreakBeforeCharacters",e[e.wordWrapColumn=136]="wordWrapColumn",e[e.wordWrapOverride1=137]="wordWrapOverride1",e[e.wordWrapOverride2=138]="wordWrapOverride2",e[e.wrappingIndent=139]="wrappingIndent",e[e.wrappingStrategy=140]="wrappingStrategy",e[e.showDeprecated=141]="showDeprecated",e[e.inlayHints=142]="inlayHints",e[e.editorClassName=143]="editorClassName",e[e.pixelRatio=144]="pixelRatio",e[e.tabFocusMode=145]="tabFocusMode",e[e.layoutInfo=146]="layoutInfo",e[e.wrappingInfo=147]="wrappingInfo",e[e.defaultColorDecorators=148]="defaultColorDecorators",e[e.colorDecoratorsActivatedOn=149]="colorDecoratorsActivatedOn",e[e.inlineCompletionsAccessibilityVerbose=150]="inlineCompletionsAccessibilityVerbose"})(Pr||(Pr={}));var Br;(function(e){e[e.TextDefined=0]="TextDefined",e[e.LF=1]="LF",e[e.CRLF=2]="CRLF"})(Br||(Br={}));var qr;(function(e){e[e.LF=0]="LF",e[e.CRLF=1]="CRLF"})(qr||(qr={}));var Or;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=3]="Right"})(Or||(Or={}));var Vr;(function(e){e[e.Increase=0]="Increase",e[e.Decrease=1]="Decrease"})(Vr||(Vr={}));var jr;(function(e){e[e.None=0]="None",e[e.Indent=1]="Indent",e[e.IndentOutdent=2]="IndentOutdent",e[e.Outdent=3]="Outdent"})(jr||(jr={}));var $r;(function(e){e[e.Both=0]="Both",e[e.Right=1]="Right",e[e.Left=2]="Left",e[e.None=3]="None"})($r||($r={}));var Gr;(function(e){e[e.Type=1]="Type",e[e.Parameter=2]="Parameter"})(Gr||(Gr={}));var Xr;(function(e){e[e.Automatic=0]="Automatic",e[e.Explicit=1]="Explicit"})(Xr||(Xr={}));var Jr;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Jr||(Jr={}));var Zn;(function(e){e[e.DependsOnKbLayout=-1]="DependsOnKbLayout",e[e.Unknown=0]="Unknown",e[e.Backspace=1]="Backspace",e[e.Tab=2]="Tab",e[e.Enter=3]="Enter",e[e.Shift=4]="Shift",e[e.Ctrl=5]="Ctrl",e[e.Alt=6]="Alt",e[e.PauseBreak=7]="PauseBreak",e[e.CapsLock=8]="CapsLock",e[e.Escape=9]="Escape",e[e.Space=10]="Space",e[e.PageUp=11]="PageUp",e[e.PageDown=12]="PageDown",e[e.End=13]="End",e[e.Home=14]="Home",e[e.LeftArrow=15]="LeftArrow",e[e.UpArrow=16]="UpArrow",e[e.RightArrow=17]="RightArrow",e[e.DownArrow=18]="DownArrow",e[e.Insert=19]="Insert",e[e.Delete=20]="Delete",e[e.Digit0=21]="Digit0",e[e.Digit1=22]="Digit1",e[e.Digit2=23]="Digit2",e[e.Digit3=24]="Digit3",e[e.Digit4=25]="Digit4",e[e.Digit5=26]="Digit5",e[e.Digit6=27]="Digit6",e[e.Digit7=28]="Digit7",e[e.Digit8=29]="Digit8",e[e.Digit9=30]="Digit9",e[e.KeyA=31]="KeyA",e[e.KeyB=32]="KeyB",e[e.KeyC=33]="KeyC",e[e.KeyD=34]="KeyD",e[e.KeyE=35]="KeyE",e[e.KeyF=36]="KeyF",e[e.KeyG=37]="KeyG",e[e.KeyH=38]="KeyH",e[e.KeyI=39]="KeyI",e[e.KeyJ=40]="KeyJ",e[e.KeyK=41]="KeyK",e[e.KeyL=42]="KeyL",e[e.KeyM=43]="KeyM",e[e.KeyN=44]="KeyN",e[e.KeyO=45]="KeyO",e[e.KeyP=46]="KeyP",e[e.KeyQ=47]="KeyQ",e[e.KeyR=48]="KeyR",e[e.KeyS=49]="KeyS",e[e.KeyT=50]="KeyT",e[e.KeyU=51]="KeyU",e[e.KeyV=52]="KeyV",e[e.KeyW=53]="KeyW",e[e.KeyX=54]="KeyX",e[e.KeyY=55]="KeyY",e[e.KeyZ=56]="KeyZ",e[e.Meta=57]="Meta",e[e.ContextMenu=58]="ContextMenu",e[e.F1=59]="F1",e[e.F2=60]="F2",e[e.F3=61]="F3",e[e.F4=62]="F4",e[e.F5=63]="F5",e[e.F6=64]="F6",e[e.F7=65]="F7",e[e.F8=66]="F8",e[e.F9=67]="F9",e[e.F10=68]="F10",e[e.F11=69]="F11",e[e.F12=70]="F12",e[e.F13=71]="F13",e[e.F14=72]="F14",e[e.F15=73]="F15",e[e.F16=74]="F16",e[e.F17=75]="F17",e[e.F18=76]="F18",e[e.F19=77]="F19",e[e.F20=78]="F20",e[e.F21=79]="F21",e[e.F22=80]="F22",e[e.F23=81]="F23",e[e.F24=82]="F24",e[e.NumLock=83]="NumLock",e[e.ScrollLock=84]="ScrollLock",e[e.Semicolon=85]="Semicolon",e[e.Equal=86]="Equal",e[e.Comma=87]="Comma",e[e.Minus=88]="Minus",e[e.Period=89]="Period",e[e.Slash=90]="Slash",e[e.Backquote=91]="Backquote",e[e.BracketLeft=92]="BracketLeft",e[e.Backslash=93]="Backslash",e[e.BracketRight=94]="BracketRight",e[e.Quote=95]="Quote",e[e.OEM_8=96]="OEM_8",e[e.IntlBackslash=97]="IntlBackslash",e[e.Numpad0=98]="Numpad0",e[e.Numpad1=99]="Numpad1",e[e.Numpad2=100]="Numpad2",e[e.Numpad3=101]="Numpad3",e[e.Numpad4=102]="Numpad4",e[e.Numpad5=103]="Numpad5",e[e.Numpad6=104]="Numpad6",e[e.Numpad7=105]="Numpad7",e[e.Numpad8=106]="Numpad8",e[e.Numpad9=107]="Numpad9",e[e.NumpadMultiply=108]="NumpadMultiply",e[e.NumpadAdd=109]="NumpadAdd",e[e.NUMPAD_SEPARATOR=110]="NUMPAD_SEPARATOR",e[e.NumpadSubtract=111]="NumpadSubtract",e[e.NumpadDecimal=112]="NumpadDecimal",e[e.NumpadDivide=113]="NumpadDivide",e[e.KEY_IN_COMPOSITION=114]="KEY_IN_COMPOSITION",e[e.ABNT_C1=115]="ABNT_C1",e[e.ABNT_C2=116]="ABNT_C2",e[e.AudioVolumeMute=117]="AudioVolumeMute",e[e.AudioVolumeUp=118]="AudioVolumeUp",e[e.AudioVolumeDown=119]="AudioVolumeDown",e[e.BrowserSearch=120]="BrowserSearch",e[e.BrowserHome=121]="BrowserHome",e[e.BrowserBack=122]="BrowserBack",e[e.BrowserForward=123]="BrowserForward",e[e.MediaTrackNext=124]="MediaTrackNext",e[e.MediaTrackPrevious=125]="MediaTrackPrevious",e[e.MediaStop=126]="MediaStop",e[e.MediaPlayPause=127]="MediaPlayPause",e[e.LaunchMediaPlayer=128]="LaunchMediaPlayer",e[e.LaunchMail=129]="LaunchMail",e[e.LaunchApp2=130]="LaunchApp2",e[e.Clear=131]="Clear",e[e.MAX_VALUE=132]="MAX_VALUE"})(Zn||(Zn={}));var Kn;(function(e){e[e.Hint=1]="Hint",e[e.Info=2]="Info",e[e.Warning=4]="Warning",e[e.Error=8]="Error"})(Kn||(Kn={}));var ei;(function(e){e[e.Unnecessary=1]="Unnecessary",e[e.Deprecated=2]="Deprecated"})(ei||(ei={}));var Yr;(function(e){e[e.Inline=1]="Inline",e[e.Gutter=2]="Gutter"})(Yr||(Yr={}));var Qr;(function(e){e[e.Normal=1]="Normal",e[e.Underlined=2]="Underlined"})(Qr||(Qr={}));var Zr;(function(e){e[e.UNKNOWN=0]="UNKNOWN",e[e.TEXTAREA=1]="TEXTAREA",e[e.GUTTER_GLYPH_MARGIN=2]="GUTTER_GLYPH_MARGIN",e[e.GUTTER_LINE_NUMBERS=3]="GUTTER_LINE_NUMBERS",e[e.GUTTER_LINE_DECORATIONS=4]="GUTTER_LINE_DECORATIONS",e[e.GUTTER_VIEW_ZONE=5]="GUTTER_VIEW_ZONE",e[e.CONTENT_TEXT=6]="CONTENT_TEXT",e[e.CONTENT_EMPTY=7]="CONTENT_EMPTY",e[e.CONTENT_VIEW_ZONE=8]="CONTENT_VIEW_ZONE",e[e.CONTENT_WIDGET=9]="CONTENT_WIDGET",e[e.OVERVIEW_RULER=10]="OVERVIEW_RULER",e[e.SCROLLBAR=11]="SCROLLBAR",e[e.OVERLAY_WIDGET=12]="OVERLAY_WIDGET",e[e.OUTSIDE_EDITOR=13]="OUTSIDE_EDITOR"})(Zr||(Zr={}));var Kr;(function(e){e[e.AIGenerated=1]="AIGenerated"})(Kr||(Kr={}));var es;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(es||(es={}));var ts;(function(e){e[e.TOP_RIGHT_CORNER=0]="TOP_RIGHT_CORNER",e[e.BOTTOM_RIGHT_CORNER=1]="BOTTOM_RIGHT_CORNER",e[e.TOP_CENTER=2]="TOP_CENTER"})(ts||(ts={}));var ns;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=4]="Right",e[e.Full=7]="Full"})(ns||(ns={}));var is;(function(e){e[e.Word=0]="Word",e[e.Line=1]="Line",e[e.Suggest=2]="Suggest"})(is||(is={}));var rs;(function(e){e[e.Left=0]="Left",e[e.Right=1]="Right",e[e.None=2]="None",e[e.LeftOfInjectedText=3]="LeftOfInjectedText",e[e.RightOfInjectedText=4]="RightOfInjectedText"})(rs||(rs={}));var ss;(function(e){e[e.Off=0]="Off",e[e.On=1]="On",e[e.Relative=2]="Relative",e[e.Interval=3]="Interval",e[e.Custom=4]="Custom"})(ss||(ss={}));var as;(function(e){e[e.None=0]="None",e[e.Text=1]="Text",e[e.Blocks=2]="Blocks"})(as||(as={}));var os;(function(e){e[e.Smooth=0]="Smooth",e[e.Immediate=1]="Immediate"})(os||(os={}));var ls;(function(e){e[e.Auto=1]="Auto",e[e.Hidden=2]="Hidden",e[e.Visible=3]="Visible"})(ls||(ls={}));var ti;(function(e){e[e.LTR=0]="LTR",e[e.RTL=1]="RTL"})(ti||(ti={}));var us;(function(e){e.Off="off",e.OnCode="onCode",e.On="on"})(us||(us={}));var cs;(function(e){e[e.Invoke=1]="Invoke",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.ContentChange=3]="ContentChange"})(cs||(cs={}));var hs;(function(e){e[e.File=0]="File",e[e.Module=1]="Module",e[e.Namespace=2]="Namespace",e[e.Package=3]="Package",e[e.Class=4]="Class",e[e.Method=5]="Method",e[e.Property=6]="Property",e[e.Field=7]="Field",e[e.Constructor=8]="Constructor",e[e.Enum=9]="Enum",e[e.Interface=10]="Interface",e[e.Function=11]="Function",e[e.Variable=12]="Variable",e[e.Constant=13]="Constant",e[e.String=14]="String",e[e.Number=15]="Number",e[e.Boolean=16]="Boolean",e[e.Array=17]="Array",e[e.Object=18]="Object",e[e.Key=19]="Key",e[e.Null=20]="Null",e[e.EnumMember=21]="EnumMember",e[e.Struct=22]="Struct",e[e.Event=23]="Event",e[e.Operator=24]="Operator",e[e.TypeParameter=25]="TypeParameter"})(hs||(hs={}));var ds;(function(e){e[e.Deprecated=1]="Deprecated"})(ds||(ds={}));var ms;(function(e){e[e.Hidden=0]="Hidden",e[e.Blink=1]="Blink",e[e.Smooth=2]="Smooth",e[e.Phase=3]="Phase",e[e.Expand=4]="Expand",e[e.Solid=5]="Solid"})(ms||(ms={}));var fs;(function(e){e[e.Line=1]="Line",e[e.Block=2]="Block",e[e.Underline=3]="Underline",e[e.LineThin=4]="LineThin",e[e.BlockOutline=5]="BlockOutline",e[e.UnderlineThin=6]="UnderlineThin"})(fs||(fs={}));var ps;(function(e){e[e.AlwaysGrowsWhenTypingAtEdges=0]="AlwaysGrowsWhenTypingAtEdges",e[e.NeverGrowsWhenTypingAtEdges=1]="NeverGrowsWhenTypingAtEdges",e[e.GrowsOnlyWhenTypingBefore=2]="GrowsOnlyWhenTypingBefore",e[e.GrowsOnlyWhenTypingAfter=3]="GrowsOnlyWhenTypingAfter"})(ps||(ps={}));var gs;(function(e){e[e.None=0]="None",e[e.Same=1]="Same",e[e.Indent=2]="Indent",e[e.DeepIndent=3]="DeepIndent"})(gs||(gs={}));const wt=class wt{static chord(t,n){return Wl(t,n)}};wt.CtrlCmd=2048,wt.Shift=1024,wt.Alt=512,wt.WinCtrl=256;let ni=wt;function Vl(){return{editor:void 0,languages:void 0,CancellationTokenSource:Il,Emitter:ve,KeyCode:Zn,KeyMod:ni,Position:te,Range:G,Selection:be,SelectionDirection:ti,MarkerSeverity:Kn,MarkerTag:ei,Uri:Re,Token:Ol}}const Ht=class Ht{static getChannel(t){return t.getChannel(Ht.CHANNEL_NAME)}static setChannel(t,n){t.setChannel(Ht.CHANNEL_NAME,n)}};Ht.CHANNEL_NAME="editorWorkerHost";let ii=Ht;var bs;class jl{constructor(){this[bs]="LinkedMap",this._map=new Map,this._head=void 0,this._tail=void 0,this._size=0,this._state=0}clear(){this._map.clear(),this._head=void 0,this._tail=void 0,this._size=0,this._state++}isEmpty(){return!this._head&&!this._tail}get size(){return this._size}get first(){var t;return(t=this._head)==null?void 0:t.value}get last(){var t;return(t=this._tail)==null?void 0:t.value}has(t){return this._map.has(t)}get(t,n=0){const i=this._map.get(t);if(i)return n!==0&&this.touch(i,n),i.value}set(t,n,i=0){let r=this._map.get(t);if(r)r.value=n,i!==0&&this.touch(r,i);else{switch(r={key:t,value:n,next:void 0,previous:void 0},i){case 0:this.addItemLast(r);break;case 1:this.addItemFirst(r);break;case 2:this.addItemLast(r);break;default:this.addItemLast(r);break}this._map.set(t,r),this._size++}return this}delete(t){return!!this.remove(t)}remove(t){const n=this._map.get(t);if(n)return this._map.delete(t),this.removeItem(n),this._size--,n.value}shift(){if(!this._head&&!this._tail)return;if(!this._head||!this._tail)throw new Error("Invalid list");const t=this._head;return this._map.delete(t.key),this.removeItem(t),this._size--,t.value}forEach(t,n){const i=this._state;let r=this._head;for(;r;){if(n?t.bind(n)(r.value,r.key,this):t(r.value,r.key,this),this._state!==i)throw new Error("LinkedMap got modified during iteration.");r=r.next}}keys(){const t=this,n=this._state;let i=this._head;const r={[Symbol.iterator](){return r},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(i){const s={value:i.key,done:!1};return i=i.next,s}else return{value:void 0,done:!0}}};return r}values(){const t=this,n=this._state;let i=this._head;const r={[Symbol.iterator](){return r},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(i){const s={value:i.value,done:!1};return i=i.next,s}else return{value:void 0,done:!0}}};return r}entries(){const t=this,n=this._state;let i=this._head;const r={[Symbol.iterator](){return r},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(i){const s={value:[i.key,i.value],done:!1};return i=i.next,s}else return{value:void 0,done:!0}}};return r}[(bs=Symbol.toStringTag,Symbol.iterator)](){return this.entries()}trimOld(t){if(t>=this.size)return;if(t===0){this.clear();return}let n=this._head,i=this.size;for(;n&&i>t;)this._map.delete(n.key),n=n.next,i--;this._head=n,this._size=i,n&&(n.previous=void 0),this._state++}trimNew(t){if(t>=this.size)return;if(t===0){this.clear();return}let n=this._tail,i=this.size;for(;n&&i>t;)this._map.delete(n.key),n=n.previous,i--;this._tail=n,this._size=i,n&&(n.next=void 0),this._state++}addItemFirst(t){if(!this._head&&!this._tail)this._tail=t;else if(this._head)t.next=this._head,this._head.previous=t;else throw new Error("Invalid list");this._head=t,this._state++}addItemLast(t){if(!this._head&&!this._tail)this._head=t;else if(this._tail)t.previous=this._tail,this._tail.next=t;else throw new Error("Invalid list");this._tail=t,this._state++}removeItem(t){if(t===this._head&&t===this._tail)this._head=void 0,this._tail=void 0;else if(t===this._head){if(!t.next)throw new Error("Invalid list");t.next.previous=void 0,this._head=t.next}else if(t===this._tail){if(!t.previous)throw new Error("Invalid list");t.previous.next=void 0,this._tail=t.previous}else{const n=t.next,i=t.previous;if(!n||!i)throw new Error("Invalid list");n.previous=i,i.next=n}t.next=void 0,t.previous=void 0,this._state++}touch(t,n){if(!this._head||!this._tail)throw new Error("Invalid list");if(!(n!==1&&n!==2)){if(n===1){if(t===this._head)return;const i=t.next,r=t.previous;t===this._tail?(r.next=void 0,this._tail=r):(i.previous=r,r.next=i),t.previous=void 0,t.next=this._head,this._head.previous=t,this._head=t,this._state++}else if(n===2){if(t===this._tail)return;const i=t.next,r=t.previous;t===this._head?(i.previous=void 0,this._head=i):(i.previous=r,r.next=i),t.next=void 0,t.previous=this._tail,this._tail.next=t,this._tail=t,this._state++}}}toJSON(){const t=[];return this.forEach((n,i)=>{t.push([i,n])}),t}fromJSON(t){this.clear();for(const[n,i]of t)this.set(n,i)}}class $l extends jl{constructor(t,n=1){super(),this._limit=t,this._ratio=Math.min(Math.max(0,n),1)}get limit(){return this._limit}set limit(t){this._limit=t,this.checkTrim()}get(t,n=2){return super.get(t,n)}peek(t){return super.get(t,0)}set(t,n){return super.set(t,n,2),this}checkTrim(){this.size>this._limit&&this.trim(Math.round(this._limit*this._ratio))}}class Gl extends $l{constructor(t,n=1){super(t,n)}trim(t){this.trimOld(t)}set(t,n){return super.set(t,n),this.checkTrim(),this}}class Xl{constructor(){this.map=new Map}add(t,n){let i=this.map.get(t);i||(i=new Set,this.map.set(t,i)),i.add(n)}delete(t,n){const i=this.map.get(t);i&&(i.delete(n),i.size===0&&this.map.delete(t))}forEach(t,n){const i=this.map.get(t);i&&i.forEach(n)}get(t){const n=this.map.get(t);return n||new Set}}new Gl(10);function Jl(e){let t=[];for(;Object.prototype!==e;)t=t.concat(Object.getOwnPropertyNames(e)),e=Object.getPrototypeOf(e);return t}function _s(e){const t=[];for(const n of Jl(e))typeof e[n]=="function"&&t.push(n);return t}function Yl(e,t){const n=r=>function(){const s=Array.prototype.slice.call(arguments,0);return t(r,s)},i={};for(const r of e)i[r]=n(r);return i}var ws;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=4]="Right",e[e.Full=7]="Full"})(ws||(ws={}));var vs;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=3]="Right"})(vs||(vs={}));var ys;(function(e){e[e.Both=0]="Both",e[e.Right=1]="Right",e[e.Left=2]="Left",e[e.None=3]="None"})(ys||(ys={}));function Ql(e,t,n,i,r){if(i===0)return!0;const s=t.charCodeAt(i-1);if(e.get(s)!==0||s===13||s===10)return!0;if(r>0){const a=t.charCodeAt(i);if(e.get(a)!==0)return!0}return!1}function Zl(e,t,n,i,r){if(i+r===n)return!0;const s=t.charCodeAt(i+r);if(e.get(s)!==0||s===13||s===10)return!0;if(r>0){const a=t.charCodeAt(i+r-1);if(e.get(a)!==0)return!0}return!1}function Kl(e,t,n,i,r){return Ql(e,t,n,i,r)&&Zl(e,t,n,i,r)}class eu{constructor(t,n){this._wordSeparators=t,this._searchRegex=n,this._prevMatchStartIndex=-1,this._prevMatchLength=0}reset(t){this._searchRegex.lastIndex=t,this._prevMatchStartIndex=-1,this._prevMatchLength=0}next(t){const n=t.length;let i;do{if(this._prevMatchStartIndex+this._prevMatchLength===n||(i=this._searchRegex.exec(t),!i))return null;const r=i.index,s=i[0].length;if(r===this._prevMatchStartIndex&&s===this._prevMatchLength){if(s===0){Go(t,n,this._searchRegex.lastIndex)>65535?this._searchRegex.lastIndex+=2:this._searchRegex.lastIndex+=1;continue}return null}if(this._prevMatchStartIndex=r,this._prevMatchLength=s,!this._wordSeparators||Kl(this._wordSeparators,t,n,r,s))return i}while(i);return null}}function tu(e,t="Unreachable"){throw new Error(t)}function Zt(e){if(!e()){debugger;e(),Ce(new ge("Assertion Failed"))}}function xs(e,t){let n=0;for(;n<e.length-1;){const i=e[n],r=e[n+1];if(!t(i,r))return!1;n++}return!0}const nu="`~!@#$%^&*()-=+[{]}\\|;:'\",.<>/?";function iu(e=""){let t="(-?\\d*\\.\\d\\w*)|([^";for(const n of nu)e.indexOf(n)>=0||(t+="\\"+n);return t+="\\s]+)",new RegExp(t,"g")}const Ts=iu();function ks(e){let t=Ts;if(e&&e instanceof RegExp)if(e.global)t=e;else{let n="g";e.ignoreCase&&(n+="i"),e.multiline&&(n+="m"),e.unicode&&(n+="u"),t=new RegExp(e.source,n)}return t.lastIndex=0,t}const As=new To;As.unshift({maxLen:1e3,windowSize:15,timeBudget:150});function ri(e,t,n,i,r){if(t=ks(t),r||(r=Ft.first(As)),n.length>r.maxLen){let u=e-r.maxLen/2;return u<0?u=0:i+=u,n=n.substring(u,e+r.maxLen/2),ri(e,t,n,i,r)}const s=Date.now(),a=e-1-i;let l=-1,o=null;for(let u=1;!(Date.now()-s>=r.timeBudget);u++){const c=a-r.windowSize*u;t.lastIndex=Math.max(0,c);const d=ru(t,n,a,l);if(!d&&o||(o=d,c<=0))break;l=c}if(o){const u={word:o[0],startColumn:i+1+o.index,endColumn:i+1+o.index+o[0].length};return t.lastIndex=0,u}return null}function ru(e,t,n,i){let r;for(;r=e.exec(t);){const s=r.index||0;if(s<=n&&e.lastIndex>=n)return r;if(i>0&&s>i)return null}return null}class su{static computeUnicodeHighlights(t,n,i){const r=i?i.startLineNumber:1,s=i?i.endLineNumber:t.getLineCount(),a=new Ss(n),l=a.getCandidateCodePoints();let o;l==="allNonBasicAscii"?o=new RegExp("[^\\t\\n\\r\\x20-\\x7E]","g"):o=new RegExp(`${au(Array.from(l))}`,"g");const u=new eu(null,o),c=[];let d=!1,m,f=0,_=0,g=0;e:for(let k=r,y=s;k<=y;k++){const v=t.getLineContent(k),E=v.length;u.reset(0);do if(m=u.next(v),m){let M=m.index,D=m.index+m[0].length;if(M>0){const x=v.charCodeAt(M-1);Vt(x)&&M--}if(D+1<E){const x=v.charCodeAt(D-1);Vt(x)&&D++}const N=v.substring(M,D);let b=ri(M+1,Ts,v,0);b&&b.endColumn<=M+1&&(b=null);const p=a.shouldHighlightNonBasicASCII(N,b?b.word:null);if(p!==0){if(p===3?f++:p===2?_++:p===1?g++:tu(),c.length>=1e3){d=!0;break e}c.push(new G(k,M+1,k,D+1))}}while(m)}return{ranges:c,hasMore:d,ambiguousCharacterCount:f,invisibleCharacterCount:_,nonBasicAsciiCharacterCount:g}}static computeUnicodeHighlightReason(t,n){const i=new Ss(n);switch(i.shouldHighlightNonBasicASCII(t,null)){case 0:return null;case 2:return{kind:1};case 3:{const s=t.codePointAt(0),a=i.ambiguousCharacters.getPrimaryConfusable(s),l=Tt.getLocales().filter(o=>!Tt.getInstance(new Set([...n.allowedLocales,o])).isAmbiguous(s));return{kind:0,confusableWith:String.fromCodePoint(a),notAmbiguousInLocales:l}}case 1:return{kind:2}}}}function au(e,t){return`[${Oo(e.map(i=>String.fromCodePoint(i)).join(""))}]`}class Ss{constructor(t){this.options=t,this.allowedCodePoints=new Set(t.allowedCodePoints),this.ambiguousCharacters=Tt.getInstance(new Set(t.allowedLocales))}getCandidateCodePoints(){if(this.options.nonBasicASCII)return"allNonBasicAscii";const t=new Set;if(this.options.invisibleCharacters)for(const n of kt.codePoints)Ls(String.fromCodePoint(n))||t.add(n);if(this.options.ambiguousCharacters)for(const n of this.ambiguousCharacters.getConfusableCodePoints())t.add(n);for(const n of this.allowedCodePoints)t.delete(n);return t}shouldHighlightNonBasicASCII(t,n){const i=t.codePointAt(0);if(this.allowedCodePoints.has(i))return 0;if(this.options.nonBasicASCII)return 1;let r=!1,s=!1;if(n)for(const a of n){const l=a.codePointAt(0),o=Jo(a);r=r||o,!o&&!this.ambiguousCharacters.isAmbiguous(l)&&!kt.isInvisibleCharacter(l)&&(s=!0)}return!r&&s?0:this.options.invisibleCharacters&&!Ls(t)&&kt.isInvisibleCharacter(i)?2:this.options.ambiguousCharacters&&this.ambiguousCharacters.isAmbiguous(i)?3:0}}function Ls(e){return e===" "||e===`
+`||e===" "}class Kt{constructor(t,n,i){this.changes=t,this.moves=n,this.hitTimeout=i}}class ou{constructor(t,n){this.lineRangeMapping=t,this.changes=n}}class j{static addRange(t,n){let i=0;for(;i<n.length&&n[i].endExclusive<t.start;)i++;let r=i;for(;r<n.length&&n[r].start<=t.endExclusive;)r++;if(i===r)n.splice(i,0,t);else{const s=Math.min(t.start,n[i].start),a=Math.max(t.endExclusive,n[r-1].endExclusive);n.splice(i,r-i,new j(s,a))}}static tryCreate(t,n){if(!(t>n))return new j(t,n)}static ofLength(t){return new j(0,t)}static ofStartAndLength(t,n){return new j(t,t+n)}constructor(t,n){if(this.start=t,this.endExclusive=n,t>n)throw new ge(`Invalid range: ${this.toString()}`)}get isEmpty(){return this.start===this.endExclusive}delta(t){return new j(this.start+t,this.endExclusive+t)}deltaStart(t){return new j(this.start+t,this.endExclusive)}deltaEnd(t){return new j(this.start,this.endExclusive+t)}get length(){return this.endExclusive-this.start}toString(){return`[${this.start}, ${this.endExclusive})`}contains(t){return this.start<=t&&t<this.endExclusive}join(t){return new j(Math.min(this.start,t.start),Math.max(this.endExclusive,t.endExclusive))}intersect(t){const n=Math.max(this.start,t.start),i=Math.min(this.endExclusive,t.endExclusive);if(n<=i)return new j(n,i)}intersects(t){const n=Math.max(this.start,t.start),i=Math.min(this.endExclusive,t.endExclusive);return n<i}isBefore(t){return this.endExclusive<=t.start}isAfter(t){return this.start>=t.endExclusive}slice(t){return t.slice(this.start,this.endExclusive)}substring(t){return t.substring(this.start,this.endExclusive)}clip(t){if(this.isEmpty)throw new ge(`Invalid clipping range: ${this.toString()}`);return Math.max(this.start,Math.min(this.endExclusive-1,t))}clipCyclic(t){if(this.isEmpty)throw new ge(`Invalid clipping range: ${this.toString()}`);return t<this.start?this.endExclusive-(this.start-t)%this.length:t>=this.endExclusive?this.start+(t-this.start)%this.length:t}forEach(t){for(let n=this.start;n<this.endExclusive;n++)t(n)}}function ct(e,t){const n=Lt(e,t);return n===-1?void 0:e[n]}function Lt(e,t,n=0,i=e.length){let r=n,s=i;for(;r<s;){const a=Math.floor((r+s)/2);t(e[a])?r=a+1:s=a}return r-1}function lu(e,t){const n=si(e,t);return n===e.length?void 0:e[n]}function si(e,t,n=0,i=e.length){let r=n,s=i;for(;r<s;){const a=Math.floor((r+s)/2);t(e[a])?s=a:r=a+1}return r}const Tn=class Tn{constructor(t){this._array=t,this._findLastMonotonousLastIdx=0}findLastMonotonous(t){if(Tn.assertInvariants){if(this._prevFindLastPredicate){for(const i of this._array)if(this._prevFindLastPredicate(i)&&!t(i))throw new Error("MonotonousArray: current predicate must be weaker than (or equal to) the previous predicate.")}this._prevFindLastPredicate=t}const n=Lt(this._array,t,this._findLastMonotonousLastIdx);return this._findLastMonotonousLastIdx=n+1,n===-1?void 0:this._array[n]}};Tn.assertInvariants=!1;let en=Tn;class O{static fromRangeInclusive(t){return new O(t.startLineNumber,t.endLineNumber+1)}static joinMany(t){if(t.length===0)return[];let n=new Me(t[0].slice());for(let i=1;i<t.length;i++)n=n.getUnion(new Me(t[i].slice()));return n.ranges}static join(t){if(t.length===0)throw new ge("lineRanges cannot be empty");let n=t[0].startLineNumber,i=t[0].endLineNumberExclusive;for(let r=1;r<t.length;r++)n=Math.min(n,t[r].startLineNumber),i=Math.max(i,t[r].endLineNumberExclusive);return new O(n,i)}static ofLength(t,n){return new O(t,t+n)}static deserialize(t){return new O(t[0],t[1])}constructor(t,n){if(t>n)throw new ge(`startLineNumber ${t} cannot be after endLineNumberExclusive ${n}`);this.startLineNumber=t,this.endLineNumberExclusive=n}contains(t){return this.startLineNumber<=t&&t<this.endLineNumberExclusive}get isEmpty(){return this.startLineNumber===this.endLineNumberExclusive}delta(t){return new O(this.startLineNumber+t,this.endLineNumberExclusive+t)}deltaLength(t){return new O(this.startLineNumber,this.endLineNumberExclusive+t)}get length(){return this.endLineNumberExclusive-this.startLineNumber}join(t){return new O(Math.min(this.startLineNumber,t.startLineNumber),Math.max(this.endLineNumberExclusive,t.endLineNumberExclusive))}toString(){return`[${this.startLineNumber},${this.endLineNumberExclusive})`}intersect(t){const n=Math.max(this.startLineNumber,t.startLineNumber),i=Math.min(this.endLineNumberExclusive,t.endLineNumberExclusive);if(n<=i)return new O(n,i)}intersectsStrict(t){return this.startLineNumber<t.endLineNumberExclusive&&t.startLineNumber<this.endLineNumberExclusive}overlapOrTouch(t){return this.startLineNumber<=t.endLineNumberExclusive&&t.startLineNumber<=this.endLineNumberExclusive}equals(t){return this.startLineNumber===t.startLineNumber&&this.endLineNumberExclusive===t.endLineNumberExclusive}toInclusiveRange(){return this.isEmpty?null:new G(this.startLineNumber,1,this.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER)}toExclusiveRange(){return new G(this.startLineNumber,1,this.endLineNumberExclusive,1)}mapToLineArray(t){const n=[];for(let i=this.startLineNumber;i<this.endLineNumberExclusive;i++)n.push(t(i));return n}forEach(t){for(let n=this.startLineNumber;n<this.endLineNumberExclusive;n++)t(n)}serialize(){return[this.startLineNumber,this.endLineNumberExclusive]}includes(t){return this.startLineNumber<=t&&t<this.endLineNumberExclusive}toOffsetRange(){return new j(this.startLineNumber-1,this.endLineNumberExclusive-1)}}class Me{constructor(t=[]){this._normalizedRanges=t}get ranges(){return this._normalizedRanges}addRange(t){if(t.length===0)return;const n=si(this._normalizedRanges,r=>r.endLineNumberExclusive>=t.startLineNumber),i=Lt(this._normalizedRanges,r=>r.startLineNumber<=t.endLineNumberExclusive)+1;if(n===i)this._normalizedRanges.splice(n,0,t);else if(n===i-1){const r=this._normalizedRanges[n];this._normalizedRanges[n]=r.join(t)}else{const r=this._normalizedRanges[n].join(this._normalizedRanges[i-1]).join(t);this._normalizedRanges.splice(n,i-n,r)}}contains(t){const n=ct(this._normalizedRanges,i=>i.startLineNumber<=t);return!!n&&n.endLineNumberExclusive>t}intersects(t){const n=ct(this._normalizedRanges,i=>i.startLineNumber<t.endLineNumberExclusive);return!!n&&n.endLineNumberExclusive>t.startLineNumber}getUnion(t){if(this._normalizedRanges.length===0)return t;if(t._normalizedRanges.length===0)return this;const n=[];let i=0,r=0,s=null;for(;i<this._normalizedRanges.length||r<t._normalizedRanges.length;){let a=null;if(i<this._normalizedRanges.length&&r<t._normalizedRanges.length){const l=this._normalizedRanges[i],o=t._normalizedRanges[r];l.startLineNumber<o.startLineNumber?(a=l,i++):(a=o,r++)}else i<this._normalizedRanges.length?(a=this._normalizedRanges[i],i++):(a=t._normalizedRanges[r],r++);s===null?s=a:s.endLineNumberExclusive>=a.startLineNumber?s=new O(s.startLineNumber,Math.max(s.endLineNumberExclusive,a.endLineNumberExclusive)):(n.push(s),s=a)}return s!==null&&n.push(s),new Me(n)}subtractFrom(t){const n=si(this._normalizedRanges,a=>a.endLineNumberExclusive>=t.startLineNumber),i=Lt(this._normalizedRanges,a=>a.startLineNumber<=t.endLineNumberExclusive)+1;if(n===i)return new Me([t]);const r=[];let s=t.startLineNumber;for(let a=n;a<i;a++){const l=this._normalizedRanges[a];l.startLineNumber>s&&r.push(new O(s,l.startLineNumber)),s=l.endLineNumberExclusive}return s<t.endLineNumberExclusive&&r.push(new O(s,t.endLineNumberExclusive)),new Me(r)}toString(){return this._normalizedRanges.map(t=>t.toString()).join(", ")}getIntersection(t){const n=[];let i=0,r=0;for(;i<this._normalizedRanges.length&&r<t._normalizedRanges.length;){const s=this._normalizedRanges[i],a=t._normalizedRanges[r],l=s.intersect(a);l&&!l.isEmpty&&n.push(l),s.endLineNumberExclusive<a.endLineNumberExclusive?i++:r++}return new Me(n)}getWithDelta(t){return new Me(this._normalizedRanges.map(n=>n.delta(t)))}}const Ye=class Ye{static betweenPositions(t,n){return t.lineNumber===n.lineNumber?new Ye(0,n.column-t.column):new Ye(n.lineNumber-t.lineNumber,n.column-1)}static ofRange(t){return Ye.betweenPositions(t.getStartPosition(),t.getEndPosition())}static ofText(t){let n=0,i=0;for(const r of t)r===`
+`?(n++,i=0):i++;return new Ye(n,i)}constructor(t,n){this.lineCount=t,this.columnCount=n}isGreaterThanOrEqualTo(t){return this.lineCount!==t.lineCount?this.lineCount>t.lineCount:this.columnCount>=t.columnCount}createRange(t){return this.lineCount===0?new G(t.lineNumber,t.column,t.lineNumber,t.column+this.columnCount):new G(t.lineNumber,t.column,t.lineNumber+this.lineCount,this.columnCount+1)}addToPosition(t){return this.lineCount===0?new te(t.lineNumber,t.column+this.columnCount):new te(t.lineNumber+this.lineCount,this.columnCount+1)}toString(){return`${this.lineCount},${this.columnCount}`}};Ye.zero=new Ye(0,0);let Cs=Ye;class uu{constructor(t,n){this.range=t,this.text=n}toSingleEditOperation(){return{range:this.range,text:this.text}}}class ye{static inverse(t,n,i){const r=[];let s=1,a=1;for(const o of t){const u=new ye(new O(s,o.original.startLineNumber),new O(a,o.modified.startLineNumber));u.modified.isEmpty||r.push(u),s=o.original.endLineNumberExclusive,a=o.modified.endLineNumberExclusive}const l=new ye(new O(s,n+1),new O(a,i+1));return l.modified.isEmpty||r.push(l),r}static clip(t,n,i){const r=[];for(const s of t){const a=s.original.intersect(n),l=s.modified.intersect(i);a&&!a.isEmpty&&l&&!l.isEmpty&&r.push(new ye(a,l))}return r}constructor(t,n){this.original=t,this.modified=n}toString(){return`{${this.original.toString()}->${this.modified.toString()}}`}flip(){return new ye(this.modified,this.original)}join(t){return new ye(this.original.join(t.original),this.modified.join(t.modified))}toRangeMapping(){const t=this.original.toInclusiveRange(),n=this.modified.toInclusiveRange();if(t&&n)return new Ae(t,n);if(this.original.startLineNumber===1||this.modified.startLineNumber===1){if(!(this.modified.startLineNumber===1&&this.original.startLineNumber===1))throw new ge("not a valid diff");return new Ae(new G(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new G(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1))}else return new Ae(new G(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),new G(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER))}toRangeMapping2(t,n){if(Es(this.original.endLineNumberExclusive,t)&&Es(this.modified.endLineNumberExclusive,n))return new Ae(new G(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new G(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1));if(!this.original.isEmpty&&!this.modified.isEmpty)return new Ae(G.fromPositions(new te(this.original.startLineNumber,1),ht(new te(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),t)),G.fromPositions(new te(this.modified.startLineNumber,1),ht(new te(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),n)));if(this.original.startLineNumber>1&&this.modified.startLineNumber>1)return new Ae(G.fromPositions(ht(new te(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER),t),ht(new te(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),t)),G.fromPositions(ht(new te(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER),n),ht(new te(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),n)));throw new ge}}function ht(e,t){if(e.lineNumber<1)return new te(1,1);if(e.lineNumber>t.length)return new te(t.length,t[t.length-1].length+1);const n=t[e.lineNumber-1];return e.column>n.length+1?new te(e.lineNumber,n.length+1):e}function Es(e,t){return e>=1&&e<=t.length}class He extends ye{static fromRangeMappings(t){const n=O.join(t.map(r=>O.fromRangeInclusive(r.originalRange))),i=O.join(t.map(r=>O.fromRangeInclusive(r.modifiedRange)));return new He(n,i,t)}constructor(t,n,i){super(t,n),this.innerChanges=i}flip(){var t;return new He(this.modified,this.original,(t=this.innerChanges)==null?void 0:t.map(n=>n.flip()))}withInnerChangesFromLineRanges(){return new He(this.original,this.modified,[this.toRangeMapping()])}}class Ae{static assertSorted(t){for(let n=1;n<t.length;n++){const i=t[n-1],r=t[n];if(!(i.originalRange.getEndPosition().isBeforeOrEqual(r.originalRange.getStartPosition())&&i.modifiedRange.getEndPosition().isBeforeOrEqual(r.modifiedRange.getStartPosition())))throw new ge("Range mappings must be sorted")}}constructor(t,n){this.originalRange=t,this.modifiedRange=n}toString(){return`{${this.originalRange.toString()}->${this.modifiedRange.toString()}}`}flip(){return new Ae(this.modifiedRange,this.originalRange)}toTextEdit(t){const n=t.getValueOfRange(this.modifiedRange);return new uu(this.originalRange,n)}}const cu=3;class hu{computeDiff(t,n,i){var o;const s=new fu(t,n,{maxComputationTime:i.maxComputationTimeMs,shouldIgnoreTrimWhitespace:i.ignoreTrimWhitespace,shouldComputeCharChanges:!0,shouldMakePrettyDiff:!0,shouldPostProcessCharChanges:!0}).computeDiff(),a=[];let l=null;for(const u of s.changes){let c;u.originalEndLineNumber===0?c=new O(u.originalStartLineNumber+1,u.originalStartLineNumber+1):c=new O(u.originalStartLineNumber,u.originalEndLineNumber+1);let d;u.modifiedEndLineNumber===0?d=new O(u.modifiedStartLineNumber+1,u.modifiedStartLineNumber+1):d=new O(u.modifiedStartLineNumber,u.modifiedEndLineNumber+1);let m=new He(c,d,(o=u.charChanges)==null?void 0:o.map(f=>new Ae(new G(f.originalStartLineNumber,f.originalStartColumn,f.originalEndLineNumber,f.originalEndColumn),new G(f.modifiedStartLineNumber,f.modifiedStartColumn,f.modifiedEndLineNumber,f.modifiedEndColumn))));l&&(l.modified.endLineNumberExclusive===m.modified.startLineNumber||l.original.endLineNumberExclusive===m.original.startLineNumber)&&(m=new He(l.original.join(m.original),l.modified.join(m.modified),l.innerChanges&&m.innerChanges?l.innerChanges.concat(m.innerChanges):void 0),a.pop()),a.push(m),l=m}return Zt(()=>xs(a,(u,c)=>c.original.startLineNumber-u.original.endLineNumberExclusive===c.modified.startLineNumber-u.modified.endLineNumberExclusive&&u.original.endLineNumberExclusive<c.original.startLineNumber&&u.modified.endLineNumberExclusive<c.modified.startLineNumber)),new Kt(a,[],s.quitEarly)}}function Rs(e,t,n,i){return new Ge(e,t,n).ComputeDiff(i)}let Ms=class{constructor(t){const n=[],i=[];for(let r=0,s=t.length;r<s;r++)n[r]=ai(t[r],1),i[r]=oi(t[r],1);this.lines=t,this._startColumns=n,this._endColumns=i}getElements(){const t=[];for(let n=0,i=this.lines.length;n<i;n++)t[n]=this.lines[n].substring(this._startColumns[n]-1,this._endColumns[n]-1);return t}getStrictElement(t){return this.lines[t]}getStartLineNumber(t){return t+1}getEndLineNumber(t){return t+1}createCharSequence(t,n,i){const r=[],s=[],a=[];let l=0;for(let o=n;o<=i;o++){const u=this.lines[o],c=t?this._startColumns[o]:1,d=t?this._endColumns[o]:u.length+1;for(let m=c;m<d;m++)r[l]=u.charCodeAt(m-1),s[l]=o+1,a[l]=m,l++;!t&&o<i&&(r[l]=10,s[l]=o+1,a[l]=u.length+1,l++)}return new du(r,s,a)}};class du{constructor(t,n,i){this._charCodes=t,this._lineNumbers=n,this._columns=i}toString(){return"["+this._charCodes.map((t,n)=>(t===10?"\\n":String.fromCharCode(t))+`-(${this._lineNumbers[n]},${this._columns[n]})`).join(", ")+"]"}_assertIndex(t,n){if(t<0||t>=n.length)throw new Error("Illegal index")}getElements(){return this._charCodes}getStartLineNumber(t){return t>0&&t===this._lineNumbers.length?this.getEndLineNumber(t-1):(this._assertIndex(t,this._lineNumbers),this._lineNumbers[t])}getEndLineNumber(t){return t===-1?this.getStartLineNumber(t+1):(this._assertIndex(t,this._lineNumbers),this._charCodes[t]===10?this._lineNumbers[t]+1:this._lineNumbers[t])}getStartColumn(t){return t>0&&t===this._columns.length?this.getEndColumn(t-1):(this._assertIndex(t,this._columns),this._columns[t])}getEndColumn(t){return t===-1?this.getStartColumn(t+1):(this._assertIndex(t,this._columns),this._charCodes[t]===10?1:this._columns[t]+1)}}class dt{constructor(t,n,i,r,s,a,l,o){this.originalStartLineNumber=t,this.originalStartColumn=n,this.originalEndLineNumber=i,this.originalEndColumn=r,this.modifiedStartLineNumber=s,this.modifiedStartColumn=a,this.modifiedEndLineNumber=l,this.modifiedEndColumn=o}static createFromDiffChange(t,n,i){const r=n.getStartLineNumber(t.originalStart),s=n.getStartColumn(t.originalStart),a=n.getEndLineNumber(t.originalStart+t.originalLength-1),l=n.getEndColumn(t.originalStart+t.originalLength-1),o=i.getStartLineNumber(t.modifiedStart),u=i.getStartColumn(t.modifiedStart),c=i.getEndLineNumber(t.modifiedStart+t.modifiedLength-1),d=i.getEndColumn(t.modifiedStart+t.modifiedLength-1);return new dt(r,s,a,l,o,u,c,d)}}function mu(e){if(e.length<=1)return e;const t=[e[0]];let n=t[0];for(let i=1,r=e.length;i<r;i++){const s=e[i],a=s.originalStart-(n.originalStart+n.originalLength),l=s.modifiedStart-(n.modifiedStart+n.modifiedLength);Math.min(a,l)<cu?(n.originalLength=s.originalStart+s.originalLength-n.originalStart,n.modifiedLength=s.modifiedStart+s.modifiedLength-n.modifiedStart):(t.push(s),n=s)}return t}class Ct{constructor(t,n,i,r,s){this.originalStartLineNumber=t,this.originalEndLineNumber=n,this.modifiedStartLineNumber=i,this.modifiedEndLineNumber=r,this.charChanges=s}static createFromDiffResult(t,n,i,r,s,a,l){let o,u,c,d,m;if(n.originalLength===0?(o=i.getStartLineNumber(n.originalStart)-1,u=0):(o=i.getStartLineNumber(n.originalStart),u=i.getEndLineNumber(n.originalStart+n.originalLength-1)),n.modifiedLength===0?(c=r.getStartLineNumber(n.modifiedStart)-1,d=0):(c=r.getStartLineNumber(n.modifiedStart),d=r.getEndLineNumber(n.modifiedStart+n.modifiedLength-1)),a&&n.originalLength>0&&n.originalLength<20&&n.modifiedLength>0&&n.modifiedLength<20&&s()){const f=i.createCharSequence(t,n.originalStart,n.originalStart+n.originalLength-1),_=r.createCharSequence(t,n.modifiedStart,n.modifiedStart+n.modifiedLength-1);if(f.getElements().length>0&&_.getElements().length>0){let g=Rs(f,_,s,!0).changes;l&&(g=mu(g)),m=[];for(let k=0,y=g.length;k<y;k++)m.push(dt.createFromDiffChange(g[k],f,_))}}return new Ct(o,u,c,d,m)}}class fu{constructor(t,n,i){this.shouldComputeCharChanges=i.shouldComputeCharChanges,this.shouldPostProcessCharChanges=i.shouldPostProcessCharChanges,this.shouldIgnoreTrimWhitespace=i.shouldIgnoreTrimWhitespace,this.shouldMakePrettyDiff=i.shouldMakePrettyDiff,this.originalLines=t,this.modifiedLines=n,this.original=new Ms(t),this.modified=new Ms(n),this.continueLineDiff=Ns(i.maxComputationTime),this.continueCharDiff=Ns(i.maxComputationTime===0?0:Math.min(i.maxComputationTime,5e3))}computeDiff(){if(this.original.lines.length===1&&this.original.lines[0].length===0)return this.modified.lines.length===1&&this.modified.lines[0].length===0?{quitEarly:!1,changes:[]}:{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:1,modifiedStartLineNumber:1,modifiedEndLineNumber:this.modified.lines.length,charChanges:void 0}]};if(this.modified.lines.length===1&&this.modified.lines[0].length===0)return{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:this.original.lines.length,modifiedStartLineNumber:1,modifiedEndLineNumber:1,charChanges:void 0}]};const t=Rs(this.original,this.modified,this.continueLineDiff,this.shouldMakePrettyDiff),n=t.changes,i=t.quitEarly;if(this.shouldIgnoreTrimWhitespace){const l=[];for(let o=0,u=n.length;o<u;o++)l.push(Ct.createFromDiffResult(this.shouldIgnoreTrimWhitespace,n[o],this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges));return{quitEarly:i,changes:l}}const r=[];let s=0,a=0;for(let l=-1,o=n.length;l<o;l++){const u=l+1<o?n[l+1]:null,c=u?u.originalStart:this.originalLines.length,d=u?u.modifiedStart:this.modifiedLines.length;for(;s<c&&a<d;){const m=this.originalLines[s],f=this.modifiedLines[a];if(m!==f){{let _=ai(m,1),g=ai(f,1);for(;_>1&&g>1;){const k=m.charCodeAt(_-2),y=f.charCodeAt(g-2);if(k!==y)break;_--,g--}(_>1||g>1)&&this._pushTrimWhitespaceCharChange(r,s+1,1,_,a+1,1,g)}{let _=oi(m,1),g=oi(f,1);const k=m.length+1,y=f.length+1;for(;_<k&&g<y;){const v=m.charCodeAt(_-1),E=m.charCodeAt(g-1);if(v!==E)break;_++,g++}(_<k||g<y)&&this._pushTrimWhitespaceCharChange(r,s+1,_,k,a+1,g,y)}}s++,a++}u&&(r.push(Ct.createFromDiffResult(this.shouldIgnoreTrimWhitespace,u,this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges)),s+=u.originalLength,a+=u.modifiedLength)}return{quitEarly:i,changes:r}}_pushTrimWhitespaceCharChange(t,n,i,r,s,a,l){if(this._mergeTrimWhitespaceCharChange(t,n,i,r,s,a,l))return;let o;this.shouldComputeCharChanges&&(o=[new dt(n,i,n,r,s,a,s,l)]),t.push(new Ct(n,n,s,s,o))}_mergeTrimWhitespaceCharChange(t,n,i,r,s,a,l){const o=t.length;if(o===0)return!1;const u=t[o-1];return u.originalEndLineNumber===0||u.modifiedEndLineNumber===0?!1:u.originalEndLineNumber===n&&u.modifiedEndLineNumber===s?(this.shouldComputeCharChanges&&u.charChanges&&u.charChanges.push(new dt(n,i,n,r,s,a,s,l)),!0):u.originalEndLineNumber+1===n&&u.modifiedEndLineNumber+1===s?(u.originalEndLineNumber=n,u.modifiedEndLineNumber=s,this.shouldComputeCharChanges&&u.charChanges&&u.charChanges.push(new dt(n,i,n,r,s,a,s,l)),!0):!1}}function ai(e,t){const n=jo(e);return n===-1?t:n+1}function oi(e,t){const n=$o(e);return n===-1?t:n+2}function Ns(e){if(e===0)return()=>!0;const t=Date.now();return()=>Date.now()-t<e}function pu(e,t,n=(i,r)=>i===r){if(e===t)return!0;if(!e||!t||e.length!==t.length)return!1;for(let i=0,r=e.length;i<r;i++)if(!n(e[i],t[i]))return!1;return!0}function*gu(e,t){let n,i;for(const r of e)i!==void 0&&t(i,r)?n.push(r):(n&&(yield n),n=[r]),i=r;n&&(yield n)}function bu(e,t){for(let n=0;n<=e.length;n++)t(n===0?void 0:e[n-1],n===e.length?void 0:e[n])}function _u(e,t){for(let n=0;n<e.length;n++)t(n===0?void 0:e[n-1],e[n],n+1===e.length?void 0:e[n+1])}function wu(e,t){for(const n of t)e.push(n)}var li;(function(e){function t(s){return s<0}e.isLessThan=t;function n(s){return s<=0}e.isLessThanOrEqual=n;function i(s){return s>0}e.isGreaterThan=i;function r(s){return s===0}e.isNeitherLessOrGreaterThan=r,e.greaterThan=1,e.lessThan=-1,e.neitherLessOrGreaterThan=0})(li||(li={}));function tn(e,t){return(n,i)=>t(e(n),e(i))}const nn=(e,t)=>e-t;function vu(e){return(t,n)=>-e(t,n)}const vt=class vt{constructor(t){this.iterate=t}toArray(){const t=[];return this.iterate(n=>(t.push(n),!0)),t}filter(t){return new vt(n=>this.iterate(i=>t(i)?n(i):!0))}map(t){return new vt(n=>this.iterate(i=>n(t(i))))}findLast(t){let n;return this.iterate(i=>(t(i)&&(n=i),!0)),n}findLastMaxBy(t){let n,i=!0;return this.iterate(r=>((i||li.isGreaterThan(t(r,n)))&&(i=!1,n=r),!0)),n}};vt.empty=new vt(t=>{});let Ds=vt;class We{static trivial(t,n){return new We([new K(j.ofLength(t.length),j.ofLength(n.length))],!1)}static trivialTimedOut(t,n){return new We([new K(j.ofLength(t.length),j.ofLength(n.length))],!0)}constructor(t,n){this.diffs=t,this.hitTimeout=n}}class K{static invert(t,n){const i=[];return bu(t,(r,s)=>{i.push(K.fromOffsetPairs(r?r.getEndExclusives():Fe.zero,s?s.getStarts():new Fe(n,(r?r.seq2Range.endExclusive-r.seq1Range.endExclusive:0)+n)))}),i}static fromOffsetPairs(t,n){return new K(new j(t.offset1,n.offset1),new j(t.offset2,n.offset2))}static assertSorted(t){let n;for(const i of t){if(n&&!(n.seq1Range.endExclusive<=i.seq1Range.start&&n.seq2Range.endExclusive<=i.seq2Range.start))throw new ge("Sequence diffs must be sorted");n=i}}constructor(t,n){this.seq1Range=t,this.seq2Range=n}swap(){return new K(this.seq2Range,this.seq1Range)}toString(){return`${this.seq1Range} <-> ${this.seq2Range}`}join(t){return new K(this.seq1Range.join(t.seq1Range),this.seq2Range.join(t.seq2Range))}delta(t){return t===0?this:new K(this.seq1Range.delta(t),this.seq2Range.delta(t))}deltaStart(t){return t===0?this:new K(this.seq1Range.deltaStart(t),this.seq2Range.deltaStart(t))}deltaEnd(t){return t===0?this:new K(this.seq1Range.deltaEnd(t),this.seq2Range.deltaEnd(t))}intersect(t){const n=this.seq1Range.intersect(t.seq1Range),i=this.seq2Range.intersect(t.seq2Range);if(!(!n||!i))return new K(n,i)}getStarts(){return new Fe(this.seq1Range.start,this.seq2Range.start)}getEndExclusives(){return new Fe(this.seq1Range.endExclusive,this.seq2Range.endExclusive)}}const et=class et{constructor(t,n){this.offset1=t,this.offset2=n}toString(){return`${this.offset1} <-> ${this.offset2}`}delta(t){return t===0?this:new et(this.offset1+t,this.offset2+t)}equals(t){return this.offset1===t.offset1&&this.offset2===t.offset2}};et.zero=new et(0,0),et.max=new et(Number.MAX_SAFE_INTEGER,Number.MAX_SAFE_INTEGER);let Fe=et;const kn=class kn{isValid(){return!0}};kn.instance=new kn;let Et=kn;class yu{constructor(t){if(this.timeout=t,this.startTime=Date.now(),this.valid=!0,t<=0)throw new ge("timeout must be positive")}isValid(){if(!(Date.now()-this.startTime<this.timeout)&&this.valid){this.valid=!1;debugger}return this.valid}}class ui{constructor(t,n){this.width=t,this.height=n,this.array=[],this.array=new Array(t*n)}get(t,n){return this.array[t+n*this.width]}set(t,n,i){this.array[t+n*this.width]=i}}function ci(e){return e===32||e===9}const Wt=class Wt{static getKey(t){let n=this.chrKeys.get(t);return n===void 0&&(n=this.chrKeys.size,this.chrKeys.set(t,n)),n}constructor(t,n,i){this.range=t,this.lines=n,this.source=i,this.histogram=[];let r=0;for(let s=t.startLineNumber-1;s<t.endLineNumberExclusive-1;s++){const a=n[s];for(let o=0;o<a.length;o++){r++;const u=a[o],c=Wt.getKey(u);this.histogram[c]=(this.histogram[c]||0)+1}r++;const l=Wt.getKey(`
+`);this.histogram[l]=(this.histogram[l]||0)+1}this.totalCount=r}computeSimilarity(t){let n=0;const i=Math.max(this.histogram.length,t.histogram.length);for(let r=0;r<i;r++)n+=Math.abs((this.histogram[r]??0)-(t.histogram[r]??0));return 1-n/(this.totalCount+t.totalCount)}};Wt.chrKeys=new Map;let rn=Wt;class xu{compute(t,n,i=Et.instance,r){if(t.length===0||n.length===0)return We.trivial(t,n);const s=new ui(t.length,n.length),a=new ui(t.length,n.length),l=new ui(t.length,n.length);for(let _=0;_<t.length;_++)for(let g=0;g<n.length;g++){if(!i.isValid())return We.trivialTimedOut(t,n);const k=_===0?0:s.get(_-1,g),y=g===0?0:s.get(_,g-1);let v;t.getElement(_)===n.getElement(g)?(_===0||g===0?v=0:v=s.get(_-1,g-1),_>0&&g>0&&a.get(_-1,g-1)===3&&(v+=l.get(_-1,g-1)),v+=r?r(_,g):1):v=-1;const E=Math.max(k,y,v);if(E===v){const M=_>0&&g>0?l.get(_-1,g-1):0;l.set(_,g,M+1),a.set(_,g,3)}else E===k?(l.set(_,g,0),a.set(_,g,1)):E===y&&(l.set(_,g,0),a.set(_,g,2));s.set(_,g,E)}const o=[];let u=t.length,c=n.length;function d(_,g){(_+1!==u||g+1!==c)&&o.push(new K(new j(_+1,u),new j(g+1,c))),u=_,c=g}let m=t.length-1,f=n.length-1;for(;m>=0&&f>=0;)a.get(m,f)===3?(d(m,f),m--,f--):a.get(m,f)===1?m--:f--;return d(-1,-1),o.reverse(),new We(o,!1)}}class Is{compute(t,n,i=Et.instance){if(t.length===0||n.length===0)return We.trivial(t,n);const r=t,s=n;function a(g,k){for(;g<r.length&&k<s.length&&r.getElement(g)===s.getElement(k);)g++,k++;return g}let l=0;const o=new Tu;o.set(0,a(0,0));const u=new ku;u.set(0,o.get(0)===0?null:new zs(null,0,0,o.get(0)));let c=0;e:for(;;){if(l++,!i.isValid())return We.trivialTimedOut(r,s);const g=-Math.min(l,s.length+l%2),k=Math.min(l,r.length+l%2);for(c=g;c<=k;c+=2){const y=c===k?-1:o.get(c+1),v=c===g?-1:o.get(c-1)+1,E=Math.min(Math.max(y,v),r.length),M=E-c;if(E>r.length||M>s.length)continue;const D=a(E,M);o.set(c,D);const N=E===y?u.get(c+1):u.get(c-1);if(u.set(c,D!==E?new zs(N,E,M,D-E):N),o.get(c)===r.length&&o.get(c)-c===s.length)break e}}let d=u.get(c);const m=[];let f=r.length,_=s.length;for(;;){const g=d?d.x+d.length:0,k=d?d.y+d.length:0;if((g!==f||k!==_)&&m.push(new K(new j(g,f),new j(k,_))),!d)break;f=d.x,_=d.y,d=d.prev}return m.reverse(),new We(m,!1)}}class zs{constructor(t,n,i,r){this.prev=t,this.x=n,this.y=i,this.length=r}}class Tu{constructor(){this.positiveArr=new Int32Array(10),this.negativeArr=new Int32Array(10)}get(t){return t<0?(t=-t-1,this.negativeArr[t]):this.positiveArr[t]}set(t,n){if(t<0){if(t=-t-1,t>=this.negativeArr.length){const i=this.negativeArr;this.negativeArr=new Int32Array(i.length*2),this.negativeArr.set(i)}this.negativeArr[t]=n}else{if(t>=this.positiveArr.length){const i=this.positiveArr;this.positiveArr=new Int32Array(i.length*2),this.positiveArr.set(i)}this.positiveArr[t]=n}}}class ku{constructor(){this.positiveArr=[],this.negativeArr=[]}get(t){return t<0?(t=-t-1,this.negativeArr[t]):this.positiveArr[t]}set(t,n){t<0?(t=-t-1,this.negativeArr[t]=n):this.positiveArr[t]=n}}class sn{constructor(t,n,i){this.lines=t,this.range=n,this.considerWhitespaceChanges=i,this.elements=[],this.firstElementOffsetByLineIdx=[],this.lineStartOffsets=[],this.trimmedWsLengthsByLineIdx=[],this.firstElementOffsetByLineIdx.push(0);for(let r=this.range.startLineNumber;r<=this.range.endLineNumber;r++){let s=t[r-1],a=0;r===this.range.startLineNumber&&this.range.startColumn>1&&(a=this.range.startColumn-1,s=s.substring(a)),this.lineStartOffsets.push(a);let l=0;if(!i){const u=s.trimStart();l=s.length-u.length,s=u.trimEnd()}this.trimmedWsLengthsByLineIdx.push(l);const o=r===this.range.endLineNumber?Math.min(this.range.endColumn-1-a-l,s.length):s.length;for(let u=0;u<o;u++)this.elements.push(s.charCodeAt(u));r<this.range.endLineNumber&&(this.elements.push(10),this.firstElementOffsetByLineIdx.push(this.elements.length))}}toString(){return`Slice: "${this.text}"`}get text(){return this.getText(new j(0,this.length))}getText(t){return this.elements.slice(t.start,t.endExclusive).map(n=>String.fromCharCode(n)).join("")}getElement(t){return this.elements[t]}get length(){return this.elements.length}getBoundaryScore(t){const n=Hs(t>0?this.elements[t-1]:-1),i=Hs(t<this.elements.length?this.elements[t]:-1);if(n===7&&i===8)return 0;if(n===8)return 150;let r=0;return n!==i&&(r+=10,n===0&&i===1&&(r+=1)),r+=Us(n),r+=Us(i),r}translateOffset(t,n="right"){const i=Lt(this.firstElementOffsetByLineIdx,s=>s<=t),r=t-this.firstElementOffsetByLineIdx[i];return new te(this.range.startLineNumber+i,1+this.lineStartOffsets[i]+r+(r===0&&n==="left"?0:this.trimmedWsLengthsByLineIdx[i]))}translateRange(t){const n=this.translateOffset(t.start,"right"),i=this.translateOffset(t.endExclusive,"left");return i.isBefore(n)?G.fromPositions(i,i):G.fromPositions(n,i)}findWordContaining(t){if(t<0||t>=this.elements.length||!hi(this.elements[t]))return;let n=t;for(;n>0&&hi(this.elements[n-1]);)n--;let i=t;for(;i<this.elements.length&&hi(this.elements[i]);)i++;return new j(n,i)}countLinesIn(t){return this.translateOffset(t.endExclusive).lineNumber-this.translateOffset(t.start).lineNumber}isStronglyEqual(t,n){return this.elements[t]===this.elements[n]}extendToFullLines(t){const n=ct(this.firstElementOffsetByLineIdx,r=>r<=t.start)??0,i=lu(this.firstElementOffsetByLineIdx,r=>t.endExclusive<=r)??this.elements.length;return new j(n,i)}}function hi(e){return e>=97&&e<=122||e>=65&&e<=90||e>=48&&e<=57}const Au={0:0,1:0,2:0,3:10,4:2,5:30,6:3,7:10,8:10};function Us(e){return Au[e]}function Hs(e){return e===10?8:e===13?7:ci(e)?6:e>=97&&e<=122?0:e>=65&&e<=90?1:e>=48&&e<=57?2:e===-1?3:e===44||e===59?5:4}function Su(e,t,n,i,r,s){let{moves:a,excludedChanges:l}=Cu(e,t,n,s);if(!s.isValid())return[];const o=e.filter(c=>!l.has(c)),u=Eu(o,i,r,t,n,s);return wu(a,u),a=Ru(a),a=a.filter(c=>{const d=c.original.toOffsetRange().slice(t).map(f=>f.trim());return d.join(`
+`).length>=15&&Lu(d,f=>f.length>=2)>=2}),a=Mu(e,a),a}function Lu(e,t){let n=0;for(const i of e)t(i)&&n++;return n}function Cu(e,t,n,i){const r=[],s=e.filter(o=>o.modified.isEmpty&&o.original.length>=3).map(o=>new rn(o.original,t,o)),a=new Set(e.filter(o=>o.original.isEmpty&&o.modified.length>=3).map(o=>new rn(o.modified,n,o))),l=new Set;for(const o of s){let u=-1,c;for(const d of a){const m=o.computeSimilarity(d);m>u&&(u=m,c=d)}if(u>.9&&c&&(a.delete(c),r.push(new ye(o.range,c.range)),l.add(o.source),l.add(c.source)),!i.isValid())return{moves:r,excludedChanges:l}}return{moves:r,excludedChanges:l}}function Eu(e,t,n,i,r,s){const a=[],l=new Xl;for(const m of e)for(let f=m.original.startLineNumber;f<m.original.endLineNumberExclusive-2;f++){const _=`${t[f-1]}:${t[f+1-1]}:${t[f+2-1]}`;l.add(_,{range:new O(f,f+3)})}const o=[];e.sort(tn(m=>m.modified.startLineNumber,nn));for(const m of e){let f=[];for(let _=m.modified.startLineNumber;_<m.modified.endLineNumberExclusive-2;_++){const g=`${n[_-1]}:${n[_+1-1]}:${n[_+2-1]}`,k=new O(_,_+3),y=[];l.forEach(g,({range:v})=>{for(const M of f)if(M.originalLineRange.endLineNumberExclusive+1===v.endLineNumberExclusive&&M.modifiedLineRange.endLineNumberExclusive+1===k.endLineNumberExclusive){M.originalLineRange=new O(M.originalLineRange.startLineNumber,v.endLineNumberExclusive),M.modifiedLineRange=new O(M.modifiedLineRange.startLineNumber,k.endLineNumberExclusive),y.push(M);return}const E={modifiedLineRange:k,originalLineRange:v};o.push(E),y.push(E)}),f=y}if(!s.isValid())return[]}o.sort(vu(tn(m=>m.modifiedLineRange.length,nn)));const u=new Me,c=new Me;for(const m of o){const f=m.modifiedLineRange.startLineNumber-m.originalLineRange.startLineNumber,_=u.subtractFrom(m.modifiedLineRange),g=c.subtractFrom(m.originalLineRange).getWithDelta(f),k=_.getIntersection(g);for(const y of k.ranges){if(y.length<3)continue;const v=y,E=y.delta(-f);a.push(new ye(E,v)),u.addRange(v),c.addRange(E)}}a.sort(tn(m=>m.original.startLineNumber,nn));const d=new en(e);for(let m=0;m<a.length;m++){const f=a[m],_=d.findLastMonotonous(N=>N.original.startLineNumber<=f.original.startLineNumber),g=ct(e,N=>N.modified.startLineNumber<=f.modified.startLineNumber),k=Math.max(f.original.startLineNumber-_.original.startLineNumber,f.modified.startLineNumber-g.modified.startLineNumber),y=d.findLastMonotonous(N=>N.original.startLineNumber<f.original.endLineNumberExclusive),v=ct(e,N=>N.modified.startLineNumber<f.modified.endLineNumberExclusive),E=Math.max(y.original.endLineNumberExclusive-f.original.endLineNumberExclusive,v.modified.endLineNumberExclusive-f.modified.endLineNumberExclusive);let M;for(M=0;M<k;M++){const N=f.original.startLineNumber-M-1,b=f.modified.startLineNumber-M-1;if(N>i.length||b>r.length||u.contains(b)||c.contains(N)||!Ws(i[N-1],r[b-1],s))break}M>0&&(c.addRange(new O(f.original.startLineNumber-M,f.original.startLineNumber)),u.addRange(new O(f.modified.startLineNumber-M,f.modified.startLineNumber)));let D;for(D=0;D<E;D++){const N=f.original.endLineNumberExclusive+D,b=f.modified.endLineNumberExclusive+D;if(N>i.length||b>r.length||u.contains(b)||c.contains(N)||!Ws(i[N-1],r[b-1],s))break}D>0&&(c.addRange(new O(f.original.endLineNumberExclusive,f.original.endLineNumberExclusive+D)),u.addRange(new O(f.modified.endLineNumberExclusive,f.modified.endLineNumberExclusive+D))),(M>0||D>0)&&(a[m]=new ye(new O(f.original.startLineNumber-M,f.original.endLineNumberExclusive+D),new O(f.modified.startLineNumber-M,f.modified.endLineNumberExclusive+D)))}return a}function Ws(e,t,n){if(e.trim()===t.trim())return!0;if(e.length>300&&t.length>300)return!1;const r=new Is().compute(new sn([e],new G(1,1,1,e.length),!1),new sn([t],new G(1,1,1,t.length),!1),n);let s=0;const a=K.invert(r.diffs,e.length);for(const c of a)c.seq1Range.forEach(d=>{ci(e.charCodeAt(d))||s++});function l(c){let d=0;for(let m=0;m<e.length;m++)ci(c.charCodeAt(m))||d++;return d}const o=l(e.length>t.length?e:t);return s/o>.6&&o>10}function Ru(e){if(e.length===0)return e;e.sort(tn(n=>n.original.startLineNumber,nn));const t=[e[0]];for(let n=1;n<e.length;n++){const i=t[t.length-1],r=e[n],s=r.original.startLineNumber-i.original.endLineNumberExclusive,a=r.modified.startLineNumber-i.modified.endLineNumberExclusive;if(s>=0&&a>=0&&s+a<=2){t[t.length-1]=i.join(r);continue}t.push(r)}return t}function Mu(e,t){const n=new en(e);return t=t.filter(i=>{const r=n.findLastMonotonous(l=>l.original.startLineNumber<i.original.endLineNumberExclusive)||new ye(new O(1,1),new O(1,1)),s=ct(e,l=>l.modified.startLineNumber<i.modified.endLineNumberExclusive);return r!==s}),t}function Fs(e,t,n){let i=n;return i=Ps(e,t,i),i=Ps(e,t,i),i=Nu(e,t,i),i}function Ps(e,t,n){if(n.length===0)return n;const i=[];i.push(n[0]);for(let s=1;s<n.length;s++){const a=i[i.length-1];let l=n[s];if(l.seq1Range.isEmpty||l.seq2Range.isEmpty){const o=l.seq1Range.start-a.seq1Range.endExclusive;let u;for(u=1;u<=o&&!(e.getElement(l.seq1Range.start-u)!==e.getElement(l.seq1Range.endExclusive-u)||t.getElement(l.seq2Range.start-u)!==t.getElement(l.seq2Range.endExclusive-u));u++);if(u--,u===o){i[i.length-1]=new K(new j(a.seq1Range.start,l.seq1Range.endExclusive-o),new j(a.seq2Range.start,l.seq2Range.endExclusive-o));continue}l=l.delta(-u)}i.push(l)}const r=[];for(let s=0;s<i.length-1;s++){const a=i[s+1];let l=i[s];if(l.seq1Range.isEmpty||l.seq2Range.isEmpty){const o=a.seq1Range.start-l.seq1Range.endExclusive;let u;for(u=0;u<o&&!(!e.isStronglyEqual(l.seq1Range.start+u,l.seq1Range.endExclusive+u)||!t.isStronglyEqual(l.seq2Range.start+u,l.seq2Range.endExclusive+u));u++);if(u===o){i[s+1]=new K(new j(l.seq1Range.start+o,a.seq1Range.endExclusive),new j(l.seq2Range.start+o,a.seq2Range.endExclusive));continue}u>0&&(l=l.delta(u))}r.push(l)}return i.length>0&&r.push(i[i.length-1]),r}function Nu(e,t,n){if(!e.getBoundaryScore||!t.getBoundaryScore)return n;for(let i=0;i<n.length;i++){const r=i>0?n[i-1]:void 0,s=n[i],a=i+1<n.length?n[i+1]:void 0,l=new j(r?r.seq1Range.endExclusive+1:0,a?a.seq1Range.start-1:e.length),o=new j(r?r.seq2Range.endExclusive+1:0,a?a.seq2Range.start-1:t.length);s.seq1Range.isEmpty?n[i]=Bs(s,e,t,l,o):s.seq2Range.isEmpty&&(n[i]=Bs(s.swap(),t,e,o,l).swap())}return n}function Bs(e,t,n,i,r){let a=1;for(;e.seq1Range.start-a>=i.start&&e.seq2Range.start-a>=r.start&&n.isStronglyEqual(e.seq2Range.start-a,e.seq2Range.endExclusive-a)&&a<100;)a++;a--;let l=0;for(;e.seq1Range.start+l<i.endExclusive&&e.seq2Range.endExclusive+l<r.endExclusive&&n.isStronglyEqual(e.seq2Range.start+l,e.seq2Range.endExclusive+l)&&l<100;)l++;if(a===0&&l===0)return e;let o=0,u=-1;for(let c=-a;c<=l;c++){const d=e.seq2Range.start+c,m=e.seq2Range.endExclusive+c,f=e.seq1Range.start+c,_=t.getBoundaryScore(f)+n.getBoundaryScore(d)+n.getBoundaryScore(m);_>u&&(u=_,o=c)}return e.delta(o)}function Du(e,t,n){const i=[];for(const r of n){const s=i[i.length-1];if(!s){i.push(r);continue}r.seq1Range.start-s.seq1Range.endExclusive<=2||r.seq2Range.start-s.seq2Range.endExclusive<=2?i[i.length-1]=new K(s.seq1Range.join(r.seq1Range),s.seq2Range.join(r.seq2Range)):i.push(r)}return i}function Iu(e,t,n){const i=K.invert(n,e.length),r=[];let s=new Fe(0,0);function a(o,u){if(o.offset1<s.offset1||o.offset2<s.offset2)return;const c=e.findWordContaining(o.offset1),d=t.findWordContaining(o.offset2);if(!c||!d)return;let m=new K(c,d);const f=m.intersect(u);let _=f.seq1Range.length,g=f.seq2Range.length;for(;i.length>0;){const k=i[0];if(!(k.seq1Range.intersects(m.seq1Range)||k.seq2Range.intersects(m.seq2Range)))break;const v=e.findWordContaining(k.seq1Range.start),E=t.findWordContaining(k.seq2Range.start),M=new K(v,E),D=M.intersect(k);if(_+=D.seq1Range.length,g+=D.seq2Range.length,m=m.join(M),m.seq1Range.endExclusive>=k.seq1Range.endExclusive)i.shift();else break}_+g<(m.seq1Range.length+m.seq2Range.length)*2/3&&r.push(m),s=m.getEndExclusives()}for(;i.length>0;){const o=i.shift();o.seq1Range.isEmpty||(a(o.getStarts(),o),a(o.getEndExclusives().delta(-1),o))}return zu(n,r)}function zu(e,t){const n=[];for(;e.length>0||t.length>0;){const i=e[0],r=t[0];let s;i&&(!r||i.seq1Range.start<r.seq1Range.start)?s=e.shift():s=t.shift(),n.length>0&&n[n.length-1].seq1Range.endExclusive>=s.seq1Range.start?n[n.length-1]=n[n.length-1].join(s):n.push(s)}return n}function Uu(e,t,n){let i=n;if(i.length===0)return i;let r=0,s;do{s=!1;const a=[i[0]];for(let l=1;l<i.length;l++){let c=function(m,f){const _=new j(u.seq1Range.endExclusive,o.seq1Range.start);return e.getText(_).replace(/\s/g,"").length<=4&&(m.seq1Range.length+m.seq2Range.length>5||f.seq1Range.length+f.seq2Range.length>5)};const o=i[l],u=a[a.length-1];c(u,o)?(s=!0,a[a.length-1]=a[a.length-1].join(o)):a.push(o)}i=a}while(r++<10&&s);return i}function Hu(e,t,n){let i=n;if(i.length===0)return i;let r=0,s;do{s=!1;const l=[i[0]];for(let o=1;o<i.length;o++){let d=function(f,_){const g=new j(c.seq1Range.endExclusive,u.seq1Range.start);if(e.countLinesIn(g)>5||g.length>500)return!1;const y=e.getText(g).trim();if(y.length>20||y.split(/\r\n|\r|\n/).length>1)return!1;const v=e.countLinesIn(f.seq1Range),E=f.seq1Range.length,M=t.countLinesIn(f.seq2Range),D=f.seq2Range.length,N=e.countLinesIn(_.seq1Range),b=_.seq1Range.length,p=t.countLinesIn(_.seq2Range),x=_.seq2Range.length,U=2*40+50;function L(T){return Math.min(T,U)}return Math.pow(Math.pow(L(v*40+E),1.5)+Math.pow(L(M*40+D),1.5),1.5)+Math.pow(Math.pow(L(N*40+b),1.5)+Math.pow(L(p*40+x),1.5),1.5)>(U**1.5)**1.5*1.3};const u=i[o],c=l[l.length-1];d(c,u)?(s=!0,l[l.length-1]=l[l.length-1].join(u)):l.push(u)}i=l}while(r++<10&&s);const a=[];return _u(i,(l,o,u)=>{let c=o;function d(y){return y.length>0&&y.trim().length<=3&&o.seq1Range.length+o.seq2Range.length>100}const m=e.extendToFullLines(o.seq1Range),f=e.getText(new j(m.start,o.seq1Range.start));d(f)&&(c=c.deltaStart(-f.length));const _=e.getText(new j(o.seq1Range.endExclusive,m.endExclusive));d(_)&&(c=c.deltaEnd(_.length));const g=K.fromOffsetPairs(l?l.getEndExclusives():Fe.zero,u?u.getStarts():Fe.max),k=c.intersect(g);a.length>0&&k.getStarts().equals(a[a.length-1].getEndExclusives())?a[a.length-1]=a[a.length-1].join(k):a.push(k)}),a}class qs{constructor(t,n){this.trimmedHash=t,this.lines=n}getElement(t){return this.trimmedHash[t]}get length(){return this.trimmedHash.length}getBoundaryScore(t){const n=t===0?0:Os(this.lines[t-1]),i=t===this.lines.length?0:Os(this.lines[t]);return 1e3-(n+i)}getText(t){return this.lines.slice(t.start,t.endExclusive).join(`
+`)}isStronglyEqual(t,n){return this.lines[t]===this.lines[n]}}function Os(e){let t=0;for(;t<e.length&&(e.charCodeAt(t)===32||e.charCodeAt(t)===9);)t++;return t}class Wu{constructor(){this.dynamicProgrammingDiffing=new xu,this.myersDiffingAlgorithm=new Is}computeDiff(t,n,i){if(t.length<=1&&pu(t,n,(D,N)=>D===N))return new Kt([],[],!1);if(t.length===1&&t[0].length===0||n.length===1&&n[0].length===0)return new Kt([new He(new O(1,t.length+1),new O(1,n.length+1),[new Ae(new G(1,1,t.length,t[t.length-1].length+1),new G(1,1,n.length,n[n.length-1].length+1))])],[],!1);const r=i.maxComputationTimeMs===0?Et.instance:new yu(i.maxComputationTimeMs),s=!i.ignoreTrimWhitespace,a=new Map;function l(D){let N=a.get(D);return N===void 0&&(N=a.size,a.set(D,N)),N}const o=t.map(D=>l(D.trim())),u=n.map(D=>l(D.trim())),c=new qs(o,t),d=new qs(u,n),m=c.length+d.length<1700?this.dynamicProgrammingDiffing.compute(c,d,r,(D,N)=>t[D]===n[N]?n[N].length===0?.1:1+Math.log(1+n[N].length):.99):this.myersDiffingAlgorithm.compute(c,d,r);let f=m.diffs,_=m.hitTimeout;f=Fs(c,d,f),f=Uu(c,d,f);const g=[],k=D=>{if(s)for(let N=0;N<D;N++){const b=y+N,p=v+N;if(t[b]!==n[p]){const x=this.refineDiff(t,n,new K(new j(b,b+1),new j(p,p+1)),r,s);for(const U of x.mappings)g.push(U);x.hitTimeout&&(_=!0)}}};let y=0,v=0;for(const D of f){Zt(()=>D.seq1Range.start-y===D.seq2Range.start-v);const N=D.seq1Range.start-y;k(N),y=D.seq1Range.endExclusive,v=D.seq2Range.endExclusive;const b=this.refineDiff(t,n,D,r,s);b.hitTimeout&&(_=!0);for(const p of b.mappings)g.push(p)}k(t.length-y);const E=Vs(g,t,n);let M=[];return i.computeMoves&&(M=this.computeMoves(E,t,n,o,u,r,s)),Zt(()=>{function D(b,p){if(b.lineNumber<1||b.lineNumber>p.length)return!1;const x=p[b.lineNumber-1];return!(b.column<1||b.column>x.length+1)}function N(b,p){return!(b.startLineNumber<1||b.startLineNumber>p.length+1||b.endLineNumberExclusive<1||b.endLineNumberExclusive>p.length+1)}for(const b of E){if(!b.innerChanges)return!1;for(const p of b.innerChanges)if(!(D(p.modifiedRange.getStartPosition(),n)&&D(p.modifiedRange.getEndPosition(),n)&&D(p.originalRange.getStartPosition(),t)&&D(p.originalRange.getEndPosition(),t)))return!1;if(!N(b.modified,n)||!N(b.original,t))return!1}return!0}),new Kt(E,M,_)}computeMoves(t,n,i,r,s,a,l){return Su(t,n,i,r,s,a).map(c=>{const d=this.refineDiff(n,i,new K(c.original.toOffsetRange(),c.modified.toOffsetRange()),a,l),m=Vs(d.mappings,n,i,!0);return new ou(c,m)})}refineDiff(t,n,i,r,s){const l=Pu(i).toRangeMapping2(t,n),o=new sn(t,l.originalRange,s),u=new sn(n,l.modifiedRange,s),c=o.length+u.length<500?this.dynamicProgrammingDiffing.compute(o,u,r):this.myersDiffingAlgorithm.compute(o,u,r);let d=c.diffs;return d=Fs(o,u,d),d=Iu(o,u,d),d=Du(o,u,d),d=Hu(o,u,d),{mappings:d.map(f=>new Ae(o.translateRange(f.seq1Range),u.translateRange(f.seq2Range))),hitTimeout:c.hitTimeout}}}function Vs(e,t,n,i=!1){const r=[];for(const s of gu(e.map(a=>Fu(a,t,n)),(a,l)=>a.original.overlapOrTouch(l.original)||a.modified.overlapOrTouch(l.modified))){const a=s[0],l=s[s.length-1];r.push(new He(a.original.join(l.original),a.modified.join(l.modified),s.map(o=>o.innerChanges[0])))}return Zt(()=>!i&&r.length>0&&(r[0].modified.startLineNumber!==r[0].original.startLineNumber||n.length-r[r.length-1].modified.endLineNumberExclusive!==t.length-r[r.length-1].original.endLineNumberExclusive)?!1:xs(r,(s,a)=>a.original.startLineNumber-s.original.endLineNumberExclusive===a.modified.startLineNumber-s.modified.endLineNumberExclusive&&s.original.endLineNumberExclusive<a.original.startLineNumber&&s.modified.endLineNumberExclusive<a.modified.startLineNumber)),r}function Fu(e,t,n){let i=0,r=0;e.modifiedRange.endColumn===1&&e.originalRange.endColumn===1&&e.originalRange.startLineNumber+i<=e.originalRange.endLineNumber&&e.modifiedRange.startLineNumber+i<=e.modifiedRange.endLineNumber&&(r=-1),e.modifiedRange.startColumn-1>=n[e.modifiedRange.startLineNumber-1].length&&e.originalRange.startColumn-1>=t[e.originalRange.startLineNumber-1].length&&e.originalRange.startLineNumber<=e.originalRange.endLineNumber+r&&e.modifiedRange.startLineNumber<=e.modifiedRange.endLineNumber+r&&(i=1);const s=new O(e.originalRange.startLineNumber+i,e.originalRange.endLineNumber+1+r),a=new O(e.modifiedRange.startLineNumber+i,e.modifiedRange.endLineNumber+1+r);return new He(s,a,[e])}function Pu(e){return new ye(new O(e.seq1Range.start+1,e.seq1Range.endExclusive+1),new O(e.seq2Range.start+1,e.seq2Range.endExclusive+1))}const js={getLegacy:()=>new hu,getDefault:()=>new Wu};function Xe(e,t){const n=Math.pow(10,t);return Math.round(e*n)/n}class ie{constructor(t,n,i,r=1){this._rgbaBrand=void 0,this.r=Math.min(255,Math.max(0,t))|0,this.g=Math.min(255,Math.max(0,n))|0,this.b=Math.min(255,Math.max(0,i))|0,this.a=Xe(Math.max(Math.min(1,r),0),3)}static equals(t,n){return t.r===n.r&&t.g===n.g&&t.b===n.b&&t.a===n.a}}class xe{constructor(t,n,i,r){this._hslaBrand=void 0,this.h=Math.max(Math.min(360,t),0)|0,this.s=Xe(Math.max(Math.min(1,n),0),3),this.l=Xe(Math.max(Math.min(1,i),0),3),this.a=Xe(Math.max(Math.min(1,r),0),3)}static equals(t,n){return t.h===n.h&&t.s===n.s&&t.l===n.l&&t.a===n.a}static fromRGBA(t){const n=t.r/255,i=t.g/255,r=t.b/255,s=t.a,a=Math.max(n,i,r),l=Math.min(n,i,r);let o=0,u=0;const c=(l+a)/2,d=a-l;if(d>0){switch(u=Math.min(c<=.5?d/(2*c):d/(2-2*c),1),a){case n:o=(i-r)/d+(i<r?6:0);break;case i:o=(r-n)/d+2;break;case r:o=(n-i)/d+4;break}o*=60,o=Math.round(o)}return new xe(o,u,c,s)}static _hue2rgb(t,n,i){return i<0&&(i+=1),i>1&&(i-=1),i<1/6?t+(n-t)*6*i:i<1/2?n:i<2/3?t+(n-t)*(2/3-i)*6:t}static toRGBA(t){const n=t.h/360,{s:i,l:r,a:s}=t;let a,l,o;if(i===0)a=l=o=r;else{const u=r<.5?r*(1+i):r+i-r*i,c=2*r-u;a=xe._hue2rgb(c,u,n+1/3),l=xe._hue2rgb(c,u,n),o=xe._hue2rgb(c,u,n-1/3)}return new ie(Math.round(a*255),Math.round(l*255),Math.round(o*255),s)}}class mt{constructor(t,n,i,r){this._hsvaBrand=void 0,this.h=Math.max(Math.min(360,t),0)|0,this.s=Xe(Math.max(Math.min(1,n),0),3),this.v=Xe(Math.max(Math.min(1,i),0),3),this.a=Xe(Math.max(Math.min(1,r),0),3)}static equals(t,n){return t.h===n.h&&t.s===n.s&&t.v===n.v&&t.a===n.a}static fromRGBA(t){const n=t.r/255,i=t.g/255,r=t.b/255,s=Math.max(n,i,r),a=Math.min(n,i,r),l=s-a,o=s===0?0:l/s;let u;return l===0?u=0:s===n?u=((i-r)/l%6+6)%6:s===i?u=(r-n)/l+2:u=(n-i)/l+4,new mt(Math.round(u*60),o,s,t.a)}static toRGBA(t){const{h:n,s:i,v:r,a:s}=t,a=r*i,l=a*(1-Math.abs(n/60%2-1)),o=r-a;let[u,c,d]=[0,0,0];return n<60?(u=a,c=l):n<120?(u=l,c=a):n<180?(c=a,d=l):n<240?(c=l,d=a):n<300?(u=l,d=a):n<=360&&(u=a,d=l),u=Math.round((u+o)*255),c=Math.round((c+o)*255),d=Math.round((d+o)*255),new ie(u,c,d,s)}}let an=(X=class{static fromHex(t){return X.Format.CSS.parseHex(t)||X.red}static equals(t,n){return!t&&!n?!0:!t||!n?!1:t.equals(n)}get hsla(){return this._hsla?this._hsla:xe.fromRGBA(this.rgba)}get hsva(){return this._hsva?this._hsva:mt.fromRGBA(this.rgba)}constructor(t){if(t)if(t instanceof ie)this.rgba=t;else if(t instanceof xe)this._hsla=t,this.rgba=xe.toRGBA(t);else if(t instanceof mt)this._hsva=t,this.rgba=mt.toRGBA(t);else throw new Error("Invalid color ctor argument");else throw new Error("Color needs a value")}equals(t){return!!t&&ie.equals(this.rgba,t.rgba)&&xe.equals(this.hsla,t.hsla)&&mt.equals(this.hsva,t.hsva)}getRelativeLuminance(){const t=X._relativeLuminanceForComponent(this.rgba.r),n=X._relativeLuminanceForComponent(this.rgba.g),i=X._relativeLuminanceForComponent(this.rgba.b),r=.2126*t+.7152*n+.0722*i;return Xe(r,4)}static _relativeLuminanceForComponent(t){const n=t/255;return n<=.03928?n/12.92:Math.pow((n+.055)/1.055,2.4)}isLighter(){return(this.rgba.r*299+this.rgba.g*587+this.rgba.b*114)/1e3>=128}isLighterThan(t){const n=this.getRelativeLuminance(),i=t.getRelativeLuminance();return n>i}isDarkerThan(t){const n=this.getRelativeLuminance(),i=t.getRelativeLuminance();return n<i}lighten(t){return new X(new xe(this.hsla.h,this.hsla.s,this.hsla.l+this.hsla.l*t,this.hsla.a))}darken(t){return new X(new xe(this.hsla.h,this.hsla.s,this.hsla.l-this.hsla.l*t,this.hsla.a))}transparent(t){const{r:n,g:i,b:r,a:s}=this.rgba;return new X(new ie(n,i,r,s*t))}isTransparent(){return this.rgba.a===0}isOpaque(){return this.rgba.a===1}opposite(){return new X(new ie(255-this.rgba.r,255-this.rgba.g,255-this.rgba.b,this.rgba.a))}makeOpaque(t){if(this.isOpaque()||t.rgba.a!==1)return this;const{r:n,g:i,b:r,a:s}=this.rgba;return new X(new ie(t.rgba.r-s*(t.rgba.r-n),t.rgba.g-s*(t.rgba.g-i),t.rgba.b-s*(t.rgba.b-r),1))}toString(){return this._toString||(this._toString=X.Format.CSS.format(this)),this._toString}static getLighterColor(t,n,i){if(t.isLighterThan(n))return t;i=i||.5;const r=t.getRelativeLuminance(),s=n.getRelativeLuminance();return i=i*(s-r)/s,t.lighten(i)}static getDarkerColor(t,n,i){if(t.isDarkerThan(n))return t;i=i||.5;const r=t.getRelativeLuminance(),s=n.getRelativeLuminance();return i=i*(r-s)/r,t.darken(i)}},X.white=new X(new ie(255,255,255,1)),X.black=new X(new ie(0,0,0,1)),X.red=new X(new ie(255,0,0,1)),X.blue=new X(new ie(0,0,255,1)),X.green=new X(new ie(0,255,0,1)),X.cyan=new X(new ie(0,255,255,1)),X.lightgrey=new X(new ie(211,211,211,1)),X.transparent=new X(new ie(0,0,0,0)),X);(function(e){(function(t){(function(n){function i(f){return f.rgba.a===1?`rgb(${f.rgba.r}, ${f.rgba.g}, ${f.rgba.b})`:e.Format.CSS.formatRGBA(f)}n.formatRGB=i;function r(f){return`rgba(${f.rgba.r}, ${f.rgba.g}, ${f.rgba.b}, ${+f.rgba.a.toFixed(2)})`}n.formatRGBA=r;function s(f){return f.hsla.a===1?`hsl(${f.hsla.h}, ${(f.hsla.s*100).toFixed(2)}%, ${(f.hsla.l*100).toFixed(2)}%)`:e.Format.CSS.formatHSLA(f)}n.formatHSL=s;function a(f){return`hsla(${f.hsla.h}, ${(f.hsla.s*100).toFixed(2)}%, ${(f.hsla.l*100).toFixed(2)}%, ${f.hsla.a.toFixed(2)})`}n.formatHSLA=a;function l(f){const _=f.toString(16);return _.length!==2?"0"+_:_}function o(f){return`#${l(f.rgba.r)}${l(f.rgba.g)}${l(f.rgba.b)}`}n.formatHex=o;function u(f,_=!1){return _&&f.rgba.a===1?e.Format.CSS.formatHex(f):`#${l(f.rgba.r)}${l(f.rgba.g)}${l(f.rgba.b)}${l(Math.round(f.rgba.a*255))}`}n.formatHexA=u;function c(f){return f.isOpaque()?e.Format.CSS.formatHex(f):e.Format.CSS.formatRGBA(f)}n.format=c;function d(f){const _=f.length;if(_===0||f.charCodeAt(0)!==35)return null;if(_===7){const g=16*m(f.charCodeAt(1))+m(f.charCodeAt(2)),k=16*m(f.charCodeAt(3))+m(f.charCodeAt(4)),y=16*m(f.charCodeAt(5))+m(f.charCodeAt(6));return new e(new ie(g,k,y,1))}if(_===9){const g=16*m(f.charCodeAt(1))+m(f.charCodeAt(2)),k=16*m(f.charCodeAt(3))+m(f.charCodeAt(4)),y=16*m(f.charCodeAt(5))+m(f.charCodeAt(6)),v=16*m(f.charCodeAt(7))+m(f.charCodeAt(8));return new e(new ie(g,k,y,v/255))}if(_===4){const g=m(f.charCodeAt(1)),k=m(f.charCodeAt(2)),y=m(f.charCodeAt(3));return new e(new ie(16*g+g,16*k+k,16*y+y))}if(_===5){const g=m(f.charCodeAt(1)),k=m(f.charCodeAt(2)),y=m(f.charCodeAt(3)),v=m(f.charCodeAt(4));return new e(new ie(16*g+g,16*k+k,16*y+y,(16*v+v)/255))}return null}n.parseHex=d;function m(f){switch(f){case 48:return 0;case 49:return 1;case 50:return 2;case 51:return 3;case 52:return 4;case 53:return 5;case 54:return 6;case 55:return 7;case 56:return 8;case 57:return 9;case 97:return 10;case 65:return 10;case 98:return 11;case 66:return 11;case 99:return 12;case 67:return 12;case 100:return 13;case 68:return 13;case 101:return 14;case 69:return 14;case 102:return 15;case 70:return 15}return 0}})(t.CSS||(t.CSS={}))})(e.Format||(e.Format={}))})(an||(an={}));function $s(e){const t=[];for(const n of e){const i=Number(n);(i||i===0&&n.replace(/\s/g,"")!=="")&&t.push(i)}return t}function di(e,t,n,i){return{red:e/255,blue:n/255,green:t/255,alpha:i}}function Rt(e,t){const n=t.index,i=t[0].length;if(!n)return;const r=e.positionAt(n);return{startLineNumber:r.lineNumber,startColumn:r.column,endLineNumber:r.lineNumber,endColumn:r.column+i}}function Bu(e,t){if(!e)return;const n=an.Format.CSS.parseHex(t);if(n)return{range:e,color:di(n.rgba.r,n.rgba.g,n.rgba.b,n.rgba.a)}}function Gs(e,t,n){if(!e||t.length!==1)return;const r=t[0].values(),s=$s(r);return{range:e,color:di(s[0],s[1],s[2],n?s[3]:1)}}function Xs(e,t,n){if(!e||t.length!==1)return;const r=t[0].values(),s=$s(r),a=new an(new xe(s[0],s[1]/100,s[2]/100,n?s[3]:1));return{range:e,color:di(a.rgba.r,a.rgba.g,a.rgba.b,a.rgba.a)}}function Mt(e,t){return typeof e=="string"?[...e.matchAll(t)]:e.findMatches(t)}function qu(e){const t=[],i=Mt(e,/\b(rgb|rgba|hsl|hsla)(\([0-9\s,.\%]*\))|(#)([A-Fa-f0-9]{3})\b|(#)([A-Fa-f0-9]{4})\b|(#)([A-Fa-f0-9]{6})\b|(#)([A-Fa-f0-9]{8})\b/gm);if(i.length>0)for(const r of i){const s=r.filter(u=>u!==void 0),a=s[1],l=s[2];if(!l)continue;let o;if(a==="rgb"){const u=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*\)$/gm;o=Gs(Rt(e,r),Mt(l,u),!1)}else if(a==="rgba"){const u=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;o=Gs(Rt(e,r),Mt(l,u),!0)}else if(a==="hsl"){const u=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*\)$/gm;o=Xs(Rt(e,r),Mt(l,u),!1)}else if(a==="hsla"){const u=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;o=Xs(Rt(e,r),Mt(l,u),!0)}else a==="#"&&(o=Bu(Rt(e,r),a+l));o&&t.push(o)}return t}function Ou(e){return!e||typeof e.getValue!="function"||typeof e.positionAt!="function"?[]:qu(e)}const Js=new RegExp("\\bMARK:\\s*(.*)$","d"),Vu=/^-+|-+$/g;function ju(e,t){var i;let n=[];if(t.findRegionSectionHeaders&&((i=t.foldingRules)!=null&&i.markers)){const r=$u(e,t);n=n.concat(r)}if(t.findMarkSectionHeaders){const r=Gu(e);n=n.concat(r)}return n}function $u(e,t){const n=[],i=e.getLineCount();for(let r=1;r<=i;r++){const s=e.getLineContent(r),a=s.match(t.foldingRules.markers.start);if(a){const l={startLineNumber:r,startColumn:a[0].length+1,endLineNumber:r,endColumn:s.length+1};if(l.endColumn>l.startColumn){const o={range:l,...Ys(s.substring(a[0].length)),shouldBeInComments:!1};(o.text||o.hasSeparatorLine)&&n.push(o)}}}return n}function Gu(e){const t=[],n=e.getLineCount();for(let i=1;i<=n;i++){const r=e.getLineContent(i);Xu(r,i,t)}return t}function Xu(e,t,n){Js.lastIndex=0;const i=Js.exec(e);if(i){const r=i.indices[1][0]+1,s=i.indices[1][1]+1,a={startLineNumber:t,startColumn:r,endLineNumber:t,endColumn:s};if(a.endColumn>a.startColumn){const l={range:a,...Ys(i[1]),shouldBeInComments:!0};(l.text||l.hasSeparatorLine)&&n.push(l)}}}function Ys(e){e=e.trim();const t=e.startsWith("-");return e=e.replace(Vu,""),{text:e,hasSeparatorLine:t}}var Qs;(function(e){async function t(i){let r;const s=await Promise.all(i.map(a=>a.then(l=>l,l=>{r||(r=l)})));if(typeof r<"u")throw r;return s}e.settled=t;function n(i){return new Promise(async(r,s)=>{try{await i(r,s)}catch(a){s(a)}})}e.withAsyncBody=n})(Qs||(Qs={}));const de=class de{static fromArray(t){return new de(n=>{n.emitMany(t)})}static fromPromise(t){return new de(async n=>{n.emitMany(await t)})}static fromPromises(t){return new de(async n=>{await Promise.all(t.map(async i=>n.emitOne(await i)))})}static merge(t){return new de(async n=>{await Promise.all(t.map(async i=>{for await(const r of i)n.emitOne(r)}))})}constructor(t,n){this._state=0,this._results=[],this._error=null,this._onReturn=n,this._onStateChanged=new ve,queueMicrotask(async()=>{const i={emitOne:r=>this.emitOne(r),emitMany:r=>this.emitMany(r),reject:r=>this.reject(r)};try{await Promise.resolve(t(i)),this.resolve()}catch(r){this.reject(r)}finally{i.emitOne=void 0,i.emitMany=void 0,i.reject=void 0}})}[Symbol.asyncIterator](){let t=0;return{next:async()=>{do{if(this._state===2)throw this._error;if(t<this._results.length)return{done:!1,value:this._results[t++]};if(this._state===1)return{done:!0,value:void 0};await qt.toPromise(this._onStateChanged.event)}while(!0)},return:async()=>{var n;return(n=this._onReturn)==null||n.call(this),{done:!0,value:void 0}}}}static map(t,n){return new de(async i=>{for await(const r of t)i.emitOne(n(r))})}map(t){return de.map(this,t)}static filter(t,n){return new de(async i=>{for await(const r of t)n(r)&&i.emitOne(r)})}filter(t){return de.filter(this,t)}static coalesce(t){return de.filter(t,n=>!!n)}coalesce(){return de.coalesce(this)}static async toPromise(t){const n=[];for await(const i of t)n.push(i);return n}toPromise(){return de.toPromise(this)}emitOne(t){this._state===0&&(this._results.push(t),this._onStateChanged.fire())}emitMany(t){this._state===0&&(this._results=this._results.concat(t),this._onStateChanged.fire())}resolve(){this._state===0&&(this._state=1,this._onStateChanged.fire())}reject(t){this._state===0&&(this._state=2,this._error=t,this._onStateChanged.fire())}};de.EMPTY=de.fromArray([]);let Zs=de;class Ju{constructor(t){this.values=t,this.prefixSum=new Uint32Array(t.length),this.prefixSumValidIndex=new Int32Array(1),this.prefixSumValidIndex[0]=-1}insertValues(t,n){t=ut(t);const i=this.values,r=this.prefixSum,s=n.length;return s===0?!1:(this.values=new Uint32Array(i.length+s),this.values.set(i.subarray(0,t),0),this.values.set(i.subarray(t),t+s),this.values.set(n,t),t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),this.prefixSum=new Uint32Array(this.values.length),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(r.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}setValue(t,n){return t=ut(t),n=ut(n),this.values[t]===n?!1:(this.values[t]=n,t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),!0)}removeValues(t,n){t=ut(t),n=ut(n);const i=this.values,r=this.prefixSum;if(t>=i.length)return!1;const s=i.length-t;return n>=s&&(n=s),n===0?!1:(this.values=new Uint32Array(i.length-n),this.values.set(i.subarray(0,t),0),this.values.set(i.subarray(t+n),t),this.prefixSum=new Uint32Array(this.values.length),t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(r.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}getTotalSum(){return this.values.length===0?0:this._getPrefixSum(this.values.length-1)}getPrefixSum(t){return t<0?0:(t=ut(t),this._getPrefixSum(t))}_getPrefixSum(t){if(t<=this.prefixSumValidIndex[0])return this.prefixSum[t];let n=this.prefixSumValidIndex[0]+1;n===0&&(this.prefixSum[0]=this.values[0],n++),t>=this.values.length&&(t=this.values.length-1);for(let i=n;i<=t;i++)this.prefixSum[i]=this.prefixSum[i-1]+this.values[i];return this.prefixSumValidIndex[0]=Math.max(this.prefixSumValidIndex[0],t),this.prefixSum[t]}getIndexOf(t){t=Math.floor(t),this.getTotalSum();let n=0,i=this.values.length-1,r=0,s=0,a=0;for(;n<=i;)if(r=n+(i-n)/2|0,s=this.prefixSum[r],a=s-this.values[r],t<a)i=r-1;else if(t>=s)n=r+1;else break;return new Yu(r,t-a)}}class Yu{constructor(t,n){this.index=t,this.remainder=n,this._prefixSumIndexOfResultBrand=void 0,this.index=t,this.remainder=n}}class Qu{constructor(t,n,i,r){this._uri=t,this._lines=n,this._eol=i,this._versionId=r,this._lineStarts=null,this._cachedTextValue=null}dispose(){this._lines.length=0}get version(){return this._versionId}getText(){return this._cachedTextValue===null&&(this._cachedTextValue=this._lines.join(this._eol)),this._cachedTextValue}onEvents(t){t.eol&&t.eol!==this._eol&&(this._eol=t.eol,this._lineStarts=null);const n=t.changes;for(const i of n)this._acceptDeleteRange(i.range),this._acceptInsertText(new te(i.range.startLineNumber,i.range.startColumn),i.text);this._versionId=t.versionId,this._cachedTextValue=null}_ensureLineStarts(){if(!this._lineStarts){const t=this._eol.length,n=this._lines.length,i=new Uint32Array(n);for(let r=0;r<n;r++)i[r]=this._lines[r].length+t;this._lineStarts=new Ju(i)}}_setLineText(t,n){this._lines[t]=n,this._lineStarts&&this._lineStarts.setValue(t,this._lines[t].length+this._eol.length)}_acceptDeleteRange(t){if(t.startLineNumber===t.endLineNumber){if(t.startColumn===t.endColumn)return;this._setLineText(t.startLineNumber-1,this._lines[t.startLineNumber-1].substring(0,t.startColumn-1)+this._lines[t.startLineNumber-1].substring(t.endColumn-1));return}this._setLineText(t.startLineNumber-1,this._lines[t.startLineNumber-1].substring(0,t.startColumn-1)+this._lines[t.endLineNumber-1].substring(t.endColumn-1)),this._lines.splice(t.startLineNumber,t.endLineNumber-t.startLineNumber),this._lineStarts&&this._lineStarts.removeValues(t.startLineNumber,t.endLineNumber-t.startLineNumber)}_acceptInsertText(t,n){if(n.length===0)return;const i=Vo(n);if(i.length===1){this._setLineText(t.lineNumber-1,this._lines[t.lineNumber-1].substring(0,t.column-1)+i[0]+this._lines[t.lineNumber-1].substring(t.column-1));return}i[i.length-1]+=this._lines[t.lineNumber-1].substring(t.column-1),this._setLineText(t.lineNumber-1,this._lines[t.lineNumber-1].substring(0,t.column-1)+i[0]);const r=new Uint32Array(i.length-1);for(let s=1;s<i.length;s++)this._lines.splice(t.lineNumber+s-1,0,i[s]),r[s-1]=i[s].length+this._eol.length;this._lineStarts&&this._lineStarts.insertValues(t.lineNumber,r)}}class Zu{constructor(){this._models=Object.create(null)}getModel(t){return this._models[t]}getModels(){const t=[];return Object.keys(this._models).forEach(n=>t.push(this._models[n])),t}$acceptNewModel(t){this._models[t.url]=new Ku(Re.parse(t.url),t.lines,t.EOL,t.versionId)}$acceptModelChanged(t,n){if(!this._models[t])return;this._models[t].onEvents(n)}$acceptRemovedModel(t){this._models[t]&&delete this._models[t]}}class Ku extends Qu{get uri(){return this._uri}get eol(){return this._eol}getValue(){return this.getText()}findMatches(t){const n=[];for(let i=0;i<this._lines.length;i++){const r=this._lines[i],s=this.offsetAt(new te(i+1,1)),a=r.matchAll(t);for(const l of a)(l.index||l.index===0)&&(l.index=l.index+s),n.push(l)}return n}getLinesContent(){return this._lines.slice(0)}getLineCount(){return this._lines.length}getLineContent(t){return this._lines[t-1]}getWordAtPosition(t,n){const i=ri(t.column,ks(n),this._lines[t.lineNumber-1],0);return i?new G(t.lineNumber,i.startColumn,t.lineNumber,i.endColumn):null}words(t){const n=this._lines,i=this._wordenize.bind(this);let r=0,s="",a=0,l=[];return{*[Symbol.iterator](){for(;;)if(a<l.length){const o=s.substring(l[a].start,l[a].end);a+=1,yield o}else if(r<n.length)s=n[r],l=i(s,t),a=0,r+=1;else break}}}getLineWords(t,n){const i=this._lines[t-1],r=this._wordenize(i,n),s=[];for(const a of r)s.push({word:i.substring(a.start,a.end),startColumn:a.start+1,endColumn:a.end+1});return s}_wordenize(t,n){const i=[];let r;for(n.lastIndex=0;(r=n.exec(t))&&r[0].length!==0;)i.push({start:r.index,end:r.index+r[0].length});return i}getValueInRange(t){if(t=this._validateRange(t),t.startLineNumber===t.endLineNumber)return this._lines[t.startLineNumber-1].substring(t.startColumn-1,t.endColumn-1);const n=this._eol,i=t.startLineNumber-1,r=t.endLineNumber-1,s=[];s.push(this._lines[i].substring(t.startColumn-1));for(let a=i+1;a<r;a++)s.push(this._lines[a]);return s.push(this._lines[r].substring(0,t.endColumn-1)),s.join(n)}offsetAt(t){return t=this._validatePosition(t),this._ensureLineStarts(),this._lineStarts.getPrefixSum(t.lineNumber-2)+(t.column-1)}positionAt(t){t=Math.floor(t),t=Math.max(0,t),this._ensureLineStarts();const n=this._lineStarts.getIndexOf(t),i=this._lines[n.index].length;return{lineNumber:1+n.index,column:1+Math.min(n.remainder,i)}}_validateRange(t){const n=this._validatePosition({lineNumber:t.startLineNumber,column:t.startColumn}),i=this._validatePosition({lineNumber:t.endLineNumber,column:t.endColumn});return n.lineNumber!==t.startLineNumber||n.column!==t.startColumn||i.lineNumber!==t.endLineNumber||i.column!==t.endColumn?{startLineNumber:n.lineNumber,startColumn:n.column,endLineNumber:i.lineNumber,endColumn:i.column}:t}_validatePosition(t){if(!te.isIPosition(t))throw new Error("bad position");let{lineNumber:n,column:i}=t,r=!1;if(n<1)n=1,i=1,r=!0;else if(n>this._lines.length)n=this._lines.length,i=this._lines[n-1].length+1,r=!0;else{const s=this._lines[n-1].length+1;i<1?(i=1,r=!0):i>s&&(i=s,r=!0)}return r?{lineNumber:n,column:i}:t}}const An=class An{constructor(){this._workerTextModelSyncServer=new Zu}dispose(){}_getModel(t){return this._workerTextModelSyncServer.getModel(t)}_getModels(){return this._workerTextModelSyncServer.getModels()}$acceptNewModel(t){this._workerTextModelSyncServer.$acceptNewModel(t)}$acceptModelChanged(t,n){this._workerTextModelSyncServer.$acceptModelChanged(t,n)}$acceptRemovedModel(t){this._workerTextModelSyncServer.$acceptRemovedModel(t)}async $computeUnicodeHighlights(t,n,i){const r=this._getModel(t);return r?su.computeUnicodeHighlights(r,n,i):{ranges:[],hasMore:!1,ambiguousCharacterCount:0,invisibleCharacterCount:0,nonBasicAsciiCharacterCount:0}}async $findSectionHeaders(t,n){const i=this._getModel(t);return i?ju(i,n):[]}async $computeDiff(t,n,i,r){const s=this._getModel(t),a=this._getModel(n);return!s||!a?null:on.computeDiff(s,a,i,r)}static computeDiff(t,n,i,r){const s=r==="advanced"?js.getDefault():js.getLegacy(),a=t.getLinesContent(),l=n.getLinesContent(),o=s.computeDiff(a,l,i),u=o.changes.length>0?!1:this._modelsAreIdentical(t,n);function c(d){return d.map(m=>{var f;return[m.original.startLineNumber,m.original.endLineNumberExclusive,m.modified.startLineNumber,m.modified.endLineNumberExclusive,(f=m.innerChanges)==null?void 0:f.map(_=>[_.originalRange.startLineNumber,_.originalRange.startColumn,_.originalRange.endLineNumber,_.originalRange.endColumn,_.modifiedRange.startLineNumber,_.modifiedRange.startColumn,_.modifiedRange.endLineNumber,_.modifiedRange.endColumn])]})}return{identical:u,quitEarly:o.hitTimeout,changes:c(o.changes),moves:o.moves.map(d=>[d.lineRangeMapping.original.startLineNumber,d.lineRangeMapping.original.endLineNumberExclusive,d.lineRangeMapping.modified.startLineNumber,d.lineRangeMapping.modified.endLineNumberExclusive,c(d.changes)])}}static _modelsAreIdentical(t,n){const i=t.getLineCount(),r=n.getLineCount();if(i!==r)return!1;for(let s=1;s<=i;s++){const a=t.getLineContent(s),l=n.getLineContent(s);if(a!==l)return!1}return!0}async $computeMoreMinimalEdits(t,n,i){const r=this._getModel(t);if(!r)return n;const s=[];let a;n=n.slice(0).sort((o,u)=>{if(o.range&&u.range)return G.compareRangesUsingStarts(o.range,u.range);const c=o.range?0:1,d=u.range?0:1;return c-d});let l=0;for(let o=1;o<n.length;o++)G.getEndPosition(n[l].range).equals(G.getStartPosition(n[o].range))?(n[l].range=G.fromPositions(G.getStartPosition(n[l].range),G.getEndPosition(n[o].range)),n[l].text+=n[o].text):(l++,n[l]=n[o]);n.length=l+1;for(let{range:o,text:u,eol:c}of n){if(typeof c=="number"&&(a=c),G.isEmpty(o)&&!u)continue;const d=r.getValueInRange(o);if(u=u.replace(/\r\n|\n|\r/g,r.eol),d===u)continue;if(Math.max(u.length,d.length)>on._diffLimit){s.push({range:o,text:u});continue}const m=Cl(d,u,i),f=r.offsetAt(G.lift(o).getStartPosition());for(const _ of m){const g=r.positionAt(f+_.originalStart),k=r.positionAt(f+_.originalStart+_.originalLength),y={text:u.substr(_.modifiedStart,_.modifiedLength),range:{startLineNumber:g.lineNumber,startColumn:g.column,endLineNumber:k.lineNumber,endColumn:k.column}};r.getValueInRange(y.range)!==y.text&&s.push(y)}}return typeof a=="number"&&s.push({eol:a,text:"",range:{startLineNumber:0,startColumn:0,endLineNumber:0,endColumn:0}}),s}async $computeLinks(t){const n=this._getModel(t);return n?Dl(n):null}async $computeDefaultDocumentColors(t){const n=this._getModel(t);return n?Ou(n):null}async $textualSuggest(t,n,i,r){const s=new Bt,a=new RegExp(i,r),l=new Set;e:for(const o of t){const u=this._getModel(o);if(u){for(const c of u.words(a))if(!(c===n||!isNaN(Number(c)))&&(l.add(c),l.size>on._suggestionsLimit))break e}}return{words:Array.from(l),duration:s.elapsed()}}async $computeWordRanges(t,n,i,r){const s=this._getModel(t);if(!s)return Object.create(null);const a=new RegExp(i,r),l=Object.create(null);for(let o=n.startLineNumber;o<n.endLineNumber;o++){const u=s.getLineWords(o,a);for(const c of u){if(!isNaN(Number(c.word)))continue;let d=l[c.word];d||(d=[],l[c.word]=d),d.push({startLineNumber:o,startColumn:c.startColumn,endLineNumber:o,endColumn:c.endColumn})}}return l}async $navigateValueSet(t,n,i,r,s){const a=this._getModel(t);if(!a)return null;const l=new RegExp(r,s);n.startColumn===n.endColumn&&(n={startLineNumber:n.startLineNumber,startColumn:n.startColumn,endLineNumber:n.endLineNumber,endColumn:n.endColumn+1});const o=a.getValueInRange(n),u=a.getWordAtPosition({lineNumber:n.startLineNumber,column:n.startColumn},l);if(!u)return null;const c=a.getValueInRange(u);return Xn.INSTANCE.navigateValueSet(n,o,u,c,i)}};An._diffLimit=1e5,An._suggestionsLimit=1e4;let mi=An;class on extends mi{constructor(t,n){super(),this._host=t,this._foreignModuleFactory=n,this._foreignModule=null}async $ping(){return"pong"}$loadForeignModule(t,n,i){const a={host:Yl(i,(l,o)=>this._host.$fhr(l,o)),getMirrorModels:()=>this._getModels()};return this._foreignModuleFactory?(this._foreignModule=this._foreignModuleFactory(a,n),Promise.resolve(_s(this._foreignModule))):new Promise((l,o)=>{const u=c=>{this._foreignModule=c.create(a,n),l(_s(this._foreignModule))};import(`${nr.asBrowserUri(`${t}.js`).toString(!0)}`).then(u).catch(o)})}$fmr(t,n){if(!this._foreignModule||typeof this._foreignModule[t]!="function")return Promise.reject(new Error("Missing requestHandler or method: "+t));try{return Promise.resolve(this._foreignModule[t].apply(this._foreignModule,n))}catch(i){return Promise.reject(i)}}}typeof importScripts=="function"&&(globalThis.monaco=Vl());let fi=!1;function Ks(e){if(fi)return;fi=!0;const t=new Al(n=>{globalThis.postMessage(n)},n=>new on(ii.getChannel(n),e));globalThis.onmessage=n=>{t.onmessage(n.data)}}globalThis.onmessage=e=>{fi||Ks(null)};/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/function Se(...e){const t=e[0];let n,i,r;if(typeof t=="string")n=t,i=t,e.splice(0,1),r=!e||typeof e[0]!="object"?e:e[0];else if(t instanceof Array){const s=e.slice(1);if(t.length!==s.length+1)throw new Error("expected a string as the first argument to l10n.t");let a=t[0];for(let l=1;l<t.length;l++)a+=`{${l-1}}`+t[l];return Se(a,...s)}else i=t.message,n=i,t.comment&&t.comment.length>0&&(n+=`/${Array.isArray(t.comment)?t.comment.join(""):t.comment}`),r=t.args??{};return tc(i,r)}var ec=/{([^}]+)}/g;function tc(e,t){return Object.keys(t).length===0?e:e.replace(ec,(n,i)=>t[i]??n)}var ea;(function(e){function t(n){return typeof n=="string"}e.is=t})(ea||(ea={}));var pi;(function(e){function t(n){return typeof n=="string"}e.is=t})(pi||(pi={}));var ta;(function(e){e.MIN_VALUE=-2147483648,e.MAX_VALUE=2147483647;function t(n){return typeof n=="number"&&e.MIN_VALUE<=n&&n<=e.MAX_VALUE}e.is=t})(ta||(ta={}));var ln;(function(e){e.MIN_VALUE=0,e.MAX_VALUE=2147483647;function t(n){return typeof n=="number"&&e.MIN_VALUE<=n&&n<=e.MAX_VALUE}e.is=t})(ln||(ln={}));var re;(function(e){function t(i,r){return i===Number.MAX_VALUE&&(i=ln.MAX_VALUE),r===Number.MAX_VALUE&&(r=ln.MAX_VALUE),{line:i,character:r}}e.create=t;function n(i){let r=i;return C.objectLiteral(r)&&C.uinteger(r.line)&&C.uinteger(r.character)}e.is=n})(re||(re={}));var $;(function(e){function t(i,r,s,a){if(C.uinteger(i)&&C.uinteger(r)&&C.uinteger(s)&&C.uinteger(a))return{start:re.create(i,r),end:re.create(s,a)};if(re.is(i)&&re.is(r))return{start:i,end:r};throw new Error(`Range#create called with invalid arguments[${i}, ${r}, ${s}, ${a}]`)}e.create=t;function n(i){let r=i;return C.objectLiteral(r)&&re.is(r.start)&&re.is(r.end)}e.is=n})($||($={}));var un;(function(e){function t(i,r){return{uri:i,range:r}}e.create=t;function n(i){let r=i;return C.objectLiteral(r)&&$.is(r.range)&&(C.string(r.uri)||C.undefined(r.uri))}e.is=n})(un||(un={}));var na;(function(e){function t(i,r,s,a){return{targetUri:i,targetRange:r,targetSelectionRange:s,originSelectionRange:a}}e.create=t;function n(i){let r=i;return C.objectLiteral(r)&&$.is(r.targetRange)&&C.string(r.targetUri)&&$.is(r.targetSelectionRange)&&($.is(r.originSelectionRange)||C.undefined(r.originSelectionRange))}e.is=n})(na||(na={}));var gi;(function(e){function t(i,r,s,a){return{red:i,green:r,blue:s,alpha:a}}e.create=t;function n(i){const r=i;return C.objectLiteral(r)&&C.numberRange(r.red,0,1)&&C.numberRange(r.green,0,1)&&C.numberRange(r.blue,0,1)&&C.numberRange(r.alpha,0,1)}e.is=n})(gi||(gi={}));var ia;(function(e){function t(i,r){return{range:i,color:r}}e.create=t;function n(i){const r=i;return C.objectLiteral(r)&&$.is(r.range)&&gi.is(r.color)}e.is=n})(ia||(ia={}));var ra;(function(e){function t(i,r,s){return{label:i,textEdit:r,additionalTextEdits:s}}e.create=t;function n(i){const r=i;return C.objectLiteral(r)&&C.string(r.label)&&(C.undefined(r.textEdit)||ae.is(r))&&(C.undefined(r.additionalTextEdits)||C.typedArray(r.additionalTextEdits,ae.is))}e.is=n})(ra||(ra={}));var cn;(function(e){e.Comment="comment",e.Imports="imports",e.Region="region"})(cn||(cn={}));var sa;(function(e){function t(i,r,s,a,l,o){const u={startLine:i,endLine:r};return C.defined(s)&&(u.startCharacter=s),C.defined(a)&&(u.endCharacter=a),C.defined(l)&&(u.kind=l),C.defined(o)&&(u.collapsedText=o),u}e.create=t;function n(i){const r=i;return C.objectLiteral(r)&&C.uinteger(r.startLine)&&C.uinteger(r.startLine)&&(C.undefined(r.startCharacter)||C.uinteger(r.startCharacter))&&(C.undefined(r.endCharacter)||C.uinteger(r.endCharacter))&&(C.undefined(r.kind)||C.string(r.kind))}e.is=n})(sa||(sa={}));var bi;(function(e){function t(i,r){return{location:i,message:r}}e.create=t;function n(i){let r=i;return C.defined(r)&&un.is(r.location)&&C.string(r.message)}e.is=n})(bi||(bi={}));var aa;(function(e){e.Error=1,e.Warning=2,e.Information=3,e.Hint=4})(aa||(aa={}));var oa;(function(e){e.Unnecessary=1,e.Deprecated=2})(oa||(oa={}));var la;(function(e){function t(n){const i=n;return C.objectLiteral(i)&&C.string(i.href)}e.is=t})(la||(la={}));var hn;(function(e){function t(i,r,s,a,l,o){let u={range:i,message:r};return C.defined(s)&&(u.severity=s),C.defined(a)&&(u.code=a),C.defined(l)&&(u.source=l),C.defined(o)&&(u.relatedInformation=o),u}e.create=t;function n(i){var r;let s=i;return C.defined(s)&&$.is(s.range)&&C.string(s.message)&&(C.number(s.severity)||C.undefined(s.severity))&&(C.integer(s.code)||C.string(s.code)||C.undefined(s.code))&&(C.undefined(s.codeDescription)||C.string((r=s.codeDescription)===null||r===void 0?void 0:r.href))&&(C.string(s.source)||C.undefined(s.source))&&(C.undefined(s.relatedInformation)||C.typedArray(s.relatedInformation,bi.is))}e.is=n})(hn||(hn={}));var ft;(function(e){function t(i,r,...s){let a={title:i,command:r};return C.defined(s)&&s.length>0&&(a.arguments=s),a}e.create=t;function n(i){let r=i;return C.defined(r)&&C.string(r.title)&&C.string(r.command)}e.is=n})(ft||(ft={}));var ae;(function(e){function t(s,a){return{range:s,newText:a}}e.replace=t;function n(s,a){return{range:{start:s,end:s},newText:a}}e.insert=n;function i(s){return{range:s,newText:""}}e.del=i;function r(s){const a=s;return C.objectLiteral(a)&&C.string(a.newText)&&$.is(a.range)}e.is=r})(ae||(ae={}));var _i;(function(e){function t(i,r,s){const a={label:i};return r!==void 0&&(a.needsConfirmation=r),s!==void 0&&(a.description=s),a}e.create=t;function n(i){const r=i;return C.objectLiteral(r)&&C.string(r.label)&&(C.boolean(r.needsConfirmation)||r.needsConfirmation===void 0)&&(C.string(r.description)||r.description===void 0)}e.is=n})(_i||(_i={}));var pt;(function(e){function t(n){const i=n;return C.string(i)}e.is=t})(pt||(pt={}));var ua;(function(e){function t(s,a,l){return{range:s,newText:a,annotationId:l}}e.replace=t;function n(s,a,l){return{range:{start:s,end:s},newText:a,annotationId:l}}e.insert=n;function i(s,a){return{range:s,newText:"",annotationId:a}}e.del=i;function r(s){const a=s;return ae.is(a)&&(_i.is(a.annotationId)||pt.is(a.annotationId))}e.is=r})(ua||(ua={}));var wi;(function(e){function t(i,r){return{textDocument:i,edits:r}}e.create=t;function n(i){let r=i;return C.defined(r)&&ki.is(r.textDocument)&&Array.isArray(r.edits)}e.is=n})(wi||(wi={}));var vi;(function(e){function t(i,r,s){let a={kind:"create",uri:i};return r!==void 0&&(r.overwrite!==void 0||r.ignoreIfExists!==void 0)&&(a.options=r),s!==void 0&&(a.annotationId=s),a}e.create=t;function n(i){let r=i;return r&&r.kind==="create"&&C.string(r.uri)&&(r.options===void 0||(r.options.overwrite===void 0||C.boolean(r.options.overwrite))&&(r.options.ignoreIfExists===void 0||C.boolean(r.options.ignoreIfExists)))&&(r.annotationId===void 0||pt.is(r.annotationId))}e.is=n})(vi||(vi={}));var yi;(function(e){function t(i,r,s,a){let l={kind:"rename",oldUri:i,newUri:r};return s!==void 0&&(s.overwrite!==void 0||s.ignoreIfExists!==void 0)&&(l.options=s),a!==void 0&&(l.annotationId=a),l}e.create=t;function n(i){let r=i;return r&&r.kind==="rename"&&C.string(r.oldUri)&&C.string(r.newUri)&&(r.options===void 0||(r.options.overwrite===void 0||C.boolean(r.options.overwrite))&&(r.options.ignoreIfExists===void 0||C.boolean(r.options.ignoreIfExists)))&&(r.annotationId===void 0||pt.is(r.annotationId))}e.is=n})(yi||(yi={}));var xi;(function(e){function t(i,r,s){let a={kind:"delete",uri:i};return r!==void 0&&(r.recursive!==void 0||r.ignoreIfNotExists!==void 0)&&(a.options=r),s!==void 0&&(a.annotationId=s),a}e.create=t;function n(i){let r=i;return r&&r.kind==="delete"&&C.string(r.uri)&&(r.options===void 0||(r.options.recursive===void 0||C.boolean(r.options.recursive))&&(r.options.ignoreIfNotExists===void 0||C.boolean(r.options.ignoreIfNotExists)))&&(r.annotationId===void 0||pt.is(r.annotationId))}e.is=n})(xi||(xi={}));var Ti;(function(e){function t(n){let i=n;return i&&(i.changes!==void 0||i.documentChanges!==void 0)&&(i.documentChanges===void 0||i.documentChanges.every(r=>C.string(r.kind)?vi.is(r)||yi.is(r)||xi.is(r):wi.is(r)))}e.is=t})(Ti||(Ti={}));var ca;(function(e){function t(i){return{uri:i}}e.create=t;function n(i){let r=i;return C.defined(r)&&C.string(r.uri)}e.is=n})(ca||(ca={}));var ha;(function(e){function t(i,r){return{uri:i,version:r}}e.create=t;function n(i){let r=i;return C.defined(r)&&C.string(r.uri)&&C.integer(r.version)}e.is=n})(ha||(ha={}));var ki;(function(e){function t(i,r){return{uri:i,version:r}}e.create=t;function n(i){let r=i;return C.defined(r)&&C.string(r.uri)&&(r.version===null||C.integer(r.version))}e.is=n})(ki||(ki={}));var da;(function(e){function t(i,r,s,a){return{uri:i,languageId:r,version:s,text:a}}e.create=t;function n(i){let r=i;return C.defined(r)&&C.string(r.uri)&&C.string(r.languageId)&&C.integer(r.version)&&C.string(r.text)}e.is=n})(da||(da={}));var Pe;(function(e){e.PlainText="plaintext",e.Markdown="markdown";function t(n){const i=n;return i===e.PlainText||i===e.Markdown}e.is=t})(Pe||(Pe={}));var Nt;(function(e){function t(n){const i=n;return C.objectLiteral(n)&&Pe.is(i.kind)&&C.string(i.value)}e.is=t})(Nt||(Nt={}));var fe;(function(e){e.Text=1,e.Method=2,e.Function=3,e.Constructor=4,e.Field=5,e.Variable=6,e.Class=7,e.Interface=8,e.Module=9,e.Property=10,e.Unit=11,e.Value=12,e.Enum=13,e.Keyword=14,e.Snippet=15,e.Color=16,e.File=17,e.Reference=18,e.Folder=19,e.EnumMember=20,e.Constant=21,e.Struct=22,e.Event=23,e.Operator=24,e.TypeParameter=25})(fe||(fe={}));var Le;(function(e){e.PlainText=1,e.Snippet=2})(Le||(Le={}));var ma;(function(e){e.Deprecated=1})(ma||(ma={}));var fa;(function(e){function t(i,r,s){return{newText:i,insert:r,replace:s}}e.create=t;function n(i){const r=i;return r&&C.string(r.newText)&&$.is(r.insert)&&$.is(r.replace)}e.is=n})(fa||(fa={}));var pa;(function(e){e.asIs=1,e.adjustIndentation=2})(pa||(pa={}));var ga;(function(e){function t(n){const i=n;return i&&(C.string(i.detail)||i.detail===void 0)&&(C.string(i.description)||i.description===void 0)}e.is=t})(ga||(ga={}));var ba;(function(e){function t(n){return{label:n}}e.create=t})(ba||(ba={}));var _a;(function(e){function t(n,i){return{items:n||[],isIncomplete:!!i}}e.create=t})(_a||(_a={}));var dn;(function(e){function t(i){return i.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}e.fromPlainText=t;function n(i){const r=i;return C.string(r)||C.objectLiteral(r)&&C.string(r.language)&&C.string(r.value)}e.is=n})(dn||(dn={}));var wa;(function(e){function t(n){let i=n;return!!i&&C.objectLiteral(i)&&(Nt.is(i.contents)||dn.is(i.contents)||C.typedArray(i.contents,dn.is))&&(n.range===void 0||$.is(n.range))}e.is=t})(wa||(wa={}));var va;(function(e){function t(n,i){return i?{label:n,documentation:i}:{label:n}}e.create=t})(va||(va={}));var ya;(function(e){function t(n,i,...r){let s={label:n};return C.defined(i)&&(s.documentation=i),C.defined(r)?s.parameters=r:s.parameters=[],s}e.create=t})(ya||(ya={}));var mn;(function(e){e.Text=1,e.Read=2,e.Write=3})(mn||(mn={}));var xa;(function(e){function t(n,i){let r={range:n};return C.number(i)&&(r.kind=i),r}e.create=t})(xa||(xa={}));var Ai;(function(e){e.File=1,e.Module=2,e.Namespace=3,e.Package=4,e.Class=5,e.Method=6,e.Property=7,e.Field=8,e.Constructor=9,e.Enum=10,e.Interface=11,e.Function=12,e.Variable=13,e.Constant=14,e.String=15,e.Number=16,e.Boolean=17,e.Array=18,e.Object=19,e.Key=20,e.Null=21,e.EnumMember=22,e.Struct=23,e.Event=24,e.Operator=25,e.TypeParameter=26})(Ai||(Ai={}));var Ta;(function(e){e.Deprecated=1})(Ta||(Ta={}));var Si;(function(e){function t(n,i,r,s,a){let l={name:n,kind:i,location:{uri:s,range:r}};return a&&(l.containerName=a),l}e.create=t})(Si||(Si={}));var ka;(function(e){function t(n,i,r,s){return s!==void 0?{name:n,kind:i,location:{uri:r,range:s}}:{name:n,kind:i,location:{uri:r}}}e.create=t})(ka||(ka={}));var Li;(function(e){function t(i,r,s,a,l,o){let u={name:i,detail:r,kind:s,range:a,selectionRange:l};return o!==void 0&&(u.children=o),u}e.create=t;function n(i){let r=i;return r&&C.string(r.name)&&C.number(r.kind)&&$.is(r.range)&&$.is(r.selectionRange)&&(r.detail===void 0||C.string(r.detail))&&(r.deprecated===void 0||C.boolean(r.deprecated))&&(r.children===void 0||Array.isArray(r.children))&&(r.tags===void 0||Array.isArray(r.tags))}e.is=n})(Li||(Li={}));var Aa;(function(e){e.Empty="",e.QuickFix="quickfix",e.Refactor="refactor",e.RefactorExtract="refactor.extract",e.RefactorInline="refactor.inline",e.RefactorRewrite="refactor.rewrite",e.Source="source",e.SourceOrganizeImports="source.organizeImports",e.SourceFixAll="source.fixAll"})(Aa||(Aa={}));var fn;(function(e){e.Invoked=1,e.Automatic=2})(fn||(fn={}));var Sa;(function(e){function t(i,r,s){let a={diagnostics:i};return r!=null&&(a.only=r),s!=null&&(a.triggerKind=s),a}e.create=t;function n(i){let r=i;return C.defined(r)&&C.typedArray(r.diagnostics,hn.is)&&(r.only===void 0||C.typedArray(r.only,C.string))&&(r.triggerKind===void 0||r.triggerKind===fn.Invoked||r.triggerKind===fn.Automatic)}e.is=n})(Sa||(Sa={}));var La;(function(e){function t(i,r,s){let a={title:i},l=!0;return typeof r=="string"?(l=!1,a.kind=r):ft.is(r)?a.command=r:a.edit=r,l&&s!==void 0&&(a.kind=s),a}e.create=t;function n(i){let r=i;return r&&C.string(r.title)&&(r.diagnostics===void 0||C.typedArray(r.diagnostics,hn.is))&&(r.kind===void 0||C.string(r.kind))&&(r.edit!==void 0||r.command!==void 0)&&(r.command===void 0||ft.is(r.command))&&(r.isPreferred===void 0||C.boolean(r.isPreferred))&&(r.edit===void 0||Ti.is(r.edit))}e.is=n})(La||(La={}));var Ca;(function(e){function t(i,r){let s={range:i};return C.defined(r)&&(s.data=r),s}e.create=t;function n(i){let r=i;return C.defined(r)&&$.is(r.range)&&(C.undefined(r.command)||ft.is(r.command))}e.is=n})(Ca||(Ca={}));var Ea;(function(e){function t(i,r){return{tabSize:i,insertSpaces:r}}e.create=t;function n(i){let r=i;return C.defined(r)&&C.uinteger(r.tabSize)&&C.boolean(r.insertSpaces)}e.is=n})(Ea||(Ea={}));var Ra;(function(e){function t(i,r,s){return{range:i,target:r,data:s}}e.create=t;function n(i){let r=i;return C.defined(r)&&$.is(r.range)&&(C.undefined(r.target)||C.string(r.target))}e.is=n})(Ra||(Ra={}));var pn;(function(e){function t(i,r){return{range:i,parent:r}}e.create=t;function n(i){let r=i;return C.objectLiteral(r)&&$.is(r.range)&&(r.parent===void 0||e.is(r.parent))}e.is=n})(pn||(pn={}));var Ma;(function(e){e.namespace="namespace",e.type="type",e.class="class",e.enum="enum",e.interface="interface",e.struct="struct",e.typeParameter="typeParameter",e.parameter="parameter",e.variable="variable",e.property="property",e.enumMember="enumMember",e.event="event",e.function="function",e.method="method",e.macro="macro",e.keyword="keyword",e.modifier="modifier",e.comment="comment",e.string="string",e.number="number",e.regexp="regexp",e.operator="operator",e.decorator="decorator"})(Ma||(Ma={}));var Na;(function(e){e.declaration="declaration",e.definition="definition",e.readonly="readonly",e.static="static",e.deprecated="deprecated",e.abstract="abstract",e.async="async",e.modification="modification",e.documentation="documentation",e.defaultLibrary="defaultLibrary"})(Na||(Na={}));var Da;(function(e){function t(n){const i=n;return C.objectLiteral(i)&&(i.resultId===void 0||typeof i.resultId=="string")&&Array.isArray(i.data)&&(i.data.length===0||typeof i.data[0]=="number")}e.is=t})(Da||(Da={}));var Ia;(function(e){function t(i,r){return{range:i,text:r}}e.create=t;function n(i){const r=i;return r!=null&&$.is(r.range)&&C.string(r.text)}e.is=n})(Ia||(Ia={}));var za;(function(e){function t(i,r,s){return{range:i,variableName:r,caseSensitiveLookup:s}}e.create=t;function n(i){const r=i;return r!=null&&$.is(r.range)&&C.boolean(r.caseSensitiveLookup)&&(C.string(r.variableName)||r.variableName===void 0)}e.is=n})(za||(za={}));var Ua;(function(e){function t(i,r){return{range:i,expression:r}}e.create=t;function n(i){const r=i;return r!=null&&$.is(r.range)&&(C.string(r.expression)||r.expression===void 0)}e.is=n})(Ua||(Ua={}));var Ha;(function(e){function t(i,r){return{frameId:i,stoppedLocation:r}}e.create=t;function n(i){const r=i;return C.defined(r)&&$.is(i.stoppedLocation)}e.is=n})(Ha||(Ha={}));var Ci;(function(e){e.Type=1,e.Parameter=2;function t(n){return n===1||n===2}e.is=t})(Ci||(Ci={}));var Ei;(function(e){function t(i){return{value:i}}e.create=t;function n(i){const r=i;return C.objectLiteral(r)&&(r.tooltip===void 0||C.string(r.tooltip)||Nt.is(r.tooltip))&&(r.location===void 0||un.is(r.location))&&(r.command===void 0||ft.is(r.command))}e.is=n})(Ei||(Ei={}));var Wa;(function(e){function t(i,r,s){const a={position:i,label:r};return s!==void 0&&(a.kind=s),a}e.create=t;function n(i){const r=i;return C.objectLiteral(r)&&re.is(r.position)&&(C.string(r.label)||C.typedArray(r.label,Ei.is))&&(r.kind===void 0||Ci.is(r.kind))&&r.textEdits===void 0||C.typedArray(r.textEdits,ae.is)&&(r.tooltip===void 0||C.string(r.tooltip)||Nt.is(r.tooltip))&&(r.paddingLeft===void 0||C.boolean(r.paddingLeft))&&(r.paddingRight===void 0||C.boolean(r.paddingRight))}e.is=n})(Wa||(Wa={}));var Fa;(function(e){function t(n){return{kind:"snippet",value:n}}e.createSnippet=t})(Fa||(Fa={}));var Pa;(function(e){function t(n,i,r,s){return{insertText:n,filterText:i,range:r,command:s}}e.create=t})(Pa||(Pa={}));var Ba;(function(e){function t(n){return{items:n}}e.create=t})(Ba||(Ba={}));var qa;(function(e){e.Invoked=0,e.Automatic=1})(qa||(qa={}));var Oa;(function(e){function t(n,i){return{range:n,text:i}}e.create=t})(Oa||(Oa={}));var Va;(function(e){function t(n,i){return{triggerKind:n,selectedCompletionInfo:i}}e.create=t})(Va||(Va={}));var ja;(function(e){function t(n){const i=n;return C.objectLiteral(i)&&pi.is(i.uri)&&C.string(i.name)}e.is=t})(ja||(ja={}));var $a;(function(e){function t(s,a,l,o){return new nc(s,a,l,o)}e.create=t;function n(s){let a=s;return!!(C.defined(a)&&C.string(a.uri)&&(C.undefined(a.languageId)||C.string(a.languageId))&&C.uinteger(a.lineCount)&&C.func(a.getText)&&C.func(a.positionAt)&&C.func(a.offsetAt))}e.is=n;function i(s,a){let l=s.getText(),o=r(a,(c,d)=>{let m=c.range.start.line-d.range.start.line;return m===0?c.range.start.character-d.range.start.character:m}),u=l.length;for(let c=o.length-1;c>=0;c--){let d=o[c],m=s.offsetAt(d.range.start),f=s.offsetAt(d.range.end);if(f<=u)l=l.substring(0,m)+d.newText+l.substring(f,l.length);else throw new Error("Overlapping edit");u=m}return l}e.applyEdits=i;function r(s,a){if(s.length<=1)return s;const l=s.length/2|0,o=s.slice(0,l),u=s.slice(l);r(o,a),r(u,a);let c=0,d=0,m=0;for(;c<o.length&&d<u.length;)a(o[c],u[d])<=0?s[m++]=o[c++]:s[m++]=u[d++];for(;c<o.length;)s[m++]=o[c++];for(;d<u.length;)s[m++]=u[d++];return s}})($a||($a={}));var nc=class{constructor(e,t,n,i){this._uri=e,this._languageId=t,this._version=n,this._content=i,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(e){if(e){let t=this.offsetAt(e.start),n=this.offsetAt(e.end);return this._content.substring(t,n)}return this._content}update(e,t){this._content=e.text,this._version=t,this._lineOffsets=void 0}getLineOffsets(){if(this._lineOffsets===void 0){let e=[],t=this._content,n=!0;for(let i=0;i<t.length;i++){n&&(e.push(i),n=!1);let r=t.charAt(i);n=r==="\r"||r===`
+`,r==="\r"&&i+1<t.length&&t.charAt(i+1)===`
+`&&i++}n&&t.length>0&&e.push(t.length),this._lineOffsets=e}return this._lineOffsets}positionAt(e){e=Math.max(Math.min(e,this._content.length),0);let t=this.getLineOffsets(),n=0,i=t.length;if(i===0)return re.create(0,e);for(;n<i;){let s=Math.floor((n+i)/2);t[s]>e?i=s:n=s+1}let r=n-1;return re.create(r,e-t[r])}offsetAt(e){let t=this.getLineOffsets();if(e.line>=t.length)return this._content.length;if(e.line<0)return 0;let n=t[e.line],i=e.line+1<t.length?t[e.line+1]:this._content.length;return Math.max(Math.min(n+e.character,i),n)}get lineCount(){return this.getLineOffsets().length}},C;(function(e){const t=Object.prototype.toString;function n(f){return typeof f<"u"}e.defined=n;function i(f){return typeof f>"u"}e.undefined=i;function r(f){return f===!0||f===!1}e.boolean=r;function s(f){return t.call(f)==="[object String]"}e.string=s;function a(f){return t.call(f)==="[object Number]"}e.number=a;function l(f,_,g){return t.call(f)==="[object Number]"&&_<=f&&f<=g}e.numberRange=l;function o(f){return t.call(f)==="[object Number]"&&-2147483648<=f&&f<=2147483647}e.integer=o;function u(f){return t.call(f)==="[object Number]"&&0<=f&&f<=2147483647}e.uinteger=u;function c(f){return t.call(f)==="[object Function]"}e.func=c;function d(f){return f!==null&&typeof f=="object"}e.objectLiteral=d;function m(f,_){return Array.isArray(f)&&f.every(_)}e.typedArray=m})(C||(C={}));var Ga=class Wi{constructor(t,n,i,r){this._uri=t,this._languageId=n,this._version=i,this._content=r,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(t){if(t){const n=this.offsetAt(t.start),i=this.offsetAt(t.end);return this._content.substring(n,i)}return this._content}update(t,n){for(let i of t)if(Wi.isIncremental(i)){const r=Ja(i.range),s=this.offsetAt(r.start),a=this.offsetAt(r.end);this._content=this._content.substring(0,s)+i.text+this._content.substring(a,this._content.length);const l=Math.max(r.start.line,0),o=Math.max(r.end.line,0);let u=this._lineOffsets;const c=Xa(i.text,!1,s);if(o-l===c.length)for(let m=0,f=c.length;m<f;m++)u[m+l+1]=c[m];else c.length<1e4?u.splice(l+1,o-l,...c):this._lineOffsets=u=u.slice(0,l+1).concat(c,u.slice(o+1));const d=i.text.length-(a-s);if(d!==0)for(let m=l+1+c.length,f=u.length;m<f;m++)u[m]=u[m]+d}else if(Wi.isFull(i))this._content=i.text,this._lineOffsets=void 0;else throw new Error("Unknown change event received");this._version=n}getLineOffsets(){return this._lineOffsets===void 0&&(this._lineOffsets=Xa(this._content,!0)),this._lineOffsets}positionAt(t){t=Math.max(Math.min(t,this._content.length),0);let n=this.getLineOffsets(),i=0,r=n.length;if(r===0)return{line:0,character:t};for(;i<r;){let a=Math.floor((i+r)/2);n[a]>t?r=a:i=a+1}let s=i-1;return{line:s,character:t-n[s]}}offsetAt(t){let n=this.getLineOffsets();if(t.line>=n.length)return this._content.length;if(t.line<0)return 0;let i=n[t.line],r=t.line+1<n.length?n[t.line+1]:this._content.length;return Math.max(Math.min(i+t.character,r),i)}get lineCount(){return this.getLineOffsets().length}static isIncremental(t){let n=t;return n!=null&&typeof n.text=="string"&&n.range!==void 0&&(n.rangeLength===void 0||typeof n.rangeLength=="number")}static isFull(t){let n=t;return n!=null&&typeof n.text=="string"&&n.range===void 0&&n.rangeLength===void 0}},Ri;(function(e){function t(r,s,a,l){return new Ga(r,s,a,l)}e.create=t;function n(r,s,a){if(r instanceof Ga)return r.update(s,a),r;throw new Error("TextDocument.update: document must be created by TextDocument.create")}e.update=n;function i(r,s){let a=r.getText(),l=Mi(s.map(ic),(c,d)=>{let m=c.range.start.line-d.range.start.line;return m===0?c.range.start.character-d.range.start.character:m}),o=0;const u=[];for(const c of l){let d=r.offsetAt(c.range.start);if(d<o)throw new Error("Overlapping edit");d>o&&u.push(a.substring(o,d)),c.newText.length&&u.push(c.newText),o=r.offsetAt(c.range.end)}return u.push(a.substr(o)),u.join("")}e.applyEdits=i})(Ri||(Ri={}));function Mi(e,t){if(e.length<=1)return e;const n=e.length/2|0,i=e.slice(0,n),r=e.slice(n);Mi(i,t),Mi(r,t);let s=0,a=0,l=0;for(;s<i.length&&a<r.length;)t(i[s],r[a])<=0?e[l++]=i[s++]:e[l++]=r[a++];for(;s<i.length;)e[l++]=i[s++];for(;a<r.length;)e[l++]=r[a++];return e}function Xa(e,t,n=0){const i=t?[n]:[];for(let r=0;r<e.length;r++){let s=e.charCodeAt(r);(s===13||s===10)&&(s===13&&r+1<e.length&&e.charCodeAt(r+1)===10&&r++,i.push(n+r+1))}return i}function Ja(e){const t=e.start,n=e.end;return t.line>n.line||t.line===n.line&&t.character>n.character?{start:n,end:t}:e}function ic(e){const t=Ja(e.range);return t!==e.range?{newText:e.newText,range:t}:e}var z;(function(e){e[e.StartCommentTag=0]="StartCommentTag",e[e.Comment=1]="Comment",e[e.EndCommentTag=2]="EndCommentTag",e[e.StartTagOpen=3]="StartTagOpen",e[e.StartTagClose=4]="StartTagClose",e[e.StartTagSelfClose=5]="StartTagSelfClose",e[e.StartTag=6]="StartTag",e[e.EndTagOpen=7]="EndTagOpen",e[e.EndTagClose=8]="EndTagClose",e[e.EndTag=9]="EndTag",e[e.DelimiterAssign=10]="DelimiterAssign",e[e.AttributeName=11]="AttributeName",e[e.AttributeValue=12]="AttributeValue",e[e.StartDoctypeTag=13]="StartDoctypeTag",e[e.Doctype=14]="Doctype",e[e.EndDoctypeTag=15]="EndDoctypeTag",e[e.Content=16]="Content",e[e.Whitespace=17]="Whitespace",e[e.Unknown=18]="Unknown",e[e.Script=19]="Script",e[e.Styles=20]="Styles",e[e.EOS=21]="EOS"})(z||(z={}));var B;(function(e){e[e.WithinContent=0]="WithinContent",e[e.AfterOpeningStartTag=1]="AfterOpeningStartTag",e[e.AfterOpeningEndTag=2]="AfterOpeningEndTag",e[e.WithinDoctype=3]="WithinDoctype",e[e.WithinTag=4]="WithinTag",e[e.WithinEndTag=5]="WithinEndTag",e[e.WithinComment=6]="WithinComment",e[e.WithinScriptContent=7]="WithinScriptContent",e[e.WithinStyleContent=8]="WithinStyleContent",e[e.AfterAttributeName=9]="AfterAttributeName",e[e.BeforeAttributeValue=10]="BeforeAttributeValue"})(B||(B={}));var Ya;(function(e){e.LATEST={textDocument:{completion:{completionItem:{documentationFormat:[Pe.Markdown,Pe.PlainText]}},hover:{contentFormat:[Pe.Markdown,Pe.PlainText]}}}})(Ya||(Ya={}));var Ni;(function(e){e[e.Unknown=0]="Unknown",e[e.File=1]="File",e[e.Directory=2]="Directory",e[e.SymbolicLink=64]="SymbolicLink"})(Ni||(Ni={}));var rc=class{constructor(e,t){this.source=e,this.len=e.length,this.position=t}eos(){return this.len<=this.position}getSource(){return this.source}pos(){return this.position}goBackTo(e){this.position=e}goBack(e){this.position-=e}advance(e){this.position+=e}goToEnd(){this.position=this.source.length}nextChar(){return this.source.charCodeAt(this.position++)||0}peekChar(e=0){return this.source.charCodeAt(this.position+e)||0}advanceIfChar(e){return e===this.source.charCodeAt(this.position)?(this.position++,!0):!1}advanceIfChars(e){let t;if(this.position+e.length>this.source.length)return!1;for(t=0;t<e.length;t++)if(this.source.charCodeAt(this.position+t)!==e[t])return!1;return this.advance(t),!0}advanceIfRegExp(e){const n=this.source.substr(this.position).match(e);return n?(this.position=this.position+n.index+n[0].length,n[0]):""}advanceUntilRegExp(e){const n=this.source.substr(this.position).match(e);return n?(this.position=this.position+n.index,n[0]):(this.goToEnd(),"")}advanceUntilChar(e){for(;this.position<this.source.length;){if(this.source.charCodeAt(this.position)===e)return!0;this.advance(1)}return!1}advanceUntilChars(e){for(;this.position+e.length<=this.source.length;){let t=0;for(;t<e.length&&this.source.charCodeAt(this.position+t)===e[t];t++);if(t===e.length)return!0;this.advance(1)}return this.goToEnd(),!1}skipWhitespace(){return this.advanceWhileChar(t=>t===hc||t===dc||t===lc||t===cc||t===uc)>0}advanceWhileChar(e){const t=this.position;for(;this.position<this.len&&e(this.source.charCodeAt(this.position));)this.position++;return this.position-t}},Qa=33,gt=45,gn=60,Ne=62,Di=47,sc=61,ac=34,oc=39,lc=10,uc=13,cc=12,hc=32,dc=9,mc={"text/x-handlebars-template":!0,"text/html":!0};function _e(e,t=0,n=B.WithinContent,i=!1){const r=new rc(e,t);let s=n,a=0,l=z.Unknown,o,u,c,d,m;function f(){return r.advanceIfRegExp(/^[_:\w][_:\w-.\d]*/).toLowerCase()}function _(){return r.advanceIfRegExp(/^[^\s"'></=\x00-\x0F\x7F\x80-\x9F]*/).toLowerCase()}function g(v,E,M){return l=E,a=v,o=M,E}function k(){const v=r.pos(),E=s,M=y();return M!==z.EOS&&v===r.pos()&&!(i&&(M===z.StartTagClose||M===z.EndTagClose))?(console.warn("Scanner.scan has not advanced at offset "+v+", state before: "+E+" after: "+s),r.advance(1),g(v,z.Unknown)):M}function y(){const v=r.pos();if(r.eos())return g(v,z.EOS);let E;switch(s){case B.WithinComment:return r.advanceIfChars([gt,gt,Ne])?(s=B.WithinContent,g(v,z.EndCommentTag)):(r.advanceUntilChars([gt,gt,Ne]),g(v,z.Comment));case B.WithinDoctype:return r.advanceIfChar(Ne)?(s=B.WithinContent,g(v,z.EndDoctypeTag)):(r.advanceUntilChar(Ne),g(v,z.Doctype));case B.WithinContent:if(r.advanceIfChar(gn)){if(!r.eos()&&r.peekChar()===Qa){if(r.advanceIfChars([Qa,gt,gt]))return s=B.WithinComment,g(v,z.StartCommentTag);if(r.advanceIfRegExp(/^!doctype/i))return s=B.WithinDoctype,g(v,z.StartDoctypeTag)}return r.advanceIfChar(Di)?(s=B.AfterOpeningEndTag,g(v,z.EndTagOpen)):(s=B.AfterOpeningStartTag,g(v,z.StartTagOpen))}return r.advanceUntilChar(gn),g(v,z.Content);case B.AfterOpeningEndTag:return f().length>0?(s=B.WithinEndTag,g(v,z.EndTag)):r.skipWhitespace()?g(v,z.Whitespace,Se("Tag name must directly follow the open bracket.")):(s=B.WithinEndTag,r.advanceUntilChar(Ne),v<r.pos()?g(v,z.Unknown,Se("End tag name expected.")):y());case B.WithinEndTag:if(r.skipWhitespace())return g(v,z.Whitespace);if(r.advanceIfChar(Ne))return s=B.WithinContent,g(v,z.EndTagClose);if(i&&r.peekChar()===gn)return s=B.WithinContent,g(v,z.EndTagClose,Se("Closing bracket missing."));E=Se("Closing bracket expected.");break;case B.AfterOpeningStartTag:return c=f(),m=void 0,d=void 0,c.length>0?(u=!1,s=B.WithinTag,g(v,z.StartTag)):r.skipWhitespace()?g(v,z.Whitespace,Se("Tag name must directly follow the open bracket.")):(s=B.WithinTag,r.advanceUntilChar(Ne),v<r.pos()?g(v,z.Unknown,Se("Start tag name expected.")):y());case B.WithinTag:return r.skipWhitespace()?(u=!0,g(v,z.Whitespace)):u&&(d=_(),d.length>0)?(s=B.AfterAttributeName,u=!1,g(v,z.AttributeName)):r.advanceIfChars([Di,Ne])?(s=B.WithinContent,g(v,z.StartTagSelfClose)):r.advanceIfChar(Ne)?(c==="script"?m&&mc[m]?s=B.WithinContent:s=B.WithinScriptContent:c==="style"?s=B.WithinStyleContent:s=B.WithinContent,g(v,z.StartTagClose)):i&&r.peekChar()===gn?(s=B.WithinContent,g(v,z.StartTagClose,Se("Closing bracket missing."))):(r.advance(1),g(v,z.Unknown,Se("Unexpected character in tag.")));case B.AfterAttributeName:return r.skipWhitespace()?(u=!0,g(v,z.Whitespace)):r.advanceIfChar(sc)?(s=B.BeforeAttributeValue,g(v,z.DelimiterAssign)):(s=B.WithinTag,y());case B.BeforeAttributeValue:if(r.skipWhitespace())return g(v,z.Whitespace);let D=r.advanceIfRegExp(/^[^\s"'`=<>]+/);if(D.length>0&&(r.peekChar()===Ne&&r.peekChar(-1)===Di&&(r.goBack(1),D=D.substring(0,D.length-1)),d==="type"&&(m=D),D.length>0))return s=B.WithinTag,u=!1,g(v,z.AttributeValue);const N=r.peekChar();return N===oc||N===ac?(r.advance(1),r.advanceUntilChar(N)&&r.advance(1),d==="type"&&(m=r.getSource().substring(v+1,r.pos()-1)),s=B.WithinTag,u=!1,g(v,z.AttributeValue)):(s=B.WithinTag,u=!1,y());case B.WithinScriptContent:let b=1;for(;!r.eos();){const p=r.advanceIfRegExp(/<!--|-->|<\/?script\s*\/?>?/i);if(p.length===0)return r.goToEnd(),g(v,z.Script);if(p==="<!--")b===1&&(b=2);else if(p==="-->")b=1;else if(p[1]!=="/")b===2&&(b=3);else if(b===3)b=2;else{r.goBack(p.length);break}}return s=B.WithinContent,v<r.pos()?g(v,z.Script):y();case B.WithinStyleContent:return r.advanceUntilRegExp(/<\/style/i),s=B.WithinContent,v<r.pos()?g(v,z.Styles):y()}return r.advance(1),s=B.WithinContent,g(v,z.Unknown,E)}return{scan:k,getTokenType:()=>l,getTokenOffset:()=>a,getTokenLength:()=>r.pos()-a,getTokenEnd:()=>r.pos(),getTokenText:()=>r.getSource().substring(a,r.pos()),getScannerState:()=>s,getTokenError:()=>o}}function Za(e,t){let n=0,i=e.length;if(i===0)return 0;for(;n<i;){let r=Math.floor((n+i)/2);t(e[r])?i=r:n=r+1}return n}function fc(e,t,n){let i=0,r=e.length-1;for(;i<=r;){const s=(i+r)/2|0,a=n(e[s],t);if(a<0)i=s+1;else if(a>0)r=s-1;else return s}return-(i+1)}var Ka=class{get attributeNames(){return this.attributes?Object.keys(this.attributes):[]}constructor(e,t,n,i){this.start=e,this.end=t,this.children=n,this.parent=i,this.closed=!1}isSameTag(e){return this.tag===void 0?e===void 0:e!==void 0&&this.tag.length===e.length&&this.tag.toLowerCase()===e}get firstChild(){return this.children[0]}get lastChild(){return this.children.length?this.children[this.children.length-1]:void 0}findNodeBefore(e){const t=Za(this.children,n=>e<=n.start)-1;if(t>=0){const n=this.children[t];if(e>n.start){if(e<n.end)return n.findNodeBefore(e);const i=n.lastChild;return i&&i.end===n.end?n.findNodeBefore(e):n}}return this}findNodeAt(e){const t=Za(this.children,n=>e<=n.start)-1;if(t>=0){const n=this.children[t];if(e>n.start&&e<=n.end)return n.findNodeAt(e)}return this}},pc=class{constructor(e){this.dataManager=e}parseDocument(e){return this.parse(e.getText(),this.dataManager.getVoidElements(e.languageId))}parse(e,t){const n=_e(e,void 0,void 0,!0),i=new Ka(0,e.length,[],void 0);let r=i,s=-1,a,l=null,o=n.scan();for(;o!==z.EOS;){switch(o){case z.StartTagOpen:const u=new Ka(n.getTokenOffset(),e.length,[],r);r.children.push(u),r=u;break;case z.StartTag:r.tag=n.getTokenText();break;case z.StartTagClose:r.parent&&(r.end=n.getTokenEnd(),n.getTokenLength()?(r.startTagEnd=n.getTokenEnd(),r.tag&&this.dataManager.isVoidElement(r.tag,t)&&(r.closed=!0,r=r.parent)):r=r.parent);break;case z.StartTagSelfClose:r.parent&&(r.closed=!0,r.end=n.getTokenEnd(),r.startTagEnd=n.getTokenEnd(),r=r.parent);break;case z.EndTagOpen:s=n.getTokenOffset(),a=void 0;break;case z.EndTag:a=n.getTokenText().toLowerCase();break;case z.EndTagClose:let c=r;for(;!c.isSameTag(a)&&c.parent;)c=c.parent;if(c.parent){for(;r!==c;)r.end=s,r.closed=!1,r=r.parent;r.closed=!0,r.endTagStart=s,r.end=n.getTokenEnd(),r=r.parent}break;case z.AttributeName:{l=n.getTokenText();let d=r.attributes;d||(r.attributes=d={}),d[l]=null;break}case z.AttributeValue:{const d=n.getTokenText(),m=r.attributes;m&&l&&(m[l]=d,l=null);break}}o=n.scan()}for(;r.parent;)r.end=e.length,r.closed=!1,r=r.parent;return{roots:i.children,findNodeBefore:i.findNodeBefore.bind(i),findNodeAt:i.findNodeAt.bind(i)}}},Dt={"Aacute;":"Á",Aacute:"Á","aacute;":"á",aacute:"á","Abreve;":"Ă","abreve;":"ă","ac;":"∾","acd;":"∿","acE;":"∾̳","Acirc;":"Â",Acirc:"Â","acirc;":"â",acirc:"â","acute;":"´",acute:"´","Acy;":"А","acy;":"а","AElig;":"Æ",AElig:"Æ","aelig;":"æ",aelig:"æ","af;":"⁡","Afr;":"𝔄","afr;":"𝔞","Agrave;":"À",Agrave:"À","agrave;":"à",agrave:"à","alefsym;":"ℵ","aleph;":"ℵ","Alpha;":"Α","alpha;":"α","Amacr;":"Ā","amacr;":"ā","amalg;":"⨿","AMP;":"&",AMP:"&","amp;":"&",amp:"&","And;":"⩓","and;":"∧","andand;":"⩕","andd;":"⩜","andslope;":"⩘","andv;":"⩚","ang;":"∠","ange;":"⦤","angle;":"∠","angmsd;":"∡","angmsdaa;":"⦨","angmsdab;":"⦩","angmsdac;":"⦪","angmsdad;":"⦫","angmsdae;":"⦬","angmsdaf;":"⦭","angmsdag;":"⦮","angmsdah;":"⦯","angrt;":"∟","angrtvb;":"⊾","angrtvbd;":"⦝","angsph;":"∢","angst;":"Å","angzarr;":"⍼","Aogon;":"Ą","aogon;":"ą","Aopf;":"𝔸","aopf;":"𝕒","ap;":"≈","apacir;":"⩯","apE;":"⩰","ape;":"≊","apid;":"≋","apos;":"'","ApplyFunction;":"⁡","approx;":"≈","approxeq;":"≊","Aring;":"Å",Aring:"Å","aring;":"å",aring:"å","Ascr;":"𝒜","ascr;":"𝒶","Assign;":"≔","ast;":"*","asymp;":"≈","asympeq;":"≍","Atilde;":"Ã",Atilde:"Ã","atilde;":"ã",atilde:"ã","Auml;":"Ä",Auml:"Ä","auml;":"ä",auml:"ä","awconint;":"∳","awint;":"⨑","backcong;":"≌","backepsilon;":"϶","backprime;":"‵","backsim;":"∽","backsimeq;":"⋍","Backslash;":"∖","Barv;":"⫧","barvee;":"⊽","Barwed;":"⌆","barwed;":"⌅","barwedge;":"⌅","bbrk;":"⎵","bbrktbrk;":"⎶","bcong;":"≌","Bcy;":"Б","bcy;":"б","bdquo;":"„","becaus;":"∵","Because;":"∵","because;":"∵","bemptyv;":"⦰","bepsi;":"϶","bernou;":"ℬ","Bernoullis;":"ℬ","Beta;":"Β","beta;":"β","beth;":"ℶ","between;":"≬","Bfr;":"𝔅","bfr;":"𝔟","bigcap;":"⋂","bigcirc;":"◯","bigcup;":"⋃","bigodot;":"⨀","bigoplus;":"⨁","bigotimes;":"⨂","bigsqcup;":"⨆","bigstar;":"★","bigtriangledown;":"▽","bigtriangleup;":"△","biguplus;":"⨄","bigvee;":"⋁","bigwedge;":"⋀","bkarow;":"⤍","blacklozenge;":"⧫","blacksquare;":"▪","blacktriangle;":"▴","blacktriangledown;":"▾","blacktriangleleft;":"◂","blacktriangleright;":"▸","blank;":"␣","blk12;":"▒","blk14;":"░","blk34;":"▓","block;":"█","bne;":"=⃥","bnequiv;":"≡⃥","bNot;":"⫭","bnot;":"⌐","Bopf;":"𝔹","bopf;":"𝕓","bot;":"⊥","bottom;":"⊥","bowtie;":"⋈","boxbox;":"⧉","boxDL;":"╗","boxDl;":"╖","boxdL;":"╕","boxdl;":"┐","boxDR;":"╔","boxDr;":"╓","boxdR;":"╒","boxdr;":"┌","boxH;":"═","boxh;":"─","boxHD;":"╦","boxHd;":"╤","boxhD;":"╥","boxhd;":"┬","boxHU;":"╩","boxHu;":"╧","boxhU;":"╨","boxhu;":"┴","boxminus;":"⊟","boxplus;":"⊞","boxtimes;":"⊠","boxUL;":"╝","boxUl;":"╜","boxuL;":"╛","boxul;":"┘","boxUR;":"╚","boxUr;":"╙","boxuR;":"╘","boxur;":"└","boxV;":"║","boxv;":"│","boxVH;":"╬","boxVh;":"╫","boxvH;":"╪","boxvh;":"┼","boxVL;":"╣","boxVl;":"╢","boxvL;":"╡","boxvl;":"┤","boxVR;":"╠","boxVr;":"╟","boxvR;":"╞","boxvr;":"├","bprime;":"‵","Breve;":"˘","breve;":"˘","brvbar;":"¦",brvbar:"¦","Bscr;":"ℬ","bscr;":"𝒷","bsemi;":"⁏","bsim;":"∽","bsime;":"⋍","bsol;":"\\","bsolb;":"⧅","bsolhsub;":"⟈","bull;":"•","bullet;":"•","bump;":"≎","bumpE;":"⪮","bumpe;":"≏","Bumpeq;":"≎","bumpeq;":"≏","Cacute;":"Ć","cacute;":"ć","Cap;":"⋒","cap;":"∩","capand;":"⩄","capbrcup;":"⩉","capcap;":"⩋","capcup;":"⩇","capdot;":"⩀","CapitalDifferentialD;":"ⅅ","caps;":"∩︀","caret;":"⁁","caron;":"ˇ","Cayleys;":"ℭ","ccaps;":"⩍","Ccaron;":"Č","ccaron;":"č","Ccedil;":"Ç",Ccedil:"Ç","ccedil;":"ç",ccedil:"ç","Ccirc;":"Ĉ","ccirc;":"ĉ","Cconint;":"∰","ccups;":"⩌","ccupssm;":"⩐","Cdot;":"Ċ","cdot;":"ċ","cedil;":"¸",cedil:"¸","Cedilla;":"¸","cemptyv;":"⦲","cent;":"¢",cent:"¢","CenterDot;":"·","centerdot;":"·","Cfr;":"ℭ","cfr;":"𝔠","CHcy;":"Ч","chcy;":"ч","check;":"✓","checkmark;":"✓","Chi;":"Χ","chi;":"χ","cir;":"○","circ;":"ˆ","circeq;":"≗","circlearrowleft;":"↺","circlearrowright;":"↻","circledast;":"⊛","circledcirc;":"⊚","circleddash;":"⊝","CircleDot;":"⊙","circledR;":"®","circledS;":"Ⓢ","CircleMinus;":"⊖","CirclePlus;":"⊕","CircleTimes;":"⊗","cirE;":"⧃","cire;":"≗","cirfnint;":"⨐","cirmid;":"⫯","cirscir;":"⧂","ClockwiseContourIntegral;":"∲","CloseCurlyDoubleQuote;":"”","CloseCurlyQuote;":"’","clubs;":"♣","clubsuit;":"♣","Colon;":"∷","colon;":":","Colone;":"⩴","colone;":"≔","coloneq;":"≔","comma;":",","commat;":"@","comp;":"∁","compfn;":"∘","complement;":"∁","complexes;":"ℂ","cong;":"≅","congdot;":"⩭","Congruent;":"≡","Conint;":"∯","conint;":"∮","ContourIntegral;":"∮","Copf;":"ℂ","copf;":"𝕔","coprod;":"∐","Coproduct;":"∐","COPY;":"©",COPY:"©","copy;":"©",copy:"©","copysr;":"℗","CounterClockwiseContourIntegral;":"∳","crarr;":"↵","Cross;":"⨯","cross;":"✗","Cscr;":"𝒞","cscr;":"𝒸","csub;":"⫏","csube;":"⫑","csup;":"⫐","csupe;":"⫒","ctdot;":"⋯","cudarrl;":"⤸","cudarrr;":"⤵","cuepr;":"⋞","cuesc;":"⋟","cularr;":"↶","cularrp;":"⤽","Cup;":"⋓","cup;":"∪","cupbrcap;":"⩈","CupCap;":"≍","cupcap;":"⩆","cupcup;":"⩊","cupdot;":"⊍","cupor;":"⩅","cups;":"∪︀","curarr;":"↷","curarrm;":"⤼","curlyeqprec;":"⋞","curlyeqsucc;":"⋟","curlyvee;":"⋎","curlywedge;":"⋏","curren;":"¤",curren:"¤","curvearrowleft;":"↶","curvearrowright;":"↷","cuvee;":"⋎","cuwed;":"⋏","cwconint;":"∲","cwint;":"∱","cylcty;":"⌭","Dagger;":"‡","dagger;":"†","daleth;":"ℸ","Darr;":"↡","dArr;":"⇓","darr;":"↓","dash;":"‐","Dashv;":"⫤","dashv;":"⊣","dbkarow;":"⤏","dblac;":"˝","Dcaron;":"Ď","dcaron;":"ď","Dcy;":"Д","dcy;":"д","DD;":"ⅅ","dd;":"ⅆ","ddagger;":"‡","ddarr;":"⇊","DDotrahd;":"⤑","ddotseq;":"⩷","deg;":"°",deg:"°","Del;":"∇","Delta;":"Δ","delta;":"δ","demptyv;":"⦱","dfisht;":"⥿","Dfr;":"𝔇","dfr;":"𝔡","dHar;":"⥥","dharl;":"⇃","dharr;":"⇂","DiacriticalAcute;":"´","DiacriticalDot;":"˙","DiacriticalDoubleAcute;":"˝","DiacriticalGrave;":"`","DiacriticalTilde;":"˜","diam;":"⋄","Diamond;":"⋄","diamond;":"⋄","diamondsuit;":"♦","diams;":"♦","die;":"¨","DifferentialD;":"ⅆ","digamma;":"ϝ","disin;":"⋲","div;":"÷","divide;":"÷",divide:"÷","divideontimes;":"⋇","divonx;":"⋇","DJcy;":"Ђ","djcy;":"ђ","dlcorn;":"⌞","dlcrop;":"⌍","dollar;":"$","Dopf;":"𝔻","dopf;":"𝕕","Dot;":"¨","dot;":"˙","DotDot;":"⃜","doteq;":"≐","doteqdot;":"≑","DotEqual;":"≐","dotminus;":"∸","dotplus;":"∔","dotsquare;":"⊡","doublebarwedge;":"⌆","DoubleContourIntegral;":"∯","DoubleDot;":"¨","DoubleDownArrow;":"⇓","DoubleLeftArrow;":"⇐","DoubleLeftRightArrow;":"⇔","DoubleLeftTee;":"⫤","DoubleLongLeftArrow;":"⟸","DoubleLongLeftRightArrow;":"⟺","DoubleLongRightArrow;":"⟹","DoubleRightArrow;":"⇒","DoubleRightTee;":"⊨","DoubleUpArrow;":"⇑","DoubleUpDownArrow;":"⇕","DoubleVerticalBar;":"∥","DownArrow;":"↓","Downarrow;":"⇓","downarrow;":"↓","DownArrowBar;":"⤓","DownArrowUpArrow;":"⇵","DownBreve;":"̑","downdownarrows;":"⇊","downharpoonleft;":"⇃","downharpoonright;":"⇂","DownLeftRightVector;":"⥐","DownLeftTeeVector;":"⥞","DownLeftVector;":"↽","DownLeftVectorBar;":"⥖","DownRightTeeVector;":"⥟","DownRightVector;":"⇁","DownRightVectorBar;":"⥗","DownTee;":"⊤","DownTeeArrow;":"↧","drbkarow;":"⤐","drcorn;":"⌟","drcrop;":"⌌","Dscr;":"𝒟","dscr;":"𝒹","DScy;":"Ѕ","dscy;":"ѕ","dsol;":"⧶","Dstrok;":"Đ","dstrok;":"đ","dtdot;":"⋱","dtri;":"▿","dtrif;":"▾","duarr;":"⇵","duhar;":"⥯","dwangle;":"⦦","DZcy;":"Џ","dzcy;":"џ","dzigrarr;":"⟿","Eacute;":"É",Eacute:"É","eacute;":"é",eacute:"é","easter;":"⩮","Ecaron;":"Ě","ecaron;":"ě","ecir;":"≖","Ecirc;":"Ê",Ecirc:"Ê","ecirc;":"ê",ecirc:"ê","ecolon;":"≕","Ecy;":"Э","ecy;":"э","eDDot;":"⩷","Edot;":"Ė","eDot;":"≑","edot;":"ė","ee;":"ⅇ","efDot;":"≒","Efr;":"𝔈","efr;":"𝔢","eg;":"⪚","Egrave;":"È",Egrave:"È","egrave;":"è",egrave:"è","egs;":"⪖","egsdot;":"⪘","el;":"⪙","Element;":"∈","elinters;":"⏧","ell;":"ℓ","els;":"⪕","elsdot;":"⪗","Emacr;":"Ē","emacr;":"ē","empty;":"∅","emptyset;":"∅","EmptySmallSquare;":"◻","emptyv;":"∅","EmptyVerySmallSquare;":"▫","emsp;":" ","emsp13;":" ","emsp14;":" ","ENG;":"Ŋ","eng;":"ŋ","ensp;":" ","Eogon;":"Ę","eogon;":"ę","Eopf;":"𝔼","eopf;":"𝕖","epar;":"⋕","eparsl;":"⧣","eplus;":"⩱","epsi;":"ε","Epsilon;":"Ε","epsilon;":"ε","epsiv;":"ϵ","eqcirc;":"≖","eqcolon;":"≕","eqsim;":"≂","eqslantgtr;":"⪖","eqslantless;":"⪕","Equal;":"⩵","equals;":"=","EqualTilde;":"≂","equest;":"≟","Equilibrium;":"⇌","equiv;":"≡","equivDD;":"⩸","eqvparsl;":"⧥","erarr;":"⥱","erDot;":"≓","Escr;":"ℰ","escr;":"ℯ","esdot;":"≐","Esim;":"⩳","esim;":"≂","Eta;":"Η","eta;":"η","ETH;":"Ð",ETH:"Ð","eth;":"ð",eth:"ð","Euml;":"Ë",Euml:"Ë","euml;":"ë",euml:"ë","euro;":"€","excl;":"!","exist;":"∃","Exists;":"∃","expectation;":"ℰ","ExponentialE;":"ⅇ","exponentiale;":"ⅇ","fallingdotseq;":"≒","Fcy;":"Ф","fcy;":"ф","female;":"♀","ffilig;":"ffi","fflig;":"ff","ffllig;":"ffl","Ffr;":"𝔉","ffr;":"𝔣","filig;":"fi","FilledSmallSquare;":"◼","FilledVerySmallSquare;":"▪","fjlig;":"fj","flat;":"♭","fllig;":"fl","fltns;":"▱","fnof;":"ƒ","Fopf;":"𝔽","fopf;":"𝕗","ForAll;":"∀","forall;":"∀","fork;":"⋔","forkv;":"⫙","Fouriertrf;":"ℱ","fpartint;":"⨍","frac12;":"½",frac12:"½","frac13;":"⅓","frac14;":"¼",frac14:"¼","frac15;":"⅕","frac16;":"⅙","frac18;":"⅛","frac23;":"⅔","frac25;":"⅖","frac34;":"¾",frac34:"¾","frac35;":"⅗","frac38;":"⅜","frac45;":"⅘","frac56;":"⅚","frac58;":"⅝","frac78;":"⅞","frasl;":"⁄","frown;":"⌢","Fscr;":"ℱ","fscr;":"𝒻","gacute;":"ǵ","Gamma;":"Γ","gamma;":"γ","Gammad;":"Ϝ","gammad;":"ϝ","gap;":"⪆","Gbreve;":"Ğ","gbreve;":"ğ","Gcedil;":"Ģ","Gcirc;":"Ĝ","gcirc;":"ĝ","Gcy;":"Г","gcy;":"г","Gdot;":"Ġ","gdot;":"ġ","gE;":"≧","ge;":"≥","gEl;":"⪌","gel;":"⋛","geq;":"≥","geqq;":"≧","geqslant;":"⩾","ges;":"⩾","gescc;":"⪩","gesdot;":"⪀","gesdoto;":"⪂","gesdotol;":"⪄","gesl;":"⋛︀","gesles;":"⪔","Gfr;":"𝔊","gfr;":"𝔤","Gg;":"⋙","gg;":"≫","ggg;":"⋙","gimel;":"ℷ","GJcy;":"Ѓ","gjcy;":"ѓ","gl;":"≷","gla;":"⪥","glE;":"⪒","glj;":"⪤","gnap;":"⪊","gnapprox;":"⪊","gnE;":"≩","gne;":"⪈","gneq;":"⪈","gneqq;":"≩","gnsim;":"⋧","Gopf;":"𝔾","gopf;":"𝕘","grave;":"`","GreaterEqual;":"≥","GreaterEqualLess;":"⋛","GreaterFullEqual;":"≧","GreaterGreater;":"⪢","GreaterLess;":"≷","GreaterSlantEqual;":"⩾","GreaterTilde;":"≳","Gscr;":"𝒢","gscr;":"ℊ","gsim;":"≳","gsime;":"⪎","gsiml;":"⪐","GT;":">",GT:">","Gt;":"≫","gt;":">",gt:">","gtcc;":"⪧","gtcir;":"⩺","gtdot;":"⋗","gtlPar;":"⦕","gtquest;":"⩼","gtrapprox;":"⪆","gtrarr;":"⥸","gtrdot;":"⋗","gtreqless;":"⋛","gtreqqless;":"⪌","gtrless;":"≷","gtrsim;":"≳","gvertneqq;":"≩︀","gvnE;":"≩︀","Hacek;":"ˇ","hairsp;":" ","half;":"½","hamilt;":"ℋ","HARDcy;":"Ъ","hardcy;":"ъ","hArr;":"⇔","harr;":"↔","harrcir;":"⥈","harrw;":"↭","Hat;":"^","hbar;":"ℏ","Hcirc;":"Ĥ","hcirc;":"ĥ","hearts;":"♥","heartsuit;":"♥","hellip;":"…","hercon;":"⊹","Hfr;":"ℌ","hfr;":"𝔥","HilbertSpace;":"ℋ","hksearow;":"⤥","hkswarow;":"⤦","hoarr;":"⇿","homtht;":"∻","hookleftarrow;":"↩","hookrightarrow;":"↪","Hopf;":"ℍ","hopf;":"𝕙","horbar;":"―","HorizontalLine;":"─","Hscr;":"ℋ","hscr;":"𝒽","hslash;":"ℏ","Hstrok;":"Ħ","hstrok;":"ħ","HumpDownHump;":"≎","HumpEqual;":"≏","hybull;":"⁃","hyphen;":"‐","Iacute;":"Í",Iacute:"Í","iacute;":"í",iacute:"í","ic;":"⁣","Icirc;":"Î",Icirc:"Î","icirc;":"î",icirc:"î","Icy;":"И","icy;":"и","Idot;":"İ","IEcy;":"Е","iecy;":"е","iexcl;":"¡",iexcl:"¡","iff;":"⇔","Ifr;":"ℑ","ifr;":"𝔦","Igrave;":"Ì",Igrave:"Ì","igrave;":"ì",igrave:"ì","ii;":"ⅈ","iiiint;":"⨌","iiint;":"∭","iinfin;":"⧜","iiota;":"℩","IJlig;":"IJ","ijlig;":"ij","Im;":"ℑ","Imacr;":"Ī","imacr;":"ī","image;":"ℑ","ImaginaryI;":"ⅈ","imagline;":"ℐ","imagpart;":"ℑ","imath;":"ı","imof;":"⊷","imped;":"Ƶ","Implies;":"⇒","in;":"∈","incare;":"℅","infin;":"∞","infintie;":"⧝","inodot;":"ı","Int;":"∬","int;":"∫","intcal;":"⊺","integers;":"ℤ","Integral;":"∫","intercal;":"⊺","Intersection;":"⋂","intlarhk;":"⨗","intprod;":"⨼","InvisibleComma;":"⁣","InvisibleTimes;":"⁢","IOcy;":"Ё","iocy;":"ё","Iogon;":"Į","iogon;":"į","Iopf;":"𝕀","iopf;":"𝕚","Iota;":"Ι","iota;":"ι","iprod;":"⨼","iquest;":"¿",iquest:"¿","Iscr;":"ℐ","iscr;":"𝒾","isin;":"∈","isindot;":"⋵","isinE;":"⋹","isins;":"⋴","isinsv;":"⋳","isinv;":"∈","it;":"⁢","Itilde;":"Ĩ","itilde;":"ĩ","Iukcy;":"І","iukcy;":"і","Iuml;":"Ï",Iuml:"Ï","iuml;":"ï",iuml:"ï","Jcirc;":"Ĵ","jcirc;":"ĵ","Jcy;":"Й","jcy;":"й","Jfr;":"𝔍","jfr;":"𝔧","jmath;":"ȷ","Jopf;":"𝕁","jopf;":"𝕛","Jscr;":"𝒥","jscr;":"𝒿","Jsercy;":"Ј","jsercy;":"ј","Jukcy;":"Є","jukcy;":"є","Kappa;":"Κ","kappa;":"κ","kappav;":"ϰ","Kcedil;":"Ķ","kcedil;":"ķ","Kcy;":"К","kcy;":"к","Kfr;":"𝔎","kfr;":"𝔨","kgreen;":"ĸ","KHcy;":"Х","khcy;":"х","KJcy;":"Ќ","kjcy;":"ќ","Kopf;":"𝕂","kopf;":"𝕜","Kscr;":"𝒦","kscr;":"𝓀","lAarr;":"⇚","Lacute;":"Ĺ","lacute;":"ĺ","laemptyv;":"⦴","lagran;":"ℒ","Lambda;":"Λ","lambda;":"λ","Lang;":"⟪","lang;":"⟨","langd;":"⦑","langle;":"⟨","lap;":"⪅","Laplacetrf;":"ℒ","laquo;":"«",laquo:"«","Larr;":"↞","lArr;":"⇐","larr;":"←","larrb;":"⇤","larrbfs;":"⤟","larrfs;":"⤝","larrhk;":"↩","larrlp;":"↫","larrpl;":"⤹","larrsim;":"⥳","larrtl;":"↢","lat;":"⪫","lAtail;":"⤛","latail;":"⤙","late;":"⪭","lates;":"⪭︀","lBarr;":"⤎","lbarr;":"⤌","lbbrk;":"❲","lbrace;":"{","lbrack;":"[","lbrke;":"⦋","lbrksld;":"⦏","lbrkslu;":"⦍","Lcaron;":"Ľ","lcaron;":"ľ","Lcedil;":"Ļ","lcedil;":"ļ","lceil;":"⌈","lcub;":"{","Lcy;":"Л","lcy;":"л","ldca;":"⤶","ldquo;":"“","ldquor;":"„","ldrdhar;":"⥧","ldrushar;":"⥋","ldsh;":"↲","lE;":"≦","le;":"≤","LeftAngleBracket;":"⟨","LeftArrow;":"←","Leftarrow;":"⇐","leftarrow;":"←","LeftArrowBar;":"⇤","LeftArrowRightArrow;":"⇆","leftarrowtail;":"↢","LeftCeiling;":"⌈","LeftDoubleBracket;":"⟦","LeftDownTeeVector;":"⥡","LeftDownVector;":"⇃","LeftDownVectorBar;":"⥙","LeftFloor;":"⌊","leftharpoondown;":"↽","leftharpoonup;":"↼","leftleftarrows;":"⇇","LeftRightArrow;":"↔","Leftrightarrow;":"⇔","leftrightarrow;":"↔","leftrightarrows;":"⇆","leftrightharpoons;":"⇋","leftrightsquigarrow;":"↭","LeftRightVector;":"⥎","LeftTee;":"⊣","LeftTeeArrow;":"↤","LeftTeeVector;":"⥚","leftthreetimes;":"⋋","LeftTriangle;":"⊲","LeftTriangleBar;":"⧏","LeftTriangleEqual;":"⊴","LeftUpDownVector;":"⥑","LeftUpTeeVector;":"⥠","LeftUpVector;":"↿","LeftUpVectorBar;":"⥘","LeftVector;":"↼","LeftVectorBar;":"⥒","lEg;":"⪋","leg;":"⋚","leq;":"≤","leqq;":"≦","leqslant;":"⩽","les;":"⩽","lescc;":"⪨","lesdot;":"⩿","lesdoto;":"⪁","lesdotor;":"⪃","lesg;":"⋚︀","lesges;":"⪓","lessapprox;":"⪅","lessdot;":"⋖","lesseqgtr;":"⋚","lesseqqgtr;":"⪋","LessEqualGreater;":"⋚","LessFullEqual;":"≦","LessGreater;":"≶","lessgtr;":"≶","LessLess;":"⪡","lesssim;":"≲","LessSlantEqual;":"⩽","LessTilde;":"≲","lfisht;":"⥼","lfloor;":"⌊","Lfr;":"𝔏","lfr;":"𝔩","lg;":"≶","lgE;":"⪑","lHar;":"⥢","lhard;":"↽","lharu;":"↼","lharul;":"⥪","lhblk;":"▄","LJcy;":"Љ","ljcy;":"љ","Ll;":"⋘","ll;":"≪","llarr;":"⇇","llcorner;":"⌞","Lleftarrow;":"⇚","llhard;":"⥫","lltri;":"◺","Lmidot;":"Ŀ","lmidot;":"ŀ","lmoust;":"⎰","lmoustache;":"⎰","lnap;":"⪉","lnapprox;":"⪉","lnE;":"≨","lne;":"⪇","lneq;":"⪇","lneqq;":"≨","lnsim;":"⋦","loang;":"⟬","loarr;":"⇽","lobrk;":"⟦","LongLeftArrow;":"⟵","Longleftarrow;":"⟸","longleftarrow;":"⟵","LongLeftRightArrow;":"⟷","Longleftrightarrow;":"⟺","longleftrightarrow;":"⟷","longmapsto;":"⟼","LongRightArrow;":"⟶","Longrightarrow;":"⟹","longrightarrow;":"⟶","looparrowleft;":"↫","looparrowright;":"↬","lopar;":"⦅","Lopf;":"𝕃","lopf;":"𝕝","loplus;":"⨭","lotimes;":"⨴","lowast;":"∗","lowbar;":"_","LowerLeftArrow;":"↙","LowerRightArrow;":"↘","loz;":"◊","lozenge;":"◊","lozf;":"⧫","lpar;":"(","lparlt;":"⦓","lrarr;":"⇆","lrcorner;":"⌟","lrhar;":"⇋","lrhard;":"⥭","lrm;":"‎","lrtri;":"⊿","lsaquo;":"‹","Lscr;":"ℒ","lscr;":"𝓁","Lsh;":"↰","lsh;":"↰","lsim;":"≲","lsime;":"⪍","lsimg;":"⪏","lsqb;":"[","lsquo;":"‘","lsquor;":"‚","Lstrok;":"Ł","lstrok;":"ł","LT;":"<",LT:"<","Lt;":"≪","lt;":"<",lt:"<","ltcc;":"⪦","ltcir;":"⩹","ltdot;":"⋖","lthree;":"⋋","ltimes;":"⋉","ltlarr;":"⥶","ltquest;":"⩻","ltri;":"◃","ltrie;":"⊴","ltrif;":"◂","ltrPar;":"⦖","lurdshar;":"⥊","luruhar;":"⥦","lvertneqq;":"≨︀","lvnE;":"≨︀","macr;":"¯",macr:"¯","male;":"♂","malt;":"✠","maltese;":"✠","Map;":"⤅","map;":"↦","mapsto;":"↦","mapstodown;":"↧","mapstoleft;":"↤","mapstoup;":"↥","marker;":"▮","mcomma;":"⨩","Mcy;":"М","mcy;":"м","mdash;":"—","mDDot;":"∺","measuredangle;":"∡","MediumSpace;":" ","Mellintrf;":"ℳ","Mfr;":"𝔐","mfr;":"𝔪","mho;":"℧","micro;":"µ",micro:"µ","mid;":"∣","midast;":"*","midcir;":"⫰","middot;":"·",middot:"·","minus;":"−","minusb;":"⊟","minusd;":"∸","minusdu;":"⨪","MinusPlus;":"∓","mlcp;":"⫛","mldr;":"…","mnplus;":"∓","models;":"⊧","Mopf;":"𝕄","mopf;":"𝕞","mp;":"∓","Mscr;":"ℳ","mscr;":"𝓂","mstpos;":"∾","Mu;":"Μ","mu;":"μ","multimap;":"⊸","mumap;":"⊸","nabla;":"∇","Nacute;":"Ń","nacute;":"ń","nang;":"∠⃒","nap;":"≉","napE;":"⩰̸","napid;":"≋̸","napos;":"ʼn","napprox;":"≉","natur;":"♮","natural;":"♮","naturals;":"ℕ","nbsp;":" ",nbsp:" ","nbump;":"≎̸","nbumpe;":"≏̸","ncap;":"⩃","Ncaron;":"Ň","ncaron;":"ň","Ncedil;":"Ņ","ncedil;":"ņ","ncong;":"≇","ncongdot;":"⩭̸","ncup;":"⩂","Ncy;":"Н","ncy;":"н","ndash;":"–","ne;":"≠","nearhk;":"⤤","neArr;":"⇗","nearr;":"↗","nearrow;":"↗","nedot;":"≐̸","NegativeMediumSpace;":"​","NegativeThickSpace;":"​","NegativeThinSpace;":"​","NegativeVeryThinSpace;":"​","nequiv;":"≢","nesear;":"⤨","nesim;":"≂̸","NestedGreaterGreater;":"≫","NestedLessLess;":"≪","NewLine;":`
+`,"nexist;":"∄","nexists;":"∄","Nfr;":"𝔑","nfr;":"𝔫","ngE;":"≧̸","nge;":"≱","ngeq;":"≱","ngeqq;":"≧̸","ngeqslant;":"⩾̸","nges;":"⩾̸","nGg;":"⋙̸","ngsim;":"≵","nGt;":"≫⃒","ngt;":"≯","ngtr;":"≯","nGtv;":"≫̸","nhArr;":"⇎","nharr;":"↮","nhpar;":"⫲","ni;":"∋","nis;":"⋼","nisd;":"⋺","niv;":"∋","NJcy;":"Њ","njcy;":"њ","nlArr;":"⇍","nlarr;":"↚","nldr;":"‥","nlE;":"≦̸","nle;":"≰","nLeftarrow;":"⇍","nleftarrow;":"↚","nLeftrightarrow;":"⇎","nleftrightarrow;":"↮","nleq;":"≰","nleqq;":"≦̸","nleqslant;":"⩽̸","nles;":"⩽̸","nless;":"≮","nLl;":"⋘̸","nlsim;":"≴","nLt;":"≪⃒","nlt;":"≮","nltri;":"⋪","nltrie;":"⋬","nLtv;":"≪̸","nmid;":"∤","NoBreak;":"⁠","NonBreakingSpace;":" ","Nopf;":"ℕ","nopf;":"𝕟","Not;":"⫬","not;":"¬",not:"¬","NotCongruent;":"≢","NotCupCap;":"≭","NotDoubleVerticalBar;":"∦","NotElement;":"∉","NotEqual;":"≠","NotEqualTilde;":"≂̸","NotExists;":"∄","NotGreater;":"≯","NotGreaterEqual;":"≱","NotGreaterFullEqual;":"≧̸","NotGreaterGreater;":"≫̸","NotGreaterLess;":"≹","NotGreaterSlantEqual;":"⩾̸","NotGreaterTilde;":"≵","NotHumpDownHump;":"≎̸","NotHumpEqual;":"≏̸","notin;":"∉","notindot;":"⋵̸","notinE;":"⋹̸","notinva;":"∉","notinvb;":"⋷","notinvc;":"⋶","NotLeftTriangle;":"⋪","NotLeftTriangleBar;":"⧏̸","NotLeftTriangleEqual;":"⋬","NotLess;":"≮","NotLessEqual;":"≰","NotLessGreater;":"≸","NotLessLess;":"≪̸","NotLessSlantEqual;":"⩽̸","NotLessTilde;":"≴","NotNestedGreaterGreater;":"⪢̸","NotNestedLessLess;":"⪡̸","notni;":"∌","notniva;":"∌","notnivb;":"⋾","notnivc;":"⋽","NotPrecedes;":"⊀","NotPrecedesEqual;":"⪯̸","NotPrecedesSlantEqual;":"⋠","NotReverseElement;":"∌","NotRightTriangle;":"⋫","NotRightTriangleBar;":"⧐̸","NotRightTriangleEqual;":"⋭","NotSquareSubset;":"⊏̸","NotSquareSubsetEqual;":"⋢","NotSquareSuperset;":"⊐̸","NotSquareSupersetEqual;":"⋣","NotSubset;":"⊂⃒","NotSubsetEqual;":"⊈","NotSucceeds;":"⊁","NotSucceedsEqual;":"⪰̸","NotSucceedsSlantEqual;":"⋡","NotSucceedsTilde;":"≿̸","NotSuperset;":"⊃⃒","NotSupersetEqual;":"⊉","NotTilde;":"≁","NotTildeEqual;":"≄","NotTildeFullEqual;":"≇","NotTildeTilde;":"≉","NotVerticalBar;":"∤","npar;":"∦","nparallel;":"∦","nparsl;":"⫽⃥","npart;":"∂̸","npolint;":"⨔","npr;":"⊀","nprcue;":"⋠","npre;":"⪯̸","nprec;":"⊀","npreceq;":"⪯̸","nrArr;":"⇏","nrarr;":"↛","nrarrc;":"⤳̸","nrarrw;":"↝̸","nRightarrow;":"⇏","nrightarrow;":"↛","nrtri;":"⋫","nrtrie;":"⋭","nsc;":"⊁","nsccue;":"⋡","nsce;":"⪰̸","Nscr;":"𝒩","nscr;":"𝓃","nshortmid;":"∤","nshortparallel;":"∦","nsim;":"≁","nsime;":"≄","nsimeq;":"≄","nsmid;":"∤","nspar;":"∦","nsqsube;":"⋢","nsqsupe;":"⋣","nsub;":"⊄","nsubE;":"⫅̸","nsube;":"⊈","nsubset;":"⊂⃒","nsubseteq;":"⊈","nsubseteqq;":"⫅̸","nsucc;":"⊁","nsucceq;":"⪰̸","nsup;":"⊅","nsupE;":"⫆̸","nsupe;":"⊉","nsupset;":"⊃⃒","nsupseteq;":"⊉","nsupseteqq;":"⫆̸","ntgl;":"≹","Ntilde;":"Ñ",Ntilde:"Ñ","ntilde;":"ñ",ntilde:"ñ","ntlg;":"≸","ntriangleleft;":"⋪","ntrianglelefteq;":"⋬","ntriangleright;":"⋫","ntrianglerighteq;":"⋭","Nu;":"Ν","nu;":"ν","num;":"#","numero;":"№","numsp;":" ","nvap;":"≍⃒","nVDash;":"⊯","nVdash;":"⊮","nvDash;":"⊭","nvdash;":"⊬","nvge;":"≥⃒","nvgt;":">⃒","nvHarr;":"⤄","nvinfin;":"⧞","nvlArr;":"⤂","nvle;":"≤⃒","nvlt;":"<⃒","nvltrie;":"⊴⃒","nvrArr;":"⤃","nvrtrie;":"⊵⃒","nvsim;":"∼⃒","nwarhk;":"⤣","nwArr;":"⇖","nwarr;":"↖","nwarrow;":"↖","nwnear;":"⤧","Oacute;":"Ó",Oacute:"Ó","oacute;":"ó",oacute:"ó","oast;":"⊛","ocir;":"⊚","Ocirc;":"Ô",Ocirc:"Ô","ocirc;":"ô",ocirc:"ô","Ocy;":"О","ocy;":"о","odash;":"⊝","Odblac;":"Ő","odblac;":"ő","odiv;":"⨸","odot;":"⊙","odsold;":"⦼","OElig;":"Œ","oelig;":"œ","ofcir;":"⦿","Ofr;":"𝔒","ofr;":"𝔬","ogon;":"˛","Ograve;":"Ò",Ograve:"Ò","ograve;":"ò",ograve:"ò","ogt;":"⧁","ohbar;":"⦵","ohm;":"Ω","oint;":"∮","olarr;":"↺","olcir;":"⦾","olcross;":"⦻","oline;":"‾","olt;":"⧀","Omacr;":"Ō","omacr;":"ō","Omega;":"Ω","omega;":"ω","Omicron;":"Ο","omicron;":"ο","omid;":"⦶","ominus;":"⊖","Oopf;":"𝕆","oopf;":"𝕠","opar;":"⦷","OpenCurlyDoubleQuote;":"“","OpenCurlyQuote;":"‘","operp;":"⦹","oplus;":"⊕","Or;":"⩔","or;":"∨","orarr;":"↻","ord;":"⩝","order;":"ℴ","orderof;":"ℴ","ordf;":"ª",ordf:"ª","ordm;":"º",ordm:"º","origof;":"⊶","oror;":"⩖","orslope;":"⩗","orv;":"⩛","oS;":"Ⓢ","Oscr;":"𝒪","oscr;":"ℴ","Oslash;":"Ø",Oslash:"Ø","oslash;":"ø",oslash:"ø","osol;":"⊘","Otilde;":"Õ",Otilde:"Õ","otilde;":"õ",otilde:"õ","Otimes;":"⨷","otimes;":"⊗","otimesas;":"⨶","Ouml;":"Ö",Ouml:"Ö","ouml;":"ö",ouml:"ö","ovbar;":"⌽","OverBar;":"‾","OverBrace;":"⏞","OverBracket;":"⎴","OverParenthesis;":"⏜","par;":"∥","para;":"¶",para:"¶","parallel;":"∥","parsim;":"⫳","parsl;":"⫽","part;":"∂","PartialD;":"∂","Pcy;":"П","pcy;":"п","percnt;":"%","period;":".","permil;":"‰","perp;":"⊥","pertenk;":"‱","Pfr;":"𝔓","pfr;":"𝔭","Phi;":"Φ","phi;":"φ","phiv;":"ϕ","phmmat;":"ℳ","phone;":"☎","Pi;":"Π","pi;":"π","pitchfork;":"⋔","piv;":"ϖ","planck;":"ℏ","planckh;":"ℎ","plankv;":"ℏ","plus;":"+","plusacir;":"⨣","plusb;":"⊞","pluscir;":"⨢","plusdo;":"∔","plusdu;":"⨥","pluse;":"⩲","PlusMinus;":"±","plusmn;":"±",plusmn:"±","plussim;":"⨦","plustwo;":"⨧","pm;":"±","Poincareplane;":"ℌ","pointint;":"⨕","Popf;":"ℙ","popf;":"𝕡","pound;":"£",pound:"£","Pr;":"⪻","pr;":"≺","prap;":"⪷","prcue;":"≼","prE;":"⪳","pre;":"⪯","prec;":"≺","precapprox;":"⪷","preccurlyeq;":"≼","Precedes;":"≺","PrecedesEqual;":"⪯","PrecedesSlantEqual;":"≼","PrecedesTilde;":"≾","preceq;":"⪯","precnapprox;":"⪹","precneqq;":"⪵","precnsim;":"⋨","precsim;":"≾","Prime;":"″","prime;":"′","primes;":"ℙ","prnap;":"⪹","prnE;":"⪵","prnsim;":"⋨","prod;":"∏","Product;":"∏","profalar;":"⌮","profline;":"⌒","profsurf;":"⌓","prop;":"∝","Proportion;":"∷","Proportional;":"∝","propto;":"∝","prsim;":"≾","prurel;":"⊰","Pscr;":"𝒫","pscr;":"𝓅","Psi;":"Ψ","psi;":"ψ","puncsp;":" ","Qfr;":"𝔔","qfr;":"𝔮","qint;":"⨌","Qopf;":"ℚ","qopf;":"𝕢","qprime;":"⁗","Qscr;":"𝒬","qscr;":"𝓆","quaternions;":"ℍ","quatint;":"⨖","quest;":"?","questeq;":"≟","QUOT;":'"',QUOT:'"',"quot;":'"',quot:'"',"rAarr;":"⇛","race;":"∽̱","Racute;":"Ŕ","racute;":"ŕ","radic;":"√","raemptyv;":"⦳","Rang;":"⟫","rang;":"⟩","rangd;":"⦒","range;":"⦥","rangle;":"⟩","raquo;":"»",raquo:"»","Rarr;":"↠","rArr;":"⇒","rarr;":"→","rarrap;":"⥵","rarrb;":"⇥","rarrbfs;":"⤠","rarrc;":"⤳","rarrfs;":"⤞","rarrhk;":"↪","rarrlp;":"↬","rarrpl;":"⥅","rarrsim;":"⥴","Rarrtl;":"⤖","rarrtl;":"↣","rarrw;":"↝","rAtail;":"⤜","ratail;":"⤚","ratio;":"∶","rationals;":"ℚ","RBarr;":"⤐","rBarr;":"⤏","rbarr;":"⤍","rbbrk;":"❳","rbrace;":"}","rbrack;":"]","rbrke;":"⦌","rbrksld;":"⦎","rbrkslu;":"⦐","Rcaron;":"Ř","rcaron;":"ř","Rcedil;":"Ŗ","rcedil;":"ŗ","rceil;":"⌉","rcub;":"}","Rcy;":"Р","rcy;":"р","rdca;":"⤷","rdldhar;":"⥩","rdquo;":"”","rdquor;":"”","rdsh;":"↳","Re;":"ℜ","real;":"ℜ","realine;":"ℛ","realpart;":"ℜ","reals;":"ℝ","rect;":"▭","REG;":"®",REG:"®","reg;":"®",reg:"®","ReverseElement;":"∋","ReverseEquilibrium;":"⇋","ReverseUpEquilibrium;":"⥯","rfisht;":"⥽","rfloor;":"⌋","Rfr;":"ℜ","rfr;":"𝔯","rHar;":"⥤","rhard;":"⇁","rharu;":"⇀","rharul;":"⥬","Rho;":"Ρ","rho;":"ρ","rhov;":"ϱ","RightAngleBracket;":"⟩","RightArrow;":"→","Rightarrow;":"⇒","rightarrow;":"→","RightArrowBar;":"⇥","RightArrowLeftArrow;":"⇄","rightarrowtail;":"↣","RightCeiling;":"⌉","RightDoubleBracket;":"⟧","RightDownTeeVector;":"⥝","RightDownVector;":"⇂","RightDownVectorBar;":"⥕","RightFloor;":"⌋","rightharpoondown;":"⇁","rightharpoonup;":"⇀","rightleftarrows;":"⇄","rightleftharpoons;":"⇌","rightrightarrows;":"⇉","rightsquigarrow;":"↝","RightTee;":"⊢","RightTeeArrow;":"↦","RightTeeVector;":"⥛","rightthreetimes;":"⋌","RightTriangle;":"⊳","RightTriangleBar;":"⧐","RightTriangleEqual;":"⊵","RightUpDownVector;":"⥏","RightUpTeeVector;":"⥜","RightUpVector;":"↾","RightUpVectorBar;":"⥔","RightVector;":"⇀","RightVectorBar;":"⥓","ring;":"˚","risingdotseq;":"≓","rlarr;":"⇄","rlhar;":"⇌","rlm;":"‏","rmoust;":"⎱","rmoustache;":"⎱","rnmid;":"⫮","roang;":"⟭","roarr;":"⇾","robrk;":"⟧","ropar;":"⦆","Ropf;":"ℝ","ropf;":"𝕣","roplus;":"⨮","rotimes;":"⨵","RoundImplies;":"⥰","rpar;":")","rpargt;":"⦔","rppolint;":"⨒","rrarr;":"⇉","Rrightarrow;":"⇛","rsaquo;":"›","Rscr;":"ℛ","rscr;":"𝓇","Rsh;":"↱","rsh;":"↱","rsqb;":"]","rsquo;":"’","rsquor;":"’","rthree;":"⋌","rtimes;":"⋊","rtri;":"▹","rtrie;":"⊵","rtrif;":"▸","rtriltri;":"⧎","RuleDelayed;":"⧴","ruluhar;":"⥨","rx;":"℞","Sacute;":"Ś","sacute;":"ś","sbquo;":"‚","Sc;":"⪼","sc;":"≻","scap;":"⪸","Scaron;":"Š","scaron;":"š","sccue;":"≽","scE;":"⪴","sce;":"⪰","Scedil;":"Ş","scedil;":"ş","Scirc;":"Ŝ","scirc;":"ŝ","scnap;":"⪺","scnE;":"⪶","scnsim;":"⋩","scpolint;":"⨓","scsim;":"≿","Scy;":"С","scy;":"с","sdot;":"⋅","sdotb;":"⊡","sdote;":"⩦","searhk;":"⤥","seArr;":"⇘","searr;":"↘","searrow;":"↘","sect;":"§",sect:"§","semi;":";","seswar;":"⤩","setminus;":"∖","setmn;":"∖","sext;":"✶","Sfr;":"𝔖","sfr;":"𝔰","sfrown;":"⌢","sharp;":"♯","SHCHcy;":"Щ","shchcy;":"щ","SHcy;":"Ш","shcy;":"ш","ShortDownArrow;":"↓","ShortLeftArrow;":"←","shortmid;":"∣","shortparallel;":"∥","ShortRightArrow;":"→","ShortUpArrow;":"↑","shy;":"­",shy:"­","Sigma;":"Σ","sigma;":"σ","sigmaf;":"ς","sigmav;":"ς","sim;":"∼","simdot;":"⩪","sime;":"≃","simeq;":"≃","simg;":"⪞","simgE;":"⪠","siml;":"⪝","simlE;":"⪟","simne;":"≆","simplus;":"⨤","simrarr;":"⥲","slarr;":"←","SmallCircle;":"∘","smallsetminus;":"∖","smashp;":"⨳","smeparsl;":"⧤","smid;":"∣","smile;":"⌣","smt;":"⪪","smte;":"⪬","smtes;":"⪬︀","SOFTcy;":"Ь","softcy;":"ь","sol;":"/","solb;":"⧄","solbar;":"⌿","Sopf;":"𝕊","sopf;":"𝕤","spades;":"♠","spadesuit;":"♠","spar;":"∥","sqcap;":"⊓","sqcaps;":"⊓︀","sqcup;":"⊔","sqcups;":"⊔︀","Sqrt;":"√","sqsub;":"⊏","sqsube;":"⊑","sqsubset;":"⊏","sqsubseteq;":"⊑","sqsup;":"⊐","sqsupe;":"⊒","sqsupset;":"⊐","sqsupseteq;":"⊒","squ;":"□","Square;":"□","square;":"□","SquareIntersection;":"⊓","SquareSubset;":"⊏","SquareSubsetEqual;":"⊑","SquareSuperset;":"⊐","SquareSupersetEqual;":"⊒","SquareUnion;":"⊔","squarf;":"▪","squf;":"▪","srarr;":"→","Sscr;":"𝒮","sscr;":"𝓈","ssetmn;":"∖","ssmile;":"⌣","sstarf;":"⋆","Star;":"⋆","star;":"☆","starf;":"★","straightepsilon;":"ϵ","straightphi;":"ϕ","strns;":"¯","Sub;":"⋐","sub;":"⊂","subdot;":"⪽","subE;":"⫅","sube;":"⊆","subedot;":"⫃","submult;":"⫁","subnE;":"⫋","subne;":"⊊","subplus;":"⪿","subrarr;":"⥹","Subset;":"⋐","subset;":"⊂","subseteq;":"⊆","subseteqq;":"⫅","SubsetEqual;":"⊆","subsetneq;":"⊊","subsetneqq;":"⫋","subsim;":"⫇","subsub;":"⫕","subsup;":"⫓","succ;":"≻","succapprox;":"⪸","succcurlyeq;":"≽","Succeeds;":"≻","SucceedsEqual;":"⪰","SucceedsSlantEqual;":"≽","SucceedsTilde;":"≿","succeq;":"⪰","succnapprox;":"⪺","succneqq;":"⪶","succnsim;":"⋩","succsim;":"≿","SuchThat;":"∋","Sum;":"∑","sum;":"∑","sung;":"♪","Sup;":"⋑","sup;":"⊃","sup1;":"¹",sup1:"¹","sup2;":"²",sup2:"²","sup3;":"³",sup3:"³","supdot;":"⪾","supdsub;":"⫘","supE;":"⫆","supe;":"⊇","supedot;":"⫄","Superset;":"⊃","SupersetEqual;":"⊇","suphsol;":"⟉","suphsub;":"⫗","suplarr;":"⥻","supmult;":"⫂","supnE;":"⫌","supne;":"⊋","supplus;":"⫀","Supset;":"⋑","supset;":"⊃","supseteq;":"⊇","supseteqq;":"⫆","supsetneq;":"⊋","supsetneqq;":"⫌","supsim;":"⫈","supsub;":"⫔","supsup;":"⫖","swarhk;":"⤦","swArr;":"⇙","swarr;":"↙","swarrow;":"↙","swnwar;":"⤪","szlig;":"ß",szlig:"ß","Tab;":" ","target;":"⌖","Tau;":"Τ","tau;":"τ","tbrk;":"⎴","Tcaron;":"Ť","tcaron;":"ť","Tcedil;":"Ţ","tcedil;":"ţ","Tcy;":"Т","tcy;":"т","tdot;":"⃛","telrec;":"⌕","Tfr;":"𝔗","tfr;":"𝔱","there4;":"∴","Therefore;":"∴","therefore;":"∴","Theta;":"Θ","theta;":"θ","thetasym;":"ϑ","thetav;":"ϑ","thickapprox;":"≈","thicksim;":"∼","ThickSpace;":"  ","thinsp;":" ","ThinSpace;":" ","thkap;":"≈","thksim;":"∼","THORN;":"Þ",THORN:"Þ","thorn;":"þ",thorn:"þ","Tilde;":"∼","tilde;":"˜","TildeEqual;":"≃","TildeFullEqual;":"≅","TildeTilde;":"≈","times;":"×",times:"×","timesb;":"⊠","timesbar;":"⨱","timesd;":"⨰","tint;":"∭","toea;":"⤨","top;":"⊤","topbot;":"⌶","topcir;":"⫱","Topf;":"𝕋","topf;":"𝕥","topfork;":"⫚","tosa;":"⤩","tprime;":"‴","TRADE;":"™","trade;":"™","triangle;":"▵","triangledown;":"▿","triangleleft;":"◃","trianglelefteq;":"⊴","triangleq;":"≜","triangleright;":"▹","trianglerighteq;":"⊵","tridot;":"◬","trie;":"≜","triminus;":"⨺","TripleDot;":"⃛","triplus;":"⨹","trisb;":"⧍","tritime;":"⨻","trpezium;":"⏢","Tscr;":"𝒯","tscr;":"𝓉","TScy;":"Ц","tscy;":"ц","TSHcy;":"Ћ","tshcy;":"ћ","Tstrok;":"Ŧ","tstrok;":"ŧ","twixt;":"≬","twoheadleftarrow;":"↞","twoheadrightarrow;":"↠","Uacute;":"Ú",Uacute:"Ú","uacute;":"ú",uacute:"ú","Uarr;":"↟","uArr;":"⇑","uarr;":"↑","Uarrocir;":"⥉","Ubrcy;":"Ў","ubrcy;":"ў","Ubreve;":"Ŭ","ubreve;":"ŭ","Ucirc;":"Û",Ucirc:"Û","ucirc;":"û",ucirc:"û","Ucy;":"У","ucy;":"у","udarr;":"⇅","Udblac;":"Ű","udblac;":"ű","udhar;":"⥮","ufisht;":"⥾","Ufr;":"𝔘","ufr;":"𝔲","Ugrave;":"Ù",Ugrave:"Ù","ugrave;":"ù",ugrave:"ù","uHar;":"⥣","uharl;":"↿","uharr;":"↾","uhblk;":"▀","ulcorn;":"⌜","ulcorner;":"⌜","ulcrop;":"⌏","ultri;":"◸","Umacr;":"Ū","umacr;":"ū","uml;":"¨",uml:"¨","UnderBar;":"_","UnderBrace;":"⏟","UnderBracket;":"⎵","UnderParenthesis;":"⏝","Union;":"⋃","UnionPlus;":"⊎","Uogon;":"Ų","uogon;":"ų","Uopf;":"𝕌","uopf;":"𝕦","UpArrow;":"↑","Uparrow;":"⇑","uparrow;":"↑","UpArrowBar;":"⤒","UpArrowDownArrow;":"⇅","UpDownArrow;":"↕","Updownarrow;":"⇕","updownarrow;":"↕","UpEquilibrium;":"⥮","upharpoonleft;":"↿","upharpoonright;":"↾","uplus;":"⊎","UpperLeftArrow;":"↖","UpperRightArrow;":"↗","Upsi;":"ϒ","upsi;":"υ","upsih;":"ϒ","Upsilon;":"Υ","upsilon;":"υ","UpTee;":"⊥","UpTeeArrow;":"↥","upuparrows;":"⇈","urcorn;":"⌝","urcorner;":"⌝","urcrop;":"⌎","Uring;":"Ů","uring;":"ů","urtri;":"◹","Uscr;":"𝒰","uscr;":"𝓊","utdot;":"⋰","Utilde;":"Ũ","utilde;":"ũ","utri;":"▵","utrif;":"▴","uuarr;":"⇈","Uuml;":"Ü",Uuml:"Ü","uuml;":"ü",uuml:"ü","uwangle;":"⦧","vangrt;":"⦜","varepsilon;":"ϵ","varkappa;":"ϰ","varnothing;":"∅","varphi;":"ϕ","varpi;":"ϖ","varpropto;":"∝","vArr;":"⇕","varr;":"↕","varrho;":"ϱ","varsigma;":"ς","varsubsetneq;":"⊊︀","varsubsetneqq;":"⫋︀","varsupsetneq;":"⊋︀","varsupsetneqq;":"⫌︀","vartheta;":"ϑ","vartriangleleft;":"⊲","vartriangleright;":"⊳","Vbar;":"⫫","vBar;":"⫨","vBarv;":"⫩","Vcy;":"В","vcy;":"в","VDash;":"⊫","Vdash;":"⊩","vDash;":"⊨","vdash;":"⊢","Vdashl;":"⫦","Vee;":"⋁","vee;":"∨","veebar;":"⊻","veeeq;":"≚","vellip;":"⋮","Verbar;":"‖","verbar;":"|","Vert;":"‖","vert;":"|","VerticalBar;":"∣","VerticalLine;":"|","VerticalSeparator;":"❘","VerticalTilde;":"≀","VeryThinSpace;":" ","Vfr;":"𝔙","vfr;":"𝔳","vltri;":"⊲","vnsub;":"⊂⃒","vnsup;":"⊃⃒","Vopf;":"𝕍","vopf;":"𝕧","vprop;":"∝","vrtri;":"⊳","Vscr;":"𝒱","vscr;":"𝓋","vsubnE;":"⫋︀","vsubne;":"⊊︀","vsupnE;":"⫌︀","vsupne;":"⊋︀","Vvdash;":"⊪","vzigzag;":"⦚","Wcirc;":"Ŵ","wcirc;":"ŵ","wedbar;":"⩟","Wedge;":"⋀","wedge;":"∧","wedgeq;":"≙","weierp;":"℘","Wfr;":"𝔚","wfr;":"𝔴","Wopf;":"𝕎","wopf;":"𝕨","wp;":"℘","wr;":"≀","wreath;":"≀","Wscr;":"𝒲","wscr;":"𝓌","xcap;":"⋂","xcirc;":"◯","xcup;":"⋃","xdtri;":"▽","Xfr;":"𝔛","xfr;":"𝔵","xhArr;":"⟺","xharr;":"⟷","Xi;":"Ξ","xi;":"ξ","xlArr;":"⟸","xlarr;":"⟵","xmap;":"⟼","xnis;":"⋻","xodot;":"⨀","Xopf;":"𝕏","xopf;":"𝕩","xoplus;":"⨁","xotime;":"⨂","xrArr;":"⟹","xrarr;":"⟶","Xscr;":"𝒳","xscr;":"𝓍","xsqcup;":"⨆","xuplus;":"⨄","xutri;":"△","xvee;":"⋁","xwedge;":"⋀","Yacute;":"Ý",Yacute:"Ý","yacute;":"ý",yacute:"ý","YAcy;":"Я","yacy;":"я","Ycirc;":"Ŷ","ycirc;":"ŷ","Ycy;":"Ы","ycy;":"ы","yen;":"¥",yen:"¥","Yfr;":"𝔜","yfr;":"𝔶","YIcy;":"Ї","yicy;":"ї","Yopf;":"𝕐","yopf;":"𝕪","Yscr;":"𝒴","yscr;":"𝓎","YUcy;":"Ю","yucy;":"ю","Yuml;":"Ÿ","yuml;":"ÿ",yuml:"ÿ","Zacute;":"Ź","zacute;":"ź","Zcaron;":"Ž","zcaron;":"ž","Zcy;":"З","zcy;":"з","Zdot;":"Ż","zdot;":"ż","zeetrf;":"ℨ","ZeroWidthSpace;":"​","Zeta;":"Ζ","zeta;":"ζ","Zfr;":"ℨ","zfr;":"𝔷","ZHcy;":"Ж","zhcy;":"ж","zigrarr;":"⇝","Zopf;":"ℤ","zopf;":"𝕫","Zscr;":"𝒵","zscr;":"𝓏","zwj;":"‍","zwnj;":"‌"};function Je(e,t){if(e.length<t.length)return!1;for(let n=0;n<t.length;n++)if(e[n]!==t[n])return!1;return!0}function gc(e,t){const n=e.length-t.length;return n>0?e.lastIndexOf(t)===n:n===0?e===t:!1}function eo(e,t){let n="";for(;t>0;)(t&1)===1&&(n+=e),e+=e,t=t>>>1;return n}var bc=97,_c=122,wc=65,vc=90,yc=48,xc=57;function It(e,t){const n=e.charCodeAt(t);return bc<=n&&n<=_c||wc<=n&&n<=vc||yc<=n&&n<=xc}function bn(e){return typeof e<"u"}function Tc(e){if(e)return typeof e=="string"?{kind:"markdown",value:e}:{kind:"markdown",value:e.value}}var to=class{isApplicable(){return!0}constructor(e,t){this.id=e,this._tags=[],this._tagMap={},this._valueSetMap={},this._tags=t.tags||[],this._globalAttributes=t.globalAttributes||[],this._tags.forEach(n=>{this._tagMap[n.name.toLowerCase()]=n}),t.valueSets&&t.valueSets.forEach(n=>{this._valueSetMap[n.name]=n.values})}getId(){return this.id}provideTags(){return this._tags}provideAttributes(e){const t=[],n=r=>{t.push(r)},i=this._tagMap[e.toLowerCase()];return i&&i.attributes.forEach(n),this._globalAttributes.forEach(n),t}provideValues(e,t){const n=[];t=t.toLowerCase();const i=s=>{s.forEach(a=>{a.name.toLowerCase()===t&&(a.values&&a.values.forEach(l=>{n.push(l)}),a.valueSet&&this._valueSetMap[a.valueSet]&&this._valueSetMap[a.valueSet].forEach(l=>{n.push(l)}))})},r=this._tagMap[e.toLowerCase()];return r&&i(r.attributes),i(this._globalAttributes),n}};function Ke(e,t={},n){const i={kind:n?"markdown":"plaintext",value:""};if(e.description&&t.documentation!==!1){const r=Tc(e.description);r&&(i.value+=r.value)}if(e.references&&e.references.length>0&&t.references!==!1&&(i.value.length&&(i.value+=`
+
+`),n?i.value+=e.references.map(r=>`[${r.name}](${r.url})`).join(" | "):i.value+=e.references.map(r=>`${r.name}: ${r.url}`).join(`
+`)),i.value!=="")return i}var kc=class{constructor(e,t){this.dataManager=e,this.readDirectory=t,this.atributeCompletions=[]}onHtmlAttributeValue(e){this.dataManager.isPathAttribute(e.tag,e.attribute)&&this.atributeCompletions.push(e)}async computeCompletions(e,t){const n={items:[],isIncomplete:!1};for(const i of this.atributeCompletions){const r=Sc(e.getText(i.range));if(Lc(r))if(r==="."||r==="..")n.isIncomplete=!0;else{const s=Cc(i.value,r,i.range),a=await this.providePathSuggestions(i.value,s,e,t);for(const l of a)n.items.push(l)}}return n}async providePathSuggestions(e,t,n,i){const r=e.substring(0,e.lastIndexOf("/")+1);let s=i.resolveReference(r||".",n.uri);if(s)try{const a=[],l=await this.readDirectory(s);for(const[o,u]of l)o.charCodeAt(0)!==Ac&&a.push(Ec(o,u===Ni.Directory,t));return a}catch{}return[]}},Ac=46;function Sc(e){return Je(e,"'")||Je(e,'"')?e.slice(1,-1):e}function Lc(e){return!(Je(e,"http")||Je(e,"https")||Je(e,"//"))}function Cc(e,t,n){let i;const r=e.lastIndexOf("/");if(r===-1)i=Rc(n,1,-1);else{const s=t.slice(r+1),a=zt(n.end,-1-s.length),l=s.indexOf(" ");let o;l!==-1?o=zt(a,l):o=zt(n.end,-1),i=$.create(a,o)}return i}function Ec(e,t,n){return t?(e=e+"/",{label:e,kind:fe.Folder,textEdit:ae.replace(n,e),command:{title:"Suggest",command:"editor.action.triggerSuggest"}}):{label:e,kind:fe.File,textEdit:ae.replace(n,e)}}function zt(e,t){return re.create(e.line,e.character+t)}function Rc(e,t,n){const i=zt(e.start,t),r=zt(e.end,n);return $.create(i,r)}var Mc=class{constructor(e,t){this.lsOptions=e,this.dataManager=t,this.completionParticipants=[]}setCompletionParticipants(e){this.completionParticipants=e||[]}async doComplete2(e,t,n,i,r){if(!this.lsOptions.fileSystemProvider||!this.lsOptions.fileSystemProvider.readDirectory)return this.doComplete(e,t,n,r);const s=new kc(this.dataManager,this.lsOptions.fileSystemProvider.readDirectory),a=this.completionParticipants;this.completionParticipants=[s].concat(a);const l=this.doComplete(e,t,n,r);try{const o=await s.computeCompletions(e,i);return{isIncomplete:l.isIncomplete||o.isIncomplete,items:o.items.concat(l.items)}}finally{this.completionParticipants=a}}doComplete(e,t,n,i){const r=this._doComplete(e,t,n,i);return this.convertCompletionList(r)}_doComplete(e,t,n,i){const r={isIncomplete:!1,items:[]},s=this.completionParticipants,a=this.dataManager.getDataProviders().filter(w=>w.isApplicable(e.languageId)&&(!i||i[w.getId()]!==!1)),l=this.dataManager.getVoidElements(a),o=this.doesSupportMarkdown(),u=e.getText(),c=e.offsetAt(t),d=n.findNodeBefore(c);if(!d)return r;const m=_e(u,d.start);let f="",_;function g(w,A=c){return w>c&&(w=c),{start:e.positionAt(w),end:e.positionAt(A)}}function k(w,A){const R=g(w,A);return a.forEach(I=>{I.provideTags().forEach(F=>{r.items.push({label:F.name,kind:fe.Property,documentation:Ke(F,void 0,o),textEdit:ae.replace(R,F.name),insertTextFormat:Le.PlainText})})}),r}function y(w){let A=w;for(;A>0;){const R=u.charAt(A-1);if(`
+\r`.indexOf(R)>=0)return u.substring(A,w);if(!_n(R))return null;A--}return u.substring(0,w)}function v(w,A,R=c){const I=g(w,R),F=no(u,R,B.WithinEndTag,z.EndTagClose)?"":">";let H=d;for(A&&(H=H.parent);H;){const W=H.tag;if(W&&(!H.closed||H.endTagStart&&H.endTagStart>c)){const q={label:"/"+W,kind:fe.Property,filterText:"/"+W,textEdit:ae.replace(I,"/"+W+F),insertTextFormat:Le.PlainText},J=y(H.start),oe=y(w-1);if(J!==null&&oe!==null&&J!==oe){const pe=J+"</"+W+F;q.textEdit=ae.replace(g(w-1-oe.length),pe),q.filterText=oe+"</"+W}return r.items.push(q),r}H=H.parent}return A||a.forEach(W=>{W.provideTags().forEach(q=>{r.items.push({label:"/"+q.name,kind:fe.Property,documentation:Ke(q,void 0,o),filterText:"/"+q.name+F,textEdit:ae.replace(I,"/"+q.name+F),insertTextFormat:Le.PlainText})})}),r}const E=(w,A)=>{if(i&&i.hideAutoCompleteProposals)return r;if(!this.dataManager.isVoidElement(A,l)){const R=e.positionAt(w);r.items.push({label:"</"+A+">",kind:fe.Property,filterText:"</"+A+">",textEdit:ae.insert(R,"$0</"+A+">"),insertTextFormat:Le.Snippet})}return r};function M(w,A){return k(w,A),v(w,!0,A),r}function D(){const w=Object.create(null);return d.attributeNames.forEach(A=>{w[A]=!0}),w}function N(w,A=c){let R=c;for(;R<A&&u[R]!=="<";)R++;const I=u.substring(w,A),F=g(w,R);let H="";if(!no(u,A,B.AfterAttributeName,z.DelimiterAssign)){const q=(i==null?void 0:i.attributeDefaultValue)??"doublequotes";q==="empty"?H="=$1":q==="singlequotes"?H="='$1'":H='="$1"'}const W=D();return W[I]=!1,a.forEach(q=>{q.provideAttributes(f).forEach(J=>{if(W[J.name])return;W[J.name]=!0;let oe=J.name,pe;J.valueSet!=="v"&&H.length&&(oe=oe+H,(J.valueSet||J.name==="style")&&(pe={title:"Suggest",command:"editor.action.triggerSuggest"})),r.items.push({label:J.name,kind:J.valueSet==="handler"?fe.Function:fe.Value,documentation:Ke(J,void 0,o),textEdit:ae.replace(F,oe),insertTextFormat:Le.Snippet,command:pe})})}),b(F,W),r}function b(w,A){const R="data-",I={};I[R]=`${R}$1="$2"`;function F(H){H.attributeNames.forEach(W=>{Je(W,R)&&!I[W]&&!A[W]&&(I[W]=W+'="$1"')}),H.children.forEach(W=>F(W))}n&&n.roots.forEach(H=>F(H)),Object.keys(I).forEach(H=>r.items.push({label:H,kind:fe.Value,textEdit:ae.replace(w,I[H]),insertTextFormat:Le.Snippet}))}function p(w,A=c){let R,I,F;if(c>w&&c<=A&&Nc(u[w])){const H=w+1;let W=A;A>w&&u[A-1]===u[w]&&W--;const q=Dc(u,c,H),J=Ic(u,c,W);R=g(q,J),F=c>=H&&c<=W?u.substring(H,c):"",I=!1}else R=g(w,A),F=u.substring(w,c),I=!0;if(s.length>0){const H=f.toLowerCase(),W=_.toLowerCase(),q=g(w,A);for(const J of s)J.onHtmlAttributeValue&&J.onHtmlAttributeValue({document:e,position:t,tag:H,attribute:W,value:F,range:q})}return a.forEach(H=>{H.provideValues(f,_).forEach(W=>{const q=I?'"'+W.name+'"':W.name;r.items.push({label:W.name,filterText:q,kind:fe.Unit,documentation:Ke(W,void 0,o),textEdit:ae.replace(R,q),insertTextFormat:Le.PlainText})})}),L(),r}function x(w){return c===m.getTokenEnd()&&(S=m.scan(),S===w&&m.getTokenOffset()===c)?m.getTokenEnd():c}function U(){for(const w of s)w.onHtmlContent&&w.onHtmlContent({document:e,position:t});return L()}function L(){let w=c-1,A=t.character;for(;w>=0&&It(u,w);)w--,A--;if(w>=0&&u[w]==="&"){const R=$.create(re.create(t.line,A-1),t);for(const I in Dt)if(gc(I,";")){const F="&"+I;r.items.push({label:F,kind:fe.Keyword,documentation:Se("Character entity representing '{0}'",Dt[I]),textEdit:ae.replace(R,F),insertTextFormat:Le.PlainText})}}return r}function T(w,A){const R=g(w,A);r.items.push({label:"!DOCTYPE",kind:fe.Property,documentation:"A preamble for an HTML document.",textEdit:ae.replace(R,"!DOCTYPE html>"),insertTextFormat:Le.PlainText})}let S=m.scan();for(;S!==z.EOS&&m.getTokenOffset()<=c;){switch(S){case z.StartTagOpen:if(m.getTokenEnd()===c){const w=x(z.StartTag);return t.line===0&&T(c,w),M(c,w)}break;case z.StartTag:if(m.getTokenOffset()<=c&&c<=m.getTokenEnd())return k(m.getTokenOffset(),m.getTokenEnd());f=m.getTokenText();break;case z.AttributeName:if(m.getTokenOffset()<=c&&c<=m.getTokenEnd())return N(m.getTokenOffset(),m.getTokenEnd());_=m.getTokenText();break;case z.DelimiterAssign:if(m.getTokenEnd()===c){const w=x(z.AttributeValue);return p(c,w)}break;case z.AttributeValue:if(m.getTokenOffset()<=c&&c<=m.getTokenEnd())return p(m.getTokenOffset(),m.getTokenEnd());break;case z.Whitespace:if(c<=m.getTokenEnd())switch(m.getScannerState()){case B.AfterOpeningStartTag:const w=m.getTokenOffset(),A=x(z.StartTag);return M(w,A);case B.WithinTag:case B.AfterAttributeName:return N(m.getTokenEnd());case B.BeforeAttributeValue:return p(m.getTokenEnd());case B.AfterOpeningEndTag:return v(m.getTokenOffset()-1,!1);case B.WithinContent:return U()}break;case z.EndTagOpen:if(c<=m.getTokenEnd()){const w=m.getTokenOffset()+1,A=x(z.EndTag);return v(w,!1,A)}break;case z.EndTag:if(c<=m.getTokenEnd()){let w=m.getTokenOffset()-1;for(;w>=0;){const A=u.charAt(w);if(A==="/")return v(w,!1,m.getTokenEnd());if(!_n(A))break;w--}}break;case z.StartTagClose:if(c<=m.getTokenEnd()&&f)return E(m.getTokenEnd(),f);break;case z.Content:if(c<=m.getTokenEnd())return U();break;default:if(c<=m.getTokenEnd())return r;break}S=m.scan()}return r}doQuoteComplete(e,t,n,i){const r=e.offsetAt(t);if(r<=0)return null;const s=(i==null?void 0:i.attributeDefaultValue)??"doublequotes";if(s==="empty"||e.getText().charAt(r-1)!=="=")return null;const l=s==="doublequotes"?'"$1"':"'$1'",o=n.findNodeBefore(r);if(o&&o.attributes&&o.start<r&&(!o.endTagStart||o.endTagStart>r)){const u=_e(e.getText(),o.start);let c=u.scan();for(;c!==z.EOS&&u.getTokenEnd()<=r;){if(c===z.AttributeName&&u.getTokenEnd()===r-1)return c=u.scan(),c!==z.DelimiterAssign||(c=u.scan(),c===z.Unknown||c===z.AttributeValue)?null:l;c=u.scan()}}return null}doTagComplete(e,t,n){const i=e.offsetAt(t);if(i<=0)return null;const r=e.getText().charAt(i-1);if(r===">"){const s=this.dataManager.getVoidElements(e.languageId),a=n.findNodeBefore(i);if(a&&a.tag&&!this.dataManager.isVoidElement(a.tag,s)&&a.start<i&&(!a.endTagStart||a.endTagStart>i)){const l=_e(e.getText(),a.start);let o=l.scan();for(;o!==z.EOS&&l.getTokenEnd()<=i;){if(o===z.StartTagClose&&l.getTokenEnd()===i)return`$0</${a.tag}>`;o=l.scan()}}}else if(r==="/"){let s=n.findNodeBefore(i);for(;s&&s.closed&&!(s.endTagStart&&s.endTagStart>i);)s=s.parent;if(s&&s.tag){const a=_e(e.getText(),s.start);let l=a.scan();for(;l!==z.EOS&&a.getTokenEnd()<=i;){if(l===z.EndTagOpen&&a.getTokenEnd()===i)return e.getText().charAt(i)!==">"?`${s.tag}>`:s.tag;l=a.scan()}}}return null}convertCompletionList(e){return this.doesSupportMarkdown()||e.items.forEach(t=>{t.documentation&&typeof t.documentation!="string"&&(t.documentation={kind:"plaintext",value:t.documentation.value})}),e}doesSupportMarkdown(){var e,t,n;if(!bn(this.supportsMarkdown)){if(!bn(this.lsOptions.clientCapabilities))return this.supportsMarkdown=!0,this.supportsMarkdown;const i=(n=(t=(e=this.lsOptions.clientCapabilities.textDocument)==null?void 0:e.completion)==null?void 0:t.completionItem)==null?void 0:n.documentationFormat;this.supportsMarkdown=Array.isArray(i)&&i.indexOf(Pe.Markdown)!==-1}return this.supportsMarkdown}};function Nc(e){return/^["']*$/.test(e)}function _n(e){return/^\s*$/.test(e)}function no(e,t,n,i){const r=_e(e,t,n);let s=r.scan();for(;s===z.Whitespace;)s=r.scan();return s===i}function Dc(e,t,n){for(;t>n&&!_n(e[t-1]);)t--;return t}function Ic(e,t,n){for(;t<n&&!_n(e[t]);)t++;return t}var zc=class{constructor(e,t){this.lsOptions=e,this.dataManager=t}doHover(e,t,n,i){const r=this.convertContents.bind(this),s=this.doesSupportMarkdown(),a=e.offsetAt(t),l=n.findNodeAt(a),o=e.getText();if(!l||!l.tag)return null;const u=this.dataManager.getDataProviders().filter(N=>N.isApplicable(e.languageId));function c(N,b,p){for(const x of u){let U=null;if(x.provideTags().forEach(L=>{if(L.name.toLowerCase()===N.toLowerCase()){let T=Ke(L,i,s);T||(T={kind:s?"markdown":"plaintext",value:""}),U={contents:T,range:b}}}),U)return U.contents=r(U.contents),U}return null}function d(N,b,p){for(const x of u){let U=null;if(x.provideAttributes(N).forEach(L=>{if(b===L.name&&L.description){const T=Ke(L,i,s);T?U={contents:T,range:p}:U=null}}),U)return U.contents=r(U.contents),U}return null}function m(N,b,p,x){for(const U of u){let L=null;if(U.provideValues(N,b).forEach(T=>{if(p===T.name&&T.description){const S=Ke(T,i,s);S?L={contents:S,range:x}:L=null}}),L)return L.contents=r(L.contents),L}return null}function f(N,b){let p=k(N);for(const x in Dt){let U=null;const L="&"+x;if(p===L){let T=Dt[x].charCodeAt(0).toString(16).toUpperCase(),S="U+";if(T.length<4){const A=4-T.length;let R=0;for(;R<A;)S+="0",R+=1}S+=T;const w=Se("Character entity representing '{0}', unicode equivalent '{1}'",Dt[x],S);w?U={contents:w,range:b}:U=null}if(U)return U.contents=r(U.contents),U}return null}function _(N,b){const p=_e(e.getText(),b);let x=p.scan();for(;x!==z.EOS&&(p.getTokenEnd()<a||p.getTokenEnd()===a&&x!==N);)x=p.scan();return x===N&&a<=p.getTokenEnd()?{start:e.positionAt(p.getTokenOffset()),end:e.positionAt(p.getTokenEnd())}:null}function g(){let N=a-1,b=t.character;for(;N>=0&&It(o,N);)N--,b--;let p=N+1,x=b;for(;It(o,p);)p++,x++;if(N>=0&&o[N]==="&"){let U=null;return o[p]===";"?U=$.create(re.create(t.line,b),re.create(t.line,x+1)):U=$.create(re.create(t.line,b),re.create(t.line,x)),U}return null}function k(N){let b=a-1,p="&";for(;b>=0&&It(N,b);)b--;for(b=b+1;It(N,b);)p+=N[b],b+=1;return p+=";",p}if(l.endTagStart&&a>=l.endTagStart){const N=_(z.EndTag,l.endTagStart);return N?c(l.tag,N):null}const y=_(z.StartTag,l.start);if(y)return c(l.tag,y);const v=_(z.AttributeName,l.start);if(v){const N=l.tag,b=e.getText(v);return d(N,b,v)}const E=g();if(E)return f(o,E);function M(N,b){const p=_e(e.getText(),N);let x=p.scan(),U;for(;x!==z.EOS&&p.getTokenEnd()<=b;)x=p.scan(),x===z.AttributeName&&(U=p.getTokenText());return U}const D=_(z.AttributeValue,l.start);if(D){const N=l.tag,b=Uc(e.getText(D)),p=M(l.start,e.offsetAt(D.start));if(p)return m(N,p,b,D)}return null}convertContents(e){if(!this.doesSupportMarkdown()){if(typeof e=="string")return e;if("kind"in e)return{kind:"plaintext",value:e.value};if(Array.isArray(e))e.map(t=>typeof t=="string"?t:t.value);else return e.value}return e}doesSupportMarkdown(){var e,t,n;if(!bn(this.supportsMarkdown)){if(!bn(this.lsOptions.clientCapabilities))return this.supportsMarkdown=!0,this.supportsMarkdown;const i=(n=(t=(e=this.lsOptions.clientCapabilities)==null?void 0:e.textDocument)==null?void 0:t.hover)==null?void 0:n.contentFormat;this.supportsMarkdown=Array.isArray(i)&&i.indexOf(Pe.Markdown)!==-1}return this.supportsMarkdown}};function Uc(e){return e.length<=1?e.replace(/['"]/,""):((e[0]==="'"||e[0]==='"')&&(e=e.slice(1)),(e[e.length-1]==="'"||e[e.length-1]==='"')&&(e=e.slice(0,-1)),e)}function Hc(e,t){return e}var io;(function(){var e=[,,function(r){function s(o){this.__parent=o,this.__character_count=0,this.__indent_count=-1,this.__alignment_count=0,this.__wrap_point_index=0,this.__wrap_point_character_count=0,this.__wrap_point_indent_count=-1,this.__wrap_point_alignment_count=0,this.__items=[]}s.prototype.clone_empty=function(){var o=new s(this.__parent);return o.set_indent(this.__indent_count,this.__alignment_count),o},s.prototype.item=function(o){return o<0?this.__items[this.__items.length+o]:this.__items[o]},s.prototype.has_match=function(o){for(var u=this.__items.length-1;u>=0;u--)if(this.__items[u].match(o))return!0;return!1},s.prototype.set_indent=function(o,u){this.is_empty()&&(this.__indent_count=o||0,this.__alignment_count=u||0,this.__character_count=this.__parent.get_indent_size(this.__indent_count,this.__alignment_count))},s.prototype._set_wrap_point=function(){this.__parent.wrap_line_length&&(this.__wrap_point_index=this.__items.length,this.__wrap_point_character_count=this.__character_count,this.__wrap_point_indent_count=this.__parent.next_line.__indent_count,this.__wrap_point_alignment_count=this.__parent.next_line.__alignment_count)},s.prototype._should_wrap=function(){return this.__wrap_point_index&&this.__character_count>this.__parent.wrap_line_length&&this.__wrap_point_character_count>this.__parent.next_line.__character_count},s.prototype._allow_wrap=function(){if(this._should_wrap()){this.__parent.add_new_line();var o=this.__parent.current_line;return o.set_indent(this.__wrap_point_indent_count,this.__wrap_point_alignment_count),o.__items=this.__items.slice(this.__wrap_point_index),this.__items=this.__items.slice(0,this.__wrap_point_index),o.__character_count+=this.__character_count-this.__wrap_point_character_count,this.__character_count=this.__wrap_point_character_count,o.__items[0]===" "&&(o.__items.splice(0,1),o.__character_count-=1),!0}return!1},s.prototype.is_empty=function(){return this.__items.length===0},s.prototype.last=function(){return this.is_empty()?null:this.__items[this.__items.length-1]},s.prototype.push=function(o){this.__items.push(o);var u=o.lastIndexOf(`
+`);u!==-1?this.__character_count=o.length-u:this.__character_count+=o.length},s.prototype.pop=function(){var o=null;return this.is_empty()||(o=this.__items.pop(),this.__character_count-=o.length),o},s.prototype._remove_indent=function(){this.__indent_count>0&&(this.__indent_count-=1,this.__character_count-=this.__parent.indent_size)},s.prototype._remove_wrap_indent=function(){this.__wrap_point_indent_count>0&&(this.__wrap_point_indent_count-=1)},s.prototype.trim=function(){for(;this.last()===" ";)this.__items.pop(),this.__character_count-=1},s.prototype.toString=function(){var o="";return this.is_empty()?this.__parent.indent_empty_lines&&(o=this.__parent.get_indent_string(this.__indent_count)):(o=this.__parent.get_indent_string(this.__indent_count,this.__alignment_count),o+=this.__items.join("")),o};function a(o,u){this.__cache=[""],this.__indent_size=o.indent_size,this.__indent_string=o.indent_char,o.indent_with_tabs||(this.__indent_string=new Array(o.indent_size+1).join(o.indent_char)),u=u||"",o.indent_level>0&&(u=new Array(o.indent_level+1).join(this.__indent_string)),this.__base_string=u,this.__base_string_length=u.length}a.prototype.get_indent_size=function(o,u){var c=this.__base_string_length;return u=u||0,o<0&&(c=0),c+=o*this.__indent_size,c+=u,c},a.prototype.get_indent_string=function(o,u){var c=this.__base_string;return u=u||0,o<0&&(o=0,c=""),u+=o*this.__indent_size,this.__ensure_cache(u),c+=this.__cache[u],c},a.prototype.__ensure_cache=function(o){for(;o>=this.__cache.length;)this.__add_column()},a.prototype.__add_column=function(){var o=this.__cache.length,u=0,c="";this.__indent_size&&o>=this.__indent_size&&(u=Math.floor(o/this.__indent_size),o-=u*this.__indent_size,c=new Array(u+1).join(this.__indent_string)),o&&(c+=new Array(o+1).join(" ")),this.__cache.push(c)};function l(o,u){this.__indent_cache=new a(o,u),this.raw=!1,this._end_with_newline=o.end_with_newline,this.indent_size=o.indent_size,this.wrap_line_length=o.wrap_line_length,this.indent_empty_lines=o.indent_empty_lines,this.__lines=[],this.previous_line=null,this.current_line=null,this.next_line=new s(this),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=!1,this.__add_outputline()}l.prototype.__add_outputline=function(){this.previous_line=this.current_line,this.current_line=this.next_line.clone_empty(),this.__lines.push(this.current_line)},l.prototype.get_line_number=function(){return this.__lines.length},l.prototype.get_indent_string=function(o,u){return this.__indent_cache.get_indent_string(o,u)},l.prototype.get_indent_size=function(o,u){return this.__indent_cache.get_indent_size(o,u)},l.prototype.is_empty=function(){return!this.previous_line&&this.current_line.is_empty()},l.prototype.add_new_line=function(o){return this.is_empty()||!o&&this.just_added_newline()?!1:(this.raw||this.__add_outputline(),!0)},l.prototype.get_code=function(o){this.trim(!0);var u=this.current_line.pop();u&&(u[u.length-1]===`
+`&&(u=u.replace(/\n+$/g,"")),this.current_line.push(u)),this._end_with_newline&&this.__add_outputline();var c=this.__lines.join(`
+`);return o!==`
+`&&(c=c.replace(/[\n]/g,o)),c},l.prototype.set_wrap_point=function(){this.current_line._set_wrap_point()},l.prototype.set_indent=function(o,u){return o=o||0,u=u||0,this.next_line.set_indent(o,u),this.__lines.length>1?(this.current_line.set_indent(o,u),!0):(this.current_line.set_indent(),!1)},l.prototype.add_raw_token=function(o){for(var u=0;u<o.newlines;u++)this.__add_outputline();this.current_line.set_indent(-1),this.current_line.push(o.whitespace_before),this.current_line.push(o.text),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=!1},l.prototype.add_token=function(o){this.__add_space_before_token(),this.current_line.push(o),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=this.current_line._allow_wrap()},l.prototype.__add_space_before_token=function(){this.space_before_token&&!this.just_added_newline()&&(this.non_breaking_space||this.set_wrap_point(),this.current_line.push(" "))},l.prototype.remove_indent=function(o){for(var u=this.__lines.length;o<u;)this.__lines[o]._remove_indent(),o++;this.current_line._remove_wrap_indent()},l.prototype.trim=function(o){for(o=o===void 0?!1:o,this.current_line.trim();o&&this.__lines.length>1&&this.current_line.is_empty();)this.__lines.pop(),this.current_line=this.__lines[this.__lines.length-1],this.current_line.trim();this.previous_line=this.__lines.length>1?this.__lines[this.__lines.length-2]:null},l.prototype.just_added_newline=function(){return this.current_line.is_empty()},l.prototype.just_added_blankline=function(){return this.is_empty()||this.current_line.is_empty()&&this.previous_line.is_empty()},l.prototype.ensure_empty_line_above=function(o,u){for(var c=this.__lines.length-2;c>=0;){var d=this.__lines[c];if(d.is_empty())break;if(d.item(0).indexOf(o)!==0&&d.item(-1)!==u){this.__lines.splice(c+1,0,new s(this)),this.previous_line=this.__lines[this.__lines.length-2];break}c--}},r.exports.Output=l},,,,function(r){function s(o,u){this.raw_options=a(o,u),this.disabled=this._get_boolean("disabled"),this.eol=this._get_characters("eol","auto"),this.end_with_newline=this._get_boolean("end_with_newline"),this.indent_size=this._get_number("indent_size",4),this.indent_char=this._get_characters("indent_char"," "),this.indent_level=this._get_number("indent_level"),this.preserve_newlines=this._get_boolean("preserve_newlines",!0),this.max_preserve_newlines=this._get_number("max_preserve_newlines",32786),this.preserve_newlines||(this.max_preserve_newlines=0),this.indent_with_tabs=this._get_boolean("indent_with_tabs",this.indent_char===" "),this.indent_with_tabs&&(this.indent_char=" ",this.indent_size===1&&(this.indent_size=4)),this.wrap_line_length=this._get_number("wrap_line_length",this._get_number("max_char")),this.indent_empty_lines=this._get_boolean("indent_empty_lines"),this.templating=this._get_selection_list("templating",["auto","none","angular","django","erb","handlebars","php","smarty"],["auto"])}s.prototype._get_array=function(o,u){var c=this.raw_options[o],d=u||[];return typeof c=="object"?c!==null&&typeof c.concat=="function"&&(d=c.concat()):typeof c=="string"&&(d=c.split(/[^a-zA-Z0-9_\/\-]+/)),d},s.prototype._get_boolean=function(o,u){var c=this.raw_options[o],d=c===void 0?!!u:!!c;return d},s.prototype._get_characters=function(o,u){var c=this.raw_options[o],d=u||"";return typeof c=="string"&&(d=c.replace(/\\r/,"\r").replace(/\\n/,`
+`).replace(/\\t/," ")),d},s.prototype._get_number=function(o,u){var c=this.raw_options[o];u=parseInt(u,10),isNaN(u)&&(u=0);var d=parseInt(c,10);return isNaN(d)&&(d=u),d},s.prototype._get_selection=function(o,u,c){var d=this._get_selection_list(o,u,c);if(d.length!==1)throw new Error("Invalid Option Value: The option '"+o+`' can only be one of the following values:
+`+u+`
+You passed in: '`+this.raw_options[o]+"'");return d[0]},s.prototype._get_selection_list=function(o,u,c){if(!u||u.length===0)throw new Error("Selection list cannot be empty.");if(c=c||[u[0]],!this._is_valid_selection(c,u))throw new Error("Invalid Default Value!");var d=this._get_array(o,c);if(!this._is_valid_selection(d,u))throw new Error("Invalid Option Value: The option '"+o+`' can contain only the following values:
+`+u+`
+You passed in: '`+this.raw_options[o]+"'");return d},s.prototype._is_valid_selection=function(o,u){return o.length&&u.length&&!o.some(function(c){return u.indexOf(c)===-1})};function a(o,u){var c={};o=l(o);var d;for(d in o)d!==u&&(c[d]=o[d]);if(u&&o[u])for(d in o[u])c[d]=o[u][d];return c}function l(o){var u={},c;for(c in o){var d=c.replace(/-/g,"_");u[d]=o[c]}return u}r.exports.Options=s,r.exports.normalizeOpts=l,r.exports.mergeOpts=a},,function(r){var s=RegExp.prototype.hasOwnProperty("sticky");function a(l){this.__input=l||"",this.__input_length=this.__input.length,this.__position=0}a.prototype.restart=function(){this.__position=0},a.prototype.back=function(){this.__position>0&&(this.__position-=1)},a.prototype.hasNext=function(){return this.__position<this.__input_length},a.prototype.next=function(){var l=null;return this.hasNext()&&(l=this.__input.charAt(this.__position),this.__position+=1),l},a.prototype.peek=function(l){var o=null;return l=l||0,l+=this.__position,l>=0&&l<this.__input_length&&(o=this.__input.charAt(l)),o},a.prototype.__match=function(l,o){l.lastIndex=o;var u=l.exec(this.__input);return u&&!(s&&l.sticky)&&u.index!==o&&(u=null),u},a.prototype.test=function(l,o){return o=o||0,o+=this.__position,o>=0&&o<this.__input_length?!!this.__match(l,o):!1},a.prototype.testChar=function(l,o){var u=this.peek(o);return l.lastIndex=0,u!==null&&l.test(u)},a.prototype.match=function(l){var o=this.__match(l,this.__position);return o?this.__position+=o[0].length:o=null,o},a.prototype.read=function(l,o,u){var c="",d;return l&&(d=this.match(l),d&&(c+=d[0])),o&&(d||!l)&&(c+=this.readUntil(o,u)),c},a.prototype.readUntil=function(l,o){var u="",c=this.__position;l.lastIndex=this.__position;var d=l.exec(this.__input);return d?(c=d.index,o&&(c+=d[0].length)):c=this.__input_length,u=this.__input.substring(this.__position,c),this.__position=c,u},a.prototype.readUntilAfter=function(l){return this.readUntil(l,!0)},a.prototype.get_regexp=function(l,o){var u=null,c="g";return o&&s&&(c="y"),typeof l=="string"&&l!==""?u=new RegExp(l,c):l&&(u=new RegExp(l.source,c)),u},a.prototype.get_literal_regexp=function(l){return RegExp(l.replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&"))},a.prototype.peekUntilAfter=function(l){var o=this.__position,u=this.readUntilAfter(l);return this.__position=o,u},a.prototype.lookBack=function(l){var o=this.__position-1;return o>=l.length&&this.__input.substring(o-l.length,o).toLowerCase()===l},r.exports.InputScanner=a},,,,,function(r){function s(a,l){a=typeof a=="string"?a:a.source,l=typeof l=="string"?l:l.source,this.__directives_block_pattern=new RegExp(a+/ beautify( \w+[:]\w+)+ /.source+l,"g"),this.__directive_pattern=/ (\w+)[:](\w+)/g,this.__directives_end_ignore_pattern=new RegExp(a+/\sbeautify\signore:end\s/.source+l,"g")}s.prototype.get_directives=function(a){if(!a.match(this.__directives_block_pattern))return null;var l={};this.__directive_pattern.lastIndex=0;for(var o=this.__directive_pattern.exec(a);o;)l[o[1]]=o[2],o=this.__directive_pattern.exec(a);return l},s.prototype.readIgnored=function(a){return a.readUntilAfter(this.__directives_end_ignore_pattern)},r.exports.Directives=s},,function(r,s,a){var l=a(16).Beautifier,o=a(17).Options;function u(c,d){var m=new l(c,d);return m.beautify()}r.exports=u,r.exports.defaultOptions=function(){return new o}},function(r,s,a){var l=a(17).Options,o=a(2).Output,u=a(8).InputScanner,c=a(13).Directives,d=new c(/\/\*/,/\*\//),m=/\r\n|[\r\n]/,f=/\r\n|[\r\n]/g,_=/\s/,g=/(?:\s|\n)+/g,k=/\/\*(?:[\s\S]*?)((?:\*\/)|$)/g,y=/\/\/(?:[^\n\r\u2028\u2029]*)/g;function v(E,M){this._source_text=E||"",this._options=new l(M),this._ch=null,this._input=null,this.NESTED_AT_RULE={page:!0,"font-face":!0,keyframes:!0,media:!0,supports:!0,document:!0},this.CONDITIONAL_GROUP_RULE={media:!0,supports:!0,document:!0},this.NON_SEMICOLON_NEWLINE_PROPERTY=["grid-template-areas","grid-template"]}v.prototype.eatString=function(E){var M="";for(this._ch=this._input.next();this._ch;){if(M+=this._ch,this._ch==="\\")M+=this._input.next();else if(E.indexOf(this._ch)!==-1||this._ch===`
+`)break;this._ch=this._input.next()}return M},v.prototype.eatWhitespace=function(E){for(var M=_.test(this._input.peek()),D=0;_.test(this._input.peek());)this._ch=this._input.next(),E&&this._ch===`
+`&&(D===0||D<this._options.max_preserve_newlines)&&(D++,this._output.add_new_line(!0));return M},v.prototype.foundNestedPseudoClass=function(){for(var E=0,M=1,D=this._input.peek(M);D;){if(D==="{")return!0;if(D==="(")E+=1;else if(D===")"){if(E===0)return!1;E-=1}else if(D===";"||D==="}")return!1;M++,D=this._input.peek(M)}return!1},v.prototype.print_string=function(E){this._output.set_indent(this._indentLevel),this._output.non_breaking_space=!0,this._output.add_token(E)},v.prototype.preserveSingleSpace=function(E){E&&(this._output.space_before_token=!0)},v.prototype.indent=function(){this._indentLevel++},v.prototype.outdent=function(){this._indentLevel>0&&this._indentLevel--},v.prototype.beautify=function(){if(this._options.disabled)return this._source_text;var E=this._source_text,M=this._options.eol;M==="auto"&&(M=`
+`,E&&m.test(E||"")&&(M=E.match(m)[0])),E=E.replace(f,`
+`);var D=E.match(/^[\t ]*/)[0];this._output=new o(this._options,D),this._input=new u(E),this._indentLevel=0,this._nestedLevel=0,this._ch=null;for(var N=0,b=!1,p=!1,x=!1,U=!1,L=!1,T=this._ch,S=!1,w,A,R;w=this._input.read(g),A=w!=="",R=T,this._ch=this._input.next(),this._ch==="\\"&&this._input.hasNext()&&(this._ch+=this._input.next()),T=this._ch,this._ch;)if(this._ch==="/"&&this._input.peek()==="*"){this._output.add_new_line(),this._input.back();var I=this._input.read(k),F=d.get_directives(I);F&&F.ignore==="start"&&(I+=d.readIgnored(this._input)),this.print_string(I),this.eatWhitespace(!0),this._output.add_new_line()}else if(this._ch==="/"&&this._input.peek()==="/")this._output.space_before_token=!0,this._input.back(),this.print_string(this._input.read(y)),this.eatWhitespace(!0);else if(this._ch==="$"){this.preserveSingleSpace(A),this.print_string(this._ch);var H=this._input.peekUntilAfter(/[: ,;{}()[\]\/='"]/g);H.match(/[ :]$/)&&(H=this.eatString(": ").replace(/\s+$/,""),this.print_string(H),this._output.space_before_token=!0),N===0&&H.indexOf(":")!==-1&&(p=!0,this.indent())}else if(this._ch==="@")if(this.preserveSingleSpace(A),this._input.peek()==="{")this.print_string(this._ch+this.eatString("}"));else{this.print_string(this._ch);var W=this._input.peekUntilAfter(/[: ,;{}()[\]\/='"]/g);W.match(/[ :]$/)&&(W=this.eatString(": ").replace(/\s+$/,""),this.print_string(W),this._output.space_before_token=!0),N===0&&W.indexOf(":")!==-1?(p=!0,this.indent()):W in this.NESTED_AT_RULE?(this._nestedLevel+=1,W in this.CONDITIONAL_GROUP_RULE&&(x=!0)):N===0&&!p&&(U=!0)}else if(this._ch==="#"&&this._input.peek()==="{")this.preserveSingleSpace(A),this.print_string(this._ch+this.eatString("}"));else if(this._ch==="{")p&&(p=!1,this.outdent()),U=!1,x?(x=!1,b=this._indentLevel>=this._nestedLevel):b=this._indentLevel>=this._nestedLevel-1,this._options.newline_between_rules&&b&&this._output.previous_line&&this._output.previous_line.item(-1)!=="{"&&this._output.ensure_empty_line_above("/",","),this._output.space_before_token=!0,this._options.brace_style==="expand"?(this._output.add_new_line(),this.print_string(this._ch),this.indent(),this._output.set_indent(this._indentLevel)):(R==="("?this._output.space_before_token=!1:R!==","&&this.indent(),this.print_string(this._ch)),this.eatWhitespace(!0),this._output.add_new_line();else if(this._ch==="}")this.outdent(),this._output.add_new_line(),R==="{"&&this._output.trim(!0),p&&(this.outdent(),p=!1),this.print_string(this._ch),b=!1,this._nestedLevel&&this._nestedLevel--,this.eatWhitespace(!0),this._output.add_new_line(),this._options.newline_between_rules&&!this._output.just_added_blankline()&&this._input.peek()!=="}"&&this._output.add_new_line(!0),this._input.peek()===")"&&(this._output.trim(!0),this._options.brace_style==="expand"&&this._output.add_new_line(!0));else if(this._ch===":"){for(var q=0;q<this.NON_SEMICOLON_NEWLINE_PROPERTY.length;q++)if(this._input.lookBack(this.NON_SEMICOLON_NEWLINE_PROPERTY[q])){S=!0;break}(b||x)&&!(this._input.lookBack("&")||this.foundNestedPseudoClass())&&!this._input.lookBack("(")&&!U&&N===0?(this.print_string(":"),p||(p=!0,this._output.space_before_token=!0,this.eatWhitespace(!0),this.indent())):(this._input.lookBack(" ")&&(this._output.space_before_token=!0),this._input.peek()===":"?(this._ch=this._input.next(),this.print_string("::")):this.print_string(":"))}else if(this._ch==='"'||this._ch==="'"){var J=R==='"'||R==="'";this.preserveSingleSpace(J||A),this.print_string(this._ch+this.eatString(this._ch)),this.eatWhitespace(!0)}else if(this._ch===";")S=!1,N===0?(p&&(this.outdent(),p=!1),U=!1,this.print_string(this._ch),this.eatWhitespace(!0),this._input.peek()!=="/"&&this._output.add_new_line()):(this.print_string(this._ch),this.eatWhitespace(!0),this._output.space_before_token=!0);else if(this._ch==="(")if(this._input.lookBack("url"))this.print_string(this._ch),this.eatWhitespace(),N++,this.indent(),this._ch=this._input.next(),this._ch===")"||this._ch==='"'||this._ch==="'"?this._input.back():this._ch&&(this.print_string(this._ch+this.eatString(")")),N&&(N--,this.outdent()));else{var oe=!1;this._input.lookBack("with")&&(oe=!0),this.preserveSingleSpace(A||oe),this.print_string(this._ch),p&&R==="$"&&this._options.selector_separator_newline?(this._output.add_new_line(),L=!0):(this.eatWhitespace(),N++,this.indent())}else if(this._ch===")")N&&(N--,this.outdent()),L&&this._input.peek()===";"&&this._options.selector_separator_newline&&(L=!1,this.outdent(),this._output.add_new_line()),this.print_string(this._ch);else if(this._ch===",")this.print_string(this._ch),this.eatWhitespace(!0),this._options.selector_separator_newline&&(!p||L)&&N===0&&!U?this._output.add_new_line():this._output.space_before_token=!0;else if((this._ch===">"||this._ch==="+"||this._ch==="~")&&!p&&N===0)this._options.space_around_combinator?(this._output.space_before_token=!0,this.print_string(this._ch),this._output.space_before_token=!0):(this.print_string(this._ch),this.eatWhitespace(),this._ch&&_.test(this._ch)&&(this._ch=""));else if(this._ch==="]")this.print_string(this._ch);else if(this._ch==="[")this.preserveSingleSpace(A),this.print_string(this._ch);else if(this._ch==="=")this.eatWhitespace(),this.print_string("="),_.test(this._ch)&&(this._ch="");else if(this._ch==="!"&&!this._input.lookBack("\\"))this._output.space_before_token=!0,this.print_string(this._ch);else{var pe=R==='"'||R==="'";this.preserveSingleSpace(pe||A),this.print_string(this._ch),!this._output.just_added_newline()&&this._input.peek()===`
+`&&S&&this._output.add_new_line()}var Hi=this._output.get_code(M);return Hi},r.exports.Beautifier=v},function(r,s,a){var l=a(6).Options;function o(u){l.call(this,u,"css"),this.selector_separator_newline=this._get_boolean("selector_separator_newline",!0),this.newline_between_rules=this._get_boolean("newline_between_rules",!0);var c=this._get_boolean("space_around_selector_separator");this.space_around_combinator=this._get_boolean("space_around_combinator")||c;var d=this._get_selection_list("brace_style",["collapse","expand","end-expand","none","preserve-inline"]);this.brace_style="collapse";for(var m=0;m<d.length;m++)d[m]!=="expand"?this.brace_style="collapse":this.brace_style=d[m]}o.prototype=new l,r.exports.Options=o}],t={};function n(r){var s=t[r];if(s!==void 0)return s.exports;var a=t[r]={exports:{}};return e[r](a,a.exports,n),a.exports}var i=n(15);io=i})();var Wc=io,ro;(function(){var e=[,,function(r){function s(o){this.__parent=o,this.__character_count=0,this.__indent_count=-1,this.__alignment_count=0,this.__wrap_point_index=0,this.__wrap_point_character_count=0,this.__wrap_point_indent_count=-1,this.__wrap_point_alignment_count=0,this.__items=[]}s.prototype.clone_empty=function(){var o=new s(this.__parent);return o.set_indent(this.__indent_count,this.__alignment_count),o},s.prototype.item=function(o){return o<0?this.__items[this.__items.length+o]:this.__items[o]},s.prototype.has_match=function(o){for(var u=this.__items.length-1;u>=0;u--)if(this.__items[u].match(o))return!0;return!1},s.prototype.set_indent=function(o,u){this.is_empty()&&(this.__indent_count=o||0,this.__alignment_count=u||0,this.__character_count=this.__parent.get_indent_size(this.__indent_count,this.__alignment_count))},s.prototype._set_wrap_point=function(){this.__parent.wrap_line_length&&(this.__wrap_point_index=this.__items.length,this.__wrap_point_character_count=this.__character_count,this.__wrap_point_indent_count=this.__parent.next_line.__indent_count,this.__wrap_point_alignment_count=this.__parent.next_line.__alignment_count)},s.prototype._should_wrap=function(){return this.__wrap_point_index&&this.__character_count>this.__parent.wrap_line_length&&this.__wrap_point_character_count>this.__parent.next_line.__character_count},s.prototype._allow_wrap=function(){if(this._should_wrap()){this.__parent.add_new_line();var o=this.__parent.current_line;return o.set_indent(this.__wrap_point_indent_count,this.__wrap_point_alignment_count),o.__items=this.__items.slice(this.__wrap_point_index),this.__items=this.__items.slice(0,this.__wrap_point_index),o.__character_count+=this.__character_count-this.__wrap_point_character_count,this.__character_count=this.__wrap_point_character_count,o.__items[0]===" "&&(o.__items.splice(0,1),o.__character_count-=1),!0}return!1},s.prototype.is_empty=function(){return this.__items.length===0},s.prototype.last=function(){return this.is_empty()?null:this.__items[this.__items.length-1]},s.prototype.push=function(o){this.__items.push(o);var u=o.lastIndexOf(`
+`);u!==-1?this.__character_count=o.length-u:this.__character_count+=o.length},s.prototype.pop=function(){var o=null;return this.is_empty()||(o=this.__items.pop(),this.__character_count-=o.length),o},s.prototype._remove_indent=function(){this.__indent_count>0&&(this.__indent_count-=1,this.__character_count-=this.__parent.indent_size)},s.prototype._remove_wrap_indent=function(){this.__wrap_point_indent_count>0&&(this.__wrap_point_indent_count-=1)},s.prototype.trim=function(){for(;this.last()===" ";)this.__items.pop(),this.__character_count-=1},s.prototype.toString=function(){var o="";return this.is_empty()?this.__parent.indent_empty_lines&&(o=this.__parent.get_indent_string(this.__indent_count)):(o=this.__parent.get_indent_string(this.__indent_count,this.__alignment_count),o+=this.__items.join("")),o};function a(o,u){this.__cache=[""],this.__indent_size=o.indent_size,this.__indent_string=o.indent_char,o.indent_with_tabs||(this.__indent_string=new Array(o.indent_size+1).join(o.indent_char)),u=u||"",o.indent_level>0&&(u=new Array(o.indent_level+1).join(this.__indent_string)),this.__base_string=u,this.__base_string_length=u.length}a.prototype.get_indent_size=function(o,u){var c=this.__base_string_length;return u=u||0,o<0&&(c=0),c+=o*this.__indent_size,c+=u,c},a.prototype.get_indent_string=function(o,u){var c=this.__base_string;return u=u||0,o<0&&(o=0,c=""),u+=o*this.__indent_size,this.__ensure_cache(u),c+=this.__cache[u],c},a.prototype.__ensure_cache=function(o){for(;o>=this.__cache.length;)this.__add_column()},a.prototype.__add_column=function(){var o=this.__cache.length,u=0,c="";this.__indent_size&&o>=this.__indent_size&&(u=Math.floor(o/this.__indent_size),o-=u*this.__indent_size,c=new Array(u+1).join(this.__indent_string)),o&&(c+=new Array(o+1).join(" ")),this.__cache.push(c)};function l(o,u){this.__indent_cache=new a(o,u),this.raw=!1,this._end_with_newline=o.end_with_newline,this.indent_size=o.indent_size,this.wrap_line_length=o.wrap_line_length,this.indent_empty_lines=o.indent_empty_lines,this.__lines=[],this.previous_line=null,this.current_line=null,this.next_line=new s(this),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=!1,this.__add_outputline()}l.prototype.__add_outputline=function(){this.previous_line=this.current_line,this.current_line=this.next_line.clone_empty(),this.__lines.push(this.current_line)},l.prototype.get_line_number=function(){return this.__lines.length},l.prototype.get_indent_string=function(o,u){return this.__indent_cache.get_indent_string(o,u)},l.prototype.get_indent_size=function(o,u){return this.__indent_cache.get_indent_size(o,u)},l.prototype.is_empty=function(){return!this.previous_line&&this.current_line.is_empty()},l.prototype.add_new_line=function(o){return this.is_empty()||!o&&this.just_added_newline()?!1:(this.raw||this.__add_outputline(),!0)},l.prototype.get_code=function(o){this.trim(!0);var u=this.current_line.pop();u&&(u[u.length-1]===`
+`&&(u=u.replace(/\n+$/g,"")),this.current_line.push(u)),this._end_with_newline&&this.__add_outputline();var c=this.__lines.join(`
+`);return o!==`
+`&&(c=c.replace(/[\n]/g,o)),c},l.prototype.set_wrap_point=function(){this.current_line._set_wrap_point()},l.prototype.set_indent=function(o,u){return o=o||0,u=u||0,this.next_line.set_indent(o,u),this.__lines.length>1?(this.current_line.set_indent(o,u),!0):(this.current_line.set_indent(),!1)},l.prototype.add_raw_token=function(o){for(var u=0;u<o.newlines;u++)this.__add_outputline();this.current_line.set_indent(-1),this.current_line.push(o.whitespace_before),this.current_line.push(o.text),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=!1},l.prototype.add_token=function(o){this.__add_space_before_token(),this.current_line.push(o),this.space_before_token=!1,this.non_breaking_space=!1,this.previous_token_wrapped=this.current_line._allow_wrap()},l.prototype.__add_space_before_token=function(){this.space_before_token&&!this.just_added_newline()&&(this.non_breaking_space||this.set_wrap_point(),this.current_line.push(" "))},l.prototype.remove_indent=function(o){for(var u=this.__lines.length;o<u;)this.__lines[o]._remove_indent(),o++;this.current_line._remove_wrap_indent()},l.prototype.trim=function(o){for(o=o===void 0?!1:o,this.current_line.trim();o&&this.__lines.length>1&&this.current_line.is_empty();)this.__lines.pop(),this.current_line=this.__lines[this.__lines.length-1],this.current_line.trim();this.previous_line=this.__lines.length>1?this.__lines[this.__lines.length-2]:null},l.prototype.just_added_newline=function(){return this.current_line.is_empty()},l.prototype.just_added_blankline=function(){return this.is_empty()||this.current_line.is_empty()&&this.previous_line.is_empty()},l.prototype.ensure_empty_line_above=function(o,u){for(var c=this.__lines.length-2;c>=0;){var d=this.__lines[c];if(d.is_empty())break;if(d.item(0).indexOf(o)!==0&&d.item(-1)!==u){this.__lines.splice(c+1,0,new s(this)),this.previous_line=this.__lines[this.__lines.length-2];break}c--}},r.exports.Output=l},function(r){function s(a,l,o,u){this.type=a,this.text=l,this.comments_before=null,this.newlines=o||0,this.whitespace_before=u||"",this.parent=null,this.next=null,this.previous=null,this.opened=null,this.closed=null,this.directives=null}r.exports.Token=s},,,function(r){function s(o,u){this.raw_options=a(o,u),this.disabled=this._get_boolean("disabled"),this.eol=this._get_characters("eol","auto"),this.end_with_newline=this._get_boolean("end_with_newline"),this.indent_size=this._get_number("indent_size",4),this.indent_char=this._get_characters("indent_char"," "),this.indent_level=this._get_number("indent_level"),this.preserve_newlines=this._get_boolean("preserve_newlines",!0),this.max_preserve_newlines=this._get_number("max_preserve_newlines",32786),this.preserve_newlines||(this.max_preserve_newlines=0),this.indent_with_tabs=this._get_boolean("indent_with_tabs",this.indent_char===" "),this.indent_with_tabs&&(this.indent_char=" ",this.indent_size===1&&(this.indent_size=4)),this.wrap_line_length=this._get_number("wrap_line_length",this._get_number("max_char")),this.indent_empty_lines=this._get_boolean("indent_empty_lines"),this.templating=this._get_selection_list("templating",["auto","none","angular","django","erb","handlebars","php","smarty"],["auto"])}s.prototype._get_array=function(o,u){var c=this.raw_options[o],d=u||[];return typeof c=="object"?c!==null&&typeof c.concat=="function"&&(d=c.concat()):typeof c=="string"&&(d=c.split(/[^a-zA-Z0-9_\/\-]+/)),d},s.prototype._get_boolean=function(o,u){var c=this.raw_options[o],d=c===void 0?!!u:!!c;return d},s.prototype._get_characters=function(o,u){var c=this.raw_options[o],d=u||"";return typeof c=="string"&&(d=c.replace(/\\r/,"\r").replace(/\\n/,`
+`).replace(/\\t/," ")),d},s.prototype._get_number=function(o,u){var c=this.raw_options[o];u=parseInt(u,10),isNaN(u)&&(u=0);var d=parseInt(c,10);return isNaN(d)&&(d=u),d},s.prototype._get_selection=function(o,u,c){var d=this._get_selection_list(o,u,c);if(d.length!==1)throw new Error("Invalid Option Value: The option '"+o+`' can only be one of the following values:
+`+u+`
+You passed in: '`+this.raw_options[o]+"'");return d[0]},s.prototype._get_selection_list=function(o,u,c){if(!u||u.length===0)throw new Error("Selection list cannot be empty.");if(c=c||[u[0]],!this._is_valid_selection(c,u))throw new Error("Invalid Default Value!");var d=this._get_array(o,c);if(!this._is_valid_selection(d,u))throw new Error("Invalid Option Value: The option '"+o+`' can contain only the following values:
+`+u+`
+You passed in: '`+this.raw_options[o]+"'");return d},s.prototype._is_valid_selection=function(o,u){return o.length&&u.length&&!o.some(function(c){return u.indexOf(c)===-1})};function a(o,u){var c={};o=l(o);var d;for(d in o)d!==u&&(c[d]=o[d]);if(u&&o[u])for(d in o[u])c[d]=o[u][d];return c}function l(o){var u={},c;for(c in o){var d=c.replace(/-/g,"_");u[d]=o[c]}return u}r.exports.Options=s,r.exports.normalizeOpts=l,r.exports.mergeOpts=a},,function(r){var s=RegExp.prototype.hasOwnProperty("sticky");function a(l){this.__input=l||"",this.__input_length=this.__input.length,this.__position=0}a.prototype.restart=function(){this.__position=0},a.prototype.back=function(){this.__position>0&&(this.__position-=1)},a.prototype.hasNext=function(){return this.__position<this.__input_length},a.prototype.next=function(){var l=null;return this.hasNext()&&(l=this.__input.charAt(this.__position),this.__position+=1),l},a.prototype.peek=function(l){var o=null;return l=l||0,l+=this.__position,l>=0&&l<this.__input_length&&(o=this.__input.charAt(l)),o},a.prototype.__match=function(l,o){l.lastIndex=o;var u=l.exec(this.__input);return u&&!(s&&l.sticky)&&u.index!==o&&(u=null),u},a.prototype.test=function(l,o){return o=o||0,o+=this.__position,o>=0&&o<this.__input_length?!!this.__match(l,o):!1},a.prototype.testChar=function(l,o){var u=this.peek(o);return l.lastIndex=0,u!==null&&l.test(u)},a.prototype.match=function(l){var o=this.__match(l,this.__position);return o?this.__position+=o[0].length:o=null,o},a.prototype.read=function(l,o,u){var c="",d;return l&&(d=this.match(l),d&&(c+=d[0])),o&&(d||!l)&&(c+=this.readUntil(o,u)),c},a.prototype.readUntil=function(l,o){var u="",c=this.__position;l.lastIndex=this.__position;var d=l.exec(this.__input);return d?(c=d.index,o&&(c+=d[0].length)):c=this.__input_length,u=this.__input.substring(this.__position,c),this.__position=c,u},a.prototype.readUntilAfter=function(l){return this.readUntil(l,!0)},a.prototype.get_regexp=function(l,o){var u=null,c="g";return o&&s&&(c="y"),typeof l=="string"&&l!==""?u=new RegExp(l,c):l&&(u=new RegExp(l.source,c)),u},a.prototype.get_literal_regexp=function(l){return RegExp(l.replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&"))},a.prototype.peekUntilAfter=function(l){var o=this.__position,u=this.readUntilAfter(l);return this.__position=o,u},a.prototype.lookBack=function(l){var o=this.__position-1;return o>=l.length&&this.__input.substring(o-l.length,o).toLowerCase()===l},r.exports.InputScanner=a},function(r,s,a){var l=a(8).InputScanner,o=a(3).Token,u=a(10).TokenStream,c=a(11).WhitespacePattern,d={START:"TK_START",RAW:"TK_RAW",EOF:"TK_EOF"},m=function(f,_){this._input=new l(f),this._options=_||{},this.__tokens=null,this._patterns={},this._patterns.whitespace=new c(this._input)};m.prototype.tokenize=function(){this._input.restart(),this.__tokens=new u,this._reset();for(var f,_=new o(d.START,""),g=null,k=[],y=new u;_.type!==d.EOF;){for(f=this._get_next_token(_,g);this._is_comment(f);)y.add(f),f=this._get_next_token(_,g);y.isEmpty()||(f.comments_before=y,y=new u),f.parent=g,this._is_opening(f)?(k.push(g),g=f):g&&this._is_closing(f,g)&&(f.opened=g,g.closed=f,g=k.pop(),f.parent=g),f.previous=_,_.next=f,this.__tokens.add(f),_=f}return this.__tokens},m.prototype._is_first_token=function(){return this.__tokens.isEmpty()},m.prototype._reset=function(){},m.prototype._get_next_token=function(f,_){this._readWhitespace();var g=this._input.read(/.+/g);return g?this._create_token(d.RAW,g):this._create_token(d.EOF,"")},m.prototype._is_comment=function(f){return!1},m.prototype._is_opening=function(f){return!1},m.prototype._is_closing=function(f,_){return!1},m.prototype._create_token=function(f,_){var g=new o(f,_,this._patterns.whitespace.newline_count,this._patterns.whitespace.whitespace_before_token);return g},m.prototype._readWhitespace=function(){return this._patterns.whitespace.read()},r.exports.Tokenizer=m,r.exports.TOKEN=d},function(r){function s(a){this.__tokens=[],this.__tokens_length=this.__tokens.length,this.__position=0,this.__parent_token=a}s.prototype.restart=function(){this.__position=0},s.prototype.isEmpty=function(){return this.__tokens_length===0},s.prototype.hasNext=function(){return this.__position<this.__tokens_length},s.prototype.next=function(){var a=null;return this.hasNext()&&(a=this.__tokens[this.__position],this.__position+=1),a},s.prototype.peek=function(a){var l=null;return a=a||0,a+=this.__position,a>=0&&a<this.__tokens_length&&(l=this.__tokens[a]),l},s.prototype.add=function(a){this.__parent_token&&(a.parent=this.__parent_token),this.__tokens.push(a),this.__tokens_length+=1},r.exports.TokenStream=s},function(r,s,a){var l=a(12).Pattern;function o(u,c){l.call(this,u,c),c?this._line_regexp=this._input.get_regexp(c._line_regexp):this.__set_whitespace_patterns("",""),this.newline_count=0,this.whitespace_before_token=""}o.prototype=new l,o.prototype.__set_whitespace_patterns=function(u,c){u+="\\t ",c+="\\n\\r",this._match_pattern=this._input.get_regexp("["+u+c+"]+",!0),this._newline_regexp=this._input.get_regexp("\\r\\n|["+c+"]")},o.prototype.read=function(){this.newline_count=0,this.whitespace_before_token="";var u=this._input.read(this._match_pattern);if(u===" ")this.whitespace_before_token=" ";else if(u){var c=this.__split(this._newline_regexp,u);this.newline_count=c.length-1,this.whitespace_before_token=c[this.newline_count]}return u},o.prototype.matching=function(u,c){var d=this._create();return d.__set_whitespace_patterns(u,c),d._update(),d},o.prototype._create=function(){return new o(this._input,this)},o.prototype.__split=function(u,c){u.lastIndex=0;for(var d=0,m=[],f=u.exec(c);f;)m.push(c.substring(d,f.index)),d=f.index+f[0].length,f=u.exec(c);return d<c.length?m.push(c.substring(d,c.length)):m.push(""),m},r.exports.WhitespacePattern=o},function(r){function s(a,l){this._input=a,this._starting_pattern=null,this._match_pattern=null,this._until_pattern=null,this._until_after=!1,l&&(this._starting_pattern=this._input.get_regexp(l._starting_pattern,!0),this._match_pattern=this._input.get_regexp(l._match_pattern,!0),this._until_pattern=this._input.get_regexp(l._until_pattern),this._until_after=l._until_after)}s.prototype.read=function(){var a=this._input.read(this._starting_pattern);return(!this._starting_pattern||a)&&(a+=this._input.read(this._match_pattern,this._until_pattern,this._until_after)),a},s.prototype.read_match=function(){return this._input.match(this._match_pattern)},s.prototype.until_after=function(a){var l=this._create();return l._until_after=!0,l._until_pattern=this._input.get_regexp(a),l._update(),l},s.prototype.until=function(a){var l=this._create();return l._until_after=!1,l._until_pattern=this._input.get_regexp(a),l._update(),l},s.prototype.starting_with=function(a){var l=this._create();return l._starting_pattern=this._input.get_regexp(a,!0),l._update(),l},s.prototype.matching=function(a){var l=this._create();return l._match_pattern=this._input.get_regexp(a,!0),l._update(),l},s.prototype._create=function(){return new s(this._input,this)},s.prototype._update=function(){},r.exports.Pattern=s},function(r){function s(a,l){a=typeof a=="string"?a:a.source,l=typeof l=="string"?l:l.source,this.__directives_block_pattern=new RegExp(a+/ beautify( \w+[:]\w+)+ /.source+l,"g"),this.__directive_pattern=/ (\w+)[:](\w+)/g,this.__directives_end_ignore_pattern=new RegExp(a+/\sbeautify\signore:end\s/.source+l,"g")}s.prototype.get_directives=function(a){if(!a.match(this.__directives_block_pattern))return null;var l={};this.__directive_pattern.lastIndex=0;for(var o=this.__directive_pattern.exec(a);o;)l[o[1]]=o[2],o=this.__directive_pattern.exec(a);return l},s.prototype.readIgnored=function(a){return a.readUntilAfter(this.__directives_end_ignore_pattern)},r.exports.Directives=s},function(r,s,a){var l=a(12).Pattern,o={django:!1,erb:!1,handlebars:!1,php:!1,smarty:!1,angular:!1};function u(c,d){l.call(this,c,d),this.__template_pattern=null,this._disabled=Object.assign({},o),this._excluded=Object.assign({},o),d&&(this.__template_pattern=this._input.get_regexp(d.__template_pattern),this._excluded=Object.assign(this._excluded,d._excluded),this._disabled=Object.assign(this._disabled,d._disabled));var m=new l(c);this.__patterns={handlebars_comment:m.starting_with(/{{!--/).until_after(/--}}/),handlebars_unescaped:m.starting_with(/{{{/).until_after(/}}}/),handlebars:m.starting_with(/{{/).until_after(/}}/),php:m.starting_with(/<\?(?:[= ]|php)/).until_after(/\?>/),erb:m.starting_with(/<%[^%]/).until_after(/[^%]%>/),django:m.starting_with(/{%/).until_after(/%}/),django_value:m.starting_with(/{{/).until_after(/}}/),django_comment:m.starting_with(/{#/).until_after(/#}/),smarty:m.starting_with(/{(?=[^}{\s\n])/).until_after(/[^\s\n]}/),smarty_comment:m.starting_with(/{\*/).until_after(/\*}/),smarty_literal:m.starting_with(/{literal}/).until_after(/{\/literal}/)}}u.prototype=new l,u.prototype._create=function(){return new u(this._input,this)},u.prototype._update=function(){this.__set_templated_pattern()},u.prototype.disable=function(c){var d=this._create();return d._disabled[c]=!0,d._update(),d},u.prototype.read_options=function(c){var d=this._create();for(var m in o)d._disabled[m]=c.templating.indexOf(m)===-1;return d._update(),d},u.prototype.exclude=function(c){var d=this._create();return d._excluded[c]=!0,d._update(),d},u.prototype.read=function(){var c="";this._match_pattern?c=this._input.read(this._starting_pattern):c=this._input.read(this._starting_pattern,this.__template_pattern);for(var d=this._read_template();d;)this._match_pattern?d+=this._input.read(this._match_pattern):d+=this._input.readUntil(this.__template_pattern),c+=d,d=this._read_template();return this._until_after&&(c+=this._input.readUntilAfter(this._until_pattern)),c},u.prototype.__set_templated_pattern=function(){var c=[];this._disabled.php||c.push(this.__patterns.php._starting_pattern.source),this._disabled.handlebars||c.push(this.__patterns.handlebars._starting_pattern.source),this._disabled.erb||c.push(this.__patterns.erb._starting_pattern.source),this._disabled.django||(c.push(this.__patterns.django._starting_pattern.source),c.push(this.__patterns.django_value._starting_pattern.source),c.push(this.__patterns.django_comment._starting_pattern.source)),this._disabled.smarty||c.push(this.__patterns.smarty._starting_pattern.source),this._until_pattern&&c.push(this._until_pattern.source),this.__template_pattern=this._input.get_regexp("(?:"+c.join("|")+")")},u.prototype._read_template=function(){var c="",d=this._input.peek();if(d==="<"){var m=this._input.peek(1);!this._disabled.php&&!this._excluded.php&&m==="?"&&(c=c||this.__patterns.php.read()),!this._disabled.erb&&!this._excluded.erb&&m==="%"&&(c=c||this.__patterns.erb.read())}else d==="{"&&(!this._disabled.handlebars&&!this._excluded.handlebars&&(c=c||this.__patterns.handlebars_comment.read(),c=c||this.__patterns.handlebars_unescaped.read(),c=c||this.__patterns.handlebars.read()),this._disabled.django||(!this._excluded.django&&!this._excluded.handlebars&&(c=c||this.__patterns.django_value.read()),this._excluded.django||(c=c||this.__patterns.django_comment.read(),c=c||this.__patterns.django.read())),this._disabled.smarty||this._disabled.django&&this._disabled.handlebars&&(c=c||this.__patterns.smarty_comment.read(),c=c||this.__patterns.smarty_literal.read(),c=c||this.__patterns.smarty.read()));return c},r.exports.TemplatablePattern=u},,,,function(r,s,a){var l=a(19).Beautifier,o=a(20).Options;function u(c,d,m,f){var _=new l(c,d,m,f);return _.beautify()}r.exports=u,r.exports.defaultOptions=function(){return new o}},function(r,s,a){var l=a(20).Options,o=a(2).Output,u=a(21).Tokenizer,c=a(21).TOKEN,d=/\r\n|[\r\n]/,m=/\r\n|[\r\n]/g,f=function(b,p){this.indent_level=0,this.alignment_size=0,this.max_preserve_newlines=b.max_preserve_newlines,this.preserve_newlines=b.preserve_newlines,this._output=new o(b,p)};f.prototype.current_line_has_match=function(b){return this._output.current_line.has_match(b)},f.prototype.set_space_before_token=function(b,p){this._output.space_before_token=b,this._output.non_breaking_space=p},f.prototype.set_wrap_point=function(){this._output.set_indent(this.indent_level,this.alignment_size),this._output.set_wrap_point()},f.prototype.add_raw_token=function(b){this._output.add_raw_token(b)},f.prototype.print_preserved_newlines=function(b){var p=0;b.type!==c.TEXT&&b.previous.type!==c.TEXT&&(p=b.newlines?1:0),this.preserve_newlines&&(p=b.newlines<this.max_preserve_newlines+1?b.newlines:this.max_preserve_newlines+1);for(var x=0;x<p;x++)this.print_newline(x>0);return p!==0},f.prototype.traverse_whitespace=function(b){return b.whitespace_before||b.newlines?(this.print_preserved_newlines(b)||(this._output.space_before_token=!0),!0):!1},f.prototype.previous_token_wrapped=function(){return this._output.previous_token_wrapped},f.prototype.print_newline=function(b){this._output.add_new_line(b)},f.prototype.print_token=function(b){b.text&&(this._output.set_indent(this.indent_level,this.alignment_size),this._output.add_token(b.text))},f.prototype.indent=function(){this.indent_level++},f.prototype.deindent=function(){this.indent_level>0&&(this.indent_level--,this._output.set_indent(this.indent_level,this.alignment_size))},f.prototype.get_full_indent=function(b){return b=this.indent_level+(b||0),b<1?"":this._output.get_indent_string(b)};var _=function(b){for(var p=null,x=b.next;x.type!==c.EOF&&b.closed!==x;){if(x.type===c.ATTRIBUTE&&x.text==="type"){x.next&&x.next.type===c.EQUALS&&x.next.next&&x.next.next.type===c.VALUE&&(p=x.next.next.text);break}x=x.next}return p},g=function(b,p){var x=null,U=null;return p.closed?(b==="script"?x="text/javascript":b==="style"&&(x="text/css"),x=_(p)||x,x.search("text/css")>-1?U="css":x.search(/module|((text|application|dojo)\/(x-)?(javascript|ecmascript|jscript|livescript|(ld\+)?json|method|aspect))/)>-1?U="javascript":x.search(/(text|application|dojo)\/(x-)?(html)/)>-1?U="html":x.search(/test\/null/)>-1&&(U="null"),U):null};function k(b,p){return p.indexOf(b)!==-1}function y(b,p,x){this.parent=b||null,this.tag=p?p.tag_name:"",this.indent_level=x||0,this.parser_token=p||null}function v(b){this._printer=b,this._current_frame=null}v.prototype.get_parser_token=function(){return this._current_frame?this._current_frame.parser_token:null},v.prototype.record_tag=function(b){var p=new y(this._current_frame,b,this._printer.indent_level);this._current_frame=p},v.prototype._try_pop_frame=function(b){var p=null;return b&&(p=b.parser_token,this._printer.indent_level=b.indent_level,this._current_frame=b.parent),p},v.prototype._get_frame=function(b,p){for(var x=this._current_frame;x&&b.indexOf(x.tag)===-1;){if(p&&p.indexOf(x.tag)!==-1){x=null;break}x=x.parent}return x},v.prototype.try_pop=function(b,p){var x=this._get_frame([b],p);return this._try_pop_frame(x)},v.prototype.indent_to_tag=function(b){var p=this._get_frame(b);p&&(this._printer.indent_level=p.indent_level)};function E(b,p,x,U){this._source_text=b||"",p=p||{},this._js_beautify=x,this._css_beautify=U,this._tag_stack=null;var L=new l(p,"html");this._options=L,this._is_wrap_attributes_force=this._options.wrap_attributes.substr(0,5)==="force",this._is_wrap_attributes_force_expand_multiline=this._options.wrap_attributes==="force-expand-multiline",this._is_wrap_attributes_force_aligned=this._options.wrap_attributes==="force-aligned",this._is_wrap_attributes_aligned_multiple=this._options.wrap_attributes==="aligned-multiple",this._is_wrap_attributes_preserve=this._options.wrap_attributes.substr(0,8)==="preserve",this._is_wrap_attributes_preserve_aligned=this._options.wrap_attributes==="preserve-aligned"}E.prototype.beautify=function(){if(this._options.disabled)return this._source_text;var b=this._source_text,p=this._options.eol;this._options.eol==="auto"&&(p=`
+`,b&&d.test(b)&&(p=b.match(d)[0])),b=b.replace(m,`
+`);var x=b.match(/^[\t ]*/)[0],U={text:"",type:""},L=new M,T=new f(this._options,x),S=new u(b,this._options).tokenize();this._tag_stack=new v(T);for(var w=null,A=S.next();A.type!==c.EOF;)A.type===c.TAG_OPEN||A.type===c.COMMENT?(w=this._handle_tag_open(T,A,L,U,S),L=w):A.type===c.ATTRIBUTE||A.type===c.EQUALS||A.type===c.VALUE||A.type===c.TEXT&&!L.tag_complete?w=this._handle_inside_tag(T,A,L,U):A.type===c.TAG_CLOSE?w=this._handle_tag_close(T,A,L):A.type===c.TEXT?w=this._handle_text(T,A,L):A.type===c.CONTROL_FLOW_OPEN?w=this._handle_control_flow_open(T,A):A.type===c.CONTROL_FLOW_CLOSE?w=this._handle_control_flow_close(T,A):T.add_raw_token(A),U=w,A=S.next();var R=T._output.get_code(p);return R},E.prototype._handle_control_flow_open=function(b,p){var x={text:p.text,type:p.type};return b.set_space_before_token(p.newlines||p.whitespace_before!=="",!0),p.newlines?b.print_preserved_newlines(p):b.set_space_before_token(p.newlines||p.whitespace_before!=="",!0),b.print_token(p),b.indent(),x},E.prototype._handle_control_flow_close=function(b,p){var x={text:p.text,type:p.type};return b.deindent(),p.newlines?b.print_preserved_newlines(p):b.set_space_before_token(p.newlines||p.whitespace_before!=="",!0),b.print_token(p),x},E.prototype._handle_tag_close=function(b,p,x){var U={text:p.text,type:p.type};return b.alignment_size=0,x.tag_complete=!0,b.set_space_before_token(p.newlines||p.whitespace_before!=="",!0),x.is_unformatted?b.add_raw_token(p):(x.tag_start_char==="<"&&(b.set_space_before_token(p.text[0]==="/",!0),this._is_wrap_attributes_force_expand_multiline&&x.has_wrapped_attrs&&b.print_newline(!1)),b.print_token(p)),x.indent_content&&!(x.is_unformatted||x.is_content_unformatted)&&(b.indent(),x.indent_content=!1),!x.is_inline_element&&!(x.is_unformatted||x.is_content_unformatted)&&b.set_wrap_point(),U},E.prototype._handle_inside_tag=function(b,p,x,U){var L=x.has_wrapped_attrs,T={text:p.text,type:p.type};return b.set_space_before_token(p.newlines||p.whitespace_before!=="",!0),x.is_unformatted?b.add_raw_token(p):x.tag_start_char==="{"&&p.type===c.TEXT?b.print_preserved_newlines(p)?(p.newlines=0,b.add_raw_token(p)):b.print_token(p):(p.type===c.ATTRIBUTE?b.set_space_before_token(!0):(p.type===c.EQUALS||p.type===c.VALUE&&p.previous.type===c.EQUALS)&&b.set_space_before_token(!1),p.type===c.ATTRIBUTE&&x.tag_start_char==="<"&&((this._is_wrap_attributes_preserve||this._is_wrap_attributes_preserve_aligned)&&(b.traverse_whitespace(p),L=L||p.newlines!==0),this._is_wrap_attributes_force&&x.attr_count>=this._options.wrap_attributes_min_attrs&&(U.type!==c.TAG_OPEN||this._is_wrap_attributes_force_expand_multiline)&&(b.print_newline(!1),L=!0)),b.print_token(p),L=L||b.previous_token_wrapped(),x.has_wrapped_attrs=L),T},E.prototype._handle_text=function(b,p,x){var U={text:p.text,type:"TK_CONTENT"};return x.custom_beautifier_name?this._print_custom_beatifier_text(b,p,x):x.is_unformatted||x.is_content_unformatted?b.add_raw_token(p):(b.traverse_whitespace(p),b.print_token(p)),U},E.prototype._print_custom_beatifier_text=function(b,p,x){var U=this;if(p.text!==""){var L=p.text,T,S=1,w="",A="";x.custom_beautifier_name==="javascript"&&typeof this._js_beautify=="function"?T=this._js_beautify:x.custom_beautifier_name==="css"&&typeof this._css_beautify=="function"?T=this._css_beautify:x.custom_beautifier_name==="html"&&(T=function(q,J){var oe=new E(q,J,U._js_beautify,U._css_beautify);return oe.beautify()}),this._options.indent_scripts==="keep"?S=0:this._options.indent_scripts==="separate"&&(S=-b.indent_level);var R=b.get_full_indent(S);if(L=L.replace(/\n[ \t]*$/,""),x.custom_beautifier_name!=="html"&&L[0]==="<"&&L.match(/^(<!--|<!\[CDATA\[)/)){var I=/^(<!--[^\n]*|<!\[CDATA\[)(\n?)([ \t\n]*)([\s\S]*)(-->|]]>)$/.exec(L);if(!I){b.add_raw_token(p);return}w=R+I[1]+`
+`,L=I[4],I[5]&&(A=R+I[5]),L=L.replace(/\n[ \t]*$/,""),(I[2]||I[3].indexOf(`
+`)!==-1)&&(I=I[3].match(/[ \t]+$/),I&&(p.whitespace_before=I[0]))}if(L)if(T){var F=function(){this.eol=`
+`};F.prototype=this._options.raw_options;var H=new F;L=T(R+L,H)}else{var W=p.whitespace_before;W&&(L=L.replace(new RegExp(`
+(`+W+")?","g"),`
+`)),L=R+L.replace(/\n/g,`
+`+R)}w&&(L?L=w+L+`
+`+A:L=w+A),b.print_newline(!1),L&&(p.text=L,p.whitespace_before="",p.newlines=0,b.add_raw_token(p),b.print_newline(!0))}},E.prototype._handle_tag_open=function(b,p,x,U,L){var T=this._get_tag_open_token(p);if((x.is_unformatted||x.is_content_unformatted)&&!x.is_empty_element&&p.type===c.TAG_OPEN&&!T.is_start_tag?(b.add_raw_token(p),T.start_tag_token=this._tag_stack.try_pop(T.tag_name)):(b.traverse_whitespace(p),this._set_tag_position(b,p,T,x,U),T.is_inline_element||b.set_wrap_point(),b.print_token(p)),T.is_start_tag&&this._is_wrap_attributes_force){var S=0,w;do w=L.peek(S),w.type===c.ATTRIBUTE&&(T.attr_count+=1),S+=1;while(w.type!==c.EOF&&w.type!==c.TAG_CLOSE)}return(this._is_wrap_attributes_force_aligned||this._is_wrap_attributes_aligned_multiple||this._is_wrap_attributes_preserve_aligned)&&(T.alignment_size=p.text.length+1),!T.tag_complete&&!T.is_unformatted&&(b.alignment_size=T.alignment_size),T};var M=function(b,p){if(this.parent=b||null,this.text="",this.type="TK_TAG_OPEN",this.tag_name="",this.is_inline_element=!1,this.is_unformatted=!1,this.is_content_unformatted=!1,this.is_empty_element=!1,this.is_start_tag=!1,this.is_end_tag=!1,this.indent_content=!1,this.multiline_content=!1,this.custom_beautifier_name=null,this.start_tag_token=null,this.attr_count=0,this.has_wrapped_attrs=!1,this.alignment_size=0,this.tag_complete=!1,this.tag_start_char="",this.tag_check="",!p)this.tag_complete=!0;else{var x;this.tag_start_char=p.text[0],this.text=p.text,this.tag_start_char==="<"?(x=p.text.match(/^<([^\s>]*)/),this.tag_check=x?x[1]:""):(x=p.text.match(/^{{~?(?:[\^]|#\*?)?([^\s}]+)/),this.tag_check=x?x[1]:"",(p.text.startsWith("{{#>")||p.text.startsWith("{{~#>"))&&this.tag_check[0]===">"&&(this.tag_check===">"&&p.next!==null?this.tag_check=p.next.text.split(" ")[0]:this.tag_check=p.text.split(">")[1])),this.tag_check=this.tag_check.toLowerCase(),p.type===c.COMMENT&&(this.tag_complete=!0),this.is_start_tag=this.tag_check.charAt(0)!=="/",this.tag_name=this.is_start_tag?this.tag_check:this.tag_check.substr(1),this.is_end_tag=!this.is_start_tag||p.closed&&p.closed.text==="/>";var U=2;this.tag_start_char==="{"&&this.text.length>=3&&this.text.charAt(2)==="~"&&(U=3),this.is_end_tag=this.is_end_tag||this.tag_start_char==="{"&&(this.text.length<3||/[^#\^]/.test(this.text.charAt(U)))}};E.prototype._get_tag_open_token=function(b){var p=new M(this._tag_stack.get_parser_token(),b);return p.alignment_size=this._options.wrap_attributes_indent_size,p.is_end_tag=p.is_end_tag||k(p.tag_check,this._options.void_elements),p.is_empty_element=p.tag_complete||p.is_start_tag&&p.is_end_tag,p.is_unformatted=!p.tag_complete&&k(p.tag_check,this._options.unformatted),p.is_content_unformatted=!p.is_empty_element&&k(p.tag_check,this._options.content_unformatted),p.is_inline_element=k(p.tag_name,this._options.inline)||this._options.inline_custom_elements&&p.tag_name.includes("-")||p.tag_start_char==="{",p},E.prototype._set_tag_position=function(b,p,x,U,L){if(x.is_empty_element||(x.is_end_tag?x.start_tag_token=this._tag_stack.try_pop(x.tag_name):(this._do_optional_end_element(x)&&(x.is_inline_element||b.print_newline(!1)),this._tag_stack.record_tag(x),(x.tag_name==="script"||x.tag_name==="style")&&!(x.is_unformatted||x.is_content_unformatted)&&(x.custom_beautifier_name=g(x.tag_check,p)))),k(x.tag_check,this._options.extra_liners)&&(b.print_newline(!1),b._output.just_added_blankline()||b.print_newline(!0)),x.is_empty_element){if(x.tag_start_char==="{"&&x.tag_check==="else"){this._tag_stack.indent_to_tag(["if","unless","each"]),x.indent_content=!0;var T=b.current_line_has_match(/{{#if/);T||b.print_newline(!1)}x.tag_name==="!--"&&L.type===c.TAG_CLOSE&&U.is_end_tag&&x.text.indexOf(`
+`)===-1||(x.is_inline_element||x.is_unformatted||b.print_newline(!1),this._calcluate_parent_multiline(b,x))}else if(x.is_end_tag){var S=!1;S=x.start_tag_token&&x.start_tag_token.multiline_content,S=S||!x.is_inline_element&&!(U.is_inline_element||U.is_unformatted)&&!(L.type===c.TAG_CLOSE&&x.start_tag_token===U)&&L.type!=="TK_CONTENT",(x.is_content_unformatted||x.is_unformatted)&&(S=!1),S&&b.print_newline(!1)}else x.indent_content=!x.custom_beautifier_name,x.tag_start_char==="<"&&(x.tag_name==="html"?x.indent_content=this._options.indent_inner_html:x.tag_name==="head"?x.indent_content=this._options.indent_head_inner_html:x.tag_name==="body"&&(x.indent_content=this._options.indent_body_inner_html)),!(x.is_inline_element||x.is_unformatted)&&(L.type!=="TK_CONTENT"||x.is_content_unformatted)&&b.print_newline(!1),this._calcluate_parent_multiline(b,x)},E.prototype._calcluate_parent_multiline=function(b,p){p.parent&&b._output.just_added_newline()&&!((p.is_inline_element||p.is_unformatted)&&p.parent.is_inline_element)&&(p.parent.multiline_content=!0)};var D=["address","article","aside","blockquote","details","div","dl","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hr","main","menu","nav","ol","p","pre","section","table","ul"],N=["a","audio","del","ins","map","noscript","video"];E.prototype._do_optional_end_element=function(b){var p=null;if(!(b.is_empty_element||!b.is_start_tag||!b.parent)){if(b.tag_name==="body")p=p||this._tag_stack.try_pop("head");else if(b.tag_name==="li")p=p||this._tag_stack.try_pop("li",["ol","ul","menu"]);else if(b.tag_name==="dd"||b.tag_name==="dt")p=p||this._tag_stack.try_pop("dt",["dl"]),p=p||this._tag_stack.try_pop("dd",["dl"]);else if(b.parent.tag_name==="p"&&D.indexOf(b.tag_name)!==-1){var x=b.parent.parent;(!x||N.indexOf(x.tag_name)===-1)&&(p=p||this._tag_stack.try_pop("p"))}else b.tag_name==="rp"||b.tag_name==="rt"?(p=p||this._tag_stack.try_pop("rt",["ruby","rtc"]),p=p||this._tag_stack.try_pop("rp",["ruby","rtc"])):b.tag_name==="optgroup"?p=p||this._tag_stack.try_pop("optgroup",["select"]):b.tag_name==="option"?p=p||this._tag_stack.try_pop("option",["select","datalist","optgroup"]):b.tag_name==="colgroup"?p=p||this._tag_stack.try_pop("caption",["table"]):b.tag_name==="thead"?(p=p||this._tag_stack.try_pop("caption",["table"]),p=p||this._tag_stack.try_pop("colgroup",["table"])):b.tag_name==="tbody"||b.tag_name==="tfoot"?(p=p||this._tag_stack.try_pop("caption",["table"]),p=p||this._tag_stack.try_pop("colgroup",["table"]),p=p||this._tag_stack.try_pop("thead",["table"]),p=p||this._tag_stack.try_pop("tbody",["table"])):b.tag_name==="tr"?(p=p||this._tag_stack.try_pop("caption",["table"]),p=p||this._tag_stack.try_pop("colgroup",["table"]),p=p||this._tag_stack.try_pop("tr",["table","thead","tbody","tfoot"])):(b.tag_name==="th"||b.tag_name==="td")&&(p=p||this._tag_stack.try_pop("td",["table","thead","tbody","tfoot","tr"]),p=p||this._tag_stack.try_pop("th",["table","thead","tbody","tfoot","tr"]));return b.parent=this._tag_stack.get_parser_token(),p}},r.exports.Beautifier=E},function(r,s,a){var l=a(6).Options;function o(u){l.call(this,u,"html"),this.templating.length===1&&this.templating[0]==="auto"&&(this.templating=["django","erb","handlebars","php"]),this.indent_inner_html=this._get_boolean("indent_inner_html"),this.indent_body_inner_html=this._get_boolean("indent_body_inner_html",!0),this.indent_head_inner_html=this._get_boolean("indent_head_inner_html",!0),this.indent_handlebars=this._get_boolean("indent_handlebars",!0),this.wrap_attributes=this._get_selection("wrap_attributes",["auto","force","force-aligned","force-expand-multiline","aligned-multiple","preserve","preserve-aligned"]),this.wrap_attributes_min_attrs=this._get_number("wrap_attributes_min_attrs",2),this.wrap_attributes_indent_size=this._get_number("wrap_attributes_indent_size",this.indent_size),this.extra_liners=this._get_array("extra_liners",["head","body","/html"]),this.inline=this._get_array("inline",["a","abbr","area","audio","b","bdi","bdo","br","button","canvas","cite","code","data","datalist","del","dfn","em","embed","i","iframe","img","input","ins","kbd","keygen","label","map","mark","math","meter","noscript","object","output","progress","q","ruby","s","samp","select","small","span","strong","sub","sup","svg","template","textarea","time","u","var","video","wbr","text","acronym","big","strike","tt"]),this.inline_custom_elements=this._get_boolean("inline_custom_elements",!0),this.void_elements=this._get_array("void_elements",["area","base","br","col","embed","hr","img","input","keygen","link","menuitem","meta","param","source","track","wbr","!doctype","?xml","basefont","isindex"]),this.unformatted=this._get_array("unformatted",[]),this.content_unformatted=this._get_array("content_unformatted",["pre","textarea"]),this.unformatted_content_delimiter=this._get_characters("unformatted_content_delimiter"),this.indent_scripts=this._get_selection("indent_scripts",["normal","keep","separate"])}o.prototype=new l,r.exports.Options=o},function(r,s,a){var l=a(9).Tokenizer,o=a(9).TOKEN,u=a(13).Directives,c=a(14).TemplatablePattern,d=a(12).Pattern,m={TAG_OPEN:"TK_TAG_OPEN",TAG_CLOSE:"TK_TAG_CLOSE",CONTROL_FLOW_OPEN:"TK_CONTROL_FLOW_OPEN",CONTROL_FLOW_CLOSE:"TK_CONTROL_FLOW_CLOSE",ATTRIBUTE:"TK_ATTRIBUTE",EQUALS:"TK_EQUALS",VALUE:"TK_VALUE",COMMENT:"TK_COMMENT",TEXT:"TK_TEXT",UNKNOWN:"TK_UNKNOWN",START:o.START,RAW:o.RAW,EOF:o.EOF},f=new u(/<\!--/,/-->/),_=function(g,k){l.call(this,g,k),this._current_tag_name="";var y=new c(this._input).read_options(this._options),v=new d(this._input);if(this.__patterns={word:y.until(/[\n\r\t <]/),word_control_flow_close_excluded:y.until(/[\n\r\t <}]/),single_quote:y.until_after(/'/),double_quote:y.until_after(/"/),attribute:y.until(/[\n\r\t =>]|\/>/),element_name:y.until(/[\n\r\t >\/]/),angular_control_flow_start:v.matching(/\@[a-zA-Z]+[^({]*[({]/),handlebars_comment:v.starting_with(/{{!--/).until_after(/--}}/),handlebars:v.starting_with(/{{/).until_after(/}}/),handlebars_open:v.until(/[\n\r\t }]/),handlebars_raw_close:v.until(/}}/),comment:v.starting_with(/<!--/).until_after(/-->/),cdata:v.starting_with(/<!\[CDATA\[/).until_after(/]]>/),conditional_comment:v.starting_with(/<!\[/).until_after(/]>/),processing:v.starting_with(/<\?/).until_after(/\?>/)},this._options.indent_handlebars&&(this.__patterns.word=this.__patterns.word.exclude("handlebars"),this.__patterns.word_control_flow_close_excluded=this.__patterns.word_control_flow_close_excluded.exclude("handlebars")),this._unformatted_content_delimiter=null,this._options.unformatted_content_delimiter){var E=this._input.get_literal_regexp(this._options.unformatted_content_delimiter);this.__patterns.unformatted_content_delimiter=v.matching(E).until_after(E)}};_.prototype=new l,_.prototype._is_comment=function(g){return!1},_.prototype._is_opening=function(g){return g.type===m.TAG_OPEN||g.type===m.CONTROL_FLOW_OPEN},_.prototype._is_closing=function(g,k){return g.type===m.TAG_CLOSE&&k&&((g.text===">"||g.text==="/>")&&k.text[0]==="<"||g.text==="}}"&&k.text[0]==="{"&&k.text[1]==="{")||g.type===m.CONTROL_FLOW_CLOSE&&g.text==="}"&&k.text.endsWith("{")},_.prototype._reset=function(){this._current_tag_name=""},_.prototype._get_next_token=function(g,k){var y=null;this._readWhitespace();var v=this._input.peek();return v===null?this._create_token(m.EOF,""):(y=y||this._read_open_handlebars(v,k),y=y||this._read_attribute(v,g,k),y=y||this._read_close(v,k),y=y||this._read_control_flows(v,k),y=y||this._read_raw_content(v,g,k),y=y||this._read_content_word(v,k),y=y||this._read_comment_or_cdata(v),y=y||this._read_processing(v),y=y||this._read_open(v,k),y=y||this._create_token(m.UNKNOWN,this._input.next()),y)},_.prototype._read_comment_or_cdata=function(g){var k=null,y=null,v=null;if(g==="<"){var E=this._input.peek(1);E==="!"&&(y=this.__patterns.comment.read(),y?(v=f.get_directives(y),v&&v.ignore==="start"&&(y+=f.readIgnored(this._input))):y=this.__patterns.cdata.read()),y&&(k=this._create_token(m.COMMENT,y),k.directives=v)}return k},_.prototype._read_processing=function(g){var k=null,y=null,v=null;if(g==="<"){var E=this._input.peek(1);(E==="!"||E==="?")&&(y=this.__patterns.conditional_comment.read(),y=y||this.__patterns.processing.read()),y&&(k=this._create_token(m.COMMENT,y),k.directives=v)}return k},_.prototype._read_open=function(g,k){var y=null,v=null;return(!k||k.type===m.CONTROL_FLOW_OPEN)&&g==="<"&&(y=this._input.next(),this._input.peek()==="/"&&(y+=this._input.next()),y+=this.__patterns.element_name.read(),v=this._create_token(m.TAG_OPEN,y)),v},_.prototype._read_open_handlebars=function(g,k){var y=null,v=null;return(!k||k.type===m.CONTROL_FLOW_OPEN)&&this._options.indent_handlebars&&g==="{"&&this._input.peek(1)==="{"&&(this._input.peek(2)==="!"?(y=this.__patterns.handlebars_comment.read(),y=y||this.__patterns.handlebars.read(),v=this._create_token(m.COMMENT,y)):(y=this.__patterns.handlebars_open.read(),v=this._create_token(m.TAG_OPEN,y))),v},_.prototype._read_control_flows=function(g,k){var y="",v=null;if(!this._options.templating.includes("angular")||!this._options.indent_handlebars)return v;if(g==="@"){if(y=this.__patterns.angular_control_flow_start.read(),y==="")return v;for(var E=y.endsWith("(")?1:0,M=0;!(y.endsWith("{")&&E===M);){var D=this._input.next();if(D===null)break;D==="("?E++:D===")"&&M++,y+=D}v=this._create_token(m.CONTROL_FLOW_OPEN,y)}else g==="}"&&k&&k.type===m.CONTROL_FLOW_OPEN&&(y=this._input.next(),v=this._create_token(m.CONTROL_FLOW_CLOSE,y));return v},_.prototype._read_close=function(g,k){var y=null,v=null;return k&&k.type===m.TAG_OPEN&&(k.text[0]==="<"&&(g===">"||g==="/"&&this._input.peek(1)===">")?(y=this._input.next(),g==="/"&&(y+=this._input.next()),v=this._create_token(m.TAG_CLOSE,y)):k.text[0]==="{"&&g==="}"&&this._input.peek(1)==="}"&&(this._input.next(),this._input.next(),v=this._create_token(m.TAG_CLOSE,"}}"))),v},_.prototype._read_attribute=function(g,k,y){var v=null,E="";if(y&&y.text[0]==="<")if(g==="=")v=this._create_token(m.EQUALS,this._input.next());else if(g==='"'||g==="'"){var M=this._input.next();g==='"'?M+=this.__patterns.double_quote.read():M+=this.__patterns.single_quote.read(),v=this._create_token(m.VALUE,M)}else E=this.__patterns.attribute.read(),E&&(k.type===m.EQUALS?v=this._create_token(m.VALUE,E):v=this._create_token(m.ATTRIBUTE,E));return v},_.prototype._is_content_unformatted=function(g){return this._options.void_elements.indexOf(g)===-1&&(this._options.content_unformatted.indexOf(g)!==-1||this._options.unformatted.indexOf(g)!==-1)},_.prototype._read_raw_content=function(g,k,y){var v="";if(y&&y.text[0]==="{")v=this.__patterns.handlebars_raw_close.read();else if(k.type===m.TAG_CLOSE&&k.opened.text[0]==="<"&&k.text[0]!=="/"){var E=k.opened.text.substr(1).toLowerCase();if(E==="script"||E==="style"){var M=this._read_comment_or_cdata(g);if(M)return M.type=m.TEXT,M;v=this._input.readUntil(new RegExp("</"+E+"[\\n\\r\\t ]*?>","ig"))}else this._is_content_unformatted(E)&&(v=this._input.readUntil(new RegExp("</"+E+"[\\n\\r\\t ]*?>","ig")))}return v?this._create_token(m.TEXT,v):null},_.prototype._read_content_word=function(g,k){var y="";if(this._options.unformatted_content_delimiter&&g===this._options.unformatted_content_delimiter[0]&&(y=this.__patterns.unformatted_content_delimiter.read()),y||(y=k&&k.type===m.CONTROL_FLOW_OPEN?this.__patterns.word_control_flow_close_excluded.read():this.__patterns.word.read()),y)return this._create_token(m.TEXT,y)},r.exports.Tokenizer=_,r.exports.TOKEN=m}],t={};function n(r){var s=t[r];if(s!==void 0)return s.exports;var a=t[r]={exports:{}};return e[r](a,a.exports,n),a.exports}var i=n(18);ro=i})();function Fc(e,t){return ro(e,t,Hc,Wc)}function Pc(e,t,n){let i=e.getText(),r=!0,s=0;const a=n.tabSize||4;if(t){let u=e.offsetAt(t.start),c=u;for(;c>0&&ao(i,c-1);)c--;c===0||so(i,c-1)?u=c:c<u&&(u=c+1);let d=e.offsetAt(t.end),m=d;for(;m<i.length&&ao(i,m);)m++;(m===i.length||so(i,m))&&(d=m),t=$.create(e.positionAt(u),e.positionAt(d));const f=i.substring(0,u);if(new RegExp(/.*[<][^>]*$/).test(f))return i=i.substring(u,d),[{range:t,newText:i}];if(r=d===i.length,i=i.substring(u,d),u!==0){const _=e.offsetAt(re.create(t.start.line,0));s=Oc(e.getText(),_,n)}}else t=$.create(re.create(0,0),e.positionAt(i.length));const l={indent_size:a,indent_char:n.insertSpaces?" ":" ",indent_empty_lines:we(n,"indentEmptyLines",!1),wrap_line_length:we(n,"wrapLineLength",120),unformatted:Ii(n,"unformatted",void 0),content_unformatted:Ii(n,"contentUnformatted",void 0),indent_inner_html:we(n,"indentInnerHtml",!1),preserve_newlines:we(n,"preserveNewLines",!0),max_preserve_newlines:we(n,"maxPreserveNewLines",32786),indent_handlebars:we(n,"indentHandlebars",!1),end_with_newline:r&&we(n,"endWithNewline",!1),extra_liners:Ii(n,"extraLiners",void 0),wrap_attributes:we(n,"wrapAttributes","auto"),wrap_attributes_indent_size:we(n,"wrapAttributesIndentSize",void 0),eol:`
+`,indent_scripts:we(n,"indentScripts","normal"),templating:qc(n,"all"),unformatted_content_delimiter:we(n,"unformattedContentDelimiter","")};let o=Fc(Bc(i),l);if(s>0){const u=n.insertSpaces?eo(" ",a*s):eo(" ",s);o=o.split(`
+`).join(`
+`+u),t.start.character===0&&(o=u+o)}return[{range:t,newText:o}]}function Bc(e){return e.replace(/^\s+/,"")}function we(e,t,n){if(e&&e.hasOwnProperty(t)){const i=e[t];if(i!==null)return i}return n}function Ii(e,t,n){const i=we(e,t,null);return typeof i=="string"?i.length>0?i.split(",").map(r=>r.trim().toLowerCase()):[]:n}function qc(e,t){const n=we(e,"templating",t);return n===!0?["auto"]:n===!1||n===t||Array.isArray(n)===!1?["none"]:n}function Oc(e,t,n){let i=t,r=0;const s=n.tabSize||4;for(;i<e.length;){const a=e.charAt(i);if(a===" ")r++;else if(a===" ")r+=s;else break;i++}return Math.floor(r/s)}function so(e,t){return`\r
+`.indexOf(e.charAt(t))!==-1}function ao(e,t){return" ".indexOf(e.charAt(t))!==-1}var oo;(()=>{var e={470:r=>{function s(o){if(typeof o!="string")throw new TypeError("Path must be a string. Received "+JSON.stringify(o))}function a(o,u){for(var c,d="",m=0,f=-1,_=0,g=0;g<=o.length;++g){if(g<o.length)c=o.charCodeAt(g);else{if(c===47)break;c=47}if(c===47){if(!(f===g-1||_===1))if(f!==g-1&&_===2){if(d.length<2||m!==2||d.charCodeAt(d.length-1)!==46||d.charCodeAt(d.length-2)!==46){if(d.length>2){var k=d.lastIndexOf("/");if(k!==d.length-1){k===-1?(d="",m=0):m=(d=d.slice(0,k)).length-1-d.lastIndexOf("/"),f=g,_=0;continue}}else if(d.length===2||d.length===1){d="",m=0,f=g,_=0;continue}}u&&(d.length>0?d+="/..":d="..",m=2)}else d.length>0?d+="/"+o.slice(f+1,g):d=o.slice(f+1,g),m=g-f-1;f=g,_=0}else c===46&&_!==-1?++_:_=-1}return d}var l={resolve:function(){for(var o,u="",c=!1,d=arguments.length-1;d>=-1&&!c;d--){var m;d>=0?m=arguments[d]:(o===void 0&&(o=process.cwd()),m=o),s(m),m.length!==0&&(u=m+"/"+u,c=m.charCodeAt(0)===47)}return u=a(u,!c),c?u.length>0?"/"+u:"/":u.length>0?u:"."},normalize:function(o){if(s(o),o.length===0)return".";var u=o.charCodeAt(0)===47,c=o.charCodeAt(o.length-1)===47;return(o=a(o,!u)).length!==0||u||(o="."),o.length>0&&c&&(o+="/"),u?"/"+o:o},isAbsolute:function(o){return s(o),o.length>0&&o.charCodeAt(0)===47},join:function(){if(arguments.length===0)return".";for(var o,u=0;u<arguments.length;++u){var c=arguments[u];s(c),c.length>0&&(o===void 0?o=c:o+="/"+c)}return o===void 0?".":l.normalize(o)},relative:function(o,u){if(s(o),s(u),o===u||(o=l.resolve(o))===(u=l.resolve(u)))return"";for(var c=1;c<o.length&&o.charCodeAt(c)===47;++c);for(var d=o.length,m=d-c,f=1;f<u.length&&u.charCodeAt(f)===47;++f);for(var _=u.length-f,g=m<_?m:_,k=-1,y=0;y<=g;++y){if(y===g){if(_>g){if(u.charCodeAt(f+y)===47)return u.slice(f+y+1);if(y===0)return u.slice(f+y)}else m>g&&(o.charCodeAt(c+y)===47?k=y:y===0&&(k=0));break}var v=o.charCodeAt(c+y);if(v!==u.charCodeAt(f+y))break;v===47&&(k=y)}var E="";for(y=c+k+1;y<=d;++y)y!==d&&o.charCodeAt(y)!==47||(E.length===0?E+="..":E+="/..");return E.length>0?E+u.slice(f+k):(f+=k,u.charCodeAt(f)===47&&++f,u.slice(f))},_makeLong:function(o){return o},dirname:function(o){if(s(o),o.length===0)return".";for(var u=o.charCodeAt(0),c=u===47,d=-1,m=!0,f=o.length-1;f>=1;--f)if((u=o.charCodeAt(f))===47){if(!m){d=f;break}}else m=!1;return d===-1?c?"/":".":c&&d===1?"//":o.slice(0,d)},basename:function(o,u){if(u!==void 0&&typeof u!="string")throw new TypeError('"ext" argument must be a string');s(o);var c,d=0,m=-1,f=!0;if(u!==void 0&&u.length>0&&u.length<=o.length){if(u.length===o.length&&u===o)return"";var _=u.length-1,g=-1;for(c=o.length-1;c>=0;--c){var k=o.charCodeAt(c);if(k===47){if(!f){d=c+1;break}}else g===-1&&(f=!1,g=c+1),_>=0&&(k===u.charCodeAt(_)?--_==-1&&(m=c):(_=-1,m=g))}return d===m?m=g:m===-1&&(m=o.length),o.slice(d,m)}for(c=o.length-1;c>=0;--c)if(o.charCodeAt(c)===47){if(!f){d=c+1;break}}else m===-1&&(f=!1,m=c+1);return m===-1?"":o.slice(d,m)},extname:function(o){s(o);for(var u=-1,c=0,d=-1,m=!0,f=0,_=o.length-1;_>=0;--_){var g=o.charCodeAt(_);if(g!==47)d===-1&&(m=!1,d=_+1),g===46?u===-1?u=_:f!==1&&(f=1):u!==-1&&(f=-1);else if(!m){c=_+1;break}}return u===-1||d===-1||f===0||f===1&&u===d-1&&u===c+1?"":o.slice(u,d)},format:function(o){if(o===null||typeof o!="object")throw new TypeError('The "pathObject" argument must be of type Object. Received type '+typeof o);return function(u,c){var d=c.dir||c.root,m=c.base||(c.name||"")+(c.ext||"");return d?d===c.root?d+m:d+"/"+m:m}(0,o)},parse:function(o){s(o);var u={root:"",dir:"",base:"",ext:"",name:""};if(o.length===0)return u;var c,d=o.charCodeAt(0),m=d===47;m?(u.root="/",c=1):c=0;for(var f=-1,_=0,g=-1,k=!0,y=o.length-1,v=0;y>=c;--y)if((d=o.charCodeAt(y))!==47)g===-1&&(k=!1,g=y+1),d===46?f===-1?f=y:v!==1&&(v=1):f!==-1&&(v=-1);else if(!k){_=y+1;break}return f===-1||g===-1||v===0||v===1&&f===g-1&&f===_+1?g!==-1&&(u.base=u.name=_===0&&m?o.slice(1,g):o.slice(_,g)):(_===0&&m?(u.name=o.slice(1,f),u.base=o.slice(1,g)):(u.name=o.slice(_,f),u.base=o.slice(_,g)),u.ext=o.slice(f,g)),_>0?u.dir=o.slice(0,_-1):m&&(u.dir="/"),u},sep:"/",delimiter:":",win32:null,posix:null};l.posix=l,r.exports=l}},t={};function n(r){var s=t[r];if(s!==void 0)return s.exports;var a=t[r]={exports:{}};return e[r](a,a.exports,n),a.exports}n.d=(r,s)=>{for(var a in s)n.o(s,a)&&!n.o(r,a)&&Object.defineProperty(r,a,{enumerable:!0,get:s[a]})},n.o=(r,s)=>Object.prototype.hasOwnProperty.call(r,s),n.r=r=>{typeof Symbol<"u"&&Symbol.toStringTag&&Object.defineProperty(r,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(r,"__esModule",{value:!0})};var i={};(()=>{let r;n.r(i),n.d(i,{URI:()=>m,Utils:()=>U}),typeof process=="object"?r=process.platform==="win32":typeof navigator=="object"&&(r=navigator.userAgent.indexOf("Windows")>=0);const s=/^\w[\w\d+.-]*$/,a=/^\//,l=/^\/\//;function o(L,T){if(!L.scheme&&T)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${L.authority}", path: "${L.path}", query: "${L.query}", fragment: "${L.fragment}"}`);if(L.scheme&&!s.test(L.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(L.path){if(L.authority){if(!a.test(L.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if(l.test(L.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}const u="",c="/",d=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;class m{constructor(T,S,w,A,R,I=!1){Qe(this,"scheme");Qe(this,"authority");Qe(this,"path");Qe(this,"query");Qe(this,"fragment");typeof T=="object"?(this.scheme=T.scheme||u,this.authority=T.authority||u,this.path=T.path||u,this.query=T.query||u,this.fragment=T.fragment||u):(this.scheme=function(F,H){return F||H?F:"file"}(T,I),this.authority=S||u,this.path=function(F,H){switch(F){case"https":case"http":case"file":H?H[0]!==c&&(H=c+H):H=c}return H}(this.scheme,w||u),this.query=A||u,this.fragment=R||u,o(this,I))}static isUri(T){return T instanceof m||!!T&&typeof T.authority=="string"&&typeof T.fragment=="string"&&typeof T.path=="string"&&typeof T.query=="string"&&typeof T.scheme=="string"&&typeof T.fsPath=="string"&&typeof T.with=="function"&&typeof T.toString=="function"}get fsPath(){return v(this)}with(T){if(!T)return this;let{scheme:S,authority:w,path:A,query:R,fragment:I}=T;return S===void 0?S=this.scheme:S===null&&(S=u),w===void 0?w=this.authority:w===null&&(w=u),A===void 0?A=this.path:A===null&&(A=u),R===void 0?R=this.query:R===null&&(R=u),I===void 0?I=this.fragment:I===null&&(I=u),S===this.scheme&&w===this.authority&&A===this.path&&R===this.query&&I===this.fragment?this:new _(S,w,A,R,I)}static parse(T,S=!1){const w=d.exec(T);return w?new _(w[2]||u,N(w[4]||u),N(w[5]||u),N(w[7]||u),N(w[9]||u),S):new _(u,u,u,u,u)}static file(T){let S=u;if(r&&(T=T.replace(/\\/g,c)),T[0]===c&&T[1]===c){const w=T.indexOf(c,2);w===-1?(S=T.substring(2),T=c):(S=T.substring(2,w),T=T.substring(w)||c)}return new _("file",S,T,u,u)}static from(T){const S=new _(T.scheme,T.authority,T.path,T.query,T.fragment);return o(S,!0),S}toString(T=!1){return E(this,T)}toJSON(){return this}static revive(T){if(T){if(T instanceof m)return T;{const S=new _(T);return S._formatted=T.external,S._fsPath=T._sep===f?T.fsPath:null,S}}return T}}const f=r?1:void 0;class _ extends m{constructor(){super(...arguments);Qe(this,"_formatted",null);Qe(this,"_fsPath",null)}get fsPath(){return this._fsPath||(this._fsPath=v(this)),this._fsPath}toString(S=!1){return S?E(this,!0):(this._formatted||(this._formatted=E(this,!1)),this._formatted)}toJSON(){const S={$mid:1};return this._fsPath&&(S.fsPath=this._fsPath,S._sep=f),this._formatted&&(S.external=this._formatted),this.path&&(S.path=this.path),this.scheme&&(S.scheme=this.scheme),this.authority&&(S.authority=this.authority),this.query&&(S.query=this.query),this.fragment&&(S.fragment=this.fragment),S}}const g={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function k(L,T,S){let w,A=-1;for(let R=0;R<L.length;R++){const I=L.charCodeAt(R);if(I>=97&&I<=122||I>=65&&I<=90||I>=48&&I<=57||I===45||I===46||I===95||I===126||T&&I===47||S&&I===91||S&&I===93||S&&I===58)A!==-1&&(w+=encodeURIComponent(L.substring(A,R)),A=-1),w!==void 0&&(w+=L.charAt(R));else{w===void 0&&(w=L.substr(0,R));const F=g[I];F!==void 0?(A!==-1&&(w+=encodeURIComponent(L.substring(A,R)),A=-1),w+=F):A===-1&&(A=R)}}return A!==-1&&(w+=encodeURIComponent(L.substring(A))),w!==void 0?w:L}function y(L){let T;for(let S=0;S<L.length;S++){const w=L.charCodeAt(S);w===35||w===63?(T===void 0&&(T=L.substr(0,S)),T+=g[w]):T!==void 0&&(T+=L[S])}return T!==void 0?T:L}function v(L,T){let S;return S=L.authority&&L.path.length>1&&L.scheme==="file"?`//${L.authority}${L.path}`:L.path.charCodeAt(0)===47&&(L.path.charCodeAt(1)>=65&&L.path.charCodeAt(1)<=90||L.path.charCodeAt(1)>=97&&L.path.charCodeAt(1)<=122)&&L.path.charCodeAt(2)===58?L.path[1].toLowerCase()+L.path.substr(2):L.path,r&&(S=S.replace(/\//g,"\\")),S}function E(L,T){const S=T?y:k;let w="",{scheme:A,authority:R,path:I,query:F,fragment:H}=L;if(A&&(w+=A,w+=":"),(R||A==="file")&&(w+=c,w+=c),R){let W=R.indexOf("@");if(W!==-1){const q=R.substr(0,W);R=R.substr(W+1),W=q.lastIndexOf(":"),W===-1?w+=S(q,!1,!1):(w+=S(q.substr(0,W),!1,!1),w+=":",w+=S(q.substr(W+1),!1,!0)),w+="@"}R=R.toLowerCase(),W=R.lastIndexOf(":"),W===-1?w+=S(R,!1,!0):(w+=S(R.substr(0,W),!1,!0),w+=R.substr(W))}if(I){if(I.length>=3&&I.charCodeAt(0)===47&&I.charCodeAt(2)===58){const W=I.charCodeAt(1);W>=65&&W<=90&&(I=`/${String.fromCharCode(W+32)}:${I.substr(3)}`)}else if(I.length>=2&&I.charCodeAt(1)===58){const W=I.charCodeAt(0);W>=65&&W<=90&&(I=`${String.fromCharCode(W+32)}:${I.substr(2)}`)}w+=S(I,!0,!1)}return F&&(w+="?",w+=S(F,!1,!1)),H&&(w+="#",w+=T?H:k(H,!1,!1)),w}function M(L){try{return decodeURIComponent(L)}catch{return L.length>3?L.substr(0,3)+M(L.substr(3)):L}}const D=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function N(L){return L.match(D)?L.replace(D,T=>M(T)):L}var b=n(470);const p=b.posix||b,x="/";var U;(function(L){L.joinPath=function(T,...S){return T.with({path:p.join(T.path,...S)})},L.resolvePath=function(T,...S){let w=T.path,A=!1;w[0]!==x&&(w=x+w,A=!0);let R=p.resolve(w,...S);return A&&R[0]===x&&!T.authority&&(R=R.substring(1)),T.with({path:R})},L.dirname=function(T){if(T.path.length===0||T.path===x)return T;let S=p.dirname(T.path);return S.length===1&&S.charCodeAt(0)===46&&(S=""),T.with({path:S})},L.basename=function(T){return p.basename(T.path)},L.extname=function(T){return p.extname(T.path)}})(U||(U={}))})(),oo=i})();var{URI:Vc,Utils:yh}=oo;function zi(e){const t=e[0],n=e[e.length-1];return t===n&&(t==="'"||t==='"')&&(e=e.substring(1,e.length-1)),e}function jc(e,t){return!e.length||t==="handlebars"&&/{{|}}/.test(e)?!1:/\b(w[\w\d+.-]*:\/\/)?[^\s()<>]+(?:\([\w\d]+\)|([^[:punct:]\s]|\/?))/.test(e)}function $c(e,t,n,i){if(/^\s*javascript\:/i.test(t)||/[\n\r]/.test(t))return;t=t.replace(/^\s*/g,"");const r=t.match(/^(\w[\w\d+.-]*):/);if(r){const s=r[1].toLowerCase();return s==="http"||s==="https"||s==="file"?t:void 0}return/^\#/i.test(t)?e+t:/^\/\//i.test(t)?(Je(e,"https://")?"https":"http")+":"+t.replace(/^\s*/g,""):n?n.resolveReference(t,i||e):t}function Gc(e,t,n,i,r,s){const a=zi(n);if(!jc(a,e.languageId))return;a.length<n.length&&(i++,r--);const l=$c(e.uri,a,t,s);if(!l)return;const o=Jc(l,e);return{range:$.create(e.positionAt(i),e.positionAt(r)),target:o}}var Xc=35;function Jc(e,t){try{let n=Vc.parse(e);return n.scheme==="file"&&n.query&&(n=n.with({query:null}),e=n.toString(!0)),n.scheme==="file"&&n.fragment&&!(e.startsWith(t.uri)&&e.charCodeAt(t.uri.length)===Xc)?n.with({fragment:null}).toString(!0):e}catch{return}}var Yc=class{constructor(e){this.dataManager=e}findDocumentLinks(e,t){const n=[],i=_e(e.getText(),0);let r=i.scan(),s,a,l=!1,o;const u={};for(;r!==z.EOS;){switch(r){case z.StartTag:a=i.getTokenText().toLowerCase(),o||(l=a==="base");break;case z.AttributeName:s=i.getTokenText().toLowerCase();break;case z.AttributeValue:if(a&&s&&this.dataManager.isPathAttribute(a,s)){const c=i.getTokenText();if(!l){const d=Gc(e,t,c,i.getTokenOffset(),i.getTokenEnd(),o);d&&n.push(d)}l&&typeof o>"u"&&(o=zi(c),o&&t&&(o=t.resolveReference(o,e.uri))),l=!1,s=void 0}else if(s==="id"){const c=zi(i.getTokenText());u[c]=i.getTokenOffset()}break}r=i.scan()}for(const c of n){const d=e.uri+"#";if(c.target&&Je(c.target,d)){const m=c.target.substring(d.length),f=u[m];if(f!==void 0){const _=e.positionAt(f);c.target=`${d}${_.line+1},${_.character+1}`}else c.target=e.uri}}return n}};function Qc(e,t,n){const i=e.offsetAt(t),r=n.findNodeAt(i);if(!r.tag)return[];const s=[],a=co(z.StartTag,e,r.start),l=typeof r.endTagStart=="number"&&co(z.EndTag,e,r.endTagStart);return(a&&uo(a,t)||l&&uo(l,t))&&(a&&s.push({kind:mn.Read,range:a}),l&&s.push({kind:mn.Read,range:l})),s}function lo(e,t){return e.line<t.line||e.line===t.line&&e.character<=t.character}function uo(e,t){return lo(e.start,t)&&lo(t,e.end)}function co(e,t,n){const i=_e(t.getText(),n);let r=i.scan();for(;r!==z.EOS&&r!==e;)r=i.scan();return r!==z.EOS?{start:t.positionAt(i.getTokenOffset()),end:t.positionAt(i.getTokenEnd())}:null}function Zc(e,t){const n=[],i=ho(e,t);for(const s of i)r(s,void 0);return n;function r(s,a){const l=Si.create(s.name,s.kind,s.range,e.uri,a==null?void 0:a.name);if(l.containerName??(l.containerName=""),n.push(l),s.children)for(const o of s.children)r(o,s)}}function ho(e,t){const n=[];return t.roots.forEach(i=>{mo(e,i,n)}),n}function mo(e,t,n){const i=Kc(t),r=$.create(e.positionAt(t.start),e.positionAt(t.end)),s=Li.create(i,void 0,Ai.Field,r,r);n.push(s),t.children.forEach(a=>{s.children??(s.children=[]),mo(e,a,s.children)})}function Kc(e){let t=e.tag;if(e.attributes){const n=e.attributes.id,i=e.attributes.class;n&&(t+=`#${n.replace(/[\"\']/g,"")}`),i&&(t+=i.replace(/[\"\']/g,"").split(/\s+/).map(r=>`.${r}`).join(""))}return t||"?"}function eh(e,t,n,i){const r=e.offsetAt(t),s=i.findNodeAt(r);if(!s.tag||!th(s,r,s.tag))return null;const a=[],l={start:e.positionAt(s.start+1),end:e.positionAt(s.start+1+s.tag.length)};if(a.push({range:l,newText:n}),s.endTagStart){const u={start:e.positionAt(s.endTagStart+2),end:e.positionAt(s.endTagStart+2+s.tag.length)};a.push({range:u,newText:n})}return{changes:{[e.uri.toString()]:a}}}function th(e,t,n){return e.endTagStart&&e.endTagStart+2<=t&&t<=e.endTagStart+2+n.length?!0:e.start+1<=t&&t<=e.start+1+n.length}function nh(e,t,n){const i=e.offsetAt(t),r=n.findNodeAt(i);if(!r.tag||!r.endTagStart)return null;if(r.start+1<=i&&i<=r.start+1+r.tag.length){const s=i-1-r.start+r.endTagStart+2;return e.positionAt(s)}if(r.endTagStart+2<=i&&i<=r.endTagStart+2+r.tag.length){const s=i-2-r.endTagStart+r.start+1;return e.positionAt(s)}return null}function fo(e,t,n){const i=e.offsetAt(t),r=n.findNodeAt(i),s=r.tag?r.tag.length:0;return r.endTagStart&&(r.start+1<=i&&i<=r.start+1+s||r.endTagStart+2<=i&&i<=r.endTagStart+2+s)?[$.create(e.positionAt(r.start+1),e.positionAt(r.start+1+s)),$.create(e.positionAt(r.endTagStart+2),e.positionAt(r.endTagStart+2+s))]:null}var ih=class{constructor(e){this.dataManager=e}limitRanges(e,t){e=e.sort((c,d)=>{let m=c.startLine-d.startLine;return m===0&&(m=c.endLine-d.endLine),m});let n;const i=[],r=[],s=[],a=(c,d)=>{r[c]=d,d<30&&(s[d]=(s[d]||0)+1)};for(let c=0;c<e.length;c++){const d=e[c];if(!n)n=d,a(c,0);else if(d.startLine>n.startLine){if(d.endLine<=n.endLine)i.push(n),n=d,a(c,i.length);else if(d.startLine>n.endLine){do n=i.pop();while(n&&d.startLine>n.endLine);n&&i.push(n),n=d,a(c,i.length)}}}let l=0,o=0;for(let c=0;c<s.length;c++){const d=s[c];if(d){if(d+l>t){o=c;break}l+=d}}const u=[];for(let c=0;c<e.length;c++){const d=r[c];typeof d=="number"&&(d<o||d===o&&l++<t)&&u.push(e[c])}return u}getFoldingRanges(e,t){const n=this.dataManager.getVoidElements(e.languageId),i=_e(e.getText());let r=i.scan();const s=[],a=[];let l=null,o=-1;function u(d){s.push(d),o=d.startLine}for(;r!==z.EOS;){switch(r){case z.StartTag:{const d=i.getTokenText(),m=e.positionAt(i.getTokenOffset()).line;a.push({startLine:m,tagName:d}),l=d;break}case z.EndTag:{l=i.getTokenText();break}case z.StartTagClose:if(!l||!this.dataManager.isVoidElement(l,n))break;case z.EndTagClose:case z.StartTagSelfClose:{let d=a.length-1;for(;d>=0&&a[d].tagName!==l;)d--;if(d>=0){const m=a[d];a.length=d;const f=e.positionAt(i.getTokenOffset()).line,_=m.startLine,g=f-1;g>_&&o!==_&&u({startLine:_,endLine:g})}break}case z.Comment:{let d=e.positionAt(i.getTokenOffset()).line;const f=i.getTokenText().match(/^\s*#(region\b)|(endregion\b)/);if(f)if(f[1])a.push({startLine:d,tagName:""});else{let _=a.length-1;for(;_>=0&&a[_].tagName.length;)_--;if(_>=0){const g=a[_];a.length=_;const k=d;d=g.startLine,k>d&&o!==d&&u({startLine:d,endLine:k,kind:cn.Region})}}else{const _=e.positionAt(i.getTokenOffset()+i.getTokenLength()).line;d<_&&u({startLine:d,endLine:_,kind:cn.Comment})}break}}r=i.scan()}const c=t&&t.rangeLimit||Number.MAX_VALUE;return s.length>c?this.limitRanges(s,c):s}},rh=class{constructor(e){this.htmlParser=e}getSelectionRanges(e,t){const n=this.htmlParser.parseDocument(e);return t.map(i=>this.getSelectionRange(i,e,n))}getSelectionRange(e,t,n){const i=this.getApplicableRanges(t,e,n);let r,s;for(let a=i.length-1;a>=0;a--){const l=i[a];(!r||l[0]!==r[0]||l[1]!==r[1])&&(s=pn.create($.create(t.positionAt(i[a][0]),t.positionAt(i[a][1])),s)),r=l}return s||(s=pn.create($.create(e,e))),s}getApplicableRanges(e,t,n){const i=e.offsetAt(t),r=n.findNodeAt(i);let s=this.getAllParentTagRanges(r);if(r.startTagEnd&&!r.endTagStart){if(r.startTagEnd!==r.end)return[[r.start,r.end]];const a=$.create(e.positionAt(r.startTagEnd-2),e.positionAt(r.startTagEnd));return e.getText(a)==="/>"?s.unshift([r.start+1,r.startTagEnd-2]):s.unshift([r.start+1,r.startTagEnd-1]),s=this.getAttributeLevelRanges(e,r,i).concat(s),s}return!r.startTagEnd||!r.endTagStart?s:(s.unshift([r.start,r.end]),r.start<i&&i<r.startTagEnd?(s.unshift([r.start+1,r.startTagEnd-1]),s=this.getAttributeLevelRanges(e,r,i).concat(s),s):r.startTagEnd<=i&&i<=r.endTagStart?(s.unshift([r.startTagEnd,r.endTagStart]),s):(i>=r.endTagStart+2&&s.unshift([r.endTagStart+2,r.end-1]),s))}getAllParentTagRanges(e){let t=e;const n=[];for(;t.parent;)t=t.parent,this.getNodeRanges(t).forEach(i=>n.push(i));return n}getNodeRanges(e){return e.startTagEnd&&e.endTagStart&&e.startTagEnd<e.endTagStart?[[e.startTagEnd,e.endTagStart],[e.start,e.end]]:[[e.start,e.end]]}getAttributeLevelRanges(e,t,n){const i=$.create(e.positionAt(t.start),e.positionAt(t.end)),r=e.getText(i),s=n-t.start,a=_e(r);let l=a.scan();const o=t.start,u=[];let c=!1,d=-1;for(;l!==z.EOS;){switch(l){case z.AttributeName:{if(s<a.getTokenOffset()){c=!1;break}s<=a.getTokenEnd()&&u.unshift([a.getTokenOffset(),a.getTokenEnd()]),c=!0,d=a.getTokenOffset();break}case z.AttributeValue:{if(!c)break;const m=a.getTokenText();if(s<a.getTokenOffset()){u.push([d,a.getTokenEnd()]);break}s>=a.getTokenOffset()&&s<=a.getTokenEnd()&&(u.unshift([a.getTokenOffset(),a.getTokenEnd()]),(m[0]==='"'&&m[m.length-1]==='"'||m[0]==="'"&&m[m.length-1]==="'")&&s>=a.getTokenOffset()+1&&s<=a.getTokenEnd()-1&&u.unshift([a.getTokenOffset()+1,a.getTokenEnd()-1]),u.push([d,a.getTokenEnd()]));break}}l=a.scan()}return u.map(m=>[m[0]+o,m[1]+o])}},sh={version:1.1,tags:[{name:"html",description:{kind:"markdown",value:"The html element represents the root of an HTML document."},attributes:[{name:"manifest",description:{kind:"markdown",value:"Specifies the URI of a resource manifest indicating resources that should be cached locally. See [Using the application cache](https://developer.mozilla.org/en-US/docs/Web/HTML/Using_the_application_cache) for details."}},{name:"version",description:'Specifies the version of the HTML [Document Type Definition](https://developer.mozilla.org/en-US/docs/Glossary/DTD "Document Type Definition: In HTML, the doctype is the required "<!DOCTYPE html>" preamble found at the top of all documents. Its sole purpose is to prevent a browser from switching into so-called “quirks mode” when rendering a document; that is, the "<!DOCTYPE html>" doctype ensures that the browser makes a best-effort attempt at following the relevant specifications, rather than using a different rendering mode that is incompatible with some specifications.") that governs the current document. This attribute is not needed, because it is redundant with the version information in the document type declaration.'},{name:"xmlns",description:'Specifies the XML Namespace of the document. Default value is `"http://www.w3.org/1999/xhtml"`. This is required in documents parsed with XML parsers, and optional in text/html documents.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/html"}]},{name:"head",description:{kind:"markdown",value:"The head element represents a collection of metadata for the Document."},attributes:[{name:"profile",description:"The URIs of one or more metadata profiles, separated by white space."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/head"}]},{name:"title",description:{kind:"markdown",value:"The title element represents the document's title or name. Authors should use titles that identify their documents even when they are used out of context, for example in a user's history or bookmarks, or in search results. The document's title is often different from its first heading, since the first heading does not have to stand alone when taken out of context."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/title"}]},{name:"base",description:{kind:"markdown",value:"The base element allows authors to specify the document base URL for the purposes of resolving relative URLs, and the name of the default browsing context for the purposes of following hyperlinks. The element does not represent any content beyond this information."},void:!0,attributes:[{name:"href",description:{kind:"markdown",value:"The base URL to be used throughout the document for relative URL addresses. If this attribute is specified, this element must come before any other elements with attributes whose values are URLs. Absolute and relative URLs are allowed."}},{name:"target",valueSet:"target",description:{kind:"markdown",value:"A name or keyword indicating the default location to display the result when hyperlinks or forms cause navigation, for elements that do not have an explicit target reference. It is a name of, or keyword for, a _browsing context_ (for example: tab, window, or inline frame). The following keywords have special meanings:\n\n* `_self`: Load the result into the same browsing context as the current one. This value is the default if the attribute is not specified.\n* `_blank`: Load the result into a new unnamed browsing context.\n* `_parent`: Load the result into the parent browsing context of the current one. If there is no parent, this option behaves the same way as `_self`.\n* `_top`: Load the result into the top-level browsing context (that is, the browsing context that is an ancestor of the current one, and has no parent). If there is no parent, this option behaves the same way as `_self`.\n\nIf this attribute is specified, this element must come before any other elements with attributes whose values are URLs."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/base"}]},{name:"link",description:{kind:"markdown",value:"The link element allows authors to link their document to other resources."},void:!0,attributes:[{name:"href",description:{kind:"markdown",value:'This attribute specifies the [URL](https://developer.mozilla.org/en-US/docs/Glossary/URL "URL: Uniform Resource Locator (URL) is a text string specifying where a resource can be found on the Internet.") of the linked resource. A URL can be absolute or relative.'}},{name:"crossorigin",valueSet:"xo",description:{kind:"markdown",value:'This enumerated attribute indicates whether [CORS](https://developer.mozilla.org/en-US/docs/Glossary/CORS "CORS: CORS (Cross-Origin Resource Sharing) is a system, consisting of transmitting HTTP headers, that determines whether browsers block frontend JavaScript code from accessing responses for cross-origin requests.") must be used when fetching the resource. [CORS-enabled images](https://developer.mozilla.org/en-US/docs/Web/HTML/CORS_Enabled_Image) can be reused in the [`<canvas>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/canvas "Use the HTML <canvas> element with either the canvas scripting API or the WebGL API to draw graphics and animations.") element without being _tainted_. The allowed values are:\n\n`anonymous`\n\nA cross-origin request (i.e. with an [`Origin`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Origin "The Origin request header indicates where a fetch originates from. It doesn\'t include any path information, but only the server name. It is sent with CORS requests, as well as with POST requests. It is similar to the Referer header, but, unlike this header, it doesn\'t disclose the whole path.") HTTP header) is performed, but no credential is sent (i.e. no cookie, X.509 certificate, or HTTP Basic authentication). If the server does not give credentials to the origin site (by not setting the [`Access-Control-Allow-Origin`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Access-Control-Allow-Origin "The Access-Control-Allow-Origin response header indicates whether the response can be shared with requesting code from the given origin.") HTTP header) the image will be tainted and its usage restricted.\n\n`use-credentials`\n\nA cross-origin request (i.e. with an `Origin` HTTP header) is performed along with a credential sent (i.e. a cookie, certificate, and/or HTTP Basic authentication is performed). If the server does not give credentials to the origin site (through [`Access-Control-Allow-Credentials`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Access-Control-Allow-Credentials "The Access-Control-Allow-Credentials response header tells browsers whether to expose the response to frontend JavaScript code when the request\'s credentials mode (Request.credentials) is "include".") HTTP header), the resource will be _tainted_ and its usage restricted.\n\nIf the attribute is not present, the resource is fetched without a [CORS](https://developer.mozilla.org/en-US/docs/Glossary/CORS "CORS: CORS (Cross-Origin Resource Sharing) is a system, consisting of transmitting HTTP headers, that determines whether browsers block frontend JavaScript code from accessing responses for cross-origin requests.") request (i.e. without sending the `Origin` HTTP header), preventing its non-tainted usage. If invalid, it is handled as if the enumerated keyword **anonymous** was used. See [CORS settings attributes](https://developer.mozilla.org/en-US/docs/Web/HTML/CORS_settings_attributes) for additional information.'}},{name:"rel",description:{kind:"markdown",value:"This attribute names a relationship of the linked document to the current document. The attribute must be a space-separated list of the [link types values](https://developer.mozilla.org/en-US/docs/Web/HTML/Link_types)."}},{name:"media",description:{kind:"markdown",value:"This attribute specifies the media that the linked resource applies to. Its value must be a media type / [media query](https://developer.mozilla.org/en-US/docs/Web/CSS/Media_queries). This attribute is mainly useful when linking to external stylesheets — it allows the user agent to pick the best adapted one for the device it runs on.\n\n**Notes:**\n\n* In HTML 4, this can only be a simple white-space-separated list of media description literals, i.e., [media types and groups](https://developer.mozilla.org/en-US/docs/Web/CSS/@media), where defined and allowed as values for this attribute, such as `print`, `screen`, `aural`, `braille`. HTML5 extended this to any kind of [media queries](https://developer.mozilla.org/en-US/docs/Web/CSS/Media_queries), which are a superset of the allowed values of HTML 4.\n* Browsers not supporting [CSS3 Media Queries](https://developer.mozilla.org/en-US/docs/Web/CSS/Media_queries) won't necessarily recognize the adequate link; do not forget to set fallback links, the restricted set of media queries defined in HTML 4."}},{name:"hreflang",description:{kind:"markdown",value:"This attribute indicates the language of the linked resource. It is purely advisory. Allowed values are determined by [BCP47](https://www.ietf.org/rfc/bcp/bcp47.txt). Use this attribute only if the [`href`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/a#attr-href) attribute is present."}},{name:"type",description:{kind:"markdown",value:'This attribute is used to define the type of the content linked to. The value of the attribute should be a MIME type such as **text/html**, **text/css**, and so on. The common use of this attribute is to define the type of stylesheet being referenced (such as **text/css**), but given that CSS is the only stylesheet language used on the web, not only is it possible to omit the `type` attribute, but is actually now recommended practice. It is also used on `rel="preload"` link types, to make sure the browser only downloads file types that it supports.'}},{name:"sizes",description:{kind:"markdown",value:"This attribute defines the sizes of the icons for visual media contained in the resource. It must be present only if the [`rel`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/link#attr-rel) contains a value of `icon` or a non-standard type such as Apple's `apple-touch-icon`. It may have the following values:\n\n* `any`, meaning that the icon can be scaled to any size as it is in a vector format, like `image/svg+xml`.\n* a white-space separated list of sizes, each in the format `_<width in pixels>_x_<height in pixels>_` or `_<width in pixels>_X_<height in pixels>_`. Each of these sizes must be contained in the resource.\n\n**Note:** Most icon formats are only able to store one single icon; therefore most of the time the [`sizes`](https://developer.mozilla.org/en-US/docs/Web/HTML/Global_attributes#attr-sizes) contains only one entry. MS's ICO format does, as well as Apple's ICNS. ICO is more ubiquitous; you should definitely use it."}},{name:"as",description:'This attribute is only used when `rel="preload"` or `rel="prefetch"` has been set on the `<link>` element. It specifies the type of content being loaded by the `<link>`, which is necessary for content prioritization, request matching, application of correct [content security policy](https://developer.mozilla.org/en-US/docs/Web/HTTP/CSP), and setting of correct [`Accept`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Accept "The Accept request HTTP header advertises which content types, expressed as MIME types, the client is able to understand. Using content negotiation, the server then selects one of the proposals, uses it and informs the client of its choice with the Content-Type response header. Browsers set adequate values for this header depending on the context where the request is done: when fetching a CSS stylesheet a different value is set for the request than when fetching an image, video or a script.") request header.'},{name:"importance",description:"Indicates the relative importance of the resource. Priority hints are delegated using the values:"},{name:"importance",description:'**`auto`**: Indicates **no preference**. The browser may use its own heuristics to decide the priority of the resource.\n\n**`high`**: Indicates to the browser that the resource is of **high** priority.\n\n**`low`**: Indicates to the browser that the resource is of **low** priority.\n\n**Note:** The `importance` attribute may only be used for the `<link>` element if `rel="preload"` or `rel="prefetch"` is present.'},{name:"integrity",description:"Contains inline metadata — a base64-encoded cryptographic hash of the resource (file) you’re telling the browser to fetch. The browser can use this to verify that the fetched resource has been delivered free of unexpected manipulation. See [Subresource Integrity](https://developer.mozilla.org/en-US/docs/Web/Security/Subresource_Integrity)."},{name:"referrerpolicy",description:'A string indicating which referrer to use when fetching the resource:\n\n* `no-referrer` means that the [`Referer`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer "The Referer request header contains the address of the previous web page from which a link to the currently requested page was followed. The Referer header allows servers to identify where people are visiting them from and may use that data for analytics, logging, or optimized caching, for example.") header will not be sent.\n* `no-referrer-when-downgrade` means that no [`Referer`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer "The Referer request header contains the address of the previous web page from which a link to the currently requested page was followed. The Referer header allows servers to identify where people are visiting them from and may use that data for analytics, logging, or optimized caching, for example.") header will be sent when navigating to an origin without TLS (HTTPS). This is a user agent’s default behavior, if no policy is otherwise specified.\n* `origin` means that the referrer will be the origin of the page, which is roughly the scheme, the host, and the port.\n* `origin-when-cross-origin` means that navigating to other origins will be limited to the scheme, the host, and the port, while navigating on the same origin will include the referrer\'s path.\n* `unsafe-url` means that the referrer will include the origin and the path (but not the fragment, password, or username). This case is unsafe because it can leak origins and paths from TLS-protected resources to insecure origins.'},{name:"title",description:'The `title` attribute has special semantics on the `<link>` element. When used on a `<link rel="stylesheet">` it defines a [preferred or an alternate stylesheet](https://developer.mozilla.org/en-US/docs/Web/CSS/Alternative_style_sheets). Incorrectly using it may [cause the stylesheet to be ignored](https://developer.mozilla.org/en-US/docs/Correctly_Using_Titles_With_External_Stylesheets).'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/link"}]},{name:"meta",description:{kind:"markdown",value:"The meta element represents various kinds of metadata that cannot be expressed using the title, base, link, style, and script elements."},void:!0,attributes:[{name:"name",description:{kind:"markdown",value:`This attribute defines the name of a piece of document-level metadata. It should not be set if one of the attributes [\`itemprop\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Global_attributes#attr-itemprop), [\`http-equiv\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-http-equiv) or [\`charset\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-charset) is also set.
+
+This metadata name is associated with the value contained by the [\`content\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-content) attribute. The possible values for the name attribute are:
+
+* \`application-name\` which defines the name of the application running in the web page.
+
+ **Note:**
+
+ * Browsers may use this to identify the application. It is different from the [\`<title>\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/title "The HTML Title element (<title>) defines the document's title that is shown in a browser's title bar or a page's tab.") element, which usually contain the application name, but may also contain information like the document name or a status.
+ * Simple web pages shouldn't define an application-name.
+
+* \`author\` which defines the name of the document's author.
+* \`description\` which contains a short and accurate summary of the content of the page. Several browsers, like Firefox and Opera, use this as the default description of bookmarked pages.
+* \`generator\` which contains the identifier of the software that generated the page.
+* \`keywords\` which contains words relevant to the page's content separated by commas.
+* \`referrer\` which controls the [\`Referer\` HTTP header](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer) attached to requests sent from the document:
+
+ Values for the \`content\` attribute of \`<meta name="referrer">\`
+
+ \`no-referrer\`
+
+ Do not send a HTTP \`Referrer\` header.
+
+ \`origin\`
+
+ Send the [origin](https://developer.mozilla.org/en-US/docs/Glossary/Origin) of the document.
+
+ \`no-referrer-when-downgrade\`
+
+ Send the [origin](https://developer.mozilla.org/en-US/docs/Glossary/Origin) as a referrer to URLs as secure as the current page, (https→https), but does not send a referrer to less secure URLs (https→http). This is the default behaviour.
+
+ \`origin-when-cross-origin\`
+
+ Send the full URL (stripped of parameters) for same-origin requests, but only send the [origin](https://developer.mozilla.org/en-US/docs/Glossary/Origin) for other cases.
+
+ \`same-origin\`
+
+ A referrer will be sent for [same-site origins](https://developer.mozilla.org/en-US/docs/Web/Security/Same-origin_policy), but cross-origin requests will contain no referrer information.
+
+ \`strict-origin\`
+
+ Only send the origin of the document as the referrer to a-priori as-much-secure destination (HTTPS->HTTPS), but don't send it to a less secure destination (HTTPS->HTTP).
+
+ \`strict-origin-when-cross-origin\`
+
+ Send a full URL when performing a same-origin request, only send the origin of the document to a-priori as-much-secure destination (HTTPS->HTTPS), and send no header to a less secure destination (HTTPS->HTTP).
+
+ \`unsafe-URL\`
+
+ Send the full URL (stripped of parameters) for same-origin or cross-origin requests.
+
+ **Notes:**
+
+ * Some browsers support the deprecated values of \`always\`, \`default\`, and \`never\` for referrer.
+ * Dynamically inserting \`<meta name="referrer">\` (with [\`document.write\`](https://developer.mozilla.org/en-US/docs/Web/API/Document/write) or [\`appendChild\`](https://developer.mozilla.org/en-US/docs/Web/API/Node/appendChild)) makes the referrer behaviour unpredictable.
+ * When several conflicting policies are defined, the no-referrer policy is applied.
+
+
+This attribute may also have a value taken from the extended list defined on [WHATWG Wiki MetaExtensions page](https://wiki.whatwg.org/wiki/MetaExtensions). Although none have been formally accepted yet, a few commonly used names are:
+
+* \`creator\` which defines the name of the creator of the document, such as an organization or institution. If there are more than one, several [\`<meta>\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta "The HTML <meta> element represents metadata that cannot be represented by other HTML meta-related elements, like <base>, <link>, <script>, <style> or <title>.") elements should be used.
+* \`googlebot\`, a synonym of \`robots\`, is only followed by Googlebot (the indexing crawler for Google).
+* \`publisher\` which defines the name of the document's publisher.
+* \`robots\` which defines the behaviour that cooperative crawlers, or "robots", should use with the page. It is a comma-separated list of the values below:
+
+ Values for the content of \`<meta name="robots">\`
+
+ Value
+
+ Description
+
+ Used by
+
+ \`index\`
+
+ Allows the robot to index the page (default).
+
+ All
+
+ \`noindex\`
+
+ Requests the robot to not index the page.
+
+ All
+
+ \`follow\`
+
+ Allows the robot to follow the links on the page (default).
+
+ All
+
+ \`nofollow\`
+
+ Requests the robot to not follow the links on the page.
+
+ All
+
+ \`none\`
+
+ Equivalent to \`noindex, nofollow\`
+
+ [Google](https://support.google.com/webmasters/answer/79812)
+
+ \`noodp\`
+
+ Prevents using the [Open Directory Project](https://www.dmoz.org/) description, if any, as the page description in search engine results.
+
+ [Google](https://support.google.com/webmasters/answer/35624#nodmoz), [Yahoo](https://help.yahoo.com/kb/search-for-desktop/meta-tags-robotstxt-yahoo-search-sln2213.html#cont5), [Bing](https://www.bing.com/webmaster/help/which-robots-metatags-does-bing-support-5198d240)
+
+ \`noarchive\`
+
+ Requests the search engine not to cache the page content.
+
+ [Google](https://developers.google.com/webmasters/control-crawl-index/docs/robots_meta_tag#valid-indexing--serving-directives), [Yahoo](https://help.yahoo.com/kb/search-for-desktop/SLN2213.html), [Bing](https://www.bing.com/webmaster/help/which-robots-metatags-does-bing-support-5198d240)
+
+ \`nosnippet\`
+
+ Prevents displaying any description of the page in search engine results.
+
+ [Google](https://developers.google.com/webmasters/control-crawl-index/docs/robots_meta_tag#valid-indexing--serving-directives), [Bing](https://www.bing.com/webmaster/help/which-robots-metatags-does-bing-support-5198d240)
+
+ \`noimageindex\`
+
+ Requests this page not to appear as the referring page of an indexed image.
+
+ [Google](https://developers.google.com/webmasters/control-crawl-index/docs/robots_meta_tag#valid-indexing--serving-directives)
+
+ \`nocache\`
+
+ Synonym of \`noarchive\`.
+
+ [Bing](https://www.bing.com/webmaster/help/which-robots-metatags-does-bing-support-5198d240)
+
+ **Notes:**
+
+ * Only cooperative robots follow these rules. Do not expect to prevent e-mail harvesters with them.
+ * The robot still needs to access the page in order to read these rules. To prevent bandwidth consumption, use a _[robots.txt](https://developer.mozilla.org/en-US/docs/Glossary/robots.txt "robots.txt: Robots.txt is a file which is usually placed in the root of any website. It decides whether crawlers are permitted or forbidden access to the web site.")_ file.
+ * If you want to remove a page, \`noindex\` will work, but only after the robot visits the page again. Ensure that the \`robots.txt\` file is not preventing revisits.
+ * Some values are mutually exclusive, like \`index\` and \`noindex\`, or \`follow\` and \`nofollow\`. In these cases the robot's behaviour is undefined and may vary between them.
+ * Some crawler robots, like Google, Yahoo and Bing, support the same values for the HTTP header \`X-Robots-Tag\`; this allows non-HTML documents like images to use these rules.
+
+* \`slurp\`, is a synonym of \`robots\`, but only for Slurp - the crawler for Yahoo Search.
+* \`viewport\`, which gives hints about the size of the initial size of the [viewport](https://developer.mozilla.org/en-US/docs/Glossary/viewport "viewport: A viewport represents a polygonal (normally rectangular) area in computer graphics that is currently being viewed. In web browser terms, it refers to the part of the document you're viewing which is currently visible in its window (or the screen, if the document is being viewed in full screen mode). Content outside the viewport is not visible onscreen until scrolled into view."). Used by mobile devices only.
+
+ Values for the content of \`<meta name="viewport">\`
+
+ Value
+
+ Possible subvalues
+
+ Description
+
+ \`width\`
+
+ A positive integer number, or the text \`device-width\`
+
+ Defines the pixel width of the viewport that you want the web site to be rendered at.
+
+ \`height\`
+
+ A positive integer, or the text \`device-height\`
+
+ Defines the height of the viewport. Not used by any browser.
+
+ \`initial-scale\`
+
+ A positive number between \`0.0\` and \`10.0\`
+
+ Defines the ratio between the device width (\`device-width\` in portrait mode or \`device-height\` in landscape mode) and the viewport size.
+
+ \`maximum-scale\`
+
+ A positive number between \`0.0\` and \`10.0\`
+
+ Defines the maximum amount to zoom in. It must be greater or equal to the \`minimum-scale\` or the behaviour is undefined. Browser settings can ignore this rule and iOS10+ ignores it by default.
+
+ \`minimum-scale\`
+
+ A positive number between \`0.0\` and \`10.0\`
+
+ Defines the minimum zoom level. It must be smaller or equal to the \`maximum-scale\` or the behaviour is undefined. Browser settings can ignore this rule and iOS10+ ignores it by default.
+
+ \`user-scalable\`
+
+ \`yes\` or \`no\`
+
+ If set to \`no\`, the user is not able to zoom in the webpage. The default is \`yes\`. Browser settings can ignore this rule, and iOS10+ ignores it by default.
+
+ Specification
+
+ Status
+
+ Comment
+
+ [CSS Device Adaptation
+ The definition of '<meta name="viewport">' in that specification.](https://drafts.csswg.org/css-device-adapt/#viewport-meta)
+
+ Working Draft
+
+ Non-normatively describes the Viewport META element
+
+ See also: [\`@viewport\`](https://developer.mozilla.org/en-US/docs/Web/CSS/@viewport "The @viewport CSS at-rule lets you configure the viewport through which the document is viewed. It's primarily used for mobile devices, but is also used by desktop browsers that support features like "snap to edge" (such as Microsoft Edge).")
+
+ **Notes:**
+
+ * Though unstandardized, this declaration is respected by most mobile browsers due to de-facto dominance.
+ * The default values may vary between devices and browsers.
+ * To learn about this declaration in Firefox for Mobile, see [this article](https://developer.mozilla.org/en-US/docs/Mobile/Viewport_meta_tag "Mobile/Viewport meta tag").`}},{name:"http-equiv",description:{kind:"markdown",value:'Defines a pragma directive. The attribute is named `**http-equiv**(alent)` because all the allowed values are names of particular HTTP headers:\n\n* `"content-language"` \n Defines the default language of the page. It can be overridden by the [lang](https://developer.mozilla.org/en-US/docs/Web/HTML/Global_attributes/lang) attribute on any element.\n \n **Warning:** Do not use this value, as it is obsolete. Prefer the `lang` attribute on the [`<html>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/html "The HTML <html> element represents the root (top-level element) of an HTML document, so it is also referred to as the root element. All other elements must be descendants of this element.") element.\n \n* `"content-security-policy"` \n Allows page authors to define a [content policy](https://developer.mozilla.org/en-US/docs/Web/Security/CSP/CSP_policy_directives) for the current page. Content policies mostly specify allowed server origins and script endpoints which help guard against cross-site scripting attacks.\n* `"content-type"` \n Defines the [MIME type](https://developer.mozilla.org/en-US/docs/Glossary/MIME_type) of the document, followed by its character encoding. It follows the same syntax as the HTTP `content-type` entity-header field, but as it is inside a HTML page, most values other than `text/html` are impossible. Therefore the valid syntax for its `content` is the string \'`text/html`\' followed by a character set with the following syntax: \'`; charset=_IANAcharset_`\', where `IANAcharset` is the _preferred MIME name_ for a character set as [defined by the IANA.](https://www.iana.org/assignments/character-sets)\n \n **Warning:** Do not use this value, as it is obsolete. Use the [`charset`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-charset) attribute on the [`<meta>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta "The HTML <meta> element represents metadata that cannot be represented by other HTML meta-related elements, like <base>, <link>, <script>, <style> or <title>.") element.\n \n **Note:** As [`<meta>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta "The HTML <meta> element represents metadata that cannot be represented by other HTML meta-related elements, like <base>, <link>, <script>, <style> or <title>.") can\'t change documents\' types in XHTML or HTML5\'s XHTML serialization, never set the MIME type to an XHTML MIME type with `<meta>`.\n \n* `"refresh"` \n This instruction specifies:\n * The number of seconds until the page should be reloaded - only if the [`content`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-content) attribute contains a positive integer.\n * The number of seconds until the page should redirect to another - only if the [`content`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-content) attribute contains a positive integer followed by the string \'`;url=`\', and a valid URL.\n* `"set-cookie"` \n Defines a [cookie](https://developer.mozilla.org/en-US/docs/cookie) for the page. Its content must follow the syntax defined in the [IETF HTTP Cookie Specification](https://tools.ietf.org/html/draft-ietf-httpstate-cookie-14).\n \n **Warning:** Do not use this instruction, as it is obsolete. Use the HTTP header [`Set-Cookie`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Set-Cookie) instead.'}},{name:"content",description:{kind:"markdown",value:"This attribute contains the value for the [`http-equiv`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-http-equiv) or [`name`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-name) attribute, depending on which is used."}},{name:"charset",description:{kind:"markdown",value:'This attribute declares the page\'s character encoding. It must contain a [standard IANA MIME name for character encodings](https://www.iana.org/assignments/character-sets). Although the standard doesn\'t request a specific encoding, it suggests:\n\n* Authors are encouraged to use [`UTF-8`](https://developer.mozilla.org/en-US/docs/Glossary/UTF-8).\n* Authors should not use ASCII-incompatible encodings to avoid security risk: browsers not supporting them may interpret harmful content as HTML. This happens with the `JIS_C6226-1983`, `JIS_X0212-1990`, `HZ-GB-2312`, `JOHAB`, the ISO-2022 family and the EBCDIC family.\n\n**Note:** ASCII-incompatible encodings are those that don\'t map the 8-bit code points `0x20` to `0x7E` to the `0x0020` to `0x007E` Unicode code points)\n\n* Authors **must not** use `CESU-8`, `UTF-7`, `BOCU-1` and/or `SCSU` as [cross-site scripting](https://developer.mozilla.org/en-US/docs/Glossary/Cross-site_scripting) attacks with these encodings have been demonstrated.\n* Authors should not use `UTF-32` because not all HTML5 encoding algorithms can distinguish it from `UTF-16`.\n\n**Notes:**\n\n* The declared character encoding must match the one the page was saved with to avoid garbled characters and security holes.\n* The [`<meta>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta "The HTML <meta> element represents metadata that cannot be represented by other HTML meta-related elements, like <base>, <link>, <script>, <style> or <title>.") element declaring the encoding must be inside the [`<head>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/head "The HTML <head> element provides general information (metadata) about the document, including its title and links to its scripts and style sheets.") element and **within the first 1024 bytes** of the HTML as some browsers only look at those bytes before choosing an encoding.\n* This [`<meta>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta "The HTML <meta> element represents metadata that cannot be represented by other HTML meta-related elements, like <base>, <link>, <script>, <style> or <title>.") element is only one part of the [algorithm to determine a page\'s character set](https://www.whatwg.org/specs/web-apps/current-work/multipage/parsing.html#encoding-sniffing-algorithm "Algorithm charset page"). The [`Content-Type` header](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Content-Type) and any [Byte-Order Marks](https://developer.mozilla.org/en-US/docs/Glossary/Byte-Order_Mark "The definition of that term (Byte-Order Marks) has not been written yet; please consider contributing it!") override this element.\n* It is strongly recommended to define the character encoding. If a page\'s encoding is undefined, cross-scripting techniques are possible, such as the [`UTF-7` fallback cross-scripting technique](https://code.google.com/p/doctype-mirror/wiki/ArticleUtf7).\n* The [`<meta>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta "The HTML <meta> element represents metadata that cannot be represented by other HTML meta-related elements, like <base>, <link>, <script>, <style> or <title>.") element with a `charset` attribute is a synonym for the pre-HTML5 `<meta http-equiv="Content-Type" content="text/html; charset=_IANAcharset_">`, where _`IANAcharset`_ contains the value of the equivalent [`charset`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-charset) attribute. This syntax is still allowed, although no longer recommended.'}},{name:"scheme",description:"This attribute defines the scheme in which metadata is described. A scheme is a context leading to the correct interpretations of the [`content`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/meta#attr-content) value, like a format.\n\n**Warning:** Do not use this value, as it is obsolete. There is no replacement as there was no real usage for it."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/meta"}]},{name:"style",description:{kind:"markdown",value:"The style element allows authors to embed style information in their documents. The style element is one of several inputs to the styling processing model. The element does not represent content for the user."},attributes:[{name:"media",description:{kind:"markdown",value:"This attribute defines which media the style should be applied to. Its value is a [media query](https://developer.mozilla.org/en-US/docs/Web/Guide/CSS/Media_queries), which defaults to `all` if the attribute is missing."}},{name:"nonce",description:{kind:"markdown",value:"A cryptographic nonce (number used once) used to whitelist inline styles in a [style-src Content-Security-Policy](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Content-Security-Policy/style-src). The server must generate a unique nonce value each time it transmits a policy. It is critical to provide a nonce that cannot be guessed as bypassing a resource’s policy is otherwise trivial."}},{name:"type",description:{kind:"markdown",value:"This attribute defines the styling language as a MIME type (charset should not be specified). This attribute is optional and defaults to `text/css` if it is not specified — there is very little reason to include this in modern web documents."}},{name:"scoped",valueSet:"v"},{name:"title",description:"This attribute specifies [alternative style sheet](https://developer.mozilla.org/en-US/docs/Web/CSS/Alternative_style_sheets) sets."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/style"}]},{name:"body",description:{kind:"markdown",value:"The body element represents the content of the document."},attributes:[{name:"onafterprint",description:{kind:"markdown",value:"Function to call after the user has printed the document."}},{name:"onbeforeprint",description:{kind:"markdown",value:"Function to call when the user requests printing of the document."}},{name:"onbeforeunload",description:{kind:"markdown",value:"Function to call when the document is about to be unloaded."}},{name:"onhashchange",description:{kind:"markdown",value:"Function to call when the fragment identifier part (starting with the hash (`'#'`) character) of the document's current address has changed."}},{name:"onlanguagechange",description:{kind:"markdown",value:"Function to call when the preferred languages changed."}},{name:"onmessage",description:{kind:"markdown",value:"Function to call when the document has received a message."}},{name:"onoffline",description:{kind:"markdown",value:"Function to call when network communication has failed."}},{name:"ononline",description:{kind:"markdown",value:"Function to call when network communication has been restored."}},{name:"onpagehide"},{name:"onpageshow"},{name:"onpopstate",description:{kind:"markdown",value:"Function to call when the user has navigated session history."}},{name:"onstorage",description:{kind:"markdown",value:"Function to call when the storage area has changed."}},{name:"onunload",description:{kind:"markdown",value:"Function to call when the document is going away."}},{name:"alink",description:'Color of text for hyperlinks when selected. _This method is non-conforming, use CSS [`color`](https://developer.mozilla.org/en-US/docs/Web/CSS/color "The color CSS property sets the foreground color value of an element\'s text and text decorations, and sets the currentcolor value.") property in conjunction with the [`:active`](https://developer.mozilla.org/en-US/docs/Web/CSS/:active "The :active CSS pseudo-class represents an element (such as a button) that is being activated by the user.") pseudo-class instead._'},{name:"background",description:'URI of a image to use as a background. _This method is non-conforming, use CSS [`background`](https://developer.mozilla.org/en-US/docs/Web/CSS/background "The background shorthand CSS property sets all background style properties at once, such as color, image, origin and size, or repeat method.") property on the element instead._'},{name:"bgcolor",description:'Background color for the document. _This method is non-conforming, use CSS [`background-color`](https://developer.mozilla.org/en-US/docs/Web/CSS/background-color "The background-color CSS property sets the background color of an element.") property on the element instead._'},{name:"bottommargin",description:'The margin of the bottom of the body. _This method is non-conforming, use CSS [`margin-bottom`](https://developer.mozilla.org/en-US/docs/Web/CSS/margin-bottom "The margin-bottom CSS property sets the margin area on the bottom of an element. A positive value places it farther from its neighbors, while a negative value places it closer.") property on the element instead._'},{name:"leftmargin",description:'The margin of the left of the body. _This method is non-conforming, use CSS [`margin-left`](https://developer.mozilla.org/en-US/docs/Web/CSS/margin-left "The margin-left CSS property sets the margin area on the left side of an element. A positive value places it farther from its neighbors, while a negative value places it closer.") property on the element instead._'},{name:"link",description:'Color of text for unvisited hypertext links. _This method is non-conforming, use CSS [`color`](https://developer.mozilla.org/en-US/docs/Web/CSS/color "The color CSS property sets the foreground color value of an element\'s text and text decorations, and sets the currentcolor value.") property in conjunction with the [`:link`](https://developer.mozilla.org/en-US/docs/Web/CSS/:link "The :link CSS pseudo-class represents an element that has not yet been visited. It matches every unvisited <a>, <area>, or <link> element that has an href attribute.") pseudo-class instead._'},{name:"onblur",description:"Function to call when the document loses focus."},{name:"onerror",description:"Function to call when the document fails to load properly."},{name:"onfocus",description:"Function to call when the document receives focus."},{name:"onload",description:"Function to call when the document has finished loading."},{name:"onredo",description:"Function to call when the user has moved forward in undo transaction history."},{name:"onresize",description:"Function to call when the document has been resized."},{name:"onundo",description:"Function to call when the user has moved backward in undo transaction history."},{name:"rightmargin",description:'The margin of the right of the body. _This method is non-conforming, use CSS [`margin-right`](https://developer.mozilla.org/en-US/docs/Web/CSS/margin-right "The margin-right CSS property sets the margin area on the right side of an element. A positive value places it farther from its neighbors, while a negative value places it closer.") property on the element instead._'},{name:"text",description:'Foreground color of text. _This method is non-conforming, use CSS [`color`](https://developer.mozilla.org/en-US/docs/Web/CSS/color "The color CSS property sets the foreground color value of an element\'s text and text decorations, and sets the currentcolor value.") property on the element instead._'},{name:"topmargin",description:'The margin of the top of the body. _This method is non-conforming, use CSS [`margin-top`](https://developer.mozilla.org/en-US/docs/Web/CSS/margin-top "The margin-top CSS property sets the margin area on the top of an element. A positive value places it farther from its neighbors, while a negative value places it closer.") property on the element instead._'},{name:"vlink",description:'Color of text for visited hypertext links. _This method is non-conforming, use CSS [`color`](https://developer.mozilla.org/en-US/docs/Web/CSS/color "The color CSS property sets the foreground color value of an element\'s text and text decorations, and sets the currentcolor value.") property in conjunction with the [`:visited`](https://developer.mozilla.org/en-US/docs/Web/CSS/:visited "The :visited CSS pseudo-class represents links that the user has already visited. For privacy reasons, the styles that can be modified using this selector are very limited.") pseudo-class instead._'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/body"}]},{name:"article",description:{kind:"markdown",value:"The article element represents a complete, or self-contained, composition in a document, page, application, or site and that is, in principle, independently distributable or reusable, e.g. in syndication. This could be a forum post, a magazine or newspaper article, a blog entry, a user-submitted comment, an interactive widget or gadget, or any other independent item of content. Each article should be identified, typically by including a heading (h1–h6 element) as a child of the article element."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/article"}]},{name:"section",description:{kind:"markdown",value:"The section element represents a generic section of a document or application. A section, in this context, is a thematic grouping of content. Each section should be identified, typically by including a heading ( h1- h6 element) as a child of the section element."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/section"}]},{name:"nav",description:{kind:"markdown",value:"The nav element represents a section of a page that links to other pages or to parts within the page: a section with navigation links."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/nav"}]},{name:"aside",description:{kind:"markdown",value:"The aside element represents a section of a page that consists of content that is tangentially related to the content around the aside element, and which could be considered separate from that content. Such sections are often represented as sidebars in printed typography."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/aside"}]},{name:"h1",description:{kind:"markdown",value:"The h1 element represents a section heading."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/Heading_Elements"}]},{name:"h2",description:{kind:"markdown",value:"The h2 element represents a section heading."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/Heading_Elements"}]},{name:"h3",description:{kind:"markdown",value:"The h3 element represents a section heading."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/Heading_Elements"}]},{name:"h4",description:{kind:"markdown",value:"The h4 element represents a section heading."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/Heading_Elements"}]},{name:"h5",description:{kind:"markdown",value:"The h5 element represents a section heading."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/Heading_Elements"}]},{name:"h6",description:{kind:"markdown",value:"The h6 element represents a section heading."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/Heading_Elements"}]},{name:"header",description:{kind:"markdown",value:"The header element represents introductory content for its nearest ancestor sectioning content or sectioning root element. A header typically contains a group of introductory or navigational aids. When the nearest ancestor sectioning content or sectioning root element is the body element, then it applies to the whole page."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/header"}]},{name:"footer",description:{kind:"markdown",value:"The footer element represents a footer for its nearest ancestor sectioning content or sectioning root element. A footer typically contains information about its section such as who wrote it, links to related documents, copyright data, and the like."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/footer"}]},{name:"address",description:{kind:"markdown",value:"The address element represents the contact information for its nearest article or body element ancestor. If that is the body element, then the contact information applies to the document as a whole."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/address"}]},{name:"p",description:{kind:"markdown",value:"The p element represents a paragraph."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/p"}]},{name:"hr",description:{kind:"markdown",value:"The hr element represents a paragraph-level thematic break, e.g. a scene change in a story, or a transition to another topic within a section of a reference book."},void:!0,attributes:[{name:"align",description:"Sets the alignment of the rule on the page. If no value is specified, the default value is `left`."},{name:"color",description:"Sets the color of the rule through color name or hexadecimal value."},{name:"noshade",description:"Sets the rule to have no shading."},{name:"size",description:"Sets the height, in pixels, of the rule."},{name:"width",description:"Sets the length of the rule on the page through a pixel or percentage value."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/hr"}]},{name:"pre",description:{kind:"markdown",value:"The pre element represents a block of preformatted text, in which structure is represented by typographic conventions rather than by elements."},attributes:[{name:"cols",description:'Contains the _preferred_ count of characters that a line should have. It was a non-standard synonym of [`width`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/pre#attr-width). To achieve such an effect, use CSS [`width`](https://developer.mozilla.org/en-US/docs/Web/CSS/width "The width CSS property sets an element\'s width. By default it sets the width of the content area, but if box-sizing is set to border-box, it sets the width of the border area.") instead.'},{name:"width",description:'Contains the _preferred_ count of characters that a line should have. Though technically still implemented, this attribute has no visual effect; to achieve such an effect, use CSS [`width`](https://developer.mozilla.org/en-US/docs/Web/CSS/width "The width CSS property sets an element\'s width. By default it sets the width of the content area, but if box-sizing is set to border-box, it sets the width of the border area.") instead.'},{name:"wrap",description:'Is a _hint_ indicating how the overflow must happen. In modern browser this hint is ignored and no visual effect results in its present; to achieve such an effect, use CSS [`white-space`](https://developer.mozilla.org/en-US/docs/Web/CSS/white-space "The white-space CSS property sets how white space inside an element is handled.") instead.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/pre"}]},{name:"blockquote",description:{kind:"markdown",value:"The blockquote element represents content that is quoted from another source, optionally with a citation which must be within a footer or cite element, and optionally with in-line changes such as annotations and abbreviations."},attributes:[{name:"cite",description:{kind:"markdown",value:"A URL that designates a source document or message for the information quoted. This attribute is intended to point to information explaining the context or the reference for the quote."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/blockquote"}]},{name:"ol",description:{kind:"markdown",value:"The ol element represents a list of items, where the items have been intentionally ordered, such that changing the order would change the meaning of the document."},attributes:[{name:"reversed",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute specifies that the items of the list are specified in reversed order."}},{name:"start",description:{kind:"markdown",value:'This integer attribute specifies the start value for numbering the individual list items. Although the ordering type of list elements might be Roman numerals, such as XXXI, or letters, the value of start is always represented as a number. To start numbering elements from the letter "C", use `<ol start="3">`.\n\n**Note**: This attribute was deprecated in HTML4, but reintroduced in HTML5.'}},{name:"type",valueSet:"lt",description:{kind:"markdown",value:"Indicates the numbering type:\n\n* `'a'` indicates lowercase letters,\n* `'A'` indicates uppercase letters,\n* `'i'` indicates lowercase Roman numerals,\n* `'I'` indicates uppercase Roman numerals,\n* and `'1'` indicates numbers (default).\n\nThe type set is used for the entire list unless a different [`type`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/li#attr-type) attribute is used within an enclosed [`<li>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/li \"The HTML <li> element is used to represent an item in a list. It must be contained in a parent element: an ordered list (<ol>), an unordered list (<ul>), or a menu (<menu>). In menus and unordered lists, list items are usually displayed using bullet points. In ordered lists, they are usually displayed with an ascending counter on the left, such as a number or letter.\") element.\n\n**Note:** This attribute was deprecated in HTML4, but reintroduced in HTML5.\n\nUnless the value of the list number matters (e.g. in legal or technical documents where items are to be referenced by their number/letter), the CSS [`list-style-type`](https://developer.mozilla.org/en-US/docs/Web/CSS/list-style-type \"The list-style-type CSS property sets the marker (such as a disc, character, or custom counter style) of a list item element.\") property should be used instead."}},{name:"compact",description:'This Boolean attribute hints that the list should be rendered in a compact style. The interpretation of this attribute depends on the user agent and it doesn\'t work in all browsers.\n\n**Warning:** Do not use this attribute, as it has been deprecated: the [`<ol>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/ol "The HTML <ol> element represents an ordered list of items, typically rendered as a numbered list.") element should be styled using [CSS](https://developer.mozilla.org/en-US/docs/CSS). To give an effect similar to the `compact` attribute, the [CSS](https://developer.mozilla.org/en-US/docs/CSS) property [`line-height`](https://developer.mozilla.org/en-US/docs/Web/CSS/line-height "The line-height CSS property sets the amount of space used for lines, such as in text. On block-level elements, it specifies the minimum height of line boxes within the element. On non-replaced inline elements, it specifies the height that is used to calculate line box height.") can be used with a value of `80%`.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/ol"}]},{name:"ul",description:{kind:"markdown",value:"The ul element represents a list of items, where the order of the items is not important — that is, where changing the order would not materially change the meaning of the document."},attributes:[{name:"compact",description:'This Boolean attribute hints that the list should be rendered in a compact style. The interpretation of this attribute depends on the user agent and it doesn\'t work in all browsers.\n\n**Usage note: **Do not use this attribute, as it has been deprecated: the [`<ul>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/ul "The HTML <ul> element represents an unordered list of items, typically rendered as a bulleted list.") element should be styled using [CSS](https://developer.mozilla.org/en-US/docs/CSS). To give a similar effect as the `compact` attribute, the [CSS](https://developer.mozilla.org/en-US/docs/CSS) property [line-height](https://developer.mozilla.org/en-US/docs/CSS/line-height) can be used with a value of `80%`.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/ul"}]},{name:"li",description:{kind:"markdown",value:"The li element represents a list item. If its parent element is an ol, ul, or menu element, then the element is an item of the parent element's list, as defined for those elements. Otherwise, the list item has no defined list-related relationship to any other li element."},attributes:[{name:"value",description:{kind:"markdown",value:'This integer attribute indicates the current ordinal value of the list item as defined by the [`<ol>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/ol "The HTML <ol> element represents an ordered list of items, typically rendered as a numbered list.") element. The only allowed value for this attribute is a number, even if the list is displayed with Roman numerals or letters. List items that follow this one continue numbering from the value set. The **value** attribute has no meaning for unordered lists ([`<ul>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/ul "The HTML <ul> element represents an unordered list of items, typically rendered as a bulleted list.")) or for menus ([`<menu>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/menu "The HTML <menu> element represents a group of commands that a user can perform or activate. This includes both list menus, which might appear across the top of a screen, as well as context menus, such as those that might appear underneath a button after it has been clicked.")).\n\n**Note**: This attribute was deprecated in HTML4, but reintroduced in HTML5.\n\n**Note:** Prior to Gecko 9.0, negative values were incorrectly converted to 0. Starting in Gecko 9.0 all integer values are correctly parsed.'}},{name:"type",description:'This character attribute indicates the numbering type:\n\n* `a`: lowercase letters\n* `A`: uppercase letters\n* `i`: lowercase Roman numerals\n* `I`: uppercase Roman numerals\n* `1`: numbers\n\nThis type overrides the one used by its parent [`<ol>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/ol "The HTML <ol> element represents an ordered list of items, typically rendered as a numbered list.") element, if any.\n\n**Usage note:** This attribute has been deprecated: use the CSS [`list-style-type`](https://developer.mozilla.org/en-US/docs/Web/CSS/list-style-type "The list-style-type CSS property sets the marker (such as a disc, character, or custom counter style) of a list item element.") property instead.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/li"}]},{name:"dl",description:{kind:"markdown",value:"The dl element represents an association list consisting of zero or more name-value groups (a description list). A name-value group consists of one or more names (dt elements) followed by one or more values (dd elements), ignoring any nodes other than dt and dd elements. Within a single dl element, there should not be more than one dt element for each name."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/dl"}]},{name:"dt",description:{kind:"markdown",value:"The dt element represents the term, or name, part of a term-description group in a description list (dl element)."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/dt"}]},{name:"dd",description:{kind:"markdown",value:"The dd element represents the description, definition, or value, part of a term-description group in a description list (dl element)."},attributes:[{name:"nowrap",description:"If the value of this attribute is set to `yes`, the definition text will not wrap. The default value is `no`."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/dd"}]},{name:"figure",description:{kind:"markdown",value:"The figure element represents some flow content, optionally with a caption, that is self-contained (like a complete sentence) and is typically referenced as a single unit from the main flow of the document."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/figure"}]},{name:"figcaption",description:{kind:"markdown",value:"The figcaption element represents a caption or legend for the rest of the contents of the figcaption element's parent figure element, if any."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/figcaption"}]},{name:"main",description:{kind:"markdown",value:"The main element represents the main content of the body of a document or application. The main content area consists of content that is directly related to or expands upon the central topic of a document or central functionality of an application."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/main"}]},{name:"div",description:{kind:"markdown",value:"The div element has no special meaning at all. It represents its children. It can be used with the class, lang, and title attributes to mark up semantics common to a group of consecutive elements."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/div"}]},{name:"a",description:{kind:"markdown",value:"If the a element has an href attribute, then it represents a hyperlink (a hypertext anchor) labeled by its contents."},attributes:[{name:"href",description:{kind:"markdown",value:'Contains a URL or a URL fragment that the hyperlink points to.\nA URL fragment is a name preceded by a hash mark (`#`), which specifies an internal target location (an [`id`](https://developer.mozilla.org/en-US/docs/Web/HTML/Global_attributes#attr-id) of an HTML element) within the current document. URLs are not restricted to Web (HTTP)-based documents, but can use any protocol supported by the browser. For example, [`file:`](https://en.wikipedia.org/wiki/File_URI_scheme), `ftp:`, and `mailto:` work in most browsers.\n\n**Note:** You can use `href="#top"` or the empty fragment `href="#"` to link to the top of the current page. [This behavior is specified by HTML5](https://www.w3.org/TR/html5/single-page.html#scroll-to-fragid).'}},{name:"target",valueSet:"target",description:{kind:"markdown",value:'Specifies where to display the linked URL. It is a name of, or keyword for, a _browsing context_: a tab, window, or `<iframe>`. The following keywords have special meanings:\n\n* `_self`: Load the URL into the same browsing context as the current one. This is the default behavior.\n* `_blank`: Load the URL into a new browsing context. This is usually a tab, but users can configure browsers to use new windows instead.\n* `_parent`: Load the URL into the parent browsing context of the current one. If there is no parent, this behaves the same way as `_self`.\n* `_top`: Load the URL into the top-level browsing context (that is, the "highest" browsing context that is an ancestor of the current one, and has no parent). If there is no parent, this behaves the same way as `_self`.\n\n**Note:** When using `target`, consider adding `rel="noreferrer"` to avoid exploitation of the `window.opener` API.\n\n**Note:** Linking to another page using `target="_blank"` will run the new page on the same process as your page. If the new page is executing expensive JS, your page\'s performance may suffer. To avoid this use `rel="noopener"`.'}},{name:"download",description:{kind:"markdown",value:"This attribute instructs browsers to download a URL instead of navigating to it, so the user will be prompted to save it as a local file. If the attribute has a value, it is used as the pre-filled file name in the Save prompt (the user can still change the file name if they want). There are no restrictions on allowed values, though `/` and `\\` are converted to underscores. Most file systems limit some punctuation in file names, and browsers will adjust the suggested name accordingly.\n\n**Notes:**\n\n* This attribute only works for [same-origin URLs](https://developer.mozilla.org/en-US/docs/Web/Security/Same-origin_policy).\n* Although HTTP(s) URLs need to be in the same-origin, [`blob:` URLs](https://developer.mozilla.org/en-US/docs/Web/API/URL.createObjectURL) and [`data:` URLs](https://developer.mozilla.org/en-US/docs/Web/HTTP/Basics_of_HTTP/Data_URIs) are allowed so that content generated by JavaScript, such as pictures created in an image-editor Web app, can be downloaded.\n* If the HTTP header [`Content-Disposition:`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Content-Disposition) gives a different filename than this attribute, the HTTP header takes priority over this attribute.\n* If `Content-Disposition:` is set to `inline`, Firefox prioritizes `Content-Disposition`, like the filename case, while Chrome prioritizes the `download` attribute."}},{name:"ping",description:{kind:"markdown",value:'Contains a space-separated list of URLs to which, when the hyperlink is followed, [`POST`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Methods/POST "The HTTP POST method sends data to the server. The type of the body of the request is indicated by the Content-Type header.") requests with the body `PING` will be sent by the browser (in the background). Typically used for tracking.'}},{name:"rel",description:{kind:"markdown",value:"Specifies the relationship of the target object to the link object. The value is a space-separated list of [link types](https://developer.mozilla.org/en-US/docs/Web/HTML/Link_types)."}},{name:"hreflang",description:{kind:"markdown",value:'This attribute indicates the human language of the linked resource. It is purely advisory, with no built-in functionality. Allowed values are determined by [BCP47](https://www.ietf.org/rfc/bcp/bcp47.txt "Tags for Identifying Languages").'}},{name:"type",description:{kind:"markdown",value:'Specifies the media type in the form of a [MIME type](https://developer.mozilla.org/en-US/docs/Glossary/MIME_type "MIME type: A MIME type (now properly called "media type", but also sometimes "content type") is a string sent along with a file indicating the type of the file (describing the content format, for example, a sound file might be labeled audio/ogg, or an image file image/png).") for the linked URL. It is purely advisory, with no built-in functionality.'}},{name:"referrerpolicy",description:"Indicates which [referrer](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer) to send when fetching the URL:\n\n* `'no-referrer'` means the `Referer:` header will not be sent.\n* `'no-referrer-when-downgrade'` means no `Referer:` header will be sent when navigating to an origin without HTTPS. This is the default behavior.\n* `'origin'` means the referrer will be the [origin](https://developer.mozilla.org/en-US/docs/Glossary/Origin) of the page, not including information after the domain.\n* `'origin-when-cross-origin'` meaning that navigations to other origins will be limited to the scheme, the host and the port, while navigations on the same origin will include the referrer's path.\n* `'strict-origin-when-cross-origin'`\n* `'unsafe-url'` means the referrer will include the origin and path, but not the fragment, password, or username. This is unsafe because it can leak data from secure URLs to insecure ones."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/a"}]},{name:"em",description:{kind:"markdown",value:"The em element represents stress emphasis of its contents."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/em"}]},{name:"strong",description:{kind:"markdown",value:"The strong element represents strong importance, seriousness, or urgency for its contents."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/strong"}]},{name:"small",description:{kind:"markdown",value:"The small element represents side comments such as small print."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/small"}]},{name:"s",description:{kind:"markdown",value:"The s element represents contents that are no longer accurate or no longer relevant."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/s"}]},{name:"cite",description:{kind:"markdown",value:"The cite element represents a reference to a creative work. It must include the title of the work or the name of the author(person, people or organization) or an URL reference, or a reference in abbreviated form as per the conventions used for the addition of citation metadata."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/cite"}]},{name:"q",description:{kind:"markdown",value:"The q element represents some phrasing content quoted from another source."},attributes:[{name:"cite",description:{kind:"markdown",value:"The value of this attribute is a URL that designates a source document or message for the information quoted. This attribute is intended to point to information explaining the context or the reference for the quote."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/q"}]},{name:"dfn",description:{kind:"markdown",value:"The dfn element represents the defining instance of a term. The paragraph, description list group, or section that is the nearest ancestor of the dfn element must also contain the definition(s) for the term given by the dfn element."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/dfn"}]},{name:"abbr",description:{kind:"markdown",value:"The abbr element represents an abbreviation or acronym, optionally with its expansion. The title attribute may be used to provide an expansion of the abbreviation. The attribute, if specified, must contain an expansion of the abbreviation, and nothing else."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/abbr"}]},{name:"ruby",description:{kind:"markdown",value:"The ruby element allows one or more spans of phrasing content to be marked with ruby annotations. Ruby annotations are short runs of text presented alongside base text, primarily used in East Asian typography as a guide for pronunciation or to include other annotations. In Japanese, this form of typography is also known as furigana. Ruby text can appear on either side, and sometimes both sides, of the base text, and it is possible to control its position using CSS. A more complete introduction to ruby can be found in the Use Cases & Exploratory Approaches for Ruby Markup document as well as in CSS Ruby Module Level 1. [RUBY-UC] [CSSRUBY]"},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/ruby"}]},{name:"rb",description:{kind:"markdown",value:"The rb element marks the base text component of a ruby annotation. When it is the child of a ruby element, it doesn't represent anything itself, but its parent ruby element uses it as part of determining what it represents."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/rb"}]},{name:"rt",description:{kind:"markdown",value:"The rt element marks the ruby text component of a ruby annotation. When it is the child of a ruby element or of an rtc element that is itself the child of a ruby element, it doesn't represent anything itself, but its ancestor ruby element uses it as part of determining what it represents."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/rt"}]},{name:"rp",description:{kind:"markdown",value:"The rp element is used to provide fallback text to be shown by user agents that don't support ruby annotations. One widespread convention is to provide parentheses around the ruby text component of a ruby annotation."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/rp"}]},{name:"time",description:{kind:"markdown",value:"The time element represents its contents, along with a machine-readable form of those contents in the datetime attribute. The kind of content is limited to various kinds of dates, times, time-zone offsets, and durations, as described below."},attributes:[{name:"datetime",description:{kind:"markdown",value:"This attribute indicates the time and/or date of the element and must be in one of the formats described below."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/time"}]},{name:"code",description:{kind:"markdown",value:"The code element represents a fragment of computer code. This could be an XML element name, a file name, a computer program, or any other string that a computer would recognize."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/code"}]},{name:"var",description:{kind:"markdown",value:"The var element represents a variable. This could be an actual variable in a mathematical expression or programming context, an identifier representing a constant, a symbol identifying a physical quantity, a function parameter, or just be a term used as a placeholder in prose."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/var"}]},{name:"samp",description:{kind:"markdown",value:"The samp element represents sample or quoted output from another program or computing system."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/samp"}]},{name:"kbd",description:{kind:"markdown",value:"The kbd element represents user input (typically keyboard input, although it may also be used to represent other input, such as voice commands)."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/kbd"}]},{name:"sub",description:{kind:"markdown",value:"The sub element represents a subscript."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/sub"}]},{name:"sup",description:{kind:"markdown",value:"The sup element represents a superscript."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/sup"}]},{name:"i",description:{kind:"markdown",value:"The i element represents a span of text in an alternate voice or mood, or otherwise offset from the normal prose in a manner indicating a different quality of text, such as a taxonomic designation, a technical term, an idiomatic phrase from another language, transliteration, a thought, or a ship name in Western texts."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/i"}]},{name:"b",description:{kind:"markdown",value:"The b element represents a span of text to which attention is being drawn for utilitarian purposes without conveying any extra importance and with no implication of an alternate voice or mood, such as key words in a document abstract, product names in a review, actionable words in interactive text-driven software, or an article lede."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/b"}]},{name:"u",description:{kind:"markdown",value:"The u element represents a span of text with an unarticulated, though explicitly rendered, non-textual annotation, such as labeling the text as being a proper name in Chinese text (a Chinese proper name mark), or labeling the text as being misspelt."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/u"}]},{name:"mark",description:{kind:"markdown",value:"The mark element represents a run of text in one document marked or highlighted for reference purposes, due to its relevance in another context. When used in a quotation or other block of text referred to from the prose, it indicates a highlight that was not originally present but which has been added to bring the reader's attention to a part of the text that might not have been considered important by the original author when the block was originally written, but which is now under previously unexpected scrutiny. When used in the main prose of a document, it indicates a part of the document that has been highlighted due to its likely relevance to the user's current activity."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/mark"}]},{name:"bdi",description:{kind:"markdown",value:"The bdi element represents a span of text that is to be isolated from its surroundings for the purposes of bidirectional text formatting. [BIDI]"},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/bdi"}]},{name:"bdo",description:{kind:"markdown",value:"The bdo element represents explicit text directionality formatting control for its children. It allows authors to override the Unicode bidirectional algorithm by explicitly specifying a direction override. [BIDI]"},attributes:[{name:"dir",description:"The direction in which text should be rendered in this element's contents. Possible values are:\n\n* `ltr`: Indicates that the text should go in a left-to-right direction.\n* `rtl`: Indicates that the text should go in a right-to-left direction."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/bdo"}]},{name:"span",description:{kind:"markdown",value:"The span element doesn't mean anything on its own, but can be useful when used together with the global attributes, e.g. class, lang, or dir. It represents its children."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/span"}]},{name:"br",description:{kind:"markdown",value:"The br element represents a line break."},void:!0,attributes:[{name:"clear",description:"Indicates where to begin the next line after the break."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/br"}]},{name:"wbr",description:{kind:"markdown",value:"The wbr element represents a line break opportunity."},void:!0,attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/wbr"}]},{name:"ins",description:{kind:"markdown",value:"The ins element represents an addition to the document."},attributes:[{name:"cite",description:"This attribute defines the URI of a resource that explains the change, such as a link to meeting minutes or a ticket in a troubleshooting system."},{name:"datetime",description:'This attribute indicates the time and date of the change and must be a valid date with an optional time string. If the value cannot be parsed as a date with an optional time string, the element does not have an associated time stamp. For the format of the string without a time, see [Format of a valid date string](https://developer.mozilla.org/en-US/docs/Web/HTML/Date_and_time_formats#Format_of_a_valid_date_string "Certain HTML elements use date and/or time values. The formats of the strings that specify these are described in this article.") in [Date and time formats used in HTML](https://developer.mozilla.org/en-US/docs/Web/HTML/Date_and_time_formats "Certain HTML elements use date and/or time values. The formats of the strings that specify these are described in this article."). The format of the string if it includes both date and time is covered in [Format of a valid local date and time string](https://developer.mozilla.org/en-US/docs/Web/HTML/Date_and_time_formats#Format_of_a_valid_local_date_and_time_string "Certain HTML elements use date and/or time values. The formats of the strings that specify these are described in this article.") in [Date and time formats used in HTML](https://developer.mozilla.org/en-US/docs/Web/HTML/Date_and_time_formats "Certain HTML elements use date and/or time values. The formats of the strings that specify these are described in this article.").'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/ins"}]},{name:"del",description:{kind:"markdown",value:"The del element represents a removal from the document."},attributes:[{name:"cite",description:{kind:"markdown",value:"A URI for a resource that explains the change (for example, meeting minutes)."}},{name:"datetime",description:{kind:"markdown",value:'This attribute indicates the time and date of the change and must be a valid date string with an optional time. If the value cannot be parsed as a date with an optional time string, the element does not have an associated time stamp. For the format of the string without a time, see [Format of a valid date string](https://developer.mozilla.org/en-US/docs/Web/HTML/Date_and_time_formats#Format_of_a_valid_date_string "Certain HTML elements use date and/or time values. The formats of the strings that specify these are described in this article.") in [Date and time formats used in HTML](https://developer.mozilla.org/en-US/docs/Web/HTML/Date_and_time_formats "Certain HTML elements use date and/or time values. The formats of the strings that specify these are described in this article."). The format of the string if it includes both date and time is covered in [Format of a valid local date and time string](https://developer.mozilla.org/en-US/docs/Web/HTML/Date_and_time_formats#Format_of_a_valid_local_date_and_time_string "Certain HTML elements use date and/or time values. The formats of the strings that specify these are described in this article.") in [Date and time formats used in HTML](https://developer.mozilla.org/en-US/docs/Web/HTML/Date_and_time_formats "Certain HTML elements use date and/or time values. The formats of the strings that specify these are described in this article.").'}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/del"}]},{name:"picture",description:{kind:"markdown",value:"The picture element is a container which provides multiple sources to its contained img element to allow authors to declaratively control or give hints to the user agent about which image resource to use, based on the screen pixel density, viewport size, image format, and other factors. It represents its children."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/picture"}]},{name:"img",description:{kind:"markdown",value:"An img element represents an image."},void:!0,attributes:[{name:"alt",description:{kind:"markdown",value:'This attribute defines an alternative text description of the image.\n\n**Note:** Browsers do not always display the image referenced by the element. This is the case for non-graphical browsers (including those used by people with visual impairments), if the user chooses not to display images, or if the browser cannot display the image because it is invalid or an [unsupported type](#Supported_image_formats). In these cases, the browser may replace the image with the text defined in this element\'s `alt` attribute. You should, for these reasons and others, provide a useful value for `alt` whenever possible.\n\n**Note:** Omitting this attribute altogether indicates that the image is a key part of the content, and no textual equivalent is available. Setting this attribute to an empty string (`alt=""`) indicates that this image is _not_ a key part of the content (decorative), and that non-visual browsers may omit it from rendering.'}},{name:"src",description:{kind:"markdown",value:"The image URL. This attribute is mandatory for the `<img>` element. On browsers supporting `srcset`, `src` is treated like a candidate image with a pixel density descriptor `1x` unless an image with this pixel density descriptor is already defined in `srcset,` or unless `srcset` contains '`w`' descriptors."}},{name:"srcset",description:{kind:"markdown",value:"A list of one or more strings separated by commas indicating a set of possible image sources for the user agent to use. Each string is composed of:\n\n1. a URL to an image,\n2. optionally, whitespace followed by one of:\n * A width descriptor, or a positive integer directly followed by '`w`'. The width descriptor is divided by the source size given in the `sizes` attribute to calculate the effective pixel density.\n * A pixel density descriptor, which is a positive floating point number directly followed by '`x`'.\n\nIf no descriptor is specified, the source is assigned the default descriptor: `1x`.\n\nIt is incorrect to mix width descriptors and pixel density descriptors in the same `srcset` attribute. Duplicate descriptors (for instance, two sources in the same `srcset` which are both described with '`2x`') are also invalid.\n\nThe user agent selects any one of the available sources at its discretion. This provides them with significant leeway to tailor their selection based on things like user preferences or bandwidth conditions. See our [Responsive images](https://developer.mozilla.org/en-US/docs/Learn/HTML/Multimedia_and_embedding/Responsive_images) tutorial for an example."}},{name:"crossorigin",valueSet:"xo",description:{kind:"markdown",value:'This enumerated attribute indicates if the fetching of the related image must be done using CORS or not. [CORS-enabled images](https://developer.mozilla.org/en-US/docs/CORS_Enabled_Image) can be reused in the [`<canvas>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/canvas "Use the HTML <canvas> element with either the canvas scripting API or the WebGL API to draw graphics and animations.") element without being "[tainted](https://developer.mozilla.org/en-US/docs/Web/HTML/CORS_enabled_image#What_is_a_tainted_canvas)." The allowed values are:\n`anonymous`\n\nA cross-origin request (i.e., with `Origin:` HTTP header) is performed, but no credential is sent (i.e., no cookie, X.509 certificate, or HTTP Basic authentication). If the server does not give credentials to the origin site (by not setting the [`Access-Control-Allow-Origin`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Access-Control-Allow-Origin "The Access-Control-Allow-Origin response header indicates whether the response can be shared with requesting code from the given origin.") HTTP header), the image will be tainted and its usage restricted.\n\n`use-credentials`\n\nA cross-origin request (i.e., with the [`Origin`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Origin "The Origin request header indicates where a fetch originates from. It doesn\'t include any path information, but only the server name. It is sent with CORS requests, as well as with POST requests. It is similar to the Referer header, but, unlike this header, it doesn\'t disclose the whole path.") HTTP header) performed along with credentials sent (i.e., a cookie, certificate, or HTTP Basic authentication). If the server does not give credentials to the origin site (through the `Access-Control-Allow-Credentials` HTTP header), the image will be tainted and its usage restricted.\n\nIf the attribute is not present, the resource is fetched without a CORS request (i.e., without sending the `Origin` HTTP header), preventing its non-tainted usage in [`<canvas>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/canvas "Use the HTML <canvas> element with either the canvas scripting API or the WebGL API to draw graphics and animations.") elements. If invalid, it is handled as if the `anonymous` value was used. See [CORS settings attributes](https://developer.mozilla.org/en-US/docs/HTML/CORS_settings_attributes) for additional information.'}},{name:"usemap",description:{kind:"markdown",value:'The partial URL (starting with \'#\') of an [image map](https://developer.mozilla.org/en-US/docs/HTML/Element/map) associated with the element.\n\n**Note:** You cannot use this attribute if the `<img>` element is a descendant of an [`<a>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/a "The HTML <a> element (or anchor element) creates a hyperlink to other web pages, files, locations within the same page, email addresses, or any other URL.") or [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") element.'}},{name:"ismap",valueSet:"v",description:{kind:"markdown",value:'This Boolean attribute indicates that the image is part of a server-side map. If so, the precise coordinates of a click are sent to the server.\n\n**Note:** This attribute is allowed only if the `<img>` element is a descendant of an [`<a>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/a "The HTML <a> element (or anchor element) creates a hyperlink to other web pages, files, locations within the same page, email addresses, or any other URL.") element with a valid [`href`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/a#attr-href) attribute.'}},{name:"width",description:{kind:"markdown",value:"The intrinsic width of the image in pixels."}},{name:"height",description:{kind:"markdown",value:"The intrinsic height of the image in pixels."}},{name:"decoding",valueSet:"decoding",description:{kind:"markdown",value:`Provides an image decoding hint to the browser. The allowed values are:
+\`sync\`
+
+Decode the image synchronously for atomic presentation with other content.
+
+\`async\`
+
+Decode the image asynchronously to reduce delay in presenting other content.
+
+\`auto\`
+
+Default mode, which indicates no preference for the decoding mode. The browser decides what is best for the user.`}},{name:"loading",valueSet:"loading",description:{kind:"markdown",value:"Indicates how the browser should load the image."}},{name:"referrerpolicy",valueSet:"referrerpolicy",description:{kind:"markdown",value:"A string indicating which referrer to use when fetching the resource:\n\n* `no-referrer:` The [`Referer`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer \"The Referer request header contains the address of the previous web page from which a link to the currently requested page was followed. The Referer header allows servers to identify where people are visiting them from and may use that data for analytics, logging, or optimized caching, for example.\") header will not be sent.\n* `no-referrer-when-downgrade:` No `Referer` header will be sent when navigating to an origin without TLS (HTTPS). This is a user agent’s default behavior if no policy is otherwise specified.\n* `origin:` The `Referer` header will include the page of origin's scheme, the host, and the port.\n* `origin-when-cross-origin:` Navigating to other origins will limit the included referral data to the scheme, the host and the port, while navigating from the same origin will include the referrer's full path.\n* `unsafe-url:` The `Referer` header will include the origin and the path, but not the fragment, password, or username. This case is unsafe because it can leak origins and paths from TLS-protected resources to insecure origins."}},{name:"sizes",description:{kind:"markdown",value:"A list of one or more strings separated by commas indicating a set of source sizes. Each source size consists of:\n\n1. a media condition. This must be omitted for the last item.\n2. a source size value.\n\nSource size values specify the intended display size of the image. User agents use the current source size to select one of the sources supplied by the `srcset` attribute, when those sources are described using width ('`w`') descriptors. The selected source size affects the intrinsic size of the image (the image’s display size if no CSS styling is applied). If the `srcset` attribute is absent, or contains no values with a width (`w`) descriptor, then the `sizes` attribute has no effect."}},{name:"importance",description:"Indicates the relative importance of the resource. Priority hints are delegated using the values:"},{name:"importance",description:"`auto`: Indicates **no preference**. The browser may use its own heuristics to decide the priority of the image.\n\n`high`: Indicates to the browser that the image is of **high** priority.\n\n`low`: Indicates to the browser that the image is of **low** priority."},{name:"intrinsicsize",description:"This attribute tells the browser to ignore the actual intrinsic size of the image and pretend it’s the size specified in the attribute. Specifically, the image would raster at these dimensions and `naturalWidth`/`naturalHeight` on images would return the values specified in this attribute. [Explainer](https://github.com/ojanvafai/intrinsicsize-attribute), [examples](https://googlechrome.github.io/samples/intrinsic-size/index.html)"}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/img"}]},{name:"iframe",description:{kind:"markdown",value:"The iframe element represents a nested browsing context."},attributes:[{name:"src",description:{kind:"markdown",value:'The URL of the page to embed. Use a value of `about:blank` to embed an empty page that conforms to the [same-origin policy](https://developer.mozilla.org/en-US/docs/Web/Security/Same-origin_policy#Inherited_origins). Also note that programatically removing an `<iframe>`\'s src attribute (e.g. via [`Element.removeAttribute()`](https://developer.mozilla.org/en-US/docs/Web/API/Element/removeAttribute "The Element method removeAttribute() removes the attribute with the specified name from the element.")) causes `about:blank` to be loaded in the frame in Firefox (from version 65), Chromium-based browsers, and Safari/iOS.'}},{name:"srcdoc",description:{kind:"markdown",value:"Inline HTML to embed, overriding the `src` attribute. If a browser does not support the `srcdoc` attribute, it will fall back to the URL in the `src` attribute."}},{name:"name",description:{kind:"markdown",value:'A targetable name for the embedded browsing context. This can be used in the `target` attribute of the [`<a>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/a "The HTML <a> element (or anchor element) creates a hyperlink to other web pages, files, locations within the same page, email addresses, or any other URL."), [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server."), or [`<base>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/base "The HTML <base> element specifies the base URL to use for all relative URLs contained within a document. There can be only one <base> element in a document.") elements; the `formtarget` attribute of the [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") or [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") elements; or the `windowName` parameter in the [`window.open()`](https://developer.mozilla.org/en-US/docs/Web/API/Window/open "The Window interface\'s open() method loads the specified resource into the browsing context (window, <iframe> or tab) with the specified name. If the name doesn\'t exist, then a new window is opened and the specified resource is loaded into its browsing context.") method.'}},{name:"sandbox",valueSet:"sb",description:{kind:"markdown",value:'Applies extra restrictions to the content in the frame. The value of the attribute can either be empty to apply all restrictions, or space-separated tokens to lift particular restrictions:\n\n* `allow-forms`: Allows the resource to submit forms. If this keyword is not used, form submission is blocked.\n* `allow-modals`: Lets the resource [open modal windows](https://html.spec.whatwg.org/multipage/origin.html#sandboxed-modals-flag).\n* `allow-orientation-lock`: Lets the resource [lock the screen orientation](https://developer.mozilla.org/en-US/docs/Web/API/Screen/lockOrientation).\n* `allow-pointer-lock`: Lets the resource use the [Pointer Lock API](https://developer.mozilla.org/en-US/docs/WebAPI/Pointer_Lock).\n* `allow-popups`: Allows popups (such as `window.open()`, `target="_blank"`, or `showModalDialog()`). If this keyword is not used, the popup will silently fail to open.\n* `allow-popups-to-escape-sandbox`: Lets the sandboxed document open new windows without those windows inheriting the sandboxing. For example, this can safely sandbox an advertisement without forcing the same restrictions upon the page the ad links to.\n* `allow-presentation`: Lets the resource start a [presentation session](https://developer.mozilla.org/en-US/docs/Web/API/PresentationRequest).\n* `allow-same-origin`: If this token is not used, the resource is treated as being from a special origin that always fails the [same-origin policy](https://developer.mozilla.org/en-US/docs/Glossary/same-origin_policy "same-origin policy: The same-origin policy is a critical security mechanism that restricts how a document or script loaded from one origin can interact with a resource from another origin.").\n* `allow-scripts`: Lets the resource run scripts (but not create popup windows).\n* `allow-storage-access-by-user-activation` : Lets the resource request access to the parent\'s storage capabilities with the [Storage Access API](https://developer.mozilla.org/en-US/docs/Web/API/Storage_Access_API).\n* `allow-top-navigation`: Lets the resource navigate the top-level browsing context (the one named `_top`).\n* `allow-top-navigation-by-user-activation`: Lets the resource navigate the top-level browsing context, but only if initiated by a user gesture.\n\n**Notes about sandboxing:**\n\n* When the embedded document has the same origin as the embedding page, it is **strongly discouraged** to use both `allow-scripts` and `allow-same-origin`, as that lets the embedded document remove the `sandbox` attribute — making it no more secure than not using the `sandbox` attribute at all.\n* Sandboxing is useless if the attacker can display content outside a sandboxed `iframe` — such as if the viewer opens the frame in a new tab. Such content should be also served from a _separate origin_ to limit potential damage.\n* The `sandbox` attribute is unsupported in Internet Explorer 9 and earlier.'}},{name:"seamless",valueSet:"v"},{name:"allowfullscreen",valueSet:"v",description:{kind:"markdown",value:'Set to `true` if the `<iframe>` can activate fullscreen mode by calling the [`requestFullscreen()`](https://developer.mozilla.org/en-US/docs/Web/API/Element/requestFullscreen "The Element.requestFullscreen() method issues an asynchronous request to make the element be displayed in full-screen mode.") method.\nThis attribute is considered a legacy attribute and redefined as `allow="fullscreen"`.'}},{name:"width",description:{kind:"markdown",value:"The width of the frame in CSS pixels. Default is `300`."}},{name:"height",description:{kind:"markdown",value:"The height of the frame in CSS pixels. Default is `150`."}},{name:"allow",description:"Specifies a [feature policy](https://developer.mozilla.org/en-US/docs/Web/HTTP/Feature_Policy) for the `<iframe>`."},{name:"allowpaymentrequest",description:"Set to `true` if a cross-origin `<iframe>` should be allowed to invoke the [Payment Request API](https://developer.mozilla.org/en-US/docs/Web/API/Payment_Request_API)."},{name:"allowpaymentrequest",description:'This attribute is considered a legacy attribute and redefined as `allow="payment"`.'},{name:"csp",description:'A [Content Security Policy](https://developer.mozilla.org/en-US/docs/Web/HTTP/CSP) enforced for the embedded resource. See [`HTMLIFrameElement.csp`](https://developer.mozilla.org/en-US/docs/Web/API/HTMLIFrameElement/csp "The csp property of the HTMLIFrameElement interface specifies the Content Security Policy that an embedded document must agree to enforce upon itself.") for details.'},{name:"importance",description:`The download priority of the resource in the \`<iframe>\`'s \`src\` attribute. Allowed values:
+
+\`auto\` (default)
+
+No preference. The browser uses its own heuristics to decide the priority of the resource.
+
+\`high\`
+
+The resource should be downloaded before other lower-priority page resources.
+
+\`low\`
+
+The resource should be downloaded after other higher-priority page resources.`},{name:"referrerpolicy",description:'Indicates which [referrer](https://developer.mozilla.org/en-US/docs/Web/API/Document/referrer) to send when fetching the frame\'s resource:\n\n* `no-referrer`: The [`Referer`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer "The Referer request header contains the address of the previous web page from which a link to the currently requested page was followed. The Referer header allows servers to identify where people are visiting them from and may use that data for analytics, logging, or optimized caching, for example.") header will not be sent.\n* `no-referrer-when-downgrade` (default): The [`Referer`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer "The Referer request header contains the address of the previous web page from which a link to the currently requested page was followed. The Referer header allows servers to identify where people are visiting them from and may use that data for analytics, logging, or optimized caching, for example.") header will not be sent to [origin](https://developer.mozilla.org/en-US/docs/Glossary/origin "origin: Web content\'s origin is defined by the scheme (protocol), host (domain), and port of the URL used to access it. Two objects have the same origin only when the scheme, host, and port all match.")s without [TLS](https://developer.mozilla.org/en-US/docs/Glossary/TLS "TLS: Transport Layer Security (TLS), previously known as Secure Sockets Layer (SSL), is a protocol used by applications to communicate securely across a network, preventing tampering with and eavesdropping on email, web browsing, messaging, and other protocols.") ([HTTPS](https://developer.mozilla.org/en-US/docs/Glossary/HTTPS "HTTPS: HTTPS (HTTP Secure) is an encrypted version of the HTTP protocol. It usually uses SSL or TLS to encrypt all communication between a client and a server. This secure connection allows clients to safely exchange sensitive data with a server, for example for banking activities or online shopping.")).\n* `origin`: The sent referrer will be limited to the origin of the referring page: its [scheme](https://developer.mozilla.org/en-US/docs/Archive/Mozilla/URIScheme), [host](https://developer.mozilla.org/en-US/docs/Glossary/host "host: A host is a device connected to the Internet (or a local network). Some hosts called servers offer additional services like serving webpages or storing files and emails."), and [port](https://developer.mozilla.org/en-US/docs/Glossary/port "port: For a computer connected to a network with an IP address, a port is a communication endpoint. Ports are designated by numbers, and below 1024 each port is associated by default with a specific protocol.").\n* `origin-when-cross-origin`: The referrer sent to other origins will be limited to the scheme, the host, and the port. Navigations on the same origin will still include the path.\n* `same-origin`: A referrer will be sent for [same origin](https://developer.mozilla.org/en-US/docs/Glossary/Same-origin_policy "same origin: The same-origin policy is a critical security mechanism that restricts how a document or script loaded from one origin can interact with a resource from another origin."), but cross-origin requests will contain no referrer information.\n* `strict-origin`: Only send the origin of the document as the referrer when the protocol security level stays the same (HTTPS→HTTPS), but don\'t send it to a less secure destination (HTTPS→HTTP).\n* `strict-origin-when-cross-origin`: Send a full URL when performing a same-origin request, only send the origin when the protocol security level stays the same (HTTPS→HTTPS), and send no header to a less secure destination (HTTPS→HTTP).\n* `unsafe-url`: The referrer will include the origin _and_ the path (but not the [fragment](https://developer.mozilla.org/en-US/docs/Web/API/HTMLHyperlinkElementUtils/hash), [password](https://developer.mozilla.org/en-US/docs/Web/API/HTMLHyperlinkElementUtils/password), or [username](https://developer.mozilla.org/en-US/docs/Web/API/HTMLHyperlinkElementUtils/username)). **This value is unsafe**, because it leaks origins and paths from TLS-protected resources to insecure origins.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/iframe"}]},{name:"embed",description:{kind:"markdown",value:"The embed element provides an integration point for an external (typically non-HTML) application or interactive content."},void:!0,attributes:[{name:"src",description:{kind:"markdown",value:"The URL of the resource being embedded."}},{name:"type",description:{kind:"markdown",value:"The MIME type to use to select the plug-in to instantiate."}},{name:"width",description:{kind:"markdown",value:"The displayed width of the resource, in [CSS pixels](https://drafts.csswg.org/css-values/#px). This must be an absolute value; percentages are _not_ allowed."}},{name:"height",description:{kind:"markdown",value:"The displayed height of the resource, in [CSS pixels](https://drafts.csswg.org/css-values/#px). This must be an absolute value; percentages are _not_ allowed."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/embed"}]},{name:"object",description:{kind:"markdown",value:"The object element can represent an external resource, which, depending on the type of the resource, will either be treated as an image, as a nested browsing context, or as an external resource to be processed by a plugin."},attributes:[{name:"data",description:{kind:"markdown",value:"The address of the resource as a valid URL. At least one of **data** and **type** must be defined."}},{name:"type",description:{kind:"markdown",value:"The [content type](https://developer.mozilla.org/en-US/docs/Glossary/Content_type) of the resource specified by **data**. At least one of **data** and **type** must be defined."}},{name:"typemustmatch",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute indicates if the **type** attribute and the actual [content type](https://developer.mozilla.org/en-US/docs/Glossary/Content_type) of the resource must match to be used."}},{name:"name",description:{kind:"markdown",value:"The name of valid browsing context (HTML5), or the name of the control (HTML 4)."}},{name:"usemap",description:{kind:"markdown",value:"A hash-name reference to a [`<map>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/map \"The HTML <map> element is used with <area> elements to define an image map (a clickable link area).\") element; that is a '#' followed by the value of a [`name`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/map#attr-name) of a map element."}},{name:"form",description:{kind:"markdown",value:'The form element, if any, that the object element is associated with (its _form owner_). The value of the attribute must be an ID of a [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") element in the same document.'}},{name:"width",description:{kind:"markdown",value:"The width of the display resource, in [CSS pixels](https://drafts.csswg.org/css-values/#px). -- (Absolute values only. [NO percentages](https://html.spec.whatwg.org/multipage/embedded-content.html#dimension-attributes))"}},{name:"height",description:{kind:"markdown",value:"The height of the displayed resource, in [CSS pixels](https://drafts.csswg.org/css-values/#px). -- (Absolute values only. [NO percentages](https://html.spec.whatwg.org/multipage/embedded-content.html#dimension-attributes))"}},{name:"archive",description:"A space-separated list of URIs for archives of resources for the object."},{name:"border",description:"The width of a border around the control, in pixels."},{name:"classid",description:"The URI of the object's implementation. It can be used together with, or in place of, the **data** attribute."},{name:"codebase",description:"The base path used to resolve relative URIs specified by **classid**, **data**, or **archive**. If not specified, the default is the base URI of the current document."},{name:"codetype",description:"The content type of the data specified by **classid**."},{name:"declare",description:"The presence of this Boolean attribute makes this element a declaration only. The object must be instantiated by a subsequent `<object>` element. In HTML5, repeat the <object> element completely each that that the resource is reused."},{name:"standby",description:"A message that the browser can show while loading the object's implementation and data."},{name:"tabindex",description:"The position of the element in the tabbing navigation order for the current document."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/object"}]},{name:"param",description:{kind:"markdown",value:"The param element defines parameters for plugins invoked by object elements. It does not represent anything on its own."},void:!0,attributes:[{name:"name",description:{kind:"markdown",value:"Name of the parameter."}},{name:"value",description:{kind:"markdown",value:"Specifies the value of the parameter."}},{name:"type",description:'Only used if the `valuetype` is set to "ref". Specifies the MIME type of values found at the URI specified by value.'},{name:"valuetype",description:`Specifies the type of the \`value\` attribute. Possible values are:
+
+* data: Default value. The value is passed to the object's implementation as a string.
+* ref: The value is a URI to a resource where run-time values are stored.
+* object: An ID of another [\`<object>\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/object "The HTML <object> element represents an external resource, which can be treated as an image, a nested browsing context, or a resource to be handled by a plugin.") in the same document.`}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/param"}]},{name:"video",description:{kind:"markdown",value:"A video element is used for playing videos or movies, and audio files with captions."},attributes:[{name:"src"},{name:"crossorigin",valueSet:"xo"},{name:"poster"},{name:"preload",valueSet:"pl"},{name:"autoplay",valueSet:"v",description:{kind:"markdown",value:'A Boolean attribute; if specified, the video automatically begins to play back as soon as it can do so without stopping to finish loading the data.\n**Note**: Sites that automatically play audio (or video with an audio track) can be an unpleasant experience for users, so it should be avoided when possible. If you must offer autoplay functionality, you should make it opt-in (requiring a user to specifically enable it). However, this can be useful when creating media elements whose source will be set at a later time, under user control.\n\nTo disable video autoplay, `autoplay="false"` will not work; the video will autoplay if the attribute is there in the `<video>` tag at all. To remove autoplay the attribute needs to be removed altogether.\n\nIn some browsers (e.g. Chrome 70.0) autoplay is not working if no `muted` attribute is present.'}},{name:"mediagroup"},{name:"loop",valueSet:"v"},{name:"muted",valueSet:"v"},{name:"controls",valueSet:"v"},{name:"width"},{name:"height"}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/video"}]},{name:"audio",description:{kind:"markdown",value:"An audio element represents a sound or audio stream."},attributes:[{name:"src",description:{kind:"markdown",value:'The URL of the audio to embed. This is subject to [HTTP access controls](https://developer.mozilla.org/en-US/docs/HTTP_access_control). This is optional; you may instead use the [`<source>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/source "The HTML <source> element specifies multiple media resources for the <picture>, the <audio> element, or the <video> element.") element within the audio block to specify the audio to embed.'}},{name:"crossorigin",valueSet:"xo",description:{kind:"markdown",value:'This enumerated attribute indicates whether to use CORS to fetch the related image. [CORS-enabled resources](https://developer.mozilla.org/en-US/docs/CORS_Enabled_Image) can be reused in the [`<canvas>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/canvas "Use the HTML <canvas> element with either the canvas scripting API or the WebGL API to draw graphics and animations.") element without being _tainted_. The allowed values are:\n\nanonymous\n\nSends a cross-origin request without a credential. In other words, it sends the `Origin:` HTTP header without a cookie, X.509 certificate, or performing HTTP Basic authentication. If the server does not give credentials to the origin site (by not setting the `Access-Control-Allow-Origin:` HTTP header), the image will be _tainted_, and its usage restricted.\n\nuse-credentials\n\nSends a cross-origin request with a credential. In other words, it sends the `Origin:` HTTP header with a cookie, a certificate, or performing HTTP Basic authentication. If the server does not give credentials to the origin site (through `Access-Control-Allow-Credentials:` HTTP header), the image will be _tainted_ and its usage restricted.\n\nWhen not present, the resource is fetched without a CORS request (i.e. without sending the `Origin:` HTTP header), preventing its non-tainted used in [`<canvas>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/canvas "Use the HTML <canvas> element with either the canvas scripting API or the WebGL API to draw graphics and animations.") elements. If invalid, it is handled as if the enumerated keyword **anonymous** was used. See [CORS settings attributes](https://developer.mozilla.org/en-US/docs/HTML/CORS_settings_attributes) for additional information.'}},{name:"preload",valueSet:"pl",description:{kind:"markdown",value:"This enumerated attribute is intended to provide a hint to the browser about what the author thinks will lead to the best user experience. It may have one of the following values:\n\n* `none`: Indicates that the audio should not be preloaded.\n* `metadata`: Indicates that only audio metadata (e.g. length) is fetched.\n* `auto`: Indicates that the whole audio file can be downloaded, even if the user is not expected to use it.\n* _empty string_: A synonym of the `auto` value.\n\nIf not set, `preload`'s default value is browser-defined (i.e. each browser may have its own default value). The spec advises it to be set to `metadata`.\n\n**Usage notes:**\n\n* The `autoplay` attribute has precedence over `preload`. If `autoplay` is specified, the browser would obviously need to start downloading the audio for playback.\n* The browser is not forced by the specification to follow the value of this attribute; it is a mere hint."}},{name:"autoplay",valueSet:"v",description:{kind:"markdown",value:`A Boolean attribute: if specified, the audio will automatically begin playback as soon as it can do so, without waiting for the entire audio file to finish downloading.
+
+**Note**: Sites that automatically play audio (or videos with an audio track) can be an unpleasant experience for users, so should be avoided when possible. If you must offer autoplay functionality, you should make it opt-in (requiring a user to specifically enable it). However, this can be useful when creating media elements whose source will be set at a later time, under user control.`}},{name:"mediagroup"},{name:"loop",valueSet:"v",description:{kind:"markdown",value:"A Boolean attribute: if specified, the audio player will automatically seek back to the start upon reaching the end of the audio."}},{name:"muted",valueSet:"v",description:{kind:"markdown",value:"A Boolean attribute that indicates whether the audio will be initially silenced. Its default value is `false`."}},{name:"controls",valueSet:"v",description:{kind:"markdown",value:"If this attribute is present, the browser will offer controls to allow the user to control audio playback, including volume, seeking, and pause/resume playback."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/audio"}]},{name:"source",description:{kind:"markdown",value:"The source element allows authors to specify multiple alternative media resources for media elements. It does not represent anything on its own."},void:!0,attributes:[{name:"src",description:{kind:"markdown",value:'Required for [`<audio>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/audio "The HTML <audio> element is used to embed sound content in documents. It may contain one or more audio sources, represented using the src attribute or the <source> element: the browser will choose the most suitable one. It can also be the destination for streamed media, using a MediaStream.") and [`<video>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/video "The HTML Video element (<video>) embeds a media player which supports video playback into the document."), address of the media resource. The value of this attribute is ignored when the `<source>` element is placed inside a [`<picture>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/picture "The HTML <picture> element contains zero or more <source> elements and one <img> element to provide versions of an image for different display/device scenarios.") element.'}},{name:"type",description:{kind:"markdown",value:"The MIME-type of the resource, optionally with a `codecs` parameter. See [RFC 4281](https://tools.ietf.org/html/rfc4281) for information about how to specify codecs."}},{name:"sizes",description:'Is a list of source sizes that describes the final rendered width of the image represented by the source. Each source size consists of a comma-separated list of media condition-length pairs. This information is used by the browser to determine, before laying the page out, which image defined in [`srcset`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/source#attr-srcset) to use. \nThe `sizes` attribute has an effect only when the [`<source>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/source "The HTML <source> element specifies multiple media resources for the <picture>, the <audio> element, or the <video> element.") element is the direct child of a [`<picture>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/picture "The HTML <picture> element contains zero or more <source> elements and one <img> element to provide versions of an image for different display/device scenarios.") element.'},{name:"srcset",description:"A list of one or more strings separated by commas indicating a set of possible images represented by the source for the browser to use. Each string is composed of:\n\n1. one URL to an image,\n2. a width descriptor, that is a positive integer directly followed by `'w'`. The default value, if missing, is the infinity.\n3. a pixel density descriptor, that is a positive floating number directly followed by `'x'`. The default value, if missing, is `1x`.\n\nEach string in the list must have at least a width descriptor or a pixel density descriptor to be valid. Among the list, there must be only one string containing the same tuple of width descriptor and pixel density descriptor. \nThe browser chooses the most adequate image to display at a given point of time. \nThe `srcset` attribute has an effect only when the [`<source>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/source \"The HTML <source> element specifies multiple media resources for the <picture>, the <audio> element, or the <video> element.\") element is the direct child of a [`<picture>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/picture \"The HTML <picture> element contains zero or more <source> elements and one <img> element to provide versions of an image for different display/device scenarios.\") element."},{name:"media",description:'[Media query](https://developer.mozilla.org/en-US/docs/CSS/Media_queries) of the resource\'s intended media; this should be used only in a [`<picture>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/picture "The HTML <picture> element contains zero or more <source> elements and one <img> element to provide versions of an image for different display/device scenarios.") element.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/source"}]},{name:"track",description:{kind:"markdown",value:"The track element allows authors to specify explicit external timed text tracks for media elements. It does not represent anything on its own."},void:!0,attributes:[{name:"default",valueSet:"v",description:{kind:"markdown",value:"This attribute indicates that the track should be enabled unless the user's preferences indicate that another track is more appropriate. This may only be used on one `track` element per media element."}},{name:"kind",valueSet:"tk",description:{kind:"markdown",value:"How the text track is meant to be used. If omitted the default kind is `subtitles`. If the attribute is not present, it will use the `subtitles`. If the attribute contains an invalid value, it will use `metadata`. (Versions of Chrome earlier than 52 treated an invalid value as `subtitles`.) The following keywords are allowed:\n\n* `subtitles`\n * Subtitles provide translation of content that cannot be understood by the viewer. For example dialogue or text that is not English in an English language film.\n * Subtitles may contain additional content, usually extra background information. For example the text at the beginning of the Star Wars films, or the date, time, and location of a scene.\n* `captions`\n * Closed captions provide a transcription and possibly a translation of audio.\n * It may include important non-verbal information such as music cues or sound effects. It may indicate the cue's source (e.g. music, text, character).\n * Suitable for users who are deaf or when the sound is muted.\n* `descriptions`\n * Textual description of the video content.\n * Suitable for users who are blind or where the video cannot be seen.\n* `chapters`\n * Chapter titles are intended to be used when the user is navigating the media resource.\n* `metadata`\n * Tracks used by scripts. Not visible to the user."}},{name:"label",description:{kind:"markdown",value:"A user-readable title of the text track which is used by the browser when listing available text tracks."}},{name:"src",description:{kind:"markdown",value:'Address of the track (`.vtt` file). Must be a valid URL. This attribute must be specified and its URL value must have the same origin as the document — unless the [`<audio>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/audio "The HTML <audio> element is used to embed sound content in documents. It may contain one or more audio sources, represented using the src attribute or the <source> element: the browser will choose the most suitable one. It can also be the destination for streamed media, using a MediaStream.") or [`<video>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/video "The HTML Video element (<video>) embeds a media player which supports video playback into the document.") parent element of the `track` element has a [`crossorigin`](https://developer.mozilla.org/en-US/docs/Web/HTML/CORS_settings_attributes) attribute.'}},{name:"srclang",description:{kind:"markdown",value:"Language of the track text data. It must be a valid [BCP 47](https://r12a.github.io/app-subtags/) language tag. If the `kind` attribute is set to `subtitles,` then `srclang` must be defined."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/track"}]},{name:"map",description:{kind:"markdown",value:"The map element, in conjunction with an img element and any area element descendants, defines an image map. The element represents its children."},attributes:[{name:"name",description:{kind:"markdown",value:"The name attribute gives the map a name so that it can be referenced. The attribute must be present and must have a non-empty value with no space characters. The value of the name attribute must not be a compatibility-caseless match for the value of the name attribute of another map element in the same document. If the id attribute is also specified, both attributes must have the same value."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/map"}]},{name:"area",description:{kind:"markdown",value:"The area element represents either a hyperlink with some text and a corresponding area on an image map, or a dead area on an image map."},void:!0,attributes:[{name:"alt"},{name:"coords"},{name:"shape",valueSet:"sh"},{name:"href"},{name:"target",valueSet:"target"},{name:"download"},{name:"ping"},{name:"rel"},{name:"hreflang"},{name:"type"},{name:"accesskey",description:"Specifies a keyboard navigation accelerator for the element. Pressing ALT or a similar key in association with the specified character selects the form control correlated with that key sequence. Page designers are forewarned to avoid key sequences already bound to browsers. This attribute is global since HTML5."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/area"}]},{name:"table",description:{kind:"markdown",value:"The table element represents data with more than one dimension, in the form of a table."},attributes:[{name:"border"},{name:"align",description:'This enumerated attribute indicates how the table must be aligned inside the containing document. It may have the following values:\n\n* left: the table is displayed on the left side of the document;\n* center: the table is displayed in the center of the document;\n* right: the table is displayed on the right side of the document.\n\n**Usage Note**\n\n* **Do not use this attribute**, as it has been deprecated. The [`<table>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/table "The HTML <table> element represents tabular data — that is, information presented in a two-dimensional table comprised of rows and columns of cells containing data.") element should be styled using [CSS](https://developer.mozilla.org/en-US/docs/CSS). Set [`margin-left`](https://developer.mozilla.org/en-US/docs/Web/CSS/margin-left "The margin-left CSS property sets the margin area on the left side of an element. A positive value places it farther from its neighbors, while a negative value places it closer.") and [`margin-right`](https://developer.mozilla.org/en-US/docs/Web/CSS/margin-right "The margin-right CSS property sets the margin area on the right side of an element. A positive value places it farther from its neighbors, while a negative value places it closer.") to `auto` or [`margin`](https://developer.mozilla.org/en-US/docs/Web/CSS/margin "The margin CSS property sets the margin area on all four sides of an element. It is a shorthand for margin-top, margin-right, margin-bottom, and margin-left.") to `0 auto` to achieve an effect that is similar to the align attribute.\n* Prior to Firefox 4, Firefox also supported the `middle`, `absmiddle`, and `abscenter` values as synonyms of `center`, in quirks mode only.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/table"}]},{name:"caption",description:{kind:"markdown",value:"The caption element represents the title of the table that is its parent, if it has a parent and that is a table element."},attributes:[{name:"align",description:`This enumerated attribute indicates how the caption must be aligned with respect to the table. It may have one of the following values:
+
+\`left\`
+
+The caption is displayed to the left of the table.
+
+\`top\`
+
+The caption is displayed above the table.
+
+\`right\`
+
+The caption is displayed to the right of the table.
+
+\`bottom\`
+
+The caption is displayed below the table.
+
+**Usage note:** Do not use this attribute, as it has been deprecated. The [\`<caption>\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/caption "The HTML Table Caption element (<caption>) specifies the caption (or title) of a table, and if used is always the first child of a <table>.") element should be styled using the [CSS](https://developer.mozilla.org/en-US/docs/CSS) properties [\`caption-side\`](https://developer.mozilla.org/en-US/docs/Web/CSS/caption-side "The caption-side CSS property puts the content of a table's <caption> on the specified side. The values are relative to the writing-mode of the table.") and [\`text-align\`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.").`}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/caption"}]},{name:"colgroup",description:{kind:"markdown",value:"The colgroup element represents a group of one or more columns in the table that is its parent, if it has a parent and that is a table element."},attributes:[{name:"span"},{name:"align",description:'This enumerated attribute specifies how horizontal alignment of each column cell content will be handled. Possible values are:\n\n* `left`, aligning the content to the left of the cell\n* `center`, centering the content in the cell\n* `right`, aligning the content to the right of the cell\n* `justify`, inserting spaces into the textual content so that the content is justified in the cell\n* `char`, aligning the textual content on a special character with a minimal offset, defined by the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col#attr-char) and [`charoff`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col#attr-charoff) attributes Unimplemented (see [bug 2212](https://bugzilla.mozilla.org/show_bug.cgi?id=2212 "character alignment not implemented (align=char, charoff=, text-align:<string>)")).\n\nIf this attribute is not set, the `left` value is assumed. The descendant [`<col>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col "The HTML <col> element defines a column within a table and is used for defining common semantics on all common cells. It is generally found within a <colgroup> element.") elements may override this value using their own [`align`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col#attr-align) attribute.\n\n**Note:** Do not use this attribute as it is obsolete (not supported) in the latest standard.\n\n* To achieve the same effect as the `left`, `center`, `right` or `justify` values:\n * Do not try to set the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property on a selector giving a [`<colgroup>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/colgroup "The HTML <colgroup> element defines a group of columns within a table.") element. Because [`<td>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td "The HTML <td> element defines a cell of a table that contains data. It participates in the table model.") elements are not descendant of the [`<colgroup>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/colgroup "The HTML <colgroup> element defines a group of columns within a table.") element, they won\'t inherit it.\n * If the table doesn\'t use a [`colspan`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td#attr-colspan) attribute, use one `td:nth-child(an+b)` CSS selector per column, where a is the total number of the columns in the table and b is the ordinal position of this column in the table. Only after this selector the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property can be used.\n * If the table does use a [`colspan`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td#attr-colspan) attribute, the effect can be achieved by combining adequate CSS attribute selectors like `[colspan=n]`, though this is not trivial.\n* To achieve the same effect as the `char` value, in CSS3, you can use the value of the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/colgroup#attr-char) as the value of the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property Unimplemented.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/colgroup"}]},{name:"col",description:{kind:"markdown",value:"If a col element has a parent and that is a colgroup element that itself has a parent that is a table element, then the col element represents one or more columns in the column group represented by that colgroup."},void:!0,attributes:[{name:"span"},{name:"align",description:'This enumerated attribute specifies how horizontal alignment of each column cell content will be handled. Possible values are:\n\n* `left`, aligning the content to the left of the cell\n* `center`, centering the content in the cell\n* `right`, aligning the content to the right of the cell\n* `justify`, inserting spaces into the textual content so that the content is justified in the cell\n* `char`, aligning the textual content on a special character with a minimal offset, defined by the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col#attr-char) and [`charoff`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col#attr-charoff) attributes Unimplemented (see [bug 2212](https://bugzilla.mozilla.org/show_bug.cgi?id=2212 "character alignment not implemented (align=char, charoff=, text-align:<string>)")).\n\nIf this attribute is not set, its value is inherited from the [`align`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/colgroup#attr-align) of the [`<colgroup>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/colgroup "The HTML <colgroup> element defines a group of columns within a table.") element this `<col>` element belongs too. If there are none, the `left` value is assumed.\n\n**Note:** Do not use this attribute as it is obsolete (not supported) in the latest standard.\n\n* To achieve the same effect as the `left`, `center`, `right` or `justify` values:\n * Do not try to set the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property on a selector giving a [`<col>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col "The HTML <col> element defines a column within a table and is used for defining common semantics on all common cells. It is generally found within a <colgroup> element.") element. Because [`<td>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td "The HTML <td> element defines a cell of a table that contains data. It participates in the table model.") elements are not descendant of the [`<col>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col "The HTML <col> element defines a column within a table and is used for defining common semantics on all common cells. It is generally found within a <colgroup> element.") element, they won\'t inherit it.\n * If the table doesn\'t use a [`colspan`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td#attr-colspan) attribute, use the `td:nth-child(an+b)` CSS selector. Set `a` to zero and `b` to the position of the column in the table, e.g. `td:nth-child(2) { text-align: right; }` to right-align the second column.\n * If the table does use a [`colspan`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td#attr-colspan) attribute, the effect can be achieved by combining adequate CSS attribute selectors like `[colspan=n]`, though this is not trivial.\n* To achieve the same effect as the `char` value, in CSS3, you can use the value of the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/col#attr-char) as the value of the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property Unimplemented.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/col"}]},{name:"tbody",description:{kind:"markdown",value:"The tbody element represents a block of rows that consist of a body of data for the parent table element, if the tbody element has a parent and it is a table."},attributes:[{name:"align",description:'This enumerated attribute specifies how horizontal alignment of each cell content will be handled. Possible values are:\n\n* `left`, aligning the content to the left of the cell\n* `center`, centering the content in the cell\n* `right`, aligning the content to the right of the cell\n* `justify`, inserting spaces into the textual content so that the content is justified in the cell\n* `char`, aligning the textual content on a special character with a minimal offset, defined by the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/tbody#attr-char) and [`charoff`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/tbody#attr-charoff) attributes.\n\nIf this attribute is not set, the `left` value is assumed.\n\n**Note:** Do not use this attribute as it is obsolete (not supported) in the latest standard.\n\n* To achieve the same effect as the `left`, `center`, `right` or `justify` values, use the CSS [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property on it.\n* To achieve the same effect as the `char` value, in CSS3, you can use the value of the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/tbody#attr-char) as the value of the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property Unimplemented.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/tbody"}]},{name:"thead",description:{kind:"markdown",value:"The thead element represents the block of rows that consist of the column labels (headers) for the parent table element, if the thead element has a parent and it is a table."},attributes:[{name:"align",description:'This enumerated attribute specifies how horizontal alignment of each cell content will be handled. Possible values are:\n\n* `left`, aligning the content to the left of the cell\n* `center`, centering the content in the cell\n* `right`, aligning the content to the right of the cell\n* `justify`, inserting spaces into the textual content so that the content is justified in the cell\n* `char`, aligning the textual content on a special character with a minimal offset, defined by the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/thead#attr-char) and [`charoff`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/thead#attr-charoff) attributes Unimplemented (see [bug 2212](https://bugzilla.mozilla.org/show_bug.cgi?id=2212 "character alignment not implemented (align=char, charoff=, text-align:<string>)")).\n\nIf this attribute is not set, the `left` value is assumed.\n\n**Note:** Do not use this attribute as it is obsolete (not supported) in the latest standard.\n\n* To achieve the same effect as the `left`, `center`, `right` or `justify` values, use the CSS [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property on it.\n* To achieve the same effect as the `char` value, in CSS3, you can use the value of the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/thead#attr-char) as the value of the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property Unimplemented.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/thead"}]},{name:"tfoot",description:{kind:"markdown",value:"The tfoot element represents the block of rows that consist of the column summaries (footers) for the parent table element, if the tfoot element has a parent and it is a table."},attributes:[{name:"align",description:'This enumerated attribute specifies how horizontal alignment of each cell content will be handled. Possible values are:\n\n* `left`, aligning the content to the left of the cell\n* `center`, centering the content in the cell\n* `right`, aligning the content to the right of the cell\n* `justify`, inserting spaces into the textual content so that the content is justified in the cell\n* `char`, aligning the textual content on a special character with a minimal offset, defined by the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/tbody#attr-char) and [`charoff`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/tbody#attr-charoff) attributes Unimplemented (see [bug 2212](https://bugzilla.mozilla.org/show_bug.cgi?id=2212 "character alignment not implemented (align=char, charoff=, text-align:<string>)")).\n\nIf this attribute is not set, the `left` value is assumed.\n\n**Note:** Do not use this attribute as it is obsolete (not supported) in the latest standard.\n\n* To achieve the same effect as the `left`, `center`, `right` or `justify` values, use the CSS [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property on it.\n* To achieve the same effect as the `char` value, in CSS3, you can use the value of the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/tfoot#attr-char) as the value of the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property Unimplemented.'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/tfoot"}]},{name:"tr",description:{kind:"markdown",value:"The tr element represents a row of cells in a table."},attributes:[{name:"align",description:'A [`DOMString`](https://developer.mozilla.org/en-US/docs/Web/API/DOMString "DOMString is a UTF-16 String. As JavaScript already uses such strings, DOMString is mapped directly to a String.") which specifies how the cell\'s context should be aligned horizontally within the cells in the row; this is shorthand for using `align` on every cell in the row individually. Possible values are:\n\n`left`\n\nAlign the content of each cell at its left edge.\n\n`center`\n\nCenter the contents of each cell between their left and right edges.\n\n`right`\n\nAlign the content of each cell at its right edge.\n\n`justify`\n\nWiden whitespaces within the text of each cell so that the text fills the full width of each cell (full justification).\n\n`char`\n\nAlign each cell in the row on a specific character (such that each row in the column that is configured this way will horizontally align its cells on that character). This uses the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/tr#attr-char) and [`charoff`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/tr#attr-charoff) to establish the alignment character (typically "." or "," when aligning numerical data) and the number of characters that should follow the alignment character. This alignment type was never widely supported.\n\nIf no value is expressly set for `align`, the parent node\'s value is inherited.\n\nInstead of using the obsolete `align` attribute, you should instead use the CSS [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property to establish `left`, `center`, `right`, or `justify` alignment for the row\'s cells. To apply character-based alignment, set the CSS [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property to the alignment character (such as `"."` or `","`).'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/tr"}]},{name:"td",description:{kind:"markdown",value:"The td element represents a data cell in a table."},attributes:[{name:"colspan"},{name:"rowspan"},{name:"headers"},{name:"abbr",description:`This attribute contains a short abbreviated description of the cell's content. Some user-agents, such as speech readers, may present this description before the content itself.
+
+**Note:** Do not use this attribute as it is obsolete in the latest standard. Alternatively, you can put the abbreviated description inside the cell and place the long content in the **title** attribute.`},{name:"align",description:'This enumerated attribute specifies how the cell content\'s horizontal alignment will be handled. Possible values are:\n\n* `left`: The content is aligned to the left of the cell.\n* `center`: The content is centered in the cell.\n* `right`: The content is aligned to the right of the cell.\n* `justify` (with text only): The content is stretched out inside the cell so that it covers its entire width.\n* `char` (with text only): The content is aligned to a character inside the `<th>` element with minimal offset. This character is defined by the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td#attr-char) and [`charoff`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td#attr-charoff) attributes Unimplemented (see [bug 2212](https://bugzilla.mozilla.org/show_bug.cgi?id=2212 "character alignment not implemented (align=char, charoff=, text-align:<string>)")).\n\nThe default value when this attribute is not specified is `left`.\n\n**Note:** Do not use this attribute as it is obsolete in the latest standard.\n\n* To achieve the same effect as the `left`, `center`, `right` or `justify` values, apply the CSS [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property to the element.\n* To achieve the same effect as the `char` value, give the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property the same value you would use for the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td#attr-char). Unimplemented in CSS3.'},{name:"axis",description:"This attribute contains a list of space-separated strings. Each string is the `id` of a group of cells that this header applies to.\n\n**Note:** Do not use this attribute as it is obsolete in the latest standard."},{name:"bgcolor",description:`This attribute defines the background color of each cell in a column. It consists of a 6-digit hexadecimal code as defined in [sRGB](https://www.w3.org/Graphics/Color/sRGB) and is prefixed by '#'. This attribute may be used with one of sixteen predefined color strings:
+
+
+\`black\` = "#000000"
+
+
+\`green\` = "#008000"
+
+
+\`silver\` = "#C0C0C0"
+
+
+\`lime\` = "#00FF00"
+
+
+\`gray\` = "#808080"
+
+
+\`olive\` = "#808000"
+
+
+\`white\` = "#FFFFFF"
+
+
+\`yellow\` = "#FFFF00"
+
+
+\`maroon\` = "#800000"
+
+
+\`navy\` = "#000080"
+
+
+\`red\` = "#FF0000"
+
+
+\`blue\` = "#0000FF"
+
+
+\`purple\` = "#800080"
+
+
+\`teal\` = "#008080"
+
+
+\`fuchsia\` = "#FF00FF"
+
+
+\`aqua\` = "#00FFFF"
+
+**Note:** Do not use this attribute, as it is non-standard and only implemented in some versions of Microsoft Internet Explorer: The [\`<td>\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/td "The HTML <td> element defines a cell of a table that contains data. It participates in the table model.") element should be styled using [CSS](https://developer.mozilla.org/en-US/docs/CSS). To create a similar effect use the [\`background-color\`](https://developer.mozilla.org/en-US/docs/Web/CSS/background-color "The background-color CSS property sets the background color of an element.") property in [CSS](https://developer.mozilla.org/en-US/docs/CSS) instead.`}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/td"}]},{name:"th",description:{kind:"markdown",value:"The th element represents a header cell in a table."},attributes:[{name:"colspan"},{name:"rowspan"},{name:"headers"},{name:"scope",valueSet:"s"},{name:"sorted"},{name:"abbr",description:{kind:"markdown",value:"This attribute contains a short abbreviated description of the cell's content. Some user-agents, such as speech readers, may present this description before the content itself."}},{name:"align",description:'This enumerated attribute specifies how the cell content\'s horizontal alignment will be handled. Possible values are:\n\n* `left`: The content is aligned to the left of the cell.\n* `center`: The content is centered in the cell.\n* `right`: The content is aligned to the right of the cell.\n* `justify` (with text only): The content is stretched out inside the cell so that it covers its entire width.\n* `char` (with text only): The content is aligned to a character inside the `<th>` element with minimal offset. This character is defined by the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/th#attr-char) and [`charoff`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/th#attr-charoff) attributes.\n\nThe default value when this attribute is not specified is `left`.\n\n**Note:** Do not use this attribute as it is obsolete in the latest standard.\n\n* To achieve the same effect as the `left`, `center`, `right` or `justify` values, apply the CSS [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property to the element.\n* To achieve the same effect as the `char` value, give the [`text-align`](https://developer.mozilla.org/en-US/docs/Web/CSS/text-align "The text-align CSS property sets the horizontal alignment of an inline or table-cell box. This means it works like vertical-align but in the horizontal direction.") property the same value you would use for the [`char`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/th#attr-char). Unimplemented in CSS3.'},{name:"axis",description:"This attribute contains a list of space-separated strings. Each string is the `id` of a group of cells that this header applies to.\n\n**Note:** Do not use this attribute as it is obsolete in the latest standard: use the [`scope`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/th#attr-scope) attribute instead."},{name:"bgcolor",description:`This attribute defines the background color of each cell in a column. It consists of a 6-digit hexadecimal code as defined in [sRGB](https://www.w3.org/Graphics/Color/sRGB) and is prefixed by '#'. This attribute may be used with one of sixteen predefined color strings:
+
+
+\`black\` = "#000000"
+
+
+\`green\` = "#008000"
+
+
+\`silver\` = "#C0C0C0"
+
+
+\`lime\` = "#00FF00"
+
+
+\`gray\` = "#808080"
+
+
+\`olive\` = "#808000"
+
+
+\`white\` = "#FFFFFF"
+
+
+\`yellow\` = "#FFFF00"
+
+
+\`maroon\` = "#800000"
+
+
+\`navy\` = "#000080"
+
+
+\`red\` = "#FF0000"
+
+
+\`blue\` = "#0000FF"
+
+
+\`purple\` = "#800080"
+
+
+\`teal\` = "#008080"
+
+
+\`fuchsia\` = "#FF00FF"
+
+
+\`aqua\` = "#00FFFF"
+
+**Note:** Do not use this attribute, as it is non-standard and only implemented in some versions of Microsoft Internet Explorer: The [\`<th>\`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/th "The HTML <th> element defines a cell as header of a group of table cells. The exact nature of this group is defined by the scope and headers attributes.") element should be styled using [CSS](https://developer.mozilla.org/en-US/docs/Web/CSS). To create a similar effect use the [\`background-color\`](https://developer.mozilla.org/en-US/docs/Web/CSS/background-color "The background-color CSS property sets the background color of an element.") property in [CSS](https://developer.mozilla.org/en-US/docs/Web/CSS) instead.`}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/th"}]},{name:"form",description:{kind:"markdown",value:"The form element represents a collection of form-associated elements, some of which can represent editable values that can be submitted to a server for processing."},attributes:[{name:"accept-charset",description:{kind:"markdown",value:'A space- or comma-delimited list of character encodings that the server accepts. The browser uses them in the order in which they are listed. The default value, the reserved string `"UNKNOWN"`, indicates the same encoding as that of the document containing the form element. \nIn previous versions of HTML, the different character encodings could be delimited by spaces or commas. In HTML5, only spaces are allowed as delimiters.'}},{name:"action",description:{kind:"markdown",value:'The URI of a program that processes the form information. This value can be overridden by a [`formaction`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button#attr-formaction) attribute on a [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") or [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") element.'}},{name:"autocomplete",valueSet:"o",description:{kind:"markdown",value:"Indicates whether input elements can by default have their values automatically completed by the browser. This setting can be overridden by an `autocomplete` attribute on an element belonging to the form. Possible values are:\n\n* `off`: The user must explicitly enter a value into each field for every use, or the document provides its own auto-completion method; the browser does not automatically complete entries.\n* `on`: The browser can automatically complete values based on values that the user has previously entered in the form.\n\nFor most modern browsers (including Firefox 38+, Google Chrome 34+, IE 11+) setting the autocomplete attribute will not prevent a browser's password manager from asking the user if they want to store login fields (username and password), if the user permits the storage the browser will autofill the login the next time the user visits the page. See [The autocomplete attribute and login fields](https://developer.mozilla.org/en-US/docs/Web/Security/Securing_your_site/Turning_off_form_autocompletion#The_autocomplete_attribute_and_login_fields).\n**Note:** If you set `autocomplete` to `off` in a form because the document provides its own auto-completion, then you should also set `autocomplete` to `off` for each of the form's `input` elements that the document can auto-complete. For details, see the note regarding Google Chrome in the [Browser Compatibility chart](#compatChart)."}},{name:"enctype",valueSet:"et",description:{kind:"markdown",value:'When the value of the `method` attribute is `post`, enctype is the [MIME type](https://en.wikipedia.org/wiki/Mime_type) of content that is used to submit the form to the server. Possible values are:\n\n* `application/x-www-form-urlencoded`: The default value if the attribute is not specified.\n* `multipart/form-data`: The value used for an [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") element with the `type` attribute set to "file".\n* `text/plain`: (HTML5)\n\nThis value can be overridden by a [`formenctype`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button#attr-formenctype) attribute on a [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") or [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") element.'}},{name:"method",valueSet:"m",description:{kind:"markdown",value:'The [HTTP](https://developer.mozilla.org/en-US/docs/Web/HTTP) method that the browser uses to submit the form. Possible values are:\n\n* `post`: Corresponds to the HTTP [POST method](https://www.w3.org/Protocols/rfc2616/rfc2616-sec9.html#sec9.5) ; form data are included in the body of the form and sent to the server.\n* `get`: Corresponds to the HTTP [GET method](https://www.w3.org/Protocols/rfc2616/rfc2616-sec9.html#sec9.3); form data are appended to the `action` attribute URI with a \'?\' as separator, and the resulting URI is sent to the server. Use this method when the form has no side-effects and contains only ASCII characters.\n* `dialog`: Use when the form is inside a [`<dialog>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/dialog "The HTML <dialog> element represents a dialog box or other interactive component, such as an inspector or window.") element to close the dialog when submitted.\n\nThis value can be overridden by a [`formmethod`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button#attr-formmethod) attribute on a [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") or [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") element.'}},{name:"name",description:{kind:"markdown",value:"The name of the form. In HTML 4, its use is deprecated (`id` should be used instead). It must be unique among the forms in a document and not just an empty string in HTML 5."}},{name:"novalidate",valueSet:"v",description:{kind:"markdown",value:'This Boolean attribute indicates that the form is not to be validated when submitted. If this attribute is not specified (and therefore the form is validated), this default setting can be overridden by a [`formnovalidate`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button#attr-formnovalidate) attribute on a [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") or [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") element belonging to the form.'}},{name:"target",valueSet:"target",description:{kind:"markdown",value:'A name or keyword indicating where to display the response that is received after submitting the form. In HTML 4, this is the name/keyword for a frame. In HTML5, it is a name/keyword for a _browsing context_ (for example, tab, window, or inline frame). The following keywords have special meanings:\n\n* `_self`: Load the response into the same HTML 4 frame (or HTML5 browsing context) as the current one. This value is the default if the attribute is not specified.\n* `_blank`: Load the response into a new unnamed HTML 4 window or HTML5 browsing context.\n* `_parent`: Load the response into the HTML 4 frameset parent of the current frame, or HTML5 parent browsing context of the current one. If there is no parent, this option behaves the same way as `_self`.\n* `_top`: HTML 4: Load the response into the full original window, and cancel all other frames. HTML5: Load the response into the top-level browsing context (i.e., the browsing context that is an ancestor of the current one, and has no parent). If there is no parent, this option behaves the same way as `_self`.\n* _iframename_: The response is displayed in a named [`<iframe>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/iframe "The HTML Inline Frame element (<iframe>) represents a nested browsing context, embedding another HTML page into the current one.").\n\nHTML5: This value can be overridden by a [`formtarget`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button#attr-formtarget) attribute on a [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") or [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") element.'}},{name:"accept",description:'A comma-separated list of content types that the server accepts.\n\n**Usage note:** This attribute has been removed in HTML5 and should no longer be used. Instead, use the [`accept`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input#attr-accept) attribute of the specific [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") element.'},{name:"autocapitalize",description:"This is a nonstandard attribute used by iOS Safari Mobile which controls whether and how the text value for textual form control descendants should be automatically capitalized as it is entered/edited by the user. If the `autocapitalize` attribute is specified on an individual form control descendant, it trumps the form-wide `autocapitalize` setting. The non-deprecated values are available in iOS 5 and later. The default value is `sentences`. Possible values are:\n\n* `none`: Completely disables automatic capitalization\n* `sentences`: Automatically capitalize the first letter of sentences.\n* `words`: Automatically capitalize the first letter of words.\n* `characters`: Automatically capitalize all characters.\n* `on`: Deprecated since iOS 5.\n* `off`: Deprecated since iOS 5."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/form"}]},{name:"label",description:{kind:"markdown",value:"The label element represents a caption in a user interface. The caption can be associated with a specific form control, known as the label element's labeled control, either using the for attribute, or by putting the form control inside the label element itself."},attributes:[{name:"form",description:{kind:"markdown",value:'The [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") element with which the label is associated (its _form owner_). If specified, the value of the attribute is the `id` of a [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") element in the same document. This lets you place label elements anywhere within a document, not just as descendants of their form elements.'}},{name:"for",description:{kind:"markdown",value:"The [`id`](https://developer.mozilla.org/en-US/docs/Web/HTML/Global_attributes#attr-id) of a [labelable](https://developer.mozilla.org/en-US/docs/Web/Guide/HTML/Content_categories#Form_labelable) form-related element in the same document as the `<label>` element. The first element in the document with an `id` matching the value of the `for` attribute is the _labeled control_ for this label element, if it is a labelable element. If it is not labelable then the `for` attribute has no effect. If there are other elements which also match the `id` value, later in the document, they are not considered.\n\n**Note**: A `<label>` element can have both a `for` attribute and a contained control element, as long as the `for` attribute points to the contained control element."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/label"}]},{name:"input",description:{kind:"markdown",value:"The input element represents a typed data field, usually with a form control to allow the user to edit the data."},void:!0,attributes:[{name:"accept"},{name:"alt"},{name:"autocomplete",valueSet:"inputautocomplete"},{name:"autofocus",valueSet:"v"},{name:"checked",valueSet:"v"},{name:"dirname"},{name:"disabled",valueSet:"v"},{name:"form"},{name:"formaction"},{name:"formenctype",valueSet:"et"},{name:"formmethod",valueSet:"fm"},{name:"formnovalidate",valueSet:"v"},{name:"formtarget"},{name:"height"},{name:"inputmode",valueSet:"im"},{name:"list"},{name:"max"},{name:"maxlength"},{name:"min"},{name:"minlength"},{name:"multiple",valueSet:"v"},{name:"name"},{name:"pattern"},{name:"placeholder"},{name:"readonly",valueSet:"v"},{name:"required",valueSet:"v"},{name:"size"},{name:"src"},{name:"step"},{name:"type",valueSet:"t"},{name:"value"},{name:"width"}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/input"}]},{name:"button",description:{kind:"markdown",value:"The button element represents a button labeled by its contents."},attributes:[{name:"autofocus",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute lets you specify that the button should have input focus when the page loads, unless the user overrides it, for example by typing in a different control. Only one form-associated element in a document can have this attribute specified."}},{name:"disabled",valueSet:"v",description:{kind:"markdown",value:'This Boolean attribute indicates that the user cannot interact with the button. If this attribute is not specified, the button inherits its setting from the containing element, for example [`<fieldset>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/fieldset "The HTML <fieldset> element is used to group several controls as well as labels (<label>) within a web form."); if there is no containing element with the **disabled** attribute set, then the button is enabled.\n\nFirefox will, unlike other browsers, by default, [persist the dynamic disabled state](https://stackoverflow.com/questions/5985839/bug-with-firefox-disabled-attribute-of-input-not-resetting-when-refreshing) of a [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") across page loads. Use the [`autocomplete`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button#attr-autocomplete) attribute to control this feature.'}},{name:"form",description:{kind:"markdown",value:'The form element that the button is associated with (its _form owner_). The value of the attribute must be the **id** attribute of a [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") element in the same document. If this attribute is not specified, the `<button>` element will be associated to an ancestor [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") element, if one exists. This attribute enables you to associate `<button>` elements to [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") elements anywhere within a document, not just as descendants of [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") elements.'}},{name:"formaction",description:{kind:"markdown",value:"The URI of a program that processes the information submitted by the button. If specified, it overrides the [`action`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form#attr-action) attribute of the button's form owner."}},{name:"formenctype",valueSet:"et",description:{kind:"markdown",value:'If the button is a submit button, this attribute specifies the type of content that is used to submit the form to the server. Possible values are:\n\n* `application/x-www-form-urlencoded`: The default value if the attribute is not specified.\n* `multipart/form-data`: Use this value if you are using an [`<input>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") element with the [`type`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input#attr-type) attribute set to `file`.\n* `text/plain`\n\nIf this attribute is specified, it overrides the [`enctype`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form#attr-enctype) attribute of the button\'s form owner.'}},{name:"formmethod",valueSet:"fm",description:{kind:"markdown",value:"If the button is a submit button, this attribute specifies the HTTP method that the browser uses to submit the form. Possible values are:\n\n* `post`: The data from the form are included in the body of the form and sent to the server.\n* `get`: The data from the form are appended to the **form** attribute URI, with a '?' as a separator, and the resulting URI is sent to the server. Use this method when the form has no side-effects and contains only ASCII characters.\n\nIf specified, this attribute overrides the [`method`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form#attr-method) attribute of the button's form owner."}},{name:"formnovalidate",valueSet:"v",description:{kind:"markdown",value:"If the button is a submit button, this Boolean attribute specifies that the form is not to be validated when it is submitted. If this attribute is specified, it overrides the [`novalidate`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form#attr-novalidate) attribute of the button's form owner."}},{name:"formtarget",description:{kind:"markdown",value:"If the button is a submit button, this attribute is a name or keyword indicating where to display the response that is received after submitting the form. This is a name of, or keyword for, a _browsing context_ (for example, tab, window, or inline frame). If this attribute is specified, it overrides the [`target`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form#attr-target) attribute of the button's form owner. The following keywords have special meanings:\n\n* `_self`: Load the response into the same browsing context as the current one. This value is the default if the attribute is not specified.\n* `_blank`: Load the response into a new unnamed browsing context.\n* `_parent`: Load the response into the parent browsing context of the current one. If there is no parent, this option behaves the same way as `_self`.\n* `_top`: Load the response into the top-level browsing context (that is, the browsing context that is an ancestor of the current one, and has no parent). If there is no parent, this option behaves the same way as `_self`."}},{name:"name",description:{kind:"markdown",value:"The name of the button, which is submitted with the form data."}},{name:"type",valueSet:"bt",description:{kind:"markdown",value:"The type of the button. Possible values are:\n\n* `submit`: The button submits the form data to the server. This is the default if the attribute is not specified, or if the attribute is dynamically changed to an empty or invalid value.\n* `reset`: The button resets all the controls to their initial values.\n* `button`: The button has no default behavior. It can have client-side scripts associated with the element's events, which are triggered when the events occur."}},{name:"value",description:{kind:"markdown",value:"The initial value of the button. It defines the value associated with the button which is submitted with the form data. This value is passed to the server in params when the form is submitted."}},{name:"autocomplete",description:'The use of this attribute on a [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") is nonstandard and Firefox-specific. By default, unlike other browsers, [Firefox persists the dynamic disabled state](https://stackoverflow.com/questions/5985839/bug-with-firefox-disabled-attribute-of-input-not-resetting-when-refreshing) of a [`<button>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/button "The HTML <button> element represents a clickable button, which can be used in forms or anywhere in a document that needs simple, standard button functionality.") across page loads. Setting the value of this attribute to `off` (i.e. `autocomplete="off"`) disables this feature. See [bug 654072](https://bugzilla.mozilla.org/show_bug.cgi?id=654072 "if disabled state is changed with javascript, the normal state doesn\'t return after refreshing the page").'}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/button"}]},{name:"select",description:{kind:"markdown",value:"The select element represents a control for selecting amongst a set of options."},attributes:[{name:"autocomplete",valueSet:"inputautocomplete",description:{kind:"markdown",value:'A [`DOMString`](https://developer.mozilla.org/en-US/docs/Web/API/DOMString "DOMString is a UTF-16 String. As JavaScript already uses such strings, DOMString is mapped directly to a String.") providing a hint for a [user agent\'s](https://developer.mozilla.org/en-US/docs/Glossary/user_agent "user agent\'s: A user agent is a computer program representing a person, for example, a browser in a Web context.") autocomplete feature. See [The HTML autocomplete attribute](https://developer.mozilla.org/en-US/docs/Web/HTML/Attributes/autocomplete) for a complete list of values and details on how to use autocomplete.'}},{name:"autofocus",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute lets you specify that a form control should have input focus when the page loads. Only one form element in a document can have the `autofocus` attribute."}},{name:"disabled",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute indicates that the user cannot interact with the control. If this attribute is not specified, the control inherits its setting from the containing element, for example `fieldset`; if there is no containing element with the `disabled` attribute set, then the control is enabled."}},{name:"form",description:{kind:"markdown",value:'This attribute lets you specify the form element to which the select element is associated (that is, its "form owner"). If this attribute is specified, its value must be the same as the `id` of a form element in the same document. This enables you to place select elements anywhere within a document, not just as descendants of their form elements.'}},{name:"multiple",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute indicates that multiple options can be selected in the list. If it is not specified, then only one option can be selected at a time. When `multiple` is specified, most browsers will show a scrolling list box instead of a single line dropdown."}},{name:"name",description:{kind:"markdown",value:"This attribute is used to specify the name of the control."}},{name:"required",valueSet:"v",description:{kind:"markdown",value:"A Boolean attribute indicating that an option with a non-empty string value must be selected."}},{name:"size",description:{kind:"markdown",value:"If the control is presented as a scrolling list box (e.g. when `multiple` is specified), this attribute represents the number of rows in the list that should be visible at one time. Browsers are not required to present a select element as a scrolled list box. The default value is 0.\n\n**Note:** According to the HTML5 specification, the default value for size should be 1; however, in practice, this has been found to break some web sites, and no other browser currently does that, so Mozilla has opted to continue to return 0 for the time being with Firefox."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/select"}]},{name:"datalist",description:{kind:"markdown",value:"The datalist element represents a set of option elements that represent predefined options for other controls. In the rendering, the datalist element represents nothing and it, along with its children, should be hidden."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/datalist"}]},{name:"optgroup",description:{kind:"markdown",value:"The optgroup element represents a group of option elements with a common label."},attributes:[{name:"disabled",valueSet:"v",description:{kind:"markdown",value:"If this Boolean attribute is set, none of the items in this option group is selectable. Often browsers grey out such control and it won't receive any browsing events, like mouse clicks or focus-related ones."}},{name:"label",description:{kind:"markdown",value:"The name of the group of options, which the browser can use when labeling the options in the user interface. This attribute is mandatory if this element is used."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/optgroup"}]},{name:"option",description:{kind:"markdown",value:"The option element represents an option in a select element or as part of a list of suggestions in a datalist element."},attributes:[{name:"disabled",valueSet:"v",description:{kind:"markdown",value:'If this Boolean attribute is set, this option is not checkable. Often browsers grey out such control and it won\'t receive any browsing event, like mouse clicks or focus-related ones. If this attribute is not set, the element can still be disabled if one of its ancestors is a disabled [`<optgroup>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/optgroup "The HTML <optgroup> element creates a grouping of options within a <select> element.") element.'}},{name:"label",description:{kind:"markdown",value:"This attribute is text for the label indicating the meaning of the option. If the `label` attribute isn't defined, its value is that of the element text content."}},{name:"selected",valueSet:"v",description:{kind:"markdown",value:'If present, this Boolean attribute indicates that the option is initially selected. If the `<option>` element is the descendant of a [`<select>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/select "The HTML <select> element represents a control that provides a menu of options") element whose [`multiple`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/select#attr-multiple) attribute is not set, only one single `<option>` of this [`<select>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/select "The HTML <select> element represents a control that provides a menu of options") element may have the `selected` attribute.'}},{name:"value",description:{kind:"markdown",value:"The content of this attribute represents the value to be submitted with the form, should this option be selected. If this attribute is omitted, the value is taken from the text content of the option element."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/option"}]},{name:"textarea",description:{kind:"markdown",value:"The textarea element represents a multiline plain text edit control for the element's raw value. The contents of the control represent the control's default value."},attributes:[{name:"autocomplete",valueSet:"inputautocomplete",description:{kind:"markdown",value:'This attribute indicates whether the value of the control can be automatically completed by the browser. Possible values are:\n\n* `off`: The user must explicitly enter a value into this field for every use, or the document provides its own auto-completion method; the browser does not automatically complete the entry.\n* `on`: The browser can automatically complete the value based on values that the user has entered during previous uses.\n\nIf the `autocomplete` attribute is not specified on a `<textarea>` element, then the browser uses the `autocomplete` attribute value of the `<textarea>` element\'s form owner. The form owner is either the [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") element that this `<textarea>` element is a descendant of or the form element whose `id` is specified by the `form` attribute of the input element. For more information, see the [`autocomplete`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form#attr-autocomplete) attribute in [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.").'}},{name:"autofocus",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute lets you specify that a form control should have input focus when the page loads. Only one form-associated element in a document can have this attribute specified."}},{name:"cols",description:{kind:"markdown",value:"The visible width of the text control, in average character widths. If it is specified, it must be a positive integer. If it is not specified, the default value is `20`."}},{name:"dirname"},{name:"disabled",valueSet:"v",description:{kind:"markdown",value:'This Boolean attribute indicates that the user cannot interact with the control. If this attribute is not specified, the control inherits its setting from the containing element, for example [`<fieldset>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/fieldset "The HTML <fieldset> element is used to group several controls as well as labels (<label>) within a web form."); if there is no containing element when the `disabled` attribute is set, the control is enabled.'}},{name:"form",description:{kind:"markdown",value:'The form element that the `<textarea>` element is associated with (its "form owner"). The value of the attribute must be the `id` of a form element in the same document. If this attribute is not specified, the `<textarea>` element must be a descendant of a form element. This attribute enables you to place `<textarea>` elements anywhere within a document, not just as descendants of form elements.'}},{name:"inputmode",valueSet:"im"},{name:"maxlength",description:{kind:"markdown",value:"The maximum number of characters (unicode code points) that the user can enter. If this value isn't specified, the user can enter an unlimited number of characters."}},{name:"minlength",description:{kind:"markdown",value:"The minimum number of characters (unicode code points) required that the user should enter."}},{name:"name",description:{kind:"markdown",value:"The name of the control."}},{name:"placeholder",description:{kind:"markdown",value:'A hint to the user of what can be entered in the control. Carriage returns or line-feeds within the placeholder text must be treated as line breaks when rendering the hint.\n\n**Note:** Placeholders should only be used to show an example of the type of data that should be entered into a form; they are _not_ a substitute for a proper [`<label>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/label "The HTML <label> element represents a caption for an item in a user interface.") element tied to the input. See [Labels and placeholders](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input#Labels_and_placeholders "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") in [<input>: The Input (Form Input) element](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") for a full explanation.'}},{name:"readonly",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute indicates that the user cannot modify the value of the control. Unlike the `disabled` attribute, the `readonly` attribute does not prevent the user from clicking or selecting in the control. The value of a read-only control is still submitted with the form."}},{name:"required",valueSet:"v",description:{kind:"markdown",value:"This attribute specifies that the user must fill in a value before submitting a form."}},{name:"rows",description:{kind:"markdown",value:"The number of visible text lines for the control."}},{name:"wrap",valueSet:"w",description:{kind:"markdown",value:"Indicates how the control wraps text. Possible values are:\n\n* `hard`: The browser automatically inserts line breaks (CR+LF) so that each line has no more than the width of the control; the `cols` attribute must also be specified for this to take effect.\n* `soft`: The browser ensures that all line breaks in the value consist of a CR+LF pair, but does not insert any additional line breaks.\n* `off` : Like `soft` but changes appearance to `white-space: pre` so line segments exceeding `cols` are not wrapped and the `<textarea>` becomes horizontally scrollable.\n\nIf this attribute is not specified, `soft` is its default value."}},{name:"autocapitalize",description:"This is a non-standard attribute supported by WebKit on iOS (therefore nearly all browsers running on iOS, including Safari, Firefox, and Chrome), which controls whether and how the text value should be automatically capitalized as it is entered/edited by the user. The non-deprecated values are available in iOS 5 and later. Possible values are:\n\n* `none`: Completely disables automatic capitalization.\n* `sentences`: Automatically capitalize the first letter of sentences.\n* `words`: Automatically capitalize the first letter of words.\n* `characters`: Automatically capitalize all characters.\n* `on`: Deprecated since iOS 5.\n* `off`: Deprecated since iOS 5."},{name:"spellcheck",description:"Specifies whether the `<textarea>` is subject to spell checking by the underlying browser/OS. the value can be:\n\n* `true`: Indicates that the element needs to have its spelling and grammar checked.\n* `default` : Indicates that the element is to act according to a default behavior, possibly based on the parent element's own `spellcheck` value.\n* `false` : Indicates that the element should not be spell checked."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/textarea"}]},{name:"output",description:{kind:"markdown",value:"The output element represents the result of a calculation performed by the application, or the result of a user action."},attributes:[{name:"for",description:{kind:"markdown",value:"A space-separated list of other elements’ [`id`](https://developer.mozilla.org/en-US/docs/Web/HTML/Global_attributes/id)s, indicating that those elements contributed input values to (or otherwise affected) the calculation."}},{name:"form",description:{kind:"markdown",value:'The [form element](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form) that this element is associated with (its "form owner"). The value of the attribute must be an `id` of a form element in the same document. If this attribute is not specified, the output element must be a descendant of a form element. This attribute enables you to place output elements anywhere within a document, not just as descendants of their form elements.'}},{name:"name",description:{kind:"markdown",value:'The name of the element, exposed in the [`HTMLFormElement`](https://developer.mozilla.org/en-US/docs/Web/API/HTMLFormElement "The HTMLFormElement interface represents a <form> element in the DOM; it allows access to and in some cases modification of aspects of the form, as well as access to its component elements.") API.'}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/output"}]},{name:"progress",description:{kind:"markdown",value:"The progress element represents the completion progress of a task. The progress is either indeterminate, indicating that progress is being made but that it is not clear how much more work remains to be done before the task is complete (e.g. because the task is waiting for a remote host to respond), or the progress is a number in the range zero to a maximum, giving the fraction of work that has so far been completed."},attributes:[{name:"value",description:{kind:"markdown",value:"This attribute specifies how much of the task that has been completed. It must be a valid floating point number between 0 and `max`, or between 0 and 1 if `max` is omitted. If there is no `value` attribute, the progress bar is indeterminate; this indicates that an activity is ongoing with no indication of how long it is expected to take."}},{name:"max",description:{kind:"markdown",value:"This attribute describes how much work the task indicated by the `progress` element requires. The `max` attribute, if present, must have a value greater than zero and be a valid floating point number. The default value is 1."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/progress"}]},{name:"meter",description:{kind:"markdown",value:"The meter element represents a scalar measurement within a known range, or a fractional value; for example disk usage, the relevance of a query result, or the fraction of a voting population to have selected a particular candidate."},attributes:[{name:"value",description:{kind:"markdown",value:"The current numeric value. This must be between the minimum and maximum values (`min` attribute and `max` attribute) if they are specified. If unspecified or malformed, the value is 0. If specified, but not within the range given by the `min` attribute and `max` attribute, the value is equal to the nearest end of the range.\n\n**Usage note:** Unless the `value` attribute is between `0` and `1` (inclusive), the `min` and `max` attributes should define the range so that the `value` attribute's value is within it."}},{name:"min",description:{kind:"markdown",value:"The lower numeric bound of the measured range. This must be less than the maximum value (`max` attribute), if specified. If unspecified, the minimum value is 0."}},{name:"max",description:{kind:"markdown",value:"The upper numeric bound of the measured range. This must be greater than the minimum value (`min` attribute), if specified. If unspecified, the maximum value is 1."}},{name:"low",description:{kind:"markdown",value:"The upper numeric bound of the low end of the measured range. This must be greater than the minimum value (`min` attribute), and it also must be less than the high value and maximum value (`high` attribute and `max` attribute, respectively), if any are specified. If unspecified, or if less than the minimum value, the `low` value is equal to the minimum value."}},{name:"high",description:{kind:"markdown",value:"The lower numeric bound of the high end of the measured range. This must be less than the maximum value (`max` attribute), and it also must be greater than the low value and minimum value (`low` attribute and **min** attribute, respectively), if any are specified. If unspecified, or if greater than the maximum value, the `high` value is equal to the maximum value."}},{name:"optimum",description:{kind:"markdown",value:"This attribute indicates the optimal numeric value. It must be within the range (as defined by the `min` attribute and `max` attribute). When used with the `low` attribute and `high` attribute, it gives an indication where along the range is considered preferable. For example, if it is between the `min` attribute and the `low` attribute, then the lower range is considered preferred."}},{name:"form",description:"This attribute associates the element with a `form` element that has ownership of the `meter` element. For example, a `meter` might be displaying a range corresponding to an `input` element of `type` _number_. This attribute is only used if the `meter` element is being used as a form-associated element; even then, it may be omitted if the element appears as a descendant of a `form` element."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/meter"}]},{name:"fieldset",description:{kind:"markdown",value:"The fieldset element represents a set of form controls optionally grouped under a common name."},attributes:[{name:"disabled",valueSet:"v",description:{kind:"markdown",value:"If this Boolean attribute is set, all form controls that are descendants of the `<fieldset>`, are disabled, meaning they are not editable and won't be submitted along with the `<form>`. They won't receive any browsing events, like mouse clicks or focus-related events. By default browsers display such controls grayed out. Note that form elements inside the [`<legend>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/legend \"The HTML <legend> element represents a caption for the content of its parent <fieldset>.\") element won't be disabled."}},{name:"form",description:{kind:"markdown",value:'This attribute takes the value of the `id` attribute of a [`<form>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/form "The HTML <form> element represents a document section that contains interactive controls for submitting information to a web server.") element you want the `<fieldset>` to be part of, even if it is not inside the form.'}},{name:"name",description:{kind:"markdown",value:'The name associated with the group.\n\n**Note**: The caption for the fieldset is given by the first [`<legend>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/legend "The HTML <legend> element represents a caption for the content of its parent <fieldset>.") element nested inside it.'}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/fieldset"}]},{name:"legend",description:{kind:"markdown",value:"The legend element represents a caption for the rest of the contents of the legend element's parent fieldset element, if any."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/legend"}]},{name:"details",description:{kind:"markdown",value:"The details element represents a disclosure widget from which the user can obtain additional information or controls."},attributes:[{name:"open",valueSet:"v",description:{kind:"markdown",value:"This Boolean attribute indicates whether or not the details — that is, the contents of the `<details>` element — are currently visible. The default, `false`, means the details are not visible."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/details"}]},{name:"summary",description:{kind:"markdown",value:"The summary element represents a summary, caption, or legend for the rest of the contents of the summary element's parent details element, if any."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/summary"}]},{name:"dialog",description:{kind:"markdown",value:"The dialog element represents a part of an application that a user interacts with to perform a task, for example a dialog box, inspector, or window."},attributes:[{name:"open",description:"Indicates that the dialog is active and available for interaction. When the `open` attribute is not set, the dialog shouldn't be shown to the user."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/dialog"}]},{name:"script",description:{kind:"markdown",value:"The script element allows authors to include dynamic script and data blocks in their documents. The element does not represent content for the user."},attributes:[{name:"src",description:{kind:"markdown",value:"This attribute specifies the URI of an external script; this can be used as an alternative to embedding a script directly within a document.\n\nIf a `script` element has a `src` attribute specified, it should not have a script embedded inside its tags."}},{name:"type",description:{kind:"markdown",value:'This attribute indicates the type of script represented. The value of this attribute will be in one of the following categories:\n\n* **Omitted or a JavaScript MIME type:** For HTML5-compliant browsers this indicates the script is JavaScript. HTML5 specification urges authors to omit the attribute rather than provide a redundant MIME type. In earlier browsers, this identified the scripting language of the embedded or imported (via the `src` attribute) code. JavaScript MIME types are [listed in the specification](https://developer.mozilla.org/en-US/docs/Web/HTTP/Basics_of_HTTP/MIME_types#JavaScript_types).\n* **`module`:** For HTML5-compliant browsers the code is treated as a JavaScript module. The processing of the script contents is not affected by the `charset` and `defer` attributes. For information on using `module`, see [ES6 in Depth: Modules](https://hacks.mozilla.org/2015/08/es6-in-depth-modules/). Code may behave differently when the `module` keyword is used.\n* **Any other value:** The embedded content is treated as a data block which won\'t be processed by the browser. Developers must use a valid MIME type that is not a JavaScript MIME type to denote data blocks. The `src` attribute will be ignored.\n\n**Note:** in Firefox you could specify the version of JavaScript contained in a `<script>` element by including a non-standard `version` parameter inside the `type` attribute — for example `type="text/javascript;version=1.8"`. This has been removed in Firefox 59 (see [bug 1428745](https://bugzilla.mozilla.org/show_bug.cgi?id=1428745 "FIXED: Remove support for version parameter from script loader")).'}},{name:"charset"},{name:"async",valueSet:"v",description:{kind:"markdown",value:`This is a Boolean attribute indicating that the browser should, if possible, load the script asynchronously.
+
+This attribute must not be used if the \`src\` attribute is absent (i.e. for inline scripts). If it is included in this case it will have no effect.
+
+Browsers usually assume the worst case scenario and load scripts synchronously, (i.e. \`async="false"\`) during HTML parsing.
+
+Dynamically inserted scripts (using [\`document.createElement()\`](https://developer.mozilla.org/en-US/docs/Web/API/Document/createElement "In an HTML document, the document.createElement() method creates the HTML element specified by tagName, or an HTMLUnknownElement if tagName isn't recognized.")) load asynchronously by default, so to turn on synchronous loading (i.e. scripts load in the order they were inserted) set \`async="false"\`.
+
+See [Browser compatibility](#Browser_compatibility) for notes on browser support. See also [Async scripts for asm.js](https://developer.mozilla.org/en-US/docs/Games/Techniques/Async_scripts).`}},{name:"defer",valueSet:"v",description:{kind:"markdown",value:'This Boolean attribute is set to indicate to a browser that the script is meant to be executed after the document has been parsed, but before firing [`DOMContentLoaded`](https://developer.mozilla.org/en-US/docs/Web/Events/DOMContentLoaded "/en-US/docs/Web/Events/DOMContentLoaded").\n\nScripts with the `defer` attribute will prevent the `DOMContentLoaded` event from firing until the script has loaded and finished evaluating.\n\nThis attribute must not be used if the `src` attribute is absent (i.e. for inline scripts), in this case it would have no effect.\n\nTo achieve a similar effect for dynamically inserted scripts use `async="false"` instead. Scripts with the `defer` attribute will execute in the order in which they appear in the document.'}},{name:"crossorigin",valueSet:"xo",description:{kind:"markdown",value:'Normal `script` elements pass minimal information to the [`window.onerror`](https://developer.mozilla.org/en-US/docs/Web/API/GlobalEventHandlers/onerror "The onerror property of the GlobalEventHandlers mixin is an EventHandler that processes error events.") for scripts which do not pass the standard [CORS](https://developer.mozilla.org/en-US/docs/Glossary/CORS "CORS: CORS (Cross-Origin Resource Sharing) is a system, consisting of transmitting HTTP headers, that determines whether browsers block frontend JavaScript code from accessing responses for cross-origin requests.") checks. To allow error logging for sites which use a separate domain for static media, use this attribute. See [CORS settings attributes](https://developer.mozilla.org/en-US/docs/Web/HTML/CORS_settings_attributes) for a more descriptive explanation of its valid arguments.'}},{name:"nonce",description:{kind:"markdown",value:"A cryptographic nonce (number used once) to list the allowed inline scripts in a [script-src Content-Security-Policy](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Content-Security-Policy/script-src). The server must generate a unique nonce value each time it transmits a policy. It is critical to provide a nonce that cannot be guessed as bypassing a resource's policy is otherwise trivial."}},{name:"integrity",description:"This attribute contains inline metadata that a user agent can use to verify that a fetched resource has been delivered free of unexpected manipulation. See [Subresource Integrity](https://developer.mozilla.org/en-US/docs/Web/Security/Subresource_Integrity)."},{name:"nomodule",description:"This Boolean attribute is set to indicate that the script should not be executed in browsers that support [ES2015 modules](https://hacks.mozilla.org/2015/08/es6-in-depth-modules/) — in effect, this can be used to serve fallback scripts to older browsers that do not support modular JavaScript code."},{name:"referrerpolicy",description:'Indicates which [referrer](https://developer.mozilla.org/en-US/docs/Web/API/Document/referrer) to send when fetching the script, or resources fetched by the script:\n\n* `no-referrer`: The [`Referer`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer "The Referer request header contains the address of the previous web page from which a link to the currently requested page was followed. The Referer header allows servers to identify where people are visiting them from and may use that data for analytics, logging, or optimized caching, for example.") header will not be sent.\n* `no-referrer-when-downgrade` (default): The [`Referer`](https://developer.mozilla.org/en-US/docs/Web/HTTP/Headers/Referer "The Referer request header contains the address of the previous web page from which a link to the currently requested page was followed. The Referer header allows servers to identify where people are visiting them from and may use that data for analytics, logging, or optimized caching, for example.") header will not be sent to [origin](https://developer.mozilla.org/en-US/docs/Glossary/origin "origin: Web content\'s origin is defined by the scheme (protocol), host (domain), and port of the URL used to access it. Two objects have the same origin only when the scheme, host, and port all match.")s without [TLS](https://developer.mozilla.org/en-US/docs/Glossary/TLS "TLS: Transport Layer Security (TLS), previously known as Secure Sockets Layer (SSL), is a protocol used by applications to communicate securely across a network, preventing tampering with and eavesdropping on email, web browsing, messaging, and other protocols.") ([HTTPS](https://developer.mozilla.org/en-US/docs/Glossary/HTTPS "HTTPS: HTTPS (HTTP Secure) is an encrypted version of the HTTP protocol. It usually uses SSL or TLS to encrypt all communication between a client and a server. This secure connection allows clients to safely exchange sensitive data with a server, for example for banking activities or online shopping.")).\n* `origin`: The sent referrer will be limited to the origin of the referring page: its [scheme](https://developer.mozilla.org/en-US/docs/Archive/Mozilla/URIScheme), [host](https://developer.mozilla.org/en-US/docs/Glossary/host "host: A host is a device connected to the Internet (or a local network). Some hosts called servers offer additional services like serving webpages or storing files and emails."), and [port](https://developer.mozilla.org/en-US/docs/Glossary/port "port: For a computer connected to a network with an IP address, a port is a communication endpoint. Ports are designated by numbers, and below 1024 each port is associated by default with a specific protocol.").\n* `origin-when-cross-origin`: The referrer sent to other origins will be limited to the scheme, the host, and the port. Navigations on the same origin will still include the path.\n* `same-origin`: A referrer will be sent for [same origin](https://developer.mozilla.org/en-US/docs/Glossary/Same-origin_policy "same origin: The same-origin policy is a critical security mechanism that restricts how a document or script loaded from one origin can interact with a resource from another origin."), but cross-origin requests will contain no referrer information.\n* `strict-origin`: Only send the origin of the document as the referrer when the protocol security level stays the same (e.g. HTTPS→HTTPS), but don\'t send it to a less secure destination (e.g. HTTPS→HTTP).\n* `strict-origin-when-cross-origin`: Send a full URL when performing a same-origin request, but only send the origin when the protocol security level stays the same (e.g.HTTPS→HTTPS), and send no header to a less secure destination (e.g. HTTPS→HTTP).\n* `unsafe-url`: The referrer will include the origin _and_ the path (but not the [fragment](https://developer.mozilla.org/en-US/docs/Web/API/HTMLHyperlinkElementUtils/hash), [password](https://developer.mozilla.org/en-US/docs/Web/API/HTMLHyperlinkElementUtils/password), or [username](https://developer.mozilla.org/en-US/docs/Web/API/HTMLHyperlinkElementUtils/username)). **This value is unsafe**, because it leaks origins and paths from TLS-protected resources to insecure origins.\n\n**Note**: An empty string value (`""`) is both the default value, and a fallback value if `referrerpolicy` is not supported. If `referrerpolicy` is not explicitly specified on the `<script>` element, it will adopt a higher-level referrer policy, i.e. one set on the whole document or domain. If a higher-level policy is not available, the empty string is treated as being equivalent to `no-referrer-when-downgrade`.'},{name:"text",description:"Like the `textContent` attribute, this attribute sets the text content of the element. Unlike the `textContent` attribute, however, this attribute is evaluated as executable code after the node is inserted into the DOM."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/script"}]},{name:"noscript",description:{kind:"markdown",value:"The noscript element represents nothing if scripting is enabled, and represents its children if scripting is disabled. It is used to present different markup to user agents that support scripting and those that don't support scripting, by affecting how the document is parsed."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/noscript"}]},{name:"template",description:{kind:"markdown",value:"The template element is used to declare fragments of HTML that can be cloned and inserted in the document by script."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/template"}]},{name:"canvas",description:{kind:"markdown",value:"The canvas element provides scripts with a resolution-dependent bitmap canvas, which can be used for rendering graphs, game graphics, art, or other visual images on the fly."},attributes:[{name:"width",description:{kind:"markdown",value:"The width of the coordinate space in CSS pixels. Defaults to 300."}},{name:"height",description:{kind:"markdown",value:"The height of the coordinate space in CSS pixels. Defaults to 150."}},{name:"moz-opaque",description:"Lets the canvas know whether or not translucency will be a factor. If the canvas knows there's no translucency, painting performance can be optimized. This is only supported by Mozilla-based browsers; use the standardized [`canvas.getContext('2d', { alpha: false })`](https://developer.mozilla.org/en-US/docs/Web/API/HTMLCanvasElement/getContext \"The HTMLCanvasElement.getContext() method returns a drawing context on the canvas, or null if the context identifier is not supported.\") instead."}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/canvas"}]},{name:"slot",description:{kind:"markdown",value:"The slot element is a placeholder inside a web component that you can fill with your own markup, which lets you create separate DOM trees and present them together."},attributes:[{name:"name",description:{kind:"markdown",value:"The slot's name.\nA **named slot** is a `<slot>` element with a `name` attribute."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/slot"}]},{name:"data",description:{kind:"markdown",value:"The data element links a given piece of content with a machine-readable translation."},attributes:[{name:"value",description:{kind:"markdown",value:"This attribute specifies the machine-readable translation of the content of the element."}}],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/data"}]},{name:"hgroup",description:{kind:"markdown",value:"The hgroup element represents a heading and related content. It groups a single h1–h6 element with one or more p."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/hgroup"}]},{name:"menu",description:{kind:"markdown",value:"The menu element represents an unordered list of interactive items."},attributes:[],references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Element/menu"}]}],globalAttributes:[{name:"accesskey",description:{kind:"markdown",value:"Provides a hint for generating a keyboard shortcut for the current element. This attribute consists of a space-separated list of characters. The browser should use the first one that exists on the computer keyboard layout."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/accesskey"}]},{name:"autocapitalize",description:{kind:"markdown",value:"Controls whether and how text input is automatically capitalized as it is entered/edited by the user. It can have the following values:\n\n* `off` or `none`, no autocapitalization is applied (all letters default to lowercase)\n* `on` or `sentences`, the first letter of each sentence defaults to a capital letter; all other letters default to lowercase\n* `words`, the first letter of each word defaults to a capital letter; all other letters default to lowercase\n* `characters`, all letters should default to uppercase"},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/autocapitalize"}]},{name:"class",description:{kind:"markdown",value:'A space-separated list of the classes of the element. Classes allows CSS and JavaScript to select and access specific elements via the [class selectors](https://developer.mozilla.org/docs/Web/CSS/Class_selectors) or functions like the method [`Document.getElementsByClassName()`](https://developer.mozilla.org/docs/Web/API/Document/getElementsByClassName "returns an array-like object of all child elements which have all of the given class names.").'},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/class"}]},{name:"contenteditable",description:{kind:"markdown",value:"An enumerated attribute indicating if the element should be editable by the user. If so, the browser modifies its widget to allow editing. The attribute must take one of the following values:\n\n* `true` or the _empty string_, which indicates that the element must be editable;\n* `false`, which indicates that the element must not be editable."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/contenteditable"}]},{name:"contextmenu",description:{kind:"markdown",value:'The `[**id**](#attr-id)` of a [`<menu>`](https://developer.mozilla.org/docs/Web/HTML/Element/menu "The HTML <menu> element represents a group of commands that a user can perform or activate. This includes both list menus, which might appear across the top of a screen, as well as context menus, such as those that might appear underneath a button after it has been clicked.") to use as the contextual menu for this element.'},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/contextmenu"}]},{name:"dir",description:{kind:"markdown",value:"An enumerated attribute indicating the directionality of the element's text. It can have the following values:\n\n* `ltr`, which means _left to right_ and is to be used for languages that are written from the left to the right (like English);\n* `rtl`, which means _right to left_ and is to be used for languages that are written from the right to the left (like Arabic);\n* `auto`, which lets the user agent decide. It uses a basic algorithm as it parses the characters inside the element until it finds a character with a strong directionality, then it applies that directionality to the whole element."},valueSet:"d",references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/dir"}]},{name:"draggable",description:{kind:"markdown",value:"An enumerated attribute indicating whether the element can be dragged, using the [Drag and Drop API](https://developer.mozilla.org/docs/DragDrop/Drag_and_Drop). It can have the following values:\n\n* `true`, which indicates that the element may be dragged\n* `false`, which indicates that the element may not be dragged."},valueSet:"b",references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/draggable"}]},{name:"dropzone",description:{kind:"markdown",value:"An enumerated attribute indicating what types of content can be dropped on an element, using the [Drag and Drop API](https://developer.mozilla.org/docs/DragDrop/Drag_and_Drop). It can have the following values:\n\n* `copy`, which indicates that dropping will create a copy of the element that was dragged\n* `move`, which indicates that the element that was dragged will be moved to this new location.\n* `link`, will create a link to the dragged data."}},{name:"exportparts",description:{kind:"markdown",value:"Used to transitively export shadow parts from a nested shadow tree into a containing light tree."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/exportparts"}]},{name:"hidden",description:{kind:"markdown",value:"A Boolean attribute indicates that the element is not yet, or is no longer, _relevant_. For example, it can be used to hide elements of the page that can't be used until the login process has been completed. The browser won't render such elements. This attribute must not be used to hide content that could legitimately be shown."},valueSet:"v",references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/hidden"}]},{name:"id",description:{kind:"markdown",value:"Defines a unique identifier (ID) which must be unique in the whole document. Its purpose is to identify the element when linking (using a fragment identifier), scripting, or styling (with CSS)."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/id"}]},{name:"inputmode",description:{kind:"markdown",value:'Provides a hint to browsers as to the type of virtual keyboard configuration to use when editing this element or its contents. Used primarily on [`<input>`](https://developer.mozilla.org/docs/Web/HTML/Element/input "The HTML <input> element is used to create interactive controls for web-based forms in order to accept data from the user; a wide variety of types of input data and control widgets are available, depending on the device and user agent.") elements, but is usable on any element while in `[contenteditable](https://developer.mozilla.org/docs/Web/HTML/Global_attributes#attr-contenteditable)` mode.'},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/inputmode"}]},{name:"is",description:{kind:"markdown",value:"Allows you to specify that a standard HTML element should behave like a registered custom built-in element (see [Using custom elements](https://developer.mozilla.org/docs/Web/Web_Components/Using_custom_elements) for more details)."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/is"}]},{name:"itemid",description:{kind:"markdown",value:"The unique, global identifier of an item."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/itemid"}]},{name:"itemprop",description:{kind:"markdown",value:"Used to add properties to an item. Every HTML element may have an `itemprop` attribute specified, where an `itemprop` consists of a name and value pair."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/itemprop"}]},{name:"itemref",description:{kind:"markdown",value:"Properties that are not descendants of an element with the `itemscope` attribute can be associated with the item using an `itemref`. It provides a list of element ids (not `itemid`s) with additional properties elsewhere in the document."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/itemref"}]},{name:"itemscope",description:{kind:"markdown",value:"`itemscope` (usually) works along with `[itemtype](https://developer.mozilla.org/docs/Web/HTML/Global_attributes#attr-itemtype)` to specify that the HTML contained in a block is about a particular item. `itemscope` creates the Item and defines the scope of the `itemtype` associated with it. `itemtype` is a valid URL of a vocabulary (such as [schema.org](https://schema.org/)) that describes the item and its properties context."},valueSet:"v",references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/itemscope"}]},{name:"itemtype",description:{kind:"markdown",value:"Specifies the URL of the vocabulary that will be used to define `itemprop`s (item properties) in the data structure. `[itemscope](https://developer.mozilla.org/docs/Web/HTML/Global_attributes#attr-itemscope)` is used to set the scope of where in the data structure the vocabulary set by `itemtype` will be active."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/itemtype"}]},{name:"lang",description:{kind:"markdown",value:"Helps define the language of an element: the language that non-editable elements are in, or the language that editable elements should be written in by the user. The attribute contains one “language tag” (made of hyphen-separated “language subtags”) in the format defined in [_Tags for Identifying Languages (BCP47)_](https://www.ietf.org/rfc/bcp/bcp47.txt). [**xml:lang**](#attr-xml:lang) has priority over it."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/lang"}]},{name:"part",description:{kind:"markdown",value:'A space-separated list of the part names of the element. Part names allows CSS to select and style specific elements in a shadow tree via the [`::part`](https://developer.mozilla.org/docs/Web/CSS/::part "The ::part CSS pseudo-element represents any element within a shadow tree that has a matching part attribute.") pseudo-element.'},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/part"}]},{name:"role",valueSet:"roles"},{name:"slot",description:{kind:"markdown",value:"Assigns a slot in a [shadow DOM](https://developer.mozilla.org/docs/Web/Web_Components/Shadow_DOM) shadow tree to an element: An element with a `slot` attribute is assigned to the slot created by the [`<slot>`](https://developer.mozilla.org/docs/Web/HTML/Element/slot \"The HTML <slot> element—part of the Web Components technology suite—is a placeholder inside a web component that you can fill with your own markup, which lets you create separate DOM trees and present them together.\") element whose `[name](https://developer.mozilla.org/docs/Web/HTML/Element/slot#attr-name)` attribute's value matches that `slot` attribute's value."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/slot"}]},{name:"spellcheck",description:{kind:"markdown",value:"An enumerated attribute defines whether the element may be checked for spelling errors. It may have the following values:\n\n* `true`, which indicates that the element should be, if possible, checked for spelling errors;\n* `false`, which indicates that the element should not be checked for spelling errors."},valueSet:"b",references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/spellcheck"}]},{name:"style",description:{kind:"markdown",value:'Contains [CSS](https://developer.mozilla.org/docs/Web/CSS) styling declarations to be applied to the element. Note that it is recommended for styles to be defined in a separate file or files. This attribute and the [`<style>`](https://developer.mozilla.org/docs/Web/HTML/Element/style "The HTML <style> element contains style information for a document, or part of a document.") element have mainly the purpose of allowing for quick styling, for example for testing purposes.'},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/style"}]},{name:"tabindex",description:{kind:"markdown",value:`An integer attribute indicating if the element can take input focus (is _focusable_), if it should participate to sequential keyboard navigation, and if so, at what position. It can take several values:
+
+* a _negative value_ means that the element should be focusable, but should not be reachable via sequential keyboard navigation;
+* \`0\` means that the element should be focusable and reachable via sequential keyboard navigation, but its relative order is defined by the platform convention;
+* a _positive value_ means that the element should be focusable and reachable via sequential keyboard navigation; the order in which the elements are focused is the increasing value of the [**tabindex**](#attr-tabindex). If several elements share the same tabindex, their relative order follows their relative positions in the document.`},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/tabindex"}]},{name:"title",description:{kind:"markdown",value:"Contains a text representing advisory information related to the element it belongs to. Such information can typically, but not necessarily, be presented to the user as a tooltip."},references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/title"}]},{name:"translate",description:{kind:"markdown",value:"An enumerated attribute that is used to specify whether an element's attribute values and the values of its [`Text`](https://developer.mozilla.org/docs/Web/API/Text \"The Text interface represents the textual content of Element or Attr. If an element has no markup within its content, it has a single child implementing Text that contains the element's text. However, if the element contains markup, it is parsed into information items and Text nodes that form its children.\") node children are to be translated when the page is localized, or whether to leave them unchanged. It can have the following values:\n\n* empty string and `yes`, which indicates that the element will be translated.\n* `no`, which indicates that the element will not be translated."},valueSet:"y",references:[{name:"MDN Reference",url:"https://developer.mozilla.org/docs/Web/HTML/Global_attributes/translate"}]},{name:"onabort",description:{kind:"markdown",value:"The loading of a resource has been aborted."}},{name:"onblur",description:{kind:"markdown",value:"An element has lost focus (does not bubble)."}},{name:"oncanplay",description:{kind:"markdown",value:"The user agent can play the media, but estimates that not enough data has been loaded to play the media up to its end without having to stop for further buffering of content."}},{name:"oncanplaythrough",description:{kind:"markdown",value:"The user agent can play the media up to its end without having to stop for further buffering of content."}},{name:"onchange",description:{kind:"markdown",value:"The change event is fired for <input>, <select>, and <textarea> elements when a change to the element's value is committed by the user."}},{name:"onclick",description:{kind:"markdown",value:"A pointing device button has been pressed and released on an element."}},{name:"oncontextmenu",description:{kind:"markdown",value:"The right button of the mouse is clicked (before the context menu is displayed)."}},{name:"ondblclick",description:{kind:"markdown",value:"A pointing device button is clicked twice on an element."}},{name:"ondrag",description:{kind:"markdown",value:"An element or text selection is being dragged (every 350ms)."}},{name:"ondragend",description:{kind:"markdown",value:"A drag operation is being ended (by releasing a mouse button or hitting the escape key)."}},{name:"ondragenter",description:{kind:"markdown",value:"A dragged element or text selection enters a valid drop target."}},{name:"ondragleave",description:{kind:"markdown",value:"A dragged element or text selection leaves a valid drop target."}},{name:"ondragover",description:{kind:"markdown",value:"An element or text selection is being dragged over a valid drop target (every 350ms)."}},{name:"ondragstart",description:{kind:"markdown",value:"The user starts dragging an element or text selection."}},{name:"ondrop",description:{kind:"markdown",value:"An element is dropped on a valid drop target."}},{name:"ondurationchange",description:{kind:"markdown",value:"The duration attribute has been updated."}},{name:"onemptied",description:{kind:"markdown",value:"The media has become empty; for example, this event is sent if the media has already been loaded (or partially loaded), and the load() method is called to reload it."}},{name:"onended",description:{kind:"markdown",value:"Playback has stopped because the end of the media was reached."}},{name:"onerror",description:{kind:"markdown",value:"A resource failed to load."}},{name:"onfocus",description:{kind:"markdown",value:"An element has received focus (does not bubble)."}},{name:"onformchange"},{name:"onforminput"},{name:"oninput",description:{kind:"markdown",value:"The value of an element changes or the content of an element with the attribute contenteditable is modified."}},{name:"oninvalid",description:{kind:"markdown",value:"A submittable element has been checked and doesn't satisfy its constraints."}},{name:"onkeydown",description:{kind:"markdown",value:"A key is pressed down."}},{name:"onkeypress",description:{kind:"markdown",value:"A key is pressed down and that key normally produces a character value (use input instead)."}},{name:"onkeyup",description:{kind:"markdown",value:"A key is released."}},{name:"onload",description:{kind:"markdown",value:"A resource and its dependent resources have finished loading."}},{name:"onloadeddata",description:{kind:"markdown",value:"The first frame of the media has finished loading."}},{name:"onloadedmetadata",description:{kind:"markdown",value:"The metadata has been loaded."}},{name:"onloadstart",description:{kind:"markdown",value:"Progress has begun."}},{name:"onmousedown",description:{kind:"markdown",value:"A pointing device button (usually a mouse) is pressed on an element."}},{name:"onmousemove",description:{kind:"markdown",value:"A pointing device is moved over an element."}},{name:"onmouseout",description:{kind:"markdown",value:"A pointing device is moved off the element that has the listener attached or off one of its children."}},{name:"onmouseover",description:{kind:"markdown",value:"A pointing device is moved onto the element that has the listener attached or onto one of its children."}},{name:"onmouseup",description:{kind:"markdown",value:"A pointing device button is released over an element."}},{name:"onmousewheel"},{name:"onmouseenter",description:{kind:"markdown",value:"A pointing device is moved onto the element that has the listener attached."}},{name:"onmouseleave",description:{kind:"markdown",value:"A pointing device is moved off the element that has the listener attached."}},{name:"onpause",description:{kind:"markdown",value:"Playback has been paused."}},{name:"onplay",description:{kind:"markdown",value:"Playback has begun."}},{name:"onplaying",description:{kind:"markdown",value:"Playback is ready to start after having been paused or delayed due to lack of data."}},{name:"onprogress",description:{kind:"markdown",value:"In progress."}},{name:"onratechange",description:{kind:"markdown",value:"The playback rate has changed."}},{name:"onreset",description:{kind:"markdown",value:"A form is reset."}},{name:"onresize",description:{kind:"markdown",value:"The document view has been resized."}},{name:"onreadystatechange",description:{kind:"markdown",value:"The readyState attribute of a document has changed."}},{name:"onscroll",description:{kind:"markdown",value:"The document view or an element has been scrolled."}},{name:"onseeked",description:{kind:"markdown",value:"A seek operation completed."}},{name:"onseeking",description:{kind:"markdown",value:"A seek operation began."}},{name:"onselect",description:{kind:"markdown",value:"Some text is being selected."}},{name:"onshow",description:{kind:"markdown",value:"A contextmenu event was fired on/bubbled to an element that has a contextmenu attribute"}},{name:"onstalled",description:{kind:"markdown",value:"The user agent is trying to fetch media data, but data is unexpectedly not forthcoming."}},{name:"onsubmit",description:{kind:"markdown",value:"A form is submitted."}},{name:"onsuspend",description:{kind:"markdown",value:"Media data loading has been suspended."}},{name:"ontimeupdate",description:{kind:"markdown",value:"The time indicated by the currentTime attribute has been updated."}},{name:"onvolumechange",description:{kind:"markdown",value:"The volume has changed."}},{name:"onwaiting",description:{kind:"markdown",value:"Playback has stopped because of a temporary lack of data."}},{name:"onpointercancel",description:{kind:"markdown",value:"The pointer is unlikely to produce any more events."}},{name:"onpointerdown",description:{kind:"markdown",value:"The pointer enters the active buttons state."}},{name:"onpointerenter",description:{kind:"markdown",value:"Pointing device is moved inside the hit-testing boundary."}},{name:"onpointerleave",description:{kind:"markdown",value:"Pointing device is moved out of the hit-testing boundary."}},{name:"onpointerlockchange",description:{kind:"markdown",value:"The pointer was locked or released."}},{name:"onpointerlockerror",description:{kind:"markdown",value:"It was impossible to lock the pointer for technical reasons or because the permission was denied."}},{name:"onpointermove",description:{kind:"markdown",value:"The pointer changed coordinates."}},{name:"onpointerout",description:{kind:"markdown",value:"The pointing device moved out of hit-testing boundary or leaves detectable hover range."}},{name:"onpointerover",description:{kind:"markdown",value:"The pointing device is moved into the hit-testing boundary."}},{name:"onpointerup",description:{kind:"markdown",value:"The pointer leaves the active buttons state."}},{name:"aria-activedescendant",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-activedescendant"}],description:{kind:"markdown",value:"Identifies the currently active element when DOM focus is on a [`composite`](https://www.w3.org/TR/wai-aria-1.1/#composite) widget, [`textbox`](https://www.w3.org/TR/wai-aria-1.1/#textbox), [`group`](https://www.w3.org/TR/wai-aria-1.1/#group), or [`application`](https://www.w3.org/TR/wai-aria-1.1/#application)."}},{name:"aria-atomic",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-atomic"}],description:{kind:"markdown",value:"Indicates whether [assistive technologies](https://www.w3.org/TR/wai-aria-1.1/#dfn-assistive-technology) will present all, or only parts of, the changed region based on the change notifications defined by the [`aria-relevant`](https://www.w3.org/TR/wai-aria-1.1/#aria-relevant) attribute."}},{name:"aria-autocomplete",valueSet:"autocomplete",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-autocomplete"}],description:{kind:"markdown",value:"Indicates whether inputting text could trigger display of one or more predictions of the user's intended value for an input and specifies how predictions would be presented if they are made."}},{name:"aria-busy",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-busy"}],description:{kind:"markdown",value:"Indicates an element is being modified and that assistive technologies _MAY_ want to wait until the modifications are complete before exposing them to the user."}},{name:"aria-checked",valueSet:"tristate",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-checked"}],description:{kind:"markdown",value:'Indicates the current "checked" [state](https://www.w3.org/TR/wai-aria-1.1/#dfn-state) of checkboxes, radio buttons, and other [widgets](https://www.w3.org/TR/wai-aria-1.1/#dfn-widget). See related [`aria-pressed`](https://www.w3.org/TR/wai-aria-1.1/#aria-pressed) and [`aria-selected`](https://www.w3.org/TR/wai-aria-1.1/#aria-selected).'}},{name:"aria-colcount",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-colcount"}],description:{kind:"markdown",value:"Defines the total number of columns in a [`table`](https://www.w3.org/TR/wai-aria-1.1/#table), [`grid`](https://www.w3.org/TR/wai-aria-1.1/#grid), or [`treegrid`](https://www.w3.org/TR/wai-aria-1.1/#treegrid). See related [`aria-colindex`](https://www.w3.org/TR/wai-aria-1.1/#aria-colindex)."}},{name:"aria-colindex",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-colindex"}],description:{kind:"markdown",value:"Defines an [element's](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) column index or position with respect to the total number of columns within a [`table`](https://www.w3.org/TR/wai-aria-1.1/#table), [`grid`](https://www.w3.org/TR/wai-aria-1.1/#grid), or [`treegrid`](https://www.w3.org/TR/wai-aria-1.1/#treegrid). See related [`aria-colcount`](https://www.w3.org/TR/wai-aria-1.1/#aria-colcount) and [`aria-colspan`](https://www.w3.org/TR/wai-aria-1.1/#aria-colspan)."}},{name:"aria-colspan",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-colspan"}],description:{kind:"markdown",value:"Defines the number of columns spanned by a cell or gridcell within a [`table`](https://www.w3.org/TR/wai-aria-1.1/#table), [`grid`](https://www.w3.org/TR/wai-aria-1.1/#grid), or [`treegrid`](https://www.w3.org/TR/wai-aria-1.1/#treegrid). See related [`aria-colindex`](https://www.w3.org/TR/wai-aria-1.1/#aria-colindex) and [`aria-rowspan`](https://www.w3.org/TR/wai-aria-1.1/#aria-rowspan)."}},{name:"aria-controls",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-controls"}],description:{kind:"markdown",value:"Identifies the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) (or elements) whose contents or presence are controlled by the current element. See related [`aria-owns`](https://www.w3.org/TR/wai-aria-1.1/#aria-owns)."}},{name:"aria-current",valueSet:"current",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-current"}],description:{kind:"markdown",value:"Indicates the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) that represents the current item within a container or set of related elements."}},{name:"aria-describedby",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-describedby"}],description:{kind:"markdown",value:"Identifies the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) (or elements) that describes the [object](https://www.w3.org/TR/wai-aria-1.1/#dfn-object). See related [`aria-labelledby`](https://www.w3.org/TR/wai-aria-1.1/#aria-labelledby)."}},{name:"aria-disabled",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-disabled"}],description:{kind:"markdown",value:"Indicates that the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) is [perceivable](https://www.w3.org/TR/wai-aria-1.1/#dfn-perceivable) but disabled, so it is not editable or otherwise [operable](https://www.w3.org/TR/wai-aria-1.1/#dfn-operable). See related [`aria-hidden`](https://www.w3.org/TR/wai-aria-1.1/#aria-hidden) and [`aria-readonly`](https://www.w3.org/TR/wai-aria-1.1/#aria-readonly)."}},{name:"aria-dropeffect",valueSet:"dropeffect",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-dropeffect"}],description:{kind:"markdown",value:"\\[Deprecated in ARIA 1.1\\] Indicates what functions can be performed when a dragged object is released on the drop target."}},{name:"aria-errormessage",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-errormessage"}],description:{kind:"markdown",value:"Identifies the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) that provides an error message for the [object](https://www.w3.org/TR/wai-aria-1.1/#dfn-object). See related [`aria-invalid`](https://www.w3.org/TR/wai-aria-1.1/#aria-invalid) and [`aria-describedby`](https://www.w3.org/TR/wai-aria-1.1/#aria-describedby)."}},{name:"aria-expanded",valueSet:"u",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-expanded"}],description:{kind:"markdown",value:"Indicates whether the element, or another grouping element it controls, is currently expanded or collapsed."}},{name:"aria-flowto",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-flowto"}],description:{kind:"markdown",value:"Identifies the next [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) (or elements) in an alternate reading order of content which, at the user's discretion, allows assistive technology to override the general default of reading in document source order."}},{name:"aria-grabbed",valueSet:"u",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-grabbed"}],description:{kind:"markdown",value:`\\[Deprecated in ARIA 1.1\\] Indicates an element's "grabbed" [state](https://www.w3.org/TR/wai-aria-1.1/#dfn-state) in a drag-and-drop operation.`}},{name:"aria-haspopup",valueSet:"haspopup",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-haspopup"}],description:{kind:"markdown",value:"Indicates the availability and type of interactive popup element, such as menu or dialog, that can be triggered by an [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element)."}},{name:"aria-hidden",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-hidden"}],description:{kind:"markdown",value:"Indicates whether the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) is exposed to an accessibility API. See related [`aria-disabled`](https://www.w3.org/TR/wai-aria-1.1/#aria-disabled)."}},{name:"aria-invalid",valueSet:"invalid",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-invalid"}],description:{kind:"markdown",value:"Indicates the entered value does not conform to the format expected by the application. See related [`aria-errormessage`](https://www.w3.org/TR/wai-aria-1.1/#aria-errormessage)."}},{name:"aria-label",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-label"}],description:{kind:"markdown",value:"Defines a string value that labels the current element. See related [`aria-labelledby`](https://www.w3.org/TR/wai-aria-1.1/#aria-labelledby)."}},{name:"aria-labelledby",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-labelledby"}],description:{kind:"markdown",value:"Identifies the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) (or elements) that labels the current element. See related [`aria-describedby`](https://www.w3.org/TR/wai-aria-1.1/#aria-describedby)."}},{name:"aria-level",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-level"}],description:{kind:"markdown",value:"Defines the hierarchical level of an [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) within a structure."}},{name:"aria-live",valueSet:"live",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-live"}],description:{kind:"markdown",value:"Indicates that an [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) will be updated, and describes the types of updates the [user agents](https://www.w3.org/TR/wai-aria-1.1/#dfn-user-agent), [assistive technologies](https://www.w3.org/TR/wai-aria-1.1/#dfn-assistive-technology), and user can expect from the [live region](https://www.w3.org/TR/wai-aria-1.1/#dfn-live-region)."}},{name:"aria-modal",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-modal"}],description:{kind:"markdown",value:"Indicates whether an [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) is modal when displayed."}},{name:"aria-multiline",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-multiline"}],description:{kind:"markdown",value:"Indicates whether a text box accepts multiple lines of input or only a single line."}},{name:"aria-multiselectable",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-multiselectable"}],description:{kind:"markdown",value:"Indicates that the user may select more than one item from the current selectable descendants."}},{name:"aria-orientation",valueSet:"orientation",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-orientation"}],description:{kind:"markdown",value:"Indicates whether the element's orientation is horizontal, vertical, or unknown/ambiguous."}},{name:"aria-owns",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-owns"}],description:{kind:"markdown",value:"Identifies an [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) (or elements) in order to define a visual, functional, or contextual parent/child [relationship](https://www.w3.org/TR/wai-aria-1.1/#dfn-relationship) between DOM elements where the DOM hierarchy cannot be used to represent the relationship. See related [`aria-controls`](https://www.w3.org/TR/wai-aria-1.1/#aria-controls)."}},{name:"aria-placeholder",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-placeholder"}],description:{kind:"markdown",value:"Defines a short hint (a word or short phrase) intended to aid the user with data entry when the control has no value. A hint could be a sample value or a brief description of the expected format."}},{name:"aria-posinset",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-posinset"}],description:{kind:"markdown",value:"Defines an [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element)'s number or position in the current set of listitems or treeitems. Not required if all elements in the set are present in the DOM. See related [`aria-setsize`](https://www.w3.org/TR/wai-aria-1.1/#aria-setsize)."}},{name:"aria-pressed",valueSet:"tristate",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-pressed"}],description:{kind:"markdown",value:'Indicates the current "pressed" [state](https://www.w3.org/TR/wai-aria-1.1/#dfn-state) of toggle buttons. See related [`aria-checked`](https://www.w3.org/TR/wai-aria-1.1/#aria-checked) and [`aria-selected`](https://www.w3.org/TR/wai-aria-1.1/#aria-selected).'}},{name:"aria-readonly",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-readonly"}],description:{kind:"markdown",value:"Indicates that the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) is not editable, but is otherwise [operable](https://www.w3.org/TR/wai-aria-1.1/#dfn-operable). See related [`aria-disabled`](https://www.w3.org/TR/wai-aria-1.1/#aria-disabled)."}},{name:"aria-relevant",valueSet:"relevant",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-relevant"}],description:{kind:"markdown",value:"Indicates what notifications the user agent will trigger when the accessibility tree within a live region is modified. See related [`aria-atomic`](https://www.w3.org/TR/wai-aria-1.1/#aria-atomic)."}},{name:"aria-required",valueSet:"b",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-required"}],description:{kind:"markdown",value:"Indicates that user input is required on the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) before a form may be submitted."}},{name:"aria-roledescription",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-roledescription"}],description:{kind:"markdown",value:"Defines a human-readable, author-localized description for the [role](https://www.w3.org/TR/wai-aria-1.1/#dfn-role) of an [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element)."}},{name:"aria-rowcount",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-rowcount"}],description:{kind:"markdown",value:"Defines the total number of rows in a [`table`](https://www.w3.org/TR/wai-aria-1.1/#table), [`grid`](https://www.w3.org/TR/wai-aria-1.1/#grid), or [`treegrid`](https://www.w3.org/TR/wai-aria-1.1/#treegrid). See related [`aria-rowindex`](https://www.w3.org/TR/wai-aria-1.1/#aria-rowindex)."}},{name:"aria-rowindex",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-rowindex"}],description:{kind:"markdown",value:"Defines an [element's](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) row index or position with respect to the total number of rows within a [`table`](https://www.w3.org/TR/wai-aria-1.1/#table), [`grid`](https://www.w3.org/TR/wai-aria-1.1/#grid), or [`treegrid`](https://www.w3.org/TR/wai-aria-1.1/#treegrid). See related [`aria-rowcount`](https://www.w3.org/TR/wai-aria-1.1/#aria-rowcount) and [`aria-rowspan`](https://www.w3.org/TR/wai-aria-1.1/#aria-rowspan)."}},{name:"aria-rowspan",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-rowspan"}],description:{kind:"markdown",value:"Defines the number of rows spanned by a cell or gridcell within a [`table`](https://www.w3.org/TR/wai-aria-1.1/#table), [`grid`](https://www.w3.org/TR/wai-aria-1.1/#grid), or [`treegrid`](https://www.w3.org/TR/wai-aria-1.1/#treegrid). See related [`aria-rowindex`](https://www.w3.org/TR/wai-aria-1.1/#aria-rowindex) and [`aria-colspan`](https://www.w3.org/TR/wai-aria-1.1/#aria-colspan)."}},{name:"aria-selected",valueSet:"u",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-selected"}],description:{kind:"markdown",value:'Indicates the current "selected" [state](https://www.w3.org/TR/wai-aria-1.1/#dfn-state) of various [widgets](https://www.w3.org/TR/wai-aria-1.1/#dfn-widget). See related [`aria-checked`](https://www.w3.org/TR/wai-aria-1.1/#aria-checked) and [`aria-pressed`](https://www.w3.org/TR/wai-aria-1.1/#aria-pressed).'}},{name:"aria-setsize",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-setsize"}],description:{kind:"markdown",value:"Defines the number of items in the current set of listitems or treeitems. Not required if all elements in the set are present in the DOM. See related [`aria-posinset`](https://www.w3.org/TR/wai-aria-1.1/#aria-posinset)."}},{name:"aria-sort",valueSet:"sort",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-sort"}],description:{kind:"markdown",value:"Indicates if items in a table or grid are sorted in ascending or descending order."}},{name:"aria-valuemax",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-valuemax"}],description:{kind:"markdown",value:"Defines the maximum allowed value for a range [widget](https://www.w3.org/TR/wai-aria-1.1/#dfn-widget)."}},{name:"aria-valuemin",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-valuemin"}],description:{kind:"markdown",value:"Defines the minimum allowed value for a range [widget](https://www.w3.org/TR/wai-aria-1.1/#dfn-widget)."}},{name:"aria-valuenow",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-valuenow"}],description:{kind:"markdown",value:"Defines the current value for a range [widget](https://www.w3.org/TR/wai-aria-1.1/#dfn-widget). See related [`aria-valuetext`](https://www.w3.org/TR/wai-aria-1.1/#aria-valuetext)."}},{name:"aria-valuetext",references:[{name:"WAI-ARIA Reference",url:"https://www.w3.org/TR/wai-aria-1.1/#aria-valuetext"}],description:{kind:"markdown",value:"Defines the human readable text alternative of [`aria-valuenow`](https://www.w3.org/TR/wai-aria-1.1/#aria-valuenow) for a range [widget](https://www.w3.org/TR/wai-aria-1.1/#dfn-widget)."}},{name:"aria-details",description:{kind:"markdown",value:"Identifies the [element](https://www.w3.org/TR/wai-aria-1.1/#dfn-element) that provides a detailed, extended description for the [object](https://www.w3.org/TR/wai-aria-1.1/#dfn-object). See related [`aria-describedby`](https://www.w3.org/TR/wai-aria-1.1/#aria-describedby)."}},{name:"aria-keyshortcuts",description:{kind:"markdown",value:"Indicates keyboard shortcuts that an author has implemented to activate or give focus to an element."}}],valueSets:[{name:"b",values:[{name:"true"},{name:"false"}]},{name:"u",values:[{name:"true"},{name:"false"},{name:"undefined"}]},{name:"o",values:[{name:"on"},{name:"off"}]},{name:"y",values:[{name:"yes"},{name:"no"}]},{name:"w",values:[{name:"soft"},{name:"hard"}]},{name:"d",values:[{name:"ltr"},{name:"rtl"},{name:"auto"}]},{name:"m",values:[{name:"get",description:{kind:"markdown",value:"Corresponds to the HTTP [GET method](https://www.w3.org/Protocols/rfc2616/rfc2616-sec9.html#sec9.3); form data are appended to the `action` attribute URI with a '?' as separator, and the resulting URI is sent to the server. Use this method when the form has no side-effects and contains only ASCII characters."}},{name:"post",description:{kind:"markdown",value:"Corresponds to the HTTP [POST method](https://www.w3.org/Protocols/rfc2616/rfc2616-sec9.html#sec9.5); form data are included in the body of the form and sent to the server."}},{name:"dialog",description:{kind:"markdown",value:"Use when the form is inside a [`<dialog>`](https://developer.mozilla.org/en-US/docs/Web/HTML/Element/dialog) element to close the dialog when submitted."}}]},{name:"fm",values:[{name:"get"},{name:"post"}]},{name:"s",values:[{name:"row"},{name:"col"},{name:"rowgroup"},{name:"colgroup"}]},{name:"t",values:[{name:"hidden"},{name:"text"},{name:"search"},{name:"tel"},{name:"url"},{name:"email"},{name:"password"},{name:"datetime"},{name:"date"},{name:"month"},{name:"week"},{name:"time"},{name:"datetime-local"},{name:"number"},{name:"range"},{name:"color"},{name:"checkbox"},{name:"radio"},{name:"file"},{name:"submit"},{name:"image"},{name:"reset"},{name:"button"}]},{name:"im",values:[{name:"verbatim"},{name:"latin"},{name:"latin-name"},{name:"latin-prose"},{name:"full-width-latin"},{name:"kana"},{name:"kana-name"},{name:"katakana"},{name:"numeric"},{name:"tel"},{name:"email"},{name:"url"}]},{name:"bt",values:[{name:"button"},{name:"submit"},{name:"reset"},{name:"menu"}]},{name:"lt",values:[{name:"1"},{name:"a"},{name:"A"},{name:"i"},{name:"I"}]},{name:"mt",values:[{name:"context"},{name:"toolbar"}]},{name:"mit",values:[{name:"command"},{name:"checkbox"},{name:"radio"}]},{name:"et",values:[{name:"application/x-www-form-urlencoded"},{name:"multipart/form-data"},{name:"text/plain"}]},{name:"tk",values:[{name:"subtitles"},{name:"captions"},{name:"descriptions"},{name:"chapters"},{name:"metadata"}]},{name:"pl",values:[{name:"none"},{name:"metadata"},{name:"auto"}]},{name:"sh",values:[{name:"circle"},{name:"default"},{name:"poly"},{name:"rect"}]},{name:"xo",values:[{name:"anonymous"},{name:"use-credentials"}]},{name:"target",values:[{name:"_self"},{name:"_blank"},{name:"_parent"},{name:"_top"}]},{name:"sb",values:[{name:"allow-forms"},{name:"allow-modals"},{name:"allow-pointer-lock"},{name:"allow-popups"},{name:"allow-popups-to-escape-sandbox"},{name:"allow-same-origin"},{name:"allow-scripts"},{name:"allow-top-navigation"}]},{name:"tristate",values:[{name:"true"},{name:"false"},{name:"mixed"},{name:"undefined"}]},{name:"inputautocomplete",values:[{name:"additional-name"},{name:"address-level1"},{name:"address-level2"},{name:"address-level3"},{name:"address-level4"},{name:"address-line1"},{name:"address-line2"},{name:"address-line3"},{name:"bday"},{name:"bday-year"},{name:"bday-day"},{name:"bday-month"},{name:"billing"},{name:"cc-additional-name"},{name:"cc-csc"},{name:"cc-exp"},{name:"cc-exp-month"},{name:"cc-exp-year"},{name:"cc-family-name"},{name:"cc-given-name"},{name:"cc-name"},{name:"cc-number"},{name:"cc-type"},{name:"country"},{name:"country-name"},{name:"current-password"},{name:"email"},{name:"family-name"},{name:"fax"},{name:"given-name"},{name:"home"},{name:"honorific-prefix"},{name:"honorific-suffix"},{name:"impp"},{name:"language"},{name:"mobile"},{name:"name"},{name:"new-password"},{name:"nickname"},{name:"off"},{name:"on"},{name:"organization"},{name:"organization-title"},{name:"pager"},{name:"photo"},{name:"postal-code"},{name:"sex"},{name:"shipping"},{name:"street-address"},{name:"tel-area-code"},{name:"tel"},{name:"tel-country-code"},{name:"tel-extension"},{name:"tel-local"},{name:"tel-local-prefix"},{name:"tel-local-suffix"},{name:"tel-national"},{name:"transaction-amount"},{name:"transaction-currency"},{name:"url"},{name:"username"},{name:"work"}]},{name:"autocomplete",values:[{name:"inline"},{name:"list"},{name:"both"},{name:"none"}]},{name:"current",values:[{name:"page"},{name:"step"},{name:"location"},{name:"date"},{name:"time"},{name:"true"},{name:"false"}]},{name:"dropeffect",values:[{name:"copy"},{name:"move"},{name:"link"},{name:"execute"},{name:"popup"},{name:"none"}]},{name:"invalid",values:[{name:"grammar"},{name:"false"},{name:"spelling"},{name:"true"}]},{name:"live",values:[{name:"off"},{name:"polite"},{name:"assertive"}]},{name:"orientation",values:[{name:"vertical"},{name:"horizontal"},{name:"undefined"}]},{name:"relevant",values:[{name:"additions"},{name:"removals"},{name:"text"},{name:"all"},{name:"additions text"}]},{name:"sort",values:[{name:"ascending"},{name:"descending"},{name:"none"},{name:"other"}]},{name:"roles",values:[{name:"alert"},{name:"alertdialog"},{name:"button"},{name:"checkbox"},{name:"dialog"},{name:"gridcell"},{name:"link"},{name:"log"},{name:"marquee"},{name:"menuitem"},{name:"menuitemcheckbox"},{name:"menuitemradio"},{name:"option"},{name:"progressbar"},{name:"radio"},{name:"scrollbar"},{name:"searchbox"},{name:"slider"},{name:"spinbutton"},{name:"status"},{name:"switch"},{name:"tab"},{name:"tabpanel"},{name:"textbox"},{name:"timer"},{name:"tooltip"},{name:"treeitem"},{name:"combobox"},{name:"grid"},{name:"listbox"},{name:"menu"},{name:"menubar"},{name:"radiogroup"},{name:"tablist"},{name:"tree"},{name:"treegrid"},{name:"application"},{name:"article"},{name:"cell"},{name:"columnheader"},{name:"definition"},{name:"directory"},{name:"document"},{name:"feed"},{name:"figure"},{name:"group"},{name:"heading"},{name:"img"},{name:"list"},{name:"listitem"},{name:"math"},{name:"none"},{name:"note"},{name:"presentation"},{name:"region"},{name:"row"},{name:"rowgroup"},{name:"rowheader"},{name:"separator"},{name:"table"},{name:"term"},{name:"text"},{name:"toolbar"},{name:"banner"},{name:"complementary"},{name:"contentinfo"},{name:"form"},{name:"main"},{name:"navigation"},{name:"region"},{name:"search"},{name:"doc-abstract"},{name:"doc-acknowledgments"},{name:"doc-afterword"},{name:"doc-appendix"},{name:"doc-backlink"},{name:"doc-biblioentry"},{name:"doc-bibliography"},{name:"doc-biblioref"},{name:"doc-chapter"},{name:"doc-colophon"},{name:"doc-conclusion"},{name:"doc-cover"},{name:"doc-credit"},{name:"doc-credits"},{name:"doc-dedication"},{name:"doc-endnote"},{name:"doc-endnotes"},{name:"doc-epigraph"},{name:"doc-epilogue"},{name:"doc-errata"},{name:"doc-example"},{name:"doc-footnote"},{name:"doc-foreword"},{name:"doc-glossary"},{name:"doc-glossref"},{name:"doc-index"},{name:"doc-introduction"},{name:"doc-noteref"},{name:"doc-notice"},{name:"doc-pagebreak"},{name:"doc-pagelist"},{name:"doc-part"},{name:"doc-preface"},{name:"doc-prologue"},{name:"doc-pullquote"},{name:"doc-qna"},{name:"doc-subtitle"},{name:"doc-tip"},{name:"doc-toc"}]},{name:"metanames",values:[{name:"application-name"},{name:"author"},{name:"description"},{name:"format-detection"},{name:"generator"},{name:"keywords"},{name:"publisher"},{name:"referrer"},{name:"robots"},{name:"theme-color"},{name:"viewport"}]},{name:"haspopup",values:[{name:"false",description:{kind:"markdown",value:"(default) Indicates the element does not have a popup."}},{name:"true",description:{kind:"markdown",value:"Indicates the popup is a menu."}},{name:"menu",description:{kind:"markdown",value:"Indicates the popup is a menu."}},{name:"listbox",description:{kind:"markdown",value:"Indicates the popup is a listbox."}},{name:"tree",description:{kind:"markdown",value:"Indicates the popup is a tree."}},{name:"grid",description:{kind:"markdown",value:"Indicates the popup is a grid."}},{name:"dialog",description:{kind:"markdown",value:"Indicates the popup is a dialog."}}]},{name:"decoding",values:[{name:"sync"},{name:"async"},{name:"auto"}]},{name:"loading",values:[{name:"eager",description:{kind:"markdown",value:"Loads the image immediately, regardless of whether or not the image is currently within the visible viewport (this is the default value)."}},{name:"lazy",description:{kind:"markdown",value:"Defers loading the image until it reaches a calculated distance from the viewport, as defined by the browser. The intent is to avoid the network and storage bandwidth needed to handle the image until it's reasonably certain that it will be needed. This generally improves the performance of the content in most typical use cases."}}]},{name:"referrerpolicy",values:[{name:"no-referrer"},{name:"no-referrer-when-downgrade"},{name:"origin"},{name:"origin-when-cross-origin"},{name:"same-origin"},{name:"strict-origin"},{name:"strict-origin-when-cross-origin"},{name:"unsafe-url"}]}]},ah=class{constructor(e){this.dataProviders=[],this.setDataProviders(e.useDefaultDataProvider!==!1,e.customDataProviders||[])}setDataProviders(e,t){this.dataProviders=[],e&&this.dataProviders.push(new to("html5",sh)),this.dataProviders.push(...t)}getDataProviders(){return this.dataProviders}isVoidElement(e,t){return!!e&&fc(t,e.toLowerCase(),(n,i)=>n.localeCompare(i))>=0}getVoidElements(e){const t=Array.isArray(e)?e:this.getDataProviders().filter(i=>i.isApplicable(e)),n=[];return t.forEach(i=>{i.provideTags().filter(r=>r.void).forEach(r=>n.push(r.name))}),n.sort()}isPathAttribute(e,t){if(t==="src"||t==="href")return!0;const n=oh[e];return n?typeof n=="string"?n===t:n.indexOf(t)!==-1:!1}},oh={a:"href",area:"href",body:"background",blockquote:"cite",del:"cite",form:"action",frame:["src","longdesc"],img:["src","longdesc"],ins:"cite",link:"href",object:"data",q:"cite",script:"src",audio:"src",button:"formaction",command:"icon",embed:"src",html:"manifest",input:["src","formaction"],source:"src",track:"src",video:["src","poster"]},lh={};function uh(e=lh){const t=new ah(e),n=new zc(e,t),i=new Mc(e,t),r=new pc(t),s=new rh(r),a=new ih(t),l=new Yc(t);return{setDataProviders:t.setDataProviders.bind(t),createScanner:_e,parseHTMLDocument:r.parseDocument.bind(r),doComplete:i.doComplete.bind(i),doComplete2:i.doComplete2.bind(i),setCompletionParticipants:i.setCompletionParticipants.bind(i),doHover:n.doHover.bind(n),format:Pc,findDocumentHighlights:Qc,findDocumentLinks:l.findDocumentLinks.bind(l),findDocumentSymbols:Zc,findDocumentSymbols2:ho,getFoldingRanges:a.getFoldingRanges.bind(a),getSelectionRanges:s.getSelectionRanges.bind(s),doQuoteComplete:i.doQuoteComplete.bind(i),doTagComplete:i.doTagComplete.bind(i),doRename:eh,findMatchingTagPosition:nh,findOnTypeRenameRanges:fo,findLinkedEditingRanges:fo}}function ch(e,t){return new to(e,t)}var hh=class{constructor(e,t){this._ctx=e,this._languageSettings=t.languageSettings,this._languageId=t.languageId;const n=this._languageSettings.data,i=n==null?void 0:n.useDefaultDataProvider,r=[];if(n!=null&&n.dataProviders)for(const s in n.dataProviders)r.push(ch(s,n.dataProviders[s]));this._languageService=uh({useDefaultDataProvider:i,customDataProviders:r})}async doComplete(e,t){let n=this._getTextDocument(e);if(!n)return null;let i=this._languageService.parseHTMLDocument(n);return Promise.resolve(this._languageService.doComplete(n,t,i,this._languageSettings&&this._languageSettings.suggest))}async format(e,t,n){let i=this._getTextDocument(e);if(!i)return[];let r={...this._languageSettings.format,...n},s=this._languageService.format(i,t,r);return Promise.resolve(s)}async doHover(e,t){let n=this._getTextDocument(e);if(!n)return null;let i=this._languageService.parseHTMLDocument(n),r=this._languageService.doHover(n,t,i);return Promise.resolve(r)}async findDocumentHighlights(e,t){let n=this._getTextDocument(e);if(!n)return[];let i=this._languageService.parseHTMLDocument(n),r=this._languageService.findDocumentHighlights(n,t,i);return Promise.resolve(r)}async findDocumentLinks(e){let t=this._getTextDocument(e);if(!t)return[];let n=this._languageService.findDocumentLinks(t,null);return Promise.resolve(n)}async findDocumentSymbols(e){let t=this._getTextDocument(e);if(!t)return[];let n=this._languageService.parseHTMLDocument(t),i=this._languageService.findDocumentSymbols(t,n);return Promise.resolve(i)}async getFoldingRanges(e,t){let n=this._getTextDocument(e);if(!n)return[];let i=this._languageService.getFoldingRanges(n,t);return Promise.resolve(i)}async getSelectionRanges(e,t){let n=this._getTextDocument(e);if(!n)return[];let i=this._languageService.getSelectionRanges(n,t);return Promise.resolve(i)}async doRename(e,t,n){let i=this._getTextDocument(e);if(!i)return null;let r=this._languageService.parseHTMLDocument(i),s=this._languageService.doRename(i,t,n,r);return Promise.resolve(s)}_getTextDocument(e){let t=this._ctx.getMirrorModels();for(let n of t)if(n.uri.toString()===e)return Ri.create(e,this._languageId,n.version,n.getValue());return null}};self.onmessage=()=>{Ks((e,t)=>new hh(e,t))}})();
diff --git a/docs/assets/index-CGHbX1oj.css b/docs/assets/index-CGHbX1oj.css
new file mode 100644
index 0000000..d16fd28
--- /dev/null
+++ b/docs/assets/index-CGHbX1oj.css
@@ -0,0 +1 @@
+*,:before,:after{box-sizing:border-box;border-width:0;border-style:solid;border-color:var(--un-default-border-color, #e5e7eb)}:before,:after{--un-content: ""}html,:host{line-height:1.5;-webkit-text-size-adjust:100%;-moz-tab-size:4;tab-size:4;font-family:ui-sans-serif,system-ui,sans-serif,"Apple Color Emoji","Segoe UI Emoji",Segoe UI Symbol,"Noto Color Emoji";font-feature-settings:normal;font-variation-settings:normal;-webkit-tap-highlight-color:transparent}body{margin:0;line-height:inherit}hr{height:0;color:inherit;border-top-width:1px}abbr:where([title]){text-decoration:underline dotted}h1,h2,h3,h4,h5,h6{font-size:inherit;font-weight:inherit}a{color:inherit;text-decoration:inherit}b,strong{font-weight:bolder}code,kbd,samp,pre{font-family:ui-monospace,SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace;font-feature-settings:normal;font-variation-settings:normal;font-size:1em}small{font-size:80%}sub,sup{font-size:75%;line-height:0;position:relative;vertical-align:baseline}sub{bottom:-.25em}sup{top:-.5em}table{text-indent:0;border-color:inherit;border-collapse:collapse}button,input,optgroup,select,textarea{font-family:inherit;font-feature-settings:inherit;font-variation-settings:inherit;font-size:100%;font-weight:inherit;line-height:inherit;color:inherit;margin:0;padding:0}button,select{text-transform:none}button,[type=button],[type=reset],[type=submit]{-webkit-appearance:button;background-color:transparent;background-image:none}:-moz-focusring{outline:auto}:-moz-ui-invalid{box-shadow:none}progress{vertical-align:baseline}::-webkit-inner-spin-button,::-webkit-outer-spin-button{height:auto}[type=search]{-webkit-appearance:textfield;outline-offset:-2px}::-webkit-search-decoration{-webkit-appearance:none}::-webkit-file-upload-button{-webkit-appearance:button;font:inherit}summary{display:list-item}blockquote,dl,dd,h1,h2,h3,h4,h5,h6,hr,figure,p,pre{margin:0}fieldset{margin:0;padding:0}legend{padding:0}ol,ul,menu{list-style:none;margin:0;padding:0}dialog{padding:0}textarea{resize:vertical}input::placeholder,textarea::placeholder{opacity:1;color:#9ca3af}button,[role=button]{cursor:pointer}:disabled{cursor:default}img,svg,video,canvas,audio,iframe,embed,object{display:block;vertical-align:middle}img,video{max-width:100%;height:auto}[hidden]:where(:not([hidden=until-found])){display:none}*,:before,:after{--un-rotate:0;--un-rotate-x:0;--un-rotate-y:0;--un-rotate-z:0;--un-scale-x:1;--un-scale-y:1;--un-scale-z:1;--un-skew-x:0;--un-skew-y:0;--un-translate-x:0;--un-translate-y:0;--un-translate-z:0;--un-pan-x: ;--un-pan-y: ;--un-pinch-zoom: ;--un-scroll-snap-strictness:proximity;--un-ordinal: ;--un-slashed-zero: ;--un-numeric-figure: ;--un-numeric-spacing: ;--un-numeric-fraction: ;--un-border-spacing-x:0;--un-border-spacing-y:0;--un-ring-offset-shadow:0 0 rgb(0 0 0 / 0);--un-ring-shadow:0 0 rgb(0 0 0 / 0);--un-shadow-inset: ;--un-shadow:0 0 rgb(0 0 0 / 0);--un-ring-inset: ;--un-ring-offset-width:0px;--un-ring-offset-color:#fff;--un-ring-width:0px;--un-ring-color:rgb(147 197 253 / .5);--un-blur: ;--un-brightness: ;--un-contrast: ;--un-drop-shadow: ;--un-grayscale: ;--un-hue-rotate: ;--un-invert: ;--un-saturate: ;--un-sepia: ;--un-backdrop-blur: ;--un-backdrop-brightness: ;--un-backdrop-contrast: ;--un-backdrop-grayscale: ;--un-backdrop-hue-rotate: ;--un-backdrop-invert: ;--un-backdrop-opacity: ;--un-backdrop-saturate: ;--un-backdrop-sepia: }::backdrop{--un-rotate:0;--un-rotate-x:0;--un-rotate-y:0;--un-rotate-z:0;--un-scale-x:1;--un-scale-y:1;--un-scale-z:1;--un-skew-x:0;--un-skew-y:0;--un-translate-x:0;--un-translate-y:0;--un-translate-z:0;--un-pan-x: ;--un-pan-y: ;--un-pinch-zoom: ;--un-scroll-snap-strictness:proximity;--un-ordinal: ;--un-slashed-zero: ;--un-numeric-figure: ;--un-numeric-spacing: ;--un-numeric-fraction: ;--un-border-spacing-x:0;--un-border-spacing-y:0;--un-ring-offset-shadow:0 0 rgb(0 0 0 / 0);--un-ring-shadow:0 0 rgb(0 0 0 / 0);--un-shadow-inset: ;--un-shadow:0 0 rgb(0 0 0 / 0);--un-ring-inset: ;--un-ring-offset-width:0px;--un-ring-offset-color:#fff;--un-ring-width:0px;--un-ring-color:rgb(147 197 253 / .5);--un-blur: ;--un-brightness: ;--un-contrast: ;--un-drop-shadow: ;--un-grayscale: ;--un-hue-rotate: ;--un-invert: ;--un-saturate: ;--un-sepia: ;--un-backdrop-blur: ;--un-backdrop-brightness: ;--un-backdrop-contrast: ;--un-backdrop-grayscale: ;--un-backdrop-hue-rotate: ;--un-backdrop-invert: ;--un-backdrop-opacity: ;--un-backdrop-saturate: ;--un-backdrop-sepia: }.prose :where(h1,h2,h3,h4,h5,h6):not(:where(.not-prose,.not-prose *)){color:var(--un-prose-headings);font-weight:600;line-height:1.25}.prose :where(a):not(:where(.not-prose,.not-prose *)){color:var(--un-prose-links);text-decoration:underline;font-weight:500}.prose :where(a code):not(:where(.not-prose,.not-prose *)){color:var(--un-prose-links)}.prose :where(p,ul,ol,pre):not(:where(.not-prose,.not-prose *)){margin:1em 0;line-height:1.75}.prose :where(blockquote):not(:where(.not-prose,.not-prose *)){margin:1em 0;padding-left:1em;font-style:italic;border-left:.25em solid var(--un-prose-borders)}.prose :where(h1):not(:where(.not-prose,.not-prose *)){margin:1rem 0;font-size:2.25em}.prose :where(h2):not(:where(.not-prose,.not-prose *)){margin:1.75em 0 .5em;font-size:1.75em}.prose :where(h3):not(:where(.not-prose,.not-prose *)){margin:1.5em 0 .5em;font-size:1.375em}.prose :where(h4):not(:where(.not-prose,.not-prose *)){margin:1em 0;font-size:1.125em}.prose :where(img,video):not(:where(.not-prose,.not-prose *)){max-width:100%}.prose :where(figure,picture):not(:where(.not-prose,.not-prose *)){margin:1em 0}.prose :where(figcaption):not(:where(.not-prose,.not-prose *)){color:var(--un-prose-captions);font-size:.875em}.prose :where(code):not(:where(.not-prose,.not-prose *)){color:var(--un-prose-code);font-size:.875em;font-weight:600;font-family:Fira Code,ui-monospace,SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace}.prose :where(:not(pre)>code):not(:where(.not-prose,.not-prose *)):before,.prose :where(:not(pre)>code):not(:where(.not-prose,.not-prose *)):after{content:"`"}.prose :where(pre):not(:where(.not-prose,.not-prose *)){padding:1.25rem 1.5rem;overflow-x:auto;border-radius:.375rem}.prose :where(pre,code):not(:where(.not-prose,.not-prose *)){white-space:pre;word-spacing:normal;word-break:normal;word-wrap:normal;-moz-tab-size:4;-o-tab-size:4;tab-size:4;-webkit-hyphens:none;-moz-hyphens:none;hyphens:none;background:transparent}.prose :where(pre code):not(:where(.not-prose,.not-prose *)){font-weight:inherit}.prose :where(ol,ul):not(:where(.not-prose,.not-prose *)){padding-left:1.25em}.prose :where(ol):not(:where(.not-prose,.not-prose *)){list-style-type:decimal}.prose :where(ol[type=A]):not(:where(.not-prose,.not-prose *)){list-style-type:upper-alpha}.prose :where(ol[type=a]):not(:where(.not-prose,.not-prose *)){list-style-type:lower-alpha}.prose :where(ol[type=A s]):not(:where(.not-prose,.not-prose *)){list-style-type:upper-alpha}.prose :where(ol[type=a s]):not(:where(.not-prose,.not-prose *)){list-style-type:lower-alpha}.prose :where(ol[type=I]):not(:where(.not-prose,.not-prose *)){list-style-type:upper-roman}.prose :where(ol[type=i]):not(:where(.not-prose,.not-prose *)){list-style-type:lower-roman}.prose :where(ol[type=I s]):not(:where(.not-prose,.not-prose *)){list-style-type:upper-roman}.prose :where(ol[type=i s]):not(:where(.not-prose,.not-prose *)){list-style-type:lower-roman}.prose :where(ol[type="1"]):not(:where(.not-prose,.not-prose *)){list-style-type:decimal}.prose :where(ul):not(:where(.not-prose,.not-prose *)){list-style-type:disc}.prose :where(ol>li):not(:where(.not-prose,.not-prose *))::marker,.prose :where(ul>li):not(:where(.not-prose,.not-prose *))::marker,.prose :where(summary):not(:where(.not-prose,.not-prose *))::marker{color:var(--un-prose-lists)}.prose :where(hr):not(:where(.not-prose,.not-prose *)){margin:2em 0;border:1px solid var(--un-prose-hr)}.prose :where(table):not(:where(.not-prose,.not-prose *)){display:block;margin:1em 0;border-collapse:collapse;overflow-x:auto}.prose :where(tr):not(:where(.not-prose,.not-prose *)):nth-child(2n){background:var(--un-prose-bg-soft)}.prose :where(td,th):not(:where(.not-prose,.not-prose *)){border:1px solid var(--un-prose-borders);padding:.625em 1em}.prose :where(abbr):not(:where(.not-prose,.not-prose *)){cursor:help}.prose :where(kbd):not(:where(.not-prose,.not-prose *)){color:var(--un-prose-code);border:1px solid;padding:.25rem .5rem;font-size:.875em;border-radius:.25rem}.prose :where(details):not(:where(.not-prose,.not-prose *)){margin:1em 0;padding:1.25rem 1.5rem;background:var(--un-prose-bg-soft)}.prose :where(summary):not(:where(.not-prose,.not-prose *)){cursor:pointer;font-weight:600}.prose{color:var(--un-prose-body);max-width:65ch}.container{width:100%}.border-main,.dark .dark\:border-main,.dark [border~="dark:main"],.dark [dark\:border~=main],[border-main=""],[border~=main]{border-color:#9ca3af33}.border-primary,.hover\:border-primary:hover{border-color:var(--slidev-theme-primary)}.bg-active{background-color:#9ca3af1a}.bg-main,[bg-main=""]{--un-bg-opacity:1;background-color:rgb(255 255 255 / var(--un-bg-opacity))}.dark .bg-main,.dark [bg-main=""]{--un-bg-opacity:1;background-color:rgb(18 18 18 / var(--un-bg-opacity))}.bg-primary,[bg-primary=""]{background-color:var(--slidev-theme-primary)}.hover\:bg-active:hover{background-color:#9ca3af1a}.dark .text-main,.dark [text-main=""]{--un-text-opacity:1;color:rgb(221 221 221 / var(--un-text-opacity))}.text-main,[text-main=""]{--un-text-opacity:1;color:rgb(24 24 24 / var(--un-text-opacity))}.text-primary,[text-primary=""]{color:var(--slidev-theme-primary)}@media (min-width: 640px){.container{max-width:640px}}@media (min-width: 768px){.container{max-width:768px}}@media (min-width: 1024px){.container{max-width:1024px}}@media (min-width: 1280px){.container{max-width:1280px}}@media (min-width: 1536px){.container{max-width:1536px}}:root{--slidev-code-background: #f5f5f5;--slidev-code-foreground: #1b1b1b;--slidev-code-font-family: "Fira Code",ui-monospace,SFMono-Regular,Menlo,Monaco,Consolas,"Liberation Mono","Courier New",monospace;--slidev-code-padding: 8px;--slidev-code-font-size: 12px;--slidev-code-line-height: 18px;--slidev-code-radius: 4px;--slidev-code-margin: 4px 0;--slidev-theme-primary: #3ab9d5;--slidev-transition-duration: .5s;--slidev-slide-container-background: black;--slidev-controls-foreground: white}html.dark{--slidev-code-background: #1b1b1b;--slidev-code-foreground: #eee}html,body,#app,#page-root{padding:0;margin:0;width:100%;height:100vh;height:calc(var(--vh, 1vh) * 100);overflow:hidden;font-family:Avenir Next,Nunito Sans,ui-sans-serif,system-ui,-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Helvetica Neue,Arial,Noto Sans,sans-serif,"Apple Color Emoji","Segoe UI Emoji",Segoe UI Symbol,"Noto Color Emoji"}html{background:transparent}.slidev-icon-btn{aspect-ratio:1;display:inline-block;-webkit-user-select:none;user-select:none;outline:none;cursor:pointer;border-radius:.25rem;padding:.25rem;vertical-align:middle;opacity:.75;transition-property:color,background-color,border-color,text-decoration-color,fill,stroke,opacity,box-shadow,transform,filter,backdrop-filter;transition-duration:.15s;transition-duration:.2s;transition-timing-function:cubic-bezier(.4,0,.2,1)}@media (min-width: 768px){.slidev-icon-btn{padding:.5rem}}.slidev-icon-btn:hover{--un-bg-opacity:1;background-color:rgb(156 163 175 / var(--un-bg-opacity));--un-bg-opacity:.1;opacity:1}.slidev-icon-btn:focus-visible{opacity:1;outline-width:2px;--un-outline-color-opacity:1;outline-color:rgb(0 0 0 / var(--un-outline-color-opacity));outline-offset:2px;outline-style:solid}.dark .slidev-icon-btn:focus-visible{--un-outline-color-opacity:1;outline-color:rgb(255 255 255 / var(--un-outline-color-opacity))}.slidev-icon-btn.shallow{opacity:.3}.slidev-icon-btn.active{opacity:1}.slidev-icon-btn.disabled{opacity:.25;pointer-events:none}.slidev-vclick-target{transition-property:opacity;transition-timing-function:cubic-bezier(.4,0,.2,1);transition-duration:.15s;transition-duration:.1s}.slidev-vclick-hidden{opacity:0!important;pointer-events:none!important;-webkit-user-select:none!important;user-select:none!important}.slidev-vclick-display-none{display:none!important}.slidev-vclick-fade{opacity:.5}.slidev-icon{display:inline-block;vertical-align:sub;line-height:1em}.slidev-page{position:relative;top:0;left:0;right:0;width:100%}.slidev-note-with-clicks .slidev-note-fade{color:#888888ab}.slidev-note-click-mark{-webkit-user-select:none;user-select:none;font-size:.7em;display:inline-flex;align-items:center;border-width:1px;border-color:transparent;border-radius:.25rem;background-color:#a78bfa1a;padding-left:.25rem;padding-right:.25rem;--un-text-opacity:1;color:rgb(167 139 250 / var(--un-text-opacity));font-family:Fira Code,ui-monospace,SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace}.slidev-note-click-mark.slidev-note-click-mark-active{border-width:1px;--un-border-opacity:1;border-color:rgb(167 139 250 / var(--un-border-opacity))}.slidev-note-click-mark.slidev-note-click-mark-past{filter:saturate(0);opacity:.5}.slidev-note-click-mark.slidev-note-click-mark-future{opacity:.5}.slidev-note-click-mark:before{content:"";display:inline-block;--un-icon: url("data:image/svg+xml;utf8,%3Csvg viewBox='0 0 32 32' width='1.2em' height='1.2em' xmlns='http://www.w3.org/2000/svg' %3E%3Cpath fill='currentColor' d='M23 28a1 1 0 0 1-.71-.29l-6.13-6.14l-3.33 5a1 1 0 0 1-1 .44a1 1 0 0 1-.81-.7l-6-20A1 1 0 0 1 6.29 5l20 6a1 1 0 0 1 .7.81a1 1 0 0 1-.44 1l-5 3.33l6.14 6.13a1 1 0 0 1 0 1.42l-4 4A1 1 0 0 1 23 28m0-2.41L25.59 23l-7.16-7.15l5.25-3.5L7.49 7.49l4.86 16.19l3.5-5.25Z'/%3E%3C/svg%3E");-webkit-mask:var(--un-icon) no-repeat;mask:var(--un-icon) no-repeat;-webkit-mask-size:100% 100%;mask-size:100% 100%;background-color:currentColor;color:inherit;width:1.2em;height:1.2em;opacity:.8}.slidev-note-click-mark:after{content:attr(data-clicks);display:inline-block;transform:translateY(.1em)}.rough-annotation{transform:scale(calc(1 / var(--slidev-slide-scale)))}#twoslash-container{position:fixed}#twoslash-container .v-popper__wrapper{transform:scale(calc(1 * var(--slidev-slide-scale)));transform-origin:30px top}html.dark:root{color-scheme:dark}html.dark .shiki{color:var(--shiki-dark, inherit);--twoslash-popup-bg: var(--shiki-dark-bg, inherit)}html.dark .shiki span{color:var(--shiki-dark)}html:not(.dark) .shiki{color:var(--shiki-light, inherit);--twoslash-popup-bg: var(--shiki-light-bg, inherit)}html:not(.dark) .shiki span{color:var(--shiki-light)}.twoslash-meta-line.twoslash-popover-line{margin-top:-10px}.slidev-code-wrapper{margin:var(--slidev-code-margin)!important;scroll-padding:var(--slidev-code-padding)}.slidev-code-wrapper:-webkit-scrollbar{width:0px}.slidev-code{font-family:var(--slidev-code-font-family)!important;padding:var(--slidev-code-padding)!important;font-size:var(--slidev-code-font-size)!important;line-height:var(--slidev-code-line-height)!important;border-radius:var(--slidev-code-radius)!important;background:var(--slidev-code-background);overflow:auto}.slidev-code .slidev-code-dishonored{opacity:.3;pointer-events:none}.slidev-code-line-numbers .slidev-code code{counter-reset:step;counter-increment:step calc(var(--start, 1) - 1)}.slidev-code-line-numbers .slidev-code code .line:before{content:counter(step);counter-increment:step;display:inline-block;text-align:right;margin-right:1.5rem;width:1rem;--un-text-opacity:1;color:rgb(156 163 175 / var(--un-text-opacity))}.dark .slidev-code-line-numbers .slidev-code code .line:before{--un-text-opacity:1;color:rgb(75 85 99 / var(--un-text-opacity))}.slidev-layout :not(pre)>code{font-size:.9em;background:var(--slidev-code-background);border-radius:var(--slidev-code-radius);padding:.125rem .375rem;font-weight:300}.slidev-layout :not(pre)>code:before{margin-right:-.08em}.katex,.katex :after,.katex :before{border-color:currentColor}.slidev-katex-wrapper .mord.dishonored{opacity:.3}.slide-left-enter-active,.slide-left-leave-active,.slide-right-enter-active,.slide-right-leave-active,.slide-up-enter-active,.slide-up-leave-active,.slide-down-enter-active,.slide-down-leave-active{transition:all var(--slidev-transition-duration) ease}.slide-left-enter-from,.slide-right-leave-to{transform:translate(100%)}.slide-left-leave-to,.slide-right-enter-from{transform:translate(-100%)}.slide-up-enter-from,.slide-down-leave-to{transform:translateY(100%)}.slide-up-leave-to,.slide-down-enter-from{transform:translateY(-100%)}.fade-enter-active,.fade-leave-active{transition:opacity var(--slidev-transition-duration) ease}.fade-enter-from,.fade-leave-to{opacity:0}.fade-out-leave-active{transition:opacity calc(var(--slidev-transition-duration) * .6) ease-out}.fade-out-enter-active{transition:opacity calc(var(--slidev-transition-duration) * .8) ease-in;transition-delay:calc(var(--slidev-transition-duration) * .6)}.fade-out-enter-from,.fade-out-leave-to{opacity:0}.slidev-layout{height:100%;padding:2.5rem 3.5rem;font-size:1.1rem}.slidev-layout pre,.slidev-layout code{-webkit-user-select:text;user-select:text}.slidev-layout code{font-family:Fira Code,ui-monospace,SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace}.slidev-layout h1{margin-bottom:1rem;font-size:2.25rem;line-height:2.5rem}.slidev-layout h6{padding-top:.25rem;font-size:.875rem;line-height:1.25rem;font-weight:500;letter-spacing:.1em;text-transform:uppercase}.slidev-layout p{margin-top:1rem;margin-bottom:1rem;line-height:1.5rem}.slidev-layout ul{list-style:square}.slidev-layout ol{list-style:decimal}.slidev-layout li{line-height:1.8em}.slidev-layout blockquote{background:var(--slidev-code-background);color:var(--slidev-code-foreground);border-left-width:1px;border-color:var(--slidev-theme-primary);border-radius:.25rem;padding:.25rem .5rem;font-size:.875rem;line-height:1.25rem}.slidev-layout blockquote>*{margin-top:0;margin-bottom:0}.slidev-layout table{width:100%}.slidev-layout tr{border-bottom-width:1px;border-color:#9ca3af33}.slidev-layout th{text-align:left;font-weight:400}.slidev-layout a{border-bottom-width:1px;border-color:currentColor;border-style:dashed}.slidev-layout a:hover{border-style:solid;color:var(--slidev-theme-primary)}.slidev-layout td,.slidev-layout th{padding:.75rem .5rem}.slidev-layout b,.slidev-layout strong{font-weight:600}.slidev-layout kbd{border-width:1px;border-bottom-width:2px;border-color:#9ca3af33;border-radius:.25rem;--un-bg-opacity:1;background-color:rgb(156 163 175 / var(--un-bg-opacity));--un-bg-opacity:.05;padding:.125rem .25rem;font-size:.75rem;line-height:1rem;font-family:Fira Code,ui-monospace,SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace}.slidev-layout h1,[dir=ltr] h1,.slidev-layout [dir=ltr] h1,.slidev-layout h6,[dir=ltr] h6,.slidev-layout [dir=ltr] h6{margin-left:-.05em;margin-right:0}.slidev-layout li,[dir=ltr] li,.slidev-layout [dir=ltr] li{margin-left:1.1em;margin-right:0;padding-left:.2em;padding-right:0}[dir=rtl] h1,.slidev-layout [dir=rtl] h1,[dir=rtl] h6,.slidev-layout [dir=rtl] h6{margin-right:-.05em;margin-left:0}[dir=rtl] li,.slidev-layout [dir=rtl] li{margin-right:1.1em;margin-left:0;padding-right:.2em;padding-left:0}.slidev-layout h1+p{margin-top:-.5rem;margin-bottom:1rem;opacity:.5}.slidev-layout p+h2,.slidev-layout ul+h2,.slidev-layout table+h2{margin-top:2.5rem}.slidev-layout h1{margin-bottom:1rem;margin-left:-.05em;font-size:2.25rem;line-height:2.5rem}.slidev-layout h2{font-size:1.875rem;line-height:2.25rem}.slidev-layout h3{font-size:1.5rem;line-height:2rem}.slidev-layout h4{font-size:1.25rem;line-height:1.75rem}.slidev-layout h5{font-size:1rem;line-height:1.5rem}.slidev-layout h6{margin-left:-.05em;padding-top:.25rem;font-size:.875rem;line-height:1.25rem;font-weight:500;letter-spacing:.1em;text-transform:uppercase}.slidev-layout h6:not(.opacity-100){opacity:.4}.slidev-layout.cover,.slidev-layout.intro{display:grid;height:100%}.slidev-layout.cover h1,.slidev-layout.intro h1{font-size:3.75rem;line-height:1;line-height:5rem}.slidev-layout.fact{display:grid;height:100%;text-align:center}.slidev-layout.fact h1{font-size:6rem;line-height:1;font-weight:700}.slidev-layout.fact h1+p{font-size:1.5rem;line-height:2rem;font-weight:700}.slidev-layout.statement{display:grid;height:100%;text-align:center}.slidev-layout.statement h1{font-size:3.75rem;line-height:1;font-weight:700}.slidev-layout.quote{display:grid;height:100%}.slidev-layout.quote h1+p{margin-top:.5rem}.slidev-layout.section h1{font-size:3.75rem;line-height:1;font-weight:500;line-height:5rem}:root{--prism-scheme: light;--prism-foreground: #6e6e6e;--prism-background: #f4f4f4;--prism-comment: #a8a8a8;--prism-string: #555555;--prism-literal: #333333;--prism-keyword: #000000;--prism-function: #4f4f4f;--prism-deleted: #333333;--prism-class: #333333;--prism-builtin: #757575;--prism-property: #333333;--prism-namespace: #4f4f4f;--prism-punctuation: #ababab;--prism-decorator: var(--prism-class);--prism-operator: var(--prism-punctuation);--prism-number: var(--prism-literal);--prism-boolean: var(--prism-literal);--prism-variable: var(--prism-literal);--prism-constant: var(--prism-literal);--prism-symbol: var(--prism-literal);--prism-interpolation: var(--prism-literal);--prism-selector: var(--prism-keyword);--prism-keyword-control: var(--prism-keyword);--prism-regex: var(--prism-string);--prism-json-property: var(--prism-property);--prism-inline-background: var(--prism-background);--prism-comment-style: italic;--prism-url-decoration: underline;--prism-line-number: #a5a5a5;--prism-line-number-gutter: #333333;--prism-line-highlight-background: #eeeeee;--prism-selection-background: #dddddd;--prism-marker-color: var(--prism-foreground);--prism-marker-opacity: .4;--prism-marker-font-size: .8em;--prism-font-size: 1em;--prism-line-height: 1.5em;--prism-font-family: monospace;--prism-inline-font-size: var(--prism-font-size);--prism-block-font-size: var(--prism-font-size);--prism-tab-size: 2;--prism-block-padding-x: 1em;--prism-block-padding-y: 1em;--prism-block-margin-x: 0;--prism-block-margin-y: .5em;--prism-block-radius: .3em;--prism-inline-padding-x: .3em;--prism-inline-padding-y: .1em;--prism-inline-radius: .3em}div[class*=language-],pre[class*=language-],code[class*=language-]{font-size:var(--prism-font-size);font-family:var(--prism-font-family);direction:ltr;text-align:left;white-space:pre;word-spacing:normal;word-break:normal;line-height:var(--prism-line-height);-moz-tab-size:var(--prism-tab-size);-o-tab-size:var(--prism-tab-size);tab-size:var(--prism-tab-size);-webkit-hyphens:none;-moz-hyphens:none;-ms-hyphens:none;hyphens:none;color:var(--prism-foreground)!important}div[class*=language-],pre[class*=language-]{font-size:var(--prism-block-font-size);padding:var(--prism-block-padding-y) var(--prism-block-padding-x);margin:var(--prism-block-margin-y) var(--prism-block-margin-x);border-radius:var(--prism-block-radius);overflow:auto;background:var(--prism-background)}:not(pre)>code[class*=language-]{font-size:var(--prism-inline-font-size);padding:var(--prism-inline-padding-y) var(--prism-inline-padding-x);border-radius:var(--prism-inline-radius);background:var(--prism-inline-background)}pre[class*=language-]::-moz-selection,pre[class*=language-] ::-moz-selection,code[class*=language-]::-moz-selection,code[class*=language-] ::-moz-selection{background:var(--prism-selection-background)}pre[class*=language-]::selection,pre[class*=language-] ::selection,code[class*=language-]::selection,code[class*=language-] ::selection{background:var(--prism-selection-background)}.token.comment,.token.prolog,.token.doctype,.token.cdata{color:var(--prism-comment);font-style:var(--prism-comment-style)}.token.namespace{color:var(--prism-namespace)}.token.interpolation{color:var(--prism-interpolation)}.token.string{color:var(--prism-string)}.token.punctuation{color:var(--prism-punctuation)}.token.operator{color:var(--prism-operator)}.token.keyword.module,.token.keyword.control-flow{color:var(--prism-keyword-control)}.token.url,.token.symbol,.token.inserted{color:var(--prism-symbol)}.token.constant{color:var(--prism-constant)}.token.string.url{text-decoration:var(--prism-url-decoration)}.token.boolean,.language-json .token.boolean{color:var(--prism-boolean)}.token.number,.language-json .token.number{color:var(--prism-number)}.token.variable{color:var(--prism-variable)}.token.keyword{color:var(--prism-keyword)}.token.atrule,.token.attr-value,.token.selector{color:var(--prism-selector)}.token.function{color:var(--prism-function)}.token.deleted{color:var(--prism-deleted)}.token.important,.token.bold{font-weight:700}.token.italic{font-style:italic}.token.class-name{color:var(--prism-class)}.token.tag,.token.builtin{color:var(--prism-builtin)}.token.attr-name,.token.property,.token.entity{color:var(--prism-property)}.language-json .token.property{color:var(--prism-json-property)}.token.regex{color:var(--prism-regex)}.token.decorator,.token.annotation{color:var(--prism-decorator)}.line-numbers .line-numbers-rows{border-right-color:var(--prism-line-number)}.line-numbers-rows>span:before{color:var(--prism-line-number-gutter)}.line-highlight{background:var(--prism-line-highlight-background)}:root{--cm-scheme: light;--cm-foreground: #6e6e6e;--cm-background: #f4f4f4;--cm-comment: #a8a8a8;--cm-string: #555555;--cm-literal: #333333;--cm-keyword: #000000;--cm-function: #4f4f4f;--cm-deleted: #333333;--cm-class: #333333;--cm-builtin: #757575;--cm-property: #333333;--cm-namespace: #4f4f4f;--cm-punctuation: #ababab;--cm-decorator: var(--cm-class);--cm-operator: var(--cm-punctuation);--cm-number: var(--cm-literal);--cm-boolean: var(--cm-literal);--cm-variable: var(--cm-literal);--cm-constant: var(--cm-literal);--cm-symbol: var(--cm-literal);--cm-interpolation: var(--cm-literal);--cm-selector: var(--cm-keyword);--cm-keyword-control: var(--cm-keyword);--cm-regex: var(--cm-string);--cm-json-property: var(--cm-property);--cm-inline-background: var(--cm-background);--cm-comment-style: italic;--cm-url-decoration: underline;--cm-line-number: #a5a5a5;--cm-line-number-gutter: #333333;--cm-line-highlight-background: #eeeeee;--cm-selection-background: #aaaaaa;--cm-marker-color: var(--cm-foreground);--cm-marker-opacity: .4;--cm-marker-font-size: .8em;--cm-font-size: 1em;--cm-line-height: 1.5em;--cm-font-family: monospace;--cm-inline-font-size: var(--cm-font-size);--cm-block-font-size: var(--cm-font-size);--cm-tab-size: 2;--cm-block-padding-x: 1em;--cm-block-padding-y: 1em;--cm-block-margin-x: 0;--cm-block-margin-y: .5em;--cm-block-radius: .3em;--cm-inline-padding-x: .3em;--cm-inline-padding-y: .1em;--cm-inline-radius: .3em}.cm-s-vars.CodeMirror{background-color:var(--cm-background);color:var(--cm-foreground)}.cm-s-vars .CodeMirror-gutters{background:var(--cm-line-number-gutter);color:var(--cm-line-number);border:none}.cm-s-vars .CodeMirror-guttermarker,.cm-s-vars .CodeMirror-guttermarker-subtle,.cm-s-vars .CodeMirror-linenumber{color:var(--cm-line-number)}.cm-s-vars div.CodeMirror-selected,.cm-s-vars.CodeMirror-focused div.CodeMirror-selected{background:var(--cm-selection-background)}.cm-s-vars .CodeMirror-line::selection,.cm-s-vars .CodeMirror-line>span::selection,.cm-s-vars .CodeMirror-line>span>span::selection{background:var(--cm-selection-background)}.cm-s-vars .CodeMirror-line::-moz-selection,.cm-s-vars .CodeMirror-line>span::-moz-selection,.cm-s-vars .CodeMirror-line>span>span::-moz-selection{background:var(--cm-selection-background)}.cm-s-vars .CodeMirror-activeline-background{background:var(--cm-line-highlight-background)}.cm-s-vars .cm-keyword{color:var(--cm-keyword)}.cm-s-vars .cm-variable,.cm-s-vars .cm-variable-2,.cm-s-vars .cm-variable-3,.cm-s-vars .cm-type{color:var(--cm-variable)}.cm-s-vars .cm-builtin{color:var(--cm-builtin)}.cm-s-vars .cm-atom{color:var(--cm-literal)}.cm-s-vars .cm-number{color:var(--cm-number)}.cm-s-vars .cm-def{color:var(--cm-decorator)}.cm-s-vars .cm-string,.cm-s-vars .cm-string-2{color:var(--cm-string)}.cm-s-vars .cm-comment{color:var(--cm-comment)}.cm-s-vars .cm-tag{color:var(--cm-builtin)}.cm-s-vars .cm-meta{color:var(--cm-namespace)}.cm-s-vars .cm-attribute,.cm-s-vars .cm-property{color:var(--cm-property)}.cm-s-vars .cm-qualifier{color:var(--cm-keyword)}.cm-s-vars .cm-error{color:var(--prism-deleted)}.cm-s-vars .cm-operator,.cm-s-vars .cm-bracket{color:var(--cm-punctuation)}.cm-s-vars .CodeMirror-matchingbracket{text-decoration:underline}.cm-s-vars .CodeMirror-cursor{border-left:1px solid currentColor}:root{--cm-scheme: var(--prism-scheme);--cm-foreground: var(--prism-foreground);--cm-background: var(--prism-background);--cm-comment: var(--prism-comment);--cm-string: var(--prism-string);--cm-literal: var(--prism-literal);--cm-keyword: var(--prism-keyword);--cm-function: var(--prism-function);--cm-deleted: var(--prism-deleted);--cm-class: var(--prism-class);--cm-builtin: var(--prism-builtin);--cm-property: var(--prism-property);--cm-namespace: var(--prism-namespace);--cm-punctuation: var(--prism-punctuation);--cm-decorator: var(--prism-decorator);--cm-operator: var(--prism-operator);--cm-number: var(--prism-number);--cm-boolean: var(--prism-boolean);--cm-variable: var(--prism-variable);--cm-constant: var(--prism-constant);--cm-symbol: var(--prism-symbol);--cm-interpolation: var(--prism-interpolation);--cm-selector: var(--prism-selector);--cm-keyword-control: var(--prism-keyword-control);--cm-regex: var(--prism-regex);--cm-json-property: var(--prism-json-property);--cm-inline-background: var(--prism-inline-background);--cm-comment-style: var(--prism-comment-style);--cm-url-decoration: var(--prism-url-decoration);--cm-line-number: var(--prism-line-number);--cm-line-number-gutter: var(--prism-line-number-gutter);--cm-line-highlight-background: var(--prism-line-highlight-background);--cm-selection-background: var(--prism-selection-background);--cm-marker-color: var(--prism-marker-color);--cm-marker-opacity: var(--prism-marker-opacity);--cm-marker-font-size: var(--prism-marker-font-size);--cm-font-size: var(--prism-font-size);--cm-line-height: var(--prism-line-height);--cm-font-family: var(--prism-font-family);--cm-inline-font-size: var(--prism-inline-font-size);--cm-block-font-size: var(--prism-block-font-size);--cm-tab-size: var(--prism-tab-size);--cm-block-padding-x: var(--prism-block-padding-x);--cm-block-padding-y: var(--prism-block-padding-y);--cm-block-margin-x: var(--prism-block-margin-x);--cm-block-margin-y: var(--prism-block-margin-y);--cm-block-radius: var(--prism-block-radius);--cm-inline-padding-x: var(--prism-inline-padding-x);--cm-inline-padding-y: var(--prism-inline-padding-y);--cm-inline-radius: var(--prism-inline-radius)}:root{--prism-font-family: var(--slidev-code-font-family);--prism-background: var(--slidev-code-background)}html:not(.dark){--prism-foreground: #393a34;--prism-comment: #a0ada0;--prism-string: #b56959;--prism-literal: #2f8a89;--prism-number: #296aa3;--prism-keyword: #1c6b48;--prism-function: #6c7834;--prism-boolean: #1c6b48;--prism-constant: #a65e2b;--prism-deleted: #a14f55;--prism-class: #2993a3;--prism-builtin: #ab5959;--prism-property: #b58451;--prism-namespace: #b05a78;--prism-punctuation: #8e8f8b;--prism-decorator: #bd8f8f;--prism-regex: #ab5e3f;--prism-json-property: #698c96}html.dark{--prism-foreground: #d4cfbf;--prism-comment: #758575;--prism-string: #d48372;--prism-literal: #429988;--prism-keyword: #4d9375;--prism-boolean: #1c6b48;--prism-number: #6394bf;--prism-variable: #c2b36e;--prism-function: #a1b567;--prism-deleted: #a14f55;--prism-class: #54b1bf;--prism-builtin: #e0a569;--prism-property: #dd8e6e;--prism-namespace: #db889a;--prism-punctuation: #858585;--prism-decorator: #bd8f8f;--prism-regex: #ab5e3f;--prism-json-property: #6b8b9e;--prism-line-number: #888888;--prism-line-number-gutter: #eeeeee;--prism-line-highlight-background: #444444;--prism-selection-background: #444444}@font-face{font-family:KaTeX_AMS;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_AMS-Regular-BQhdFMY1.woff2) format("woff2"),url(/tuela24/assets/KaTeX_AMS-Regular-DMm9YOAa.woff) format("woff"),url(/tuela24/assets/KaTeX_AMS-Regular-DRggAlZN.ttf) format("truetype")}@font-face{font-family:KaTeX_Caligraphic;font-style:normal;font-weight:700;src:url(/tuela24/assets/KaTeX_Caligraphic-Bold-Dq_IR9rO.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Caligraphic-Bold-BEiXGLvX.woff) format("woff"),url(/tuela24/assets/KaTeX_Caligraphic-Bold-ATXxdsX0.ttf) format("truetype")}@font-face{font-family:KaTeX_Caligraphic;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_Caligraphic-Regular-Di6jR-x-.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Caligraphic-Regular-CTRA-rTL.woff) format("woff"),url(/tuela24/assets/KaTeX_Caligraphic-Regular-wX97UBjC.ttf) format("truetype")}@font-face{font-family:KaTeX_Fraktur;font-style:normal;font-weight:700;src:url(/tuela24/assets/KaTeX_Fraktur-Bold-CL6g_b3V.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Fraktur-Bold-BsDP51OF.woff) format("woff"),url(/tuela24/assets/KaTeX_Fraktur-Bold-BdnERNNW.ttf) format("truetype")}@font-face{font-family:KaTeX_Fraktur;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_Fraktur-Regular-CTYiF6lA.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Fraktur-Regular-Dxdc4cR9.woff) format("woff"),url(/tuela24/assets/KaTeX_Fraktur-Regular-CB_wures.ttf) format("truetype")}@font-face{font-family:KaTeX_Main;font-style:normal;font-weight:700;src:url(/tuela24/assets/KaTeX_Main-Bold-Cx986IdX.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Main-Bold-Jm3AIy58.woff) format("woff"),url(/tuela24/assets/KaTeX_Main-Bold-waoOVXN0.ttf) format("truetype")}@font-face{font-family:KaTeX_Main;font-style:italic;font-weight:700;src:url(/tuela24/assets/KaTeX_Main-BoldItalic-DxDJ3AOS.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Main-BoldItalic-SpSLRI95.woff) format("woff"),url(/tuela24/assets/KaTeX_Main-BoldItalic-DzxPMmG6.ttf) format("truetype")}@font-face{font-family:KaTeX_Main;font-style:italic;font-weight:400;src:url(/tuela24/assets/KaTeX_Main-Italic-NWA7e6Wa.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Main-Italic-BMLOBm91.woff) format("woff"),url(/tuela24/assets/KaTeX_Main-Italic-3WenGoN9.ttf) format("truetype")}@font-face{font-family:KaTeX_Main;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_Main-Regular-B22Nviop.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Main-Regular-Dr94JaBh.woff) format("woff"),url(/tuela24/assets/KaTeX_Main-Regular-ypZvNtVU.ttf) format("truetype")}@font-face{font-family:KaTeX_Math;font-style:italic;font-weight:700;src:url(/tuela24/assets/KaTeX_Math-BoldItalic-CZnvNsCZ.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Math-BoldItalic-iY-2wyZ7.woff) format("woff"),url(/tuela24/assets/KaTeX_Math-BoldItalic-B3XSjfu4.ttf) format("truetype")}@font-face{font-family:KaTeX_Math;font-style:italic;font-weight:400;src:url(/tuela24/assets/KaTeX_Math-Italic-t53AETM-.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Math-Italic-DA0__PXp.woff) format("woff"),url(/tuela24/assets/KaTeX_Math-Italic-flOr_0UB.ttf) format("truetype")}@font-face{font-family:KaTeX_SansSerif;font-style:normal;font-weight:700;src:url(/tuela24/assets/KaTeX_SansSerif-Bold-D1sUS0GD.woff2) format("woff2"),url(/tuela24/assets/KaTeX_SansSerif-Bold-DbIhKOiC.woff) format("woff"),url(/tuela24/assets/KaTeX_SansSerif-Bold-CFMepnvq.ttf) format("truetype")}@font-face{font-family:KaTeX_SansSerif;font-style:italic;font-weight:400;src:url(/tuela24/assets/KaTeX_SansSerif-Italic-C3H0VqGB.woff2) format("woff2"),url(/tuela24/assets/KaTeX_SansSerif-Italic-DN2j7dab.woff) format("woff"),url(/tuela24/assets/KaTeX_SansSerif-Italic-YYjJ1zSn.ttf) format("truetype")}@font-face{font-family:KaTeX_SansSerif;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_SansSerif-Regular-DDBCnlJ7.woff2) format("woff2"),url(/tuela24/assets/KaTeX_SansSerif-Regular-CS6fqUqJ.woff) format("woff"),url(/tuela24/assets/KaTeX_SansSerif-Regular-BNo7hRIc.ttf) format("truetype")}@font-face{font-family:KaTeX_Script;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_Script-Regular-D3wIWfF6.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Script-Regular-D5yQViql.woff) format("woff"),url(/tuela24/assets/KaTeX_Script-Regular-C5JkGWo-.ttf) format("truetype")}@font-face{font-family:KaTeX_Size1;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_Size1-Regular-mCD8mA8B.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Size1-Regular-C195tn64.woff) format("woff"),url(/tuela24/assets/KaTeX_Size1-Regular-Dbsnue_I.ttf) format("truetype")}@font-face{font-family:KaTeX_Size2;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_Size2-Regular-Dy4dx90m.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Size2-Regular-oD1tc_U0.woff) format("woff"),url(/tuela24/assets/KaTeX_Size2-Regular-B7gKUWhC.ttf) format("truetype")}@font-face{font-family:KaTeX_Size3;font-style:normal;font-weight:400;src:url(data:font/woff2;base64,d09GMgABAAAAAA4oAA4AAAAAHbQAAA3TAAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAABmAAgRQIDgmcDBEICo1oijYBNgIkA14LMgAEIAWJAAeBHAyBHBvbGiMRdnO0IkRRkiYDgr9KsJ1NUAf2kILNxgUmgqIgq1P89vcbIcmsQbRps3vCcXdYOKSWEPEKgZgQkprQQsxIXUgq0DqpGKmIvrgkeVGtEQD9DzAO29fM9jYhxZEsL2FeURH2JN4MIcTdO049NCVdxQ/w9NrSYFEBKTDKpLKfNkCGDc1RwjZLQcm3vqJ2UW9Xfa3tgAHz6ivp6vgC2yD4/6352ndnN0X0TL7seypkjZlMsjmZnf0Mm5Q+JykRWQBKCVCVPbARPXWyQtb5VgLB6Biq7/Uixcj2WGqdI8tGSgkuRG+t910GKP2D7AQH0DB9FMDW/obJZ8giFI3Wg8Cvevz0M+5m0rTh7XDBlvo9Y4vm13EXmfttwI4mBo1EG15fxJhUiCLbiiyCf/ZA6MFAhg3pGIZGdGIVjtPn6UcMk9A/UUr9PhoNsCENw1APAq0gpH73e+M+0ueyHbabc3vkbcdtzcf/fiy+NxQEjf9ud/ELBHAXJ0nk4z+MXH2Ev/kWyV4k7SkvpPc9Qr38F6RPWnM9cN6DJ0AdD1BhtgABtmoRoFCvPsBAumNm6soZG2Gk5GyVTo2sJncSyp0jQTYoR6WDvTwaaEcHsxHfvuWhHA3a6bN7twRKtcGok6NsCi7jYRrM2jExsUFMxMQYuJbMhuWNOumEJy9hi29Dmg5zMp/A5+hhPG19j1vBrq8JTLr8ki5VLPmG/PynJHVul440bxg5xuymHUFPBshC+nA9I1FmwbRBTNHAcik3Oae0cxKoI3MOriM42UrPe51nsaGxJ+WfXubAsP84aabUlQSJ1IiE0iPETLUU4CATgfXSCSpuRFRmCGbO+wSpAnzaeaCYW1VNEysRtuXCEL1kUFUbbtMv3Tilt/1c11jt3Q5bbMa84cpWipp8Elw3MZhOHsOlwwVUQM3lAR35JiFQbaYCRnMF2lxAWoOg2gyoIV4PouX8HytNIfLhqpJtXB4vjiViUI8IJ7bkC4ikkQvKksnOTKICwnqWSZ9YS5f0WCxmpgjbIq7EJcM4aI2nmhLNY2JIUgOjXZFWBHb+x5oh6cwb0Tv1ackHdKi0I9OO2wE9aogIOn540CCCziyhN+IaejtgAONKznHlHyutPrHGwCx9S6B8kfS4Mfi4Eyv7OU730bT1SCBjt834cXsf43zVjPUqqJjgrjeGnBxSG4aYAKFuVbeCfkDIjAqMb6yLNIbCuvXhMH2/+k2vkNpkORhR59N1CkzoOENvneIosjYmuTxlhUzaGEJQ/iWqx4dmwpmKjrwTiTGTCVozNAYqk/zXOndWxuWSmJkQpJw3pK5KX6QrLt5LATMqpmPAQhkhK6PUjzHUn7E0gHE0kPE0iKkolgkUx9SZmVAdDgpffdyJKg3k7VmzYGCwVXGz/tXmkOIp+vcWs+EMuhhvN0h9uhfzWJziBQmCREGSIFmQIkgVpAnSBRmC//6hkLZwaVhwxlrJSOdqlFtOYxlau9F2QN5Y98xmIAsiM1HVp2VFX+DHHGg6Ecjh3vmqtidX3qHI2qycTk/iwxSt5UzTmEP92ZBnEWTk4Mx8Mpl78ZDokxg/KWb+Q0QkvdKVmq3TMW+RXEgrsziSAfNXFMhDc60N5N9jQzjfO0kBKpUZl0ZmwJ41j/B9Hz6wmRaJB84niNmQrzp9eSlQCDDzazGDdVi3P36VZQ+Jy4f9UBNp+3zTjqI4abaFAm+GShVaXlsGdF3FYzZcDI6cori4kMxUECl9IjJZpzkvitAoxKue+90pDMvcKRxLl53TmOKCmV/xRolNKSqqUxc6LStOETmFOiLZZptlZepcKiAzteG8PEdpnQpbOMNcMsR4RR2Bs0cKFEvSmIjAFcnarqwUL4lDhHmnVkwu1IwshbiCcgvOheZuYyOteufZZwlcTlLgnZ3o/WcYdzZHW/WGaqaVfmTZ1aWCceJjkbZqsfbkOtcFlUZM/jy+hXHDbaUobWqqXaeWobbLO99yG5N3U4wxco0rQGGcOLASFMXeJoham8M+/x6O2WywK2l4HGbq1CoUyC/IZikQhdq3SiuNrvAEj0AVu9x2x3lp/xWzahaxidezFVtdcb5uEnzyl0ZmYiuKI0exvCd4Xc9CV1KB0db00z92wDPde0kukbvZIWN6jUWFTmPIC/Y4UPCm8UfDTFZpZNon1qLFTkBhxzB+FjQRA2Q/YRJT8pQigslMaUpFyAG8TMlXigiqmAZX4xgijKjRlGpLE0GdplRfCaJo0JQaSxNBk6ZmMzcya0FmrcisDdn0Q3HI2sWSppYigmlM1XT/kLQZSNpMJG0WkjYbSZuDpM1F0uYhFc1HxU4m1QJjDK6iL0S5uSj5rgXc3RejEigtcRBtqYPQsiTskmO5vosV+q4VGIKbOkDg0jtRrq+Em1YloaTFar3EGr1EUC8R0kus1Uus00usL97ABr2BjXoDm/QGNhuWtMVBKOwg/i78lT7hBsAvDmwHc/ao3vmUbBmhjeYySZNWvGkfZAgISDSaDo1SVpzGDsAEkF8B+gEapViUoZgUWXcRIGFZNm6gWbAKk0bp0k1MHG9fLYtV4iS2SmLEQFARzRcnf9PUS0LVn05/J9MiRRBU3v2IrvW974v4N00L7ZMk0wXP1409CHo/an8zTRHD3eSJ6m8D4YMkZNl3M79sqeuAsr/m3f+8/yl7A50aiAEJgeBeMWzu7ui9UfUBCe2TIqZIoOd/3/udRBOQidQZUERzb2/VwZN1H/Sju82ew2H2Wfr6qvfVf3hqwDvAIpkQVFy4B9Pe9e4/XvPeceu7h3dvO56iJPf0+A6cqA2ip18ER+iFgggiuOkvj24bby0N9j2UHIkgqIt+sVgfodC4YghLSMjSZbH0VR/6dMDrYJeKHilKTemt6v6kvzvn3/RrdWtr0GoN/xL+Sex/cPYLUpepx9cz/D46UPU5KXgAQa+NDps1v6J3xP1i2HtaDB0M9aX2deA7SYff//+gUCovMmIK/qfsFcOk+4Y5ZN97XlG6zebqtMbKgeRFi51vnxTQYBUik2rS/Cn6PC8ADR8FGxsRPB82dzfND90gIcshOcYUkfjherBz53odpm6TP8txlwOZ71xmfHHOvq053qFF/MRlS3jP0ELudrf2OeN8DHvp6ZceLe8qKYvWz/7yp0u4dKPfli3CYq0O13Ih71mylJ80tOi10On8wi+F4+LWgDPeJ30msSQt9/vkmHq9/Lvo2b461mP801v3W4xTcs6CbvF9UDdrSt+A8OUbpSh55qAUFXWznBBfdeJ8a4d7ugT5tvxUza3h9m4H7ptTqiG4z0g5dc0X29OcGlhpGFMpQo9ytTS+NViZpNdvU4kWx+LKxNY10kQ1yqGXrhe4/1nvP7E+nd5A92TtaRplbHSqoIdOqtRWti+fkB5/n1+/VvCmz12pG1kpQWsfi1ftlBobm0bpngs16CHkbIwdLnParxtTV3QYRlfJ0KFskH7pdN/YDn+yRuSd7sNH3aO0DYPggk6uWuXrfOc+fa3VTxFVvKaNxHsiHmsXyCLIE5yuOeN3/Jdf8HBL/5M6shjyhxHx9BjB1O0+4NLOnjLLSxwO7ukN4jMbOIcD879KLSi6Pk61Oqm2377n8079PXEEQ7cy7OKEC9nbpet118fxweTafpt69x/Bt8UqGzNQt7aelpc44dn5cqhwf71+qKp/Zf/+a0zcizOUWpl/iBcSXip0pplkatCchoH5c5aUM8I7/dWxAej8WicPL1URFZ9BDJelUwEwTkGqUhgSlydVes95YdXvhh9Gfz/aeFWvgVb4tuLbcv4+wLdutVZv/cUonwBD/6eDlE0aSiKK/uoH3+J1wDE/jMVqY2ysGufN84oIXB0sPzy8ollX/LegY74DgJXJR57sn+VGza0x3DnuIgABFM15LmajjjsNlYj+JEZGbuRYcAMOWxFkPN2w6Wd46xo4gVWQR/X4lyI/R6K/YK0110GzudPRW7Y+UOBGTfNNzHeYT0fiH0taunBpq9HEW8OKSaBGj21L0MqenEmNRWBAWDWAk4CpNoEZJ2tTaPFgbQYj8HxtFilErs3BTRwT8uO1NXQaWfIotchmPkAF5mMBAliEmZiOGVgCG9LgRzpscMAOOwowlT3JhusdazXGSC/hxR3UlmWVwWHpOIKheqONvjyhSiTHIkVUco5bnji8m//zL7PKaT1Vl5I6UE609f+gkr6MZKVyKc7zJRmCahLsdlyA5fdQkRSan9LgnnLEyGSkaKJCJog0wAgvepWBt80+1yKln1bMVtCljfNWDueKLsWwaEbBSfSPTEmVRsUcYYMnEjcjeyCZzBXK9E9BYBXLKjOSpUDR+nEV3TFSUdQaz+ot98QxgXwx0GQ+EEUAKB2qZPkQQ0GqFD8UPFMqyaCHM24BZmSGic9EYMagKizOw9Hz50DMrDLrqqLkTAhplMictiCAx5S3BIUQdeJeLnBy2CNtMfz6cV4u8XKoFZQesbf9YZiIERiHjaNodDW6LgcirX/mPnJIkBGDUpTBhSa0EIr38D5hCIszhCM8URGBqImoWjpvpt1ebu/v3Gl3qJfMnNM+9V+kiRFyROTPHQWOcs1dNW94/ukKMPZBvDi55i5CttdeJz84DLngLqjcdwEZ87bFFR8CIG35OAkDVN6VRDZ7aq67NteYqZ2lpT8oYB2CytoBd6VuAx4WgiAsnuj3WohG+LugzXiQRDeM3XYXlULv4dp5VFYC) format("woff2"),url(/tuela24/assets/KaTeX_Size3-Regular-CTq5MqoE.woff) format("woff"),url(/tuela24/assets/KaTeX_Size3-Regular-DgpXs0kz.ttf) format("truetype")}@font-face{font-family:KaTeX_Size4;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_Size4-Regular-Dl5lxZxV.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Size4-Regular-BF-4gkZK.woff) format("woff"),url(/tuela24/assets/KaTeX_Size4-Regular-DWFBv043.ttf) format("truetype")}@font-face{font-family:KaTeX_Typewriter;font-style:normal;font-weight:400;src:url(/tuela24/assets/KaTeX_Typewriter-Regular-CO6r4hn1.woff2) format("woff2"),url(/tuela24/assets/KaTeX_Typewriter-Regular-C0xS9mPB.woff) format("woff"),url(/tuela24/assets/KaTeX_Typewriter-Regular-D3Ib7_Hf.ttf) format("truetype")}.katex{font: 1.21em KaTeX_Main,Times New Roman,serif;line-height:1.2;text-indent:0;text-rendering:auto}.katex *{-ms-high-contrast-adjust:none!important;border-color:currentColor}.katex .katex-version:after{content:"0.16.11"}.katex .katex-mathml{clip:rect(1px,1px,1px,1px);border:0;height:1px;overflow:hidden;padding:0;position:absolute;width:1px}.katex .katex-html>.newline{display:block}.katex .base{position:relative;white-space:nowrap;width:-webkit-min-content;width:-moz-min-content;width:min-content}.katex .base,.katex .strut{display:inline-block}.katex .textbf{font-weight:700}.katex .textit{font-style:italic}.katex .textrm{font-family:KaTeX_Main}.katex .textsf{font-family:KaTeX_SansSerif}.katex .texttt{font-family:KaTeX_Typewriter}.katex .mathnormal{font-family:KaTeX_Math;font-style:italic}.katex .mathit{font-family:KaTeX_Main;font-style:italic}.katex .mathrm{font-style:normal}.katex .mathbf{font-family:KaTeX_Main;font-weight:700}.katex .boldsymbol{font-family:KaTeX_Math;font-style:italic;font-weight:700}.katex .amsrm,.katex .mathbb,.katex .textbb{font-family:KaTeX_AMS}.katex .mathcal{font-family:KaTeX_Caligraphic}.katex .mathfrak,.katex .textfrak{font-family:KaTeX_Fraktur}.katex .mathboldfrak,.katex .textboldfrak{font-family:KaTeX_Fraktur;font-weight:700}.katex .mathtt{font-family:KaTeX_Typewriter}.katex .mathscr,.katex .textscr{font-family:KaTeX_Script}.katex .mathsf,.katex .textsf{font-family:KaTeX_SansSerif}.katex .mathboldsf,.katex .textboldsf{font-family:KaTeX_SansSerif;font-weight:700}.katex .mathitsf,.katex .textitsf{font-family:KaTeX_SansSerif;font-style:italic}.katex .mainrm{font-family:KaTeX_Main;font-style:normal}.katex .vlist-t{border-collapse:collapse;display:inline-table;table-layout:fixed}.katex .vlist-r{display:table-row}.katex .vlist{display:table-cell;position:relative;vertical-align:bottom}.katex .vlist>span{display:block;height:0;position:relative}.katex .vlist>span>span{display:inline-block}.katex .vlist>span>.pstrut{overflow:hidden;width:0}.katex .vlist-t2{margin-right:-2px}.katex .vlist-s{display:table-cell;font-size:1px;min-width:2px;vertical-align:bottom;width:2px}.katex .vbox{align-items:baseline;display:inline-flex;flex-direction:column}.katex .hbox{width:100%}.katex .hbox,.katex .thinbox{display:inline-flex;flex-direction:row}.katex .thinbox{max-width:0;width:0}.katex .msupsub{text-align:left}.katex .mfrac>span>span{text-align:center}.katex .mfrac .frac-line{border-bottom-style:solid;display:inline-block;width:100%}.katex .hdashline,.katex .hline,.katex .mfrac .frac-line,.katex .overline .overline-line,.katex .rule,.katex .underline .underline-line{min-height:1px}.katex .mspace{display:inline-block}.katex .clap,.katex .llap,.katex .rlap{position:relative;width:0}.katex .clap>.inner,.katex .llap>.inner,.katex .rlap>.inner{position:absolute}.katex .clap>.fix,.katex .llap>.fix,.katex .rlap>.fix{display:inline-block}.katex .llap>.inner{right:0}.katex .clap>.inner,.katex .rlap>.inner{left:0}.katex .clap>.inner>span{margin-left:-50%;margin-right:50%}.katex .rule{border:0 solid;display:inline-block;position:relative}.katex .hline,.katex .overline .overline-line,.katex .underline .underline-line{border-bottom-style:solid;display:inline-block;width:100%}.katex .hdashline{border-bottom-style:dashed;display:inline-block;width:100%}.katex .sqrt>.root{margin-left:.2777777778em;margin-right:-.5555555556em}.katex .fontsize-ensurer.reset-size1.size1,.katex .sizing.reset-size1.size1{font-size:1em}.katex .fontsize-ensurer.reset-size1.size2,.katex .sizing.reset-size1.size2{font-size:1.2em}.katex .fontsize-ensurer.reset-size1.size3,.katex .sizing.reset-size1.size3{font-size:1.4em}.katex .fontsize-ensurer.reset-size1.size4,.katex .sizing.reset-size1.size4{font-size:1.6em}.katex .fontsize-ensurer.reset-size1.size5,.katex .sizing.reset-size1.size5{font-size:1.8em}.katex .fontsize-ensurer.reset-size1.size6,.katex .sizing.reset-size1.size6{font-size:2em}.katex .fontsize-ensurer.reset-size1.size7,.katex .sizing.reset-size1.size7{font-size:2.4em}.katex .fontsize-ensurer.reset-size1.size8,.katex .sizing.reset-size1.size8{font-size:2.88em}.katex .fontsize-ensurer.reset-size1.size9,.katex .sizing.reset-size1.size9{font-size:3.456em}.katex .fontsize-ensurer.reset-size1.size10,.katex .sizing.reset-size1.size10{font-size:4.148em}.katex .fontsize-ensurer.reset-size1.size11,.katex .sizing.reset-size1.size11{font-size:4.976em}.katex .fontsize-ensurer.reset-size2.size1,.katex .sizing.reset-size2.size1{font-size:.8333333333em}.katex .fontsize-ensurer.reset-size2.size2,.katex .sizing.reset-size2.size2{font-size:1em}.katex .fontsize-ensurer.reset-size2.size3,.katex .sizing.reset-size2.size3{font-size:1.1666666667em}.katex .fontsize-ensurer.reset-size2.size4,.katex .sizing.reset-size2.size4{font-size:1.3333333333em}.katex .fontsize-ensurer.reset-size2.size5,.katex .sizing.reset-size2.size5{font-size:1.5em}.katex .fontsize-ensurer.reset-size2.size6,.katex .sizing.reset-size2.size6{font-size:1.6666666667em}.katex .fontsize-ensurer.reset-size2.size7,.katex .sizing.reset-size2.size7{font-size:2em}.katex .fontsize-ensurer.reset-size2.size8,.katex .sizing.reset-size2.size8{font-size:2.4em}.katex .fontsize-ensurer.reset-size2.size9,.katex .sizing.reset-size2.size9{font-size:2.88em}.katex .fontsize-ensurer.reset-size2.size10,.katex .sizing.reset-size2.size10{font-size:3.4566666667em}.katex .fontsize-ensurer.reset-size2.size11,.katex .sizing.reset-size2.size11{font-size:4.1466666667em}.katex .fontsize-ensurer.reset-size3.size1,.katex .sizing.reset-size3.size1{font-size:.7142857143em}.katex .fontsize-ensurer.reset-size3.size2,.katex .sizing.reset-size3.size2{font-size:.8571428571em}.katex .fontsize-ensurer.reset-size3.size3,.katex .sizing.reset-size3.size3{font-size:1em}.katex .fontsize-ensurer.reset-size3.size4,.katex .sizing.reset-size3.size4{font-size:1.1428571429em}.katex .fontsize-ensurer.reset-size3.size5,.katex .sizing.reset-size3.size5{font-size:1.2857142857em}.katex .fontsize-ensurer.reset-size3.size6,.katex .sizing.reset-size3.size6{font-size:1.4285714286em}.katex .fontsize-ensurer.reset-size3.size7,.katex .sizing.reset-size3.size7{font-size:1.7142857143em}.katex .fontsize-ensurer.reset-size3.size8,.katex .sizing.reset-size3.size8{font-size:2.0571428571em}.katex .fontsize-ensurer.reset-size3.size9,.katex .sizing.reset-size3.size9{font-size:2.4685714286em}.katex .fontsize-ensurer.reset-size3.size10,.katex .sizing.reset-size3.size10{font-size:2.9628571429em}.katex .fontsize-ensurer.reset-size3.size11,.katex .sizing.reset-size3.size11{font-size:3.5542857143em}.katex .fontsize-ensurer.reset-size4.size1,.katex .sizing.reset-size4.size1{font-size:.625em}.katex .fontsize-ensurer.reset-size4.size2,.katex .sizing.reset-size4.size2{font-size:.75em}.katex .fontsize-ensurer.reset-size4.size3,.katex .sizing.reset-size4.size3{font-size:.875em}.katex .fontsize-ensurer.reset-size4.size4,.katex .sizing.reset-size4.size4{font-size:1em}.katex .fontsize-ensurer.reset-size4.size5,.katex .sizing.reset-size4.size5{font-size:1.125em}.katex .fontsize-ensurer.reset-size4.size6,.katex .sizing.reset-size4.size6{font-size:1.25em}.katex .fontsize-ensurer.reset-size4.size7,.katex .sizing.reset-size4.size7{font-size:1.5em}.katex .fontsize-ensurer.reset-size4.size8,.katex .sizing.reset-size4.size8{font-size:1.8em}.katex .fontsize-ensurer.reset-size4.size9,.katex .sizing.reset-size4.size9{font-size:2.16em}.katex .fontsize-ensurer.reset-size4.size10,.katex .sizing.reset-size4.size10{font-size:2.5925em}.katex .fontsize-ensurer.reset-size4.size11,.katex .sizing.reset-size4.size11{font-size:3.11em}.katex .fontsize-ensurer.reset-size5.size1,.katex .sizing.reset-size5.size1{font-size:.5555555556em}.katex .fontsize-ensurer.reset-size5.size2,.katex .sizing.reset-size5.size2{font-size:.6666666667em}.katex .fontsize-ensurer.reset-size5.size3,.katex .sizing.reset-size5.size3{font-size:.7777777778em}.katex .fontsize-ensurer.reset-size5.size4,.katex .sizing.reset-size5.size4{font-size:.8888888889em}.katex .fontsize-ensurer.reset-size5.size5,.katex .sizing.reset-size5.size5{font-size:1em}.katex .fontsize-ensurer.reset-size5.size6,.katex .sizing.reset-size5.size6{font-size:1.1111111111em}.katex .fontsize-ensurer.reset-size5.size7,.katex .sizing.reset-size5.size7{font-size:1.3333333333em}.katex .fontsize-ensurer.reset-size5.size8,.katex .sizing.reset-size5.size8{font-size:1.6em}.katex .fontsize-ensurer.reset-size5.size9,.katex .sizing.reset-size5.size9{font-size:1.92em}.katex .fontsize-ensurer.reset-size5.size10,.katex .sizing.reset-size5.size10{font-size:2.3044444444em}.katex .fontsize-ensurer.reset-size5.size11,.katex .sizing.reset-size5.size11{font-size:2.7644444444em}.katex .fontsize-ensurer.reset-size6.size1,.katex .sizing.reset-size6.size1{font-size:.5em}.katex .fontsize-ensurer.reset-size6.size2,.katex .sizing.reset-size6.size2{font-size:.6em}.katex .fontsize-ensurer.reset-size6.size3,.katex .sizing.reset-size6.size3{font-size:.7em}.katex .fontsize-ensurer.reset-size6.size4,.katex .sizing.reset-size6.size4{font-size:.8em}.katex .fontsize-ensurer.reset-size6.size5,.katex .sizing.reset-size6.size5{font-size:.9em}.katex .fontsize-ensurer.reset-size6.size6,.katex .sizing.reset-size6.size6{font-size:1em}.katex .fontsize-ensurer.reset-size6.size7,.katex .sizing.reset-size6.size7{font-size:1.2em}.katex .fontsize-ensurer.reset-size6.size8,.katex .sizing.reset-size6.size8{font-size:1.44em}.katex .fontsize-ensurer.reset-size6.size9,.katex .sizing.reset-size6.size9{font-size:1.728em}.katex .fontsize-ensurer.reset-size6.size10,.katex .sizing.reset-size6.size10{font-size:2.074em}.katex .fontsize-ensurer.reset-size6.size11,.katex .sizing.reset-size6.size11{font-size:2.488em}.katex .fontsize-ensurer.reset-size7.size1,.katex .sizing.reset-size7.size1{font-size:.4166666667em}.katex .fontsize-ensurer.reset-size7.size2,.katex .sizing.reset-size7.size2{font-size:.5em}.katex .fontsize-ensurer.reset-size7.size3,.katex .sizing.reset-size7.size3{font-size:.5833333333em}.katex .fontsize-ensurer.reset-size7.size4,.katex .sizing.reset-size7.size4{font-size:.6666666667em}.katex .fontsize-ensurer.reset-size7.size5,.katex .sizing.reset-size7.size5{font-size:.75em}.katex .fontsize-ensurer.reset-size7.size6,.katex .sizing.reset-size7.size6{font-size:.8333333333em}.katex .fontsize-ensurer.reset-size7.size7,.katex .sizing.reset-size7.size7{font-size:1em}.katex .fontsize-ensurer.reset-size7.size8,.katex .sizing.reset-size7.size8{font-size:1.2em}.katex .fontsize-ensurer.reset-size7.size9,.katex .sizing.reset-size7.size9{font-size:1.44em}.katex .fontsize-ensurer.reset-size7.size10,.katex .sizing.reset-size7.size10{font-size:1.7283333333em}.katex .fontsize-ensurer.reset-size7.size11,.katex .sizing.reset-size7.size11{font-size:2.0733333333em}.katex .fontsize-ensurer.reset-size8.size1,.katex .sizing.reset-size8.size1{font-size:.3472222222em}.katex .fontsize-ensurer.reset-size8.size2,.katex .sizing.reset-size8.size2{font-size:.4166666667em}.katex .fontsize-ensurer.reset-size8.size3,.katex .sizing.reset-size8.size3{font-size:.4861111111em}.katex .fontsize-ensurer.reset-size8.size4,.katex .sizing.reset-size8.size4{font-size:.5555555556em}.katex .fontsize-ensurer.reset-size8.size5,.katex .sizing.reset-size8.size5{font-size:.625em}.katex .fontsize-ensurer.reset-size8.size6,.katex .sizing.reset-size8.size6{font-size:.6944444444em}.katex .fontsize-ensurer.reset-size8.size7,.katex .sizing.reset-size8.size7{font-size:.8333333333em}.katex .fontsize-ensurer.reset-size8.size8,.katex .sizing.reset-size8.size8{font-size:1em}.katex .fontsize-ensurer.reset-size8.size9,.katex .sizing.reset-size8.size9{font-size:1.2em}.katex .fontsize-ensurer.reset-size8.size10,.katex .sizing.reset-size8.size10{font-size:1.4402777778em}.katex .fontsize-ensurer.reset-size8.size11,.katex .sizing.reset-size8.size11{font-size:1.7277777778em}.katex .fontsize-ensurer.reset-size9.size1,.katex .sizing.reset-size9.size1{font-size:.2893518519em}.katex .fontsize-ensurer.reset-size9.size2,.katex .sizing.reset-size9.size2{font-size:.3472222222em}.katex .fontsize-ensurer.reset-size9.size3,.katex .sizing.reset-size9.size3{font-size:.4050925926em}.katex .fontsize-ensurer.reset-size9.size4,.katex .sizing.reset-size9.size4{font-size:.462962963em}.katex .fontsize-ensurer.reset-size9.size5,.katex .sizing.reset-size9.size5{font-size:.5208333333em}.katex .fontsize-ensurer.reset-size9.size6,.katex .sizing.reset-size9.size6{font-size:.5787037037em}.katex .fontsize-ensurer.reset-size9.size7,.katex .sizing.reset-size9.size7{font-size:.6944444444em}.katex .fontsize-ensurer.reset-size9.size8,.katex .sizing.reset-size9.size8{font-size:.8333333333em}.katex .fontsize-ensurer.reset-size9.size9,.katex .sizing.reset-size9.size9{font-size:1em}.katex .fontsize-ensurer.reset-size9.size10,.katex .sizing.reset-size9.size10{font-size:1.2002314815em}.katex .fontsize-ensurer.reset-size9.size11,.katex .sizing.reset-size9.size11{font-size:1.4398148148em}.katex .fontsize-ensurer.reset-size10.size1,.katex .sizing.reset-size10.size1{font-size:.2410800386em}.katex .fontsize-ensurer.reset-size10.size2,.katex .sizing.reset-size10.size2{font-size:.2892960463em}.katex .fontsize-ensurer.reset-size10.size3,.katex .sizing.reset-size10.size3{font-size:.337512054em}.katex .fontsize-ensurer.reset-size10.size4,.katex .sizing.reset-size10.size4{font-size:.3857280617em}.katex .fontsize-ensurer.reset-size10.size5,.katex .sizing.reset-size10.size5{font-size:.4339440694em}.katex .fontsize-ensurer.reset-size10.size6,.katex .sizing.reset-size10.size6{font-size:.4821600771em}.katex .fontsize-ensurer.reset-size10.size7,.katex .sizing.reset-size10.size7{font-size:.5785920926em}.katex .fontsize-ensurer.reset-size10.size8,.katex .sizing.reset-size10.size8{font-size:.6943105111em}.katex .fontsize-ensurer.reset-size10.size9,.katex .sizing.reset-size10.size9{font-size:.8331726133em}.katex .fontsize-ensurer.reset-size10.size10,.katex .sizing.reset-size10.size10{font-size:1em}.katex .fontsize-ensurer.reset-size10.size11,.katex .sizing.reset-size10.size11{font-size:1.1996142719em}.katex .fontsize-ensurer.reset-size11.size1,.katex .sizing.reset-size11.size1{font-size:.2009646302em}.katex .fontsize-ensurer.reset-size11.size2,.katex .sizing.reset-size11.size2{font-size:.2411575563em}.katex .fontsize-ensurer.reset-size11.size3,.katex .sizing.reset-size11.size3{font-size:.2813504823em}.katex .fontsize-ensurer.reset-size11.size4,.katex .sizing.reset-size11.size4{font-size:.3215434084em}.katex .fontsize-ensurer.reset-size11.size5,.katex .sizing.reset-size11.size5{font-size:.3617363344em}.katex .fontsize-ensurer.reset-size11.size6,.katex .sizing.reset-size11.size6{font-size:.4019292605em}.katex .fontsize-ensurer.reset-size11.size7,.katex .sizing.reset-size11.size7{font-size:.4823151125em}.katex .fontsize-ensurer.reset-size11.size8,.katex .sizing.reset-size11.size8{font-size:.578778135em}.katex .fontsize-ensurer.reset-size11.size9,.katex .sizing.reset-size11.size9{font-size:.6945337621em}.katex .fontsize-ensurer.reset-size11.size10,.katex .sizing.reset-size11.size10{font-size:.8336012862em}.katex .fontsize-ensurer.reset-size11.size11,.katex .sizing.reset-size11.size11{font-size:1em}.katex .delimsizing.size1{font-family:KaTeX_Size1}.katex .delimsizing.size2{font-family:KaTeX_Size2}.katex .delimsizing.size3{font-family:KaTeX_Size3}.katex .delimsizing.size4{font-family:KaTeX_Size4}.katex .delimsizing.mult .delim-size1>span{font-family:KaTeX_Size1}.katex .delimsizing.mult .delim-size4>span{font-family:KaTeX_Size4}.katex .nulldelimiter{display:inline-block;width:.12em}.katex .delimcenter,.katex .op-symbol{position:relative}.katex .op-symbol.small-op{font-family:KaTeX_Size1}.katex .op-symbol.large-op{font-family:KaTeX_Size2}.katex .accent>.vlist-t,.katex .op-limits>.vlist-t{text-align:center}.katex .accent .accent-body{position:relative}.katex .accent .accent-body:not(.accent-full){width:0}.katex .overlay{display:block}.katex .mtable .vertical-separator{display:inline-block;min-width:1px}.katex .mtable .arraycolsep{display:inline-block}.katex .mtable .col-align-c>.vlist-t{text-align:center}.katex .mtable .col-align-l>.vlist-t{text-align:left}.katex .mtable .col-align-r>.vlist-t{text-align:right}.katex .svg-align{text-align:left}.katex svg{fill:currentColor;stroke:currentColor;fill-rule:nonzero;fill-opacity:1;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1;display:block;height:inherit;position:absolute;width:100%}.katex svg path{stroke:none}.katex img{border-style:none;max-height:none;max-width:none;min-height:0;min-width:0}.katex .stretchy{display:block;overflow:hidden;position:relative;width:100%}.katex .stretchy:after,.katex .stretchy:before{content:""}.katex .hide-tail{overflow:hidden;position:relative;width:100%}.katex .halfarrow-left{left:0;overflow:hidden;position:absolute;width:50.2%}.katex .halfarrow-right{overflow:hidden;position:absolute;right:0;width:50.2%}.katex .brace-left{left:0;overflow:hidden;position:absolute;width:25.1%}.katex .brace-center{left:25%;overflow:hidden;position:absolute;width:50%}.katex .brace-right{overflow:hidden;position:absolute;right:0;width:25.1%}.katex .x-arrow-pad{padding:0 .5em}.katex .cd-arrow-pad{padding:0 .55556em 0 .27778em}.katex .mover,.katex .munder,.katex .x-arrow{text-align:center}.katex .boxpad{padding:0 .3em}.katex .fbox,.katex .fcolorbox{border:.04em solid;box-sizing:border-box}.katex .cancel-pad{padding:0 .2em}.katex .cancel-lap{margin-left:-.2em;margin-right:-.2em}.katex .sout{border-bottom-style:solid;border-bottom-width:.08em}.katex .angl{border-right:.049em solid;border-top:.049em solid;box-sizing:border-box;margin-right:.03889em}.katex .anglpad{padding:0 .03889em}.katex .eqn-num:before{content:"(" counter(katexEqnNo) ")";counter-increment:katexEqnNo}.katex .mml-eqn-num:before{content:"(" counter(mmlEqnNo) ")";counter-increment:mmlEqnNo}.katex .mtr-glue{width:50%}.katex .cd-vert-arrow{display:inline-block;position:relative}.katex .cd-label-left{display:inline-block;position:absolute;right:calc(50% + .3em);text-align:left}.katex .cd-label-right{display:inline-block;left:calc(50% + .3em);position:absolute;text-align:right}.katex-display{display:block;margin:1em 0;text-align:center}.katex-display>.katex{display:block;text-align:center;white-space:nowrap}.katex-display>.katex>.katex-html{display:block;position:relative}.katex-display>.katex>.katex-html>.tag{position:absolute;right:0}.katex-display.leqno>.katex>.katex-html>.tag{left:0;right:auto}.katex-display.fleqn>.katex{padding-left:2em;text-align:left}body{counter-reset:katexEqnNo mmlEqnNo}:root{--twoslash-popup-bg: var(--slidev-code-background);--twoslash-popup-color: var(--slidev-code-foreground);--twoslash-docs-color: inherit;--twoslash-docs-font: inherit;--twoslash-code-font: "Fira Code",ui-monospace,SFMono-Regular,Menlo,Monaco,Consolas,"Liberation Mono","Courier New",monospace;--twoslash-underline-color: #8888;--twoslash-border-color: #8888;--twoslash-cursor-color: var(--slidev-theme-primary);--twoslash-matched-color: var(--slidev-theme-primary)}.twoslash-popup-container{font-size:13px}.twoslash-popup-container .twoslash-popup-code{font-size:.85em}.twoslash-floating .twoslash-popup-docs-tags .twoslash-popup-docs-tag-name{color:inherit;opacity:.5}.shiki-magic-move-container{position:relative;white-space:pre}.shiki-magic-move-line-number{opacity:.3;-webkit-user-select:none;user-select:none}.shiki-magic-move-item{display:inline-block;transition:color var(--smm-duration, .5s) var(--smm-easing, "ease")}.shiki-magic-move-move,.shiki-magic-move-enter-active,.shiki-magic-move-leave-active{transition:all var(--smm-duration, .5s) var(--smm-easing, "ease")}.shiki-magic-move-container-resize,.shiki-magic-move-container-restyle{transition:all var(--smm-duration, .5s) var(--smm-easing, "ease");transition-delay:calc(var(--smm-duration, .5s) * var(--smm-delay-container, 1))}.shiki-magic-move-move{transition-delay:calc(calc(var(--smm-duration, .5s) * var(--smm-delay-move, 1)) + var(--smm-stagger, 0));z-index:1}.shiki-magic-move-enter-active{transition-delay:calc(calc(var(--smm-duration, .5s) * var(--smm-delay-enter, 1)) + var(--smm-stagger, 0));z-index:1}.shiki-magic-move-leave-active{transition-delay:calc(calc(var(--smm-duration, .5s) * var(--smm-delay-leave, 1)) + var(--smm-stagger, 0))}.shiki-magic-move-enter-from,.shiki-magic-move-leave-to{opacity:0}br.shiki-magic-move-leave-active{display:none}.i-svg-spinners-90-ring-with-bg{--un-icon:url("data:image/svg+xml;utf8,%3Csvg viewBox='0 0 24 24' width='1em' height='1em' xmlns='http://www.w3.org/2000/svg' %3E%3Cpath fill='currentColor' d='M12,1A11,11,0,1,0,23,12,11,11,0,0,0,12,1Zm0,19a8,8,0,1,1,8-8A8,8,0,0,1,12,20Z' opacity='.25'/%3E%3Cpath fill='currentColor' d='M10.14,1.16a11,11,0,0,0-9,8.92A1.59,1.59,0,0,0,2.46,12,1.52,1.52,0,0,0,4.11,10.7a8,8,0,0,1,6.66-6.61A1.42,1.42,0,0,0,12,2.69h0A1.57,1.57,0,0,0,10.14,1.16Z'%3E%3CanimateTransform attributeName='transform' dur='0.75s' repeatCount='indefinite' type='rotate' values='0 12 12;360 12 12'/%3E%3C/path%3E%3C/svg%3E");-webkit-mask:var(--un-icon) no-repeat;mask:var(--un-icon) no-repeat;-webkit-mask-size:100% 100%;mask-size:100% 100%;background-color:currentColor;color:inherit;width:1em;height:1em}.sr-only{position:absolute;width:1px;height:1px;padding:0;margin:-1px;overflow:hidden;clip:rect(0,0,0,0);white-space:nowrap;border-width:0}.pointer-events-none,[pointer-events-none=""]{pointer-events:none}.absolute,[absolute=""]{position:absolute}.fixed,[fixed=""]{position:fixed}.relative,[relative=""]{position:relative}.inset-0,[inset-0=""]{top:0;right:0;bottom:0;left:0}.inset-0\.5{top:.125rem;right:.125rem;bottom:.125rem;left:.125rem}.-top-15px{top:-15px}.-top-20{top:-5rem}.bottom-0{bottom:0}.bottom-10{bottom:2.5rem}.left-0{left:0}.left-1{left:.25rem}.left-1\/2{left:50%}.left-110\%{left:110%}.right-0{right:0}.right-1{right:.25rem}.right-4{right:1rem}.right-5,[right-5=""]{right:1.25rem}.top-0{top:0}.top-1{top:.25rem}.top-1\/2,.top-50\%{top:50%}.top-4{top:1rem}.top-5{top:1.25rem}.-z-1{z-index:-1}.z-1,[z-1=""]{z-index:1}.z-10,[z-10=""]{z-index:10}.z-100{z-index:100}.z-20{z-index:20}.grid{display:grid}.grid-cols-\[1fr_max-content\]{grid-template-columns:1fr max-content}.grid-cols-\[35px_1fr\]{grid-template-columns:35px 1fr}.grid-rows-\[1fr_max-content\]{grid-template-rows:1fr max-content}.grid-rows-\[1fr_min-content\]{grid-template-rows:1fr min-content}.grid-rows-\[auto_max-content\]{grid-template-rows:auto max-content}.m-1{margin:.25rem}.m-auto{margin:auto}.children\:my-auto>*,.my-auto{margin-top:auto;margin-bottom:auto}.mx-auto{margin-left:auto;margin-right:auto}.my-1,.my1{margin-top:.25rem;margin-bottom:.25rem}.my-2{margin-top:.5rem;margin-bottom:.5rem}.my4{margin-top:1rem;margin-bottom:1rem}.my5{margin-top:1.25rem;margin-bottom:1.25rem}.-ml-2{margin-left:-.5rem}.-mt-0\.5{margin-top:-.125rem}.mb-4{margin-bottom:1rem}.mb2{margin-bottom:.5rem}.ml--4{margin-left:-1rem}.mr--3,[mr--3=""]{margin-right:-.75rem}.mr--8{margin-right:-2rem}.mr-1,.mr1{margin-right:.25rem}.mr-2{margin-right:.5rem}.ms{margin-inline-start:1rem}.mt-0\.5{margin-top:.125rem}.mt-1,.mt1{margin-top:.25rem}.mt-2{margin-top:.5rem}.mt-3{margin-top:.75rem}.inline{display:inline}.block{display:block}.inline-block{display:inline-block}.\!hidden{display:none!important}.hidden{display:none}.aspect-ratio-initial{aspect-ratio:initial}.h-\[40px\],.h-40px{height:40px}.h-\[calc\(var\(--vh\,1vh\)\*100\)\]{height:calc(var(--vh,1vh) * 100)}.h-0\.7{height:.175rem}.h-22px{height:22px}.h-3px{height:3px}.h-40{height:10rem}.h-5,.h5,[h5=""]{height:1.25rem}.h-6{height:1.5rem}.h-8,[h-8=""]{height:2rem}.h-9,[h-9=""]{height:2.25rem}.h-full,[h-full=""]{height:100%}.h-max{height:max-content}.h-screen{height:100vh}.h1,[h1=""]{height:.25rem}.max-h-full,[max-h-full=""]{max-height:100%}.max-w-150{max-width:37.5rem}.max-w-250,[max-w-250=""]{max-width:62.5rem}.max-w-90,[max-w-90=""]{max-width:22.5rem}.max-w-full{max-width:100%}.max-w-xs{max-width:20rem}.min-h-50{min-height:12.5rem}.min-w-16{min-width:4rem}.min-w-40{min-width:10rem}.min-w-90,[min-w-90=""]{min-width:22.5rem}.w-\[40px\]{width:40px}.w-0{width:0}.w-13{width:3.25rem}.w-1px{width:1px}.w-200{width:50rem}.w-22px{width:22px}.w-250,[w-250=""]{width:62.5rem}.w-5{width:1.25rem}.w-6{width:1.5rem}.w-60{width:15rem}.w-7{width:1.75rem}.w-8,[w-8=""]{width:2rem}.w-9,[w-9=""]{width:2.25rem}.w-90,[w-90=""]{width:22.5rem}.w-full,[w-full=""]{width:100%}.w-screen{width:100vw}.w1,[w1=""]{width:.25rem}.w3{width:.75rem}.flex,[flex=""],[flex~="~"]{display:flex}.flex-1{flex:1 1 0%}.flex-auto,[flex-auto=""]{flex:1 1 auto}.flex-none{flex:none}.flex-grow{flex-grow:1}.flex-col,[flex-col=""]{flex-direction:column}.flex-wrap{flex-wrap:wrap}.flex-wrap-reverse{flex-wrap:wrap-reverse}.table,[table=""]{display:table}.table-cell,[table-cell=""]{display:table-cell}.table-row,[table-row=""]{display:table-row}.border-collapse,[border-collapse=""]{border-collapse:collapse}.translate-y--50\%{--un-translate-y:-50%;transform:translate(var(--un-translate-x)) translateY(var(--un-translate-y)) translateZ(var(--un-translate-z)) rotate(var(--un-rotate)) rotateX(var(--un-rotate-x)) rotateY(var(--un-rotate-y)) rotate(var(--un-rotate-z)) skew(var(--un-skew-x)) skewY(var(--un-skew-y)) scaleX(var(--un-scale-x)) scaleY(var(--un-scale-y)) scaleZ(var(--un-scale-z))}.-rotate-45{--un-rotate-x:0;--un-rotate-y:0;--un-rotate-z:0;--un-rotate:-45deg;transform:translate(var(--un-translate-x)) translateY(var(--un-translate-y)) translateZ(var(--un-translate-z)) rotate(var(--un-rotate)) rotateX(var(--un-rotate-x)) rotateY(var(--un-rotate-y)) rotate(var(--un-rotate-z)) skew(var(--un-skew-x)) skewY(var(--un-skew-y)) scaleX(var(--un-scale-x)) scaleY(var(--un-scale-y)) scaleZ(var(--un-scale-z))}.scale-102{--un-scale-x:1.02;--un-scale-y:1.02;transform:translate(var(--un-translate-x)) translateY(var(--un-translate-y)) translateZ(var(--un-translate-z)) rotate(var(--un-rotate)) rotateX(var(--un-rotate-x)) rotateY(var(--un-rotate-y)) rotate(var(--un-rotate-z)) skew(var(--un-skew-x)) skewY(var(--un-skew-y)) scaleX(var(--un-scale-x)) scaleY(var(--un-scale-y)) scaleZ(var(--un-scale-z))}.scale-85{--un-scale-x:.85;--un-scale-y:.85;transform:translate(var(--un-translate-x)) translateY(var(--un-translate-y)) translateZ(var(--un-translate-z)) rotate(var(--un-rotate)) rotateX(var(--un-rotate-x)) rotateY(var(--un-rotate-y)) rotate(var(--un-rotate-z)) skew(var(--un-skew-x)) skewY(var(--un-skew-y)) scaleX(var(--un-scale-x)) scaleY(var(--un-scale-y)) scaleZ(var(--un-scale-z))}.transform{transform:translate(var(--un-translate-x)) translateY(var(--un-translate-y)) translateZ(var(--un-translate-z)) rotate(var(--un-rotate)) rotateX(var(--un-rotate-x)) rotateY(var(--un-rotate-y)) rotate(var(--un-rotate-z)) skew(var(--un-skew-x)) skewY(var(--un-skew-y)) scaleX(var(--un-scale-x)) scaleY(var(--un-scale-y)) scaleZ(var(--un-scale-z))}@keyframes fade-in{0%{opacity:0}to{opacity:1}}.animate-fade-in{animation:fade-in 1s linear 1}.animate-duration-100{animation-duration:.1s}.cursor-default{cursor:default}.cursor-pointer,[cursor-pointer=""]{cursor:pointer}.touch-none{touch-action:none}.select-text{-webkit-user-select:text;user-select:text}.important\:\[\&_\*\]\:select-none *{-webkit-user-select:none!important;user-select:none!important}.select-none,[select-none=""]{-webkit-user-select:none;user-select:none}[select-none~="default:"]:default{-webkit-user-select:none;user-select:none}.resize{resize:both}.resize-none{resize:none}.items-end{align-items:flex-end}.items-center,[items-center=""]{align-items:center}.justify-center,[justify-center=""]{justify-content:center}.justify-items-start{justify-items:start}.gap-0\.2{gap:.05rem}.gap-0\.5{gap:.125rem}.gap-1{gap:.25rem}.gap-2,[gap-2=""]{gap:.5rem}.gap-4{gap:1rem}.gap-5{gap:1.25rem}.gap-x-8{column-gap:2rem}.gap-y-4{row-gap:1rem}.of-auto,.overflow-auto{overflow:auto}.of-hidden,.overflow-hidden,[of-hidden=""],[overflow-hidden=""]{overflow:hidden}.of-x-visible{overflow-x:visible}.of-y-auto,.overflow-y-auto{overflow-y:auto}.whitespace-nowrap,.ws-nowrap{white-space:nowrap}.b,.border,.dark .dark\:border,.dark [dark\:border~="~"],[b=""],[border=""],[border~="~"]{border-width:1px}.border-2,[b~="2"]{border-width:2px}[b~="100%"]{border-width:100%}[b~="16"]{border-width:16px}[b~="50%"]{border-width:50%}[b~="64"]{border-width:64px}[border~="0"]{border-width:0px}[b~=x]{border-left-width:1px;border-right-width:1px}[b~=y],[border~=y]{border-top-width:1px;border-bottom-width:1px}.border-b,[b~=b]{border-bottom-width:1px}.border-l{border-left-width:1px}.border-r{border-right-width:1px}.border-r-2{border-right-width:2px}.border-t,[border~=t]{border-top-width:1px}.b-dark{--un-border-opacity:1;border-color:rgb(34 34 34 / var(--un-border-opacity))}.border-gray,.dark .dark\:b-gray-400,[border-gray=""]{--un-border-opacity:1;border-color:rgb(156 163 175 / var(--un-border-opacity))}.border-gray-300\/50{border-color:#d1d5db80}.border-transparent,[border~=transparent]{border-color:transparent}.border-white{--un-border-opacity:1;border-color:rgb(255 255 255 / var(--un-border-opacity))}.dark .dark\:border-gray-500,.dark [dark\:border-gray-500=""]{--un-border-opacity:1;border-color:rgb(107 114 128 / var(--un-border-opacity))}[b-dark~="1"]{--un-border-opacity:1;border-color:rgb(60 60 60 / var(--un-border-opacity))}.dark [b~="dark:b-gray-400"]{--un-border-opacity:1;--un-border-bottom-opacity:var(--un-border-opacity);border-bottom-color:rgb(156 163 175 / var(--un-border-bottom-opacity))}[b~=b-dark]{--un-border-opacity:1;--un-border-bottom-opacity:var(--un-border-opacity);border-bottom-color:rgb(34 34 34 / var(--un-border-bottom-opacity))}.rounded,[border~=rounded],[rounded=""]{border-radius:.25rem}.rounded-1\/2{border-radius:50%}.rounded-full,[rounded-full=""]{border-radius:9999px}.rounded-md{border-radius:.375rem}.rounded-b{border-bottom-left-radius:.25rem;border-bottom-right-radius:.25rem}.rounded-l{border-top-left-radius:.25rem;border-bottom-left-radius:.25rem}.rounded-r{border-top-right-radius:.25rem;border-bottom-right-radius:.25rem}.rounded-lb{border-bottom-left-radius:.25rem}.rounded-tl{border-top-left-radius:.25rem}.\!border-none{border-style:none!important}.b-dashed{border-style:dashed}[b~=b-dashed]{border-bottom-style:dashed}.\!bg-transparent,[bg-transparent~="!valid.value"]{background-color:transparent!important}.bg-current{background-color:currentColor}.bg-gray,[bg-gray=""]{--un-bg-opacity:1;background-color:rgb(156 163 175 / var(--un-bg-opacity))}.bg-gray\:10,.bg-gray\/10{background-color:#9ca3af1a}.bg-gray\:5{background-color:#9ca3af0d}.bg-gray\/20{background-color:#9ca3af33}.bg-transparent,[bg-transparent~=","],[bg-transparent~="{"],[bg-transparent~="&&"],[bg-transparent~="text.value"]{background-color:transparent}.dark .dark\:bg-gray-800,.dark [dark\:bg-gray-800=""]{--un-bg-opacity:1;background-color:rgb(31 41 55 / var(--un-bg-opacity))}[bg~=black]{--un-bg-opacity:1;background-color:rgb(0 0 0 / var(--un-bg-opacity))}.hover\:bg-gray-400:hover{--un-bg-opacity:1;background-color:rgb(156 163 175 / var(--un-bg-opacity))}.hover\:bg-gray\/20:hover{background-color:#9ca3af33}[bg-transparent~="placeholder:"]::placeholder{background-color:transparent}.\!bg-opacity-75,.bg-opacity-75\!{--un-bg-opacity:.75 !important}.bg-opacity-30,[bg-opacity-30=""]{--un-bg-opacity:.3}[bg~=opacity-80]{--un-bg-opacity:.8}.hover\:bg-opacity-10:hover{--un-bg-opacity:.1}[stroke-width~="1"]{stroke-width:1px}[stroke-width~="2"]{stroke-width:2px}[stroke-width~="3"]{stroke-width:3px}.dark .dark\:stroke-black{--un-stroke-opacity:1;stroke:rgb(0 0 0 / var(--un-stroke-opacity))}.stroke-white{--un-stroke-opacity:1;stroke:rgb(255 255 255 / var(--un-stroke-opacity))}.object-cover{object-fit:cover}.\!p-4{padding:1rem!important}.p-1{padding:.25rem}.p-16{padding:4rem}.p-2,.p2,[p2=""]{padding:.5rem}.p3{padding:.75rem}.p4{padding:1rem}.px,.px-4,.px4,[p~=x-4],[px=""]{padding-left:1rem;padding-right:1rem}.px-2,.px2{padding-left:.5rem;padding-right:.5rem}.px-5{padding-left:1.25rem;padding-right:1.25rem}.px-6{padding-left:1.5rem;padding-right:1.5rem}.px-7{padding-left:1.75rem;padding-right:1.75rem}.px1{padding-left:.25rem;padding-right:.25rem}.px3,[px3=""]{padding-left:.75rem;padding-right:.75rem}.py-1,.py1{padding-top:.25rem;padding-bottom:.25rem}.py-10{padding-top:2.5rem;padding-bottom:2.5rem}.py-2,.py2,[p~=y-2],[py-2=""],[py2=""]{padding-top:.5rem;padding-bottom:.5rem}.py-20{padding-top:5rem;padding-bottom:5rem}.py-3,.py3{padding-top:.75rem;padding-bottom:.75rem}.py-4{padding-top:1rem;padding-bottom:1rem}.py0\.5{padding-top:.125rem;padding-bottom:.125rem}.py1\.5{padding-top:.375rem;padding-bottom:.375rem}.pb2{padding-bottom:.5rem}.pl-0{padding-left:0}.pl-2{padding-left:.5rem}.pl-4,[pl-4=""]{padding-left:1rem}.pl1,[p~=l-1],[pl1=""]{padding-left:.25rem}.pr-3,[pr-3=""]{padding-right:.75rem}.pr-4,[pr-4=""]{padding-right:1rem}.pr-6{padding-right:1.5rem}.pt-\.5,[p~="t-0.5"]{padding-top:.125rem}.pt-15\%{padding-top:15%}.pt-2{padding-top:.5rem}.pt5{padding-top:1.25rem}[p~=r-2]{padding-right:.5rem}.text-center{text-align:center}.text-left{text-align:left}.text-right,[text-right=""]{text-align:right}.text-wrap{text-wrap:wrap}.text-nowrap{text-wrap:nowrap}.vertical-middle,[vertical-middle=""]{vertical-align:middle}.text-2xl{font-size:1.5rem;line-height:2rem}.text-3em{font-size:3em}.text-3xl{font-size:1.875rem;line-height:2.25rem}.text-9xl,[text-9xl=""]{font-size:8rem;line-height:1}.text-base{font-size:1rem;line-height:1.5rem}.text-lg,[text-lg=""]{font-size:1.125rem;line-height:1.75rem}.text-sm,[text-sm=""],[text~=sm]{font-size:.875rem;line-height:1.25rem}.text-xl{font-size:1.25rem;line-height:1.75rem}.text-xs,[text-xs=""]{font-size:.75rem;line-height:1rem}[text-sm~="default:"]:default{font-size:.875rem;line-height:1.25rem}.\!text-current{color:currentColor!important}.dark .dark\:text-red-500,.text-red-500{--un-text-opacity:1;color:rgb(239 68 68 / var(--un-text-opacity))}.text-\$slidev-controls-foreground{color:var(--slidev-controls-foreground)}.text-black{--un-text-opacity:1;color:rgb(0 0 0 / var(--un-text-opacity))}.text-blue{--un-text-opacity:1;color:rgb(96 165 250 / var(--un-text-opacity))}.text-blue-500{--un-text-opacity:1;color:rgb(59 130 246 / var(--un-text-opacity))}.text-cyan{--un-text-opacity:1;color:rgb(34 211 238 / var(--un-text-opacity))}.text-gray,.text-gray-400{--un-text-opacity:1;color:rgb(156 163 175 / var(--un-text-opacity))}.text-gray-500{--un-text-opacity:1;color:rgb(107 114 128 / var(--un-text-opacity))}.text-green{--un-text-opacity:1;color:rgb(74 222 128 / var(--un-text-opacity))}.text-green-500{--un-text-opacity:1;color:rgb(34 197 94 / var(--un-text-opacity))}.text-indigo{--un-text-opacity:1;color:rgb(129 140 248 / var(--un-text-opacity))}.text-lime{--un-text-opacity:1;color:rgb(163 230 53 / var(--un-text-opacity))}.text-orange{--un-text-opacity:1;color:rgb(251 146 60 / var(--un-text-opacity))}.text-orange-500{--un-text-opacity:1;color:rgb(249 115 22 / var(--un-text-opacity))}.text-pink{--un-text-opacity:1;color:rgb(244 114 182 / var(--un-text-opacity))}.text-purple{--un-text-opacity:1;color:rgb(192 132 252 / var(--un-text-opacity))}.text-red,.text-red-400{--un-text-opacity:1;color:rgb(248 113 113 / var(--un-text-opacity))}.text-red-700{--un-text-opacity:1;color:rgb(185 28 28 / var(--un-text-opacity))}.text-teal{--un-text-opacity:1;color:rgb(45 212 191 / var(--un-text-opacity))}.text-white{--un-text-opacity:1;color:rgb(255 255 255 / var(--un-text-opacity))}.text-yellow{--un-text-opacity:1;color:rgb(250 204 21 / var(--un-text-opacity))}.important-text-op-50,.text-opacity-50\!{--un-text-opacity:.5 !important}.font-bold{font-weight:700}.font-light{font-weight:300}.leading-\[\.8rem\]{line-height:.8rem}.leading-2{line-height:.5rem}.tracking-widest{letter-spacing:.1em}.font-\$slidev-code-font-family{font-family:var(--slidev-code-font-family)}.font-mono,[font-mono=""]{font-family:Fira Code,ui-monospace,SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace}.uppercase{text-transform:uppercase}.italic{font-style:italic}.tabular-nums{--un-numeric-spacing:tabular-nums;font-variant-numeric:var(--un-ordinal) var(--un-slashed-zero) var(--un-numeric-figure) var(--un-numeric-spacing) var(--un-numeric-fraction)}.line-through{text-decoration-line:line-through}.underline{text-decoration-line:underline}.tab{-moz-tab-size:4;-o-tab-size:4;tab-size:4}[tab~="1032"]{-moz-tab-size:1032;-o-tab-size:1032;tab-size:1032}[tab~="2"]{-moz-tab-size:2;-o-tab-size:2;tab-size:2}[tab~="default:"]:default{-moz-tab-size:4;-o-tab-size:4;tab-size:4}.\!opacity-0,.important\:op0{opacity:0!important}.\!opacity-100{opacity:1!important}.op-40,.op40,.opacity-40{opacity:.4}.op-60{opacity:.6}.op-70{opacity:.7}.op0,.opacity-0,[op0=""]{opacity:0}.op100,[op100=""],.group:hover .group-hover\:op100{opacity:1}.op15{opacity:.15}.op20,.group:hover .group-hover\:opacity-20{opacity:.2}.op25,.opacity-25,[op25=""]{opacity:.25}.op30{opacity:.3}.op35{opacity:.35}.op50,.opacity-50,[op50=""]{opacity:.5}.op80,.opacity-80,.group:hover .group-hover\:op80{opacity:.8}.opacity-10{opacity:.1}.focus-within\:opacity-100:focus-within{opacity:1}.hover\:\!opacity-100:hover{opacity:1!important}.hover\:op100:hover,.hover\:opacity-100:hover{opacity:1}.hover\:opacity-90:hover{opacity:.9}[hover~=op100]:hover{opacity:1}.focus-visible\:opacity-100:focus-visible{opacity:1}.shadow,[shadow~="~"]{--un-shadow:var(--un-shadow-inset) 0 1px 3px 0 var(--un-shadow-color, rgb(0 0 0 / .1)),var(--un-shadow-inset) 0 1px 2px -1px var(--un-shadow-color, rgb(0 0 0 / .1));box-shadow:var(--un-ring-offset-shadow),var(--un-ring-shadow),var(--un-shadow)}.shadow-xl{--un-shadow:var(--un-shadow-inset) 0 20px 25px -5px var(--un-shadow-color, rgb(0 0 0 / .1)),var(--un-shadow-inset) 0 8px 10px -6px var(--un-shadow-color, rgb(0 0 0 / .1));box-shadow:var(--un-ring-offset-shadow),var(--un-ring-shadow),var(--un-shadow)}.outline-none,[outline-none=""]{outline:2px solid transparent;outline-offset:2px}.\!backdrop-blur-0px{--un-backdrop-blur:blur(0px) !important;-webkit-backdrop-filter:var(--un-backdrop-blur) var(--un-backdrop-brightness) var(--un-backdrop-contrast) var(--un-backdrop-grayscale) var(--un-backdrop-hue-rotate) var(--un-backdrop-invert) var(--un-backdrop-opacity) var(--un-backdrop-saturate) var(--un-backdrop-sepia)!important;backdrop-filter:var(--un-backdrop-blur) var(--un-backdrop-brightness) var(--un-backdrop-contrast) var(--un-backdrop-grayscale) var(--un-backdrop-hue-rotate) var(--un-backdrop-invert) var(--un-backdrop-opacity) var(--un-backdrop-saturate) var(--un-backdrop-sepia)!important}.backdrop-blur,.backdrop-blur-8{--un-backdrop-blur:blur(8px);-webkit-backdrop-filter:var(--un-backdrop-blur) var(--un-backdrop-brightness) var(--un-backdrop-contrast) var(--un-backdrop-grayscale) var(--un-backdrop-hue-rotate) var(--un-backdrop-invert) var(--un-backdrop-opacity) var(--un-backdrop-saturate) var(--un-backdrop-sepia);backdrop-filter:var(--un-backdrop-blur) var(--un-backdrop-brightness) var(--un-backdrop-contrast) var(--un-backdrop-grayscale) var(--un-backdrop-hue-rotate) var(--un-backdrop-invert) var(--un-backdrop-opacity) var(--un-backdrop-saturate) var(--un-backdrop-sepia)}.backdrop-blur-5px{--un-backdrop-blur:blur(5px);-webkit-backdrop-filter:var(--un-backdrop-blur) var(--un-backdrop-brightness) var(--un-backdrop-contrast) var(--un-backdrop-grayscale) var(--un-backdrop-hue-rotate) var(--un-backdrop-invert) var(--un-backdrop-opacity) var(--un-backdrop-saturate) var(--un-backdrop-sepia);backdrop-filter:var(--un-backdrop-blur) var(--un-backdrop-brightness) var(--un-backdrop-contrast) var(--un-backdrop-grayscale) var(--un-backdrop-hue-rotate) var(--un-backdrop-invert) var(--un-backdrop-opacity) var(--un-backdrop-saturate) var(--un-backdrop-sepia)}.transition{transition-property:color,background-color,border-color,text-decoration-color,fill,stroke,opacity,box-shadow,transform,filter,backdrop-filter;transition-timing-function:cubic-bezier(.4,0,.2,1);transition-duration:.15s}.transition-all{transition-property:all;transition-timing-function:cubic-bezier(.4,0,.2,1);transition-duration:.15s}.transition-opacity{transition-property:opacity;transition-timing-function:cubic-bezier(.4,0,.2,1);transition-duration:.15s}.duration-150{transition-duration:.15s}.duration-200{transition-duration:.2s}.duration-300{transition-duration:.3s}.duration-400{transition-duration:.4s}.duration-500{transition-duration:.5s}.ease-in{transition-timing-function:cubic-bezier(.4,0,1,1)}.ease-out{transition-timing-function:cubic-bezier(0,0,.2,1)}.view-transition-name{view-transition-name:name}@media (max-width: 767.9px){.lt-md\:hidden{display:none}.lt-md\:flex-col{flex-direction:column}}@media (min-width: 1024px){.lg\:m-2{margin:.5rem}.lg\:gap-1{gap:.25rem}.lg\:p-2{padding:.5rem}.lg\:p-4{padding:1rem}}
diff --git a/docs/assets/index-Da-oe7Cw.js b/docs/assets/index-Da-oe7Cw.js
new file mode 100644
index 0000000..f84ea78
--- /dev/null
+++ b/docs/assets/index-Da-oe7Cw.js
@@ -0,0 +1,95 @@
+const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/md-CvjSSd-j.js","assets/modules/vue-DPv8DyWv.js","assets/slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js","assets/slidev/context-DlCOjm-I.js","assets/monaco/bundled-types-B0l6HWZX.js","assets/modules/file-saver-igGfcqei.js","assets/monaco/bundled-types-B_1qVWDs.css","assets/modules/shiki-BNMsYMPq.js","assets/modules/shiki-Bxv373Z5.css","assets/md-Dq6iqmEs.js","assets/slidev/VClicks-BJgSDv3Q.js","assets/slidev/default-DYfprxyr.js","assets/md-OQJduEAz.js","assets/md-CePMrKWo.js","assets/Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js","assets/Monaco-COspDR16.css","assets/md-Du6urbl_.js","assets/md-D_pZLUDz.js","assets/md-DgnI8Bd4.js","assets/md-B2tNCsUg.js","assets/slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js","assets/modules/unplugin-icons-DdQIwbVj.js","assets/md-CLLTnEZ7.js","assets/md-DtHqeZS0.js","assets/md-DHlhFPM5.js","assets/md-DNuVt8V8.js","assets/md-CrFSu7wK.js","assets/md-DdH2xtAn.js","assets/md-CoooLRyL.js","assets/md-UMyVtbKA.js","assets/md-BW7_HJTh.js","assets/md-DTXsVKV9.js","assets/md-BCsvnU1G.js","assets/md-BlV4tDf7.js","assets/md-CodFZ4QM.js","assets/md-Esu_vpIB.js","assets/md-BhMNIGO-.js","assets/md-DBY66ZvP.js","assets/md-DuSHXnxV.js","assets/md-1JH4n7HQ.js","assets/md-B3gM_aLi.js","assets/md-chp4UUUX.js","assets/md-Zw3N9h5o.js","assets/md-DQ2E402P.js","assets/md-P0Anrfeg.js","assets/md-BTxTxIcV.js","assets/md-DUAIk41M.js","assets/md-BMx_EcJM.js","assets/md-DMKKtLyU.js","assets/md-C_l7Kv4p.js","assets/md-CKWXIRj9.js","assets/md-CW4SE-QG.js","assets/md-DyvObES2.js","assets/md-CnRKUBhD.js","assets/md-B46whd7y.js","assets/md-VAjAPvfg.js","assets/md-RRrviqmf.css","assets/md-9wuO6xgO.js","assets/md-snUBHVES.js","assets/md-5Bkjs5et.js","assets/md-DWSVng2s.js","assets/slidev/entry-BuL9-yYF.js","assets/entry-VRLb5f9Z.css","assets/slidev/overview-1yejmVit.js","assets/slidev/NoteDisplay.vue_vue_type_style_index_0_lang-B38dLyf3.js","assets/NoteDisplay-kJrsBVRx.css","assets/slidev/SlideWrapper-Ccnvj0Sw.js","assets/SlideWrapper-D-ORDv98.css","assets/slidev/IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js","assets/slidev/notes-DJw_rOv3.js","assets/slidev/presenter-DtNW9EJv.js","assets/slidev/shortcuts-TVJpHdJV.js","assets/shortcuts-BAPqYAhK.css","assets/slidev/DrawingControls.vue_vue_type_style_index_0_lang-j1j1HRFG.js","assets/DrawingControls-Cxk9a9ub.css","assets/presenter-BkjXb8nn.css","assets/slidev/play-D87vDAm9.js","assets/play-D_7yfuao.css","assets/slidev/404-36mg7bFt.js","assets/404-n_Eqdu3W.css"])))=>i.map(i=>d[i]);
+import{n as Mr,H as go,I as Kn,J as $r,t as A,G as ve,E as st,K as mo,L as yo,M as _o,N as Tr,O as Or,P as O,Q as vo,z as b,o as Ye,b as An,d as Lr,F as ko,e as fs,g as wo,R as M,S as Gn,T as bo,U as fn,V as Pr,W as So,X as Mo,Y as Er,r as $o,c as To,Z as Oo,_ as Lo,$ as Po,a0 as Eo,a1 as Ao,B as ot,C as Do,a2 as Co,D as Qn,a3 as Io,a4 as Ro,a5 as Ho,a6 as No,a7 as xo,a8 as jo,a9 as Vo,u as Fo}from"./modules/vue-DPv8DyWv.js";import{c as Dn,s as Bo,_ as S,a as U,r as ds,u as zo,b as vn,d as Wo,e as qo}from"./monaco/bundled-types-B0l6HWZX.js";import{T as Uo}from"./modules/shiki-BNMsYMPq.js";import"./modules/file-saver-igGfcqei.js";(function(){const t=document.createElement("link").relList;if(t&&t.supports&&t.supports("modulepreload"))return;for(const r of document.querySelectorAll('link[rel="modulepreload"]'))s(r);new MutationObserver(r=>{for(const o of r)if(o.type==="childList")for(const i of o.addedNodes)i.tagName==="LINK"&&i.rel==="modulepreload"&&s(i)}).observe(document,{childList:!0,subtree:!0});function n(r){const o={};return r.integrity&&(o.integrity=r.integrity),r.referrerPolicy&&(o.referrerPolicy=r.referrerPolicy),r.crossOrigin==="use-credentials"?o.credentials="include":r.crossOrigin==="anonymous"?o.credentials="omit":o.credentials="same-origin",o}function s(r){if(r.ep)return;r.ep=!0;const o=n(r);fetch(r.href,o)}})();const Jo=[],Ko=new Set(["title","titleTemplate","script","style","noscript"]),We=new Set(["base","meta","link","style","script","noscript"]),Go=new Set(["title","titleTemplate","templateParams","base","htmlAttrs","bodyAttrs","meta","link","style","script","noscript"]),Qo=new Set(["base","title","titleTemplate","bodyAttrs","htmlAttrs","templateParams"]),Ar=new Set(["tagPosition","tagPriority","tagDuplicateStrategy","children","innerHTML","textContent","processTemplateParams"]),Zo=typeof window<"u";function Xe(e){let t=9;for(let n=0;n<e.length;)t=Math.imul(t^e.charCodeAt(n++),9**9);return((t^t>>>9)+65536).toString(16).substring(1,8).toLowerCase()}function Cn(e){if(e._h)return e._h;if(e._d)return Xe(e._d);let t=`${e.tag}:${e.textContent||e.innerHTML||""}:`;for(const n in e.props)t+=`${n}:${String(e.props[n])},`;return Xe(t)}function Yo(e,t){return e instanceof Promise?e.then(t):t(e)}function In(e,t,n,s){const r=s||Cr(typeof t=="object"&&typeof t!="function"&&!(t instanceof Promise)?{...t}:{[e==="script"||e==="noscript"||e==="style"?"innerHTML":"textContent"]:t},e==="templateParams"||e==="titleTemplate");if(r instanceof Promise)return r.then(i=>In(e,t,n,i));const o={tag:e,props:r};for(const i of Ar){const a=o.props[i]!==void 0?o.props[i]:n[i];a!==void 0&&((!(i==="innerHTML"||i==="textContent"||i==="children")||Ko.has(o.tag))&&(o[i==="children"?"innerHTML":i]=a),delete o.props[i])}return o.props.body&&(o.tagPosition="bodyClose",delete o.props.body),o.tag==="script"&&typeof o.innerHTML=="object"&&(o.innerHTML=JSON.stringify(o.innerHTML),o.props.type=o.props.type||"application/json"),Array.isArray(o.props.content)?o.props.content.map(i=>({...o,props:{...o.props,content:i}})):o}function Xo(e,t){var s;const n=e==="class"?" ":";";return t&&typeof t=="object"&&!Array.isArray(t)&&(t=Object.entries(t).filter(([,r])=>r).map(([r,o])=>e==="style"?`${r}:${o}`:r)),(s=String(Array.isArray(t)?t.join(n):t))==null?void 0:s.split(n).filter(r=>!!r.trim()).join(n)}function Dr(e,t,n,s){for(let r=s;r<n.length;r+=1){const o=n[r];if(o==="class"||o==="style"){e[o]=Xo(o,e[o]);continue}if(e[o]instanceof Promise)return e[o].then(i=>(e[o]=i,Dr(e,t,n,r)));if(!t&&!Ar.has(o)){const i=String(e[o]),a=o.startsWith("data-");i==="true"||i===""?e[o]=a?"true":!0:e[o]||(a&&i==="false"?e[o]="false":delete e[o])}}}function Cr(e,t=!1){const n=Dr(e,t,Object.keys(e),0);return n instanceof Promise?n.then(()=>e):e}const ti=10;function Ir(e,t,n){for(let s=n;s<t.length;s+=1){const r=t[s];if(r instanceof Promise)return r.then(o=>(t[s]=o,Ir(e,t,s)));Array.isArray(r)?e.push(...r):e.push(r)}}function ei(e){const t=[],n=e.resolvedInput;for(const r in n){if(!Object.prototype.hasOwnProperty.call(n,r))continue;const o=n[r];if(!(o===void 0||!Go.has(r))){if(Array.isArray(o)){for(const i of o)t.push(In(r,i,e));continue}t.push(In(r,o,e))}}if(t.length===0)return[];const s=[];return Yo(Ir(s,t,0),()=>s.map((r,o)=>(r._e=e._i,e.mode&&(r._m=e.mode),r._p=(e._i<<ti)+o,r)))}const hs=new Set(["onload","onerror","onabort","onprogress","onloadstart"]),ps={base:-10,title:10},gs={critical:-80,high:-10,low:20};function tn(e){const t=e.tagPriority;if(typeof t=="number")return t;let n=100;return e.tag==="meta"?e.props["http-equiv"]==="content-security-policy"?n=-30:e.props.charset?n=-20:e.props.name==="viewport"&&(n=-15):e.tag==="link"&&e.props.rel==="preconnect"?n=20:e.tag in ps&&(n=ps[e.tag]),t&&t in gs?n+gs[t]:n}const ni=[{prefix:"before:",offset:-1},{prefix:"after:",offset:1}],si=["name","property","http-equiv"];function Rr(e){const{props:t,tag:n}=e;if(Qo.has(n))return n;if(n==="link"&&t.rel==="canonical")return"canonical";if(t.charset)return"charset";if(t.id)return`${n}:id:${t.id}`;for(const s of si)if(t[s]!==void 0)return`${n}:${s}:${t[s]}`;return!1}const ut="%separator";function ri(e,t){var s;let n;if(t==="s"||t==="pageTitle")n=e.pageTitle;else if(t.includes(".")){const r=t.indexOf(".");n=(s=e[t.substring(0,r)])==null?void 0:s[t.substring(r+1)]}else n=e[t];return n!==void 0?(n||"").replace(/"/g,'\\"'):void 0}const oi=new RegExp(`${ut}(?:\\s*${ut})*`,"g");function Ne(e,t,n){if(typeof e!="string"||!e.includes("%"))return e;let s=e;try{s=decodeURI(e)}catch{}const r=s.match(/%\w+(?:\.\w+)?/g);if(!r)return e;const o=e.includes(ut);return e=e.replace(/%\w+(?:\.\w+)?/g,i=>{if(i===ut||!r.includes(i))return i;const a=ri(t,i.slice(1));return a!==void 0?a:i}).trim(),o&&(e.endsWith(ut)&&(e=e.slice(0,-ut.length)),e.startsWith(ut)&&(e=e.slice(ut.length)),e=e.replace(oi,n).trim()),e}function ms(e,t){return e==null?t||null:typeof e=="function"?e(t):e}async function ii(e,t={}){const n=t.document||e.resolvedOptions.document;if(!n||!e.dirty)return;const s={shouldRender:!0,tags:[]};if(await e.hooks.callHook("dom:beforeRender",s),!!s.shouldRender)return e._domUpdatePromise||(e._domUpdatePromise=new Promise(async r=>{var h;const o=(await e.resolveTags()).map(d=>({tag:d,id:We.has(d.tag)?Cn(d):d.tag,shouldRender:!0}));let i=e._dom;if(!i){i={elMap:{htmlAttrs:n.documentElement,bodyAttrs:n.body}};const d=new Set;for(const f of["body","head"]){const p=(h=n[f])==null?void 0:h.children;for(const g of p){const y=g.tagName.toLowerCase();if(!We.has(y))continue;const m={tag:y,props:await Cr(g.getAttributeNames().reduce((E,$)=>({...E,[$]:g.getAttribute($)}),{})),innerHTML:g.innerHTML},v=Rr(m);let k=v,w=1;for(;k&&d.has(k);)k=`${v}:${w++}`;k&&(m._d=k,d.add(k)),i.elMap[g.getAttribute("data-hid")||Cn(m)]=g}}}i.pendingSideEffects={...i.sideEffects},i.sideEffects={};function a(d,f,p){const g=`${d}:${f}`;i.sideEffects[g]=p,delete i.pendingSideEffects[g]}function l({id:d,$el:f,tag:p}){const g=p.tag.endsWith("Attrs");if(i.elMap[d]=f,g||(p.textContent&&p.textContent!==f.textContent&&(f.textContent=p.textContent),p.innerHTML&&p.innerHTML!==f.innerHTML&&(f.innerHTML=p.innerHTML),a(d,"el",()=>{var y;(y=i.elMap[d])==null||y.remove(),delete i.elMap[d]})),p._eventHandlers)for(const y in p._eventHandlers)Object.prototype.hasOwnProperty.call(p._eventHandlers,y)&&f.getAttribute(`data-${y}`)!==""&&((p.tag==="bodyAttrs"?n.defaultView:f).addEventListener(y.substring(2),p._eventHandlers[y].bind(f)),f.setAttribute(`data-${y}`,""));for(const y in p.props){if(!Object.prototype.hasOwnProperty.call(p.props,y))continue;const m=p.props[y],v=`attr:${y}`;if(y==="class"){if(!m)continue;for(const k of m.split(" "))g&&a(d,`${v}:${k}`,()=>f.classList.remove(k)),!f.classList.contains(k)&&f.classList.add(k)}else if(y==="style"){if(!m)continue;for(const k of m.split(";")){const w=k.indexOf(":"),E=k.substring(0,w).trim(),$=k.substring(w+1).trim();a(d,`${v}:${E}`,()=>{f.style.removeProperty(E)}),f.style.setProperty(E,$)}}else f.getAttribute(y)!==m&&f.setAttribute(y,m===!0?"":String(m)),g&&a(d,v,()=>f.removeAttribute(y))}}const c=[],u={bodyClose:void 0,bodyOpen:void 0,head:void 0};for(const d of o){const{tag:f,shouldRender:p,id:g}=d;if(p){if(f.tag==="title"){n.title=f.textContent;continue}d.$el=d.$el||i.elMap[g],d.$el?l(d):We.has(f.tag)&&c.push(d)}}for(const d of c){const f=d.tag.tagPosition||"head";d.$el=n.createElement(d.tag.tag),l(d),u[f]=u[f]||n.createDocumentFragment(),u[f].appendChild(d.$el)}for(const d of o)await e.hooks.callHook("dom:renderTag",d,n,a);u.head&&n.head.appendChild(u.head),u.bodyOpen&&n.body.insertBefore(u.bodyOpen,n.body.firstChild),u.bodyClose&&n.body.appendChild(u.bodyClose);for(const d in i.pendingSideEffects)i.pendingSideEffects[d]();e._dom=i,await e.hooks.callHook("dom:rendered",{renders:o}),r()}).finally(()=>{e._domUpdatePromise=void 0,e.dirty=!1})),e._domUpdatePromise}function ai(e,t={}){const n=t.delayFn||(s=>setTimeout(s,10));return e._domDebouncedUpdatePromise=e._domDebouncedUpdatePromise||new Promise(s=>n(()=>ii(e,t).then(()=>{delete e._domDebouncedUpdatePromise,s()})))}function li(e){return t=>{var s,r;const n=((r=(s=t.resolvedOptions.document)==null?void 0:s.head.querySelector('script[id="unhead:payload"]'))==null?void 0:r.innerHTML)||!1;return n&&t.push(JSON.parse(n)),{mode:"client",hooks:{"entries:updated":o=>{ai(o,e)}}}}}function Rn(e,t={},n){for(const s in e){const r=e[s],o=n?`${n}:${s}`:s;typeof r=="object"&&r!==null?Rn(r,t,o):typeof r=="function"&&(t[o]=r)}return t}const ci={run:e=>e()},ui=()=>ci,Hr=typeof console.createTask<"u"?console.createTask:ui;function fi(e,t){const n=t.shift(),s=Hr(n);return e.reduce((r,o)=>r.then(()=>s.run(()=>o(...t))),Promise.resolve())}function di(e,t){const n=t.shift(),s=Hr(n);return Promise.all(e.map(r=>s.run(()=>r(...t))))}function kn(e,t){for(const n of[...e])n(t)}class hi{constructor(){this._hooks={},this._before=void 0,this._after=void 0,this._deprecatedMessages=void 0,this._deprecatedHooks={},this.hook=this.hook.bind(this),this.callHook=this.callHook.bind(this),this.callHookWith=this.callHookWith.bind(this)}hook(t,n,s={}){if(!t||typeof n!="function")return()=>{};const r=t;let o;for(;this._deprecatedHooks[t];)o=this._deprecatedHooks[t],t=o.to;if(o&&!s.allowDeprecated){let i=o.message;i||(i=`${r} hook has been deprecated`+(o.to?`, please use ${o.to}`:"")),this._deprecatedMessages||(this._deprecatedMessages=new Set),this._deprecatedMessages.has(i)||(console.warn(i),this._deprecatedMessages.add(i))}if(!n.name)try{Object.defineProperty(n,"name",{get:()=>"_"+t.replace(/\W+/g,"_")+"_hook_cb",configurable:!0})}catch{}return this._hooks[t]=this._hooks[t]||[],this._hooks[t].push(n),()=>{n&&(this.removeHook(t,n),n=void 0)}}hookOnce(t,n){let s,r=(...o)=>(typeof s=="function"&&s(),s=void 0,r=void 0,n(...o));return s=this.hook(t,r),s}removeHook(t,n){if(this._hooks[t]){const s=this._hooks[t].indexOf(n);s!==-1&&this._hooks[t].splice(s,1),this._hooks[t].length===0&&delete this._hooks[t]}}deprecateHook(t,n){this._deprecatedHooks[t]=typeof n=="string"?{to:n}:n;const s=this._hooks[t]||[];delete this._hooks[t];for(const r of s)this.hook(t,r)}deprecateHooks(t){Object.assign(this._deprecatedHooks,t);for(const n in t)this.deprecateHook(n,t[n])}addHooks(t){const n=Rn(t),s=Object.keys(n).map(r=>this.hook(r,n[r]));return()=>{for(const r of s.splice(0,s.length))r()}}removeHooks(t){const n=Rn(t);for(const s in n)this.removeHook(s,n[s])}removeAllHooks(){for(const t in this._hooks)delete this._hooks[t]}callHook(t,...n){return n.unshift(t),this.callHookWith(fi,t,...n)}callHookParallel(t,...n){return n.unshift(t),this.callHookWith(di,t,...n)}callHookWith(t,n,...s){const r=this._before||this._after?{name:n,args:s,context:{}}:void 0;this._before&&kn(this._before,r);const o=t(n in this._hooks?[...this._hooks[n]]:[],s);return o instanceof Promise?o.finally(()=>{this._after&&r&&kn(this._after,r)}):(this._after&&r&&kn(this._after,r),o)}beforeEach(t){return this._before=this._before||[],this._before.push(t),()=>{if(this._before!==void 0){const n=this._before.indexOf(t);n!==-1&&this._before.splice(n,1)}}}afterEach(t){return this._after=this._after||[],this._after.push(t),()=>{if(this._after!==void 0){const n=this._after.indexOf(t);n!==-1&&this._after.splice(n,1)}}}}function pi(){return new hi}const gi=new Set(["templateParams","htmlAttrs","bodyAttrs"]),mi={hooks:{"tag:normalise":({tag:e})=>{e.props.hid&&(e.key=e.props.hid,delete e.props.hid),e.props.vmid&&(e.key=e.props.vmid,delete e.props.vmid),e.props.key&&(e.key=e.props.key,delete e.props.key);const t=Rr(e);t&&!t.startsWith("meta:og:")&&!t.startsWith("meta:twitter:")&&delete e.key;const n=t||(e.key?`${e.tag}:${e.key}`:!1);n&&(e._d=n)},"tags:resolve":e=>{const t=Object.create(null);for(const s of e.tags){const r=(s.key?`${s.tag}:${s.key}`:s._d)||Cn(s),o=t[r];if(o){let a=s==null?void 0:s.tagDuplicateStrategy;if(!a&&gi.has(s.tag)&&(a="merge"),a==="merge"){const l=o.props;l.style&&s.props.style&&(l.style[l.style.length-1]!==";"&&(l.style+=";"),s.props.style=`${l.style} ${s.props.style}`),l.class&&s.props.class?s.props.class=`${l.class} ${s.props.class}`:l.class&&(s.props.class=l.class),t[r].props={...l,...s.props};continue}else if(s._e===o._e){o._duped=o._duped||[],s._d=`${o._d}:${o._duped.length+1}`,o._duped.push(s);continue}else if(tn(s)>tn(o))continue}if(!(s.innerHTML||s.textContent||Object.keys(s.props).length!==0)&&We.has(s.tag)){delete t[r];continue}t[r]=s}const n=[];for(const s in t){const r=t[s],o=r._duped;n.push(r),o&&(delete r._duped,n.push(...o))}e.tags=n,e.tags=e.tags.filter(s=>!(s.tag==="meta"&&(s.props.name||s.props.property)&&!s.props.content))}}},yi=new Set(["script","link","bodyAttrs"]),_i=e=>({hooks:{"tags:resolve":t=>{for(const n of t.tags){if(!yi.has(n.tag))continue;const s=n.props;for(const r in s){if(r[0]!=="o"||r[1]!=="n"||!Object.prototype.hasOwnProperty.call(s,r))continue;const o=s[r];typeof o=="function"&&(e.ssr&&hs.has(r)?s[r]=`this.dataset.${r}fired = true`:delete s[r],n._eventHandlers=n._eventHandlers||{},n._eventHandlers[r]=o)}e.ssr&&n._eventHandlers&&(n.props.src||n.props.href)&&(n.key=n.key||Xe(n.props.src||n.props.href))}},"dom:renderTag":({$el:t,tag:n})=>{var r,o;const s=t==null?void 0:t.dataset;if(s)for(const i in s){if(!i.endsWith("fired"))continue;const a=i.slice(0,-5);hs.has(a)&&((o=(r=n._eventHandlers)==null?void 0:r[a])==null||o.call(t,new Event(a.substring(2))))}}}}),vi=new Set(["link","style","script","noscript"]),ki={hooks:{"tag:normalise":({tag:e})=>{e.key&&vi.has(e.tag)&&(e.props["data-hid"]=e._h=Xe(e.key))}}},wi={mode:"server",hooks:{"tags:beforeResolve":e=>{const t={};let n=!1;for(const s of e.tags)s._m!=="server"||s.tag!=="titleTemplate"&&s.tag!=="templateParams"&&s.tag!=="title"||(t[s.tag]=s.tag==="title"||s.tag==="titleTemplate"?s.textContent:s.props,n=!0);n&&e.tags.push({tag:"script",innerHTML:JSON.stringify(t),props:{id:"unhead:payload",type:"application/json"}})}}},bi={hooks:{"tags:resolve":e=>{var t;for(const n of e.tags)if(typeof n.tagPriority=="string")for(const{prefix:s,offset:r}of ni){if(!n.tagPriority.startsWith(s))continue;const o=n.tagPriority.substring(s.length),i=(t=e.tags.find(a=>a._d===o))==null?void 0:t._p;if(i!==void 0){n._p=i+r;break}}e.tags.sort((n,s)=>{const r=tn(n),o=tn(s);return r<o?-1:r>o?1:n._p-s._p})}}},Si={meta:"content",link:"href",htmlAttrs:"lang"},Mi=["innerHTML","textContent"],$i=e=>({hooks:{"tags:resolve":t=>{var i;const{tags:n}=t;let s;for(let a=0;a<n.length;a+=1)n[a].tag==="templateParams"&&(s=t.tags.splice(a,1)[0].props,a-=1);const r=s||{},o=r.separator||"|";delete r.separator,r.pageTitle=Ne(r.pageTitle||((i=n.find(a=>a.tag==="title"))==null?void 0:i.textContent)||"",r,o);for(const a of n){if(a.processTemplateParams===!1)continue;const l=Si[a.tag];if(l&&typeof a.props[l]=="string")a.props[l]=Ne(a.props[l],r,o);else if(a.processTemplateParams||a.tag==="titleTemplate"||a.tag==="title")for(const c of Mi)typeof a[c]=="string"&&(a[c]=Ne(a[c],r,o))}e._templateParams=r,e._separator=o},"tags:afterResolve":({tags:t})=>{let n;for(let s=0;s<t.length;s+=1){const r=t[s];r.tag==="title"&&r.processTemplateParams!==!1&&(n=r)}n!=null&&n.textContent&&(n.textContent=Ne(n.textContent,e._templateParams,e._separator))}}}),Ti={hooks:{"tags:resolve":e=>{const{tags:t}=e;let n,s;for(let r=0;r<t.length;r+=1){const o=t[r];o.tag==="title"?n=o:o.tag==="titleTemplate"&&(s=o)}if(s&&n){const r=ms(s.textContent,n.textContent);r!==null?n.textContent=r||n.textContent:e.tags.splice(e.tags.indexOf(n),1)}else if(s){const r=ms(s.textContent);r!==null&&(s.textContent=r,s.tag="title",s=void 0)}s&&e.tags.splice(e.tags.indexOf(s),1)}}},Oi={hooks:{"tags:afterResolve":e=>{for(const t of e.tags)typeof t.innerHTML=="string"&&(t.innerHTML&&(t.props.type==="application/ld+json"||t.props.type==="application/json")?t.innerHTML=t.innerHTML.replace(/</g,"\\u003C"):t.innerHTML=t.innerHTML.replace(new RegExp(`</${t.tag}`,"g"),`<\\/${t.tag}`))}}};let Nr;function Li(e={}){const t=Pi(e);return t.use(li()),Nr=t}function ys(e,t){return!e||e==="server"&&t||e==="client"&&!t}function Pi(e={}){const t=pi();t.addHooks(e.hooks||{}),e.document=e.document||(Zo?document:void 0);const n=!e.document,s=()=>{a.dirty=!0,t.callHook("entries:updated",a)};let r=0,o=[];const i=[],a={plugins:i,dirty:!1,resolvedOptions:e,hooks:t,headEntries(){return o},use(l){const c=typeof l=="function"?l(a):l;(!c.key||!i.some(u=>u.key===c.key))&&(i.push(c),ys(c.mode,n)&&t.addHooks(c.hooks||{}))},push(l,c){c==null||delete c.head;const u={_i:r++,input:l,...c};return ys(u.mode,n)&&(o.push(u),s()),{dispose(){o=o.filter(h=>h._i!==u._i),s()},patch(h){for(const d of o)d._i===u._i&&(d.input=u.input=h);s()}}},async resolveTags(){const l={tags:[],entries:[...o]};await t.callHook("entries:resolve",l);for(const c of l.entries){const u=c.resolvedInput||c.input;if(c.resolvedInput=await(c.transform?c.transform(u):u),c.resolvedInput)for(const h of await ei(c)){const d={tag:h,entry:c,resolvedOptions:a.resolvedOptions};await t.callHook("tag:normalise",d),l.tags.push(d.tag)}}return await t.callHook("tags:beforeResolve",l),await t.callHook("tags:resolve",l),await t.callHook("tags:afterResolve",l),l.tags},ssr:n};return[mi,wi,_i,ki,bi,$i,Ti,Oi,...(e==null?void 0:e.plugins)||[]].forEach(l=>a.use(l)),a.hooks.callHook("init",a),a}function Ei(){return Nr}const Ai=go[0]==="3";function Di(e){return typeof e=="function"?e():Kn(e)}function en(e){if(e instanceof Promise||e instanceof Date||e instanceof RegExp)return e;const t=Di(e);if(!e||!t)return t;if(Array.isArray(t))return t.map(n=>en(n));if(typeof t=="object"){const n={};for(const s in t)if(Object.prototype.hasOwnProperty.call(t,s)){if(s==="titleTemplate"||s[0]==="o"&&s[1]==="n"){n[s]=Kn(t[s]);continue}n[s]=en(t[s])}return n}return t}const Ci={hooks:{"entries:resolve":e=>{for(const t of e.entries)t.resolvedInput=en(t.input)}}},xr="usehead";function Ii(e){return{install(n){Ai&&(n.config.globalProperties.$unhead=e,n.config.globalProperties.$head=e,n.provide(xr,e))}}.install}function Ri(e={}){e.domDelayFn=e.domDelayFn||(n=>Mr(()=>setTimeout(()=>n(),0)));const t=Li(e);return t.use(Ci),t.install=Ii(t),t}const _s=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global<"u"?global:typeof self<"u"?self:{},vs="__unhead_injection_handler__";function Hi(){if(vs in _s)return _s[vs]();const e=$r(xr);return e||Ei()}function Ni(e,t={}){const n=t.head||Hi();if(n)return n.ssr?n.push(e,t):xi(n,e,t)}function xi(e,t,n={}){const s=A(!1),r=A({});ve(()=>{r.value=s.value?{}:en(t)});const o=e.push(r.value,n);return st(r,a=>{o.patch(a)}),Tr()&&(mo(()=>{o.dispose()}),yo(()=>{s.value=!0}),_o(()=>{s.value=!1})),o}function Hn(e){if(e===!1||e==="false")return null;if(e==null||e===!0||e==="true")return"+1";if(typeof e=="string"&&"+-".includes(e[0]))return e;const t=+e;return Number.isNaN(t)?(console.error(`Invalid "at" prop value: ${e}`),null):t}function ji(e){return Array.isArray(e)?[Hn(e[0]),Hn(e[1])]:null}function jr(e,t=0,n){const s=A(!1);let r=new Map,o=new Map;const i={get current(){return Dn(+e.value,t,i.total)},set current(a){e.value=s.value?Dn(a,t,i.total):a},clicksStart:t,get relativeSizeMap(){return r},get maxMap(){return o},get isMounted(){return s.value},setup(){Or(()=>{s.value=!0,o=O(o),i.current=e.value}),vo(()=>{s.value=!1,r=new Map,o=new Map})},calculateSince(a,l=1){const c=Hn(a);if(c==null)return null;let u,h,d;if(typeof c=="string"){const f=i.currentOffset,p=+c;u=f+p,h=f+p+l-1,d=p+l-1}else u=c,h=c+l-1,d=0;return{start:u,end:+Number.POSITIVE_INFINITY,max:h,delta:d,currentOffset:b(()=>i.current-u),isCurrent:b(()=>i.current===u),isActive:b(()=>i.current>=u)}},calculateRange(a){const l=ji(a);if(l==null)return null;const[c,u]=l;let h,d,f;return typeof c=="string"?(h=i.currentOffset+ +c,f=+c):(h=c,f=0),typeof u=="string"?(d=h+ +u,f+=+u):d=u,{start:h,end:d,max:d,delta:f,currentOffset:b(()=>i.current-h),isCurrent:b(()=>i.current===h),isActive:b(()=>h<=i.current&&i.current<d)}},calculate(a){return Array.isArray(a)?i.calculateRange(a):i.calculateSince(a)},register(a,l){if(!l)return;const{delta:c,max:u}=l;r.set(a,c),o.set(a,u)},unregister(a){r.delete(a),o.delete(a)},get currentOffset(){return Bo(...r.values())},get total(){return n??(s.value?Math.max(0,...o.values()):0)}};return i}function Vi(e,t=0){var s,r;const n=((s=e==null?void 0:e.meta.slide)==null?void 0:s.frontmatter.clicksStart)??0;return jr(A(Math.max(t,n)),n,(r=e==null?void 0:e.meta)==null?void 0:r.clicks)}const Fi=(e,t)=>{const n=e.__vccOpts||e;for(const[s,r]of t)n[s]=r;return n},Bi={},zi={class:"px-4 py-10 text-center text-red-700 dark:text-red-500 font-bold font-mono"};function Wi(e,t){return Ye(),An("div",zi," An error occurred on this slide. Check the terminal for more information. ")}const L=Fi(Bi,[["render",Wi]]),qi={class:"h-full w-full flex items-center justify-center gap-2 slidev-slide-loading"},Ui=Lr({__name:"SlideLoading",setup(e){const t=A(!1);return Or(()=>{setTimeout(()=>{t.value=!0},200)}),(n,s)=>(Ye(),An("div",qi,[t.value?(Ye(),An(ko,{key:0},[s[0]||(s[0]=fs("div",{class:"i-svg-spinners-90-ring-with-bg text-xl"},null,-1)),s[1]||(s[1]=fs("div",null,"Loading slide...",-1))],64)):wo("v-if",!0)]))}}),Ji={canvasWidth:750,title:"Really Functional Data Structures",author:"Marvin Borner",colorSchema:"light",class:"text-center",transition:"instant",mdc:!0},pt=M(Ji),Ki=O({get layout(){return pt.layout},get transition(){return pt.transition},get class(){return pt.class},get clicks(){return pt.clicks},get name(){return pt.name},get preload(){return pt.preload},slide:{content:"",revision:"y1oq2m",frontmatterRaw:`#theme: seriph
+canvasWidth: 750
+title: Really Functional Data Structures
+author: Marvin Borner
+colorSchema: light
+class: text-center
+transition: instant
+mdc: true
+# take snapshot for each slide in the overview
+# overviewSnapshots: true
+`,note:"",title:"Really Functional Data Structures",index:0,noteHTML:`<p>Datenstrukturen nur aus Funktionen! Und wie man mit ihnen umgeht!
+Frage: Erfahrung mit Lambdakalkül und Datenstrukturen?
+Wieso, weshalb???</p>
+`,raw:"",frontmatter:pt,filepath:"",start:0,id:0,no:1},__clicksContext:null,__preloaded:!1}),Gi={},gt=M(Gi),Qi=O({get layout(){return gt.layout},get transition(){return gt.transition},get class(){return gt.class},get clicks(){return gt.clicks},get name(){return gt.name},get preload(){return gt.preload},slide:{content:"",revision:"z4cest",note:"",title:"Goal/Motivation",level:1,index:1,noteHTML:`<ul>
+<li>..</li>
+<li>übertragbar</li>
+<li>e.g. easily typable</li>
+<li>..</li>
+</ul>
+`,raw:"",frontmatter:gt,filepath:"",start:24,id:1,no:2},__clicksContext:null,__preloaded:!1}),Zi={},mt=M(Zi),Yi=O({get layout(){return mt.layout},get transition(){return mt.transition},get class(){return mt.class},get clicks(){return mt.clicks},get name(){return mt.name},get preload(){return mt.preload},slide:{content:"",revision:"-poagdv",note:"",title:"Anonymous Functions (Lambdas)",level:1,index:2,noteHTML:"",raw:"",frontmatter:mt,filepath:"",start:47,id:2,no:3},__clicksContext:null,__preloaded:!1}),Xi={},yt=M(Xi),ta=O({get layout(){return yt.layout},get transition(){return yt.transition},get class(){return yt.class},get clicks(){return yt.clicks},get name(){return yt.name},get preload(){return yt.preload},slide:{content:"",revision:"7wmrjs",note:"",title:"JavaScript Notation",level:2,index:3,noteHTML:"",raw:"",frontmatter:yt,filepath:"",start:55,id:3,no:4},__clicksContext:null,__preloaded:!1}),ea={},_t=M(ea),na=O({get layout(){return _t.layout},get transition(){return _t.transition},get class(){return _t.class},get clicks(){return _t.clicks},get name(){return _t.name},get preload(){return _t.preload},slide:{content:"",revision:"-apstuk",note:"",title:"Really Functional Data Structures",level:1,index:4,noteHTML:`<p>Wie Daten rein funktional darstellen?</p>
+`,raw:"",frontmatter:_t,filepath:"",start:71,id:4,no:5},__clicksContext:null,__preloaded:!1}),sa={layout:"cover"},vt=M(sa),ra=O({get layout(){return vt.layout},get transition(){return vt.transition},get class(){return vt.class},get clicks(){return vt.clicks},get name(){return vt.name},get preload(){return vt.preload},slide:{content:"",revision:"-reecyz",frontmatterRaw:`layout: cover
+`,note:"",title:"Common",level:1,index:5,noteHTML:"",raw:"",frontmatter:vt,filepath:"",start:87,id:5,no:6},__clicksContext:null,__preloaded:!1}),oa={},kt=M(oa),ia=O({get layout(){return kt.layout},get transition(){return kt.transition},get class(){return kt.class},get clicks(){return kt.clicks},get name(){return kt.name},get preload(){return kt.preload},slide:{content:"",revision:"-39nph2",note:"",title:"Boolean Logic",level:1,index:6,noteHTML:`<p>mit was einfachem starten :)</p>
+`,raw:"",frontmatter:kt,filepath:"",start:94,id:6,no:7},__clicksContext:null,__preloaded:!1}),aa={},wt=M(aa),la=O({get layout(){return wt.layout},get transition(){return wt.transition},get class(){return wt.class},get clicks(){return wt.clicks},get name(){return wt.name},get preload(){return wt.preload},slide:{content:"",revision:"y4vntn",note:"",title:"Church Booleans",level:2,index:7,noteHTML:"",raw:"",frontmatter:wt,filepath:"",start:105,id:7,no:8},__clicksContext:null,__preloaded:!1}),ca={},bt=M(ca),ua=O({get layout(){return bt.layout},get transition(){return bt.transition},get class(){return bt.class},get clicks(){return bt.clicks},get name(){return bt.name},get preload(){return bt.preload},slide:{content:"",revision:"3k7qfk",note:"",title:"Church Booleans",level:2,index:8,noteHTML:"",raw:"",frontmatter:bt,filepath:"",start:114,id:8,no:9},__clicksContext:null,__preloaded:!1}),fa={},St=M(fa),da=O({get layout(){return St.layout},get transition(){return St.transition},get class(){return St.class},get clicks(){return St.clicks},get name(){return St.name},get preload(){return St.preload},slide:{content:"",revision:"-6pnnw",note:"",title:"Example: Negation",level:2,index:9,noteHTML:"",raw:"",frontmatter:St,filepath:"",start:127,id:9,no:10},__clicksContext:null,__preloaded:!1}),ha={},Mt=M(ha),pa=O({get layout(){return Mt.layout},get transition(){return Mt.transition},get class(){return Mt.class},get clicks(){return Mt.clicks},get name(){return Mt.name},get preload(){return Mt.preload},slide:{content:"",revision:"g6a9b7",note:"",title:"Example: Negation",level:2,index:10,noteHTML:"",raw:"",frontmatter:Mt,filepath:"",start:145,id:10,no:11},__clicksContext:null,__preloaded:!1}),ga={},$t=M(ga),ma=O({get layout(){return $t.layout},get transition(){return $t.transition},get class(){return $t.class},get clicks(){return $t.clicks},get name(){return $t.name},get preload(){return $t.preload},slide:{content:"",revision:"654fg4",note:"",title:"Other Operators",level:2,index:11,noteHTML:"",raw:"",frontmatter:$t,filepath:"",start:159,id:11,no:12},__clicksContext:null,__preloaded:!1}),ya={},Tt=M(ya),_a=O({get layout(){return Tt.layout},get transition(){return Tt.transition},get class(){return Tt.class},get clicks(){return Tt.clicks},get name(){return Tt.name},get preload(){return Tt.preload},slide:{content:"",revision:"-m49hdm",note:"",title:"Church Pairs",level:1,index:12,noteHTML:"",raw:"",frontmatter:Tt,filepath:"",start:177,id:12,no:13},__clicksContext:null,__preloaded:!1}),va={},Ot=M(va),ka=O({get layout(){return Ot.layout},get transition(){return Ot.transition},get class(){return Ot.class},get clicks(){return Ot.clicks},get name(){return Ot.name},get preload(){return Ot.preload},slide:{content:"",revision:"n8h2px",note:"",title:"Construction/Selection",level:2,index:13,noteHTML:"",raw:"",frontmatter:Ot,filepath:"",start:191,id:13,no:14},__clicksContext:null,__preloaded:!1}),wa={layout:"cover"},Lt=M(wa),ba=O({get layout(){return Lt.layout},get transition(){return Lt.transition},get class(){return Lt.class},get clicks(){return Lt.clicks},get name(){return Lt.name},get preload(){return Lt.preload},slide:{content:"",revision:"-ujb79x",frontmatterRaw:`layout: cover
+`,note:"",title:"Lists",level:1,index:14,noteHTML:"",raw:"",frontmatter:Lt,filepath:"",start:206,id:14,no:15},__clicksContext:null,__preloaded:!1}),Sa={},Pt=M(Sa),Ma=O({get layout(){return Pt.layout},get transition(){return Pt.transition},get class(){return Pt.class},get clicks(){return Pt.clicks},get name(){return Pt.name},get preload(){return Pt.preload},slide:{content:"",revision:"rab7nr",note:"",title:"Church Lists",level:1,index:15,noteHTML:"",raw:"",frontmatter:Pt,filepath:"",start:213,id:15,no:16},__clicksContext:null,__preloaded:!1}),$a={},Et=M($a),Ta=O({get layout(){return Et.layout},get transition(){return Et.transition},get class(){return Et.class},get clicks(){return Et.clicks},get name(){return Et.name},get preload(){return Et.preload},slide:{content:"",revision:"6qdz58",note:"",title:"`isNil`?",level:2,index:16,noteHTML:"",raw:"",frontmatter:Et,filepath:"",start:226,id:16,no:17},__clicksContext:null,__preloaded:!1}),Oa={},At=M(Oa),La=O({get layout(){return At.layout},get transition(){return At.transition},get class(){return At.class},get clicks(){return At.clicks},get name(){return At.name},get preload(){return At.preload},slide:{content:"",revision:"-fwy7lj",note:"",title:"`isNil`?",level:2,index:17,noteHTML:"",raw:"",frontmatter:At,filepath:"",start:239,id:17,no:18},__clicksContext:null,__preloaded:!1}),Pa={},Dt=M(Pa),Ea=O({get layout(){return Dt.layout},get transition(){return Dt.transition},get class(){return Dt.class},get clicks(){return Dt.clicks},get name(){return Dt.name},get preload(){return Dt.preload},slide:{content:"",revision:"-lgbbfn",note:"",title:"Example: Iteration",level:2,index:18,noteHTML:"",raw:"",frontmatter:Dt,filepath:"",start:259,id:18,no:19},__clicksContext:null,__preloaded:!1}),Aa={},Ct=M(Aa),Da=O({get layout(){return Ct.layout},get transition(){return Ct.transition},get class(){return Ct.class},get clicks(){return Ct.clicks},get name(){return Ct.name},get preload(){return Ct.preload},slide:{content:"",revision:"dw9r51",note:"",title:"Other Lists",level:1,index:19,noteHTML:`<p>Parigot: Triviales append
+Scott: Kein wirklicher Sinn
+n-Tupel: Vorteile siehe später, length/pop schwierig/unmöglich</p>
+`,raw:"",frontmatter:Ct,filepath:"",start:274,id:19,no:20},__clicksContext:null,__preloaded:!1}),Ca={layout:"cover"},It=M(Ca),Ia=O({get layout(){return It.layout},get transition(){return It.transition},get class(){return It.class},get clicks(){return It.clicks},get name(){return It.name},get preload(){return It.preload},slide:{content:"",revision:"sle2qq",frontmatterRaw:`layout: cover
+`,note:"",title:"Numbers",level:1,index:20,noteHTML:"",raw:"",frontmatter:It,filepath:"",start:302,id:20,no:21},__clicksContext:null,__preloaded:!1}),Ra={},Rt=M(Ra),Ha=O({get layout(){return Rt.layout},get transition(){return Rt.transition},get class(){return Rt.class},get clicks(){return Rt.clicks},get name(){return Rt.name},get preload(){return Rt.preload},slide:{content:"",revision:"-hqjymg",note:"",title:"Church Numerals",level:1,index:21,noteHTML:"",raw:"",frontmatter:Rt,filepath:"",start:311,id:21,no:22},__clicksContext:null,__preloaded:!1}),Na={},Ht=M(Na),xa=O({get layout(){return Ht.layout},get transition(){return Ht.transition},get class(){return Ht.class},get clicks(){return Ht.clicks},get name(){return Ht.name},get preload(){return Ht.preload},slide:{content:"",revision:"-qrjc29",note:"",title:"Church Numerals",level:1,index:22,noteHTML:"",raw:"",frontmatter:Ht,filepath:"",start:317,id:22,no:23},__clicksContext:null,__preloaded:!1}),ja={},Nt=M(ja),Va=O({get layout(){return Nt.layout},get transition(){return Nt.transition},get class(){return Nt.class},get clicks(){return Nt.clicks},get name(){return Nt.name},get preload(){return Nt.preload},slide:{content:"",revision:"-y19krn",note:"",title:"Successor",level:2,index:23,noteHTML:"",raw:"",frontmatter:Nt,filepath:"",start:331,id:23,no:24},__clicksContext:null,__preloaded:!1}),Fa={},xt=M(Fa),Ba=O({get layout(){return xt.layout},get transition(){return xt.transition},get class(){return xt.class},get clicks(){return xt.clicks},get name(){return xt.name},get preload(){return xt.preload},slide:{content:"",revision:"bt53qb",note:"",title:"Successor",level:2,index:24,noteHTML:`<p>Predecessor really hard :(</p>
+`,raw:"",frontmatter:xt,filepath:"",start:338,id:24,no:25},__clicksContext:null,__preloaded:!1}),za={},jt=M(za),Wa=O({get layout(){return jt.layout},get transition(){return jt.transition},get class(){return jt.class},get clicks(){return jt.clicks},get name(){return jt.name},get preload(){return jt.preload},slide:{content:"",revision:"xfth3w",note:"",title:"`isZero`?",level:2,index:25,noteHTML:"",raw:"",frontmatter:jt,filepath:"",start:358,id:25,no:26},__clicksContext:null,__preloaded:!1}),qa={},Vt=M(qa),Ua=O({get layout(){return Vt.layout},get transition(){return Vt.transition},get class(){return Vt.class},get clicks(){return Vt.clicks},get name(){return Vt.name},get preload(){return Vt.preload},slide:{content:"",revision:"-zijg7o",note:"",title:"Other Numeral Systems",level:1,index:26,noteHTML:`<p>Scott: Trivial pred, add komplexer
+Parigot: pred/add beide in einem Schritt!</p>
+`,raw:"",frontmatter:Vt,filepath:"",start:373,id:26,no:27},__clicksContext:null,__preloaded:!1}),Ja={layout:"cover"},Ft=M(Ja),Ka=O({get layout(){return Ft.layout},get transition(){return Ft.transition},get class(){return Ft.class},get clicks(){return Ft.clicks},get name(){return Ft.name},get preload(){return Ft.preload},slide:{content:"",revision:"-umgg43",frontmatterRaw:`layout: cover
+`,note:"",title:"Algebraic Types",level:1,index:27,noteHTML:`<p>Wie man diese speichern kann
+Typen haben wir natürlich nicht</p>
+`,raw:"",frontmatter:Ft,filepath:"",start:402,id:27,no:28},__clicksContext:null,__preloaded:!1}),Ga={},Bt=M(Ga),Qa=O({get layout(){return Bt.layout},get transition(){return Bt.transition},get class(){return Bt.class},get clicks(){return Bt.clicks},get name(){return Bt.name},get preload(){return Bt.preload},slide:{content:"",revision:"ya60wp",note:"",title:"Products/Records",level:1,index:28,noteHTML:"",raw:"",frontmatter:Bt,filepath:"",start:414,id:28,no:29},__clicksContext:null,__preloaded:!1}),Za={},zt=M(Za),Ya=O({get layout(){return zt.layout},get transition(){return zt.transition},get class(){return zt.class},get clicks(){return zt.clicks},get name(){return zt.name},get preload(){return zt.preload},slide:{content:"",revision:"-yjzltn",note:"",title:"Products/Records",level:1,index:29,noteHTML:"",raw:"",frontmatter:zt,filepath:"",start:422,id:29,no:30},__clicksContext:null,__preloaded:!1}),Xa={},Wt=M(Xa),tl=O({get layout(){return Wt.layout},get transition(){return Wt.transition},get class(){return Wt.class},get clicks(){return Wt.clicks},get name(){return Wt.name},get preload(){return Wt.preload},slide:{content:"",revision:"-e1c4x1",note:"",title:"Sums/Unions",level:1,index:30,noteHTML:`<p>Selektion/etc. sehr ähnlich zu Produkttypen, nur mit <em>mehr</em> Selektoren!</p>
+`,raw:"",frontmatter:Wt,filepath:"",start:441,id:30,no:31},__clicksContext:null,__preloaded:!1}),el={},qt=M(el),nl=O({get layout(){return qt.layout},get transition(){return qt.transition},get class(){return qt.class},get clicks(){return qt.clicks},get name(){return qt.name},get preload(){return qt.preload},slide:{content:"",revision:"-15o9j",note:"",index:31,noteHTML:"",raw:"",frontmatter:qt,filepath:"",start:452,id:31,no:32},__clicksContext:null,__preloaded:!1}),sl={},Ut=M(sl),rl=O({get layout(){return Ut.layout},get transition(){return Ut.transition},get class(){return Ut.class},get clicks(){return Ut.clicks},get name(){return Ut.name},get preload(){return Ut.preload},slide:{content:"",revision:"czo187",note:"",index:32,noteHTML:"",raw:"",frontmatter:Ut,filepath:"",start:465,id:32,no:33},__clicksContext:null,__preloaded:!1}),ol={layout:"cover"},Jt=M(ol),il=O({get layout(){return Jt.layout},get transition(){return Jt.transition},get class(){return Jt.class},get clicks(){return Jt.clicks},get name(){return Jt.name},get preload(){return Jt.preload},slide:{content:"",revision:"2r9xnn",frontmatterRaw:`layout: cover
+`,note:"",title:"Trees",level:1,index:33,noteHTML:"",raw:"",frontmatter:Jt,filepath:"",start:483,id:33,no:34},__clicksContext:null,__preloaded:!1}),al={layout:"cover"},Kt=M(al),ll=O({get layout(){return Kt.layout},get transition(){return Kt.transition},get class(){return Kt.class},get clicks(){return Kt.clicks},get name(){return Kt.name},get preload(){return Kt.preload},slide:{content:"",revision:"-mnxr76",frontmatterRaw:`layout: cover
+`,note:"",title:"Monads",level:1,index:34,noteHTML:"",raw:"",frontmatter:Kt,filepath:"",start:496,id:34,no:35},__clicksContext:null,__preloaded:!1}),cl={},Gt=M(cl),ul=O({get layout(){return Gt.layout},get transition(){return Gt.transition},get class(){return Gt.class},get clicks(){return Gt.clicks},get name(){return Gt.name},get preload(){return Gt.preload},slide:{content:"",revision:"-ypjavo",note:"",title:"Maybe",level:1,index:35,noteHTML:`<p>Basically just a tagged union... boring</p>
+`,raw:"",frontmatter:Gt,filepath:"",start:503,id:35,no:36},__clicksContext:null,__preloaded:!1}),fl={},Qt=M(fl),dl=O({get layout(){return Qt.layout},get transition(){return Qt.transition},get class(){return Qt.class},get clicks(){return Qt.clicks},get name(){return Qt.name},get preload(){return Qt.preload},slide:{content:"",revision:"edjp4v",note:"",title:"Either",level:1,index:36,noteHTML:"",raw:"",frontmatter:Qt,filepath:"",start:529,id:36,no:37},__clicksContext:null,__preloaded:!1}),hl={layout:"cover"},Zt=M(hl),pl=O({get layout(){return Zt.layout},get transition(){return Zt.transition},get class(){return Zt.class},get clicks(){return Zt.clicks},get name(){return Zt.name},get preload(){return Zt.preload},slide:{content:"",revision:"-1su2n5",frontmatterRaw:`layout: cover
+`,note:"",title:"Meta",level:1,index:37,noteHTML:"",raw:"",frontmatter:Zt,filepath:"",start:550,id:37,no:38},__clicksContext:null,__preloaded:!1}),gl={},Yt=M(gl),ml=O({get layout(){return Yt.layout},get transition(){return Yt.transition},get class(){return Yt.class},get clicks(){return Yt.clicks},get name(){return Yt.name},get preload(){return Yt.preload},slide:{content:"",revision:"-53a9ws",note:"",title:"Mogensen-Scott",level:1,index:38,noteHTML:"",raw:"",frontmatter:Yt,filepath:"",start:559,id:38,no:39},__clicksContext:null,__preloaded:!1}),yl={},Xt=M(yl),_l=O({get layout(){return Xt.layout},get transition(){return Xt.transition},get class(){return Xt.class},get clicks(){return Xt.clicks},get name(){return Xt.name},get preload(){return Xt.preload},slide:{content:"",revision:"uycwls",note:"",title:"Meta-Circular Interpreter",level:2,index:39,noteHTML:"",raw:"",frontmatter:Xt,filepath:"",start:572,id:39,no:40},__clicksContext:null,__preloaded:!1}),vl={},te=M(vl),kl=O({get layout(){return te.layout},get transition(){return te.transition},get class(){return te.class},get clicks(){return te.clicks},get name(){return te.name},get preload(){return te.preload},slide:{content:"",revision:"-r2wqwd",note:"",title:"de Bruijn-Church",level:1,index:40,noteHTML:"",raw:"",frontmatter:te,filepath:"",start:591,id:40,no:41},__clicksContext:null,__preloaded:!1}),wl={},ee=M(wl),bl=O({get layout(){return ee.layout},get transition(){return ee.transition},get class(){return ee.class},get clicks(){return ee.clicks},get name(){return ee.name},get preload(){return ee.preload},slide:{content:"",revision:"hb4xwc",note:"",title:"194 bit self interpreter",level:2,index:41,noteHTML:`<p>Learning: minimale Datenstrukturen können zu minimalem Code führen!</p>
+`,raw:"",frontmatter:ee,filepath:"",start:604,id:41,no:42},__clicksContext:null,__preloaded:!1}),Sl={layout:"cover",class:"text-left"},ne=M(Sl),Ml=O({get layout(){return ne.layout},get transition(){return ne.transition},get class(){return ne.class},get clicks(){return ne.clicks},get name(){return ne.name},get preload(){return ne.preload},slide:{content:"",revision:"-77kqf",frontmatterRaw:`layout: cover
+class: text-left
+`,note:"",title:"Other Data?",level:1,index:42,noteHTML:"",raw:"",frontmatter:ne,filepath:"",start:653,id:42,no:43},__clicksContext:null,__preloaded:!1}),$l={layout:"cover"},se=M($l),Tl=O({get layout(){return se.layout},get transition(){return se.transition},get class(){return se.class},get clicks(){return se.clicks},get name(){return se.name},get preload(){return se.preload},slide:{content:"",revision:"-olg0bi",frontmatterRaw:`layout: cover
+`,note:"",title:"Images",level:1,index:43,noteHTML:"",raw:"",frontmatter:se,filepath:"",start:664,id:43,no:44},__clicksContext:null,__preloaded:!1}),Ol={},re=M(Ol),Ll=O({get layout(){return re.layout},get transition(){return re.transition},get class(){return re.class},get clicks(){return re.clicks},get name(){return re.name},get preload(){return re.preload},slide:{content:"",revision:"-g076pg",note:"",title:"Quad Trees",level:1,index:44,noteHTML:"",raw:"",frontmatter:re,filepath:"",start:671,id:44,no:45},__clicksContext:null,__preloaded:!1}),Pl={layout:"cover"},oe=M(Pl),El=O({get layout(){return oe.layout},get transition(){return oe.transition},get class(){return oe.class},get clicks(){return oe.clicks},get name(){return oe.name},get preload(){return oe.preload},slide:{content:"",revision:"-7p5k1y",frontmatterRaw:`layout: cover
+`,note:"",title:"Demo",level:1,index:45,noteHTML:"",raw:"",frontmatter:oe,filepath:"",start:685,id:45,no:46},__clicksContext:null,__preloaded:!1}),_=new Array(46),P=(e,t)=>bo({loader:t,delay:300,loadingComponent:Ui,errorComponent:L,onError:n=>console.error("Failed to load slide "+(e+1),n)}),ks=async()=>{try{return _[0]??(_[0]=await S(()=>import("./md-CvjSSd-j.js"),__vite__mapDeps([0,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},ws=async()=>{try{return _[1]??(_[1]=await S(()=>import("./md-Dq6iqmEs.js"),__vite__mapDeps([9,10,4,5,1,6,3,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},bs=async()=>{try{return _[2]??(_[2]=await S(()=>import("./md-OQJduEAz.js"),__vite__mapDeps([12,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ss=async()=>{try{return _[3]??(_[3]=await S(()=>import("./md-CePMrKWo.js"),__vite__mapDeps([13,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ms=async()=>{try{return _[4]??(_[4]=await S(()=>import("./md-Du6urbl_.js"),__vite__mapDeps([16,10,4,5,1,6,3,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},$s=async()=>{try{return _[5]??(_[5]=await S(()=>import("./md-D_pZLUDz.js"),__vite__mapDeps([17,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ts=async()=>{try{return _[6]??(_[6]=await S(()=>import("./md-DgnI8Bd4.js"),__vite__mapDeps([18,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Os=async()=>{try{return _[7]??(_[7]=await S(()=>import("./md-B2tNCsUg.js"),__vite__mapDeps([19,20,21,1,3,4,5,6,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ls=async()=>{try{return _[8]??(_[8]=await S(()=>import("./md-CLLTnEZ7.js"),__vite__mapDeps([22,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ps=async()=>{try{return _[9]??(_[9]=await S(()=>import("./md-DtHqeZS0.js"),__vite__mapDeps([23,10,4,5,1,6,3,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Es=async()=>{try{return _[10]??(_[10]=await S(()=>import("./md-DHlhFPM5.js"),__vite__mapDeps([24,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},As=async()=>{try{return _[11]??(_[11]=await S(()=>import("./md-DNuVt8V8.js"),__vite__mapDeps([25,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ds=async()=>{try{return _[12]??(_[12]=await S(()=>import("./md-CrFSu7wK.js"),__vite__mapDeps([26,10,4,5,1,6,3,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Cs=async()=>{try{return _[13]??(_[13]=await S(()=>import("./md-DdH2xtAn.js"),__vite__mapDeps([27,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Is=async()=>{try{return _[14]??(_[14]=await S(()=>import("./md-CoooLRyL.js"),__vite__mapDeps([28,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Rs=async()=>{try{return _[15]??(_[15]=await S(()=>import("./md-UMyVtbKA.js"),__vite__mapDeps([29,10,4,5,1,6,3,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Hs=async()=>{try{return _[16]??(_[16]=await S(()=>import("./md-BW7_HJTh.js"),__vite__mapDeps([30,20,21,1,3,4,5,6,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ns=async()=>{try{return _[17]??(_[17]=await S(()=>import("./md-DTXsVKV9.js"),__vite__mapDeps([31,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},xs=async()=>{try{return _[18]??(_[18]=await S(()=>import("./md-BCsvnU1G.js"),__vite__mapDeps([32,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},js=async()=>{try{return _[19]??(_[19]=await S(()=>import("./md-BlV4tDf7.js"),__vite__mapDeps([33,10,4,5,1,6,3,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Vs=async()=>{try{return _[20]??(_[20]=await S(()=>import("./md-CodFZ4QM.js"),__vite__mapDeps([34,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Fs=async()=>{try{return _[21]??(_[21]=await S(()=>import("./md-Esu_vpIB.js"),__vite__mapDeps([35,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Bs=async()=>{try{return _[22]??(_[22]=await S(()=>import("./md-BhMNIGO-.js"),__vite__mapDeps([36,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},zs=async()=>{try{return _[23]??(_[23]=await S(()=>import("./md-DBY66ZvP.js"),__vite__mapDeps([37,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ws=async()=>{try{return _[24]??(_[24]=await S(()=>import("./md-DuSHXnxV.js"),__vite__mapDeps([38,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},qs=async()=>{try{return _[25]??(_[25]=await S(()=>import("./md-1JH4n7HQ.js"),__vite__mapDeps([39,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Us=async()=>{try{return _[26]??(_[26]=await S(()=>import("./md-B3gM_aLi.js"),__vite__mapDeps([40,10,4,5,1,6,3,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Js=async()=>{try{return _[27]??(_[27]=await S(()=>import("./md-chp4UUUX.js"),__vite__mapDeps([41,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ks=async()=>{try{return _[28]??(_[28]=await S(()=>import("./md-Zw3N9h5o.js"),__vite__mapDeps([42,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Gs=async()=>{try{return _[29]??(_[29]=await S(()=>import("./md-DQ2E402P.js"),__vite__mapDeps([43,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Qs=async()=>{try{return _[30]??(_[30]=await S(()=>import("./md-P0Anrfeg.js"),__vite__mapDeps([44,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Zs=async()=>{try{return _[31]??(_[31]=await S(()=>import("./md-BTxTxIcV.js"),__vite__mapDeps([45,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Ys=async()=>{try{return _[32]??(_[32]=await S(()=>import("./md-DUAIk41M.js"),__vite__mapDeps([46,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Xs=async()=>{try{return _[33]??(_[33]=await S(()=>import("./md-BMx_EcJM.js"),__vite__mapDeps([47,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},tr=async()=>{try{return _[34]??(_[34]=await S(()=>import("./md-DMKKtLyU.js"),__vite__mapDeps([48,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},er=async()=>{try{return _[35]??(_[35]=await S(()=>import("./md-C_l7Kv4p.js"),__vite__mapDeps([49,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},nr=async()=>{try{return _[36]??(_[36]=await S(()=>import("./md-CKWXIRj9.js"),__vite__mapDeps([50,14,4,5,1,6,3,15,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},sr=async()=>{try{return _[37]??(_[37]=await S(()=>import("./md-CW4SE-QG.js"),__vite__mapDeps([51,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},rr=async()=>{try{return _[38]??(_[38]=await S(()=>import("./md-DyvObES2.js"),__vite__mapDeps([52,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},or=async()=>{try{return _[39]??(_[39]=await S(()=>import("./md-CnRKUBhD.js"),__vite__mapDeps([53,20,21,1,3,4,5,6,11,7,8])))}catch(e){return console.error("slide failed to load",e),L}},ir=async()=>{try{return _[40]??(_[40]=await S(()=>import("./md-B46whd7y.js"),__vite__mapDeps([54,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},ar=async()=>{try{return _[41]??(_[41]=await S(()=>import("./md-VAjAPvfg.js"),__vite__mapDeps([55,20,21,1,3,4,5,6,11,7,8,56])))}catch(e){return console.error("slide failed to load",e),L}},lr=async()=>{try{return _[42]??(_[42]=await S(()=>import("./md-9wuO6xgO.js"),__vite__mapDeps([57,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},cr=async()=>{try{return _[43]??(_[43]=await S(()=>import("./md-snUBHVES.js"),__vite__mapDeps([58,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},ur=async()=>{try{return _[44]??(_[44]=await S(()=>import("./md-5Bkjs5et.js"),__vite__mapDeps([59,1,11,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},fr=async()=>{try{return _[45]??(_[45]=await S(()=>import("./md-DWSVng2s.js"),__vite__mapDeps([60,1,2,3,4,5,6,7,8])))}catch(e){return console.error("slide failed to load",e),L}},Al=[{no:1,meta:Ki,load:ks,component:P(0,ks)},{no:2,meta:Qi,load:ws,component:P(1,ws)},{no:3,meta:Yi,load:bs,component:P(2,bs)},{no:4,meta:ta,load:Ss,component:P(3,Ss)},{no:5,meta:na,load:Ms,component:P(4,Ms)},{no:6,meta:ra,load:$s,component:P(5,$s)},{no:7,meta:ia,load:Ts,component:P(6,Ts)},{no:8,meta:la,load:Os,component:P(7,Os)},{no:9,meta:ua,load:Ls,component:P(8,Ls)},{no:10,meta:da,load:Ps,component:P(9,Ps)},{no:11,meta:pa,load:Es,component:P(10,Es)},{no:12,meta:ma,load:As,component:P(11,As)},{no:13,meta:_a,load:Ds,component:P(12,Ds)},{no:14,meta:ka,load:Cs,component:P(13,Cs)},{no:15,meta:ba,load:Is,component:P(14,Is)},{no:16,meta:Ma,load:Rs,component:P(15,Rs)},{no:17,meta:Ta,load:Hs,component:P(16,Hs)},{no:18,meta:La,load:Ns,component:P(17,Ns)},{no:19,meta:Ea,load:xs,component:P(18,xs)},{no:20,meta:Da,load:js,component:P(19,js)},{no:21,meta:Ia,load:Vs,component:P(20,Vs)},{no:22,meta:Ha,load:Fs,component:P(21,Fs)},{no:23,meta:xa,load:Bs,component:P(22,Bs)},{no:24,meta:Va,load:zs,component:P(23,zs)},{no:25,meta:Ba,load:Ws,component:P(24,Ws)},{no:26,meta:Wa,load:qs,component:P(25,qs)},{no:27,meta:Ua,load:Us,component:P(26,Us)},{no:28,meta:Ka,load:Js,component:P(27,Js)},{no:29,meta:Qa,load:Ks,component:P(28,Ks)},{no:30,meta:Ya,load:Gs,component:P(29,Gs)},{no:31,meta:tl,load:Qs,component:P(30,Qs)},{no:32,meta:nl,load:Zs,component:P(31,Zs)},{no:33,meta:rl,load:Ys,component:P(32,Ys)},{no:34,meta:il,load:Xs,component:P(33,Xs)},{no:35,meta:ll,load:tr,component:P(34,tr)},{no:36,meta:ul,load:er,component:P(35,er)},{no:37,meta:dl,load:nr,component:P(36,nr)},{no:38,meta:pl,load:sr,component:P(37,sr)},{no:39,meta:ml,load:rr,component:P(38,rr)},{no:40,meta:_l,load:or,component:P(39,or)},{no:41,meta:kl,load:ir,component:P(40,ir)},{no:42,meta:bl,load:ar,component:P(41,ar)},{no:43,meta:Ml,load:lr,component:P(42,lr)},{no:44,meta:Tl,load:cr,component:P(43,cr)},{no:45,meta:Ll,load:ur,component:P(44,ur)},{no:46,meta:El,load:fr,component:P(45,fr)}],at=Gn(Al),dn="$$slidev-clicks-context",Zn="$$slidev-page",Vr="$$slidev-slide-element",Dl="$$slidev-slide-scale",Cl="$$slidev-context",Du="$$slidev-route",Yn="$$slidev-render-context",Il="$$slidev-fontmatter",Rl="$$slidev-slide-zoom",qe="slidev-vclick-target",$e="slidev-vclick-hidden",Te="slidev-vclick-fade",wn="slidev-vclick-hidden-explicitly",bn="slidev-vclick-current",Sn="slidev-vclick-prior",Ue=999999,Hl=["localhost","127.0.0.1"],Nl=["clicks","clicksStart","disabled","hide","hideInToc","layout","level","preload","routeAlias","src","title","transition","zoom","dragPos"],Cu=[...Nl,"theme","titleTemplate","info","author","keywords","presenter","download","exportFilename","export","highlighter","lineNumbers","monaco","monacoTypesSource","monacoTypesAdditionalPackages","monacoRunAdditionalDeps","remoteAssets","selectable","record","colorSchema","routerMode","aspectRatio","canvasWidth","themeConfig","favicon","plantUmlServer","fonts","defaults","drawings","htmlAttrs","mdc","contextMenu","wakeLock","overviewSnapshots"],Xn=A(!1);function xl(e,t,{mode:n="replace"}={}){const s=fn();return b({get(){const r=s.currentRoute.value.query[e];return r==null?t:Array.isArray(r)?r.filter(Boolean):r},set(r){Mr(()=>{(s.currentRoute.value.query[e]??(t==null?void 0:t.toString()))!==r.toString()&&s[Kn(n)]({query:{...s.currentRoute.value.query,[e]:`${r}`===t?void 0:r}})})}})}function hn(e){return at.value.find(t=>{var n;return t.no===+e||((n=t.meta.slide)==null?void 0:n.frontmatter.routeAlias)===e})}function ge(e,t){var s;(typeof e=="number"||typeof e=="string")&&(e=hn(e));const n=((s=e.meta.slide)==null?void 0:s.frontmatter.routeAlias)??e.no;return t?`/presenter/${n}`:`/${n}`}const jl={"slide-left":"slide-left | slide-right","slide-right":"slide-right | slide-left","slide-up":"slide-up | slide-down","slide-down":"slide-down | slide-up"};function Vl(e,t=!1){if(!e||(typeof e=="string"&&(e={name:e}),!e.name))return;let n=e.name.includes("|")?e.name:jl[e.name]||e.name;if(n.includes("|")){const[s,r]=n.split("|").map(o=>o.trim());n=t?r:s}if(n)return{...e,name:n}}function Fl(e,t,n){var r,o;let s=e>0?(r=n==null?void 0:n.meta)==null?void 0:r.transition:(o=t==null?void 0:t.meta)==null?void 0:o.transition;return s||(s=U.transition||void 0),Vl(s,e<0)}function Fr(e,t,n=1){var r,o,i,a,l,c,u;const s=t.meta.slide.level??n;s&&s>n&&e.length>0?Fr(e[e.length-1].children,t,n+1):e.push({no:t.no,children:[],level:n,titleLevel:s,path:ge(((o=(r=t.meta.slide)==null?void 0:r.frontmatter)==null?void 0:o.routeAlias)??t.no,!1),hideInToc:!!((l=(a=(i=t.meta)==null?void 0:i.slide)==null?void 0:a.frontmatter)!=null&&l.hideInToc),title:(u=(c=t.meta)==null?void 0:c.slide)==null?void 0:u.title})}function Br(e,t,n=!1,s,r){return e.map(o=>{const i={...o,active:o.no===(r==null?void 0:r.value),hasActiveParent:n};return i.children.length>0&&(i.children=Br(i.children,t,i.active||i.hasActiveParent,i,r)),s&&(i.active||i.activeParent)&&(s.activeParent=!0),i})}function zr(e,t=1){return e.filter(n=>!n.hideInToc).map(n=>({...n,children:zr(n.children,t+1)}))}function Bl(e,t,n){const s=b(()=>e.value.filter(o=>{var i,a;return(a=(i=o.meta)==null?void 0:i.slide)==null?void 0:a.title}).reduce((o,i)=>(Fr(o,i),o),[])),r=b(()=>Br(s.value,n.value,void 0,void 0,t));return b(()=>zr(r.value))}function zl(e,t,n=A(0),s,r,o){const i=b(()=>at.value.length),a=A(0),l=A(0),c=b(()=>ge(e.value,s.value)),u=b(()=>e.value.no),h=b(()=>{var I;return((I=e.value.meta)==null?void 0:I.layout)||(u.value===1?"cover":"default")}),d=b(()=>t.value.current),f=b(()=>t.value.clicksStart),p=b(()=>t.value.total),g=b(()=>at.value[Math.min(at.value.length,u.value+1)-1]),y=b(()=>at.value[Math.max(1,u.value-1)-1]),m=b(()=>u.value<at.value.length||d.value<p.value),v=b(()=>u.value>1||d.value>0),k=b(()=>Fl(a.value,e.value,y.value));st(e,(I,F)=>{a.value=I.no-F.no});async function w(I){return!1}const E=Bl(at,u,e);async function $(){l.value=1,p.value<=n.value?await R():n.value+=1}async function D(){l.value=-1,n.value<=f.value?await x(!0):n.value-=1}async function R(I=!1){l.value=1,u.value<at.value.length&&await C(u.value+1,I&&!r.value?Ue:void 0)}async function x(I=!1){l.value=-1,u.value>1&&await C(u.value-1,I&&!r.value?Ue:void 0)}function J(){return C(1)}function z(){return C(i.value)}async function C(I,F=0,it=!1){var ht,Se,Me;Xn.value=!1;const be=u.value!==I,et=F!==n.value,Y=(ht=hn(I))==null?void 0:ht.meta,j=((Se=Y==null?void 0:Y.slide)==null?void 0:Se.frontmatter.clicksStart)??0;F=Dn(F,j,((Me=Y==null?void 0:Y.__clicksContext)==null?void 0:Me.total)??Ue),(it||be||et)&&await(o==null?void 0:o.push({path:ge(I,s.value),query:{...o.currentRoute.value.query,clicks:F===0?void 0:F.toString(),embedded:location.search.includes("embedded")?"true":void 0}}))}function H(){o==null||o.push({path:ge(u.value,!0),query:{...o.currentRoute.value.query}})}function W(){o==null||o.push({path:ge(u.value,!1),query:{...o.currentRoute.value.query}})}return{slides:at,total:i,currentPath:c,currentSlideNo:u,currentPage:u,currentSlideRoute:e,currentLayout:h,currentTransition:k,clicksDirection:l,nextRoute:g,prevRoute:y,clicksContext:t,clicks:d,clicksStart:f,clicksTotal:p,hasNext:m,hasPrev:v,tocTree:E,navDirection:a,openInEditor:w,next:$,prev:D,go:C,goLast:z,goFirst:J,nextSlide:R,prevSlide:x,enterPresenter:H,exitPresenter:W}}const Wl=Pr(()=>{const e=fn(),t=b(()=>e.currentRoute.value),n=b(()=>(e.currentRoute.value.query,new URLSearchParams(location.search))),s=b(()=>n.value.has("print")),r=b(()=>n.value.get("print")==="clicks"),o=b(()=>n.value.has("embedded")),i=b(()=>t.value.name==="play"),a=b(()=>t.value.name==="presenter"),l=b(()=>t.value.name==="notes"),c=b(()=>!a.value&&(!U.remote||n.value.get("password")===U.remote)),u=So(i,a),h=b(()=>{var m;return u.value?((m=hn(t.value.params.no))==null?void 0:m.no)??1:1}),d=b(()=>at.value[h.value-1]),f=xl("clicks","0"),p=b(()=>y(d.value)),g=b({get(){let m=+(f.value||0);return Number.isNaN(m)&&(m=0),m},set(m){Xn.value=!1,f.value=m.toString()}});function y(m){var w,E;if((w=m==null?void 0:m.meta)!=null&&w.__clicksContext)return m.meta.__clicksContext;const v=m.no,k=jr(b({get(){return h.value===v?Math.max(+(f.value??0),k.clicksStart):h.value>v?Ue:k.clicksStart},set($){h.value===v&&(f.value=$.toString())}}),((E=m==null?void 0:m.meta.slide)==null?void 0:E.frontmatter.clicksStart)??0,m==null?void 0:m.meta.clicks);return m!=null&&m.meta&&(m.meta.__clicksContext=k),k}return{router:e,currentRoute:t,isPrintMode:s,isPrintWithClicks:r,isEmbedded:o,isPlaying:i,isPresenter:a,isNotesViewer:l,isPresenterAvailable:c,hasPrimarySlide:u,currentSlideNo:h,currentSlideRoute:d,clicksContext:p,queryClicksRaw:f,queryClicks:g,getPrimaryClicks:y}}),Nn=Pr(()=>{const e=Wl(),t=fn(),n=zl(e.currentSlideRoute,e.clicksContext,e.queryClicks,e.isPresenter,e.isPrintMode,t);return st([n.total,e.currentRoute],async()=>{const s=e.currentRoute.value.params.no;e.hasPrimarySlide.value&&!hn(s)&&(s&&s!=="index.html"?await n.go(n.total.value,0,!0):await n.go(1,0,!0))},{flush:"pre",immediate:!0}),{...n,...e}});function ql(e,t){if(!t||t==="all"||t==="*")return ds(1,e+1);if(t==="none")return[];const n=[];for(const s of t.split(/[,;]/g))if(!s.includes("-"))n.push(+s);else{const[r,o]=s.split("-",2);n.push(...ds(+r,o?+o+1:e+1))}return zo(n).filter(s=>s<=e).sort((s,r)=>s-r)}const ts=Symbol.for("yaml.alias"),Ul=Symbol.for("yaml.document"),ue=Symbol.for("yaml.map"),Wr=Symbol.for("yaml.pair"),es=Symbol.for("yaml.scalar"),Re=Symbol.for("yaml.seq"),lt=Symbol.for("yaml.node.type"),we=e=>!!e&&typeof e=="object"&&e[lt]===ts,pn=e=>!!e&&typeof e=="object"&&e[lt]===Ul,qr=e=>!!e&&typeof e=="object"&&e[lt]===ue,B=e=>!!e&&typeof e=="object"&&e[lt]===Wr,V=e=>!!e&&typeof e=="object"&&e[lt]===es,ns=e=>!!e&&typeof e=="object"&&e[lt]===Re;function Z(e){if(e&&typeof e=="object")switch(e[lt]){case ue:case Re:return!0}return!1}function q(e){if(e&&typeof e=="object")switch(e[lt]){case ts:case ue:case es:case Re:return!0}return!1}const Jl=e=>(V(e)||Z(e))&&!!e.anchor,ae=Symbol("break visit"),Kl=Symbol("skip children"),Ee=Symbol("remove node");function Ce(e,t){const n=Gl(t);pn(e)?me(null,e.contents,n,Object.freeze([e]))===Ee&&(e.contents=null):me(null,e,n,Object.freeze([]))}Ce.BREAK=ae;Ce.SKIP=Kl;Ce.REMOVE=Ee;function me(e,t,n,s){const r=Ql(e,t,n,s);if(q(r)||B(r))return Zl(e,s,r),me(e,r,n,s);if(typeof r!="symbol"){if(Z(t)){s=Object.freeze(s.concat(t));for(let o=0;o<t.items.length;++o){const i=me(o,t.items[o],n,s);if(typeof i=="number")o=i-1;else{if(i===ae)return ae;i===Ee&&(t.items.splice(o,1),o-=1)}}}else if(B(t)){s=Object.freeze(s.concat(t));const o=me("key",t.key,n,s);if(o===ae)return ae;o===Ee&&(t.key=null);const i=me("value",t.value,n,s);if(i===ae)return ae;i===Ee&&(t.value=null)}}return r}function Gl(e){return typeof e=="object"&&(e.Collection||e.Node||e.Value)?Object.assign({Alias:e.Node,Map:e.Node,Scalar:e.Node,Seq:e.Node},e.Value&&{Map:e.Value,Scalar:e.Value,Seq:e.Value},e.Collection&&{Map:e.Collection,Seq:e.Collection},e):e}function Ql(e,t,n,s){var r,o,i,a,l;if(typeof n=="function")return n(e,t,s);if(qr(t))return(r=n.Map)==null?void 0:r.call(n,e,t,s);if(ns(t))return(o=n.Seq)==null?void 0:o.call(n,e,t,s);if(B(t))return(i=n.Pair)==null?void 0:i.call(n,e,t,s);if(V(t))return(a=n.Scalar)==null?void 0:a.call(n,e,t,s);if(we(t))return(l=n.Alias)==null?void 0:l.call(n,e,t,s)}function Zl(e,t,n){const s=t[t.length-1];if(Z(s))s.items[e]=n;else if(B(s))e==="key"?s.key=n:s.value=n;else if(pn(s))s.contents=n;else{const r=we(s)?"alias":"scalar";throw new Error(`Cannot replace node with ${r} parent`)}}function Ur(e){if(/[\x00-\x19\s,[\]{}]/.test(e)){const n=`Anchor must not contain whitespace or control characters: ${JSON.stringify(e)}`;throw new Error(n)}return!0}function Pe(e,t,n,s){if(s&&typeof s=="object")if(Array.isArray(s))for(let r=0,o=s.length;r<o;++r){const i=s[r],a=Pe(e,s,String(r),i);a===void 0?delete s[r]:a!==i&&(s[r]=a)}else if(s instanceof Map)for(const r of Array.from(s.keys())){const o=s.get(r),i=Pe(e,s,r,o);i===void 0?s.delete(r):i!==o&&s.set(r,i)}else if(s instanceof Set)for(const r of Array.from(s)){const o=Pe(e,s,r,r);o===void 0?s.delete(r):o!==r&&(s.delete(r),s.add(o))}else for(const[r,o]of Object.entries(s)){const i=Pe(e,s,r,o);i===void 0?delete s[r]:i!==o&&(s[r]=i)}return e.call(t,n,s)}function rt(e,t,n){if(Array.isArray(e))return e.map((s,r)=>rt(s,String(r),n));if(e&&typeof e.toJSON=="function"){if(!n||!Jl(e))return e.toJSON(t,n);const s={aliasCount:0,count:1,res:void 0};n.anchors.set(e,s),n.onCreate=o=>{s.res=o,delete n.onCreate};const r=e.toJSON(t,n);return n.onCreate&&n.onCreate(r),r}return typeof e=="bigint"&&!(n!=null&&n.keep)?Number(e):e}class ss{constructor(t){Object.defineProperty(this,lt,{value:t})}clone(){const t=Object.create(Object.getPrototypeOf(this),Object.getOwnPropertyDescriptors(this));return this.range&&(t.range=this.range.slice()),t}toJS(t,{mapAsMap:n,maxAliasCount:s,onAnchor:r,reviver:o}={}){if(!pn(t))throw new TypeError("A document argument is required");const i={anchors:new Map,doc:t,keep:!0,mapAsMap:n===!0,mapKeyWarned:!1,maxAliasCount:typeof s=="number"?s:100},a=rt(this,"",i);if(typeof r=="function")for(const{count:l,res:c}of i.anchors.values())r(c,l);return typeof o=="function"?Pe(o,{"":a},"",a):a}}class Yl extends ss{constructor(t){super(ts),this.source=t,Object.defineProperty(this,"tag",{set(){throw new Error("Alias nodes cannot have tags")}})}resolve(t){let n;return Ce(t,{Node:(s,r)=>{if(r===this)return Ce.BREAK;r.anchor===this.source&&(n=r)}}),n}toJSON(t,n){if(!n)return{source:this.source};const{anchors:s,doc:r,maxAliasCount:o}=n,i=this.resolve(r);if(!i){const l=`Unresolved alias (the anchor must be set before the alias): ${this.source}`;throw new ReferenceError(l)}let a=s.get(i);if(a||(rt(i,null,n),a=s.get(i)),!a||a.res===void 0){const l="This should not happen: Alias anchor was not resolved?";throw new ReferenceError(l)}if(o>=0&&(a.count+=1,a.aliasCount===0&&(a.aliasCount=Je(r,i,s)),a.count*a.aliasCount>o)){const l="Excessive alias count indicates a resource exhaustion attack";throw new ReferenceError(l)}return a.res}toString(t,n,s){const r=`*${this.source}`;if(t){if(Ur(this.source),t.options.verifyAliasOrder&&!t.anchors.has(this.source)){const o=`Unresolved alias (the anchor must be set before the alias): ${this.source}`;throw new Error(o)}if(t.implicitKey)return`${r} `}return r}}function Je(e,t,n){if(we(t)){const s=t.resolve(e),r=n&&s&&n.get(s);return r?r.count*r.aliasCount:0}else if(Z(t)){let s=0;for(const r of t.items){const o=Je(e,r,n);o>s&&(s=o)}return s}else if(B(t)){const s=Je(e,t.key,n),r=Je(e,t.value,n);return Math.max(s,r)}return 1}const Jr=e=>!e||typeof e!="function"&&typeof e!="object";class N extends ss{constructor(t){super(es),this.value=t}toJSON(t,n){return n!=null&&n.keep?this.value:rt(this.value,t,n)}toString(){return String(this.value)}}N.BLOCK_FOLDED="BLOCK_FOLDED";N.BLOCK_LITERAL="BLOCK_LITERAL";N.PLAIN="PLAIN";N.QUOTE_DOUBLE="QUOTE_DOUBLE";N.QUOTE_SINGLE="QUOTE_SINGLE";function Xl(e,t,n){return n.find(s=>{var r;return((r=s.identify)==null?void 0:r.call(s,e))&&!s.format})}function nn(e,t,n){var h,d,f;if(pn(e)&&(e=e.contents),q(e))return e;if(B(e)){const p=(d=(h=n.schema[ue]).createNode)==null?void 0:d.call(h,n.schema,null,n);return p.items.push(e),p}(e instanceof String||e instanceof Number||e instanceof Boolean||typeof BigInt<"u"&&e instanceof BigInt)&&(e=e.valueOf());const{aliasDuplicateObjects:s,onAnchor:r,onTagObj:o,schema:i,sourceObjects:a}=n;let l;if(s&&e&&typeof e=="object"){if(l=a.get(e),l)return l.anchor||(l.anchor=r(e)),new Yl(l.anchor);l={anchor:null,node:null},a.set(e,l)}let c=Xl(e,t,i.tags);if(!c){if(e&&typeof e.toJSON=="function"&&(e=e.toJSON()),!e||typeof e!="object"){const p=new N(e);return l&&(l.node=p),p}c=e instanceof Map?i[ue]:Symbol.iterator in Object(e)?i[Re]:i[ue]}o&&(o(c),delete n.onTagObj);const u=c!=null&&c.createNode?c.createNode(n.schema,e,n):typeof((f=c==null?void 0:c.nodeClass)==null?void 0:f.from)=="function"?c.nodeClass.from(n.schema,e,n):new N(e);return c.default||(u.tag=c.tag),l&&(l.node=u),u}function dr(e,t,n){let s=n;for(let r=t.length-1;r>=0;--r){const o=t[r];if(typeof o=="number"&&Number.isInteger(o)&&o>=0){const i=[];i[o]=s,s=i}else s=new Map([[o,s]])}return nn(s,void 0,{aliasDuplicateObjects:!1,keepUndefined:!1,onAnchor:()=>{throw new Error("This should not happen, please report a bug.")},schema:e,sourceObjects:new Map})}const tc=e=>e==null||typeof e=="object"&&!!e[Symbol.iterator]().next().done;class Kr extends ss{constructor(t,n){super(t),Object.defineProperty(this,"schema",{value:n,configurable:!0,enumerable:!1,writable:!0})}clone(t){const n=Object.create(Object.getPrototypeOf(this),Object.getOwnPropertyDescriptors(this));return t&&(n.schema=t),n.items=n.items.map(s=>q(s)||B(s)?s.clone(t):s),this.range&&(n.range=this.range.slice()),n}addIn(t,n){if(tc(t))this.add(n);else{const[s,...r]=t,o=this.get(s,!0);if(Z(o))o.addIn(r,n);else if(o===void 0&&this.schema)this.set(s,dr(this.schema,r,n));else throw new Error(`Expected YAML collection at ${s}. Remaining path: ${r}`)}}deleteIn(t){const[n,...s]=t;if(s.length===0)return this.delete(n);const r=this.get(n,!0);if(Z(r))return r.deleteIn(s);throw new Error(`Expected YAML collection at ${n}. Remaining path: ${s}`)}getIn(t,n){const[s,...r]=t,o=this.get(s,!0);return r.length===0?!n&&V(o)?o.value:o:Z(o)?o.getIn(r,n):void 0}hasAllNullValues(t){return this.items.every(n=>{if(!B(n))return!1;const s=n.value;return s==null||t&&V(s)&&s.value==null&&!s.commentBefore&&!s.comment&&!s.tag})}hasIn(t){const[n,...s]=t;if(s.length===0)return this.has(n);const r=this.get(n,!0);return Z(r)?r.hasIn(s):!1}setIn(t,n){const[s,...r]=t;if(r.length===0)this.set(s,n);else{const o=this.get(s,!0);if(Z(o))o.setIn(r,n);else if(o===void 0&&this.schema)this.set(s,dr(this.schema,r,n));else throw new Error(`Expected YAML collection at ${s}. Remaining path: ${r}`)}}}const ec=e=>e.replace(/^(?!$)(?: $)?/gm,"#");function Ie(e,t){return/^\n+$/.test(e)?e.substring(1):t?e.replace(/^(?! *$)/gm,t):e}const ye=(e,t,n)=>e.endsWith(`
+`)?Ie(n,t):n.includes(`
+`)?`
+`+Ie(n,t):(e.endsWith(" ")?"":" ")+n,Gr="flow",xn="block",Ke="quoted";function gn(e,t,n="flow",{indentAtStart:s,lineWidth:r=80,minContentWidth:o=20,onFold:i,onOverflow:a}={}){if(!r||r<0)return e;r<o&&(o=0);const l=Math.max(1+o,1+r-t.length);if(e.length<=l)return e;const c=[],u={};let h=r-t.length;typeof s=="number"&&(s>r-Math.max(2,o)?c.push(0):h=r-s);let d,f,p=!1,g=-1,y=-1,m=-1;n===xn&&(g=hr(e,g,t.length),g!==-1&&(h=g+l));for(let k;k=e[g+=1];){if(n===Ke&&k==="\\"){switch(y=g,e[g+1]){case"x":g+=3;break;case"u":g+=5;break;case"U":g+=9;break;default:g+=1}m=g}if(k===`
+`)n===xn&&(g=hr(e,g,t.length)),h=g+t.length+l,d=void 0;else{if(k===" "&&f&&f!==" "&&f!==`
+`&&f!==" "){const w=e[g+1];w&&w!==" "&&w!==`
+`&&w!==" "&&(d=g)}if(g>=h)if(d)c.push(d),h=d+l,d=void 0;else if(n===Ke){for(;f===" "||f===" ";)f=k,k=e[g+=1],p=!0;const w=g>m+1?g-2:y-1;if(u[w])return e;c.push(w),u[w]=!0,h=w+l,d=void 0}else p=!0}f=k}if(p&&a&&a(),c.length===0)return e;i&&i();let v=e.slice(0,c[0]);for(let k=0;k<c.length;++k){const w=c[k],E=c[k+1]||e.length;w===0?v=`
+${t}${e.slice(0,E)}`:(n===Ke&&u[w]&&(v+=`${e[w]}\\`),v+=`
+${t}${e.slice(w+1,E)}`)}return v}function hr(e,t,n){let s=t,r=t+1,o=e[r];for(;o===" "||o===" ";)if(t<r+n)o=e[++t];else{do o=e[++t];while(o&&o!==`
+`);s=t,r=t+1,o=e[r]}return s}const mn=(e,t)=>({indentAtStart:t?e.indent.length:e.indentAtStart,lineWidth:e.options.lineWidth,minContentWidth:e.options.minContentWidth}),yn=e=>/^(%|---|\.\.\.)/m.test(e);function nc(e,t,n){if(!t||t<0)return!1;const s=t-n,r=e.length;if(r<=s)return!1;for(let o=0,i=0;o<r;++o)if(e[o]===`
+`){if(o-i>s)return!0;if(i=o+1,r-i<=s)return!1}return!0}function Ae(e,t){const n=JSON.stringify(e);if(t.options.doubleQuotedAsJSON)return n;const{implicitKey:s}=t,r=t.options.doubleQuotedMinMultiLineLength,o=t.indent||(yn(e)?" ":"");let i="",a=0;for(let l=0,c=n[l];c;c=n[++l])if(c===" "&&n[l+1]==="\\"&&n[l+2]==="n"&&(i+=n.slice(a,l)+"\\ ",l+=1,a=l,c="\\"),c==="\\")switch(n[l+1]){case"u":{i+=n.slice(a,l);const u=n.substr(l+2,4);switch(u){case"0000":i+="\\0";break;case"0007":i+="\\a";break;case"000b":i+="\\v";break;case"001b":i+="\\e";break;case"0085":i+="\\N";break;case"00a0":i+="\\_";break;case"2028":i+="\\L";break;case"2029":i+="\\P";break;default:u.substr(0,2)==="00"?i+="\\x"+u.substr(2):i+=n.substr(l,6)}l+=5,a=l+1}break;case"n":if(s||n[l+2]==='"'||n.length<r)l+=1;else{for(i+=n.slice(a,l)+`
+
+`;n[l+2]==="\\"&&n[l+3]==="n"&&n[l+4]!=='"';)i+=`
+`,l+=2;i+=o,n[l+2]===" "&&(i+="\\"),l+=1,a=l+1}break;default:l+=1}return i=a?i+n.slice(a):n,s?i:gn(i,o,Ke,mn(t,!1))}function jn(e,t){if(t.options.singleQuote===!1||t.implicitKey&&e.includes(`
+`)||/[ \t]\n|\n[ \t]/.test(e))return Ae(e,t);const n=t.indent||(yn(e)?" ":""),s="'"+e.replace(/'/g,"''").replace(/\n+/g,`$&
+${n}`)+"'";return t.implicitKey?s:gn(s,n,Gr,mn(t,!1))}function _e(e,t){const{singleQuote:n}=t.options;let s;if(n===!1)s=Ae;else{const r=e.includes('"'),o=e.includes("'");r&&!o?s=jn:o&&!r?s=Ae:s=n?jn:Ae}return s(e,t)}let Vn;try{Vn=new RegExp(`(^|(?<!
+))
++(?!
+|$)`,"g")}catch{Vn=/\n+(?!\n|$)/g}function Ge({comment:e,type:t,value:n},s,r,o){const{blockQuote:i,commentString:a,lineWidth:l}=s.options;if(!i||/\n[\t ]+$/.test(n)||/^\s*$/.test(n))return _e(n,s);const c=s.indent||(s.forceBlockIndent||yn(n)?" ":""),u=i==="literal"?!0:i==="folded"||t===N.BLOCK_FOLDED?!1:t===N.BLOCK_LITERAL?!0:!nc(n,l,c.length);if(!n)return u?`|
+`:`>
+`;let h,d;for(d=n.length;d>0;--d){const $=n[d-1];if($!==`
+`&&$!==" "&&$!==" ")break}let f=n.substring(d);const p=f.indexOf(`
+`);p===-1?h="-":n===f||p!==f.length-1?(h="+",o&&o()):h="",f&&(n=n.slice(0,-f.length),f[f.length-1]===`
+`&&(f=f.slice(0,-1)),f=f.replace(Vn,`$&${c}`));let g=!1,y,m=-1;for(y=0;y<n.length;++y){const $=n[y];if($===" ")g=!0;else if($===`
+`)m=y;else break}let v=n.substring(0,m<y?m+1:y);v&&(n=n.substring(v.length),v=v.replace(/\n+/g,`$&${c}`));let w=(u?"|":">")+(g?c?"2":"1":"")+h;if(e&&(w+=" "+a(e.replace(/ ?[\r\n]+/g," ")),r&&r()),u)return n=n.replace(/\n+/g,`$&${c}`),`${w}
+${c}${v}${n}${f}`;n=n.replace(/\n+/g,`
+$&`).replace(/(?:^|\n)([\t ].*)(?:([\n\t ]*)\n(?![\n\t ]))?/g,"$1$2").replace(/\n+/g,`$&${c}`);const E=gn(`${v}${n}${f}`,c,xn,mn(s,!0));return`${w}
+${c}${E}`}function sc(e,t,n,s){const{type:r,value:o}=e,{actualString:i,implicitKey:a,indent:l,indentStep:c,inFlow:u}=t;if(a&&o.includes(`
+`)||u&&/[[\]{},]/.test(o))return _e(o,t);if(!o||/^[\n\t ,[\]{}#&*!|>'"%@`]|^[?-]$|^[?-][ \t]|[\n:][ \t]|[ \t]\n|[\n\t ]#|[\n\t :]$/.test(o))return a||u||!o.includes(`
+`)?_e(o,t):Ge(e,t,n,s);if(!a&&!u&&r!==N.PLAIN&&o.includes(`
+`))return Ge(e,t,n,s);if(yn(o)){if(l==="")return t.forceBlockIndent=!0,Ge(e,t,n,s);if(a&&l===c)return _e(o,t)}const h=o.replace(/\n+/g,`$&
+${l}`);if(i){const d=g=>{var y;return g.default&&g.tag!=="tag:yaml.org,2002:str"&&((y=g.test)==null?void 0:y.test(h))},{compat:f,tags:p}=t.doc.schema;if(p.some(d)||f!=null&&f.some(d))return _e(o,t)}return a?h:gn(h,l,Gr,mn(t,!1))}function rc(e,t,n,s){const{implicitKey:r,inFlow:o}=t,i=typeof e.value=="string"?e:Object.assign({},e,{value:String(e.value)});let{type:a}=e;a!==N.QUOTE_DOUBLE&&/[\x00-\x08\x0b-\x1f\x7f-\x9f\u{D800}-\u{DFFF}]/u.test(i.value)&&(a=N.QUOTE_DOUBLE);const l=u=>{switch(u){case N.BLOCK_FOLDED:case N.BLOCK_LITERAL:return r||o?_e(i.value,t):Ge(i,t,n,s);case N.QUOTE_DOUBLE:return Ae(i.value,t);case N.QUOTE_SINGLE:return jn(i.value,t);case N.PLAIN:return sc(i,t,n,s);default:return null}};let c=l(a);if(c===null){const{defaultKeyType:u,defaultStringType:h}=t.options,d=r&&u||h;if(c=l(d),c===null)throw new Error(`Unsupported default string type ${d}`)}return c}function oc(e,t){const n=Object.assign({blockQuote:!0,commentString:ec,defaultKeyType:null,defaultStringType:"PLAIN",directives:null,doubleQuotedAsJSON:!1,doubleQuotedMinMultiLineLength:40,falseStr:"false",flowCollectionPadding:!0,indentSeq:!0,lineWidth:80,minContentWidth:20,nullStr:"null",simpleKeys:!1,singleQuote:null,trueStr:"true",verifyAliasOrder:!0},e.schema.toStringOptions,t);let s;switch(n.collectionStyle){case"block":s=!1;break;case"flow":s=!0;break;default:s=null}return{anchors:new Set,doc:e,flowCollectionPadding:n.flowCollectionPadding?" ":"",indent:"",indentStep:typeof n.indent=="number"?" ".repeat(n.indent):" ",inFlow:s,options:n}}function ic(e,t){var r;if(t.tag){const o=e.filter(i=>i.tag===t.tag);if(o.length>0)return o.find(i=>i.format===t.format)??o[0]}let n,s;if(V(t)){s=t.value;let o=e.filter(i=>{var a;return(a=i.identify)==null?void 0:a.call(i,s)});if(o.length>1){const i=o.filter(a=>a.test);i.length>0&&(o=i)}n=o.find(i=>i.format===t.format)??o.find(i=>!i.format)}else s=t,n=e.find(o=>o.nodeClass&&s instanceof o.nodeClass);if(!n){const o=((r=s==null?void 0:s.constructor)==null?void 0:r.name)??typeof s;throw new Error(`Tag not resolved for ${o} value`)}return n}function ac(e,t,{anchors:n,doc:s}){if(!s.directives)return"";const r=[],o=(V(e)||Z(e))&&e.anchor;o&&Ur(o)&&(n.add(o),r.push(`&${o}`));const i=e.tag?e.tag:t.default?null:t.tag;return i&&r.push(s.directives.tagString(i)),r.join(" ")}function sn(e,t,n,s){var l;if(B(e))return e.toString(t,n,s);if(we(e)){if(t.doc.directives)return e.toString(t);if((l=t.resolvedAliases)!=null&&l.has(e))throw new TypeError("Cannot stringify circular structure without alias nodes");t.resolvedAliases?t.resolvedAliases.add(e):t.resolvedAliases=new Set([e]),e=e.resolve(t.doc)}let r;const o=q(e)?e:t.doc.createNode(e,{onTagObj:c=>r=c});r||(r=ic(t.doc.schema.tags,o));const i=ac(o,r,t);i.length>0&&(t.indentAtStart=(t.indentAtStart??0)+i.length+1);const a=typeof r.stringify=="function"?r.stringify(o,t,n,s):V(o)?rc(o,t,n,s):o.toString(t,n,s);return i?V(o)||a[0]==="{"||a[0]==="["?`${i} ${a}`:`${i}
+${t.indent}${a}`:a}function lc({key:e,value:t},n,s,r){const{allNullValues:o,doc:i,indent:a,indentStep:l,options:{commentString:c,indentSeq:u,simpleKeys:h}}=n;let d=q(e)&&e.comment||null;if(h){if(d)throw new Error("With simple keys, key nodes cannot have comments");if(Z(e)||!q(e)&&typeof e=="object"){const D="With simple keys, collection cannot be used as a key value";throw new Error(D)}}let f=!h&&(!e||d&&t==null&&!n.inFlow||Z(e)||(V(e)?e.type===N.BLOCK_FOLDED||e.type===N.BLOCK_LITERAL:typeof e=="object"));n=Object.assign({},n,{allNullValues:!1,implicitKey:!f&&(h||!o),indent:a+l});let p=!1,g=!1,y=sn(e,n,()=>p=!0,()=>g=!0);if(!f&&!n.inFlow&&y.length>1024){if(h)throw new Error("With simple keys, single line scalar must not span more than 1024 characters");f=!0}if(n.inFlow){if(o||t==null)return p&&s&&s(),y===""?"?":f?`? ${y}`:y}else if(o&&!h||t==null&&f)return y=`? ${y}`,d&&!p?y+=ye(y,n.indent,c(d)):g&&r&&r(),y;p&&(d=null),f?(d&&(y+=ye(y,n.indent,c(d))),y=`? ${y}
+${a}:`):(y=`${y}:`,d&&(y+=ye(y,n.indent,c(d))));let m,v,k;q(t)?(m=!!t.spaceBefore,v=t.commentBefore,k=t.comment):(m=!1,v=null,k=null,t&&typeof t=="object"&&(t=i.createNode(t))),n.implicitKey=!1,!f&&!d&&V(t)&&(n.indentAtStart=y.length+1),g=!1,!u&&l.length>=2&&!n.inFlow&&!f&&ns(t)&&!t.flow&&!t.tag&&!t.anchor&&(n.indent=n.indent.substring(2));let w=!1;const E=sn(t,n,()=>w=!0,()=>g=!0);let $=" ";if(d||m||v){if($=m?`
+`:"",v){const D=c(v);$+=`
+${Ie(D,n.indent)}`}E===""&&!n.inFlow?$===`
+`&&($=`
+
+`):$+=`
+${n.indent}`}else if(!f&&Z(t)){const D=E[0],R=E.indexOf(`
+`),x=R!==-1,J=n.inFlow??t.flow??t.items.length===0;if(x||!J){let z=!1;if(x&&(D==="&"||D==="!")){let C=E.indexOf(" ");D==="&"&&C!==-1&&C<R&&E[C+1]==="!"&&(C=E.indexOf(" ",C+1)),(C===-1||R<C)&&(z=!0)}z||($=`
+${n.indent}`)}}else(E===""||E[0]===`
+`)&&($="");return y+=$+E,n.inFlow?w&&s&&s():k&&!w?y+=ye(y,n.indent,c(k)):g&&r&&r(),y}function cc(e,t){(e==="debug"||e==="warn")&&(typeof process<"u"&&process.emitWarning?process.emitWarning(t):console.warn(t))}const xe="<<",Mn={identify:e=>e===xe||typeof e=="symbol"&&e.description===xe,default:"key",tag:"tag:yaml.org,2002:merge",test:/^<<$/,resolve:()=>Object.assign(new N(Symbol(xe)),{addToJSMap:Qr}),stringify:()=>xe},uc=(e,t)=>(Mn.identify(t)||V(t)&&(!t.type||t.type===N.PLAIN)&&Mn.identify(t.value))&&(e==null?void 0:e.doc.schema.tags.some(n=>n.tag===Mn.tag&&n.default));function Qr(e,t,n){if(n=e&&we(n)?n.resolve(e.doc):n,ns(n))for(const s of n.items)$n(e,t,s);else if(Array.isArray(n))for(const s of n)$n(e,t,s);else $n(e,t,n)}function $n(e,t,n){const s=e&&we(n)?n.resolve(e.doc):n;if(!qr(s))throw new Error("Merge sources must be maps or map aliases");const r=s.toJSON(null,e,Map);for(const[o,i]of r)t instanceof Map?t.has(o)||t.set(o,i):t instanceof Set?t.add(o):Object.prototype.hasOwnProperty.call(t,o)||Object.defineProperty(t,o,{value:i,writable:!0,enumerable:!0,configurable:!0});return t}function Zr(e,t,{key:n,value:s}){if(q(n)&&n.addToJSMap)n.addToJSMap(e,t,s);else if(uc(e,n))Qr(e,t,s);else{const r=rt(n,"",e);if(t instanceof Map)t.set(r,rt(s,r,e));else if(t instanceof Set)t.add(r);else{const o=fc(n,r,e),i=rt(s,o,e);o in t?Object.defineProperty(t,o,{value:i,writable:!0,enumerable:!0,configurable:!0}):t[o]=i}}return t}function fc(e,t,n){if(t===null)return"";if(typeof t!="object")return String(t);if(q(e)&&(n!=null&&n.doc)){const s=oc(n.doc,{});s.anchors=new Set;for(const o of n.anchors.keys())s.anchors.add(o.anchor);s.inFlow=!0,s.inStringifyKey=!0;const r=e.toString(s);if(!n.mapKeyWarned){let o=JSON.stringify(r);o.length>40&&(o=o.substring(0,36)+'..."'),cc(n.doc.options.logLevel,`Keys with collection values will be stringified due to JS Object restrictions: ${o}. Set mapAsMap: true to use object keys.`),n.mapKeyWarned=!0}return r}return JSON.stringify(t)}function rs(e,t,n){const s=nn(e,void 0,n),r=nn(t,void 0,n);return new ct(s,r)}class ct{constructor(t,n=null){Object.defineProperty(this,lt,{value:Wr}),this.key=t,this.value=n}clone(t){let{key:n,value:s}=this;return q(n)&&(n=n.clone(t)),q(s)&&(s=s.clone(t)),new ct(n,s)}toJSON(t,n){const s=n!=null&&n.mapAsMap?new Map:{};return Zr(n,s,this)}toString(t,n,s){return t!=null&&t.doc?lc(this,t,n,s):JSON.stringify(this)}}function Yr(e,t,n){return(t.inFlow??e.flow?hc:dc)(e,t,n)}function dc({comment:e,items:t},n,{blockItemPrefix:s,flowChars:r,itemIndent:o,onChompKeep:i,onComment:a}){const{indent:l,options:{commentString:c}}=n,u=Object.assign({},n,{indent:o,type:null});let h=!1;const d=[];for(let p=0;p<t.length;++p){const g=t[p];let y=null;if(q(g))!h&&g.spaceBefore&&d.push(""),rn(n,d,g.commentBefore,h),g.comment&&(y=g.comment);else if(B(g)){const v=q(g.key)?g.key:null;v&&(!h&&v.spaceBefore&&d.push(""),rn(n,d,v.commentBefore,h))}h=!1;let m=sn(g,u,()=>y=null,()=>h=!0);y&&(m+=ye(m,o,c(y))),h&&y&&(h=!1),d.push(s+m)}let f;if(d.length===0)f=r.start+r.end;else{f=d[0];for(let p=1;p<d.length;++p){const g=d[p];f+=g?`
+${l}${g}`:`
+`}}return e?(f+=`
+`+Ie(c(e),l),a&&a()):h&&i&&i(),f}function hc({items:e},t,{flowChars:n,itemIndent:s}){const{indent:r,indentStep:o,flowCollectionPadding:i,options:{commentString:a}}=t;s+=o;const l=Object.assign({},t,{indent:s,inFlow:!0,type:null});let c=!1,u=0;const h=[];for(let p=0;p<e.length;++p){const g=e[p];let y=null;if(q(g))g.spaceBefore&&h.push(""),rn(t,h,g.commentBefore,!1),g.comment&&(y=g.comment);else if(B(g)){const v=q(g.key)?g.key:null;v&&(v.spaceBefore&&h.push(""),rn(t,h,v.commentBefore,!1),v.comment&&(c=!0));const k=q(g.value)?g.value:null;k?(k.comment&&(y=k.comment),k.commentBefore&&(c=!0)):g.value==null&&(v!=null&&v.comment)&&(y=v.comment)}y&&(c=!0);let m=sn(g,l,()=>y=null);p<e.length-1&&(m+=","),y&&(m+=ye(m,s,a(y))),!c&&(h.length>u||m.includes(`
+`))&&(c=!0),h.push(m),u=h.length}const{start:d,end:f}=n;if(h.length===0)return d+f;if(!c){const p=h.reduce((g,y)=>g+y.length+2,2);c=t.options.lineWidth>0&&p>t.options.lineWidth}if(c){let p=d;for(const g of h)p+=g?`
+${o}${r}${g}`:`
+`;return`${p}
+${r}${f}`}else return`${d}${i}${h.join(" ")}${i}${f}`}function rn({indent:e,options:{commentString:t}},n,s,r){if(s&&r&&(s=s.replace(/^\n+/,"")),s){const o=Ie(t(s),e);n.push(o.trimStart())}}function ce(e,t){const n=V(t)?t.value:t;for(const s of e)if(B(s)&&(s.key===t||s.key===n||V(s.key)&&s.key.value===n))return s}class he extends Kr{static get tagName(){return"tag:yaml.org,2002:map"}constructor(t){super(ue,t),this.items=[]}static from(t,n,s){const{keepUndefined:r,replacer:o}=s,i=new this(t),a=(l,c)=>{if(typeof o=="function")c=o.call(n,l,c);else if(Array.isArray(o)&&!o.includes(l))return;(c!==void 0||r)&&i.items.push(rs(l,c,s))};if(n instanceof Map)for(const[l,c]of n)a(l,c);else if(n&&typeof n=="object")for(const l of Object.keys(n))a(l,n[l]);return typeof t.sortMapEntries=="function"&&i.items.sort(t.sortMapEntries),i}add(t,n){var i;let s;B(t)?s=t:!t||typeof t!="object"||!("key"in t)?s=new ct(t,t==null?void 0:t.value):s=new ct(t.key,t.value);const r=ce(this.items,s.key),o=(i=this.schema)==null?void 0:i.sortMapEntries;if(r){if(!n)throw new Error(`Key ${s.key} already set`);V(r.value)&&Jr(s.value)?r.value.value=s.value:r.value=s.value}else if(o){const a=this.items.findIndex(l=>o(s,l)<0);a===-1?this.items.push(s):this.items.splice(a,0,s)}else this.items.push(s)}delete(t){const n=ce(this.items,t);return n?this.items.splice(this.items.indexOf(n),1).length>0:!1}get(t,n){const s=ce(this.items,t),r=s==null?void 0:s.value;return(!n&&V(r)?r.value:r)??void 0}has(t){return!!ce(this.items,t)}set(t,n){this.add(new ct(t,n),!0)}toJSON(t,n,s){const r=s?new s:n!=null&&n.mapAsMap?new Map:{};n!=null&&n.onCreate&&n.onCreate(r);for(const o of this.items)Zr(n,r,o);return r}toString(t,n,s){if(!t)return JSON.stringify(this);for(const r of this.items)if(!B(r))throw new Error(`Map items must all be pairs; found ${JSON.stringify(r)} instead`);return!t.allNullValues&&this.hasAllNullValues(!1)&&(t=Object.assign({},t,{allNullValues:!0})),Yr(this,t,{blockItemPrefix:"",flowChars:{start:"{",end:"}"},itemIndent:t.indent||"",onChompKeep:s,onComment:n})}}class Xr extends Kr{static get tagName(){return"tag:yaml.org,2002:seq"}constructor(t){super(Re,t),this.items=[]}add(t){this.items.push(t)}delete(t){const n=je(t);return typeof n!="number"?!1:this.items.splice(n,1).length>0}get(t,n){const s=je(t);if(typeof s!="number")return;const r=this.items[s];return!n&&V(r)?r.value:r}has(t){const n=je(t);return typeof n=="number"&&n<this.items.length}set(t,n){const s=je(t);if(typeof s!="number")throw new Error(`Expected a valid index, not ${t}.`);const r=this.items[s];V(r)&&Jr(n)?r.value=n:this.items[s]=n}toJSON(t,n){const s=[];n!=null&&n.onCreate&&n.onCreate(s);let r=0;for(const o of this.items)s.push(rt(o,String(r++),n));return s}toString(t,n,s){return t?Yr(this,t,{blockItemPrefix:"- ",flowChars:{start:"[",end:"]"},itemIndent:(t.indent||"")+" ",onChompKeep:s,onComment:n}):JSON.stringify(this)}static from(t,n,s){const{replacer:r}=s,o=new this(t);if(n&&Symbol.iterator in Object(n)){let i=0;for(let a of n){if(typeof r=="function"){const l=n instanceof Set?a:String(i++);a=r.call(n,l,a)}o.items.push(nn(a,void 0,s))}}return o}}function je(e){let t=V(e)?e.value:e;return t&&typeof t=="string"&&(t=Number(t)),typeof t=="number"&&Number.isInteger(t)&&t>=0?t:null}function pc(e,t,n){const{replacer:s}=n,r=new Xr(e);r.tag="tag:yaml.org,2002:pairs";let o=0;if(t&&Symbol.iterator in Object(t))for(let i of t){typeof s=="function"&&(i=s.call(t,String(o++),i));let a,l;if(Array.isArray(i))if(i.length===2)a=i[0],l=i[1];else throw new TypeError(`Expected [key, value] tuple: ${i}`);else if(i&&i instanceof Object){const c=Object.keys(i);if(c.length===1)a=c[0],l=i[a];else throw new TypeError(`Expected tuple with one key, not ${c.length} keys`)}else a=i;r.items.push(rs(a,l,n))}return r}class os extends Xr{constructor(){super(),this.add=he.prototype.add.bind(this),this.delete=he.prototype.delete.bind(this),this.get=he.prototype.get.bind(this),this.has=he.prototype.has.bind(this),this.set=he.prototype.set.bind(this),this.tag=os.tag}toJSON(t,n){if(!n)return super.toJSON(t);const s=new Map;n!=null&&n.onCreate&&n.onCreate(s);for(const r of this.items){let o,i;if(B(r)?(o=rt(r.key,"",n),i=rt(r.value,o,n)):o=rt(r,"",n),s.has(o))throw new Error("Ordered maps must not include duplicate keys");s.set(o,i)}return s}static from(t,n,s){const r=pc(t,n,s),o=new this;return o.items=r.items,o}}os.tag="tag:yaml.org,2002:omap";class is extends he{constructor(t){super(t),this.tag=is.tag}add(t){let n;B(t)?n=t:t&&typeof t=="object"&&"key"in t&&"value"in t&&t.value===null?n=new ct(t.key,null):n=new ct(t,null),ce(this.items,n.key)||this.items.push(n)}get(t,n){const s=ce(this.items,t);return!n&&B(s)?V(s.key)?s.key.value:s.key:s}set(t,n){if(typeof n!="boolean")throw new Error(`Expected boolean value for set(key, value) in a YAML set, not ${typeof n}`);const s=ce(this.items,t);s&&!n?this.items.splice(this.items.indexOf(s),1):!s&&n&&this.items.push(new ct(t))}toJSON(t,n){return super.toJSON(t,n,Set)}toString(t,n,s){if(!t)return JSON.stringify(this);if(this.hasAllNullValues(!0))return super.toString(Object.assign({},t,{allNullValues:!0}),n,s);throw new Error("Set items must all have null values")}static from(t,n,s){const{replacer:r}=s,o=new this(t);if(n&&Symbol.iterator in Object(n))for(let i of n)typeof r=="function"&&(i=r.call(n,i,i)),o.items.push(rs(i,null,s));return o}}is.tag="tag:yaml.org,2002:set";new Set("0123456789ABCDEFabcdef");new Set("0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz-#;/?:@&=+$_.!~*'()");new Set(",[]{}");new Set(` ,[]{}
+\r `);function Iu(){const e=A(Date.now()),t=Mo({interval:1e3}),n=b(()=>{const r=(t.value-e.value)/1e3,o=Math.floor(r%60).toString().padStart(2,"0");return`${Math.floor(r/60).toString().padStart(2,"0")}:${o}`});function s(){e.value=t.value}return{timer:n,resetTimer:s}}function as(e=5){const t=[],n="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz",s=n.length;for(let r=0;r<e;r++)t.push(n.charAt(Math.floor(Math.random()*s)));return t.join("")}function Ru(e,t,n,s){const r=ql(t+n-1,e);for(let o=0;o<t;o++){const i=s(o),a=r.includes(o+n);for(const l of i)l.classList.toggle("slidev-code-highlighted",a),l.classList.toggle("slidev-code-dishonored",!a),l.classList.toggle("highlighted",a),l.classList.toggle("dishonored",!a)}}function to(){return Math.random().toString(36).replace(/[^a-z]+/g,"").substr(2,10)}function tt(e,t,n){Object.defineProperty(e,t,{value:n,writable:!0,enumerable:!1})}const ft=M({});let gc=[],mc=[];tt(ft,"$syncUp",!0);tt(ft,"$syncDown",!0);tt(ft,"$paused",!1);tt(ft,"$onSet",e=>gc.push(e));tt(ft,"$onPatch",e=>mc.push(e));to();tt(ft,"$patch",async()=>!1);const yc={channels:[],enabled:!0,init(e,t,n,s=!1){let r;if(!s)r=new BroadcastChannel(e),r.addEventListener("message",o=>t(o.data)),this.channels.push(r);else if(s){this.listener=function(i){i&&i.key===e&&i.newValue&&t(JSON.parse(i.newValue))},window.addEventListener("storage",this.listener);const o=window.localStorage.getItem(e);o&&t(JSON.parse(o))}return(o,i=!1)=>{this.enabled&&(!s&&r&&!i&&r.postMessage(Er(o)),s&&!i&&window.localStorage.setItem(e,JSON.stringify(o)))}},disable(){this.enabled=!1,this.channels.forEach(e=>e.close()),this.listener&&window.removeEventListener("storage",this.listener)}},_c=M([yc]),vc=new Map,pr=A({});function eo(e,t,n=!1){const s=[];let r=!1,o=!1,i,a;const l=M(t);function c(f){s.push(f)}function u(f,p){l[f]!==p&&(clearTimeout(i),r=!0,l[f]=p,i=setTimeout(()=>r=!1,0))}function h(f){r||(clearTimeout(a),o=!0,Object.entries(f).forEach(([p,g])=>{l[p]=g}),a=setTimeout(()=>o=!1,0))}function d(f){vc.set(f,{onUpdate:h,persist:n,state:l}),pr.value[f]=_c.map(g=>g.init(f,h,l,n)).filter(g=>!!g);function p(){pr.value[f].forEach(g=>g==null?void 0:g(Er(l),o)),r||s.forEach(g=>g(l))}st(l,p,{deep:!0})}return{init:d,onPatch:c,onUpdate:h,patch:u,state:l}}const{init:kc,onPatch:Hu,onUpdate:Nu,patch:xu,state:ju}=eo(ft,ft,!1),fe=M({page:0,clicks:0});let wc=[],bc=[];tt(fe,"$syncUp",!0);tt(fe,"$syncDown",!0);tt(fe,"$paused",!1);tt(fe,"$onSet",e=>wc.push(e));tt(fe,"$onPatch",e=>bc.push(e));to();tt(fe,"$patch",async()=>!1);const{init:Sc,onPatch:Mc,onUpdate:Vu,patch:ie,state:Fu}=eo(fe,{page:1,clicks:0,clicksTotal:0,viewerPage:1,viewerClicks:0,viewerClicksTotal:0});function $c(){const e=Tr().appContext.app,t=M({nav:Nn(),configs:U,themeConfigs:b(()=>U.themeConfig)});e.provide(Yn,A("none")),e.provide(Cl,t),e.provide(Zn,b(()=>t.nav.currentSlideNo)),e.provide(dn,Gn(Vi()));for(const u of Jo)u();const{clicksContext:n,currentSlideNo:s,hasPrimarySlide:r,isNotesViewer:o,isPresenter:i}=Nn();Ni({title:vn,htmlAttrs:U.htmlAttrs}),Sc(`${vn} - shared`),kc(`${vn} - drawings`);const a=`${location.origin}_${as()}`;function l(){o.value||!i.value&&!Hl.includes(location.host.split(":")[0])||(i.value?(ie("page",+s.value),ie("clicks",n.value.current),ie("clicksTotal",n.value.total)):(ie("viewerPage",+s.value),ie("viewerClicks",n.value.current),ie("viewerClicksTotal",n.value.total)),ie("lastUpdate",{id:a,type:i.value?"presenter":"viewer",time:new Date().getTime()}))}const c=fn();c.afterEach(l),st(n,l),Mc(u=>{var h;r.value&&((h=u.lastUpdate)==null?void 0:h.type)==="presenter"&&(+u.page!=+s.value||+n.value.current!=+u.clicks)&&(Xn.value=!1,c.replace({path:ge(u.page,i.value),query:{...c.currentRoute.value.query,clicks:u.clicks||0}}))})}const Tc=Lr({__name:"App",setup(e){return $c(),ve(()=>{for(const[t,n]of Object.entries(Wo.value))document.body.style.setProperty(t,n.toString())}),(t,n)=>{const s=$o("RouterView");return Ye(),To(s)}}}),Oc=[];function Bu(e,t=""){var r,o;const n=["slidev-page",t],s=(o=(r=e==null?void 0:e.meta)==null?void 0:r.slide)==null?void 0:o.no;return s!=null&&n.push(`slidev-page-${s}`),n.filter(Boolean).join(" ")}async function zu(){const{saveAs:e}=await S(async()=>{const{saveAs:t}=await import("./modules/file-saver-igGfcqei.js").then(n=>n.F);return{saveAs:t}},[]);e(typeof U.download=="string"?U.download:U.exportFilename?`${U.exportFilename}.pdf`:"/tuela24slidev-exported.pdf",`${U.title}.pdf`)}function ke(e,t,n){var s;return((s=e.instance)==null?void 0:s.$).provides[t]??n}function Lc(){return{install(e){e.directive("click",{name:"v-click",mounted(t,n){const s=Qe(t,n,n.value);s!=null&&(t.classList.toggle(qe,!0),t.dataset.slidevClicksStart=String(s.start),Number.isFinite(s.end)&&(t.dataset.slidevClicksEnd=String(s.end)),t.watchStopHandle=ve(()=>{const r=s.isActive.value,o=s.isCurrent.value,i=r&&!o;s.flagHide?(t.classList.toggle(s.flagFade?Te:$e,r),t.classList.toggle(wn,r)):t.classList.toggle(s.flagFade?Te:$e,!r),t.classList.toggle(bn,o),t.classList.toggle(Sn,i)}))},unmounted:Tn}),e.directive("after",{name:"v-after",mounted(t,n){const s=Qe(t,n,"+0");s!=null&&(t.classList.toggle(qe,!0),t.watchStopHandle=ve(()=>{const r=s.isActive.value,o=s.isCurrent.value,i=r&&!o;s.flagHide?(t.classList.toggle(s.flagFade?Te:$e,r),t.classList.toggle(wn,r)):t.classList.toggle(s.flagFade?Te:$e,!r),t.classList.toggle(bn,o),t.classList.toggle(Sn,i)}))},unmounted:Tn}),e.directive("click-hide",{name:"v-click-hide",mounted(t,n){const s=Qe(t,n,n.value,!0);s!=null&&(t.classList.toggle(qe,!0),t.watchStopHandle=ve(()=>{const r=s.isActive.value,o=s.isCurrent.value,i=r&&!o;t.classList.toggle(s.flagFade?Te:$e,r),t.classList.toggle(wn,r),t.classList.toggle(bn,o),t.classList.toggle(Sn,i)}))},unmounted:Tn})}}}const no=new Map;function Qe(e,t,n,s=!1){var h;const r=(h=ke(t,dn))==null?void 0:h.value;if(!e||!r)return null;const o=s||t.modifiers.hide!==!1&&t.modifiers.hide!=null,i=t.modifiers.fade!==!1&&t.modifiers.fade!=null,a=r.calculate(n);if(!a)return null;r.register(e,a);const l=b(()=>o?!a.isActive.value:a.isActive.value),c=b(()=>l.value?"shown":Number.isFinite(a.end)?r.current<a.start?"before":"after":o?"after":"before"),u={...a,isShown:l,visibilityState:c,flagFade:i,flagHide:o};return no.set(e,u),u}function Tn(e,t){var s,r;e.classList.toggle(qe,!1);const n=(s=ke(t,dn))==null?void 0:s.value;n==null||n.unregister(e),(r=e.watchStopHandle)==null||r.call(e)}const Wu=A(!1),qu=A(!1),Uu=A(!1),Pc=A(!1),Ju=A(!0),Ku=Oo({xs:460,...Co}),on=Lo(),Gu=Po(),Qu=b(()=>on.height.value-on.width.value/qo.value>120),Zu=Eo(Qn?document.body:null),so=Ao(),Yu=b(()=>{var e;return["INPUT","TEXTAREA"].includes(((e=so.value)==null?void 0:e.tagName)||"")}),Xu=b(()=>{var e;return["BUTTON","A"].includes(((e=so.value)==null?void 0:e.tagName)||"")});ot("slidev-camera","default",{listenToStorageChanges:!1});ot("slidev-mic","default",{listenToStorageChanges:!1});const Ec=ot("slidev-scale",0),tf=ot("slidev-wake-lock",!0),ef=ot("slidev-presenter-cursor",!0,{listenToStorageChanges:!1}),Ac=ot("slidev-show-editor",!1,{listenToStorageChanges:!1}),Dc=ot("slidev-editor-vertical",!1,{listenToStorageChanges:!1}),Cc=ot("slidev-editor-width",Qn?window.innerWidth*.4:318,{listenToStorageChanges:!1}),Ic=ot("slidev-editor-height",Qn?window.innerHeight*.4:300,{listenToStorageChanges:!1}),Ve=Gn(null),an=ot("slidev-presenter-font-size",1,{listenToStorageChanges:!1}),Fe=ot("slidev-presenter-layout",1,{listenToStorageChanges:!1});function nf(){Fe.value=Fe.value+1,Fe.value>2&&(Fe.value=1)}function sf(){an.value=Math.min(2,an.value+.1)}function rf(){an.value=Math.max(.5,an.value-.1)}const of=Do(Pc);function Rc(e=$r(Vr,A())){const t=Io(e),n=st([Ac,Dc,Cc,Ic,Ec,on.width,on.height],()=>{setTimeout(t.update,300)},{flush:"post",immediate:!0});return{...t,stop:n}}function Hc(e){return()=>{}}function Nc(e,t,n,s=!1){var Y;function r(j){return e?ke(e,j):No(j)}const o=r(Yn),i=r(Il)??{},a=r(Zn),l=b(()=>Hc(a.value)),c=r(Dl)??A(1),u=r(Rl)??A(1),{left:h,top:d,stop:f}=Rc(r(Vr)??A()),p=["slide","presenter"].includes(o.value);let g=e?"directive":"prop",y=as(),m;if(Array.isArray(t)?m=t:typeof t=="string"&&t.includes(",")?m=t.split(",").map(Number):t!=null&&(g="frontmatter",y=`${t}`,t=(Y=i==null?void 0:i.dragPos)==null?void 0:Y[y],m=t==null?void 0:t.split(",").map(Number)),g!=="frontmatter"&&!n)throw new Error("[Slidev] Can not identify the source position of the v-drag element, please provide an explicit `id` prop.");const v=[f],k=!s&&t!=null&&!Number.isFinite(m==null?void 0:m[3]);m??(m=[Number.NaN,Number.NaN,0]);const w=A(m[2]),E=A(m[0]+m[2]/2),$=A(s?0:m[4]??0),D=b(()=>$.value*Math.PI/180),R=b(()=>Math.sin(D.value)),x=b(()=>Math.cos(D.value)),J=A(),z=A({left:0,top:0,width:0,height:0}),C=A(0);function H(){if(!J.value)return;const j=J.value.getBoundingClientRect();z.value={left:j.left/u.value,top:j.top/u.value,width:j.width/u.value,height:j.height/u.value},C.value=(z.value.width+z.value.height)/c.value/(Math.abs(R.value)+Math.abs(x.value))-w.value}v.push(st(w,H,{flush:"post"}));const W=A(m[3]??0),I=k?b({get:()=>(k?C.value:W.value)||0,set:j=>!k&&(W.value=j)}):W,F=k?A(m[1]):A(m[1]+m[3]/2),it=k?b({get:()=>F.value+I.value/2,set:j=>F.value=j-I.value/2}):F,be=b(()=>Number.isFinite(E.value)?{position:"absolute",zIndex:100,left:`${E.value-w.value/2}px`,top:`${it.value-I.value/2}px`,width:`${w.value}px`,height:k?void 0:`${I.value}px`,transformOrigin:"center center",transform:`rotate(${$.value}deg)`}:{position:"absolute",zIndex:100});v.push(st([E,it,w,I,$],([j,ht,Se,Me,us])=>{let de=[j-Se/2,ht-Me/2,Se].map(Math.round).join();k?de+=g==="directive"?",NaN":",_":de+=`,${Math.round(Me)}`,Math.round(us)!==0&&(de+=`,${Math.round(us)}`),g==="directive"&&(de=`[${de}]`),l.value(y,de,g,n)}));const et={dragId:y,dataSource:g,markdownSource:n,isArrow:s,zoom:u,autoHeight:k,x0:E,y0:it,width:w,height:I,rotate:$,container:J,containerStyle:be,watchStopHandles:v,dragging:b(()=>Ve.value===et),mounted(){p&&(H(),t||setTimeout(()=>{H(),E.value=(z.value.left+z.value.width/2-h.value)/c.value,it.value=(z.value.top-d.value)/c.value,w.value=z.value.width/c.value,I.value=z.value.height/c.value},100))},unmounted(){p&&et.stopDragging()},startDragging(){H(),Ve.value=et},stopDragging(){Ve.value===et&&(Ve.value=null)}};return v.push(Ro(J,j=>{const ht=document.querySelector("#drag-control-container");ht&&j.target&&ht.contains(j.target)||et.stopDragging()}),st(Ho(),j=>{j||et.stopDragging()})),et}function xc(){return{install(e){e.directive("drag",{name:"v-drag",created(t,n,s){var o;const r=Nc(n,n.value,(o=s.props)==null?void 0:o.markdownSource);s.props&&(s.props={...s.props},delete s.props.markdownSource),r.container.value=t,t.draggingState=r,t.dataset.dragId=r.dragId,r.watchStopHandles.push(st(r.containerStyle,i=>{for(const[a,l]of Object.entries(i))l&&(t.style[a]=l)},{immediate:!0})),t.addEventListener("dblclick",r.startDragging)},mounted(t){t.draggingState.mounted()},unmounted(t){const n=t.draggingState;n.unmounted(),t.removeEventListener("dblclick",n.startDragging),n.watchStopHandles.forEach(s=>s())}})}}}function ro(){return Math.floor(Math.random()*2**31)}class jc{constructor(t){this.seed=t}next(){return this.seed?(2**31-1&(this.seed=Math.imul(48271,this.seed)))/2**31:Math.random()}}function Fn(e,t,n){if(e&&e.length){const[s,r]=t,o=Math.PI/180*n,i=Math.cos(o),a=Math.sin(o);for(const l of e){const[c,u]=l;l[0]=(c-s)*i-(u-r)*a+s,l[1]=(c-s)*a+(u-r)*i+r}}}function Vc(e,t,n){const s=[];e.forEach(r=>s.push(...r)),Fn(s,t,n)}function Fc(e,t){return e[0]===t[0]&&e[1]===t[1]}function Bc(e,t,n,s=1){const r=n,o=Math.max(t,.1),i=e[0]&&e[0][0]&&typeof e[0][0]=="number"?[e]:e,a=[0,0];if(r)for(const c of i)Fn(c,a,r);const l=zc(i,o,s);if(r){for(const c of i)Fn(c,a,-r);Vc(l,a,-r)}return l}function zc(e,t,n){const s=[];for(const c of e){const u=[...c];Fc(u[0],u[u.length-1])||u.push([u[0][0],u[0][1]]),u.length>2&&s.push(u)}const r=[];t=Math.max(t,.1);const o=[];for(const c of s)for(let u=0;u<c.length-1;u++){const h=c[u],d=c[u+1];if(h[1]!==d[1]){const f=Math.min(h[1],d[1]);o.push({ymin:f,ymax:Math.max(h[1],d[1]),x:f===h[1]?h[0]:d[0],islope:(d[0]-h[0])/(d[1]-h[1])})}}if(o.sort((c,u)=>c.ymin<u.ymin?-1:c.ymin>u.ymin?1:c.x<u.x?-1:c.x>u.x?1:c.ymax===u.ymax?0:(c.ymax-u.ymax)/Math.abs(c.ymax-u.ymax)),!o.length)return r;let i=[],a=o[0].ymin,l=0;for(;i.length||o.length;){if(o.length){let c=-1;for(let h=0;h<o.length&&!(o[h].ymin>a);h++)c=h;o.splice(0,c+1).forEach(h=>{i.push({s:a,edge:h})})}if(i=i.filter(c=>!(c.edge.ymax<=a)),i.sort((c,u)=>c.edge.x===u.edge.x?0:(c.edge.x-u.edge.x)/Math.abs(c.edge.x-u.edge.x)),(n!==1||l%t===0)&&i.length>1)for(let c=0;c<i.length;c=c+2){const u=c+1;if(u>=i.length)break;const h=i[c].edge,d=i[u].edge;r.push([[Math.round(h.x),a],[Math.round(d.x),a]])}a+=n,i.forEach(c=>{c.edge.x=c.edge.x+n*c.edge.islope}),l++}return r}function He(e,t){var n;const s=t.hachureAngle+90;let r=t.hachureGap;r<0&&(r=t.strokeWidth*4),r=Math.round(Math.max(r,.1));let o=1;return t.roughness>=1&&(((n=t.randomizer)===null||n===void 0?void 0:n.next())||Math.random())>.7&&(o=r),Bc(e,r,s,o||1)}class ls{constructor(t){this.helper=t}fillPolygons(t,n){return this._fillPolygons(t,n)}_fillPolygons(t,n){const s=He(t,n);return{type:"fillSketch",ops:this.renderLines(s,n)}}renderLines(t,n){const s=[];for(const r of t)s.push(...this.helper.doubleLineOps(r[0][0],r[0][1],r[1][0],r[1][1],n));return s}}function _n(e){const t=e[0],n=e[1];return Math.sqrt(Math.pow(t[0]-n[0],2)+Math.pow(t[1]-n[1],2))}class Wc extends ls{fillPolygons(t,n){let s=n.hachureGap;s<0&&(s=n.strokeWidth*4),s=Math.max(s,.1);const r=Object.assign({},n,{hachureGap:s}),o=He(t,r),i=Math.PI/180*n.hachureAngle,a=[],l=s*.5*Math.cos(i),c=s*.5*Math.sin(i);for(const[h,d]of o)_n([h,d])&&a.push([[h[0]-l,h[1]+c],[...d]],[[h[0]+l,h[1]-c],[...d]]);return{type:"fillSketch",ops:this.renderLines(a,n)}}}class qc extends ls{fillPolygons(t,n){const s=this._fillPolygons(t,n),r=Object.assign({},n,{hachureAngle:n.hachureAngle+90}),o=this._fillPolygons(t,r);return s.ops=s.ops.concat(o.ops),s}}class Uc{constructor(t){this.helper=t}fillPolygons(t,n){n=Object.assign({},n,{hachureAngle:0});const s=He(t,n);return this.dotsOnLines(s,n)}dotsOnLines(t,n){const s=[];let r=n.hachureGap;r<0&&(r=n.strokeWidth*4),r=Math.max(r,.1);let o=n.fillWeight;o<0&&(o=n.strokeWidth/2);const i=r/4;for(const a of t){const l=_n(a),c=l/r,u=Math.ceil(c)-1,h=l-u*r,d=(a[0][0]+a[1][0])/2-r/4,f=Math.min(a[0][1],a[1][1]);for(let p=0;p<u;p++){const g=f+h+p*r,y=d-i+Math.random()*2*i,m=g-i+Math.random()*2*i,v=this.helper.ellipse(y,m,o,o,n);s.push(...v.ops)}}return{type:"fillSketch",ops:s}}}class Jc{constructor(t){this.helper=t}fillPolygons(t,n){const s=He(t,n);return{type:"fillSketch",ops:this.dashedLine(s,n)}}dashedLine(t,n){const s=n.dashOffset<0?n.hachureGap<0?n.strokeWidth*4:n.hachureGap:n.dashOffset,r=n.dashGap<0?n.hachureGap<0?n.strokeWidth*4:n.hachureGap:n.dashGap,o=[];return t.forEach(i=>{const a=_n(i),l=Math.floor(a/(s+r)),c=(a+r-l*(s+r))/2;let u=i[0],h=i[1];u[0]>h[0]&&(u=i[1],h=i[0]);const d=Math.atan((h[1]-u[1])/(h[0]-u[0]));for(let f=0;f<l;f++){const p=f*(s+r),g=p+s,y=[u[0]+p*Math.cos(d)+c*Math.cos(d),u[1]+p*Math.sin(d)+c*Math.sin(d)],m=[u[0]+g*Math.cos(d)+c*Math.cos(d),u[1]+g*Math.sin(d)+c*Math.sin(d)];o.push(...this.helper.doubleLineOps(y[0],y[1],m[0],m[1],n))}}),o}}class Kc{constructor(t){this.helper=t}fillPolygons(t,n){const s=n.hachureGap<0?n.strokeWidth*4:n.hachureGap,r=n.zigzagOffset<0?s:n.zigzagOffset;n=Object.assign({},n,{hachureGap:s+r});const o=He(t,n);return{type:"fillSketch",ops:this.zigzagLines(o,r,n)}}zigzagLines(t,n,s){const r=[];return t.forEach(o=>{const i=_n(o),a=Math.round(i/(2*n));let l=o[0],c=o[1];l[0]>c[0]&&(l=o[1],c=o[0]);const u=Math.atan((c[1]-l[1])/(c[0]-l[0]));for(let h=0;h<a;h++){const d=h*2*n,f=(h+1)*2*n,p=Math.sqrt(2*Math.pow(n,2)),g=[l[0]+d*Math.cos(u),l[1]+d*Math.sin(u)],y=[l[0]+f*Math.cos(u),l[1]+f*Math.sin(u)],m=[g[0]+p*Math.cos(u+Math.PI/4),g[1]+p*Math.sin(u+Math.PI/4)];r.push(...this.helper.doubleLineOps(g[0],g[1],m[0],m[1],s),...this.helper.doubleLineOps(m[0],m[1],y[0],y[1],s))}}),r}}const K={};function Gc(e,t){let n=e.fillStyle||"hachure";if(!K[n])switch(n){case"zigzag":K[n]||(K[n]=new Wc(t));break;case"cross-hatch":K[n]||(K[n]=new qc(t));break;case"dots":K[n]||(K[n]=new Uc(t));break;case"dashed":K[n]||(K[n]=new Jc(t));break;case"zigzag-line":K[n]||(K[n]=new Kc(t));break;case"hachure":default:n="hachure",K[n]||(K[n]=new ls(t));break}return K[n]}const Qc=0,Bn=1,oo=2,Be={A:7,a:7,C:6,c:6,H:1,h:1,L:2,l:2,M:2,m:2,Q:4,q:4,S:4,s:4,T:2,t:2,V:1,v:1,Z:0,z:0};function Zc(e){const t=new Array;for(;e!=="";)if(e.match(/^([ \t\r\n,]+)/))e=e.substr(RegExp.$1.length);else if(e.match(/^([aAcChHlLmMqQsStTvVzZ])/))t[t.length]={type:Qc,text:RegExp.$1},e=e.substr(RegExp.$1.length);else if(e.match(/^(([-+]?[0-9]+(\.[0-9]*)?|[-+]?\.[0-9]+)([eE][-+]?[0-9]+)?)/))t[t.length]={type:Bn,text:`${parseFloat(RegExp.$1)}`},e=e.substr(RegExp.$1.length);else return[];return t[t.length]={type:oo,text:""},t}function On(e,t){return e.type===t}function cs(e){const t=[],n=Zc(e);let s="BOD",r=0,o=n[r];for(;!On(o,oo);){let i=0;const a=[];if(s==="BOD")if(o.text==="M"||o.text==="m")r++,i=Be[o.text],s=o.text;else return cs("M0,0"+e);else On(o,Bn)?i=Be[s]:(r++,i=Be[o.text],s=o.text);if(r+i<n.length){for(let l=r;l<r+i;l++){const c=n[l];if(On(c,Bn))a[a.length]=+c.text;else throw new Error("Param not a number: "+s+","+c.text)}if(typeof Be[s]=="number"){const l={key:s,data:a};t.push(l),r+=i,o=n[r],s==="M"&&(s="L"),s==="m"&&(s="l")}else throw new Error("Bad segment: "+s)}else throw new Error("Path data ended short")}return t}function io(e){let t=0,n=0,s=0,r=0;const o=[];for(const{key:i,data:a}of e)switch(i){case"M":o.push({key:"M",data:[...a]}),[t,n]=a,[s,r]=a;break;case"m":t+=a[0],n+=a[1],o.push({key:"M",data:[t,n]}),s=t,r=n;break;case"L":o.push({key:"L",data:[...a]}),[t,n]=a;break;case"l":t+=a[0],n+=a[1],o.push({key:"L",data:[t,n]});break;case"C":o.push({key:"C",data:[...a]}),t=a[4],n=a[5];break;case"c":{const l=a.map((c,u)=>u%2?c+n:c+t);o.push({key:"C",data:l}),t=l[4],n=l[5];break}case"Q":o.push({key:"Q",data:[...a]}),t=a[2],n=a[3];break;case"q":{const l=a.map((c,u)=>u%2?c+n:c+t);o.push({key:"Q",data:l}),t=l[2],n=l[3];break}case"A":o.push({key:"A",data:[...a]}),t=a[5],n=a[6];break;case"a":t+=a[5],n+=a[6],o.push({key:"A",data:[a[0],a[1],a[2],a[3],a[4],t,n]});break;case"H":o.push({key:"H",data:[...a]}),t=a[0];break;case"h":t+=a[0],o.push({key:"H",data:[t]});break;case"V":o.push({key:"V",data:[...a]}),n=a[0];break;case"v":n+=a[0],o.push({key:"V",data:[n]});break;case"S":o.push({key:"S",data:[...a]}),t=a[2],n=a[3];break;case"s":{const l=a.map((c,u)=>u%2?c+n:c+t);o.push({key:"S",data:l}),t=l[2],n=l[3];break}case"T":o.push({key:"T",data:[...a]}),t=a[0],n=a[1];break;case"t":t+=a[0],n+=a[1],o.push({key:"T",data:[t,n]});break;case"Z":case"z":o.push({key:"Z",data:[]}),t=s,n=r;break}return o}function ao(e){const t=[];let n="",s=0,r=0,o=0,i=0,a=0,l=0;for(const{key:c,data:u}of e){switch(c){case"M":t.push({key:"M",data:[...u]}),[s,r]=u,[o,i]=u;break;case"C":t.push({key:"C",data:[...u]}),s=u[4],r=u[5],a=u[2],l=u[3];break;case"L":t.push({key:"L",data:[...u]}),[s,r]=u;break;case"H":s=u[0],t.push({key:"L",data:[s,r]});break;case"V":r=u[0],t.push({key:"L",data:[s,r]});break;case"S":{let h=0,d=0;n==="C"||n==="S"?(h=s+(s-a),d=r+(r-l)):(h=s,d=r),t.push({key:"C",data:[h,d,...u]}),a=u[0],l=u[1],s=u[2],r=u[3];break}case"T":{const[h,d]=u;let f=0,p=0;n==="Q"||n==="T"?(f=s+(s-a),p=r+(r-l)):(f=s,p=r);const g=s+2*(f-s)/3,y=r+2*(p-r)/3,m=h+2*(f-h)/3,v=d+2*(p-d)/3;t.push({key:"C",data:[g,y,m,v,h,d]}),a=f,l=p,s=h,r=d;break}case"Q":{const[h,d,f,p]=u,g=s+2*(h-s)/3,y=r+2*(d-r)/3,m=f+2*(h-f)/3,v=p+2*(d-p)/3;t.push({key:"C",data:[g,y,m,v,f,p]}),a=h,l=d,s=f,r=p;break}case"A":{const h=Math.abs(u[0]),d=Math.abs(u[1]),f=u[2],p=u[3],g=u[4],y=u[5],m=u[6];h===0||d===0?(t.push({key:"C",data:[s,r,y,m,y,m]}),s=y,r=m):(s!==y||r!==m)&&(lo(s,r,y,m,h,d,f,p,g).forEach(function(k){t.push({key:"C",data:k})}),s=y,r=m);break}case"Z":t.push({key:"Z",data:[]}),s=o,r=i;break}n=c}return t}function Yc(e){return Math.PI*e/180}function Oe(e,t,n){const s=e*Math.cos(n)-t*Math.sin(n),r=e*Math.sin(n)+t*Math.cos(n);return[s,r]}function lo(e,t,n,s,r,o,i,a,l,c){const u=Yc(i);let h=[],d=0,f=0,p=0,g=0;if(c)[d,f,p,g]=c;else{[e,t]=Oe(e,t,-u),[n,s]=Oe(n,s,-u);const C=(e-n)/2,H=(t-s)/2;let W=C*C/(r*r)+H*H/(o*o);W>1&&(W=Math.sqrt(W),r=W*r,o=W*o);const I=a===l?-1:1,F=r*r,it=o*o,be=F*it-F*H*H-it*C*C,et=F*H*H+it*C*C,Y=I*Math.sqrt(Math.abs(be/et));p=Y*r*H/o+(e+n)/2,g=Y*-o*C/r+(t+s)/2,d=Math.asin(parseFloat(((t-g)/o).toFixed(9))),f=Math.asin(parseFloat(((s-g)/o).toFixed(9))),e<p&&(d=Math.PI-d),n<p&&(f=Math.PI-f),d<0&&(d=Math.PI*2+d),f<0&&(f=Math.PI*2+f),l&&d>f&&(d=d-Math.PI*2),!l&&f>d&&(f=f-Math.PI*2)}let y=f-d;if(Math.abs(y)>Math.PI*120/180){const C=f,H=n,W=s;l&&f>d?f=d+Math.PI*120/180*1:f=d+Math.PI*120/180*-1,n=p+r*Math.cos(f),s=g+o*Math.sin(f),h=lo(n,s,H,W,r,o,i,0,l,[f,C,p,g])}y=f-d;const m=Math.cos(d),v=Math.sin(d),k=Math.cos(f),w=Math.sin(f),E=Math.tan(y/4),$=4/3*r*E,D=4/3*o*E,R=[e,t],x=[e+$*v,t-D*m],J=[n+$*w,s-D*k],z=[n,s];if(x[0]=2*R[0]-x[0],x[1]=2*R[1]-x[1],c)return[x,J,z].concat(h);{h=[x,J,z].concat(h);const C=[];for(let H=0;H<h.length;H+=3){const W=Oe(h[H][0],h[H][1],u),I=Oe(h[H+1][0],h[H+1][1],u),F=Oe(h[H+2][0],h[H+2][1],u);C.push([W[0],W[1],I[0],I[1],F[0],F[1]])}return C}}const Xc={randOffset:nu,randOffsetWithRange:su,ellipse:zn,doubleLineOps:ru};function X(e,t,n,s,r){return{type:"path",ops:dt(e,t,n,s,r)}}function De(e,t,n){const s=(e||[]).length;if(s>2){const r=[];for(let o=0;o<s-1;o++)r.push(...dt(e[o][0],e[o][1],e[o+1][0],e[o+1][1],n));return t&&r.push(...dt(e[s-1][0],e[s-1][1],e[0][0],e[0][1],n)),{type:"path",ops:r}}else if(s===2)return X(e[0][0],e[0][1],e[1][0],e[1][1],n);return{type:"path",ops:[]}}function tu(e,t){return De(e,!0,t)}function co(e,t,n,s,r){const o=[[e,t],[e+n,t],[e+n,t+s],[e,t+s]];return tu(o,r)}function gr(e,t){if(e.length){const s=typeof e[0][0]=="number"?[e]:e,r=ze(s[0],1*(1+t.roughness*.2),t),o=t.disableMultiStroke?[]:ze(s[0],1.5*(1+t.roughness*.22),_r(t));for(let i=1;i<s.length;i++){const a=s[i];if(a.length){const l=ze(a,1*(1+t.roughness*.2),t),c=t.disableMultiStroke?[]:ze(a,1.5*(1+t.roughness*.22),_r(t));for(const u of l)u.op!=="move"&&r.push(u);for(const u of c)u.op!=="move"&&o.push(u)}}return{type:"path",ops:r.concat(o)}}return{type:"path",ops:[]}}function zn(e,t,n,s,r){const o=uo(n,s,r);return Wn(e,t,r,o).opset}function uo(e,t,n){const s=Math.sqrt(Math.PI*2*Math.sqrt((Math.pow(e/2,2)+Math.pow(t/2,2))/2)),r=Math.ceil(Math.max(n.curveStepCount,n.curveStepCount/Math.sqrt(200)*s)),o=Math.PI*2/r;let i=Math.abs(e/2),a=Math.abs(t/2);const l=1-n.curveFitting;return i+=T(i*l,n),a+=T(a*l,n),{increment:o,rx:i,ry:a}}function Wn(e,t,n,s){const[r,o]=vr(s.increment,e,t,s.rx,s.ry,1,s.increment*ln(.1,ln(.4,1,n),n),n);let i=cn(r,null,n);if(!n.disableMultiStroke&&n.roughness!==0){const[a]=vr(s.increment,e,t,s.rx,s.ry,1.5,0,n),l=cn(a,null,n);i=i.concat(l)}return{estimatedPoints:o,opset:{type:"path",ops:i}}}function mr(e,t,n,s,r,o,i,a,l){const c=e,u=t;let h=Math.abs(n/2),d=Math.abs(s/2);h+=T(h*.01,l),d+=T(d*.01,l);let f=r,p=o;for(;f<0;)f+=Math.PI*2,p+=Math.PI*2;p-f>Math.PI*2&&(f=0,p=Math.PI*2);const g=Math.PI*2/l.curveStepCount,y=Math.min(g/2,(p-f)/2),m=kr(y,c,u,h,d,f,p,1,l);if(!l.disableMultiStroke){const v=kr(y,c,u,h,d,f,p,1.5,l);m.push(...v)}return i&&(a?m.push(...dt(c,u,c+h*Math.cos(f),u+d*Math.sin(f),l),...dt(c,u,c+h*Math.cos(p),u+d*Math.sin(p),l)):m.push({op:"lineTo",data:[c,u]},{op:"lineTo",data:[c+h*Math.cos(f),u+d*Math.sin(f)]})),{type:"path",ops:m}}function yr(e,t){const n=ao(io(cs(e))),s=[];let r=[0,0],o=[0,0];for(const{key:i,data:a}of n)switch(i){case"M":{o=[a[0],a[1]],r=[a[0],a[1]];break}case"L":s.push(...dt(o[0],o[1],a[0],a[1],t)),o=[a[0],a[1]];break;case"C":{const[l,c,u,h,d,f]=a;s.push(...ou(l,c,u,h,d,f,o,t)),o=[d,f];break}case"Z":s.push(...dt(o[0],o[1],r[0],r[1],t)),o=[r[0],r[1]];break}return{type:"path",ops:s}}function Ln(e,t){const n=[];for(const s of e)if(s.length){const r=t.maxRandomnessOffset||0,o=s.length;if(o>2){n.push({op:"move",data:[s[0][0]+T(r,t),s[0][1]+T(r,t)]});for(let i=1;i<o;i++)n.push({op:"lineTo",data:[s[i][0]+T(r,t),s[i][1]+T(r,t)]})}}return{type:"fillPath",ops:n}}function pe(e,t){return Gc(t,Xc).fillPolygons(e,t)}function eu(e,t,n,s,r,o,i){const a=e,l=t;let c=Math.abs(n/2),u=Math.abs(s/2);c+=T(c*.01,i),u+=T(u*.01,i);let h=r,d=o;for(;h<0;)h+=Math.PI*2,d+=Math.PI*2;d-h>Math.PI*2&&(h=0,d=Math.PI*2);const f=(d-h)/i.curveStepCount,p=[];for(let g=h;g<=d;g=g+f)p.push([a+c*Math.cos(g),l+u*Math.sin(g)]);return p.push([a+c*Math.cos(d),l+u*Math.sin(d)]),p.push([a,l]),pe([p],i)}function nu(e,t){return T(e,t)}function su(e,t,n){return ln(e,t,n)}function ru(e,t,n,s,r){return dt(e,t,n,s,r,!0)}function _r(e){const t=Object.assign({},e);return t.randomizer=void 0,e.seed&&(t.seed=e.seed+1),t}function fo(e){return e.randomizer||(e.randomizer=new jc(e.seed||0)),e.randomizer.next()}function ln(e,t,n,s=1){return n.roughness*s*(fo(n)*(t-e)+e)}function T(e,t,n=1){return ln(-e,e,t,n)}function dt(e,t,n,s,r,o=!1){const i=o?r.disableMultiStrokeFill:r.disableMultiStroke,a=qn(e,t,n,s,r,!0,!1);if(i)return a;const l=qn(e,t,n,s,r,!0,!0);return a.concat(l)}function qn(e,t,n,s,r,o,i){const a=Math.pow(e-n,2)+Math.pow(t-s,2),l=Math.sqrt(a);let c=1;l<200?c=1:l>500?c=.4:c=-.0016668*l+1.233334;let u=r.maxRandomnessOffset||0;u*u*100>a&&(u=l/10);const h=u/2,d=.2+fo(r)*.2;let f=r.bowing*r.maxRandomnessOffset*(s-t)/200,p=r.bowing*r.maxRandomnessOffset*(e-n)/200;f=T(f,r,c),p=T(p,r,c);const g=[],y=()=>T(h,r,c),m=()=>T(u,r,c),v=r.preserveVertices;return i?g.push({op:"move",data:[e+(v?0:y()),t+(v?0:y())]}):g.push({op:"move",data:[e+(v?0:T(u,r,c)),t+(v?0:T(u,r,c))]}),i?g.push({op:"bcurveTo",data:[f+e+(n-e)*d+y(),p+t+(s-t)*d+y(),f+e+2*(n-e)*d+y(),p+t+2*(s-t)*d+y(),n+(v?0:y()),s+(v?0:y())]}):g.push({op:"bcurveTo",data:[f+e+(n-e)*d+m(),p+t+(s-t)*d+m(),f+e+2*(n-e)*d+m(),p+t+2*(s-t)*d+m(),n+(v?0:m()),s+(v?0:m())]}),g}function ze(e,t,n){if(!e.length)return[];const s=[];s.push([e[0][0]+T(t,n),e[0][1]+T(t,n)]),s.push([e[0][0]+T(t,n),e[0][1]+T(t,n)]);for(let r=1;r<e.length;r++)s.push([e[r][0]+T(t,n),e[r][1]+T(t,n)]),r===e.length-1&&s.push([e[r][0]+T(t,n),e[r][1]+T(t,n)]);return cn(s,null,n)}function cn(e,t,n){const s=e.length,r=[];if(s>3){const o=[],i=1-n.curveTightness;r.push({op:"move",data:[e[1][0],e[1][1]]});for(let a=1;a+2<s;a++){const l=e[a];o[0]=[l[0],l[1]],o[1]=[l[0]+(i*e[a+1][0]-i*e[a-1][0])/6,l[1]+(i*e[a+1][1]-i*e[a-1][1])/6],o[2]=[e[a+1][0]+(i*e[a][0]-i*e[a+2][0])/6,e[a+1][1]+(i*e[a][1]-i*e[a+2][1])/6],o[3]=[e[a+1][0],e[a+1][1]],r.push({op:"bcurveTo",data:[o[1][0],o[1][1],o[2][0],o[2][1],o[3][0],o[3][1]]})}}else s===3?(r.push({op:"move",data:[e[1][0],e[1][1]]}),r.push({op:"bcurveTo",data:[e[1][0],e[1][1],e[2][0],e[2][1],e[2][0],e[2][1]]})):s===2&&r.push(...qn(e[0][0],e[0][1],e[1][0],e[1][1],n,!0,!0));return r}function vr(e,t,n,s,r,o,i,a){const l=a.roughness===0,c=[],u=[];if(l){e=e/4,u.push([t+s*Math.cos(-e),n+r*Math.sin(-e)]);for(let h=0;h<=Math.PI*2;h=h+e){const d=[t+s*Math.cos(h),n+r*Math.sin(h)];c.push(d),u.push(d)}u.push([t+s*Math.cos(0),n+r*Math.sin(0)]),u.push([t+s*Math.cos(e),n+r*Math.sin(e)])}else{const h=T(.5,a)-Math.PI/2;u.push([T(o,a)+t+.9*s*Math.cos(h-e),T(o,a)+n+.9*r*Math.sin(h-e)]);const d=Math.PI*2+h-.01;for(let f=h;f<d;f=f+e){const p=[T(o,a)+t+s*Math.cos(f),T(o,a)+n+r*Math.sin(f)];c.push(p),u.push(p)}u.push([T(o,a)+t+s*Math.cos(h+Math.PI*2+i*.5),T(o,a)+n+r*Math.sin(h+Math.PI*2+i*.5)]),u.push([T(o,a)+t+.98*s*Math.cos(h+i),T(o,a)+n+.98*r*Math.sin(h+i)]),u.push([T(o,a)+t+.9*s*Math.cos(h+i*.5),T(o,a)+n+.9*r*Math.sin(h+i*.5)])}return[u,c]}function kr(e,t,n,s,r,o,i,a,l){const c=o+T(.1,l),u=[];u.push([T(a,l)+t+.9*s*Math.cos(c-e),T(a,l)+n+.9*r*Math.sin(c-e)]);for(let h=c;h<=i;h=h+e)u.push([T(a,l)+t+s*Math.cos(h),T(a,l)+n+r*Math.sin(h)]);return u.push([t+s*Math.cos(i),n+r*Math.sin(i)]),u.push([t+s*Math.cos(i),n+r*Math.sin(i)]),cn(u,null,l)}function ou(e,t,n,s,r,o,i,a){const l=[],c=[a.maxRandomnessOffset||1,(a.maxRandomnessOffset||1)+.3];let u=[0,0];const h=a.disableMultiStroke?1:2,d=a.preserveVertices;for(let f=0;f<h;f++)f===0?l.push({op:"move",data:[i[0],i[1]]}):l.push({op:"move",data:[i[0]+(d?0:T(c[0],a)),i[1]+(d?0:T(c[0],a))]}),u=d?[r,o]:[r+T(c[f],a),o+T(c[f],a)],l.push({op:"bcurveTo",data:[e+T(c[f],a),t+T(c[f],a),n+T(c[f],a),s+T(c[f],a),u[0],u[1]]});return l}function Le(e){return[...e]}function wr(e,t=0){const n=e.length;if(n<3)throw new Error("A curve must have at least three points.");const s=[];if(n===3)s.push(Le(e[0]),Le(e[1]),Le(e[2]),Le(e[2]));else{const r=[];r.push(e[0],e[0]);for(let a=1;a<e.length;a++)r.push(e[a]),a===e.length-1&&r.push(e[a]);const o=[],i=1-t;s.push(Le(r[0]));for(let a=1;a+2<r.length;a++){const l=r[a];o[0]=[l[0],l[1]],o[1]=[l[0]+(i*r[a+1][0]-i*r[a-1][0])/6,l[1]+(i*r[a+1][1]-i*r[a-1][1])/6],o[2]=[r[a+1][0]+(i*r[a][0]-i*r[a+2][0])/6,r[a+1][1]+(i*r[a][1]-i*r[a+2][1])/6],o[3]=[r[a+1][0],r[a+1][1]],s.push(o[1],o[2],o[3])}}return s}function iu(e,t){return Math.sqrt(Ze(e,t))}function Ze(e,t){return Math.pow(e[0]-t[0],2)+Math.pow(e[1]-t[1],2)}function au(e,t,n){const s=Ze(t,n);if(s===0)return Ze(e,t);let r=((e[0]-t[0])*(n[0]-t[0])+(e[1]-t[1])*(n[1]-t[1]))/s;return r=Math.max(0,Math.min(1,r)),Ze(e,le(t,n,r))}function le(e,t,n){return[e[0]+(t[0]-e[0])*n,e[1]+(t[1]-e[1])*n]}function lu(e,t){const n=e[t+0],s=e[t+1],r=e[t+2],o=e[t+3];let i=3*s[0]-2*n[0]-o[0];i*=i;let a=3*s[1]-2*n[1]-o[1];a*=a;let l=3*r[0]-2*o[0]-n[0];l*=l;let c=3*r[1]-2*o[1]-n[1];return c*=c,i<l&&(i=l),a<c&&(a=c),i+a}function Un(e,t,n,s){const r=s||[];if(lu(e,t)<n){const o=e[t+0];r.length?iu(r[r.length-1],o)>1&&r.push(o):r.push(o),r.push(e[t+3])}else{const i=e[t+0],a=e[t+1],l=e[t+2],c=e[t+3],u=le(i,a,.5),h=le(a,l,.5),d=le(l,c,.5),f=le(u,h,.5),p=le(h,d,.5),g=le(f,p,.5);Un([i,u,f,g],0,n,r),Un([g,p,d,c],0,n,r)}return r}function cu(e,t){return un(e,0,e.length,t)}function un(e,t,n,s,r){const o=r||[],i=e[t],a=e[n-1];let l=0,c=1;for(let u=t+1;u<n-1;++u){const h=au(e[u],i,a);h>l&&(l=h,c=u)}return Math.sqrt(l)>s?(un(e,t,c+1,s,o),un(e,c,n,s,o)):(o.length||o.push(i),o.push(a)),o}function Jn(e,t=.15,n){const s=[],r=(e.length-1)/3;for(let o=0;o<r;o++){const i=o*3;Un(e,i,t,s)}return n&&n>0?un(s,0,s.length,n):s}function uu(e,t,n){const s=cs(e),r=ao(io(s)),o=[];let i=[],a=[0,0],l=[];const c=()=>{l.length>=4&&i.push(...Jn(l,t)),l=[]},u=()=>{c(),i.length&&(o.push(i),i=[])};for(const{key:d,data:f}of r)switch(d){case"M":u(),a=[f[0],f[1]],i.push(a);break;case"L":c(),i.push([f[0],f[1]]);break;case"C":if(!l.length){const p=i.length?i[i.length-1]:a;l.push([p[0],p[1]])}l.push([f[0],f[1]]),l.push([f[2],f[3]]),l.push([f[4],f[5]]);break;case"Z":c(),i.push([a[0],a[1]]);break}if(u(),!n)return o;const h=[];for(const d of o){const f=cu(d,n);f.length&&h.push(f)}return h}const Q="none";class fu{constructor(t){this.defaultOptions={maxRandomnessOffset:2,roughness:1,bowing:1,stroke:"#000",strokeWidth:1,curveTightness:0,curveFitting:.95,curveStepCount:9,fillStyle:"hachure",fillWeight:-1,hachureAngle:-41,hachureGap:-1,dashOffset:-1,dashGap:-1,zigzagOffset:-1,seed:0,disableMultiStroke:!1,disableMultiStrokeFill:!1,preserveVertices:!1,fillShapeRoughnessGain:.8},this.config=t||{},this.config.options&&(this.defaultOptions=this._o(this.config.options))}static newSeed(){return ro()}_o(t){return t?Object.assign({},this.defaultOptions,t):this.defaultOptions}_d(t,n,s){return{shape:t,sets:n||[],options:s||this.defaultOptions}}line(t,n,s,r,o){const i=this._o(o);return this._d("line",[X(t,n,s,r,i)],i)}rectangle(t,n,s,r,o){const i=this._o(o),a=[],l=co(t,n,s,r,i);if(i.fill){const c=[[t,n],[t+s,n],[t+s,n+r],[t,n+r]];i.fillStyle==="solid"?a.push(Ln([c],i)):a.push(pe([c],i))}return i.stroke!==Q&&a.push(l),this._d("rectangle",a,i)}ellipse(t,n,s,r,o){const i=this._o(o),a=[],l=uo(s,r,i),c=Wn(t,n,i,l);if(i.fill)if(i.fillStyle==="solid"){const u=Wn(t,n,i,l).opset;u.type="fillPath",a.push(u)}else a.push(pe([c.estimatedPoints],i));return i.stroke!==Q&&a.push(c.opset),this._d("ellipse",a,i)}circle(t,n,s,r){const o=this.ellipse(t,n,s,s,r);return o.shape="circle",o}linearPath(t,n){const s=this._o(n);return this._d("linearPath",[De(t,!1,s)],s)}arc(t,n,s,r,o,i,a=!1,l){const c=this._o(l),u=[],h=mr(t,n,s,r,o,i,a,!0,c);if(a&&c.fill)if(c.fillStyle==="solid"){const d=Object.assign({},c);d.disableMultiStroke=!0;const f=mr(t,n,s,r,o,i,!0,!1,d);f.type="fillPath",u.push(f)}else u.push(eu(t,n,s,r,o,i,c));return c.stroke!==Q&&u.push(h),this._d("arc",u,c)}curve(t,n){const s=this._o(n),r=[],o=gr(t,s);if(s.fill&&s.fill!==Q)if(s.fillStyle==="solid"){const i=gr(t,Object.assign(Object.assign({},s),{disableMultiStroke:!0,roughness:s.roughness?s.roughness+s.fillShapeRoughnessGain:0}));r.push({type:"fillPath",ops:this._mergedShape(i.ops)})}else{const i=[],a=t;if(a.length){const c=typeof a[0][0]=="number"?[a]:a;for(const u of c)u.length<3?i.push(...u):u.length===3?i.push(...Jn(wr([u[0],u[0],u[1],u[2]]),10,(1+s.roughness)/2)):i.push(...Jn(wr(u),10,(1+s.roughness)/2))}i.length&&r.push(pe([i],s))}return s.stroke!==Q&&r.push(o),this._d("curve",r,s)}polygon(t,n){const s=this._o(n),r=[],o=De(t,!0,s);return s.fill&&(s.fillStyle==="solid"?r.push(Ln([t],s)):r.push(pe([t],s))),s.stroke!==Q&&r.push(o),this._d("polygon",r,s)}path(t,n){const s=this._o(n),r=[];if(!t)return this._d("path",r,s);t=(t||"").replace(/\n/g," ").replace(/(-\s)/g,"-").replace("/(ss)/g"," ");const o=s.fill&&s.fill!=="transparent"&&s.fill!==Q,i=s.stroke!==Q,a=!!(s.simplification&&s.simplification<1),l=a?4-4*(s.simplification||1):(1+s.roughness)/2,c=uu(t,1,l),u=yr(t,s);if(o)if(s.fillStyle==="solid")if(c.length===1){const h=yr(t,Object.assign(Object.assign({},s),{disableMultiStroke:!0,roughness:s.roughness?s.roughness+s.fillShapeRoughnessGain:0}));r.push({type:"fillPath",ops:this._mergedShape(h.ops)})}else r.push(Ln(c,s));else r.push(pe(c,s));return i&&(a?c.forEach(h=>{r.push(De(h,!1,s))}):r.push(u)),this._d("path",r,s)}opsToPath(t,n){let s="";for(const r of t.ops){const o=typeof n=="number"&&n>=0?r.data.map(i=>+i.toFixed(n)):r.data;switch(r.op){case"move":s+=`M${o[0]} ${o[1]} `;break;case"bcurveTo":s+=`C${o[0]} ${o[1]}, ${o[2]} ${o[3]}, ${o[4]} ${o[5]} `;break;case"lineTo":s+=`L${o[0]} ${o[1]} `;break}}return s.trim()}toPaths(t){const n=t.sets||[],s=t.options||this.defaultOptions,r=[];for(const o of n){let i=null;switch(o.type){case"path":i={d:this.opsToPath(o),stroke:s.stroke,strokeWidth:s.strokeWidth,fill:Q};break;case"fillPath":i={d:this.opsToPath(o),stroke:Q,strokeWidth:0,fill:s.fill||Q};break;case"fillSketch":i=this.fillSketch(o,s);break}i&&r.push(i)}return r}fillSketch(t,n){let s=n.fillWeight;return s<0&&(s=n.strokeWidth/2),{d:this.opsToPath(t),stroke:n.fill||Q,strokeWidth:s,fill:Q}}_mergedShape(t){return t.filter((n,s)=>s===0?!0:n.op!=="move")}}const ho="http://www.w3.org/2000/svg",du=800;let Pn=null;function hu(){return Pn||(Pn=new fu().defaultOptions),Pn}function En(e,t,n){return{...hu(),maxRandomnessOffset:2,roughness:e==="highlight"?3:1.5,bowing:1,stroke:"#000",strokeWidth:1.5,curveTightness:0,curveFitting:.95,curveStepCount:9,fillStyle:"hachure",fillWeight:-1,hachureAngle:-41,hachureGap:-1,dashOffset:-1,dashGap:-1,zigzagOffset:-1,disableMultiStroke:e!=="double",disableMultiStrokeFill:!1,seed:t,...n}}function pu(e){const t=e.padding;if(t||t===0){if(typeof t=="number")return[t,t,t,t];if(Array.isArray(t)){const n=t;if(n.length)switch(n.length){case 4:return[...n];case 1:return[n[0],n[0],n[0],n[0]];case 2:return[...n,...n];case 3:return[...n,n[1]];default:return[n[0],n[1],n[2],n[3]]}}}return[5,5,5,5]}function gu(e,t,n,s,r,o){const i=[];let a=n.strokeWidth||2;const l=pu(n),c=n.animate===void 0?!0:!!n.animate,u=n.iterations||2,h=n.rtl?1:0,d=En("single",o,n);switch(n.type){case"underline":{const f=t.y+t.h+l[2];for(let p=h;p<u+h;p++)p%2?i.push(X(t.x+t.w,f,t.x,f,d)):i.push(X(t.x,f,t.x+t.w,f,d));break}case"strike-through":{const f=t.y+t.h/2;for(let p=h;p<u+h;p++)p%2?i.push(X(t.x+t.w,f,t.x,f,d)):i.push(X(t.x,f,t.x+t.w,f,d));break}case"box":{const f=t.x-l[3],p=t.y-l[0],g=t.w+(l[1]+l[3]),y=t.h+(l[0]+l[2]);for(let m=0;m<u;m++)i.push(co(f,p,g,y,d));break}case"bracket":{const f=Array.isArray(n.brackets)?n.brackets:n.brackets?[n.brackets]:["right"],p=t.x-l[3]*2,g=t.x+t.w+l[1]*2,y=t.y-l[0]*2,m=t.y+t.h+l[2]*2;for(const v of f){let k;switch(v){case"bottom":k=[[p,t.y+t.h],[p,m],[g,m],[g,t.y+t.h]];break;case"top":k=[[p,t.y],[p,y],[g,y],[g,t.y]];break;case"left":k=[[t.x,y],[p,y],[p,m],[t.x,m]];break;case"right":k=[[t.x+t.w,y],[g,y],[g,m],[t.x+t.w,m]];break}k&&i.push(De(k,!1,d))}break}case"crossed-off":{const f=t.x,p=t.y,g=f+t.w,y=p+t.h;for(let m=h;m<u+h;m++)m%2?i.push(X(g,y,f,p,d)):i.push(X(f,p,g,y,d));for(let m=h;m<u+h;m++)m%2?i.push(X(f,y,g,p,d)):i.push(X(g,p,f,y,d));break}case"circle":{const f=En("double",o,n),p=t.w+(l[1]+l[3]),g=t.h+(l[0]+l[2]),y=t.x-l[3]+p/2,m=t.y-l[0]+g/2,v=Math.floor(u/2),k=u-v*2;for(let w=0;w<v;w++)i.push(zn(y,m,p,g,f));for(let w=0;w<k;w++)i.push(zn(y,m,p,g,d));break}case"highlight":{const f=En("highlight",o,n);a=t.h*.95;const p=t.y+t.h/2;for(let g=h;g<u+h;g++)g%2?i.push(X(t.x+t.w,p,t.x,p,f)):i.push(X(t.x,p,t.x+t.w,p,f));break}}if(i.length){const f=mu(i),p=[],g=[];let y=0;const m=(v,k,w)=>v.setAttribute(k,w);for(const v of f){const k=document.createElementNS(ho,"path");if(m(k,"d",v),m(k,"fill","none"),m(k,"stroke",n.color||"currentColor"),m(k,"stroke-width",`${a}`),n.opacity!==void 0&&m(k,"style",`opacity:${n.opacity}`),c){const w=k.getTotalLength();p.push(w),y+=w}e.appendChild(k),g.push(k)}if(c){let v=0;for(let k=0;k<g.length;k++){const w=g[k],E=p[k],$=y?r*(E/y):0,D=s+v,R=w.style;R.strokeDashoffset=`${E}`,R.strokeDasharray=`${E}`,R.animation=`rough-notation-dash ${$}ms ease-out ${D}ms forwards`,v+=$}return br(r+s)}}return br(0)}function br(e){return new Promise(t=>setTimeout(t,e))}function mu(e){const t=[];for(const n of e){let s="";for(const r of n.ops){const o=r.data;switch(r.op){case"move":s.trim()&&t.push(s.trim()),s=`M${o[0]} ${o[1]} `;break;case"bcurveTo":s+=`C${o[0]} ${o[1]}, ${o[2]} ${o[3]}, ${o[4]} ${o[5]} `;break;case"lineTo":s+=`L${o[0]} ${o[1]} `;break}}s.trim()&&t.push(s.trim())}return t}function yu(){if(!window.__rno_kf_s){const e=window.__rno_kf_s=document.createElement("style");e.textContent="@keyframes rough-notation-dash { to { stroke-dashoffset: 0; } }",document.head.appendChild(e)}}var _u=Object.defineProperty,vu=(e,t,n)=>t in e?_u(e,t,{enumerable:!0,configurable:!0,writable:!0,value:n}):e[t]=n,nt=(e,t,n)=>(vu(e,typeof t!="symbol"?t+"":t,n),n);class ku{constructor(t,n){nt(this,"_state","unattached"),nt(this,"_config"),nt(this,"_resizing",!1),nt(this,"_ro"),nt(this,"_seed",ro()),nt(this,"_e"),nt(this,"_svg"),nt(this,"_lastSizes",[]),nt(this,"_animationDelay",0),nt(this,"_resizeListener",()=>{this._resizing||(this._resizing=!0,setTimeout(()=>{this._resizing=!1,this._state==="showing"&&this.haveRectsChanged()&&this.show()},400))}),nt(this,"pendingRefresh"),this._e=t,this._config=JSON.parse(JSON.stringify(n)),this.attach()}getConfig(t){return this._config[t]}setConfig(t,n){this._config[t]!==n&&(this._config[t]=n,this.refresh())}get animate(){return this._config.animate}set animate(t){this._config.animate=t}get animationDuration(){return this._config.animationDuration}set animationDuration(t){this._config.animationDuration=t}get iterations(){return this._config.iterations}set iterations(t){this._config.iterations=t}get color(){return this._config.color}set color(t){this._config.color!==t&&(this._config.color=t,this.refresh())}get class(){return this._config.class}set class(t){this._config.class!==t&&(this._config.class=t,this._svg&&this._svg.setAttribute("class",["rough-annotation",this._config.class||""].filter(Boolean).join(" ")))}get strokeWidth(){return this._config.strokeWidth}set strokeWidth(t){this._config.strokeWidth!==t&&(this._config.strokeWidth=t,this.refresh())}get padding(){return this._config.padding}set padding(t){this._config.padding!==t&&(this._config.padding=t,this.refresh())}attach(){if(this._state==="unattached"&&this._e.parentElement){yu();const t=this._svg=document.createElementNS(ho,"svg");t.setAttribute("class",["rough-annotation",this._config.class||""].filter(Boolean).join(" "));const n=t.style;n.position="absolute",n.top="0",n.left="0",n.overflow="visible",n.pointerEvents="none",n.width="100px",n.height="100px";const s=this._config.type==="highlight";if(this._e.insertAdjacentElement(s?"beforebegin":"afterend",t),this._state="not-showing",s){const r=window.getComputedStyle(this._e).position;(!r||r==="static")&&(this._e.style.position="relative")}this.attachListeners()}}detachListeners(){window.removeEventListener("resize",this._resizeListener),this._ro&&this._ro.unobserve(this._e)}attachListeners(){this.detachListeners(),window.addEventListener("resize",this._resizeListener,{passive:!0}),!this._ro&&"ResizeObserver"in window&&(this._ro=new window.ResizeObserver(t=>{for(const n of t)n.contentRect&&this._resizeListener()})),this._ro&&this._ro.observe(this._e)}haveRectsChanged(){if(this._lastSizes.length){const t=this.rects();if(t.length===this._lastSizes.length){for(let n=0;n<t.length;n++)if(!this.isSameRect(t[n],this._lastSizes[n]))return!0}else return!0}return!1}isSameRect(t,n){const s=(r,o)=>Math.round(r)===Math.round(o);return s(t.x,n.x)&&s(t.y,n.y)&&s(t.w,n.w)&&s(t.h,n.h)}isShowing(){return this._state!=="not-showing"}refresh(){this.isShowing()&&!this.pendingRefresh&&(this.pendingRefresh=Promise.resolve().then(()=>{this.isShowing()&&this.show(),delete this.pendingRefresh}))}async show(){switch(this._state){case"unattached":break;case"showing":this.hide(),this._svg&&await this.render(this._svg,!0);break;case"not-showing":this.attach(),this._svg&&await this.render(this._svg,!1);break}}hide(){if(this._svg)for(;this._svg.lastChild;)this._svg.removeChild(this._svg.lastChild);this._state="not-showing"}remove(){this._svg&&this._svg.parentElement&&this._svg.parentElement.removeChild(this._svg),this._svg=void 0,this._state="unattached",this.detachListeners()}async render(t,n){let s=this._config;n&&(s=JSON.parse(JSON.stringify(this._config)),s.animate=!1);const r=this.rects();let o=0;r.forEach(c=>o+=c.w);const i=s.animationDuration||du;let a=0;const l=[];for(let c=0;c<r.length;c++){const u=r[c],h=i*(u.w/o);l.push(gu(t,r[c],s,a+this._animationDelay+(this._config.delay||0),h,this._seed)),a+=h}return this._lastSizes=r,this._state="showing",await Promise.all(l)}rects(){const t=[];if(this._svg)if(this._config.multiline){const n=this._e.getClientRects();for(let s=0;s<n.length;s++)t.push(this.svgRect(this._svg,n[s]))}else t.push(this.svgRect(this._svg,this._e.getBoundingClientRect()));return t}svgRect(t,n){const s=t.getBoundingClientRect(),r=n;return{x:(r.x||r.left)-(s.x||s.left),y:(r.y||r.top)-(s.y||s.top),w:r.width,h:r.height}}}function wu(e,t){return new ku(e,t)}function G(e,t){return e.class=[e.class,t].filter(Boolean).join(" "),e}const Sr={box:e=>Object.assign(e,{type:"box"}),circle:e=>Object.assign(e,{type:"circle"}),underline:e=>Object.assign(e,{type:"underline"}),highlight:e=>Object.assign(e,{type:"highlight"}),"strike-through":e=>Object.assign(e,{type:"strike-through"}),"crossed-off":e=>Object.assign(e,{type:"crossed-off"}),bracket:e=>Object.assign(e,{type:"bracket"}),strike:e=>Object.assign(e,{type:"strike-through"}),cross:e=>Object.assign(e,{type:"crossed-off"}),crossed:e=>Object.assign(e,{type:"crossed-off"}),linethrough:e=>Object.assign(e,{type:"strike-through"}),"line-through":e=>Object.assign(e,{type:"strike-through"}),black:e=>G(e,"text-black"),blue:e=>G(e,"text-blue"),cyan:e=>G(e,"text-cyan"),gray:e=>G(e,"text-gray"),green:e=>G(e,"text-green"),indigo:e=>G(e,"text-indigo"),lime:e=>G(e,"text-lime"),orange:e=>G(e,"text-orange"),pink:e=>G(e,"text-pink"),purple:e=>G(e,"text-purple"),red:e=>G(e,"text-red"),teal:e=>G(e,"text-teal"),white:e=>G(e,"text-white"),yellow:e=>G(e,"text-yellow")},bu=[[/^delay-?(\d+)?$/,(e,t,n)=>{const s=(e[1]?Number.parseInt(e[1]):n)||300;return t.delay=s,t}],[/^(?:op|opacity)-?(\d+)?$/,(e,t,n)=>{const s=(e[1]?Number.parseInt(e[1]):n)||100;return t.opacity=s/100,t}]];function Su(){return{install(e){e.directive("mark",{name:"v-mark",mounted:(t,n)=>{const s=b(()=>{const i=typeof n.value=="object"&&!Array.isArray(n.value)?{...n.value}:{at:n.value};let a={at:i.at};const l=Object.entries(n.modifiers).filter(([u,h])=>{if(Sr[u])return a=Sr[u](a,h),!1;for(const[d,f]of bu){const p=u.match(d);if(p)return a=f(p,a,h),!1}return!0});l.length&&console.warn("[Slidev] Invalid modifiers for v-mark:",l);const c={...a,...i};return c.type||(c.type="underline"),c}),r=wu(t,s.value),o=Qe(t,n,s.value.at);if(!o){r.show();return}t.watchStopHandle=ve(()=>{let i;s.value.class&&(r.class=s.value.class),s.value.color&&(r.color=s.value.color);const a=s.value.at;a===!0?i=!0:a===!1?i=!1:i=o.isActive.value,i!=null&&(i?r.show():r.hide())})},unmounted:t=>{var n;(n=t.watchStopHandle)==null||n.call(t)}})}}}function Mu(){return{install(e){const t=xo();e.directive("motion",{name:"v-motion",mounted(n,s,r,o){var k,w,E;const i=ke(s,dn),a=ke(s,Zn),l=ke(s,Yn),{currentPage:c,clicks:u,isPrintMode:h}=Nn(),d=r.props={...r.props},f={...d.initial,...(k=d.variants)==null?void 0:k["slidev-initial"]},p={...d.enter,...(w=d.variants)==null?void 0:w["slidev-enter"]},g={...d.leave,...(E=d.variants)==null?void 0:E["slidev-leave"]};delete d.initial,delete d.enter,delete d.leave;const y=`${as()}-`,m=[];for(const $ of Object.keys(d))if($.startsWith("click-")){const D=$.slice(6),R=D.includes("-")?D.split("-").map(Number):+D,x=y+D;m.push({id:x,at:R,variant:{...d[$]},info:i==null?void 0:i.value.calculate(R)}),delete d[$]}m.sort(($,D)=>(Array.isArray($.at)?$.at[0]:$.at)-(Array.isArray(D.at)?D.at[0]:D.at)),t.created(n,s,r,o),t.mounted(n,s,r,o);const v=n.motionInstance;v.clickIds=m.map($=>$.id),v.set(f),v.watchStopHandle=st([a,c,u].filter(Boolean),()=>{var D;const $=((D=no.get(n))==null?void 0:D.visibilityState.value)??"shown";if(!(i!=null&&i.value)||!["slide","presenter"].includes((l==null?void 0:l.value)??"")){const R={...f,...p};for(const{variant:x}of m)Object.assign(R,x);v.set(R)}else if(h.value||(a==null?void 0:a.value)===c.value)if($==="shown"){const R={...f,...p};for(const{variant:x,info:J}of m)(!J||J.isActive.value)&&Object.assign(R,x);h.value?v.set(R):v.apply(R)}else v.apply($==="before"?f:g);else v.apply(((a==null?void 0:a.value)??-1)>c.value?f:g)},{immediate:!0})},unmounted(n){n.motionInstance.watchStopHandle()}})}}}const $u=[];function Tu(){const e=[];{let t=function(n){if(!U.remote||U.remote===n.query.password)return!0;if(U.remote&&n.query.password===void 0){const s=prompt("Enter password");if(U.remote===s)return!0}return n.params.no?{path:`/${n.params.no}`}:{path:""}};e.push({name:"entry",path:"/entry",component:()=>S(()=>import("./slidev/entry-BuL9-yYF.js"),__vite__mapDeps([61,21,1,4,5,6,7,8,62]))},{name:"overview",path:"/overview",component:()=>S(()=>import("./slidev/overview-1yejmVit.js"),__vite__mapDeps([63,21,1,4,5,6,64,65,66,67,68,7,8]))},{name:"notes",path:"/notes",component:()=>S(()=>import("./slidev/notes-DJw_rOv3.js"),__vite__mapDeps([69,21,1,4,5,6,64,65,68,7,8])),beforeEnter:t},{name:"presenter",path:"/presenter/:no",component:()=>S(()=>import("./slidev/presenter-DtNW9EJv.js"),__vite__mapDeps([70,21,1,66,4,5,6,67,71,3,68,7,8,72,64,65,73,74,75])),beforeEnter:t},{path:"/presenter",redirect:{path:"/presenter/1"}})}return e.push({name:"play",path:"/:no",component:()=>S(()=>import("./slidev/play-D87vDAm9.js"),__vite__mapDeps([76,1,66,4,5,6,67,71,21,3,68,7,8,72,77]))},{path:"",redirect:{path:"/1"}},{path:"/:pathMatch(.*)*",name:"NotFound",component:()=>S(()=>import("./slidev/404-36mg7bFt.js"),__vite__mapDeps([78,1,4,5,6,7,8,79]))}),$u.reduce((t,n)=>n(t),e)}async function Ou(e){function t(){document.documentElement.style.setProperty("--vh",`${window.innerHeight*.01}px`)}t(),window.addEventListener("resize",t);const n=jo({history:Vo("/tuela24"),routes:Tu()});e.use(n),e.use(Ri()),e.use(Lc()),e.use(Su()),e.use(xc()),e.use(Mu()),e.use(Uo,{container:"#twoslash-container"});const s={app:e,router:n};for(const r of Oc)await r(s)}const po=Fo(Tc);Ou(po);po.mount("#app");export{Bu as $,zu as A,at as B,Ue as C,Pc as D,hn as E,Dl as F,Rc as G,Gu as H,Xn as I,Ve as J,Ju as K,Xu as L,Yu as M,ju as N,xu as O,Hu as P,tt as Q,to as R,eo as S,Vr as T,Du as U,Il as V,Zn as W,Yn as X,dn as Y,Rl as Z,Fi as _,Nn as a,Cl as a0,Cu as a1,Nl as a2,as as a3,Hn as a4,$e as a5,Ru as a6,jr as b,Vi as c,Iu as d,ef as e,Zu as f,ge as g,Ac as h,an as i,sf as j,rf as k,Wu as l,qu as m,Qu as n,Dc as o,Fe as p,tf as q,Uu as r,Fu as s,of as t,Ni as u,so as v,on as w,Ec as x,Ku as y,nf as z};
diff --git a/docs/assets/json.worker-CJ89eVXr.js b/docs/assets/json.worker-CJ89eVXr.js
new file mode 100644
index 0000000..5f262ad
--- /dev/null
+++ b/docs/assets/json.worker-CJ89eVXr.js
@@ -0,0 +1,49 @@
+var J1=Object.defineProperty;var X1=(st,Ue,Te)=>Ue in st?J1(st,Ue,{enumerable:!0,configurable:!0,writable:!0,value:Te}):st[Ue]=Te;var it=(st,Ue,Te)=>X1(st,typeof Ue!="symbol"?Ue+"":Ue,Te);(function(){"use strict";var Qo,Yo,Zo,Ko,me,G;class st{constructor(){this.listeners=[],this.unexpectedErrorHandler=function(t){setTimeout(()=>{throw t.stack?dt.isErrorNoTelemetry(t)?new dt(t.message+`
+
+`+t.stack):new Error(t.message+`
+
+`+t.stack):t},0)}}emit(t){this.listeners.forEach(n=>{n(t)})}onUnexpectedError(t){this.unexpectedErrorHandler(t),this.emit(t)}onUnexpectedExternalError(t){this.unexpectedErrorHandler(t)}}const Ue=new st;function Te(e){tl(e)||Ue.onUnexpectedError(e)}function ui(e){if(e instanceof Error){const{name:t,message:n}=e,r=e.stacktrace||e.stack;return{$isError:!0,name:t,message:n,stack:r,noTelemetry:dt.isErrorNoTelemetry(e)}}return e}const Jn="Canceled";function tl(e){return e instanceof nl?!0:e instanceof Error&&e.name===Jn&&e.message===Jn}class nl extends Error{constructor(){super(Jn),this.name=this.message}}class dt extends Error{constructor(t){super(t),this.name="CodeExpectedError"}static fromError(t){if(t instanceof dt)return t;const n=new dt;return n.message=t.message,n.stack=t.stack,n}static isErrorNoTelemetry(t){return t.name==="CodeExpectedError"}}class be extends Error{constructor(t){super(t||"An unexpected bug occurred."),Object.setPrototypeOf(this,be.prototype)}}function rl(e,t){const n=this;let r=!1,i;return function(){return r||(r=!0,i=e.apply(n,arguments)),i}}var on;(function(e){function t(y){return y&&typeof y=="object"&&typeof y[Symbol.iterator]=="function"}e.is=t;const n=Object.freeze([]);function r(){return n}e.empty=r;function*i(y){yield y}e.single=i;function s(y){return t(y)?y:i(y)}e.wrap=s;function a(y){return y||n}e.from=a;function*l(y){for(let b=y.length-1;b>=0;b--)yield y[b]}e.reverse=l;function o(y){return!y||y[Symbol.iterator]().next().done===!0}e.isEmpty=o;function u(y){return y[Symbol.iterator]().next().value}e.first=u;function h(y,b){let _=0;for(const A of y)if(b(A,_++))return!0;return!1}e.some=h;function c(y,b){for(const _ of y)if(b(_))return _}e.find=c;function*d(y,b){for(const _ of y)b(_)&&(yield _)}e.filter=d;function*m(y,b){let _=0;for(const A of y)yield b(A,_++)}e.map=m;function*g(y,b){let _=0;for(const A of y)yield*b(A,_++)}e.flatMap=g;function*p(...y){for(const b of y)yield*b}e.concat=p;function x(y,b,_){let A=_;for(const C of y)A=b(A,C);return A}e.reduce=x;function*w(y,b,_=y.length){for(b<0&&(b+=y.length),_<0?_+=y.length:_>y.length&&(_=y.length);b<_;b++)yield y[b]}e.slice=w;function L(y,b=Number.POSITIVE_INFINITY){const _=[];if(b===0)return[_,y];const A=y[Symbol.iterator]();for(let C=0;C<b;C++){const F=A.next();if(F.done)return[_,e.empty()];_.push(F.value)}return[_,{[Symbol.iterator](){return A}}]}e.consume=L;async function v(y){const b=[];for await(const _ of y)b.push(_);return Promise.resolve(b)}e.asyncToArray=v})(on||(on={}));function Q1(e){return e}function Y1(e,t){}function ci(e){if(on.is(e)){const t=[];for(const n of e)if(n)try{n.dispose()}catch(r){t.push(r)}if(t.length===1)throw t[0];if(t.length>1)throw new AggregateError(t,"Encountered errors while disposing of store");return Array.isArray(e)?[]:e}else if(e)return e.dispose(),e}function il(...e){return ln(()=>ci(e))}function ln(e){return{dispose:rl(()=>{e()})}}const On=class On{constructor(){this._toDispose=new Set,this._isDisposed=!1}dispose(){this._isDisposed||(this._isDisposed=!0,this.clear())}get isDisposed(){return this._isDisposed}clear(){if(this._toDispose.size!==0)try{ci(this._toDispose)}finally{this._toDispose.clear()}}add(t){if(!t)return t;if(t===this)throw new Error("Cannot register a disposable on itself!");return this._isDisposed?On.DISABLE_DISPOSED_WARNING||console.warn(new Error("Trying to add a disposable to a DisposableStore that has already been disposed of. The added object will be leaked!").stack):this._toDispose.add(t),t}deleteAndLeak(t){t&&this._toDispose.has(t)&&this._toDispose.delete(t)}};On.DISABLE_DISPOSED_WARNING=!1;let $t=On;const oi=class oi{constructor(){this._store=new $t,this._store}dispose(){this._store.dispose()}_register(t){if(t===this)throw new Error("Cannot register a disposable on itself!");return this._store.add(t)}};oi.None=Object.freeze({dispose(){}});let mt=oi;const Pt=class Pt{constructor(t){this.element=t,this.next=Pt.Undefined,this.prev=Pt.Undefined}};Pt.Undefined=new Pt(void 0);let Q=Pt;class sl{constructor(){this._first=Q.Undefined,this._last=Q.Undefined,this._size=0}get size(){return this._size}isEmpty(){return this._first===Q.Undefined}clear(){let t=this._first;for(;t!==Q.Undefined;){const n=t.next;t.prev=Q.Undefined,t.next=Q.Undefined,t=n}this._first=Q.Undefined,this._last=Q.Undefined,this._size=0}unshift(t){return this._insert(t,!1)}push(t){return this._insert(t,!0)}_insert(t,n){const r=new Q(t);if(this._first===Q.Undefined)this._first=r,this._last=r;else if(n){const s=this._last;this._last=r,r.prev=s,s.next=r}else{const s=this._first;this._first=r,r.next=s,s.prev=r}this._size+=1;let i=!1;return()=>{i||(i=!0,this._remove(r))}}shift(){if(this._first!==Q.Undefined){const t=this._first.element;return this._remove(this._first),t}}pop(){if(this._last!==Q.Undefined){const t=this._last.element;return this._remove(this._last),t}}_remove(t){if(t.prev!==Q.Undefined&&t.next!==Q.Undefined){const n=t.prev;n.next=t.next,t.next.prev=n}else t.prev===Q.Undefined&&t.next===Q.Undefined?(this._first=Q.Undefined,this._last=Q.Undefined):t.next===Q.Undefined?(this._last=this._last.prev,this._last.next=Q.Undefined):t.prev===Q.Undefined&&(this._first=this._first.next,this._first.prev=Q.Undefined);this._size-=1}*[Symbol.iterator](){let t=this._first;for(;t!==Q.Undefined;)yield t.element,t=t.next}}const al=globalThis.performance&&typeof globalThis.performance.now=="function";class un{static create(t){return new un(t)}constructor(t){this._now=al&&t===!1?Date.now:globalThis.performance.now.bind(globalThis.performance),this._startTime=this._now(),this._stopTime=-1}stop(){this._stopTime=this._now()}reset(){this._startTime=this._now(),this._stopTime=-1}elapsed(){return this._stopTime!==-1?this._stopTime-this._startTime:this._now()-this._startTime}}var cn;(function(e){e.None=()=>mt.None;function t(k,R){return d(k,()=>{},0,void 0,!0,void 0,R)}e.defer=t;function n(k){return(R,I=null,T)=>{let P=!1,D;return D=k($=>{if(!P)return D?D.dispose():P=!0,R.call(I,$)},null,T),P&&D.dispose(),D}}e.once=n;function r(k,R){return e.once(e.filter(k,R))}e.onceIf=r;function i(k,R,I){return h((T,P=null,D)=>k($=>T.call(P,R($)),null,D),I)}e.map=i;function s(k,R,I){return h((T,P=null,D)=>k($=>{R($),T.call(P,$)},null,D),I)}e.forEach=s;function a(k,R,I){return h((T,P=null,D)=>k($=>R($)&&T.call(P,$),null,D),I)}e.filter=a;function l(k){return k}e.signal=l;function o(...k){return(R,I=null,T)=>{const P=il(...k.map(D=>D($=>R.call(I,$))));return c(P,T)}}e.any=o;function u(k,R,I,T){let P=I;return i(k,D=>(P=R(P,D),P),T)}e.reduce=u;function h(k,R){let I;const T={onWillAddFirstListener(){I=k(P.fire,P)},onDidRemoveLastListener(){I==null||I.dispose()}},P=new _e(T);return R==null||R.add(P),P.event}function c(k,R){return R instanceof Array?R.push(k):R&&R.add(k),k}function d(k,R,I=100,T=!1,P=!1,D,$){let j,ne,Ot,Hn=0,ft;const H1={leakWarningThreshold:D,onWillAddFirstListener(){j=k(z1=>{Hn++,ne=R(ne,z1),T&&!Ot&&(zn.fire(ne),ne=void 0),ft=()=>{const G1=ne;ne=void 0,Ot=void 0,(!T||Hn>1)&&zn.fire(G1),Hn=0},typeof I=="number"?(clearTimeout(Ot),Ot=setTimeout(ft,I)):Ot===void 0&&(Ot=0,queueMicrotask(ft))})},onWillRemoveListener(){P&&Hn>0&&(ft==null||ft())},onDidRemoveLastListener(){ft=void 0,j.dispose()}},zn=new _e(H1);return $==null||$.add(zn),zn.event}e.debounce=d;function m(k,R=0,I){return e.debounce(k,(T,P)=>T?(T.push(P),T):[P],R,void 0,!0,void 0,I)}e.accumulate=m;function g(k,R=(T,P)=>T===P,I){let T=!0,P;return a(k,D=>{const $=T||!R(D,P);return T=!1,P=D,$},I)}e.latch=g;function p(k,R,I){return[e.filter(k,R,I),e.filter(k,T=>!R(T),I)]}e.split=p;function x(k,R=!1,I=[],T){let P=I.slice(),D=k(ne=>{P?P.push(ne):j.fire(ne)});T&&T.add(D);const $=()=>{P==null||P.forEach(ne=>j.fire(ne)),P=null},j=new _e({onWillAddFirstListener(){D||(D=k(ne=>j.fire(ne)),T&&T.add(D))},onDidAddFirstListener(){P&&(R?setTimeout($):$())},onDidRemoveLastListener(){D&&D.dispose(),D=null}});return T&&T.add(j),j.event}e.buffer=x;function w(k,R){return(T,P,D)=>{const $=R(new v);return k(function(j){const ne=$.evaluate(j);ne!==L&&T.call(P,ne)},void 0,D)}}e.chain=w;const L=Symbol("HaltChainable");class v{constructor(){this.steps=[]}map(R){return this.steps.push(R),this}forEach(R){return this.steps.push(I=>(R(I),I)),this}filter(R){return this.steps.push(I=>R(I)?I:L),this}reduce(R,I){let T=I;return this.steps.push(P=>(T=R(T,P),T)),this}latch(R=(I,T)=>I===T){let I=!0,T;return this.steps.push(P=>{const D=I||!R(P,T);return I=!1,T=P,D?P:L}),this}evaluate(R){for(const I of this.steps)if(R=I(R),R===L)break;return R}}function y(k,R,I=T=>T){const T=(...j)=>$.fire(I(...j)),P=()=>k.on(R,T),D=()=>k.removeListener(R,T),$=new _e({onWillAddFirstListener:P,onDidRemoveLastListener:D});return $.event}e.fromNodeEventEmitter=y;function b(k,R,I=T=>T){const T=(...j)=>$.fire(I(...j)),P=()=>k.addEventListener(R,T),D=()=>k.removeEventListener(R,T),$=new _e({onWillAddFirstListener:P,onDidRemoveLastListener:D});return $.event}e.fromDOMEventEmitter=b;function _(k){return new Promise(R=>n(k)(R))}e.toPromise=_;function A(k){const R=new _e;return k.then(I=>{R.fire(I)},()=>{R.fire(void 0)}).finally(()=>{R.dispose()}),R.event}e.fromPromise=A;function C(k,R){return k(I=>R.fire(I))}e.forward=C;function F(k,R,I){return R(I),k(T=>R(T))}e.runAndSubscribe=F;class O{constructor(R,I){this._observable=R,this._counter=0,this._hasChanged=!1;const T={onWillAddFirstListener:()=>{R.addObserver(this),this._observable.reportChanges()},onDidRemoveLastListener:()=>{R.removeObserver(this)}};this.emitter=new _e(T),I&&I.add(this.emitter)}beginUpdate(R){this._counter++}handlePossibleChange(R){}handleChange(R,I){this._hasChanged=!0}endUpdate(R){this._counter--,this._counter===0&&(this._observable.reportChanges(),this._hasChanged&&(this._hasChanged=!1,this.emitter.fire(this._observable.get())))}}function M(k,R){return new O(k,R).emitter.event}e.fromObservable=M;function N(k){return(R,I,T)=>{let P=0,D=!1;const $={beginUpdate(){P++},endUpdate(){P--,P===0&&(k.reportChanges(),D&&(D=!1,R.call(I)))},handlePossibleChange(){},handleChange(){D=!0}};k.addObserver($),k.reportChanges();const j={dispose(){k.removeObserver($)}};return T instanceof $t?T.add(j):Array.isArray(T)&&T.push(j),j}}e.fromObservableLight=N})(cn||(cn={}));const It=class It{constructor(t){this.listenerCount=0,this.invocationCount=0,this.elapsedOverall=0,this.durations=[],this.name=`${t}_${It._idPool++}`,It.all.add(this)}start(t){this._stopWatch=new un,this.listenerCount=t}stop(){if(this._stopWatch){const t=this._stopWatch.elapsed();this.durations.push(t),this.elapsedOverall+=t,this.invocationCount+=1,this._stopWatch=void 0}}};It.all=new Set,It._idPool=0;let Xn=It,ol=-1;const $n=class $n{constructor(t,n,r=($n._idPool++).toString(16).padStart(3,"0")){this._errorHandler=t,this.threshold=n,this.name=r,this._warnCountdown=0}dispose(){var t;(t=this._stacks)==null||t.clear()}check(t,n){const r=this.threshold;if(r<=0||n<r)return;this._stacks||(this._stacks=new Map);const i=this._stacks.get(t.value)||0;if(this._stacks.set(t.value,i+1),this._warnCountdown-=1,this._warnCountdown<=0){this._warnCountdown=r*.5;const[s,a]=this.getMostFrequentStack(),l=`[${this.name}] potential listener LEAK detected, having ${n} listeners already. MOST frequent listener (${a}):`;console.warn(l),console.warn(s);const o=new ll(l,s);this._errorHandler(o)}return()=>{const s=this._stacks.get(t.value)||0;this._stacks.set(t.value,s-1)}}getMostFrequentStack(){if(!this._stacks)return;let t,n=0;for(const[r,i]of this._stacks)(!t||n<i)&&(t=[r,i],n=i);return t}};$n._idPool=1;let Qn=$n;class Yn{static create(){const t=new Error;return new Yn(t.stack??"")}constructor(t){this.value=t}print(){console.warn(this.value.split(`
+`).slice(2).join(`
+`))}}class ll extends Error{constructor(t,n){super(t),this.name="ListenerLeakError",this.stack=n}}class ul extends Error{constructor(t,n){super(t),this.name="ListenerRefusalError",this.stack=n}}class Zn{constructor(t){this.value=t}}const cl=2;class _e{constructor(t){var n,r,i,s;this._size=0,this._options=t,this._leakageMon=(n=this._options)!=null&&n.leakWarningThreshold?new Qn((t==null?void 0:t.onListenerError)??Te,((r=this._options)==null?void 0:r.leakWarningThreshold)??ol):void 0,this._perfMon=(i=this._options)!=null&&i._profName?new Xn(this._options._profName):void 0,this._deliveryQueue=(s=this._options)==null?void 0:s.deliveryQueue}dispose(){var t,n,r,i;this._disposed||(this._disposed=!0,((t=this._deliveryQueue)==null?void 0:t.current)===this&&this._deliveryQueue.reset(),this._listeners&&(this._listeners=void 0,this._size=0),(r=(n=this._options)==null?void 0:n.onDidRemoveLastListener)==null||r.call(n),(i=this._leakageMon)==null||i.dispose())}get event(){return this._event??(this._event=(t,n,r)=>{var l,o,u,h,c;if(this._leakageMon&&this._size>this._leakageMon.threshold**2){const d=`[${this._leakageMon.name}] REFUSES to accept new listeners because it exceeded its threshold by far (${this._size} vs ${this._leakageMon.threshold})`;console.warn(d);const m=this._leakageMon.getMostFrequentStack()??["UNKNOWN stack",-1],g=new ul(`${d}. HINT: Stack shows most frequent listener (${m[1]}-times)`,m[0]);return(((l=this._options)==null?void 0:l.onListenerError)||Te)(g),mt.None}if(this._disposed)return mt.None;n&&(t=t.bind(n));const i=new Zn(t);let s;this._leakageMon&&this._size>=Math.ceil(this._leakageMon.threshold*.2)&&(i.stack=Yn.create(),s=this._leakageMon.check(i.stack,this._size+1)),this._listeners?this._listeners instanceof Zn?(this._deliveryQueue??(this._deliveryQueue=new fl),this._listeners=[this._listeners,i]):this._listeners.push(i):((u=(o=this._options)==null?void 0:o.onWillAddFirstListener)==null||u.call(o,this),this._listeners=i,(c=(h=this._options)==null?void 0:h.onDidAddFirstListener)==null||c.call(h,this)),this._size++;const a=ln(()=>{s==null||s(),this._removeListener(i)});return r instanceof $t?r.add(a):Array.isArray(r)&&r.push(a),a}),this._event}_removeListener(t){var s,a,l,o;if((a=(s=this._options)==null?void 0:s.onWillRemoveListener)==null||a.call(s,this),!this._listeners)return;if(this._size===1){this._listeners=void 0,(o=(l=this._options)==null?void 0:l.onDidRemoveLastListener)==null||o.call(l,this),this._size=0;return}const n=this._listeners,r=n.indexOf(t);if(r===-1)throw console.log("disposed?",this._disposed),console.log("size?",this._size),console.log("arr?",JSON.stringify(this._listeners)),new Error("Attempted to dispose unknown listener");this._size--,n[r]=void 0;const i=this._deliveryQueue.current===this;if(this._size*cl<=n.length){let u=0;for(let h=0;h<n.length;h++)n[h]?n[u++]=n[h]:i&&(this._deliveryQueue.end--,u<this._deliveryQueue.i&&this._deliveryQueue.i--);n.length=u}}_deliver(t,n){var i;if(!t)return;const r=((i=this._options)==null?void 0:i.onListenerError)||Te;if(!r){t.value(n);return}try{t.value(n)}catch(s){r(s)}}_deliverQueue(t){const n=t.current._listeners;for(;t.i<t.end;)this._deliver(n[t.i++],t.value);t.reset()}fire(t){var n,r,i,s;if((n=this._deliveryQueue)!=null&&n.current&&(this._deliverQueue(this._deliveryQueue),(r=this._perfMon)==null||r.stop()),(i=this._perfMon)==null||i.start(this._size),this._listeners)if(this._listeners instanceof Zn)this._deliver(this._listeners,t);else{const a=this._deliveryQueue;a.enqueue(this,t,this._listeners.length),this._deliverQueue(a)}(s=this._perfMon)==null||s.stop()}hasListeners(){return this._size>0}}class fl{constructor(){this.i=-1,this.end=0}enqueue(t,n,r){this.i=0,this.end=r,this.current=t,this.value=n}reset(){this.i=this.end,this.current=void 0,this.value=void 0}}function hl(){return globalThis._VSCODE_NLS_MESSAGES}function fi(){return globalThis._VSCODE_NLS_LANGUAGE}const dl=fi()==="pseudo"||typeof document<"u"&&document.location&&document.location.hash.indexOf("pseudo=true")>=0;function hi(e,t){let n;return t.length===0?n=e:n=e.replace(/\{(\d+)\}/g,(r,i)=>{const s=i[0],a=t[s];let l=r;return typeof a=="string"?l=a:(typeof a=="number"||typeof a=="boolean"||a===void 0||a===null)&&(l=String(a)),l}),dl&&(n="["+n.replace(/[aouei]/g,"$&$&")+"]"),n}function X(e,t,...n){return hi(typeof e=="number"?ml(e,t):t,n)}function ml(e,t){var r;const n=(r=hl())==null?void 0:r[e];if(typeof n!="string"){if(typeof t=="string")return t;throw new Error(`!!! NLS MISSING: ${e} !!!`)}return n}const gt="en";let Kn=!1,er=!1,tr=!1,di=!1,nr=!1,fn,rr=gt,mi=gt,gl,Ae;const Be=globalThis;let ue;typeof Be.vscode<"u"&&typeof Be.vscode.process<"u"?ue=Be.vscode.process:typeof process<"u"&&typeof((Qo=process==null?void 0:process.versions)==null?void 0:Qo.node)=="string"&&(ue=process);const pl=typeof((Yo=ue==null?void 0:ue.versions)==null?void 0:Yo.electron)=="string"&&(ue==null?void 0:ue.type)==="renderer";if(typeof ue=="object"){Kn=ue.platform==="win32",er=ue.platform==="darwin",tr=ue.platform==="linux",tr&&ue.env.SNAP&&ue.env.SNAP_REVISION,ue.env.CI||ue.env.BUILD_ARTIFACTSTAGINGDIRECTORY,fn=gt,rr=gt;const e=ue.env.VSCODE_NLS_CONFIG;if(e)try{const t=JSON.parse(e);fn=t.userLocale,mi=t.osLocale,rr=t.resolvedLanguage||gt,gl=(Zo=t.languagePack)==null?void 0:Zo.translationsConfigFile}catch{}di=!0}else typeof navigator=="object"&&!pl?(Ae=navigator.userAgent,Kn=Ae.indexOf("Windows")>=0,er=Ae.indexOf("Macintosh")>=0,(Ae.indexOf("Macintosh")>=0||Ae.indexOf("iPad")>=0||Ae.indexOf("iPhone")>=0)&&navigator.maxTouchPoints&&navigator.maxTouchPoints>0,tr=Ae.indexOf("Linux")>=0,(Ae==null?void 0:Ae.indexOf("Mobi"))>=0,nr=!0,rr=fi()||gt,fn=navigator.language.toLowerCase(),mi=fn):console.error("Unable to resolve platform.");const Ut=Kn,bl=er,vl=di,xl=nr,yl=nr&&typeof Be.importScripts=="function"?Be.origin:void 0,Pe=Ae,wl=typeof Be.postMessage=="function"&&!Be.importScripts;(()=>{if(wl){const e=[];Be.addEventListener("message",n=>{if(n.data&&n.data.vscodeScheduleAsyncWork)for(let r=0,i=e.length;r<i;r++){const s=e[r];if(s.id===n.data.vscodeScheduleAsyncWork){e.splice(r,1),s.callback();return}}});let t=0;return n=>{const r=++t;e.push({id:r,callback:n}),Be.postMessage({vscodeScheduleAsyncWork:r},"*")}}return e=>setTimeout(e)})();const _l=!!(Pe&&Pe.indexOf("Chrome")>=0);Pe&&Pe.indexOf("Firefox")>=0,!_l&&Pe&&Pe.indexOf("Safari")>=0,Pe&&Pe.indexOf("Edg/")>=0,Pe&&Pe.indexOf("Android")>=0;function Ll(e){return e}class Nl{constructor(t,n){this.lastCache=void 0,this.lastArgKey=void 0,typeof t=="function"?(this._fn=t,this._computeKey=Ll):(this._fn=n,this._computeKey=t.getCacheKey)}get(t){const n=this._computeKey(t);return this.lastArgKey!==n&&(this.lastArgKey=n,this.lastCache=this._fn(t)),this.lastCache}}class gi{constructor(t){this.executor=t,this._didRun=!1}get value(){if(!this._didRun)try{this._value=this.executor()}catch(t){this._error=t}finally{this._didRun=!0}if(this._error)throw this._error;return this._value}get rawValue(){return this._value}}function Sl(e){return e.replace(/[\\\{\}\*\+\?\|\^\$\.\[\]\(\)]/g,"\\$&")}function Al(e){return e.split(/\r\n|\r|\n/)}function kl(e){for(let t=0,n=e.length;t<n;t++){const r=e.charCodeAt(t);if(r!==32&&r!==9)return t}return-1}function Rl(e,t=e.length-1){for(let n=t;n>=0;n--){const r=e.charCodeAt(n);if(r!==32&&r!==9)return n}return-1}function pi(e){return e>=65&&e<=90}function hn(e){return 55296<=e&&e<=56319}function ir(e){return 56320<=e&&e<=57343}function bi(e,t){return(e-55296<<10)+(t-56320)+65536}function El(e,t,n){const r=e.charCodeAt(n);if(hn(r)&&n+1<t){const i=e.charCodeAt(n+1);if(ir(i))return bi(r,i)}return r}const Ml=/^[\t\n\r\x20-\x7E]*$/;function Cl(e){return Ml.test(e)}const $e=class $e{static getInstance(t){return $e.cache.get(Array.from(t))}static getLocales(){return $e._locales.value}constructor(t){this.confusableDictionary=t}isAmbiguous(t){return this.confusableDictionary.has(t)}getPrimaryConfusable(t){return this.confusableDictionary.get(t)}getConfusableCodePoints(){return new Set(this.confusableDictionary.keys())}};$e.ambiguousCharacterData=new gi(()=>JSON.parse('{"_common":[8232,32,8233,32,5760,32,8192,32,8193,32,8194,32,8195,32,8196,32,8197,32,8198,32,8200,32,8201,32,8202,32,8287,32,8199,32,8239,32,2042,95,65101,95,65102,95,65103,95,8208,45,8209,45,8210,45,65112,45,1748,45,8259,45,727,45,8722,45,10134,45,11450,45,1549,44,1643,44,8218,44,184,44,42233,44,894,59,2307,58,2691,58,1417,58,1795,58,1796,58,5868,58,65072,58,6147,58,6153,58,8282,58,1475,58,760,58,42889,58,8758,58,720,58,42237,58,451,33,11601,33,660,63,577,63,2429,63,5038,63,42731,63,119149,46,8228,46,1793,46,1794,46,42510,46,68176,46,1632,46,1776,46,42232,46,1373,96,65287,96,8219,96,8242,96,1370,96,1523,96,8175,96,65344,96,900,96,8189,96,8125,96,8127,96,8190,96,697,96,884,96,712,96,714,96,715,96,756,96,699,96,701,96,700,96,702,96,42892,96,1497,96,2036,96,2037,96,5194,96,5836,96,94033,96,94034,96,65339,91,10088,40,10098,40,12308,40,64830,40,65341,93,10089,41,10099,41,12309,41,64831,41,10100,123,119060,123,10101,125,65342,94,8270,42,1645,42,8727,42,66335,42,5941,47,8257,47,8725,47,8260,47,9585,47,10187,47,10744,47,119354,47,12755,47,12339,47,11462,47,20031,47,12035,47,65340,92,65128,92,8726,92,10189,92,10741,92,10745,92,119311,92,119355,92,12756,92,20022,92,12034,92,42872,38,708,94,710,94,5869,43,10133,43,66203,43,8249,60,10094,60,706,60,119350,60,5176,60,5810,60,5120,61,11840,61,12448,61,42239,61,8250,62,10095,62,707,62,119351,62,5171,62,94015,62,8275,126,732,126,8128,126,8764,126,65372,124,65293,45,120784,50,120794,50,120804,50,120814,50,120824,50,130034,50,42842,50,423,50,1000,50,42564,50,5311,50,42735,50,119302,51,120785,51,120795,51,120805,51,120815,51,120825,51,130035,51,42923,51,540,51,439,51,42858,51,11468,51,1248,51,94011,51,71882,51,120786,52,120796,52,120806,52,120816,52,120826,52,130036,52,5070,52,71855,52,120787,53,120797,53,120807,53,120817,53,120827,53,130037,53,444,53,71867,53,120788,54,120798,54,120808,54,120818,54,120828,54,130038,54,11474,54,5102,54,71893,54,119314,55,120789,55,120799,55,120809,55,120819,55,120829,55,130039,55,66770,55,71878,55,2819,56,2538,56,2666,56,125131,56,120790,56,120800,56,120810,56,120820,56,120830,56,130040,56,547,56,546,56,66330,56,2663,57,2920,57,2541,57,3437,57,120791,57,120801,57,120811,57,120821,57,120831,57,130041,57,42862,57,11466,57,71884,57,71852,57,71894,57,9082,97,65345,97,119834,97,119886,97,119938,97,119990,97,120042,97,120094,97,120146,97,120198,97,120250,97,120302,97,120354,97,120406,97,120458,97,593,97,945,97,120514,97,120572,97,120630,97,120688,97,120746,97,65313,65,119808,65,119860,65,119912,65,119964,65,120016,65,120068,65,120120,65,120172,65,120224,65,120276,65,120328,65,120380,65,120432,65,913,65,120488,65,120546,65,120604,65,120662,65,120720,65,5034,65,5573,65,42222,65,94016,65,66208,65,119835,98,119887,98,119939,98,119991,98,120043,98,120095,98,120147,98,120199,98,120251,98,120303,98,120355,98,120407,98,120459,98,388,98,5071,98,5234,98,5551,98,65314,66,8492,66,119809,66,119861,66,119913,66,120017,66,120069,66,120121,66,120173,66,120225,66,120277,66,120329,66,120381,66,120433,66,42932,66,914,66,120489,66,120547,66,120605,66,120663,66,120721,66,5108,66,5623,66,42192,66,66178,66,66209,66,66305,66,65347,99,8573,99,119836,99,119888,99,119940,99,119992,99,120044,99,120096,99,120148,99,120200,99,120252,99,120304,99,120356,99,120408,99,120460,99,7428,99,1010,99,11429,99,43951,99,66621,99,128844,67,71922,67,71913,67,65315,67,8557,67,8450,67,8493,67,119810,67,119862,67,119914,67,119966,67,120018,67,120174,67,120226,67,120278,67,120330,67,120382,67,120434,67,1017,67,11428,67,5087,67,42202,67,66210,67,66306,67,66581,67,66844,67,8574,100,8518,100,119837,100,119889,100,119941,100,119993,100,120045,100,120097,100,120149,100,120201,100,120253,100,120305,100,120357,100,120409,100,120461,100,1281,100,5095,100,5231,100,42194,100,8558,68,8517,68,119811,68,119863,68,119915,68,119967,68,120019,68,120071,68,120123,68,120175,68,120227,68,120279,68,120331,68,120383,68,120435,68,5024,68,5598,68,5610,68,42195,68,8494,101,65349,101,8495,101,8519,101,119838,101,119890,101,119942,101,120046,101,120098,101,120150,101,120202,101,120254,101,120306,101,120358,101,120410,101,120462,101,43826,101,1213,101,8959,69,65317,69,8496,69,119812,69,119864,69,119916,69,120020,69,120072,69,120124,69,120176,69,120228,69,120280,69,120332,69,120384,69,120436,69,917,69,120492,69,120550,69,120608,69,120666,69,120724,69,11577,69,5036,69,42224,69,71846,69,71854,69,66182,69,119839,102,119891,102,119943,102,119995,102,120047,102,120099,102,120151,102,120203,102,120255,102,120307,102,120359,102,120411,102,120463,102,43829,102,42905,102,383,102,7837,102,1412,102,119315,70,8497,70,119813,70,119865,70,119917,70,120021,70,120073,70,120125,70,120177,70,120229,70,120281,70,120333,70,120385,70,120437,70,42904,70,988,70,120778,70,5556,70,42205,70,71874,70,71842,70,66183,70,66213,70,66853,70,65351,103,8458,103,119840,103,119892,103,119944,103,120048,103,120100,103,120152,103,120204,103,120256,103,120308,103,120360,103,120412,103,120464,103,609,103,7555,103,397,103,1409,103,119814,71,119866,71,119918,71,119970,71,120022,71,120074,71,120126,71,120178,71,120230,71,120282,71,120334,71,120386,71,120438,71,1292,71,5056,71,5107,71,42198,71,65352,104,8462,104,119841,104,119945,104,119997,104,120049,104,120101,104,120153,104,120205,104,120257,104,120309,104,120361,104,120413,104,120465,104,1211,104,1392,104,5058,104,65320,72,8459,72,8460,72,8461,72,119815,72,119867,72,119919,72,120023,72,120179,72,120231,72,120283,72,120335,72,120387,72,120439,72,919,72,120494,72,120552,72,120610,72,120668,72,120726,72,11406,72,5051,72,5500,72,42215,72,66255,72,731,105,9075,105,65353,105,8560,105,8505,105,8520,105,119842,105,119894,105,119946,105,119998,105,120050,105,120102,105,120154,105,120206,105,120258,105,120310,105,120362,105,120414,105,120466,105,120484,105,618,105,617,105,953,105,8126,105,890,105,120522,105,120580,105,120638,105,120696,105,120754,105,1110,105,42567,105,1231,105,43893,105,5029,105,71875,105,65354,106,8521,106,119843,106,119895,106,119947,106,119999,106,120051,106,120103,106,120155,106,120207,106,120259,106,120311,106,120363,106,120415,106,120467,106,1011,106,1112,106,65322,74,119817,74,119869,74,119921,74,119973,74,120025,74,120077,74,120129,74,120181,74,120233,74,120285,74,120337,74,120389,74,120441,74,42930,74,895,74,1032,74,5035,74,5261,74,42201,74,119844,107,119896,107,119948,107,120000,107,120052,107,120104,107,120156,107,120208,107,120260,107,120312,107,120364,107,120416,107,120468,107,8490,75,65323,75,119818,75,119870,75,119922,75,119974,75,120026,75,120078,75,120130,75,120182,75,120234,75,120286,75,120338,75,120390,75,120442,75,922,75,120497,75,120555,75,120613,75,120671,75,120729,75,11412,75,5094,75,5845,75,42199,75,66840,75,1472,108,8739,73,9213,73,65512,73,1633,108,1777,73,66336,108,125127,108,120783,73,120793,73,120803,73,120813,73,120823,73,130033,73,65321,73,8544,73,8464,73,8465,73,119816,73,119868,73,119920,73,120024,73,120128,73,120180,73,120232,73,120284,73,120336,73,120388,73,120440,73,65356,108,8572,73,8467,108,119845,108,119897,108,119949,108,120001,108,120053,108,120105,73,120157,73,120209,73,120261,73,120313,73,120365,73,120417,73,120469,73,448,73,120496,73,120554,73,120612,73,120670,73,120728,73,11410,73,1030,73,1216,73,1493,108,1503,108,1575,108,126464,108,126592,108,65166,108,65165,108,1994,108,11599,73,5825,73,42226,73,93992,73,66186,124,66313,124,119338,76,8556,76,8466,76,119819,76,119871,76,119923,76,120027,76,120079,76,120131,76,120183,76,120235,76,120287,76,120339,76,120391,76,120443,76,11472,76,5086,76,5290,76,42209,76,93974,76,71843,76,71858,76,66587,76,66854,76,65325,77,8559,77,8499,77,119820,77,119872,77,119924,77,120028,77,120080,77,120132,77,120184,77,120236,77,120288,77,120340,77,120392,77,120444,77,924,77,120499,77,120557,77,120615,77,120673,77,120731,77,1018,77,11416,77,5047,77,5616,77,5846,77,42207,77,66224,77,66321,77,119847,110,119899,110,119951,110,120003,110,120055,110,120107,110,120159,110,120211,110,120263,110,120315,110,120367,110,120419,110,120471,110,1400,110,1404,110,65326,78,8469,78,119821,78,119873,78,119925,78,119977,78,120029,78,120081,78,120185,78,120237,78,120289,78,120341,78,120393,78,120445,78,925,78,120500,78,120558,78,120616,78,120674,78,120732,78,11418,78,42208,78,66835,78,3074,111,3202,111,3330,111,3458,111,2406,111,2662,111,2790,111,3046,111,3174,111,3302,111,3430,111,3664,111,3792,111,4160,111,1637,111,1781,111,65359,111,8500,111,119848,111,119900,111,119952,111,120056,111,120108,111,120160,111,120212,111,120264,111,120316,111,120368,111,120420,111,120472,111,7439,111,7441,111,43837,111,959,111,120528,111,120586,111,120644,111,120702,111,120760,111,963,111,120532,111,120590,111,120648,111,120706,111,120764,111,11423,111,4351,111,1413,111,1505,111,1607,111,126500,111,126564,111,126596,111,65259,111,65260,111,65258,111,65257,111,1726,111,64428,111,64429,111,64427,111,64426,111,1729,111,64424,111,64425,111,64423,111,64422,111,1749,111,3360,111,4125,111,66794,111,71880,111,71895,111,66604,111,1984,79,2534,79,2918,79,12295,79,70864,79,71904,79,120782,79,120792,79,120802,79,120812,79,120822,79,130032,79,65327,79,119822,79,119874,79,119926,79,119978,79,120030,79,120082,79,120134,79,120186,79,120238,79,120290,79,120342,79,120394,79,120446,79,927,79,120502,79,120560,79,120618,79,120676,79,120734,79,11422,79,1365,79,11604,79,4816,79,2848,79,66754,79,42227,79,71861,79,66194,79,66219,79,66564,79,66838,79,9076,112,65360,112,119849,112,119901,112,119953,112,120005,112,120057,112,120109,112,120161,112,120213,112,120265,112,120317,112,120369,112,120421,112,120473,112,961,112,120530,112,120544,112,120588,112,120602,112,120646,112,120660,112,120704,112,120718,112,120762,112,120776,112,11427,112,65328,80,8473,80,119823,80,119875,80,119927,80,119979,80,120031,80,120083,80,120187,80,120239,80,120291,80,120343,80,120395,80,120447,80,929,80,120504,80,120562,80,120620,80,120678,80,120736,80,11426,80,5090,80,5229,80,42193,80,66197,80,119850,113,119902,113,119954,113,120006,113,120058,113,120110,113,120162,113,120214,113,120266,113,120318,113,120370,113,120422,113,120474,113,1307,113,1379,113,1382,113,8474,81,119824,81,119876,81,119928,81,119980,81,120032,81,120084,81,120188,81,120240,81,120292,81,120344,81,120396,81,120448,81,11605,81,119851,114,119903,114,119955,114,120007,114,120059,114,120111,114,120163,114,120215,114,120267,114,120319,114,120371,114,120423,114,120475,114,43847,114,43848,114,7462,114,11397,114,43905,114,119318,82,8475,82,8476,82,8477,82,119825,82,119877,82,119929,82,120033,82,120189,82,120241,82,120293,82,120345,82,120397,82,120449,82,422,82,5025,82,5074,82,66740,82,5511,82,42211,82,94005,82,65363,115,119852,115,119904,115,119956,115,120008,115,120060,115,120112,115,120164,115,120216,115,120268,115,120320,115,120372,115,120424,115,120476,115,42801,115,445,115,1109,115,43946,115,71873,115,66632,115,65331,83,119826,83,119878,83,119930,83,119982,83,120034,83,120086,83,120138,83,120190,83,120242,83,120294,83,120346,83,120398,83,120450,83,1029,83,1359,83,5077,83,5082,83,42210,83,94010,83,66198,83,66592,83,119853,116,119905,116,119957,116,120009,116,120061,116,120113,116,120165,116,120217,116,120269,116,120321,116,120373,116,120425,116,120477,116,8868,84,10201,84,128872,84,65332,84,119827,84,119879,84,119931,84,119983,84,120035,84,120087,84,120139,84,120191,84,120243,84,120295,84,120347,84,120399,84,120451,84,932,84,120507,84,120565,84,120623,84,120681,84,120739,84,11430,84,5026,84,42196,84,93962,84,71868,84,66199,84,66225,84,66325,84,119854,117,119906,117,119958,117,120010,117,120062,117,120114,117,120166,117,120218,117,120270,117,120322,117,120374,117,120426,117,120478,117,42911,117,7452,117,43854,117,43858,117,651,117,965,117,120534,117,120592,117,120650,117,120708,117,120766,117,1405,117,66806,117,71896,117,8746,85,8899,85,119828,85,119880,85,119932,85,119984,85,120036,85,120088,85,120140,85,120192,85,120244,85,120296,85,120348,85,120400,85,120452,85,1357,85,4608,85,66766,85,5196,85,42228,85,94018,85,71864,85,8744,118,8897,118,65366,118,8564,118,119855,118,119907,118,119959,118,120011,118,120063,118,120115,118,120167,118,120219,118,120271,118,120323,118,120375,118,120427,118,120479,118,7456,118,957,118,120526,118,120584,118,120642,118,120700,118,120758,118,1141,118,1496,118,71430,118,43945,118,71872,118,119309,86,1639,86,1783,86,8548,86,119829,86,119881,86,119933,86,119985,86,120037,86,120089,86,120141,86,120193,86,120245,86,120297,86,120349,86,120401,86,120453,86,1140,86,11576,86,5081,86,5167,86,42719,86,42214,86,93960,86,71840,86,66845,86,623,119,119856,119,119908,119,119960,119,120012,119,120064,119,120116,119,120168,119,120220,119,120272,119,120324,119,120376,119,120428,119,120480,119,7457,119,1121,119,1309,119,1377,119,71434,119,71438,119,71439,119,43907,119,71919,87,71910,87,119830,87,119882,87,119934,87,119986,87,120038,87,120090,87,120142,87,120194,87,120246,87,120298,87,120350,87,120402,87,120454,87,1308,87,5043,87,5076,87,42218,87,5742,120,10539,120,10540,120,10799,120,65368,120,8569,120,119857,120,119909,120,119961,120,120013,120,120065,120,120117,120,120169,120,120221,120,120273,120,120325,120,120377,120,120429,120,120481,120,5441,120,5501,120,5741,88,9587,88,66338,88,71916,88,65336,88,8553,88,119831,88,119883,88,119935,88,119987,88,120039,88,120091,88,120143,88,120195,88,120247,88,120299,88,120351,88,120403,88,120455,88,42931,88,935,88,120510,88,120568,88,120626,88,120684,88,120742,88,11436,88,11613,88,5815,88,42219,88,66192,88,66228,88,66327,88,66855,88,611,121,7564,121,65369,121,119858,121,119910,121,119962,121,120014,121,120066,121,120118,121,120170,121,120222,121,120274,121,120326,121,120378,121,120430,121,120482,121,655,121,7935,121,43866,121,947,121,8509,121,120516,121,120574,121,120632,121,120690,121,120748,121,1199,121,4327,121,71900,121,65337,89,119832,89,119884,89,119936,89,119988,89,120040,89,120092,89,120144,89,120196,89,120248,89,120300,89,120352,89,120404,89,120456,89,933,89,978,89,120508,89,120566,89,120624,89,120682,89,120740,89,11432,89,1198,89,5033,89,5053,89,42220,89,94019,89,71844,89,66226,89,119859,122,119911,122,119963,122,120015,122,120067,122,120119,122,120171,122,120223,122,120275,122,120327,122,120379,122,120431,122,120483,122,7458,122,43923,122,71876,122,66293,90,71909,90,65338,90,8484,90,8488,90,119833,90,119885,90,119937,90,119989,90,120041,90,120197,90,120249,90,120301,90,120353,90,120405,90,120457,90,918,90,120493,90,120551,90,120609,90,120667,90,120725,90,5059,90,42204,90,71849,90,65282,34,65284,36,65285,37,65286,38,65290,42,65291,43,65294,46,65295,47,65296,48,65297,49,65298,50,65299,51,65300,52,65301,53,65302,54,65303,55,65304,56,65305,57,65308,60,65309,61,65310,62,65312,64,65316,68,65318,70,65319,71,65324,76,65329,81,65330,82,65333,85,65334,86,65335,87,65343,95,65346,98,65348,100,65350,102,65355,107,65357,109,65358,110,65361,113,65362,114,65364,116,65365,117,65367,119,65370,122,65371,123,65373,125,119846,109],"_default":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"cs":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"de":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"es":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"fr":[65374,126,65306,58,65281,33,8216,96,8245,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"it":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ja":[8211,45,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65292,44,65307,59],"ko":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pl":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pt-BR":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"qps-ploc":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ru":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,305,105,921,73,1009,112,215,120,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"tr":[160,32,8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"zh-hans":[65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65288,40,65289,41],"zh-hant":[8211,45,65374,126,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65307,59]}')),$e.cache=new Nl({getCacheKey:JSON.stringify},t=>{function n(h){const c=new Map;for(let d=0;d<h.length;d+=2)c.set(h[d],h[d+1]);return c}function r(h,c){const d=new Map(h);for(const[m,g]of c)d.set(m,g);return d}function i(h,c){if(!h)return c;const d=new Map;for(const[m,g]of h)c.has(m)&&d.set(m,g);return d}const s=$e.ambiguousCharacterData.value;let a=t.filter(h=>!h.startsWith("_")&&h in s);a.length===0&&(a=["_default"]);let l;for(const h of a){const c=n(s[h]);l=i(l,c)}const o=n(s._common),u=r(o,l);return new $e(u)}),$e._locales=new gi(()=>Object.keys($e.ambiguousCharacterData.value).filter(t=>!t.startsWith("_")));let Bt=$e;const Ft=class Ft{static getRawData(){return JSON.parse("[9,10,11,12,13,32,127,160,173,847,1564,4447,4448,6068,6069,6155,6156,6157,6158,7355,7356,8192,8193,8194,8195,8196,8197,8198,8199,8200,8201,8202,8203,8204,8205,8206,8207,8234,8235,8236,8237,8238,8239,8287,8288,8289,8290,8291,8292,8293,8294,8295,8296,8297,8298,8299,8300,8301,8302,8303,10240,12288,12644,65024,65025,65026,65027,65028,65029,65030,65031,65032,65033,65034,65035,65036,65037,65038,65039,65279,65440,65520,65521,65522,65523,65524,65525,65526,65527,65528,65532,78844,119155,119156,119157,119158,119159,119160,119161,119162,917504,917505,917506,917507,917508,917509,917510,917511,917512,917513,917514,917515,917516,917517,917518,917519,917520,917521,917522,917523,917524,917525,917526,917527,917528,917529,917530,917531,917532,917533,917534,917535,917536,917537,917538,917539,917540,917541,917542,917543,917544,917545,917546,917547,917548,917549,917550,917551,917552,917553,917554,917555,917556,917557,917558,917559,917560,917561,917562,917563,917564,917565,917566,917567,917568,917569,917570,917571,917572,917573,917574,917575,917576,917577,917578,917579,917580,917581,917582,917583,917584,917585,917586,917587,917588,917589,917590,917591,917592,917593,917594,917595,917596,917597,917598,917599,917600,917601,917602,917603,917604,917605,917606,917607,917608,917609,917610,917611,917612,917613,917614,917615,917616,917617,917618,917619,917620,917621,917622,917623,917624,917625,917626,917627,917628,917629,917630,917631,917760,917761,917762,917763,917764,917765,917766,917767,917768,917769,917770,917771,917772,917773,917774,917775,917776,917777,917778,917779,917780,917781,917782,917783,917784,917785,917786,917787,917788,917789,917790,917791,917792,917793,917794,917795,917796,917797,917798,917799,917800,917801,917802,917803,917804,917805,917806,917807,917808,917809,917810,917811,917812,917813,917814,917815,917816,917817,917818,917819,917820,917821,917822,917823,917824,917825,917826,917827,917828,917829,917830,917831,917832,917833,917834,917835,917836,917837,917838,917839,917840,917841,917842,917843,917844,917845,917846,917847,917848,917849,917850,917851,917852,917853,917854,917855,917856,917857,917858,917859,917860,917861,917862,917863,917864,917865,917866,917867,917868,917869,917870,917871,917872,917873,917874,917875,917876,917877,917878,917879,917880,917881,917882,917883,917884,917885,917886,917887,917888,917889,917890,917891,917892,917893,917894,917895,917896,917897,917898,917899,917900,917901,917902,917903,917904,917905,917906,917907,917908,917909,917910,917911,917912,917913,917914,917915,917916,917917,917918,917919,917920,917921,917922,917923,917924,917925,917926,917927,917928,917929,917930,917931,917932,917933,917934,917935,917936,917937,917938,917939,917940,917941,917942,917943,917944,917945,917946,917947,917948,917949,917950,917951,917952,917953,917954,917955,917956,917957,917958,917959,917960,917961,917962,917963,917964,917965,917966,917967,917968,917969,917970,917971,917972,917973,917974,917975,917976,917977,917978,917979,917980,917981,917982,917983,917984,917985,917986,917987,917988,917989,917990,917991,917992,917993,917994,917995,917996,917997,917998,917999]")}static getData(){return this._data||(this._data=new Set(Ft.getRawData())),this._data}static isInvisibleCharacter(t){return Ft.getData().has(t)}static get codePoints(){return Ft.getData()}};Ft._data=void 0;let qt=Ft;var vi={};let pt;const sr=globalThis.vscode;if(typeof sr<"u"&&typeof sr.process<"u"){const e=sr.process;pt={get platform(){return e.platform},get arch(){return e.arch},get env(){return e.env},cwd(){return e.cwd()}}}else typeof process<"u"&&typeof((Ko=process==null?void 0:process.versions)==null?void 0:Ko.node)=="string"?pt={get platform(){return process.platform},get arch(){return process.arch},get env(){return vi},cwd(){return vi.VSCODE_CWD||process.cwd()}}:pt={get platform(){return Ut?"win32":bl?"darwin":"linux"},get arch(){},get env(){return{}},cwd(){return"/"}};const dn=pt.cwd,Tl=pt.env,Pl=pt.platform,Il=65,Fl=97,Vl=90,Dl=122,Ge=46,oe=47,pe=92,Je=58,Ol=63;class xi extends Error{constructor(t,n,r){let i;typeof n=="string"&&n.indexOf("not ")===0?(i="must not be",n=n.replace(/^not /,"")):i="must be";const s=t.indexOf(".")!==-1?"property":"argument";let a=`The "${t}" ${s} ${i} of type ${n}`;a+=`. Received type ${typeof r}`,super(a),this.code="ERR_INVALID_ARG_TYPE"}}function $l(e,t){if(e===null||typeof e!="object")throw new xi(t,"Object",e)}function K(e,t){if(typeof e!="string")throw new xi(t,"string",e)}const qe=Pl==="win32";function B(e){return e===oe||e===pe}function ar(e){return e===oe}function Xe(e){return e>=Il&&e<=Vl||e>=Fl&&e<=Dl}function mn(e,t,n,r){let i="",s=0,a=-1,l=0,o=0;for(let u=0;u<=e.length;++u){if(u<e.length)o=e.charCodeAt(u);else{if(r(o))break;o=oe}if(r(o)){if(!(a===u-1||l===1))if(l===2){if(i.length<2||s!==2||i.charCodeAt(i.length-1)!==Ge||i.charCodeAt(i.length-2)!==Ge){if(i.length>2){const h=i.lastIndexOf(n);h===-1?(i="",s=0):(i=i.slice(0,h),s=i.length-1-i.lastIndexOf(n)),a=u,l=0;continue}else if(i.length!==0){i="",s=0,a=u,l=0;continue}}t&&(i+=i.length>0?`${n}..`:"..",s=2)}else i.length>0?i+=`${n}${e.slice(a+1,u)}`:i=e.slice(a+1,u),s=u-a-1;a=u,l=0}else o===Ge&&l!==-1?++l:l=-1}return i}function Ul(e){return e?`${e[0]==="."?"":"."}${e}`:""}function yi(e,t){$l(t,"pathObject");const n=t.dir||t.root,r=t.base||`${t.name||""}${Ul(t.ext)}`;return n?n===t.root?`${n}${r}`:`${n}${e}${r}`:r}const ce={resolve(...e){let t="",n="",r=!1;for(let i=e.length-1;i>=-1;i--){let s;if(i>=0){if(s=e[i],K(s,`paths[${i}]`),s.length===0)continue}else t.length===0?s=dn():(s=Tl[`=${t}`]||dn(),(s===void 0||s.slice(0,2).toLowerCase()!==t.toLowerCase()&&s.charCodeAt(2)===pe)&&(s=`${t}\\`));const a=s.length;let l=0,o="",u=!1;const h=s.charCodeAt(0);if(a===1)B(h)&&(l=1,u=!0);else if(B(h))if(u=!0,B(s.charCodeAt(1))){let c=2,d=c;for(;c<a&&!B(s.charCodeAt(c));)c++;if(c<a&&c!==d){const m=s.slice(d,c);for(d=c;c<a&&B(s.charCodeAt(c));)c++;if(c<a&&c!==d){for(d=c;c<a&&!B(s.charCodeAt(c));)c++;(c===a||c!==d)&&(o=`\\\\${m}\\${s.slice(d,c)}`,l=c)}}}else l=1;else Xe(h)&&s.charCodeAt(1)===Je&&(o=s.slice(0,2),l=2,a>2&&B(s.charCodeAt(2))&&(u=!0,l=3));if(o.length>0)if(t.length>0){if(o.toLowerCase()!==t.toLowerCase())continue}else t=o;if(r){if(t.length>0)break}else if(n=`${s.slice(l)}\\${n}`,r=u,u&&t.length>0)break}return n=mn(n,!r,"\\",B),r?`${t}\\${n}`:`${t}${n}`||"."},normalize(e){K(e,"path");const t=e.length;if(t===0)return".";let n=0,r,i=!1;const s=e.charCodeAt(0);if(t===1)return ar(s)?"\\":e;if(B(s))if(i=!0,B(e.charCodeAt(1))){let l=2,o=l;for(;l<t&&!B(e.charCodeAt(l));)l++;if(l<t&&l!==o){const u=e.slice(o,l);for(o=l;l<t&&B(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&!B(e.charCodeAt(l));)l++;if(l===t)return`\\\\${u}\\${e.slice(o)}\\`;l!==o&&(r=`\\\\${u}\\${e.slice(o,l)}`,n=l)}}}else n=1;else Xe(s)&&e.charCodeAt(1)===Je&&(r=e.slice(0,2),n=2,t>2&&B(e.charCodeAt(2))&&(i=!0,n=3));let a=n<t?mn(e.slice(n),!i,"\\",B):"";return a.length===0&&!i&&(a="."),a.length>0&&B(e.charCodeAt(t-1))&&(a+="\\"),r===void 0?i?`\\${a}`:a:i?`${r}\\${a}`:`${r}${a}`},isAbsolute(e){K(e,"path");const t=e.length;if(t===0)return!1;const n=e.charCodeAt(0);return B(n)||t>2&&Xe(n)&&e.charCodeAt(1)===Je&&B(e.charCodeAt(2))},join(...e){if(e.length===0)return".";let t,n;for(let s=0;s<e.length;++s){const a=e[s];K(a,"path"),a.length>0&&(t===void 0?t=n=a:t+=`\\${a}`)}if(t===void 0)return".";let r=!0,i=0;if(typeof n=="string"&&B(n.charCodeAt(0))){++i;const s=n.length;s>1&&B(n.charCodeAt(1))&&(++i,s>2&&(B(n.charCodeAt(2))?++i:r=!1))}if(r){for(;i<t.length&&B(t.charCodeAt(i));)i++;i>=2&&(t=`\\${t.slice(i)}`)}return ce.normalize(t)},relative(e,t){if(K(e,"from"),K(t,"to"),e===t)return"";const n=ce.resolve(e),r=ce.resolve(t);if(n===r||(e=n.toLowerCase(),t=r.toLowerCase(),e===t))return"";let i=0;for(;i<e.length&&e.charCodeAt(i)===pe;)i++;let s=e.length;for(;s-1>i&&e.charCodeAt(s-1)===pe;)s--;const a=s-i;let l=0;for(;l<t.length&&t.charCodeAt(l)===pe;)l++;let o=t.length;for(;o-1>l&&t.charCodeAt(o-1)===pe;)o--;const u=o-l,h=a<u?a:u;let c=-1,d=0;for(;d<h;d++){const g=e.charCodeAt(i+d);if(g!==t.charCodeAt(l+d))break;g===pe&&(c=d)}if(d!==h){if(c===-1)return r}else{if(u>h){if(t.charCodeAt(l+d)===pe)return r.slice(l+d+1);if(d===2)return r.slice(l+d)}a>h&&(e.charCodeAt(i+d)===pe?c=d:d===2&&(c=3)),c===-1&&(c=0)}let m="";for(d=i+c+1;d<=s;++d)(d===s||e.charCodeAt(d)===pe)&&(m+=m.length===0?"..":"\\..");return l+=c,m.length>0?`${m}${r.slice(l,o)}`:(r.charCodeAt(l)===pe&&++l,r.slice(l,o))},toNamespacedPath(e){if(typeof e!="string"||e.length===0)return e;const t=ce.resolve(e);if(t.length<=2)return e;if(t.charCodeAt(0)===pe){if(t.charCodeAt(1)===pe){const n=t.charCodeAt(2);if(n!==Ol&&n!==Ge)return`\\\\?\\UNC\\${t.slice(2)}`}}else if(Xe(t.charCodeAt(0))&&t.charCodeAt(1)===Je&&t.charCodeAt(2)===pe)return`\\\\?\\${t}`;return e},dirname(e){K(e,"path");const t=e.length;if(t===0)return".";let n=-1,r=0;const i=e.charCodeAt(0);if(t===1)return B(i)?e:".";if(B(i)){if(n=r=1,B(e.charCodeAt(1))){let l=2,o=l;for(;l<t&&!B(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&B(e.charCodeAt(l));)l++;if(l<t&&l!==o){for(o=l;l<t&&!B(e.charCodeAt(l));)l++;if(l===t)return e;l!==o&&(n=r=l+1)}}}}else Xe(i)&&e.charCodeAt(1)===Je&&(n=t>2&&B(e.charCodeAt(2))?3:2,r=n);let s=-1,a=!0;for(let l=t-1;l>=r;--l)if(B(e.charCodeAt(l))){if(!a){s=l;break}}else a=!1;if(s===-1){if(n===-1)return".";s=n}return e.slice(0,s)},basename(e,t){t!==void 0&&K(t,"suffix"),K(e,"path");let n=0,r=-1,i=!0,s;if(e.length>=2&&Xe(e.charCodeAt(0))&&e.charCodeAt(1)===Je&&(n=2),t!==void 0&&t.length>0&&t.length<=e.length){if(t===e)return"";let a=t.length-1,l=-1;for(s=e.length-1;s>=n;--s){const o=e.charCodeAt(s);if(B(o)){if(!i){n=s+1;break}}else l===-1&&(i=!1,l=s+1),a>=0&&(o===t.charCodeAt(a)?--a===-1&&(r=s):(a=-1,r=l))}return n===r?r=l:r===-1&&(r=e.length),e.slice(n,r)}for(s=e.length-1;s>=n;--s)if(B(e.charCodeAt(s))){if(!i){n=s+1;break}}else r===-1&&(i=!1,r=s+1);return r===-1?"":e.slice(n,r)},extname(e){K(e,"path");let t=0,n=-1,r=0,i=-1,s=!0,a=0;e.length>=2&&e.charCodeAt(1)===Je&&Xe(e.charCodeAt(0))&&(t=r=2);for(let l=e.length-1;l>=t;--l){const o=e.charCodeAt(l);if(B(o)){if(!s){r=l+1;break}continue}i===-1&&(s=!1,i=l+1),o===Ge?n===-1?n=l:a!==1&&(a=1):n!==-1&&(a=-1)}return n===-1||i===-1||a===0||a===1&&n===i-1&&n===r+1?"":e.slice(n,i)},format:yi.bind(null,"\\"),parse(e){K(e,"path");const t={root:"",dir:"",base:"",ext:"",name:""};if(e.length===0)return t;const n=e.length;let r=0,i=e.charCodeAt(0);if(n===1)return B(i)?(t.root=t.dir=e,t):(t.base=t.name=e,t);if(B(i)){if(r=1,B(e.charCodeAt(1))){let c=2,d=c;for(;c<n&&!B(e.charCodeAt(c));)c++;if(c<n&&c!==d){for(d=c;c<n&&B(e.charCodeAt(c));)c++;if(c<n&&c!==d){for(d=c;c<n&&!B(e.charCodeAt(c));)c++;c===n?r=c:c!==d&&(r=c+1)}}}}else if(Xe(i)&&e.charCodeAt(1)===Je){if(n<=2)return t.root=t.dir=e,t;if(r=2,B(e.charCodeAt(2))){if(n===3)return t.root=t.dir=e,t;r=3}}r>0&&(t.root=e.slice(0,r));let s=-1,a=r,l=-1,o=!0,u=e.length-1,h=0;for(;u>=r;--u){if(i=e.charCodeAt(u),B(i)){if(!o){a=u+1;break}continue}l===-1&&(o=!1,l=u+1),i===Ge?s===-1?s=u:h!==1&&(h=1):s!==-1&&(h=-1)}return l!==-1&&(s===-1||h===0||h===1&&s===l-1&&s===a+1?t.base=t.name=e.slice(a,l):(t.name=e.slice(a,s),t.base=e.slice(a,l),t.ext=e.slice(s,l))),a>0&&a!==r?t.dir=e.slice(0,a-1):t.dir=t.root,t},sep:"\\",delimiter:";",win32:null,posix:null},Bl=(()=>{if(qe){const e=/\\/g;return()=>{const t=dn().replace(e,"/");return t.slice(t.indexOf("/"))}}return()=>dn()})(),fe={resolve(...e){let t="",n=!1;for(let r=e.length-1;r>=-1&&!n;r--){const i=r>=0?e[r]:Bl();K(i,`paths[${r}]`),i.length!==0&&(t=`${i}/${t}`,n=i.charCodeAt(0)===oe)}return t=mn(t,!n,"/",ar),n?`/${t}`:t.length>0?t:"."},normalize(e){if(K(e,"path"),e.length===0)return".";const t=e.charCodeAt(0)===oe,n=e.charCodeAt(e.length-1)===oe;return e=mn(e,!t,"/",ar),e.length===0?t?"/":n?"./":".":(n&&(e+="/"),t?`/${e}`:e)},isAbsolute(e){return K(e,"path"),e.length>0&&e.charCodeAt(0)===oe},join(...e){if(e.length===0)return".";let t;for(let n=0;n<e.length;++n){const r=e[n];K(r,"path"),r.length>0&&(t===void 0?t=r:t+=`/${r}`)}return t===void 0?".":fe.normalize(t)},relative(e,t){if(K(e,"from"),K(t,"to"),e===t||(e=fe.resolve(e),t=fe.resolve(t),e===t))return"";const n=1,r=e.length,i=r-n,s=1,a=t.length-s,l=i<a?i:a;let o=-1,u=0;for(;u<l;u++){const c=e.charCodeAt(n+u);if(c!==t.charCodeAt(s+u))break;c===oe&&(o=u)}if(u===l)if(a>l){if(t.charCodeAt(s+u)===oe)return t.slice(s+u+1);if(u===0)return t.slice(s+u)}else i>l&&(e.charCodeAt(n+u)===oe?o=u:u===0&&(o=0));let h="";for(u=n+o+1;u<=r;++u)(u===r||e.charCodeAt(u)===oe)&&(h+=h.length===0?"..":"/..");return`${h}${t.slice(s+o)}`},toNamespacedPath(e){return e},dirname(e){if(K(e,"path"),e.length===0)return".";const t=e.charCodeAt(0)===oe;let n=-1,r=!0;for(let i=e.length-1;i>=1;--i)if(e.charCodeAt(i)===oe){if(!r){n=i;break}}else r=!1;return n===-1?t?"/":".":t&&n===1?"//":e.slice(0,n)},basename(e,t){t!==void 0&&K(t,"ext"),K(e,"path");let n=0,r=-1,i=!0,s;if(t!==void 0&&t.length>0&&t.length<=e.length){if(t===e)return"";let a=t.length-1,l=-1;for(s=e.length-1;s>=0;--s){const o=e.charCodeAt(s);if(o===oe){if(!i){n=s+1;break}}else l===-1&&(i=!1,l=s+1),a>=0&&(o===t.charCodeAt(a)?--a===-1&&(r=s):(a=-1,r=l))}return n===r?r=l:r===-1&&(r=e.length),e.slice(n,r)}for(s=e.length-1;s>=0;--s)if(e.charCodeAt(s)===oe){if(!i){n=s+1;break}}else r===-1&&(i=!1,r=s+1);return r===-1?"":e.slice(n,r)},extname(e){K(e,"path");let t=-1,n=0,r=-1,i=!0,s=0;for(let a=e.length-1;a>=0;--a){const l=e.charCodeAt(a);if(l===oe){if(!i){n=a+1;break}continue}r===-1&&(i=!1,r=a+1),l===Ge?t===-1?t=a:s!==1&&(s=1):t!==-1&&(s=-1)}return t===-1||r===-1||s===0||s===1&&t===r-1&&t===n+1?"":e.slice(t,r)},format:yi.bind(null,"/"),parse(e){K(e,"path");const t={root:"",dir:"",base:"",ext:"",name:""};if(e.length===0)return t;const n=e.charCodeAt(0)===oe;let r;n?(t.root="/",r=1):r=0;let i=-1,s=0,a=-1,l=!0,o=e.length-1,u=0;for(;o>=r;--o){const h=e.charCodeAt(o);if(h===oe){if(!l){s=o+1;break}continue}a===-1&&(l=!1,a=o+1),h===Ge?i===-1?i=o:u!==1&&(u=1):i!==-1&&(u=-1)}if(a!==-1){const h=s===0&&n?1:s;i===-1||u===0||u===1&&i===a-1&&i===s+1?t.base=t.name=e.slice(h,a):(t.name=e.slice(h,i),t.base=e.slice(h,a),t.ext=e.slice(i,a))}return s>0?t.dir=e.slice(0,s-1):n&&(t.dir="/"),t},sep:"/",delimiter:":",win32:null,posix:null};fe.win32=ce.win32=ce,fe.posix=ce.posix=fe,qe?ce.normalize:fe.normalize;const ql=qe?ce.join:fe.join;qe?ce.resolve:fe.resolve,qe?ce.relative:fe.relative,qe?ce.dirname:fe.dirname,qe?ce.basename:fe.basename,qe?ce.extname:fe.extname,qe?ce.sep:fe.sep;const jl=/^\w[\w\d+.-]*$/,Wl=/^\//,Hl=/^\/\//;function zl(e,t){if(!e.scheme&&t)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${e.authority}", path: "${e.path}", query: "${e.query}", fragment: "${e.fragment}"}`);if(e.scheme&&!jl.test(e.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(e.path){if(e.authority){if(!Wl.test(e.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if(Hl.test(e.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}function Gl(e,t){return!e&&!t?"file":e}function Jl(e,t){switch(e){case"https":case"http":case"file":t?t[0]!==ke&&(t=ke+t):t=ke;break}return t}const J="",ke="/",Xl=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;let Ie=class Gn{static isUri(t){return t instanceof Gn?!0:t?typeof t.authority=="string"&&typeof t.fragment=="string"&&typeof t.path=="string"&&typeof t.query=="string"&&typeof t.scheme=="string"&&typeof t.fsPath=="string"&&typeof t.with=="function"&&typeof t.toString=="function":!1}constructor(t,n,r,i,s,a=!1){typeof t=="object"?(this.scheme=t.scheme||J,this.authority=t.authority||J,this.path=t.path||J,this.query=t.query||J,this.fragment=t.fragment||J):(this.scheme=Gl(t,a),this.authority=n||J,this.path=Jl(this.scheme,r||J),this.query=i||J,this.fragment=s||J,zl(this,a))}get fsPath(){return or(this,!1)}with(t){if(!t)return this;let{scheme:n,authority:r,path:i,query:s,fragment:a}=t;return n===void 0?n=this.scheme:n===null&&(n=J),r===void 0?r=this.authority:r===null&&(r=J),i===void 0?i=this.path:i===null&&(i=J),s===void 0?s=this.query:s===null&&(s=J),a===void 0?a=this.fragment:a===null&&(a=J),n===this.scheme&&r===this.authority&&i===this.path&&s===this.query&&a===this.fragment?this:new bt(n,r,i,s,a)}static parse(t,n=!1){const r=Xl.exec(t);return r?new bt(r[2]||J,gn(r[4]||J),gn(r[5]||J),gn(r[7]||J),gn(r[9]||J),n):new bt(J,J,J,J,J)}static file(t){let n=J;if(Ut&&(t=t.replace(/\\/g,ke)),t[0]===ke&&t[1]===ke){const r=t.indexOf(ke,2);r===-1?(n=t.substring(2),t=ke):(n=t.substring(2,r),t=t.substring(r)||ke)}return new bt("file",n,t,J,J)}static from(t,n){return new bt(t.scheme,t.authority,t.path,t.query,t.fragment,n)}static joinPath(t,...n){if(!t.path)throw new Error("[UriError]: cannot call joinPath on URI without path");let r;return Ut&&t.scheme==="file"?r=Gn.file(ce.join(or(t,!0),...n)).path:r=fe.join(t.path,...n),t.with({path:r})}toString(t=!1){return lr(this,t)}toJSON(){return this}static revive(t){if(t){if(t instanceof Gn)return t;{const n=new bt(t);return n._formatted=t.external??null,n._fsPath=t._sep===wi?t.fsPath??null:null,n}}else return t}};const wi=Ut?1:void 0;class bt extends Ie{constructor(){super(...arguments),this._formatted=null,this._fsPath=null}get fsPath(){return this._fsPath||(this._fsPath=or(this,!1)),this._fsPath}toString(t=!1){return t?lr(this,!0):(this._formatted||(this._formatted=lr(this,!1)),this._formatted)}toJSON(){const t={$mid:1};return this._fsPath&&(t.fsPath=this._fsPath,t._sep=wi),this._formatted&&(t.external=this._formatted),this.path&&(t.path=this.path),this.scheme&&(t.scheme=this.scheme),this.authority&&(t.authority=this.authority),this.query&&(t.query=this.query),this.fragment&&(t.fragment=this.fragment),t}}const _i={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function Li(e,t,n){let r,i=-1;for(let s=0;s<e.length;s++){const a=e.charCodeAt(s);if(a>=97&&a<=122||a>=65&&a<=90||a>=48&&a<=57||a===45||a===46||a===95||a===126||t&&a===47||n&&a===91||n&&a===93||n&&a===58)i!==-1&&(r+=encodeURIComponent(e.substring(i,s)),i=-1),r!==void 0&&(r+=e.charAt(s));else{r===void 0&&(r=e.substr(0,s));const l=_i[a];l!==void 0?(i!==-1&&(r+=encodeURIComponent(e.substring(i,s)),i=-1),r+=l):i===-1&&(i=s)}}return i!==-1&&(r+=encodeURIComponent(e.substring(i))),r!==void 0?r:e}function Ql(e){let t;for(let n=0;n<e.length;n++){const r=e.charCodeAt(n);r===35||r===63?(t===void 0&&(t=e.substr(0,n)),t+=_i[r]):t!==void 0&&(t+=e[n])}return t!==void 0?t:e}function or(e,t){let n;return e.authority&&e.path.length>1&&e.scheme==="file"?n=`//${e.authority}${e.path}`:e.path.charCodeAt(0)===47&&(e.path.charCodeAt(1)>=65&&e.path.charCodeAt(1)<=90||e.path.charCodeAt(1)>=97&&e.path.charCodeAt(1)<=122)&&e.path.charCodeAt(2)===58?t?n=e.path.substr(1):n=e.path[1].toLowerCase()+e.path.substr(2):n=e.path,Ut&&(n=n.replace(/\//g,"\\")),n}function lr(e,t){const n=t?Ql:Li;let r="",{scheme:i,authority:s,path:a,query:l,fragment:o}=e;if(i&&(r+=i,r+=":"),(s||i==="file")&&(r+=ke,r+=ke),s){let u=s.indexOf("@");if(u!==-1){const h=s.substr(0,u);s=s.substr(u+1),u=h.lastIndexOf(":"),u===-1?r+=n(h,!1,!1):(r+=n(h.substr(0,u),!1,!1),r+=":",r+=n(h.substr(u+1),!1,!0)),r+="@"}s=s.toLowerCase(),u=s.lastIndexOf(":"),u===-1?r+=n(s,!1,!0):(r+=n(s.substr(0,u),!1,!0),r+=s.substr(u))}if(a){if(a.length>=3&&a.charCodeAt(0)===47&&a.charCodeAt(2)===58){const u=a.charCodeAt(1);u>=65&&u<=90&&(a=`/${String.fromCharCode(u+32)}:${a.substr(3)}`)}else if(a.length>=2&&a.charCodeAt(1)===58){const u=a.charCodeAt(0);u>=65&&u<=90&&(a=`${String.fromCharCode(u+32)}:${a.substr(2)}`)}r+=n(a,!0,!1)}return l&&(r+="?",r+=n(l,!1,!1)),o&&(r+="#",r+=t?o:Li(o,!1,!1)),r}function Ni(e){try{return decodeURIComponent(e)}catch{return e.length>3?e.substr(0,3)+Ni(e.substr(3)):e}}const Si=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function gn(e){return e.match(Si)?e.replace(Si,t=>Ni(t)):e}var Qe;(function(e){e.inMemory="inmemory",e.vscode="vscode",e.internal="private",e.walkThrough="walkThrough",e.walkThroughSnippet="walkThroughSnippet",e.http="http",e.https="https",e.file="file",e.mailto="mailto",e.untitled="untitled",e.data="data",e.command="command",e.vscodeRemote="vscode-remote",e.vscodeRemoteResource="vscode-remote-resource",e.vscodeManagedRemoteResource="vscode-managed-remote-resource",e.vscodeUserData="vscode-userdata",e.vscodeCustomEditor="vscode-custom-editor",e.vscodeNotebookCell="vscode-notebook-cell",e.vscodeNotebookCellMetadata="vscode-notebook-cell-metadata",e.vscodeNotebookCellMetadataDiff="vscode-notebook-cell-metadata-diff",e.vscodeNotebookCellOutput="vscode-notebook-cell-output",e.vscodeNotebookCellOutputDiff="vscode-notebook-cell-output-diff",e.vscodeNotebookMetadata="vscode-notebook-metadata",e.vscodeInteractiveInput="vscode-interactive-input",e.vscodeSettings="vscode-settings",e.vscodeWorkspaceTrust="vscode-workspace-trust",e.vscodeTerminal="vscode-terminal",e.vscodeChatCodeBlock="vscode-chat-code-block",e.vscodeChatCodeCompareBlock="vscode-chat-code-compare-block",e.vscodeChatSesssion="vscode-chat-editor",e.webviewPanel="webview-panel",e.vscodeWebview="vscode-webview",e.extension="extension",e.vscodeFileResource="vscode-file",e.tmp="tmp",e.vsls="vsls",e.vscodeSourceControl="vscode-scm",e.commentsInput="comment",e.codeSetting="code-setting",e.outputChannel="output"})(Qe||(Qe={}));const Yl="tkn";class Zl{constructor(){this._hosts=Object.create(null),this._ports=Object.create(null),this._connectionTokens=Object.create(null),this._preferredWebSchema="http",this._delegate=null,this._serverRootPath="/"}setPreferredWebSchema(t){this._preferredWebSchema=t}get _remoteResourcesPath(){return fe.join(this._serverRootPath,Qe.vscodeRemoteResource)}rewrite(t){if(this._delegate)try{return this._delegate(t)}catch(l){return Te(l),t}const n=t.authority;let r=this._hosts[n];r&&r.indexOf(":")!==-1&&r.indexOf("[")===-1&&(r=`[${r}]`);const i=this._ports[n],s=this._connectionTokens[n];let a=`path=${encodeURIComponent(t.path)}`;return typeof s=="string"&&(a+=`&${Yl}=${encodeURIComponent(s)}`),Ie.from({scheme:xl?this._preferredWebSchema:Qe.vscodeRemoteResource,authority:`${r}:${i}`,path:this._remoteResourcesPath,query:a})}}const Kl=new Zl,eu="vscode-app",rn=class rn{asBrowserUri(t){const n=this.toUri(t);return this.uriToBrowserUri(n)}uriToBrowserUri(t){return t.scheme===Qe.vscodeRemote?Kl.rewrite(t):t.scheme===Qe.file&&(vl||yl===`${Qe.vscodeFileResource}://${rn.FALLBACK_AUTHORITY}`)?t.with({scheme:Qe.vscodeFileResource,authority:t.authority||rn.FALLBACK_AUTHORITY,query:null,fragment:null}):t}toUri(t,n){if(Ie.isUri(t))return t;if(globalThis._VSCODE_FILE_ROOT){const r=globalThis._VSCODE_FILE_ROOT;if(/^\w[\w\d+.-]*:\/\//.test(r))return Ie.joinPath(Ie.parse(r,!0),t);const i=ql(r,t);return Ie.file(i)}return Ie.parse(n.toUrl(t))}};rn.FALLBACK_AUTHORITY=eu;let ur=rn;const Ai=new ur;var ki;(function(e){const t=new Map([["1",{"Cross-Origin-Opener-Policy":"same-origin"}],["2",{"Cross-Origin-Embedder-Policy":"require-corp"}],["3",{"Cross-Origin-Opener-Policy":"same-origin","Cross-Origin-Embedder-Policy":"require-corp"}]]);e.CoopAndCoep=Object.freeze(t.get("3"));const n="vscode-coi";function r(s){let a;typeof s=="string"?a=new URL(s).searchParams:s instanceof URL?a=s.searchParams:Ie.isUri(s)&&(a=new URL(s.toString(!0)).searchParams);const l=a==null?void 0:a.get(n);if(l)return t.get(l)}e.getHeadersFromQuery=r;function i(s,a,l){if(!globalThis.crossOriginIsolated)return;const o=a&&l?"3":l?"2":"1";s instanceof URLSearchParams?s.set(n,o):s[n]=o}e.addSearchParam=i})(ki||(ki={}));const cr="default",tu="$initialize";class nu{constructor(t,n,r,i,s){this.vsWorker=t,this.req=n,this.channel=r,this.method=i,this.args=s,this.type=0}}class Ri{constructor(t,n,r,i){this.vsWorker=t,this.seq=n,this.res=r,this.err=i,this.type=1}}class ru{constructor(t,n,r,i,s){this.vsWorker=t,this.req=n,this.channel=r,this.eventName=i,this.arg=s,this.type=2}}class iu{constructor(t,n,r){this.vsWorker=t,this.req=n,this.event=r,this.type=3}}class su{constructor(t,n){this.vsWorker=t,this.req=n,this.type=4}}class au{constructor(t){this._workerId=-1,this._handler=t,this._lastSentReq=0,this._pendingReplies=Object.create(null),this._pendingEmitters=new Map,this._pendingEvents=new Map}setWorkerId(t){this._workerId=t}sendMessage(t,n,r){const i=String(++this._lastSentReq);return new Promise((s,a)=>{this._pendingReplies[i]={resolve:s,reject:a},this._send(new nu(this._workerId,i,t,n,r))})}listen(t,n,r){let i=null;const s=new _e({onWillAddFirstListener:()=>{i=String(++this._lastSentReq),this._pendingEmitters.set(i,s),this._send(new ru(this._workerId,i,t,n,r))},onDidRemoveLastListener:()=>{this._pendingEmitters.delete(i),this._send(new su(this._workerId,i)),i=null}});return s.event}handleMessage(t){!t||!t.vsWorker||this._workerId!==-1&&t.vsWorker!==this._workerId||this._handleMessage(t)}createProxyToRemoteChannel(t,n){const r={get:(i,s)=>(typeof s=="string"&&!i[s]&&(Mi(s)?i[s]=a=>this.listen(t,s,a):Ei(s)?i[s]=this.listen(t,s,void 0):s.charCodeAt(0)===36&&(i[s]=async(...a)=>(await(n==null?void 0:n()),this.sendMessage(t,s,a)))),i[s])};return new Proxy(Object.create(null),r)}_handleMessage(t){switch(t.type){case 1:return this._handleReplyMessage(t);case 0:return this._handleRequestMessage(t);case 2:return this._handleSubscribeEventMessage(t);case 3:return this._handleEventMessage(t);case 4:return this._handleUnsubscribeEventMessage(t)}}_handleReplyMessage(t){if(!this._pendingReplies[t.seq]){console.warn("Got reply to unknown seq");return}const n=this._pendingReplies[t.seq];if(delete this._pendingReplies[t.seq],t.err){let r=t.err;t.err.$isError&&(r=new Error,r.name=t.err.name,r.message=t.err.message,r.stack=t.err.stack),n.reject(r);return}n.resolve(t.res)}_handleRequestMessage(t){const n=t.req;this._handler.handleMessage(t.channel,t.method,t.args).then(i=>{this._send(new Ri(this._workerId,n,i,void 0))},i=>{i.detail instanceof Error&&(i.detail=ui(i.detail)),this._send(new Ri(this._workerId,n,void 0,ui(i)))})}_handleSubscribeEventMessage(t){const n=t.req,r=this._handler.handleEvent(t.channel,t.eventName,t.arg)(i=>{this._send(new iu(this._workerId,n,i))});this._pendingEvents.set(n,r)}_handleEventMessage(t){if(!this._pendingEmitters.has(t.req)){console.warn("Got event for unknown req");return}this._pendingEmitters.get(t.req).fire(t.event)}_handleUnsubscribeEventMessage(t){if(!this._pendingEvents.has(t.req)){console.warn("Got unsubscribe for unknown req");return}this._pendingEvents.get(t.req).dispose(),this._pendingEvents.delete(t.req)}_send(t){const n=[];if(t.type===0)for(let r=0;r<t.args.length;r++)t.args[r]instanceof ArrayBuffer&&n.push(t.args[r]);else t.type===1&&t.res instanceof ArrayBuffer&&n.push(t.res);this._handler.sendMessage(t,n)}}function Ei(e){return e[0]==="o"&&e[1]==="n"&&pi(e.charCodeAt(2))}function Mi(e){return/^onDynamic/.test(e)&&pi(e.charCodeAt(9))}class ou{constructor(t,n){this._localChannels=new Map,this._remoteChannels=new Map,this._requestHandlerFactory=n,this._requestHandler=null,this._protocol=new au({sendMessage:(r,i)=>{t(r,i)},handleMessage:(r,i,s)=>this._handleMessage(r,i,s),handleEvent:(r,i,s)=>this._handleEvent(r,i,s)})}onmessage(t){this._protocol.handleMessage(t)}_handleMessage(t,n,r){if(t===cr&&n===tu)return this.initialize(r[0],r[1],r[2]);const i=t===cr?this._requestHandler:this._localChannels.get(t);if(!i)return Promise.reject(new Error(`Missing channel ${t} on worker thread`));if(typeof i[n]!="function")return Promise.reject(new Error(`Missing method ${n} on worker thread channel ${t}`));try{return Promise.resolve(i[n].apply(i,r))}catch(s){return Promise.reject(s)}}_handleEvent(t,n,r){const i=t===cr?this._requestHandler:this._localChannels.get(t);if(!i)throw new Error(`Missing channel ${t} on worker thread`);if(Mi(n)){const s=i[n].call(i,r);if(typeof s!="function")throw new Error(`Missing dynamic event ${n} on request handler.`);return s}if(Ei(n)){const s=i[n];if(typeof s!="function")throw new Error(`Missing event ${n} on request handler.`);return s}throw new Error(`Malformed event name ${n}`)}getChannel(t){if(!this._remoteChannels.has(t)){const n=this._protocol.createProxyToRemoteChannel(t);this._remoteChannels.set(t,n)}return this._remoteChannels.get(t)}async initialize(t,n,r){if(this._protocol.setWorkerId(t),this._requestHandlerFactory){this._requestHandler=this._requestHandlerFactory(this);return}return n&&(typeof n.baseUrl<"u"&&delete n.baseUrl,typeof n.paths<"u"&&typeof n.paths.vs<"u"&&delete n.paths.vs,typeof n.trustedTypesPolicy<"u"&&delete n.trustedTypesPolicy,n.catchError=!0,globalThis.require.config(n)),import(`${Ai.asBrowserUri(`${r}.js`).toString(!0)}`).then(s=>{if(this._requestHandler=s.create(this),!this._requestHandler)throw new Error("No RequestHandler!")})}}class Ye{constructor(t,n,r,i){this.originalStart=t,this.originalLength=n,this.modifiedStart=r,this.modifiedLength=i}getOriginalEnd(){return this.originalStart+this.originalLength}getModifiedEnd(){return this.modifiedStart+this.modifiedLength}}function Ci(e,t){return(t<<5)-t+e|0}function lu(e,t){t=Ci(149417,t);for(let n=0,r=e.length;n<r;n++)t=Ci(e.charCodeAt(n),t);return t}function fr(e,t,n=32){const r=n-t,i=~((1<<r)-1);return(e<<t|(i&e)>>>r)>>>0}function Ti(e,t=0,n=e.byteLength,r=0){for(let i=0;i<n;i++)e[t+i]=r}function uu(e,t,n="0"){for(;e.length<t;)e=n+e;return e}function jt(e,t=32){return e instanceof ArrayBuffer?Array.from(new Uint8Array(e)).map(n=>n.toString(16).padStart(2,"0")).join(""):uu((e>>>0).toString(16),t/4)}const Un=class Un{constructor(){this._h0=1732584193,this._h1=4023233417,this._h2=2562383102,this._h3=271733878,this._h4=3285377520,this._buff=new Uint8Array(67),this._buffDV=new DataView(this._buff.buffer),this._buffLen=0,this._totalLen=0,this._leftoverHighSurrogate=0,this._finished=!1}update(t){const n=t.length;if(n===0)return;const r=this._buff;let i=this._buffLen,s=this._leftoverHighSurrogate,a,l;for(s!==0?(a=s,l=-1,s=0):(a=t.charCodeAt(0),l=0);;){let o=a;if(hn(a))if(l+1<n){const u=t.charCodeAt(l+1);ir(u)?(l++,o=bi(a,u)):o=65533}else{s=a;break}else ir(a)&&(o=65533);if(i=this._push(r,i,o),l++,l<n)a=t.charCodeAt(l);else break}this._buffLen=i,this._leftoverHighSurrogate=s}_push(t,n,r){return r<128?t[n++]=r:r<2048?(t[n++]=192|(r&1984)>>>6,t[n++]=128|(r&63)>>>0):r<65536?(t[n++]=224|(r&61440)>>>12,t[n++]=128|(r&4032)>>>6,t[n++]=128|(r&63)>>>0):(t[n++]=240|(r&1835008)>>>18,t[n++]=128|(r&258048)>>>12,t[n++]=128|(r&4032)>>>6,t[n++]=128|(r&63)>>>0),n>=64&&(this._step(),n-=64,this._totalLen+=64,t[0]=t[64],t[1]=t[65],t[2]=t[66]),n}digest(){return this._finished||(this._finished=!0,this._leftoverHighSurrogate&&(this._leftoverHighSurrogate=0,this._buffLen=this._push(this._buff,this._buffLen,65533)),this._totalLen+=this._buffLen,this._wrapUp()),jt(this._h0)+jt(this._h1)+jt(this._h2)+jt(this._h3)+jt(this._h4)}_wrapUp(){this._buff[this._buffLen++]=128,Ti(this._buff,this._buffLen),this._buffLen>56&&(this._step(),Ti(this._buff));const t=8*this._totalLen;this._buffDV.setUint32(56,Math.floor(t/4294967296),!1),this._buffDV.setUint32(60,t%4294967296,!1),this._step()}_step(){const t=Un._bigBlock32,n=this._buffDV;for(let c=0;c<64;c+=4)t.setUint32(c,n.getUint32(c,!1),!1);for(let c=64;c<320;c+=4)t.setUint32(c,fr(t.getUint32(c-12,!1)^t.getUint32(c-32,!1)^t.getUint32(c-56,!1)^t.getUint32(c-64,!1),1),!1);let r=this._h0,i=this._h1,s=this._h2,a=this._h3,l=this._h4,o,u,h;for(let c=0;c<80;c++)c<20?(o=i&s|~i&a,u=1518500249):c<40?(o=i^s^a,u=1859775393):c<60?(o=i&s|i&a|s&a,u=2400959708):(o=i^s^a,u=3395469782),h=fr(r,5)+o+l+u+t.getUint32(c*4,!1)&4294967295,l=a,a=s,s=fr(i,30),i=r,r=h;this._h0=this._h0+r&4294967295,this._h1=this._h1+i&4294967295,this._h2=this._h2+s&4294967295,this._h3=this._h3+a&4294967295,this._h4=this._h4+l&4294967295}};Un._bigBlock32=new DataView(new ArrayBuffer(320));let Pi=Un;class Ii{constructor(t){this.source=t}getElements(){const t=this.source,n=new Int32Array(t.length);for(let r=0,i=t.length;r<i;r++)n[r]=t.charCodeAt(r);return n}}function cu(e,t,n){return new Ze(new Ii(e),new Ii(t)).ComputeDiff(n).changes}class vt{static Assert(t,n){if(!t)throw new Error(n)}}class xt{static Copy(t,n,r,i,s){for(let a=0;a<s;a++)r[i+a]=t[n+a]}static Copy2(t,n,r,i,s){for(let a=0;a<s;a++)r[i+a]=t[n+a]}}class Fi{constructor(){this.m_changes=[],this.m_originalStart=1073741824,this.m_modifiedStart=1073741824,this.m_originalCount=0,this.m_modifiedCount=0}MarkNextChange(){(this.m_originalCount>0||this.m_modifiedCount>0)&&this.m_changes.push(new Ye(this.m_originalStart,this.m_originalCount,this.m_modifiedStart,this.m_modifiedCount)),this.m_originalCount=0,this.m_modifiedCount=0,this.m_originalStart=1073741824,this.m_modifiedStart=1073741824}AddOriginalElement(t,n){this.m_originalStart=Math.min(this.m_originalStart,t),this.m_modifiedStart=Math.min(this.m_modifiedStart,n),this.m_originalCount++}AddModifiedElement(t,n){this.m_originalStart=Math.min(this.m_originalStart,t),this.m_modifiedStart=Math.min(this.m_modifiedStart,n),this.m_modifiedCount++}getChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes}getReverseChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes.reverse(),this.m_changes}}class Ze{constructor(t,n,r=null){this.ContinueProcessingPredicate=r,this._originalSequence=t,this._modifiedSequence=n;const[i,s,a]=Ze._getElements(t),[l,o,u]=Ze._getElements(n);this._hasStrings=a&&u,this._originalStringElements=i,this._originalElementsOrHash=s,this._modifiedStringElements=l,this._modifiedElementsOrHash=o,this.m_forwardHistory=[],this.m_reverseHistory=[]}static _isStringArray(t){return t.length>0&&typeof t[0]=="string"}static _getElements(t){const n=t.getElements();if(Ze._isStringArray(n)){const r=new Int32Array(n.length);for(let i=0,s=n.length;i<s;i++)r[i]=lu(n[i],0);return[n,r,!0]}return n instanceof Int32Array?[[],n,!1]:[[],new Int32Array(n),!1]}ElementsAreEqual(t,n){return this._originalElementsOrHash[t]!==this._modifiedElementsOrHash[n]?!1:this._hasStrings?this._originalStringElements[t]===this._modifiedStringElements[n]:!0}ElementsAreStrictEqual(t,n){if(!this.ElementsAreEqual(t,n))return!1;const r=Ze._getStrictElement(this._originalSequence,t),i=Ze._getStrictElement(this._modifiedSequence,n);return r===i}static _getStrictElement(t,n){return typeof t.getStrictElement=="function"?t.getStrictElement(n):null}OriginalElementsAreEqual(t,n){return this._originalElementsOrHash[t]!==this._originalElementsOrHash[n]?!1:this._hasStrings?this._originalStringElements[t]===this._originalStringElements[n]:!0}ModifiedElementsAreEqual(t,n){return this._modifiedElementsOrHash[t]!==this._modifiedElementsOrHash[n]?!1:this._hasStrings?this._modifiedStringElements[t]===this._modifiedStringElements[n]:!0}ComputeDiff(t){return this._ComputeDiff(0,this._originalElementsOrHash.length-1,0,this._modifiedElementsOrHash.length-1,t)}_ComputeDiff(t,n,r,i,s){const a=[!1];let l=this.ComputeDiffRecursive(t,n,r,i,a);return s&&(l=this.PrettifyChanges(l)),{quitEarly:a[0],changes:l}}ComputeDiffRecursive(t,n,r,i,s){for(s[0]=!1;t<=n&&r<=i&&this.ElementsAreEqual(t,r);)t++,r++;for(;n>=t&&i>=r&&this.ElementsAreEqual(n,i);)n--,i--;if(t>n||r>i){let c;return r<=i?(vt.Assert(t===n+1,"originalStart should only be one more than originalEnd"),c=[new Ye(t,0,r,i-r+1)]):t<=n?(vt.Assert(r===i+1,"modifiedStart should only be one more than modifiedEnd"),c=[new Ye(t,n-t+1,r,0)]):(vt.Assert(t===n+1,"originalStart should only be one more than originalEnd"),vt.Assert(r===i+1,"modifiedStart should only be one more than modifiedEnd"),c=[]),c}const a=[0],l=[0],o=this.ComputeRecursionPoint(t,n,r,i,a,l,s),u=a[0],h=l[0];if(o!==null)return o;if(!s[0]){const c=this.ComputeDiffRecursive(t,u,r,h,s);let d=[];return s[0]?d=[new Ye(u+1,n-(u+1)+1,h+1,i-(h+1)+1)]:d=this.ComputeDiffRecursive(u+1,n,h+1,i,s),this.ConcatenateChanges(c,d)}return[new Ye(t,n-t+1,r,i-r+1)]}WALKTRACE(t,n,r,i,s,a,l,o,u,h,c,d,m,g,p,x,w,L){let v=null,y=null,b=new Fi,_=n,A=r,C=m[0]-x[0]-i,F=-1073741824,O=this.m_forwardHistory.length-1;do{const M=C+t;M===_||M<A&&u[M-1]<u[M+1]?(c=u[M+1],g=c-C-i,c<F&&b.MarkNextChange(),F=c,b.AddModifiedElement(c+1,g),C=M+1-t):(c=u[M-1]+1,g=c-C-i,c<F&&b.MarkNextChange(),F=c-1,b.AddOriginalElement(c,g+1),C=M-1-t),O>=0&&(u=this.m_forwardHistory[O],t=u[0],_=1,A=u.length-1)}while(--O>=-1);if(v=b.getReverseChanges(),L[0]){let M=m[0]+1,N=x[0]+1;if(v!==null&&v.length>0){const k=v[v.length-1];M=Math.max(M,k.getOriginalEnd()),N=Math.max(N,k.getModifiedEnd())}y=[new Ye(M,d-M+1,N,p-N+1)]}else{b=new Fi,_=a,A=l,C=m[0]-x[0]-o,F=1073741824,O=w?this.m_reverseHistory.length-1:this.m_reverseHistory.length-2;do{const M=C+s;M===_||M<A&&h[M-1]>=h[M+1]?(c=h[M+1]-1,g=c-C-o,c>F&&b.MarkNextChange(),F=c+1,b.AddOriginalElement(c+1,g+1),C=M+1-s):(c=h[M-1],g=c-C-o,c>F&&b.MarkNextChange(),F=c,b.AddModifiedElement(c+1,g+1),C=M-1-s),O>=0&&(h=this.m_reverseHistory[O],s=h[0],_=1,A=h.length-1)}while(--O>=-1);y=b.getChanges()}return this.ConcatenateChanges(v,y)}ComputeRecursionPoint(t,n,r,i,s,a,l){let o=0,u=0,h=0,c=0,d=0,m=0;t--,r--,s[0]=0,a[0]=0,this.m_forwardHistory=[],this.m_reverseHistory=[];const g=n-t+(i-r),p=g+1,x=new Int32Array(p),w=new Int32Array(p),L=i-r,v=n-t,y=t-r,b=n-i,A=(v-L)%2===0;x[L]=t,w[v]=n,l[0]=!1;for(let C=1;C<=g/2+1;C++){let F=0,O=0;h=this.ClipDiagonalBound(L-C,C,L,p),c=this.ClipDiagonalBound(L+C,C,L,p);for(let N=h;N<=c;N+=2){N===h||N<c&&x[N-1]<x[N+1]?o=x[N+1]:o=x[N-1]+1,u=o-(N-L)-y;const k=o;for(;o<n&&u<i&&this.ElementsAreEqual(o+1,u+1);)o++,u++;if(x[N]=o,o+u>F+O&&(F=o,O=u),!A&&Math.abs(N-v)<=C-1&&o>=w[N])return s[0]=o,a[0]=u,k<=w[N]&&C<=1448?this.WALKTRACE(L,h,c,y,v,d,m,b,x,w,o,n,s,u,i,a,A,l):null}const M=(F-t+(O-r)-C)/2;if(this.ContinueProcessingPredicate!==null&&!this.ContinueProcessingPredicate(F,M))return l[0]=!0,s[0]=F,a[0]=O,M>0&&C<=1448?this.WALKTRACE(L,h,c,y,v,d,m,b,x,w,o,n,s,u,i,a,A,l):(t++,r++,[new Ye(t,n-t+1,r,i-r+1)]);d=this.ClipDiagonalBound(v-C,C,v,p),m=this.ClipDiagonalBound(v+C,C,v,p);for(let N=d;N<=m;N+=2){N===d||N<m&&w[N-1]>=w[N+1]?o=w[N+1]-1:o=w[N-1],u=o-(N-v)-b;const k=o;for(;o>t&&u>r&&this.ElementsAreEqual(o,u);)o--,u--;if(w[N]=o,A&&Math.abs(N-L)<=C&&o<=x[N])return s[0]=o,a[0]=u,k>=x[N]&&C<=1448?this.WALKTRACE(L,h,c,y,v,d,m,b,x,w,o,n,s,u,i,a,A,l):null}if(C<=1447){let N=new Int32Array(c-h+2);N[0]=L-h+1,xt.Copy2(x,h,N,1,c-h+1),this.m_forwardHistory.push(N),N=new Int32Array(m-d+2),N[0]=v-d+1,xt.Copy2(w,d,N,1,m-d+1),this.m_reverseHistory.push(N)}}return this.WALKTRACE(L,h,c,y,v,d,m,b,x,w,o,n,s,u,i,a,A,l)}PrettifyChanges(t){for(let n=0;n<t.length;n++){const r=t[n],i=n<t.length-1?t[n+1].originalStart:this._originalElementsOrHash.length,s=n<t.length-1?t[n+1].modifiedStart:this._modifiedElementsOrHash.length,a=r.originalLength>0,l=r.modifiedLength>0;for(;r.originalStart+r.originalLength<i&&r.modifiedStart+r.modifiedLength<s&&(!a||this.OriginalElementsAreEqual(r.originalStart,r.originalStart+r.originalLength))&&(!l||this.ModifiedElementsAreEqual(r.modifiedStart,r.modifiedStart+r.modifiedLength));){const u=this.ElementsAreStrictEqual(r.originalStart,r.modifiedStart);if(this.ElementsAreStrictEqual(r.originalStart+r.originalLength,r.modifiedStart+r.modifiedLength)&&!u)break;r.originalStart++,r.modifiedStart++}const o=[null];if(n<t.length-1&&this.ChangesOverlap(t[n],t[n+1],o)){t[n]=o[0],t.splice(n+1,1),n--;continue}}for(let n=t.length-1;n>=0;n--){const r=t[n];let i=0,s=0;if(n>0){const c=t[n-1];i=c.originalStart+c.originalLength,s=c.modifiedStart+c.modifiedLength}const a=r.originalLength>0,l=r.modifiedLength>0;let o=0,u=this._boundaryScore(r.originalStart,r.originalLength,r.modifiedStart,r.modifiedLength);for(let c=1;;c++){const d=r.originalStart-c,m=r.modifiedStart-c;if(d<i||m<s||a&&!this.OriginalElementsAreEqual(d,d+r.originalLength)||l&&!this.ModifiedElementsAreEqual(m,m+r.modifiedLength))break;const p=(d===i&&m===s?5:0)+this._boundaryScore(d,r.originalLength,m,r.modifiedLength);p>u&&(u=p,o=c)}r.originalStart-=o,r.modifiedStart-=o;const h=[null];if(n>0&&this.ChangesOverlap(t[n-1],t[n],h)){t[n-1]=h[0],t.splice(n,1),n++;continue}}if(this._hasStrings)for(let n=1,r=t.length;n<r;n++){const i=t[n-1],s=t[n],a=s.originalStart-i.originalStart-i.originalLength,l=i.originalStart,o=s.originalStart+s.originalLength,u=o-l,h=i.modifiedStart,c=s.modifiedStart+s.modifiedLength,d=c-h;if(a<5&&u<20&&d<20){const m=this._findBetterContiguousSequence(l,u,h,d,a);if(m){const[g,p]=m;(g!==i.originalStart+i.originalLength||p!==i.modifiedStart+i.modifiedLength)&&(i.originalLength=g-i.originalStart,i.modifiedLength=p-i.modifiedStart,s.originalStart=g+a,s.modifiedStart=p+a,s.originalLength=o-s.originalStart,s.modifiedLength=c-s.modifiedStart)}}}return t}_findBetterContiguousSequence(t,n,r,i,s){if(n<s||i<s)return null;const a=t+n-s+1,l=r+i-s+1;let o=0,u=0,h=0;for(let c=t;c<a;c++)for(let d=r;d<l;d++){const m=this._contiguousSequenceScore(c,d,s);m>0&&m>o&&(o=m,u=c,h=d)}return o>0?[u,h]:null}_contiguousSequenceScore(t,n,r){let i=0;for(let s=0;s<r;s++){if(!this.ElementsAreEqual(t+s,n+s))return 0;i+=this._originalStringElements[t+s].length}return i}_OriginalIsBoundary(t){return t<=0||t>=this._originalElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._originalStringElements[t])}_OriginalRegionIsBoundary(t,n){if(this._OriginalIsBoundary(t)||this._OriginalIsBoundary(t-1))return!0;if(n>0){const r=t+n;if(this._OriginalIsBoundary(r-1)||this._OriginalIsBoundary(r))return!0}return!1}_ModifiedIsBoundary(t){return t<=0||t>=this._modifiedElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._modifiedStringElements[t])}_ModifiedRegionIsBoundary(t,n){if(this._ModifiedIsBoundary(t)||this._ModifiedIsBoundary(t-1))return!0;if(n>0){const r=t+n;if(this._ModifiedIsBoundary(r-1)||this._ModifiedIsBoundary(r))return!0}return!1}_boundaryScore(t,n,r,i){const s=this._OriginalRegionIsBoundary(t,n)?1:0,a=this._ModifiedRegionIsBoundary(r,i)?1:0;return s+a}ConcatenateChanges(t,n){const r=[];if(t.length===0||n.length===0)return n.length>0?n:t;if(this.ChangesOverlap(t[t.length-1],n[0],r)){const i=new Array(t.length+n.length-1);return xt.Copy(t,0,i,0,t.length-1),i[t.length-1]=r[0],xt.Copy(n,1,i,t.length,n.length-1),i}else{const i=new Array(t.length+n.length);return xt.Copy(t,0,i,0,t.length),xt.Copy(n,0,i,t.length,n.length),i}}ChangesOverlap(t,n,r){if(vt.Assert(t.originalStart<=n.originalStart,"Left change is not less than or equal to right change"),vt.Assert(t.modifiedStart<=n.modifiedStart,"Left change is not less than or equal to right change"),t.originalStart+t.originalLength>=n.originalStart||t.modifiedStart+t.modifiedLength>=n.modifiedStart){const i=t.originalStart;let s=t.originalLength;const a=t.modifiedStart;let l=t.modifiedLength;return t.originalStart+t.originalLength>=n.originalStart&&(s=n.originalStart+n.originalLength-t.originalStart),t.modifiedStart+t.modifiedLength>=n.modifiedStart&&(l=n.modifiedStart+n.modifiedLength-t.modifiedStart),r[0]=new Ye(i,s,a,l),!0}else return r[0]=null,!1}ClipDiagonalBound(t,n,r,i){if(t>=0&&t<i)return t;const s=r,a=i-r-1,l=n%2===0;if(t<0){const o=s%2===0;return l===o?0:1}else{const o=a%2===0;return l===o?i-1:i-2}}}let ee=class ht{constructor(t,n){this.lineNumber=t,this.column=n}with(t=this.lineNumber,n=this.column){return t===this.lineNumber&&n===this.column?this:new ht(t,n)}delta(t=0,n=0){return this.with(this.lineNumber+t,this.column+n)}equals(t){return ht.equals(this,t)}static equals(t,n){return!t&&!n?!0:!!t&&!!n&&t.lineNumber===n.lineNumber&&t.column===n.column}isBefore(t){return ht.isBefore(this,t)}static isBefore(t,n){return t.lineNumber<n.lineNumber?!0:n.lineNumber<t.lineNumber?!1:t.column<n.column}isBeforeOrEqual(t){return ht.isBeforeOrEqual(this,t)}static isBeforeOrEqual(t,n){return t.lineNumber<n.lineNumber?!0:n.lineNumber<t.lineNumber?!1:t.column<=n.column}static compare(t,n){const r=t.lineNumber|0,i=n.lineNumber|0;if(r===i){const s=t.column|0,a=n.column|0;return s-a}return r-i}clone(){return new ht(this.lineNumber,this.column)}toString(){return"("+this.lineNumber+","+this.column+")"}static lift(t){return new ht(t.lineNumber,t.column)}static isIPosition(t){return t&&typeof t.lineNumber=="number"&&typeof t.column=="number"}toJSON(){return{lineNumber:this.lineNumber,column:this.column}}},z=class re{constructor(t,n,r,i){t>r||t===r&&n>i?(this.startLineNumber=r,this.startColumn=i,this.endLineNumber=t,this.endColumn=n):(this.startLineNumber=t,this.startColumn=n,this.endLineNumber=r,this.endColumn=i)}isEmpty(){return re.isEmpty(this)}static isEmpty(t){return t.startLineNumber===t.endLineNumber&&t.startColumn===t.endColumn}containsPosition(t){return re.containsPosition(this,t)}static containsPosition(t,n){return!(n.lineNumber<t.startLineNumber||n.lineNumber>t.endLineNumber||n.lineNumber===t.startLineNumber&&n.column<t.startColumn||n.lineNumber===t.endLineNumber&&n.column>t.endColumn)}static strictContainsPosition(t,n){return!(n.lineNumber<t.startLineNumber||n.lineNumber>t.endLineNumber||n.lineNumber===t.startLineNumber&&n.column<=t.startColumn||n.lineNumber===t.endLineNumber&&n.column>=t.endColumn)}containsRange(t){return re.containsRange(this,t)}static containsRange(t,n){return!(n.startLineNumber<t.startLineNumber||n.endLineNumber<t.startLineNumber||n.startLineNumber>t.endLineNumber||n.endLineNumber>t.endLineNumber||n.startLineNumber===t.startLineNumber&&n.startColumn<t.startColumn||n.endLineNumber===t.endLineNumber&&n.endColumn>t.endColumn)}strictContainsRange(t){return re.strictContainsRange(this,t)}static strictContainsRange(t,n){return!(n.startLineNumber<t.startLineNumber||n.endLineNumber<t.startLineNumber||n.startLineNumber>t.endLineNumber||n.endLineNumber>t.endLineNumber||n.startLineNumber===t.startLineNumber&&n.startColumn<=t.startColumn||n.endLineNumber===t.endLineNumber&&n.endColumn>=t.endColumn)}plusRange(t){return re.plusRange(this,t)}static plusRange(t,n){let r,i,s,a;return n.startLineNumber<t.startLineNumber?(r=n.startLineNumber,i=n.startColumn):n.startLineNumber===t.startLineNumber?(r=n.startLineNumber,i=Math.min(n.startColumn,t.startColumn)):(r=t.startLineNumber,i=t.startColumn),n.endLineNumber>t.endLineNumber?(s=n.endLineNumber,a=n.endColumn):n.endLineNumber===t.endLineNumber?(s=n.endLineNumber,a=Math.max(n.endColumn,t.endColumn)):(s=t.endLineNumber,a=t.endColumn),new re(r,i,s,a)}intersectRanges(t){return re.intersectRanges(this,t)}static intersectRanges(t,n){let r=t.startLineNumber,i=t.startColumn,s=t.endLineNumber,a=t.endColumn;const l=n.startLineNumber,o=n.startColumn,u=n.endLineNumber,h=n.endColumn;return r<l?(r=l,i=o):r===l&&(i=Math.max(i,o)),s>u?(s=u,a=h):s===u&&(a=Math.min(a,h)),r>s||r===s&&i>a?null:new re(r,i,s,a)}equalsRange(t){return re.equalsRange(this,t)}static equalsRange(t,n){return!t&&!n?!0:!!t&&!!n&&t.startLineNumber===n.startLineNumber&&t.startColumn===n.startColumn&&t.endLineNumber===n.endLineNumber&&t.endColumn===n.endColumn}getEndPosition(){return re.getEndPosition(this)}static getEndPosition(t){return new ee(t.endLineNumber,t.endColumn)}getStartPosition(){return re.getStartPosition(this)}static getStartPosition(t){return new ee(t.startLineNumber,t.startColumn)}toString(){return"["+this.startLineNumber+","+this.startColumn+" -> "+this.endLineNumber+","+this.endColumn+"]"}setEndPosition(t,n){return new re(this.startLineNumber,this.startColumn,t,n)}setStartPosition(t,n){return new re(t,n,this.endLineNumber,this.endColumn)}collapseToStart(){return re.collapseToStart(this)}static collapseToStart(t){return new re(t.startLineNumber,t.startColumn,t.startLineNumber,t.startColumn)}collapseToEnd(){return re.collapseToEnd(this)}static collapseToEnd(t){return new re(t.endLineNumber,t.endColumn,t.endLineNumber,t.endColumn)}delta(t){return new re(this.startLineNumber+t,this.startColumn,this.endLineNumber+t,this.endColumn)}static fromPositions(t,n=t){return new re(t.lineNumber,t.column,n.lineNumber,n.column)}static lift(t){return t?new re(t.startLineNumber,t.startColumn,t.endLineNumber,t.endColumn):null}static isIRange(t){return t&&typeof t.startLineNumber=="number"&&typeof t.startColumn=="number"&&typeof t.endLineNumber=="number"&&typeof t.endColumn=="number"}static areIntersectingOrTouching(t,n){return!(t.endLineNumber<n.startLineNumber||t.endLineNumber===n.startLineNumber&&t.endColumn<n.startColumn||n.endLineNumber<t.startLineNumber||n.endLineNumber===t.startLineNumber&&n.endColumn<t.startColumn)}static areIntersecting(t,n){return!(t.endLineNumber<n.startLineNumber||t.endLineNumber===n.startLineNumber&&t.endColumn<=n.startColumn||n.endLineNumber<t.startLineNumber||n.endLineNumber===t.startLineNumber&&n.endColumn<=t.startColumn)}static compareRangesUsingStarts(t,n){if(t&&n){const s=t.startLineNumber|0,a=n.startLineNumber|0;if(s===a){const l=t.startColumn|0,o=n.startColumn|0;if(l===o){const u=t.endLineNumber|0,h=n.endLineNumber|0;if(u===h){const c=t.endColumn|0,d=n.endColumn|0;return c-d}return u-h}return l-o}return s-a}return(t?1:0)-(n?1:0)}static compareRangesUsingEnds(t,n){return t.endLineNumber===n.endLineNumber?t.endColumn===n.endColumn?t.startLineNumber===n.startLineNumber?t.startColumn-n.startColumn:t.startLineNumber-n.startLineNumber:t.endColumn-n.endColumn:t.endLineNumber-n.endLineNumber}static spansMultipleLines(t){return t.endLineNumber>t.startLineNumber}toJSON(){return this}};function Vi(e){return e<0?0:e>255?255:e|0}function yt(e){return e<0?0:e>4294967295?4294967295:e|0}class hr{constructor(t){const n=Vi(t);this._defaultValue=n,this._asciiMap=hr._createAsciiMap(n),this._map=new Map}static _createAsciiMap(t){const n=new Uint8Array(256);return n.fill(t),n}set(t,n){const r=Vi(n);t>=0&&t<256?this._asciiMap[t]=r:this._map.set(t,r)}get(t){return t>=0&&t<256?this._asciiMap[t]:this._map.get(t)||this._defaultValue}clear(){this._asciiMap.fill(this._defaultValue),this._map.clear()}}class fu{constructor(t,n,r){const i=new Uint8Array(t*n);for(let s=0,a=t*n;s<a;s++)i[s]=r;this._data=i,this.rows=t,this.cols=n}get(t,n){return this._data[t*this.cols+n]}set(t,n,r){this._data[t*this.cols+n]=r}}class hu{constructor(t){let n=0,r=0;for(let s=0,a=t.length;s<a;s++){const[l,o,u]=t[s];o>n&&(n=o),l>r&&(r=l),u>r&&(r=u)}n++,r++;const i=new fu(r,n,0);for(let s=0,a=t.length;s<a;s++){const[l,o,u]=t[s];i.set(l,o,u)}this._states=i,this._maxCharCode=n}nextState(t,n){return n<0||n>=this._maxCharCode?0:this._states.get(t,n)}}let dr=null;function du(){return dr===null&&(dr=new hu([[1,104,2],[1,72,2],[1,102,6],[1,70,6],[2,116,3],[2,84,3],[3,116,4],[3,84,4],[4,112,5],[4,80,5],[5,115,9],[5,83,9],[5,58,10],[6,105,7],[6,73,7],[7,108,8],[7,76,8],[8,101,9],[8,69,9],[9,58,10],[10,47,11],[11,47,12]])),dr}let Wt=null;function mu(){if(Wt===null){Wt=new hr(0);const e=` <>'"、。。、,.:;‘〈「『〔([{「」}])〕』」〉’`~…`;for(let n=0;n<e.length;n++)Wt.set(e.charCodeAt(n),1);const t=".,;:";for(let n=0;n<t.length;n++)Wt.set(t.charCodeAt(n),2)}return Wt}class pn{static _createLink(t,n,r,i,s){let a=s-1;do{const l=n.charCodeAt(a);if(t.get(l)!==2)break;a--}while(a>i);if(i>0){const l=n.charCodeAt(i-1),o=n.charCodeAt(a);(l===40&&o===41||l===91&&o===93||l===123&&o===125)&&a--}return{range:{startLineNumber:r,startColumn:i+1,endLineNumber:r,endColumn:a+2},url:n.substring(i,a+1)}}static computeLinks(t,n=du()){const r=mu(),i=[];for(let s=1,a=t.getLineCount();s<=a;s++){const l=t.getLineContent(s),o=l.length;let u=0,h=0,c=0,d=1,m=!1,g=!1,p=!1,x=!1;for(;u<o;){let w=!1;const L=l.charCodeAt(u);if(d===13){let v;switch(L){case 40:m=!0,v=0;break;case 41:v=m?0:1;break;case 91:p=!0,g=!0,v=0;break;case 93:p=!1,v=g?0:1;break;case 123:x=!0,v=0;break;case 125:v=x?0:1;break;case 39:case 34:case 96:c===L?v=1:c===39||c===34||c===96?v=0:v=1;break;case 42:v=c===42?1:0;break;case 124:v=c===124?1:0;break;case 32:v=p?0:1;break;default:v=r.get(L)}v===1&&(i.push(pn._createLink(r,l,s,h,u)),w=!0)}else if(d===12){let v;L===91?(g=!0,v=0):v=r.get(L),v===1?w=!0:d=13}else d=n.nextState(d,L),d===0&&(w=!0);w&&(d=1,m=!1,g=!1,x=!1,h=u+1,c=L),u++}d===13&&i.push(pn._createLink(r,l,s,h,o))}return i}}function gu(e){return!e||typeof e.getLineCount!="function"||typeof e.getLineContent!="function"?[]:pn.computeLinks(e)}const Bn=class Bn{constructor(){this._defaultValueSet=[["true","false"],["True","False"],["Private","Public","Friend","ReadOnly","Partial","Protected","WriteOnly"],["public","protected","private"]]}navigateValueSet(t,n,r,i,s){if(t&&n){const a=this.doNavigateValueSet(n,s);if(a)return{range:t,value:a}}if(r&&i){const a=this.doNavigateValueSet(i,s);if(a)return{range:r,value:a}}return null}doNavigateValueSet(t,n){const r=this.numberReplace(t,n);return r!==null?r:this.textReplace(t,n)}numberReplace(t,n){const r=Math.pow(10,t.length-(t.lastIndexOf(".")+1));let i=Number(t);const s=parseFloat(t);return!isNaN(i)&&!isNaN(s)&&i===s?i===0&&!n?null:(i=Math.floor(i*r),i+=n?r:-r,String(i/r)):null}textReplace(t,n){return this.valueSetsReplace(this._defaultValueSet,t,n)}valueSetsReplace(t,n,r){let i=null;for(let s=0,a=t.length;i===null&&s<a;s++)i=this.valueSetReplace(t[s],n,r);return i}valueSetReplace(t,n,r){let i=t.indexOf(n);return i>=0?(i+=r?1:-1,i<0?i=t.length-1:i%=t.length,t[i]):null}};Bn.INSTANCE=new Bn;let mr=Bn;const Di=Object.freeze(function(e,t){const n=setTimeout(e.bind(t),0);return{dispose(){clearTimeout(n)}}});var bn;(function(e){function t(n){return n===e.None||n===e.Cancelled||n instanceof vn?!0:!n||typeof n!="object"?!1:typeof n.isCancellationRequested=="boolean"&&typeof n.onCancellationRequested=="function"}e.isCancellationToken=t,e.None=Object.freeze({isCancellationRequested:!1,onCancellationRequested:cn.None}),e.Cancelled=Object.freeze({isCancellationRequested:!0,onCancellationRequested:Di})})(bn||(bn={}));class vn{constructor(){this._isCancelled=!1,this._emitter=null}cancel(){this._isCancelled||(this._isCancelled=!0,this._emitter&&(this._emitter.fire(void 0),this.dispose()))}get isCancellationRequested(){return this._isCancelled}get onCancellationRequested(){return this._isCancelled?Di:(this._emitter||(this._emitter=new _e),this._emitter.event)}dispose(){this._emitter&&(this._emitter.dispose(),this._emitter=null)}}class pu{constructor(t){this._token=void 0,this._parentListener=void 0,this._parentListener=t&&t.onCancellationRequested(this.cancel,this)}get token(){return this._token||(this._token=new vn),this._token}cancel(){this._token?this._token instanceof vn&&this._token.cancel():this._token=bn.Cancelled}dispose(t=!1){var n;t&&this.cancel(),(n=this._parentListener)==null||n.dispose(),this._token?this._token instanceof vn&&this._token.dispose():this._token=bn.None}}class gr{constructor(){this._keyCodeToStr=[],this._strToKeyCode=Object.create(null)}define(t,n){this._keyCodeToStr[t]=n,this._strToKeyCode[n.toLowerCase()]=t}keyCodeToStr(t){return this._keyCodeToStr[t]}strToKeyCode(t){return this._strToKeyCode[t.toLowerCase()]||0}}const xn=new gr,pr=new gr,br=new gr,bu=new Array(230),vu=Object.create(null),xu=Object.create(null);(function(){const e="",t=[[1,0,"None",0,"unknown",0,"VK_UNKNOWN",e,e],[1,1,"Hyper",0,e,0,e,e,e],[1,2,"Super",0,e,0,e,e,e],[1,3,"Fn",0,e,0,e,e,e],[1,4,"FnLock",0,e,0,e,e,e],[1,5,"Suspend",0,e,0,e,e,e],[1,6,"Resume",0,e,0,e,e,e],[1,7,"Turbo",0,e,0,e,e,e],[1,8,"Sleep",0,e,0,"VK_SLEEP",e,e],[1,9,"WakeUp",0,e,0,e,e,e],[0,10,"KeyA",31,"A",65,"VK_A",e,e],[0,11,"KeyB",32,"B",66,"VK_B",e,e],[0,12,"KeyC",33,"C",67,"VK_C",e,e],[0,13,"KeyD",34,"D",68,"VK_D",e,e],[0,14,"KeyE",35,"E",69,"VK_E",e,e],[0,15,"KeyF",36,"F",70,"VK_F",e,e],[0,16,"KeyG",37,"G",71,"VK_G",e,e],[0,17,"KeyH",38,"H",72,"VK_H",e,e],[0,18,"KeyI",39,"I",73,"VK_I",e,e],[0,19,"KeyJ",40,"J",74,"VK_J",e,e],[0,20,"KeyK",41,"K",75,"VK_K",e,e],[0,21,"KeyL",42,"L",76,"VK_L",e,e],[0,22,"KeyM",43,"M",77,"VK_M",e,e],[0,23,"KeyN",44,"N",78,"VK_N",e,e],[0,24,"KeyO",45,"O",79,"VK_O",e,e],[0,25,"KeyP",46,"P",80,"VK_P",e,e],[0,26,"KeyQ",47,"Q",81,"VK_Q",e,e],[0,27,"KeyR",48,"R",82,"VK_R",e,e],[0,28,"KeyS",49,"S",83,"VK_S",e,e],[0,29,"KeyT",50,"T",84,"VK_T",e,e],[0,30,"KeyU",51,"U",85,"VK_U",e,e],[0,31,"KeyV",52,"V",86,"VK_V",e,e],[0,32,"KeyW",53,"W",87,"VK_W",e,e],[0,33,"KeyX",54,"X",88,"VK_X",e,e],[0,34,"KeyY",55,"Y",89,"VK_Y",e,e],[0,35,"KeyZ",56,"Z",90,"VK_Z",e,e],[0,36,"Digit1",22,"1",49,"VK_1",e,e],[0,37,"Digit2",23,"2",50,"VK_2",e,e],[0,38,"Digit3",24,"3",51,"VK_3",e,e],[0,39,"Digit4",25,"4",52,"VK_4",e,e],[0,40,"Digit5",26,"5",53,"VK_5",e,e],[0,41,"Digit6",27,"6",54,"VK_6",e,e],[0,42,"Digit7",28,"7",55,"VK_7",e,e],[0,43,"Digit8",29,"8",56,"VK_8",e,e],[0,44,"Digit9",30,"9",57,"VK_9",e,e],[0,45,"Digit0",21,"0",48,"VK_0",e,e],[1,46,"Enter",3,"Enter",13,"VK_RETURN",e,e],[1,47,"Escape",9,"Escape",27,"VK_ESCAPE",e,e],[1,48,"Backspace",1,"Backspace",8,"VK_BACK",e,e],[1,49,"Tab",2,"Tab",9,"VK_TAB",e,e],[1,50,"Space",10,"Space",32,"VK_SPACE",e,e],[0,51,"Minus",88,"-",189,"VK_OEM_MINUS","-","OEM_MINUS"],[0,52,"Equal",86,"=",187,"VK_OEM_PLUS","=","OEM_PLUS"],[0,53,"BracketLeft",92,"[",219,"VK_OEM_4","[","OEM_4"],[0,54,"BracketRight",94,"]",221,"VK_OEM_6","]","OEM_6"],[0,55,"Backslash",93,"\\",220,"VK_OEM_5","\\","OEM_5"],[0,56,"IntlHash",0,e,0,e,e,e],[0,57,"Semicolon",85,";",186,"VK_OEM_1",";","OEM_1"],[0,58,"Quote",95,"'",222,"VK_OEM_7","'","OEM_7"],[0,59,"Backquote",91,"`",192,"VK_OEM_3","`","OEM_3"],[0,60,"Comma",87,",",188,"VK_OEM_COMMA",",","OEM_COMMA"],[0,61,"Period",89,".",190,"VK_OEM_PERIOD",".","OEM_PERIOD"],[0,62,"Slash",90,"/",191,"VK_OEM_2","/","OEM_2"],[1,63,"CapsLock",8,"CapsLock",20,"VK_CAPITAL",e,e],[1,64,"F1",59,"F1",112,"VK_F1",e,e],[1,65,"F2",60,"F2",113,"VK_F2",e,e],[1,66,"F3",61,"F3",114,"VK_F3",e,e],[1,67,"F4",62,"F4",115,"VK_F4",e,e],[1,68,"F5",63,"F5",116,"VK_F5",e,e],[1,69,"F6",64,"F6",117,"VK_F6",e,e],[1,70,"F7",65,"F7",118,"VK_F7",e,e],[1,71,"F8",66,"F8",119,"VK_F8",e,e],[1,72,"F9",67,"F9",120,"VK_F9",e,e],[1,73,"F10",68,"F10",121,"VK_F10",e,e],[1,74,"F11",69,"F11",122,"VK_F11",e,e],[1,75,"F12",70,"F12",123,"VK_F12",e,e],[1,76,"PrintScreen",0,e,0,e,e,e],[1,77,"ScrollLock",84,"ScrollLock",145,"VK_SCROLL",e,e],[1,78,"Pause",7,"PauseBreak",19,"VK_PAUSE",e,e],[1,79,"Insert",19,"Insert",45,"VK_INSERT",e,e],[1,80,"Home",14,"Home",36,"VK_HOME",e,e],[1,81,"PageUp",11,"PageUp",33,"VK_PRIOR",e,e],[1,82,"Delete",20,"Delete",46,"VK_DELETE",e,e],[1,83,"End",13,"End",35,"VK_END",e,e],[1,84,"PageDown",12,"PageDown",34,"VK_NEXT",e,e],[1,85,"ArrowRight",17,"RightArrow",39,"VK_RIGHT","Right",e],[1,86,"ArrowLeft",15,"LeftArrow",37,"VK_LEFT","Left",e],[1,87,"ArrowDown",18,"DownArrow",40,"VK_DOWN","Down",e],[1,88,"ArrowUp",16,"UpArrow",38,"VK_UP","Up",e],[1,89,"NumLock",83,"NumLock",144,"VK_NUMLOCK",e,e],[1,90,"NumpadDivide",113,"NumPad_Divide",111,"VK_DIVIDE",e,e],[1,91,"NumpadMultiply",108,"NumPad_Multiply",106,"VK_MULTIPLY",e,e],[1,92,"NumpadSubtract",111,"NumPad_Subtract",109,"VK_SUBTRACT",e,e],[1,93,"NumpadAdd",109,"NumPad_Add",107,"VK_ADD",e,e],[1,94,"NumpadEnter",3,e,0,e,e,e],[1,95,"Numpad1",99,"NumPad1",97,"VK_NUMPAD1",e,e],[1,96,"Numpad2",100,"NumPad2",98,"VK_NUMPAD2",e,e],[1,97,"Numpad3",101,"NumPad3",99,"VK_NUMPAD3",e,e],[1,98,"Numpad4",102,"NumPad4",100,"VK_NUMPAD4",e,e],[1,99,"Numpad5",103,"NumPad5",101,"VK_NUMPAD5",e,e],[1,100,"Numpad6",104,"NumPad6",102,"VK_NUMPAD6",e,e],[1,101,"Numpad7",105,"NumPad7",103,"VK_NUMPAD7",e,e],[1,102,"Numpad8",106,"NumPad8",104,"VK_NUMPAD8",e,e],[1,103,"Numpad9",107,"NumPad9",105,"VK_NUMPAD9",e,e],[1,104,"Numpad0",98,"NumPad0",96,"VK_NUMPAD0",e,e],[1,105,"NumpadDecimal",112,"NumPad_Decimal",110,"VK_DECIMAL",e,e],[0,106,"IntlBackslash",97,"OEM_102",226,"VK_OEM_102",e,e],[1,107,"ContextMenu",58,"ContextMenu",93,e,e,e],[1,108,"Power",0,e,0,e,e,e],[1,109,"NumpadEqual",0,e,0,e,e,e],[1,110,"F13",71,"F13",124,"VK_F13",e,e],[1,111,"F14",72,"F14",125,"VK_F14",e,e],[1,112,"F15",73,"F15",126,"VK_F15",e,e],[1,113,"F16",74,"F16",127,"VK_F16",e,e],[1,114,"F17",75,"F17",128,"VK_F17",e,e],[1,115,"F18",76,"F18",129,"VK_F18",e,e],[1,116,"F19",77,"F19",130,"VK_F19",e,e],[1,117,"F20",78,"F20",131,"VK_F20",e,e],[1,118,"F21",79,"F21",132,"VK_F21",e,e],[1,119,"F22",80,"F22",133,"VK_F22",e,e],[1,120,"F23",81,"F23",134,"VK_F23",e,e],[1,121,"F24",82,"F24",135,"VK_F24",e,e],[1,122,"Open",0,e,0,e,e,e],[1,123,"Help",0,e,0,e,e,e],[1,124,"Select",0,e,0,e,e,e],[1,125,"Again",0,e,0,e,e,e],[1,126,"Undo",0,e,0,e,e,e],[1,127,"Cut",0,e,0,e,e,e],[1,128,"Copy",0,e,0,e,e,e],[1,129,"Paste",0,e,0,e,e,e],[1,130,"Find",0,e,0,e,e,e],[1,131,"AudioVolumeMute",117,"AudioVolumeMute",173,"VK_VOLUME_MUTE",e,e],[1,132,"AudioVolumeUp",118,"AudioVolumeUp",175,"VK_VOLUME_UP",e,e],[1,133,"AudioVolumeDown",119,"AudioVolumeDown",174,"VK_VOLUME_DOWN",e,e],[1,134,"NumpadComma",110,"NumPad_Separator",108,"VK_SEPARATOR",e,e],[0,135,"IntlRo",115,"ABNT_C1",193,"VK_ABNT_C1",e,e],[1,136,"KanaMode",0,e,0,e,e,e],[0,137,"IntlYen",0,e,0,e,e,e],[1,138,"Convert",0,e,0,e,e,e],[1,139,"NonConvert",0,e,0,e,e,e],[1,140,"Lang1",0,e,0,e,e,e],[1,141,"Lang2",0,e,0,e,e,e],[1,142,"Lang3",0,e,0,e,e,e],[1,143,"Lang4",0,e,0,e,e,e],[1,144,"Lang5",0,e,0,e,e,e],[1,145,"Abort",0,e,0,e,e,e],[1,146,"Props",0,e,0,e,e,e],[1,147,"NumpadParenLeft",0,e,0,e,e,e],[1,148,"NumpadParenRight",0,e,0,e,e,e],[1,149,"NumpadBackspace",0,e,0,e,e,e],[1,150,"NumpadMemoryStore",0,e,0,e,e,e],[1,151,"NumpadMemoryRecall",0,e,0,e,e,e],[1,152,"NumpadMemoryClear",0,e,0,e,e,e],[1,153,"NumpadMemoryAdd",0,e,0,e,e,e],[1,154,"NumpadMemorySubtract",0,e,0,e,e,e],[1,155,"NumpadClear",131,"Clear",12,"VK_CLEAR",e,e],[1,156,"NumpadClearEntry",0,e,0,e,e,e],[1,0,e,5,"Ctrl",17,"VK_CONTROL",e,e],[1,0,e,4,"Shift",16,"VK_SHIFT",e,e],[1,0,e,6,"Alt",18,"VK_MENU",e,e],[1,0,e,57,"Meta",91,"VK_COMMAND",e,e],[1,157,"ControlLeft",5,e,0,"VK_LCONTROL",e,e],[1,158,"ShiftLeft",4,e,0,"VK_LSHIFT",e,e],[1,159,"AltLeft",6,e,0,"VK_LMENU",e,e],[1,160,"MetaLeft",57,e,0,"VK_LWIN",e,e],[1,161,"ControlRight",5,e,0,"VK_RCONTROL",e,e],[1,162,"ShiftRight",4,e,0,"VK_RSHIFT",e,e],[1,163,"AltRight",6,e,0,"VK_RMENU",e,e],[1,164,"MetaRight",57,e,0,"VK_RWIN",e,e],[1,165,"BrightnessUp",0,e,0,e,e,e],[1,166,"BrightnessDown",0,e,0,e,e,e],[1,167,"MediaPlay",0,e,0,e,e,e],[1,168,"MediaRecord",0,e,0,e,e,e],[1,169,"MediaFastForward",0,e,0,e,e,e],[1,170,"MediaRewind",0,e,0,e,e,e],[1,171,"MediaTrackNext",124,"MediaTrackNext",176,"VK_MEDIA_NEXT_TRACK",e,e],[1,172,"MediaTrackPrevious",125,"MediaTrackPrevious",177,"VK_MEDIA_PREV_TRACK",e,e],[1,173,"MediaStop",126,"MediaStop",178,"VK_MEDIA_STOP",e,e],[1,174,"Eject",0,e,0,e,e,e],[1,175,"MediaPlayPause",127,"MediaPlayPause",179,"VK_MEDIA_PLAY_PAUSE",e,e],[1,176,"MediaSelect",128,"LaunchMediaPlayer",181,"VK_MEDIA_LAUNCH_MEDIA_SELECT",e,e],[1,177,"LaunchMail",129,"LaunchMail",180,"VK_MEDIA_LAUNCH_MAIL",e,e],[1,178,"LaunchApp2",130,"LaunchApp2",183,"VK_MEDIA_LAUNCH_APP2",e,e],[1,179,"LaunchApp1",0,e,0,"VK_MEDIA_LAUNCH_APP1",e,e],[1,180,"SelectTask",0,e,0,e,e,e],[1,181,"LaunchScreenSaver",0,e,0,e,e,e],[1,182,"BrowserSearch",120,"BrowserSearch",170,"VK_BROWSER_SEARCH",e,e],[1,183,"BrowserHome",121,"BrowserHome",172,"VK_BROWSER_HOME",e,e],[1,184,"BrowserBack",122,"BrowserBack",166,"VK_BROWSER_BACK",e,e],[1,185,"BrowserForward",123,"BrowserForward",167,"VK_BROWSER_FORWARD",e,e],[1,186,"BrowserStop",0,e,0,"VK_BROWSER_STOP",e,e],[1,187,"BrowserRefresh",0,e,0,"VK_BROWSER_REFRESH",e,e],[1,188,"BrowserFavorites",0,e,0,"VK_BROWSER_FAVORITES",e,e],[1,189,"ZoomToggle",0,e,0,e,e,e],[1,190,"MailReply",0,e,0,e,e,e],[1,191,"MailForward",0,e,0,e,e,e],[1,192,"MailSend",0,e,0,e,e,e],[1,0,e,114,"KeyInComposition",229,e,e,e],[1,0,e,116,"ABNT_C2",194,"VK_ABNT_C2",e,e],[1,0,e,96,"OEM_8",223,"VK_OEM_8",e,e],[1,0,e,0,e,0,"VK_KANA",e,e],[1,0,e,0,e,0,"VK_HANGUL",e,e],[1,0,e,0,e,0,"VK_JUNJA",e,e],[1,0,e,0,e,0,"VK_FINAL",e,e],[1,0,e,0,e,0,"VK_HANJA",e,e],[1,0,e,0,e,0,"VK_KANJI",e,e],[1,0,e,0,e,0,"VK_CONVERT",e,e],[1,0,e,0,e,0,"VK_NONCONVERT",e,e],[1,0,e,0,e,0,"VK_ACCEPT",e,e],[1,0,e,0,e,0,"VK_MODECHANGE",e,e],[1,0,e,0,e,0,"VK_SELECT",e,e],[1,0,e,0,e,0,"VK_PRINT",e,e],[1,0,e,0,e,0,"VK_EXECUTE",e,e],[1,0,e,0,e,0,"VK_SNAPSHOT",e,e],[1,0,e,0,e,0,"VK_HELP",e,e],[1,0,e,0,e,0,"VK_APPS",e,e],[1,0,e,0,e,0,"VK_PROCESSKEY",e,e],[1,0,e,0,e,0,"VK_PACKET",e,e],[1,0,e,0,e,0,"VK_DBE_SBCSCHAR",e,e],[1,0,e,0,e,0,"VK_DBE_DBCSCHAR",e,e],[1,0,e,0,e,0,"VK_ATTN",e,e],[1,0,e,0,e,0,"VK_CRSEL",e,e],[1,0,e,0,e,0,"VK_EXSEL",e,e],[1,0,e,0,e,0,"VK_EREOF",e,e],[1,0,e,0,e,0,"VK_PLAY",e,e],[1,0,e,0,e,0,"VK_ZOOM",e,e],[1,0,e,0,e,0,"VK_NONAME",e,e],[1,0,e,0,e,0,"VK_PA1",e,e],[1,0,e,0,e,0,"VK_OEM_CLEAR",e,e]],n=[],r=[];for(const i of t){const[s,a,l,o,u,h,c,d,m]=i;if(r[a]||(r[a]=!0,vu[l]=a,xu[l.toLowerCase()]=a),!n[o]){if(n[o]=!0,!u)throw new Error(`String representation missing for key code ${o} around scan code ${l}`);xn.define(o,u),pr.define(o,d||u),br.define(o,m||d||u)}h&&(bu[h]=o)}})();var Oi;(function(e){function t(l){return xn.keyCodeToStr(l)}e.toString=t;function n(l){return xn.strToKeyCode(l)}e.fromString=n;function r(l){return pr.keyCodeToStr(l)}e.toUserSettingsUS=r;function i(l){return br.keyCodeToStr(l)}e.toUserSettingsGeneral=i;function s(l){return pr.strToKeyCode(l)||br.strToKeyCode(l)}e.fromUserSettings=s;function a(l){if(l>=98&&l<=113)return null;switch(l){case 16:return"Up";case 18:return"Down";case 15:return"Left";case 17:return"Right"}return xn.keyCodeToStr(l)}e.toElectronAccelerator=a})(Oi||(Oi={}));function yu(e,t){const n=(t&65535)<<16>>>0;return(e|n)>>>0}class ve extends z{constructor(t,n,r,i){super(t,n,r,i),this.selectionStartLineNumber=t,this.selectionStartColumn=n,this.positionLineNumber=r,this.positionColumn=i}toString(){return"["+this.selectionStartLineNumber+","+this.selectionStartColumn+" -> "+this.positionLineNumber+","+this.positionColumn+"]"}equalsSelection(t){return ve.selectionsEqual(this,t)}static selectionsEqual(t,n){return t.selectionStartLineNumber===n.selectionStartLineNumber&&t.selectionStartColumn===n.selectionStartColumn&&t.positionLineNumber===n.positionLineNumber&&t.positionColumn===n.positionColumn}getDirection(){return this.selectionStartLineNumber===this.startLineNumber&&this.selectionStartColumn===this.startColumn?0:1}setEndPosition(t,n){return this.getDirection()===0?new ve(this.startLineNumber,this.startColumn,t,n):new ve(t,n,this.startLineNumber,this.startColumn)}getPosition(){return new ee(this.positionLineNumber,this.positionColumn)}getSelectionStart(){return new ee(this.selectionStartLineNumber,this.selectionStartColumn)}setStartPosition(t,n){return this.getDirection()===0?new ve(t,n,this.endLineNumber,this.endColumn):new ve(this.endLineNumber,this.endColumn,t,n)}static fromPositions(t,n=t){return new ve(t.lineNumber,t.column,n.lineNumber,n.column)}static fromRange(t,n){return n===0?new ve(t.startLineNumber,t.startColumn,t.endLineNumber,t.endColumn):new ve(t.endLineNumber,t.endColumn,t.startLineNumber,t.startColumn)}static liftSelection(t){return new ve(t.selectionStartLineNumber,t.selectionStartColumn,t.positionLineNumber,t.positionColumn)}static selectionsArrEqual(t,n){if(t&&!n||!t&&n)return!1;if(!t&&!n)return!0;if(t.length!==n.length)return!1;for(let r=0,i=t.length;r<i;r++)if(!this.selectionsEqual(t[r],n[r]))return!1;return!0}static isISelection(t){return t&&typeof t.selectionStartLineNumber=="number"&&typeof t.selectionStartColumn=="number"&&typeof t.positionLineNumber=="number"&&typeof t.positionColumn=="number"}static createWithDirection(t,n,r,i,s){return s===0?new ve(t,n,r,i):new ve(r,i,t,n)}}function wu(e){return typeof e=="string"}const $i=Object.create(null);function f(e,t){if(wu(t)){const n=$i[t];if(n===void 0)throw new Error(`${e} references an unknown codicon: ${t}`);t=n}return $i[e]=t,{id:e}}const _u={add:f("add",6e4),plus:f("plus",6e4),gistNew:f("gist-new",6e4),repoCreate:f("repo-create",6e4),lightbulb:f("lightbulb",60001),lightBulb:f("light-bulb",60001),repo:f("repo",60002),repoDelete:f("repo-delete",60002),gistFork:f("gist-fork",60003),repoForked:f("repo-forked",60003),gitPullRequest:f("git-pull-request",60004),gitPullRequestAbandoned:f("git-pull-request-abandoned",60004),recordKeys:f("record-keys",60005),keyboard:f("keyboard",60005),tag:f("tag",60006),gitPullRequestLabel:f("git-pull-request-label",60006),tagAdd:f("tag-add",60006),tagRemove:f("tag-remove",60006),person:f("person",60007),personFollow:f("person-follow",60007),personOutline:f("person-outline",60007),personFilled:f("person-filled",60007),gitBranch:f("git-branch",60008),gitBranchCreate:f("git-branch-create",60008),gitBranchDelete:f("git-branch-delete",60008),sourceControl:f("source-control",60008),mirror:f("mirror",60009),mirrorPublic:f("mirror-public",60009),star:f("star",60010),starAdd:f("star-add",60010),starDelete:f("star-delete",60010),starEmpty:f("star-empty",60010),comment:f("comment",60011),commentAdd:f("comment-add",60011),alert:f("alert",60012),warning:f("warning",60012),search:f("search",60013),searchSave:f("search-save",60013),logOut:f("log-out",60014),signOut:f("sign-out",60014),logIn:f("log-in",60015),signIn:f("sign-in",60015),eye:f("eye",60016),eyeUnwatch:f("eye-unwatch",60016),eyeWatch:f("eye-watch",60016),circleFilled:f("circle-filled",60017),primitiveDot:f("primitive-dot",60017),closeDirty:f("close-dirty",60017),debugBreakpoint:f("debug-breakpoint",60017),debugBreakpointDisabled:f("debug-breakpoint-disabled",60017),debugHint:f("debug-hint",60017),terminalDecorationSuccess:f("terminal-decoration-success",60017),primitiveSquare:f("primitive-square",60018),edit:f("edit",60019),pencil:f("pencil",60019),info:f("info",60020),issueOpened:f("issue-opened",60020),gistPrivate:f("gist-private",60021),gitForkPrivate:f("git-fork-private",60021),lock:f("lock",60021),mirrorPrivate:f("mirror-private",60021),close:f("close",60022),removeClose:f("remove-close",60022),x:f("x",60022),repoSync:f("repo-sync",60023),sync:f("sync",60023),clone:f("clone",60024),desktopDownload:f("desktop-download",60024),beaker:f("beaker",60025),microscope:f("microscope",60025),vm:f("vm",60026),deviceDesktop:f("device-desktop",60026),file:f("file",60027),fileText:f("file-text",60027),more:f("more",60028),ellipsis:f("ellipsis",60028),kebabHorizontal:f("kebab-horizontal",60028),mailReply:f("mail-reply",60029),reply:f("reply",60029),organization:f("organization",60030),organizationFilled:f("organization-filled",60030),organizationOutline:f("organization-outline",60030),newFile:f("new-file",60031),fileAdd:f("file-add",60031),newFolder:f("new-folder",60032),fileDirectoryCreate:f("file-directory-create",60032),trash:f("trash",60033),trashcan:f("trashcan",60033),history:f("history",60034),clock:f("clock",60034),folder:f("folder",60035),fileDirectory:f("file-directory",60035),symbolFolder:f("symbol-folder",60035),logoGithub:f("logo-github",60036),markGithub:f("mark-github",60036),github:f("github",60036),terminal:f("terminal",60037),console:f("console",60037),repl:f("repl",60037),zap:f("zap",60038),symbolEvent:f("symbol-event",60038),error:f("error",60039),stop:f("stop",60039),variable:f("variable",60040),symbolVariable:f("symbol-variable",60040),array:f("array",60042),symbolArray:f("symbol-array",60042),symbolModule:f("symbol-module",60043),symbolPackage:f("symbol-package",60043),symbolNamespace:f("symbol-namespace",60043),symbolObject:f("symbol-object",60043),symbolMethod:f("symbol-method",60044),symbolFunction:f("symbol-function",60044),symbolConstructor:f("symbol-constructor",60044),symbolBoolean:f("symbol-boolean",60047),symbolNull:f("symbol-null",60047),symbolNumeric:f("symbol-numeric",60048),symbolNumber:f("symbol-number",60048),symbolStructure:f("symbol-structure",60049),symbolStruct:f("symbol-struct",60049),symbolParameter:f("symbol-parameter",60050),symbolTypeParameter:f("symbol-type-parameter",60050),symbolKey:f("symbol-key",60051),symbolText:f("symbol-text",60051),symbolReference:f("symbol-reference",60052),goToFile:f("go-to-file",60052),symbolEnum:f("symbol-enum",60053),symbolValue:f("symbol-value",60053),symbolRuler:f("symbol-ruler",60054),symbolUnit:f("symbol-unit",60054),activateBreakpoints:f("activate-breakpoints",60055),archive:f("archive",60056),arrowBoth:f("arrow-both",60057),arrowDown:f("arrow-down",60058),arrowLeft:f("arrow-left",60059),arrowRight:f("arrow-right",60060),arrowSmallDown:f("arrow-small-down",60061),arrowSmallLeft:f("arrow-small-left",60062),arrowSmallRight:f("arrow-small-right",60063),arrowSmallUp:f("arrow-small-up",60064),arrowUp:f("arrow-up",60065),bell:f("bell",60066),bold:f("bold",60067),book:f("book",60068),bookmark:f("bookmark",60069),debugBreakpointConditionalUnverified:f("debug-breakpoint-conditional-unverified",60070),debugBreakpointConditional:f("debug-breakpoint-conditional",60071),debugBreakpointConditionalDisabled:f("debug-breakpoint-conditional-disabled",60071),debugBreakpointDataUnverified:f("debug-breakpoint-data-unverified",60072),debugBreakpointData:f("debug-breakpoint-data",60073),debugBreakpointDataDisabled:f("debug-breakpoint-data-disabled",60073),debugBreakpointLogUnverified:f("debug-breakpoint-log-unverified",60074),debugBreakpointLog:f("debug-breakpoint-log",60075),debugBreakpointLogDisabled:f("debug-breakpoint-log-disabled",60075),briefcase:f("briefcase",60076),broadcast:f("broadcast",60077),browser:f("browser",60078),bug:f("bug",60079),calendar:f("calendar",60080),caseSensitive:f("case-sensitive",60081),check:f("check",60082),checklist:f("checklist",60083),chevronDown:f("chevron-down",60084),chevronLeft:f("chevron-left",60085),chevronRight:f("chevron-right",60086),chevronUp:f("chevron-up",60087),chromeClose:f("chrome-close",60088),chromeMaximize:f("chrome-maximize",60089),chromeMinimize:f("chrome-minimize",60090),chromeRestore:f("chrome-restore",60091),circleOutline:f("circle-outline",60092),circle:f("circle",60092),debugBreakpointUnverified:f("debug-breakpoint-unverified",60092),terminalDecorationIncomplete:f("terminal-decoration-incomplete",60092),circleSlash:f("circle-slash",60093),circuitBoard:f("circuit-board",60094),clearAll:f("clear-all",60095),clippy:f("clippy",60096),closeAll:f("close-all",60097),cloudDownload:f("cloud-download",60098),cloudUpload:f("cloud-upload",60099),code:f("code",60100),collapseAll:f("collapse-all",60101),colorMode:f("color-mode",60102),commentDiscussion:f("comment-discussion",60103),creditCard:f("credit-card",60105),dash:f("dash",60108),dashboard:f("dashboard",60109),database:f("database",60110),debugContinue:f("debug-continue",60111),debugDisconnect:f("debug-disconnect",60112),debugPause:f("debug-pause",60113),debugRestart:f("debug-restart",60114),debugStart:f("debug-start",60115),debugStepInto:f("debug-step-into",60116),debugStepOut:f("debug-step-out",60117),debugStepOver:f("debug-step-over",60118),debugStop:f("debug-stop",60119),debug:f("debug",60120),deviceCameraVideo:f("device-camera-video",60121),deviceCamera:f("device-camera",60122),deviceMobile:f("device-mobile",60123),diffAdded:f("diff-added",60124),diffIgnored:f("diff-ignored",60125),diffModified:f("diff-modified",60126),diffRemoved:f("diff-removed",60127),diffRenamed:f("diff-renamed",60128),diff:f("diff",60129),diffSidebyside:f("diff-sidebyside",60129),discard:f("discard",60130),editorLayout:f("editor-layout",60131),emptyWindow:f("empty-window",60132),exclude:f("exclude",60133),extensions:f("extensions",60134),eyeClosed:f("eye-closed",60135),fileBinary:f("file-binary",60136),fileCode:f("file-code",60137),fileMedia:f("file-media",60138),filePdf:f("file-pdf",60139),fileSubmodule:f("file-submodule",60140),fileSymlinkDirectory:f("file-symlink-directory",60141),fileSymlinkFile:f("file-symlink-file",60142),fileZip:f("file-zip",60143),files:f("files",60144),filter:f("filter",60145),flame:f("flame",60146),foldDown:f("fold-down",60147),foldUp:f("fold-up",60148),fold:f("fold",60149),folderActive:f("folder-active",60150),folderOpened:f("folder-opened",60151),gear:f("gear",60152),gift:f("gift",60153),gistSecret:f("gist-secret",60154),gist:f("gist",60155),gitCommit:f("git-commit",60156),gitCompare:f("git-compare",60157),compareChanges:f("compare-changes",60157),gitMerge:f("git-merge",60158),githubAction:f("github-action",60159),githubAlt:f("github-alt",60160),globe:f("globe",60161),grabber:f("grabber",60162),graph:f("graph",60163),gripper:f("gripper",60164),heart:f("heart",60165),home:f("home",60166),horizontalRule:f("horizontal-rule",60167),hubot:f("hubot",60168),inbox:f("inbox",60169),issueReopened:f("issue-reopened",60171),issues:f("issues",60172),italic:f("italic",60173),jersey:f("jersey",60174),json:f("json",60175),kebabVertical:f("kebab-vertical",60176),key:f("key",60177),law:f("law",60178),lightbulbAutofix:f("lightbulb-autofix",60179),linkExternal:f("link-external",60180),link:f("link",60181),listOrdered:f("list-ordered",60182),listUnordered:f("list-unordered",60183),liveShare:f("live-share",60184),loading:f("loading",60185),location:f("location",60186),mailRead:f("mail-read",60187),mail:f("mail",60188),markdown:f("markdown",60189),megaphone:f("megaphone",60190),mention:f("mention",60191),milestone:f("milestone",60192),gitPullRequestMilestone:f("git-pull-request-milestone",60192),mortarBoard:f("mortar-board",60193),move:f("move",60194),multipleWindows:f("multiple-windows",60195),mute:f("mute",60196),noNewline:f("no-newline",60197),note:f("note",60198),octoface:f("octoface",60199),openPreview:f("open-preview",60200),package:f("package",60201),paintcan:f("paintcan",60202),pin:f("pin",60203),play:f("play",60204),run:f("run",60204),plug:f("plug",60205),preserveCase:f("preserve-case",60206),preview:f("preview",60207),project:f("project",60208),pulse:f("pulse",60209),question:f("question",60210),quote:f("quote",60211),radioTower:f("radio-tower",60212),reactions:f("reactions",60213),references:f("references",60214),refresh:f("refresh",60215),regex:f("regex",60216),remoteExplorer:f("remote-explorer",60217),remote:f("remote",60218),remove:f("remove",60219),replaceAll:f("replace-all",60220),replace:f("replace",60221),repoClone:f("repo-clone",60222),repoForcePush:f("repo-force-push",60223),repoPull:f("repo-pull",60224),repoPush:f("repo-push",60225),report:f("report",60226),requestChanges:f("request-changes",60227),rocket:f("rocket",60228),rootFolderOpened:f("root-folder-opened",60229),rootFolder:f("root-folder",60230),rss:f("rss",60231),ruby:f("ruby",60232),saveAll:f("save-all",60233),saveAs:f("save-as",60234),save:f("save",60235),screenFull:f("screen-full",60236),screenNormal:f("screen-normal",60237),searchStop:f("search-stop",60238),server:f("server",60240),settingsGear:f("settings-gear",60241),settings:f("settings",60242),shield:f("shield",60243),smiley:f("smiley",60244),sortPrecedence:f("sort-precedence",60245),splitHorizontal:f("split-horizontal",60246),splitVertical:f("split-vertical",60247),squirrel:f("squirrel",60248),starFull:f("star-full",60249),starHalf:f("star-half",60250),symbolClass:f("symbol-class",60251),symbolColor:f("symbol-color",60252),symbolConstant:f("symbol-constant",60253),symbolEnumMember:f("symbol-enum-member",60254),symbolField:f("symbol-field",60255),symbolFile:f("symbol-file",60256),symbolInterface:f("symbol-interface",60257),symbolKeyword:f("symbol-keyword",60258),symbolMisc:f("symbol-misc",60259),symbolOperator:f("symbol-operator",60260),symbolProperty:f("symbol-property",60261),wrench:f("wrench",60261),wrenchSubaction:f("wrench-subaction",60261),symbolSnippet:f("symbol-snippet",60262),tasklist:f("tasklist",60263),telescope:f("telescope",60264),textSize:f("text-size",60265),threeBars:f("three-bars",60266),thumbsdown:f("thumbsdown",60267),thumbsup:f("thumbsup",60268),tools:f("tools",60269),triangleDown:f("triangle-down",60270),triangleLeft:f("triangle-left",60271),triangleRight:f("triangle-right",60272),triangleUp:f("triangle-up",60273),twitter:f("twitter",60274),unfold:f("unfold",60275),unlock:f("unlock",60276),unmute:f("unmute",60277),unverified:f("unverified",60278),verified:f("verified",60279),versions:f("versions",60280),vmActive:f("vm-active",60281),vmOutline:f("vm-outline",60282),vmRunning:f("vm-running",60283),watch:f("watch",60284),whitespace:f("whitespace",60285),wholeWord:f("whole-word",60286),window:f("window",60287),wordWrap:f("word-wrap",60288),zoomIn:f("zoom-in",60289),zoomOut:f("zoom-out",60290),listFilter:f("list-filter",60291),listFlat:f("list-flat",60292),listSelection:f("list-selection",60293),selection:f("selection",60293),listTree:f("list-tree",60294),debugBreakpointFunctionUnverified:f("debug-breakpoint-function-unverified",60295),debugBreakpointFunction:f("debug-breakpoint-function",60296),debugBreakpointFunctionDisabled:f("debug-breakpoint-function-disabled",60296),debugStackframeActive:f("debug-stackframe-active",60297),circleSmallFilled:f("circle-small-filled",60298),debugStackframeDot:f("debug-stackframe-dot",60298),terminalDecorationMark:f("terminal-decoration-mark",60298),debugStackframe:f("debug-stackframe",60299),debugStackframeFocused:f("debug-stackframe-focused",60299),debugBreakpointUnsupported:f("debug-breakpoint-unsupported",60300),symbolString:f("symbol-string",60301),debugReverseContinue:f("debug-reverse-continue",60302),debugStepBack:f("debug-step-back",60303),debugRestartFrame:f("debug-restart-frame",60304),debugAlt:f("debug-alt",60305),callIncoming:f("call-incoming",60306),callOutgoing:f("call-outgoing",60307),menu:f("menu",60308),expandAll:f("expand-all",60309),feedback:f("feedback",60310),gitPullRequestReviewer:f("git-pull-request-reviewer",60310),groupByRefType:f("group-by-ref-type",60311),ungroupByRefType:f("ungroup-by-ref-type",60312),account:f("account",60313),gitPullRequestAssignee:f("git-pull-request-assignee",60313),bellDot:f("bell-dot",60314),debugConsole:f("debug-console",60315),library:f("library",60316),output:f("output",60317),runAll:f("run-all",60318),syncIgnored:f("sync-ignored",60319),pinned:f("pinned",60320),githubInverted:f("github-inverted",60321),serverProcess:f("server-process",60322),serverEnvironment:f("server-environment",60323),pass:f("pass",60324),issueClosed:f("issue-closed",60324),stopCircle:f("stop-circle",60325),playCircle:f("play-circle",60326),record:f("record",60327),debugAltSmall:f("debug-alt-small",60328),vmConnect:f("vm-connect",60329),cloud:f("cloud",60330),merge:f("merge",60331),export:f("export",60332),graphLeft:f("graph-left",60333),magnet:f("magnet",60334),notebook:f("notebook",60335),redo:f("redo",60336),checkAll:f("check-all",60337),pinnedDirty:f("pinned-dirty",60338),passFilled:f("pass-filled",60339),circleLargeFilled:f("circle-large-filled",60340),circleLarge:f("circle-large",60341),circleLargeOutline:f("circle-large-outline",60341),combine:f("combine",60342),gather:f("gather",60342),table:f("table",60343),variableGroup:f("variable-group",60344),typeHierarchy:f("type-hierarchy",60345),typeHierarchySub:f("type-hierarchy-sub",60346),typeHierarchySuper:f("type-hierarchy-super",60347),gitPullRequestCreate:f("git-pull-request-create",60348),runAbove:f("run-above",60349),runBelow:f("run-below",60350),notebookTemplate:f("notebook-template",60351),debugRerun:f("debug-rerun",60352),workspaceTrusted:f("workspace-trusted",60353),workspaceUntrusted:f("workspace-untrusted",60354),workspaceUnknown:f("workspace-unknown",60355),terminalCmd:f("terminal-cmd",60356),terminalDebian:f("terminal-debian",60357),terminalLinux:f("terminal-linux",60358),terminalPowershell:f("terminal-powershell",60359),terminalTmux:f("terminal-tmux",60360),terminalUbuntu:f("terminal-ubuntu",60361),terminalBash:f("terminal-bash",60362),arrowSwap:f("arrow-swap",60363),copy:f("copy",60364),personAdd:f("person-add",60365),filterFilled:f("filter-filled",60366),wand:f("wand",60367),debugLineByLine:f("debug-line-by-line",60368),inspect:f("inspect",60369),layers:f("layers",60370),layersDot:f("layers-dot",60371),layersActive:f("layers-active",60372),compass:f("compass",60373),compassDot:f("compass-dot",60374),compassActive:f("compass-active",60375),azure:f("azure",60376),issueDraft:f("issue-draft",60377),gitPullRequestClosed:f("git-pull-request-closed",60378),gitPullRequestDraft:f("git-pull-request-draft",60379),debugAll:f("debug-all",60380),debugCoverage:f("debug-coverage",60381),runErrors:f("run-errors",60382),folderLibrary:f("folder-library",60383),debugContinueSmall:f("debug-continue-small",60384),beakerStop:f("beaker-stop",60385),graphLine:f("graph-line",60386),graphScatter:f("graph-scatter",60387),pieChart:f("pie-chart",60388),bracket:f("bracket",60175),bracketDot:f("bracket-dot",60389),bracketError:f("bracket-error",60390),lockSmall:f("lock-small",60391),azureDevops:f("azure-devops",60392),verifiedFilled:f("verified-filled",60393),newline:f("newline",60394),layout:f("layout",60395),layoutActivitybarLeft:f("layout-activitybar-left",60396),layoutActivitybarRight:f("layout-activitybar-right",60397),layoutPanelLeft:f("layout-panel-left",60398),layoutPanelCenter:f("layout-panel-center",60399),layoutPanelJustify:f("layout-panel-justify",60400),layoutPanelRight:f("layout-panel-right",60401),layoutPanel:f("layout-panel",60402),layoutSidebarLeft:f("layout-sidebar-left",60403),layoutSidebarRight:f("layout-sidebar-right",60404),layoutStatusbar:f("layout-statusbar",60405),layoutMenubar:f("layout-menubar",60406),layoutCentered:f("layout-centered",60407),target:f("target",60408),indent:f("indent",60409),recordSmall:f("record-small",60410),errorSmall:f("error-small",60411),terminalDecorationError:f("terminal-decoration-error",60411),arrowCircleDown:f("arrow-circle-down",60412),arrowCircleLeft:f("arrow-circle-left",60413),arrowCircleRight:f("arrow-circle-right",60414),arrowCircleUp:f("arrow-circle-up",60415),layoutSidebarRightOff:f("layout-sidebar-right-off",60416),layoutPanelOff:f("layout-panel-off",60417),layoutSidebarLeftOff:f("layout-sidebar-left-off",60418),blank:f("blank",60419),heartFilled:f("heart-filled",60420),map:f("map",60421),mapHorizontal:f("map-horizontal",60421),foldHorizontal:f("fold-horizontal",60421),mapFilled:f("map-filled",60422),mapHorizontalFilled:f("map-horizontal-filled",60422),foldHorizontalFilled:f("fold-horizontal-filled",60422),circleSmall:f("circle-small",60423),bellSlash:f("bell-slash",60424),bellSlashDot:f("bell-slash-dot",60425),commentUnresolved:f("comment-unresolved",60426),gitPullRequestGoToChanges:f("git-pull-request-go-to-changes",60427),gitPullRequestNewChanges:f("git-pull-request-new-changes",60428),searchFuzzy:f("search-fuzzy",60429),commentDraft:f("comment-draft",60430),send:f("send",60431),sparkle:f("sparkle",60432),insert:f("insert",60433),mic:f("mic",60434),thumbsdownFilled:f("thumbsdown-filled",60435),thumbsupFilled:f("thumbsup-filled",60436),coffee:f("coffee",60437),snake:f("snake",60438),game:f("game",60439),vr:f("vr",60440),chip:f("chip",60441),piano:f("piano",60442),music:f("music",60443),micFilled:f("mic-filled",60444),repoFetch:f("repo-fetch",60445),copilot:f("copilot",60446),lightbulbSparkle:f("lightbulb-sparkle",60447),robot:f("robot",60448),sparkleFilled:f("sparkle-filled",60449),diffSingle:f("diff-single",60450),diffMultiple:f("diff-multiple",60451),surroundWith:f("surround-with",60452),share:f("share",60453),gitStash:f("git-stash",60454),gitStashApply:f("git-stash-apply",60455),gitStashPop:f("git-stash-pop",60456),vscode:f("vscode",60457),vscodeInsiders:f("vscode-insiders",60458),codeOss:f("code-oss",60459),runCoverage:f("run-coverage",60460),runAllCoverage:f("run-all-coverage",60461),coverage:f("coverage",60462),githubProject:f("github-project",60463),mapVertical:f("map-vertical",60464),foldVertical:f("fold-vertical",60464),mapVerticalFilled:f("map-vertical-filled",60465),foldVerticalFilled:f("fold-vertical-filled",60465),goToSearch:f("go-to-search",60466),percentage:f("percentage",60467),sortPercentage:f("sort-percentage",60467),attach:f("attach",60468)},Lu={dialogError:f("dialog-error","error"),dialogWarning:f("dialog-warning","warning"),dialogInfo:f("dialog-info","info"),dialogClose:f("dialog-close","close"),treeItemExpanded:f("tree-item-expanded","chevron-down"),treeFilterOnTypeOn:f("tree-filter-on-type-on","list-filter"),treeFilterOnTypeOff:f("tree-filter-on-type-off","list-selection"),treeFilterClear:f("tree-filter-clear","close"),treeItemLoading:f("tree-item-loading","loading"),menuSelection:f("menu-selection","check"),menuSubmenu:f("menu-submenu","chevron-right"),menuBarMore:f("menubar-more","more"),scrollbarButtonLeft:f("scrollbar-button-left","triangle-left"),scrollbarButtonRight:f("scrollbar-button-right","triangle-right"),scrollbarButtonUp:f("scrollbar-button-up","triangle-up"),scrollbarButtonDown:f("scrollbar-button-down","triangle-down"),toolBarMore:f("toolbar-more","more"),quickInputBack:f("quick-input-back","arrow-left"),dropDownButton:f("drop-down-button",60084),symbolCustomColor:f("symbol-customcolor",60252),exportIcon:f("export",60332),workspaceUnspecified:f("workspace-unspecified",60355),newLine:f("newline",60394),thumbsDownFilled:f("thumbsdown-filled",60435),thumbsUpFilled:f("thumbsup-filled",60436),gitFetch:f("git-fetch",60445),lightbulbSparkleAutofix:f("lightbulb-sparkle-autofix",60447),debugBreakpointPending:f("debug-breakpoint-pending",60377)},V={..._u,...Lu};class Ui{constructor(){this._tokenizationSupports=new Map,this._factories=new Map,this._onDidChange=new _e,this.onDidChange=this._onDidChange.event,this._colorMap=null}handleChange(t){this._onDidChange.fire({changedLanguages:t,changedColorMap:!1})}register(t,n){return this._tokenizationSupports.set(t,n),this.handleChange([t]),ln(()=>{this._tokenizationSupports.get(t)===n&&(this._tokenizationSupports.delete(t),this.handleChange([t]))})}get(t){return this._tokenizationSupports.get(t)||null}registerFactory(t,n){var i;(i=this._factories.get(t))==null||i.dispose();const r=new Nu(this,t,n);return this._factories.set(t,r),ln(()=>{const s=this._factories.get(t);!s||s!==r||(this._factories.delete(t),s.dispose())})}async getOrCreate(t){const n=this.get(t);if(n)return n;const r=this._factories.get(t);return!r||r.isResolved?null:(await r.resolve(),this.get(t))}isResolved(t){if(this.get(t))return!0;const r=this._factories.get(t);return!!(!r||r.isResolved)}setColorMap(t){this._colorMap=t,this._onDidChange.fire({changedLanguages:Array.from(this._tokenizationSupports.keys()),changedColorMap:!0})}getColorMap(){return this._colorMap}getDefaultBackground(){return this._colorMap&&this._colorMap.length>2?this._colorMap[2]:null}}class Nu extends mt{get isResolved(){return this._isResolved}constructor(t,n,r){super(),this._registry=t,this._languageId=n,this._factory=r,this._isDisposed=!1,this._resolvePromise=null,this._isResolved=!1}dispose(){this._isDisposed=!0,super.dispose()}async resolve(){return this._resolvePromise||(this._resolvePromise=this._create()),this._resolvePromise}async _create(){const t=await this._factory.tokenizationSupport;this._isResolved=!0,t&&!this._isDisposed&&this._register(this._registry.register(this._languageId,t))}}class Su{constructor(t,n,r){this.offset=t,this.type=n,this.language=r,this._tokenBrand=void 0}toString(){return"("+this.offset+", "+this.type+")"}}var Bi;(function(e){e[e.Increase=0]="Increase",e[e.Decrease=1]="Decrease"})(Bi||(Bi={}));var qi;(function(e){const t=new Map;t.set(0,V.symbolMethod),t.set(1,V.symbolFunction),t.set(2,V.symbolConstructor),t.set(3,V.symbolField),t.set(4,V.symbolVariable),t.set(5,V.symbolClass),t.set(6,V.symbolStruct),t.set(7,V.symbolInterface),t.set(8,V.symbolModule),t.set(9,V.symbolProperty),t.set(10,V.symbolEvent),t.set(11,V.symbolOperator),t.set(12,V.symbolUnit),t.set(13,V.symbolValue),t.set(15,V.symbolEnum),t.set(14,V.symbolConstant),t.set(15,V.symbolEnum),t.set(16,V.symbolEnumMember),t.set(17,V.symbolKeyword),t.set(27,V.symbolSnippet),t.set(18,V.symbolText),t.set(19,V.symbolColor),t.set(20,V.symbolFile),t.set(21,V.symbolReference),t.set(22,V.symbolCustomColor),t.set(23,V.symbolFolder),t.set(24,V.symbolTypeParameter),t.set(25,V.account),t.set(26,V.issues);function n(s){let a=t.get(s);return a||(console.info("No codicon found for CompletionItemKind "+s),a=V.symbolProperty),a}e.toIcon=n;const r=new Map;r.set("method",0),r.set("function",1),r.set("constructor",2),r.set("field",3),r.set("variable",4),r.set("class",5),r.set("struct",6),r.set("interface",7),r.set("module",8),r.set("property",9),r.set("event",10),r.set("operator",11),r.set("unit",12),r.set("value",13),r.set("constant",14),r.set("enum",15),r.set("enum-member",16),r.set("enumMember",16),r.set("keyword",17),r.set("snippet",27),r.set("text",18),r.set("color",19),r.set("file",20),r.set("reference",21),r.set("customcolor",22),r.set("folder",23),r.set("type-parameter",24),r.set("typeParameter",24),r.set("account",25),r.set("issue",26);function i(s,a){let l=r.get(s);return typeof l>"u"&&!a&&(l=9),l}e.fromString=i})(qi||(qi={}));var ji;(function(e){e[e.Automatic=0]="Automatic",e[e.Explicit=1]="Explicit"})(ji||(ji={}));var Wi;(function(e){e[e.Automatic=0]="Automatic",e[e.PasteAs=1]="PasteAs"})(Wi||(Wi={}));var Hi;(function(e){e[e.Invoke=1]="Invoke",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.ContentChange=3]="ContentChange"})(Hi||(Hi={}));var zi;(function(e){e[e.Text=0]="Text",e[e.Read=1]="Read",e[e.Write=2]="Write"})(zi||(zi={})),X("Array","array"),X("Boolean","boolean"),X("Class","class"),X("Constant","constant"),X("Constructor","constructor"),X("Enum","enumeration"),X("EnumMember","enumeration member"),X("Event","event"),X("Field","field"),X("File","file"),X("Function","function"),X("Interface","interface"),X("Key","key"),X("Method","method"),X("Module","module"),X("Namespace","namespace"),X("Null","null"),X("Number","number"),X("Object","object"),X("Operator","operator"),X("Package","package"),X("Property","property"),X("String","string"),X("Struct","struct"),X("TypeParameter","type parameter"),X("Variable","variable");var Gi;(function(e){const t=new Map;t.set(0,V.symbolFile),t.set(1,V.symbolModule),t.set(2,V.symbolNamespace),t.set(3,V.symbolPackage),t.set(4,V.symbolClass),t.set(5,V.symbolMethod),t.set(6,V.symbolProperty),t.set(7,V.symbolField),t.set(8,V.symbolConstructor),t.set(9,V.symbolEnum),t.set(10,V.symbolInterface),t.set(11,V.symbolFunction),t.set(12,V.symbolVariable),t.set(13,V.symbolConstant),t.set(14,V.symbolString),t.set(15,V.symbolNumber),t.set(16,V.symbolBoolean),t.set(17,V.symbolArray),t.set(18,V.symbolObject),t.set(19,V.symbolKey),t.set(20,V.symbolNull),t.set(21,V.symbolEnumMember),t.set(22,V.symbolStruct),t.set(23,V.symbolEvent),t.set(24,V.symbolOperator),t.set(25,V.symbolTypeParameter);function n(r){let i=t.get(r);return i||(console.info("No codicon found for SymbolKind "+r),i=V.symbolProperty),i}e.toIcon=n})(Gi||(Gi={}));let ef=(me=class{static fromValue(t){switch(t){case"comment":return me.Comment;case"imports":return me.Imports;case"region":return me.Region}return new me(t)}constructor(t){this.value=t}},me.Comment=new me("comment"),me.Imports=new me("imports"),me.Region=new me("region"),me);var Ji;(function(e){e[e.AIGenerated=1]="AIGenerated"})(Ji||(Ji={}));var Xi;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Xi||(Xi={}));var Qi;(function(e){function t(n){return!n||typeof n!="object"?!1:typeof n.id=="string"&&typeof n.title=="string"}e.is=t})(Qi||(Qi={}));var Yi;(function(e){e[e.Type=1]="Type",e[e.Parameter=2]="Parameter"})(Yi||(Yi={})),new Ui,new Ui;var Zi;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Zi||(Zi={}));var Ki;(function(e){e[e.Unknown=0]="Unknown",e[e.Disabled=1]="Disabled",e[e.Enabled=2]="Enabled"})(Ki||(Ki={}));var es;(function(e){e[e.Invoke=1]="Invoke",e[e.Auto=2]="Auto"})(es||(es={}));var ts;(function(e){e[e.None=0]="None",e[e.KeepWhitespace=1]="KeepWhitespace",e[e.InsertAsSnippet=4]="InsertAsSnippet"})(ts||(ts={}));var ns;(function(e){e[e.Method=0]="Method",e[e.Function=1]="Function",e[e.Constructor=2]="Constructor",e[e.Field=3]="Field",e[e.Variable=4]="Variable",e[e.Class=5]="Class",e[e.Struct=6]="Struct",e[e.Interface=7]="Interface",e[e.Module=8]="Module",e[e.Property=9]="Property",e[e.Event=10]="Event",e[e.Operator=11]="Operator",e[e.Unit=12]="Unit",e[e.Value=13]="Value",e[e.Constant=14]="Constant",e[e.Enum=15]="Enum",e[e.EnumMember=16]="EnumMember",e[e.Keyword=17]="Keyword",e[e.Text=18]="Text",e[e.Color=19]="Color",e[e.File=20]="File",e[e.Reference=21]="Reference",e[e.Customcolor=22]="Customcolor",e[e.Folder=23]="Folder",e[e.TypeParameter=24]="TypeParameter",e[e.User=25]="User",e[e.Issue=26]="Issue",e[e.Snippet=27]="Snippet"})(ns||(ns={}));var rs;(function(e){e[e.Deprecated=1]="Deprecated"})(rs||(rs={}));var is;(function(e){e[e.Invoke=0]="Invoke",e[e.TriggerCharacter=1]="TriggerCharacter",e[e.TriggerForIncompleteCompletions=2]="TriggerForIncompleteCompletions"})(is||(is={}));var ss;(function(e){e[e.EXACT=0]="EXACT",e[e.ABOVE=1]="ABOVE",e[e.BELOW=2]="BELOW"})(ss||(ss={}));var as;(function(e){e[e.NotSet=0]="NotSet",e[e.ContentFlush=1]="ContentFlush",e[e.RecoverFromMarkers=2]="RecoverFromMarkers",e[e.Explicit=3]="Explicit",e[e.Paste=4]="Paste",e[e.Undo=5]="Undo",e[e.Redo=6]="Redo"})(as||(as={}));var os;(function(e){e[e.LF=1]="LF",e[e.CRLF=2]="CRLF"})(os||(os={}));var ls;(function(e){e[e.Text=0]="Text",e[e.Read=1]="Read",e[e.Write=2]="Write"})(ls||(ls={}));var us;(function(e){e[e.None=0]="None",e[e.Keep=1]="Keep",e[e.Brackets=2]="Brackets",e[e.Advanced=3]="Advanced",e[e.Full=4]="Full"})(us||(us={}));var cs;(function(e){e[e.acceptSuggestionOnCommitCharacter=0]="acceptSuggestionOnCommitCharacter",e[e.acceptSuggestionOnEnter=1]="acceptSuggestionOnEnter",e[e.accessibilitySupport=2]="accessibilitySupport",e[e.accessibilityPageSize=3]="accessibilityPageSize",e[e.ariaLabel=4]="ariaLabel",e[e.ariaRequired=5]="ariaRequired",e[e.autoClosingBrackets=6]="autoClosingBrackets",e[e.autoClosingComments=7]="autoClosingComments",e[e.screenReaderAnnounceInlineSuggestion=8]="screenReaderAnnounceInlineSuggestion",e[e.autoClosingDelete=9]="autoClosingDelete",e[e.autoClosingOvertype=10]="autoClosingOvertype",e[e.autoClosingQuotes=11]="autoClosingQuotes",e[e.autoIndent=12]="autoIndent",e[e.automaticLayout=13]="automaticLayout",e[e.autoSurround=14]="autoSurround",e[e.bracketPairColorization=15]="bracketPairColorization",e[e.guides=16]="guides",e[e.codeLens=17]="codeLens",e[e.codeLensFontFamily=18]="codeLensFontFamily",e[e.codeLensFontSize=19]="codeLensFontSize",e[e.colorDecorators=20]="colorDecorators",e[e.colorDecoratorsLimit=21]="colorDecoratorsLimit",e[e.columnSelection=22]="columnSelection",e[e.comments=23]="comments",e[e.contextmenu=24]="contextmenu",e[e.copyWithSyntaxHighlighting=25]="copyWithSyntaxHighlighting",e[e.cursorBlinking=26]="cursorBlinking",e[e.cursorSmoothCaretAnimation=27]="cursorSmoothCaretAnimation",e[e.cursorStyle=28]="cursorStyle",e[e.cursorSurroundingLines=29]="cursorSurroundingLines",e[e.cursorSurroundingLinesStyle=30]="cursorSurroundingLinesStyle",e[e.cursorWidth=31]="cursorWidth",e[e.disableLayerHinting=32]="disableLayerHinting",e[e.disableMonospaceOptimizations=33]="disableMonospaceOptimizations",e[e.domReadOnly=34]="domReadOnly",e[e.dragAndDrop=35]="dragAndDrop",e[e.dropIntoEditor=36]="dropIntoEditor",e[e.emptySelectionClipboard=37]="emptySelectionClipboard",e[e.experimentalWhitespaceRendering=38]="experimentalWhitespaceRendering",e[e.extraEditorClassName=39]="extraEditorClassName",e[e.fastScrollSensitivity=40]="fastScrollSensitivity",e[e.find=41]="find",e[e.fixedOverflowWidgets=42]="fixedOverflowWidgets",e[e.folding=43]="folding",e[e.foldingStrategy=44]="foldingStrategy",e[e.foldingHighlight=45]="foldingHighlight",e[e.foldingImportsByDefault=46]="foldingImportsByDefault",e[e.foldingMaximumRegions=47]="foldingMaximumRegions",e[e.unfoldOnClickAfterEndOfLine=48]="unfoldOnClickAfterEndOfLine",e[e.fontFamily=49]="fontFamily",e[e.fontInfo=50]="fontInfo",e[e.fontLigatures=51]="fontLigatures",e[e.fontSize=52]="fontSize",e[e.fontWeight=53]="fontWeight",e[e.fontVariations=54]="fontVariations",e[e.formatOnPaste=55]="formatOnPaste",e[e.formatOnType=56]="formatOnType",e[e.glyphMargin=57]="glyphMargin",e[e.gotoLocation=58]="gotoLocation",e[e.hideCursorInOverviewRuler=59]="hideCursorInOverviewRuler",e[e.hover=60]="hover",e[e.inDiffEditor=61]="inDiffEditor",e[e.inlineSuggest=62]="inlineSuggest",e[e.inlineEdit=63]="inlineEdit",e[e.letterSpacing=64]="letterSpacing",e[e.lightbulb=65]="lightbulb",e[e.lineDecorationsWidth=66]="lineDecorationsWidth",e[e.lineHeight=67]="lineHeight",e[e.lineNumbers=68]="lineNumbers",e[e.lineNumbersMinChars=69]="lineNumbersMinChars",e[e.linkedEditing=70]="linkedEditing",e[e.links=71]="links",e[e.matchBrackets=72]="matchBrackets",e[e.minimap=73]="minimap",e[e.mouseStyle=74]="mouseStyle",e[e.mouseWheelScrollSensitivity=75]="mouseWheelScrollSensitivity",e[e.mouseWheelZoom=76]="mouseWheelZoom",e[e.multiCursorMergeOverlapping=77]="multiCursorMergeOverlapping",e[e.multiCursorModifier=78]="multiCursorModifier",e[e.multiCursorPaste=79]="multiCursorPaste",e[e.multiCursorLimit=80]="multiCursorLimit",e[e.occurrencesHighlight=81]="occurrencesHighlight",e[e.overviewRulerBorder=82]="overviewRulerBorder",e[e.overviewRulerLanes=83]="overviewRulerLanes",e[e.padding=84]="padding",e[e.pasteAs=85]="pasteAs",e[e.parameterHints=86]="parameterHints",e[e.peekWidgetDefaultFocus=87]="peekWidgetDefaultFocus",e[e.placeholder=88]="placeholder",e[e.definitionLinkOpensInPeek=89]="definitionLinkOpensInPeek",e[e.quickSuggestions=90]="quickSuggestions",e[e.quickSuggestionsDelay=91]="quickSuggestionsDelay",e[e.readOnly=92]="readOnly",e[e.readOnlyMessage=93]="readOnlyMessage",e[e.renameOnType=94]="renameOnType",e[e.renderControlCharacters=95]="renderControlCharacters",e[e.renderFinalNewline=96]="renderFinalNewline",e[e.renderLineHighlight=97]="renderLineHighlight",e[e.renderLineHighlightOnlyWhenFocus=98]="renderLineHighlightOnlyWhenFocus",e[e.renderValidationDecorations=99]="renderValidationDecorations",e[e.renderWhitespace=100]="renderWhitespace",e[e.revealHorizontalRightPadding=101]="revealHorizontalRightPadding",e[e.roundedSelection=102]="roundedSelection",e[e.rulers=103]="rulers",e[e.scrollbar=104]="scrollbar",e[e.scrollBeyondLastColumn=105]="scrollBeyondLastColumn",e[e.scrollBeyondLastLine=106]="scrollBeyondLastLine",e[e.scrollPredominantAxis=107]="scrollPredominantAxis",e[e.selectionClipboard=108]="selectionClipboard",e[e.selectionHighlight=109]="selectionHighlight",e[e.selectOnLineNumbers=110]="selectOnLineNumbers",e[e.showFoldingControls=111]="showFoldingControls",e[e.showUnused=112]="showUnused",e[e.snippetSuggestions=113]="snippetSuggestions",e[e.smartSelect=114]="smartSelect",e[e.smoothScrolling=115]="smoothScrolling",e[e.stickyScroll=116]="stickyScroll",e[e.stickyTabStops=117]="stickyTabStops",e[e.stopRenderingLineAfter=118]="stopRenderingLineAfter",e[e.suggest=119]="suggest",e[e.suggestFontSize=120]="suggestFontSize",e[e.suggestLineHeight=121]="suggestLineHeight",e[e.suggestOnTriggerCharacters=122]="suggestOnTriggerCharacters",e[e.suggestSelection=123]="suggestSelection",e[e.tabCompletion=124]="tabCompletion",e[e.tabIndex=125]="tabIndex",e[e.unicodeHighlighting=126]="unicodeHighlighting",e[e.unusualLineTerminators=127]="unusualLineTerminators",e[e.useShadowDOM=128]="useShadowDOM",e[e.useTabStops=129]="useTabStops",e[e.wordBreak=130]="wordBreak",e[e.wordSegmenterLocales=131]="wordSegmenterLocales",e[e.wordSeparators=132]="wordSeparators",e[e.wordWrap=133]="wordWrap",e[e.wordWrapBreakAfterCharacters=134]="wordWrapBreakAfterCharacters",e[e.wordWrapBreakBeforeCharacters=135]="wordWrapBreakBeforeCharacters",e[e.wordWrapColumn=136]="wordWrapColumn",e[e.wordWrapOverride1=137]="wordWrapOverride1",e[e.wordWrapOverride2=138]="wordWrapOverride2",e[e.wrappingIndent=139]="wrappingIndent",e[e.wrappingStrategy=140]="wrappingStrategy",e[e.showDeprecated=141]="showDeprecated",e[e.inlayHints=142]="inlayHints",e[e.editorClassName=143]="editorClassName",e[e.pixelRatio=144]="pixelRatio",e[e.tabFocusMode=145]="tabFocusMode",e[e.layoutInfo=146]="layoutInfo",e[e.wrappingInfo=147]="wrappingInfo",e[e.defaultColorDecorators=148]="defaultColorDecorators",e[e.colorDecoratorsActivatedOn=149]="colorDecoratorsActivatedOn",e[e.inlineCompletionsAccessibilityVerbose=150]="inlineCompletionsAccessibilityVerbose"})(cs||(cs={}));var fs;(function(e){e[e.TextDefined=0]="TextDefined",e[e.LF=1]="LF",e[e.CRLF=2]="CRLF"})(fs||(fs={}));var hs;(function(e){e[e.LF=0]="LF",e[e.CRLF=1]="CRLF"})(hs||(hs={}));var ds;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=3]="Right"})(ds||(ds={}));var ms;(function(e){e[e.Increase=0]="Increase",e[e.Decrease=1]="Decrease"})(ms||(ms={}));var gs;(function(e){e[e.None=0]="None",e[e.Indent=1]="Indent",e[e.IndentOutdent=2]="IndentOutdent",e[e.Outdent=3]="Outdent"})(gs||(gs={}));var ps;(function(e){e[e.Both=0]="Both",e[e.Right=1]="Right",e[e.Left=2]="Left",e[e.None=3]="None"})(ps||(ps={}));var bs;(function(e){e[e.Type=1]="Type",e[e.Parameter=2]="Parameter"})(bs||(bs={}));var vs;(function(e){e[e.Automatic=0]="Automatic",e[e.Explicit=1]="Explicit"})(vs||(vs={}));var xs;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(xs||(xs={}));var vr;(function(e){e[e.DependsOnKbLayout=-1]="DependsOnKbLayout",e[e.Unknown=0]="Unknown",e[e.Backspace=1]="Backspace",e[e.Tab=2]="Tab",e[e.Enter=3]="Enter",e[e.Shift=4]="Shift",e[e.Ctrl=5]="Ctrl",e[e.Alt=6]="Alt",e[e.PauseBreak=7]="PauseBreak",e[e.CapsLock=8]="CapsLock",e[e.Escape=9]="Escape",e[e.Space=10]="Space",e[e.PageUp=11]="PageUp",e[e.PageDown=12]="PageDown",e[e.End=13]="End",e[e.Home=14]="Home",e[e.LeftArrow=15]="LeftArrow",e[e.UpArrow=16]="UpArrow",e[e.RightArrow=17]="RightArrow",e[e.DownArrow=18]="DownArrow",e[e.Insert=19]="Insert",e[e.Delete=20]="Delete",e[e.Digit0=21]="Digit0",e[e.Digit1=22]="Digit1",e[e.Digit2=23]="Digit2",e[e.Digit3=24]="Digit3",e[e.Digit4=25]="Digit4",e[e.Digit5=26]="Digit5",e[e.Digit6=27]="Digit6",e[e.Digit7=28]="Digit7",e[e.Digit8=29]="Digit8",e[e.Digit9=30]="Digit9",e[e.KeyA=31]="KeyA",e[e.KeyB=32]="KeyB",e[e.KeyC=33]="KeyC",e[e.KeyD=34]="KeyD",e[e.KeyE=35]="KeyE",e[e.KeyF=36]="KeyF",e[e.KeyG=37]="KeyG",e[e.KeyH=38]="KeyH",e[e.KeyI=39]="KeyI",e[e.KeyJ=40]="KeyJ",e[e.KeyK=41]="KeyK",e[e.KeyL=42]="KeyL",e[e.KeyM=43]="KeyM",e[e.KeyN=44]="KeyN",e[e.KeyO=45]="KeyO",e[e.KeyP=46]="KeyP",e[e.KeyQ=47]="KeyQ",e[e.KeyR=48]="KeyR",e[e.KeyS=49]="KeyS",e[e.KeyT=50]="KeyT",e[e.KeyU=51]="KeyU",e[e.KeyV=52]="KeyV",e[e.KeyW=53]="KeyW",e[e.KeyX=54]="KeyX",e[e.KeyY=55]="KeyY",e[e.KeyZ=56]="KeyZ",e[e.Meta=57]="Meta",e[e.ContextMenu=58]="ContextMenu",e[e.F1=59]="F1",e[e.F2=60]="F2",e[e.F3=61]="F3",e[e.F4=62]="F4",e[e.F5=63]="F5",e[e.F6=64]="F6",e[e.F7=65]="F7",e[e.F8=66]="F8",e[e.F9=67]="F9",e[e.F10=68]="F10",e[e.F11=69]="F11",e[e.F12=70]="F12",e[e.F13=71]="F13",e[e.F14=72]="F14",e[e.F15=73]="F15",e[e.F16=74]="F16",e[e.F17=75]="F17",e[e.F18=76]="F18",e[e.F19=77]="F19",e[e.F20=78]="F20",e[e.F21=79]="F21",e[e.F22=80]="F22",e[e.F23=81]="F23",e[e.F24=82]="F24",e[e.NumLock=83]="NumLock",e[e.ScrollLock=84]="ScrollLock",e[e.Semicolon=85]="Semicolon",e[e.Equal=86]="Equal",e[e.Comma=87]="Comma",e[e.Minus=88]="Minus",e[e.Period=89]="Period",e[e.Slash=90]="Slash",e[e.Backquote=91]="Backquote",e[e.BracketLeft=92]="BracketLeft",e[e.Backslash=93]="Backslash",e[e.BracketRight=94]="BracketRight",e[e.Quote=95]="Quote",e[e.OEM_8=96]="OEM_8",e[e.IntlBackslash=97]="IntlBackslash",e[e.Numpad0=98]="Numpad0",e[e.Numpad1=99]="Numpad1",e[e.Numpad2=100]="Numpad2",e[e.Numpad3=101]="Numpad3",e[e.Numpad4=102]="Numpad4",e[e.Numpad5=103]="Numpad5",e[e.Numpad6=104]="Numpad6",e[e.Numpad7=105]="Numpad7",e[e.Numpad8=106]="Numpad8",e[e.Numpad9=107]="Numpad9",e[e.NumpadMultiply=108]="NumpadMultiply",e[e.NumpadAdd=109]="NumpadAdd",e[e.NUMPAD_SEPARATOR=110]="NUMPAD_SEPARATOR",e[e.NumpadSubtract=111]="NumpadSubtract",e[e.NumpadDecimal=112]="NumpadDecimal",e[e.NumpadDivide=113]="NumpadDivide",e[e.KEY_IN_COMPOSITION=114]="KEY_IN_COMPOSITION",e[e.ABNT_C1=115]="ABNT_C1",e[e.ABNT_C2=116]="ABNT_C2",e[e.AudioVolumeMute=117]="AudioVolumeMute",e[e.AudioVolumeUp=118]="AudioVolumeUp",e[e.AudioVolumeDown=119]="AudioVolumeDown",e[e.BrowserSearch=120]="BrowserSearch",e[e.BrowserHome=121]="BrowserHome",e[e.BrowserBack=122]="BrowserBack",e[e.BrowserForward=123]="BrowserForward",e[e.MediaTrackNext=124]="MediaTrackNext",e[e.MediaTrackPrevious=125]="MediaTrackPrevious",e[e.MediaStop=126]="MediaStop",e[e.MediaPlayPause=127]="MediaPlayPause",e[e.LaunchMediaPlayer=128]="LaunchMediaPlayer",e[e.LaunchMail=129]="LaunchMail",e[e.LaunchApp2=130]="LaunchApp2",e[e.Clear=131]="Clear",e[e.MAX_VALUE=132]="MAX_VALUE"})(vr||(vr={}));var xr;(function(e){e[e.Hint=1]="Hint",e[e.Info=2]="Info",e[e.Warning=4]="Warning",e[e.Error=8]="Error"})(xr||(xr={}));var yr;(function(e){e[e.Unnecessary=1]="Unnecessary",e[e.Deprecated=2]="Deprecated"})(yr||(yr={}));var ys;(function(e){e[e.Inline=1]="Inline",e[e.Gutter=2]="Gutter"})(ys||(ys={}));var ws;(function(e){e[e.Normal=1]="Normal",e[e.Underlined=2]="Underlined"})(ws||(ws={}));var _s;(function(e){e[e.UNKNOWN=0]="UNKNOWN",e[e.TEXTAREA=1]="TEXTAREA",e[e.GUTTER_GLYPH_MARGIN=2]="GUTTER_GLYPH_MARGIN",e[e.GUTTER_LINE_NUMBERS=3]="GUTTER_LINE_NUMBERS",e[e.GUTTER_LINE_DECORATIONS=4]="GUTTER_LINE_DECORATIONS",e[e.GUTTER_VIEW_ZONE=5]="GUTTER_VIEW_ZONE",e[e.CONTENT_TEXT=6]="CONTENT_TEXT",e[e.CONTENT_EMPTY=7]="CONTENT_EMPTY",e[e.CONTENT_VIEW_ZONE=8]="CONTENT_VIEW_ZONE",e[e.CONTENT_WIDGET=9]="CONTENT_WIDGET",e[e.OVERVIEW_RULER=10]="OVERVIEW_RULER",e[e.SCROLLBAR=11]="SCROLLBAR",e[e.OVERLAY_WIDGET=12]="OVERLAY_WIDGET",e[e.OUTSIDE_EDITOR=13]="OUTSIDE_EDITOR"})(_s||(_s={}));var Ls;(function(e){e[e.AIGenerated=1]="AIGenerated"})(Ls||(Ls={}));var Ns;(function(e){e[e.Invoke=0]="Invoke",e[e.Automatic=1]="Automatic"})(Ns||(Ns={}));var Ss;(function(e){e[e.TOP_RIGHT_CORNER=0]="TOP_RIGHT_CORNER",e[e.BOTTOM_RIGHT_CORNER=1]="BOTTOM_RIGHT_CORNER",e[e.TOP_CENTER=2]="TOP_CENTER"})(Ss||(Ss={}));var As;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=4]="Right",e[e.Full=7]="Full"})(As||(As={}));var ks;(function(e){e[e.Word=0]="Word",e[e.Line=1]="Line",e[e.Suggest=2]="Suggest"})(ks||(ks={}));var Rs;(function(e){e[e.Left=0]="Left",e[e.Right=1]="Right",e[e.None=2]="None",e[e.LeftOfInjectedText=3]="LeftOfInjectedText",e[e.RightOfInjectedText=4]="RightOfInjectedText"})(Rs||(Rs={}));var Es;(function(e){e[e.Off=0]="Off",e[e.On=1]="On",e[e.Relative=2]="Relative",e[e.Interval=3]="Interval",e[e.Custom=4]="Custom"})(Es||(Es={}));var Ms;(function(e){e[e.None=0]="None",e[e.Text=1]="Text",e[e.Blocks=2]="Blocks"})(Ms||(Ms={}));var Cs;(function(e){e[e.Smooth=0]="Smooth",e[e.Immediate=1]="Immediate"})(Cs||(Cs={}));var Ts;(function(e){e[e.Auto=1]="Auto",e[e.Hidden=2]="Hidden",e[e.Visible=3]="Visible"})(Ts||(Ts={}));var wr;(function(e){e[e.LTR=0]="LTR",e[e.RTL=1]="RTL"})(wr||(wr={}));var Ps;(function(e){e.Off="off",e.OnCode="onCode",e.On="on"})(Ps||(Ps={}));var Is;(function(e){e[e.Invoke=1]="Invoke",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.ContentChange=3]="ContentChange"})(Is||(Is={}));var Fs;(function(e){e[e.File=0]="File",e[e.Module=1]="Module",e[e.Namespace=2]="Namespace",e[e.Package=3]="Package",e[e.Class=4]="Class",e[e.Method=5]="Method",e[e.Property=6]="Property",e[e.Field=7]="Field",e[e.Constructor=8]="Constructor",e[e.Enum=9]="Enum",e[e.Interface=10]="Interface",e[e.Function=11]="Function",e[e.Variable=12]="Variable",e[e.Constant=13]="Constant",e[e.String=14]="String",e[e.Number=15]="Number",e[e.Boolean=16]="Boolean",e[e.Array=17]="Array",e[e.Object=18]="Object",e[e.Key=19]="Key",e[e.Null=20]="Null",e[e.EnumMember=21]="EnumMember",e[e.Struct=22]="Struct",e[e.Event=23]="Event",e[e.Operator=24]="Operator",e[e.TypeParameter=25]="TypeParameter"})(Fs||(Fs={}));var Vs;(function(e){e[e.Deprecated=1]="Deprecated"})(Vs||(Vs={}));var Ds;(function(e){e[e.Hidden=0]="Hidden",e[e.Blink=1]="Blink",e[e.Smooth=2]="Smooth",e[e.Phase=3]="Phase",e[e.Expand=4]="Expand",e[e.Solid=5]="Solid"})(Ds||(Ds={}));var Os;(function(e){e[e.Line=1]="Line",e[e.Block=2]="Block",e[e.Underline=3]="Underline",e[e.LineThin=4]="LineThin",e[e.BlockOutline=5]="BlockOutline",e[e.UnderlineThin=6]="UnderlineThin"})(Os||(Os={}));var $s;(function(e){e[e.AlwaysGrowsWhenTypingAtEdges=0]="AlwaysGrowsWhenTypingAtEdges",e[e.NeverGrowsWhenTypingAtEdges=1]="NeverGrowsWhenTypingAtEdges",e[e.GrowsOnlyWhenTypingBefore=2]="GrowsOnlyWhenTypingBefore",e[e.GrowsOnlyWhenTypingAfter=3]="GrowsOnlyWhenTypingAfter"})($s||($s={}));var Us;(function(e){e[e.None=0]="None",e[e.Same=1]="Same",e[e.Indent=2]="Indent",e[e.DeepIndent=3]="DeepIndent"})(Us||(Us={}));const Vt=class Vt{static chord(t,n){return yu(t,n)}};Vt.CtrlCmd=2048,Vt.Shift=1024,Vt.Alt=512,Vt.WinCtrl=256;let _r=Vt;function Au(){return{editor:void 0,languages:void 0,CancellationTokenSource:pu,Emitter:_e,KeyCode:vr,KeyMod:_r,Position:ee,Range:z,Selection:ve,SelectionDirection:wr,MarkerSeverity:xr,MarkerTag:yr,Uri:Ie,Token:Su}}const sn=class sn{static getChannel(t){return t.getChannel(sn.CHANNEL_NAME)}static setChannel(t,n){t.setChannel(sn.CHANNEL_NAME,n)}};sn.CHANNEL_NAME="editorWorkerHost";let Lr=sn;var Bs;class ku{constructor(){this[Bs]="LinkedMap",this._map=new Map,this._head=void 0,this._tail=void 0,this._size=0,this._state=0}clear(){this._map.clear(),this._head=void 0,this._tail=void 0,this._size=0,this._state++}isEmpty(){return!this._head&&!this._tail}get size(){return this._size}get first(){var t;return(t=this._head)==null?void 0:t.value}get last(){var t;return(t=this._tail)==null?void 0:t.value}has(t){return this._map.has(t)}get(t,n=0){const r=this._map.get(t);if(r)return n!==0&&this.touch(r,n),r.value}set(t,n,r=0){let i=this._map.get(t);if(i)i.value=n,r!==0&&this.touch(i,r);else{switch(i={key:t,value:n,next:void 0,previous:void 0},r){case 0:this.addItemLast(i);break;case 1:this.addItemFirst(i);break;case 2:this.addItemLast(i);break;default:this.addItemLast(i);break}this._map.set(t,i),this._size++}return this}delete(t){return!!this.remove(t)}remove(t){const n=this._map.get(t);if(n)return this._map.delete(t),this.removeItem(n),this._size--,n.value}shift(){if(!this._head&&!this._tail)return;if(!this._head||!this._tail)throw new Error("Invalid list");const t=this._head;return this._map.delete(t.key),this.removeItem(t),this._size--,t.value}forEach(t,n){const r=this._state;let i=this._head;for(;i;){if(n?t.bind(n)(i.value,i.key,this):t(i.value,i.key,this),this._state!==r)throw new Error("LinkedMap got modified during iteration.");i=i.next}}keys(){const t=this,n=this._state;let r=this._head;const i={[Symbol.iterator](){return i},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(r){const s={value:r.key,done:!1};return r=r.next,s}else return{value:void 0,done:!0}}};return i}values(){const t=this,n=this._state;let r=this._head;const i={[Symbol.iterator](){return i},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(r){const s={value:r.value,done:!1};return r=r.next,s}else return{value:void 0,done:!0}}};return i}entries(){const t=this,n=this._state;let r=this._head;const i={[Symbol.iterator](){return i},next(){if(t._state!==n)throw new Error("LinkedMap got modified during iteration.");if(r){const s={value:[r.key,r.value],done:!1};return r=r.next,s}else return{value:void 0,done:!0}}};return i}[(Bs=Symbol.toStringTag,Symbol.iterator)](){return this.entries()}trimOld(t){if(t>=this.size)return;if(t===0){this.clear();return}let n=this._head,r=this.size;for(;n&&r>t;)this._map.delete(n.key),n=n.next,r--;this._head=n,this._size=r,n&&(n.previous=void 0),this._state++}trimNew(t){if(t>=this.size)return;if(t===0){this.clear();return}let n=this._tail,r=this.size;for(;n&&r>t;)this._map.delete(n.key),n=n.previous,r--;this._tail=n,this._size=r,n&&(n.next=void 0),this._state++}addItemFirst(t){if(!this._head&&!this._tail)this._tail=t;else if(this._head)t.next=this._head,this._head.previous=t;else throw new Error("Invalid list");this._head=t,this._state++}addItemLast(t){if(!this._head&&!this._tail)this._head=t;else if(this._tail)t.previous=this._tail,this._tail.next=t;else throw new Error("Invalid list");this._tail=t,this._state++}removeItem(t){if(t===this._head&&t===this._tail)this._head=void 0,this._tail=void 0;else if(t===this._head){if(!t.next)throw new Error("Invalid list");t.next.previous=void 0,this._head=t.next}else if(t===this._tail){if(!t.previous)throw new Error("Invalid list");t.previous.next=void 0,this._tail=t.previous}else{const n=t.next,r=t.previous;if(!n||!r)throw new Error("Invalid list");n.previous=r,r.next=n}t.next=void 0,t.previous=void 0,this._state++}touch(t,n){if(!this._head||!this._tail)throw new Error("Invalid list");if(!(n!==1&&n!==2)){if(n===1){if(t===this._head)return;const r=t.next,i=t.previous;t===this._tail?(i.next=void 0,this._tail=i):(r.previous=i,i.next=r),t.previous=void 0,t.next=this._head,this._head.previous=t,this._head=t,this._state++}else if(n===2){if(t===this._tail)return;const r=t.next,i=t.previous;t===this._head?(r.previous=void 0,this._head=r):(r.previous=i,i.next=r),t.next=void 0,t.previous=this._tail,this._tail.next=t,this._tail=t,this._state++}}}toJSON(){const t=[];return this.forEach((n,r)=>{t.push([r,n])}),t}fromJSON(t){this.clear();for(const[n,r]of t)this.set(n,r)}}class Ru extends ku{constructor(t,n=1){super(),this._limit=t,this._ratio=Math.min(Math.max(0,n),1)}get limit(){return this._limit}set limit(t){this._limit=t,this.checkTrim()}get(t,n=2){return super.get(t,n)}peek(t){return super.get(t,0)}set(t,n){return super.set(t,n,2),this}checkTrim(){this.size>this._limit&&this.trim(Math.round(this._limit*this._ratio))}}class Eu extends Ru{constructor(t,n=1){super(t,n)}trim(t){this.trimOld(t)}set(t,n){return super.set(t,n),this.checkTrim(),this}}class Mu{constructor(){this.map=new Map}add(t,n){let r=this.map.get(t);r||(r=new Set,this.map.set(t,r)),r.add(n)}delete(t,n){const r=this.map.get(t);r&&(r.delete(n),r.size===0&&this.map.delete(t))}forEach(t,n){const r=this.map.get(t);r&&r.forEach(n)}get(t){const n=this.map.get(t);return n||new Set}}new Eu(10);function Cu(e){let t=[];for(;Object.prototype!==e;)t=t.concat(Object.getOwnPropertyNames(e)),e=Object.getPrototypeOf(e);return t}function qs(e){const t=[];for(const n of Cu(e))typeof e[n]=="function"&&t.push(n);return t}function Tu(e,t){const n=i=>function(){const s=Array.prototype.slice.call(arguments,0);return t(i,s)},r={};for(const i of e)r[i]=n(i);return r}var js;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=4]="Right",e[e.Full=7]="Full"})(js||(js={}));var Ws;(function(e){e[e.Left=1]="Left",e[e.Center=2]="Center",e[e.Right=3]="Right"})(Ws||(Ws={}));var Hs;(function(e){e[e.Both=0]="Both",e[e.Right=1]="Right",e[e.Left=2]="Left",e[e.None=3]="None"})(Hs||(Hs={}));function Pu(e,t,n,r,i){if(r===0)return!0;const s=t.charCodeAt(r-1);if(e.get(s)!==0||s===13||s===10)return!0;if(i>0){const a=t.charCodeAt(r);if(e.get(a)!==0)return!0}return!1}function Iu(e,t,n,r,i){if(r+i===n)return!0;const s=t.charCodeAt(r+i);if(e.get(s)!==0||s===13||s===10)return!0;if(i>0){const a=t.charCodeAt(r+i-1);if(e.get(a)!==0)return!0}return!1}function Fu(e,t,n,r,i){return Pu(e,t,n,r,i)&&Iu(e,t,n,r,i)}class Vu{constructor(t,n){this._wordSeparators=t,this._searchRegex=n,this._prevMatchStartIndex=-1,this._prevMatchLength=0}reset(t){this._searchRegex.lastIndex=t,this._prevMatchStartIndex=-1,this._prevMatchLength=0}next(t){const n=t.length;let r;do{if(this._prevMatchStartIndex+this._prevMatchLength===n||(r=this._searchRegex.exec(t),!r))return null;const i=r.index,s=r[0].length;if(i===this._prevMatchStartIndex&&s===this._prevMatchLength){if(s===0){El(t,n,this._searchRegex.lastIndex)>65535?this._searchRegex.lastIndex+=2:this._searchRegex.lastIndex+=1;continue}return null}if(this._prevMatchStartIndex=i,this._prevMatchLength=s,!this._wordSeparators||Fu(this._wordSeparators,t,n,i,s))return r}while(r);return null}}function Du(e,t="Unreachable"){throw new Error(t)}function yn(e){if(!e()){debugger;e(),Te(new be("Assertion Failed"))}}function zs(e,t){let n=0;for(;n<e.length-1;){const r=e[n],i=e[n+1];if(!t(r,i))return!1;n++}return!0}const Ou="`~!@#$%^&*()-=+[{]}\\|;:'\",.<>/?";function $u(e=""){let t="(-?\\d*\\.\\d\\w*)|([^";for(const n of Ou)e.indexOf(n)>=0||(t+="\\"+n);return t+="\\s]+)",new RegExp(t,"g")}const Gs=$u();function Js(e){let t=Gs;if(e&&e instanceof RegExp)if(e.global)t=e;else{let n="g";e.ignoreCase&&(n+="i"),e.multiline&&(n+="m"),e.unicode&&(n+="u"),t=new RegExp(e.source,n)}return t.lastIndex=0,t}const Xs=new sl;Xs.unshift({maxLen:1e3,windowSize:15,timeBudget:150});function Nr(e,t,n,r,i){if(t=Js(t),i||(i=on.first(Xs)),n.length>i.maxLen){let u=e-i.maxLen/2;return u<0?u=0:r+=u,n=n.substring(u,e+i.maxLen/2),Nr(e,t,n,r,i)}const s=Date.now(),a=e-1-r;let l=-1,o=null;for(let u=1;!(Date.now()-s>=i.timeBudget);u++){const h=a-i.windowSize*u;t.lastIndex=Math.max(0,h);const c=Uu(t,n,a,l);if(!c&&o||(o=c,h<=0))break;l=h}if(o){const u={word:o[0],startColumn:r+1+o.index,endColumn:r+1+o.index+o[0].length};return t.lastIndex=0,u}return null}function Uu(e,t,n,r){let i;for(;i=e.exec(t);){const s=i.index||0;if(s<=n&&e.lastIndex>=n)return i;if(r>0&&s>r)return null}return null}class Bu{static computeUnicodeHighlights(t,n,r){const i=r?r.startLineNumber:1,s=r?r.endLineNumber:t.getLineCount(),a=new Qs(n),l=a.getCandidateCodePoints();let o;l==="allNonBasicAscii"?o=new RegExp("[^\\t\\n\\r\\x20-\\x7E]","g"):o=new RegExp(`${qu(Array.from(l))}`,"g");const u=new Vu(null,o),h=[];let c=!1,d,m=0,g=0,p=0;e:for(let x=i,w=s;x<=w;x++){const L=t.getLineContent(x),v=L.length;u.reset(0);do if(d=u.next(L),d){let y=d.index,b=d.index+d[0].length;if(y>0){const F=L.charCodeAt(y-1);hn(F)&&y--}if(b+1<v){const F=L.charCodeAt(b-1);hn(F)&&b++}const _=L.substring(y,b);let A=Nr(y+1,Gs,L,0);A&&A.endColumn<=y+1&&(A=null);const C=a.shouldHighlightNonBasicASCII(_,A?A.word:null);if(C!==0){if(C===3?m++:C===2?g++:C===1?p++:Du(),h.length>=1e3){c=!0;break e}h.push(new z(x,y+1,x,b+1))}}while(d)}return{ranges:h,hasMore:c,ambiguousCharacterCount:m,invisibleCharacterCount:g,nonBasicAsciiCharacterCount:p}}static computeUnicodeHighlightReason(t,n){const r=new Qs(n);switch(r.shouldHighlightNonBasicASCII(t,null)){case 0:return null;case 2:return{kind:1};case 3:{const s=t.codePointAt(0),a=r.ambiguousCharacters.getPrimaryConfusable(s),l=Bt.getLocales().filter(o=>!Bt.getInstance(new Set([...n.allowedLocales,o])).isAmbiguous(s));return{kind:0,confusableWith:String.fromCodePoint(a),notAmbiguousInLocales:l}}case 1:return{kind:2}}}}function qu(e,t){return`[${Sl(e.map(r=>String.fromCodePoint(r)).join(""))}]`}class Qs{constructor(t){this.options=t,this.allowedCodePoints=new Set(t.allowedCodePoints),this.ambiguousCharacters=Bt.getInstance(new Set(t.allowedLocales))}getCandidateCodePoints(){if(this.options.nonBasicASCII)return"allNonBasicAscii";const t=new Set;if(this.options.invisibleCharacters)for(const n of qt.codePoints)Ys(String.fromCodePoint(n))||t.add(n);if(this.options.ambiguousCharacters)for(const n of this.ambiguousCharacters.getConfusableCodePoints())t.add(n);for(const n of this.allowedCodePoints)t.delete(n);return t}shouldHighlightNonBasicASCII(t,n){const r=t.codePointAt(0);if(this.allowedCodePoints.has(r))return 0;if(this.options.nonBasicASCII)return 1;let i=!1,s=!1;if(n)for(const a of n){const l=a.codePointAt(0),o=Cl(a);i=i||o,!o&&!this.ambiguousCharacters.isAmbiguous(l)&&!qt.isInvisibleCharacter(l)&&(s=!0)}return!i&&s?0:this.options.invisibleCharacters&&!Ys(t)&&qt.isInvisibleCharacter(r)?2:this.options.ambiguousCharacters&&this.ambiguousCharacters.isAmbiguous(r)?3:0}}function Ys(e){return e===" "||e===`
+`||e===" "}class wn{constructor(t,n,r){this.changes=t,this.moves=n,this.hitTimeout=r}}class ju{constructor(t,n){this.lineRangeMapping=t,this.changes=n}}class W{static addRange(t,n){let r=0;for(;r<n.length&&n[r].endExclusive<t.start;)r++;let i=r;for(;i<n.length&&n[i].start<=t.endExclusive;)i++;if(r===i)n.splice(r,0,t);else{const s=Math.min(t.start,n[r].start),a=Math.max(t.endExclusive,n[i-1].endExclusive);n.splice(r,i-r,new W(s,a))}}static tryCreate(t,n){if(!(t>n))return new W(t,n)}static ofLength(t){return new W(0,t)}static ofStartAndLength(t,n){return new W(t,t+n)}constructor(t,n){if(this.start=t,this.endExclusive=n,t>n)throw new be(`Invalid range: ${this.toString()}`)}get isEmpty(){return this.start===this.endExclusive}delta(t){return new W(this.start+t,this.endExclusive+t)}deltaStart(t){return new W(this.start+t,this.endExclusive)}deltaEnd(t){return new W(this.start,this.endExclusive+t)}get length(){return this.endExclusive-this.start}toString(){return`[${this.start}, ${this.endExclusive})`}contains(t){return this.start<=t&&t<this.endExclusive}join(t){return new W(Math.min(this.start,t.start),Math.max(this.endExclusive,t.endExclusive))}intersect(t){const n=Math.max(this.start,t.start),r=Math.min(this.endExclusive,t.endExclusive);if(n<=r)return new W(n,r)}intersects(t){const n=Math.max(this.start,t.start),r=Math.min(this.endExclusive,t.endExclusive);return n<r}isBefore(t){return this.endExclusive<=t.start}isAfter(t){return this.start>=t.endExclusive}slice(t){return t.slice(this.start,this.endExclusive)}substring(t){return t.substring(this.start,this.endExclusive)}clip(t){if(this.isEmpty)throw new be(`Invalid clipping range: ${this.toString()}`);return Math.max(this.start,Math.min(this.endExclusive-1,t))}clipCyclic(t){if(this.isEmpty)throw new be(`Invalid clipping range: ${this.toString()}`);return t<this.start?this.endExclusive-(this.start-t)%this.length:t>=this.endExclusive?this.start+(t-this.start)%this.length:t}forEach(t){for(let n=this.start;n<this.endExclusive;n++)t(n)}}function wt(e,t){const n=Ht(e,t);return n===-1?void 0:e[n]}function Ht(e,t,n=0,r=e.length){let i=n,s=r;for(;i<s;){const a=Math.floor((i+s)/2);t(e[a])?i=a+1:s=a}return i-1}function Wu(e,t){const n=Sr(e,t);return n===e.length?void 0:e[n]}function Sr(e,t,n=0,r=e.length){let i=n,s=r;for(;i<s;){const a=Math.floor((i+s)/2);t(e[a])?s=a:i=a+1}return i}const qn=class qn{constructor(t){this._array=t,this._findLastMonotonousLastIdx=0}findLastMonotonous(t){if(qn.assertInvariants){if(this._prevFindLastPredicate){for(const r of this._array)if(this._prevFindLastPredicate(r)&&!t(r))throw new Error("MonotonousArray: current predicate must be weaker than (or equal to) the previous predicate.")}this._prevFindLastPredicate=t}const n=Ht(this._array,t,this._findLastMonotonousLastIdx);return this._findLastMonotonousLastIdx=n+1,n===-1?void 0:this._array[n]}};qn.assertInvariants=!1;let _n=qn;class U{static fromRangeInclusive(t){return new U(t.startLineNumber,t.endLineNumber+1)}static joinMany(t){if(t.length===0)return[];let n=new Fe(t[0].slice());for(let r=1;r<t.length;r++)n=n.getUnion(new Fe(t[r].slice()));return n.ranges}static join(t){if(t.length===0)throw new be("lineRanges cannot be empty");let n=t[0].startLineNumber,r=t[0].endLineNumberExclusive;for(let i=1;i<t.length;i++)n=Math.min(n,t[i].startLineNumber),r=Math.max(r,t[i].endLineNumberExclusive);return new U(n,r)}static ofLength(t,n){return new U(t,t+n)}static deserialize(t){return new U(t[0],t[1])}constructor(t,n){if(t>n)throw new be(`startLineNumber ${t} cannot be after endLineNumberExclusive ${n}`);this.startLineNumber=t,this.endLineNumberExclusive=n}contains(t){return this.startLineNumber<=t&&t<this.endLineNumberExclusive}get isEmpty(){return this.startLineNumber===this.endLineNumberExclusive}delta(t){return new U(this.startLineNumber+t,this.endLineNumberExclusive+t)}deltaLength(t){return new U(this.startLineNumber,this.endLineNumberExclusive+t)}get length(){return this.endLineNumberExclusive-this.startLineNumber}join(t){return new U(Math.min(this.startLineNumber,t.startLineNumber),Math.max(this.endLineNumberExclusive,t.endLineNumberExclusive))}toString(){return`[${this.startLineNumber},${this.endLineNumberExclusive})`}intersect(t){const n=Math.max(this.startLineNumber,t.startLineNumber),r=Math.min(this.endLineNumberExclusive,t.endLineNumberExclusive);if(n<=r)return new U(n,r)}intersectsStrict(t){return this.startLineNumber<t.endLineNumberExclusive&&t.startLineNumber<this.endLineNumberExclusive}overlapOrTouch(t){return this.startLineNumber<=t.endLineNumberExclusive&&t.startLineNumber<=this.endLineNumberExclusive}equals(t){return this.startLineNumber===t.startLineNumber&&this.endLineNumberExclusive===t.endLineNumberExclusive}toInclusiveRange(){return this.isEmpty?null:new z(this.startLineNumber,1,this.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER)}toExclusiveRange(){return new z(this.startLineNumber,1,this.endLineNumberExclusive,1)}mapToLineArray(t){const n=[];for(let r=this.startLineNumber;r<this.endLineNumberExclusive;r++)n.push(t(r));return n}forEach(t){for(let n=this.startLineNumber;n<this.endLineNumberExclusive;n++)t(n)}serialize(){return[this.startLineNumber,this.endLineNumberExclusive]}includes(t){return this.startLineNumber<=t&&t<this.endLineNumberExclusive}toOffsetRange(){return new W(this.startLineNumber-1,this.endLineNumberExclusive-1)}}class Fe{constructor(t=[]){this._normalizedRanges=t}get ranges(){return this._normalizedRanges}addRange(t){if(t.length===0)return;const n=Sr(this._normalizedRanges,i=>i.endLineNumberExclusive>=t.startLineNumber),r=Ht(this._normalizedRanges,i=>i.startLineNumber<=t.endLineNumberExclusive)+1;if(n===r)this._normalizedRanges.splice(n,0,t);else if(n===r-1){const i=this._normalizedRanges[n];this._normalizedRanges[n]=i.join(t)}else{const i=this._normalizedRanges[n].join(this._normalizedRanges[r-1]).join(t);this._normalizedRanges.splice(n,r-n,i)}}contains(t){const n=wt(this._normalizedRanges,r=>r.startLineNumber<=t);return!!n&&n.endLineNumberExclusive>t}intersects(t){const n=wt(this._normalizedRanges,r=>r.startLineNumber<t.endLineNumberExclusive);return!!n&&n.endLineNumberExclusive>t.startLineNumber}getUnion(t){if(this._normalizedRanges.length===0)return t;if(t._normalizedRanges.length===0)return this;const n=[];let r=0,i=0,s=null;for(;r<this._normalizedRanges.length||i<t._normalizedRanges.length;){let a=null;if(r<this._normalizedRanges.length&&i<t._normalizedRanges.length){const l=this._normalizedRanges[r],o=t._normalizedRanges[i];l.startLineNumber<o.startLineNumber?(a=l,r++):(a=o,i++)}else r<this._normalizedRanges.length?(a=this._normalizedRanges[r],r++):(a=t._normalizedRanges[i],i++);s===null?s=a:s.endLineNumberExclusive>=a.startLineNumber?s=new U(s.startLineNumber,Math.max(s.endLineNumberExclusive,a.endLineNumberExclusive)):(n.push(s),s=a)}return s!==null&&n.push(s),new Fe(n)}subtractFrom(t){const n=Sr(this._normalizedRanges,a=>a.endLineNumberExclusive>=t.startLineNumber),r=Ht(this._normalizedRanges,a=>a.startLineNumber<=t.endLineNumberExclusive)+1;if(n===r)return new Fe([t]);const i=[];let s=t.startLineNumber;for(let a=n;a<r;a++){const l=this._normalizedRanges[a];l.startLineNumber>s&&i.push(new U(s,l.startLineNumber)),s=l.endLineNumberExclusive}return s<t.endLineNumberExclusive&&i.push(new U(s,t.endLineNumberExclusive)),new Fe(i)}toString(){return this._normalizedRanges.map(t=>t.toString()).join(", ")}getIntersection(t){const n=[];let r=0,i=0;for(;r<this._normalizedRanges.length&&i<t._normalizedRanges.length;){const s=this._normalizedRanges[r],a=t._normalizedRanges[i],l=s.intersect(a);l&&!l.isEmpty&&n.push(l),s.endLineNumberExclusive<a.endLineNumberExclusive?r++:i++}return new Fe(n)}getWithDelta(t){return new Fe(this._normalizedRanges.map(n=>n.delta(t)))}}const rt=class rt{static betweenPositions(t,n){return t.lineNumber===n.lineNumber?new rt(0,n.column-t.column):new rt(n.lineNumber-t.lineNumber,n.column-1)}static ofRange(t){return rt.betweenPositions(t.getStartPosition(),t.getEndPosition())}static ofText(t){let n=0,r=0;for(const i of t)i===`
+`?(n++,r=0):r++;return new rt(n,r)}constructor(t,n){this.lineCount=t,this.columnCount=n}isGreaterThanOrEqualTo(t){return this.lineCount!==t.lineCount?this.lineCount>t.lineCount:this.columnCount>=t.columnCount}createRange(t){return this.lineCount===0?new z(t.lineNumber,t.column,t.lineNumber,t.column+this.columnCount):new z(t.lineNumber,t.column,t.lineNumber+this.lineCount,this.columnCount+1)}addToPosition(t){return this.lineCount===0?new ee(t.lineNumber,t.column+this.columnCount):new ee(t.lineNumber+this.lineCount,this.columnCount+1)}toString(){return`${this.lineCount},${this.columnCount}`}};rt.zero=new rt(0,0);let Zs=rt;class Hu{constructor(t,n){this.range=t,this.text=n}toSingleEditOperation(){return{range:this.range,text:this.text}}}class Le{static inverse(t,n,r){const i=[];let s=1,a=1;for(const o of t){const u=new Le(new U(s,o.original.startLineNumber),new U(a,o.modified.startLineNumber));u.modified.isEmpty||i.push(u),s=o.original.endLineNumberExclusive,a=o.modified.endLineNumberExclusive}const l=new Le(new U(s,n+1),new U(a,r+1));return l.modified.isEmpty||i.push(l),i}static clip(t,n,r){const i=[];for(const s of t){const a=s.original.intersect(n),l=s.modified.intersect(r);a&&!a.isEmpty&&l&&!l.isEmpty&&i.push(new Le(a,l))}return i}constructor(t,n){this.original=t,this.modified=n}toString(){return`{${this.original.toString()}->${this.modified.toString()}}`}flip(){return new Le(this.modified,this.original)}join(t){return new Le(this.original.join(t.original),this.modified.join(t.modified))}toRangeMapping(){const t=this.original.toInclusiveRange(),n=this.modified.toInclusiveRange();if(t&&n)return new Re(t,n);if(this.original.startLineNumber===1||this.modified.startLineNumber===1){if(!(this.modified.startLineNumber===1&&this.original.startLineNumber===1))throw new be("not a valid diff");return new Re(new z(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new z(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1))}else return new Re(new z(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),new z(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER))}toRangeMapping2(t,n){if(Ks(this.original.endLineNumberExclusive,t)&&Ks(this.modified.endLineNumberExclusive,n))return new Re(new z(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new z(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1));if(!this.original.isEmpty&&!this.modified.isEmpty)return new Re(z.fromPositions(new ee(this.original.startLineNumber,1),_t(new ee(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),t)),z.fromPositions(new ee(this.modified.startLineNumber,1),_t(new ee(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),n)));if(this.original.startLineNumber>1&&this.modified.startLineNumber>1)return new Re(z.fromPositions(_t(new ee(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER),t),_t(new ee(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),t)),z.fromPositions(_t(new ee(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER),n),_t(new ee(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),n)));throw new be}}function _t(e,t){if(e.lineNumber<1)return new ee(1,1);if(e.lineNumber>t.length)return new ee(t.length,t[t.length-1].length+1);const n=t[e.lineNumber-1];return e.column>n.length+1?new ee(e.lineNumber,n.length+1):e}function Ks(e,t){return e>=1&&e<=t.length}class je extends Le{static fromRangeMappings(t){const n=U.join(t.map(i=>U.fromRangeInclusive(i.originalRange))),r=U.join(t.map(i=>U.fromRangeInclusive(i.modifiedRange)));return new je(n,r,t)}constructor(t,n,r){super(t,n),this.innerChanges=r}flip(){var t;return new je(this.modified,this.original,(t=this.innerChanges)==null?void 0:t.map(n=>n.flip()))}withInnerChangesFromLineRanges(){return new je(this.original,this.modified,[this.toRangeMapping()])}}class Re{static assertSorted(t){for(let n=1;n<t.length;n++){const r=t[n-1],i=t[n];if(!(r.originalRange.getEndPosition().isBeforeOrEqual(i.originalRange.getStartPosition())&&r.modifiedRange.getEndPosition().isBeforeOrEqual(i.modifiedRange.getStartPosition())))throw new be("Range mappings must be sorted")}}constructor(t,n){this.originalRange=t,this.modifiedRange=n}toString(){return`{${this.originalRange.toString()}->${this.modifiedRange.toString()}}`}flip(){return new Re(this.modifiedRange,this.originalRange)}toTextEdit(t){const n=t.getValueOfRange(this.modifiedRange);return new Hu(this.originalRange,n)}}const zu=3;class Gu{computeDiff(t,n,r){var o;const s=new Qu(t,n,{maxComputationTime:r.maxComputationTimeMs,shouldIgnoreTrimWhitespace:r.ignoreTrimWhitespace,shouldComputeCharChanges:!0,shouldMakePrettyDiff:!0,shouldPostProcessCharChanges:!0}).computeDiff(),a=[];let l=null;for(const u of s.changes){let h;u.originalEndLineNumber===0?h=new U(u.originalStartLineNumber+1,u.originalStartLineNumber+1):h=new U(u.originalStartLineNumber,u.originalEndLineNumber+1);let c;u.modifiedEndLineNumber===0?c=new U(u.modifiedStartLineNumber+1,u.modifiedStartLineNumber+1):c=new U(u.modifiedStartLineNumber,u.modifiedEndLineNumber+1);let d=new je(h,c,(o=u.charChanges)==null?void 0:o.map(m=>new Re(new z(m.originalStartLineNumber,m.originalStartColumn,m.originalEndLineNumber,m.originalEndColumn),new z(m.modifiedStartLineNumber,m.modifiedStartColumn,m.modifiedEndLineNumber,m.modifiedEndColumn))));l&&(l.modified.endLineNumberExclusive===d.modified.startLineNumber||l.original.endLineNumberExclusive===d.original.startLineNumber)&&(d=new je(l.original.join(d.original),l.modified.join(d.modified),l.innerChanges&&d.innerChanges?l.innerChanges.concat(d.innerChanges):void 0),a.pop()),a.push(d),l=d}return yn(()=>zs(a,(u,h)=>h.original.startLineNumber-u.original.endLineNumberExclusive===h.modified.startLineNumber-u.modified.endLineNumberExclusive&&u.original.endLineNumberExclusive<h.original.startLineNumber&&u.modified.endLineNumberExclusive<h.modified.startLineNumber)),new wn(a,[],s.quitEarly)}}function ea(e,t,n,r){return new Ze(e,t,n).ComputeDiff(r)}let ta=class{constructor(t){const n=[],r=[];for(let i=0,s=t.length;i<s;i++)n[i]=Ar(t[i],1),r[i]=kr(t[i],1);this.lines=t,this._startColumns=n,this._endColumns=r}getElements(){const t=[];for(let n=0,r=this.lines.length;n<r;n++)t[n]=this.lines[n].substring(this._startColumns[n]-1,this._endColumns[n]-1);return t}getStrictElement(t){return this.lines[t]}getStartLineNumber(t){return t+1}getEndLineNumber(t){return t+1}createCharSequence(t,n,r){const i=[],s=[],a=[];let l=0;for(let o=n;o<=r;o++){const u=this.lines[o],h=t?this._startColumns[o]:1,c=t?this._endColumns[o]:u.length+1;for(let d=h;d<c;d++)i[l]=u.charCodeAt(d-1),s[l]=o+1,a[l]=d,l++;!t&&o<r&&(i[l]=10,s[l]=o+1,a[l]=u.length+1,l++)}return new Ju(i,s,a)}};class Ju{constructor(t,n,r){this._charCodes=t,this._lineNumbers=n,this._columns=r}toString(){return"["+this._charCodes.map((t,n)=>(t===10?"\\n":String.fromCharCode(t))+`-(${this._lineNumbers[n]},${this._columns[n]})`).join(", ")+"]"}_assertIndex(t,n){if(t<0||t>=n.length)throw new Error("Illegal index")}getElements(){return this._charCodes}getStartLineNumber(t){return t>0&&t===this._lineNumbers.length?this.getEndLineNumber(t-1):(this._assertIndex(t,this._lineNumbers),this._lineNumbers[t])}getEndLineNumber(t){return t===-1?this.getStartLineNumber(t+1):(this._assertIndex(t,this._lineNumbers),this._charCodes[t]===10?this._lineNumbers[t]+1:this._lineNumbers[t])}getStartColumn(t){return t>0&&t===this._columns.length?this.getEndColumn(t-1):(this._assertIndex(t,this._columns),this._columns[t])}getEndColumn(t){return t===-1?this.getStartColumn(t+1):(this._assertIndex(t,this._columns),this._charCodes[t]===10?1:this._columns[t]+1)}}class Lt{constructor(t,n,r,i,s,a,l,o){this.originalStartLineNumber=t,this.originalStartColumn=n,this.originalEndLineNumber=r,this.originalEndColumn=i,this.modifiedStartLineNumber=s,this.modifiedStartColumn=a,this.modifiedEndLineNumber=l,this.modifiedEndColumn=o}static createFromDiffChange(t,n,r){const i=n.getStartLineNumber(t.originalStart),s=n.getStartColumn(t.originalStart),a=n.getEndLineNumber(t.originalStart+t.originalLength-1),l=n.getEndColumn(t.originalStart+t.originalLength-1),o=r.getStartLineNumber(t.modifiedStart),u=r.getStartColumn(t.modifiedStart),h=r.getEndLineNumber(t.modifiedStart+t.modifiedLength-1),c=r.getEndColumn(t.modifiedStart+t.modifiedLength-1);return new Lt(i,s,a,l,o,u,h,c)}}function Xu(e){if(e.length<=1)return e;const t=[e[0]];let n=t[0];for(let r=1,i=e.length;r<i;r++){const s=e[r],a=s.originalStart-(n.originalStart+n.originalLength),l=s.modifiedStart-(n.modifiedStart+n.modifiedLength);Math.min(a,l)<zu?(n.originalLength=s.originalStart+s.originalLength-n.originalStart,n.modifiedLength=s.modifiedStart+s.modifiedLength-n.modifiedStart):(t.push(s),n=s)}return t}class zt{constructor(t,n,r,i,s){this.originalStartLineNumber=t,this.originalEndLineNumber=n,this.modifiedStartLineNumber=r,this.modifiedEndLineNumber=i,this.charChanges=s}static createFromDiffResult(t,n,r,i,s,a,l){let o,u,h,c,d;if(n.originalLength===0?(o=r.getStartLineNumber(n.originalStart)-1,u=0):(o=r.getStartLineNumber(n.originalStart),u=r.getEndLineNumber(n.originalStart+n.originalLength-1)),n.modifiedLength===0?(h=i.getStartLineNumber(n.modifiedStart)-1,c=0):(h=i.getStartLineNumber(n.modifiedStart),c=i.getEndLineNumber(n.modifiedStart+n.modifiedLength-1)),a&&n.originalLength>0&&n.originalLength<20&&n.modifiedLength>0&&n.modifiedLength<20&&s()){const m=r.createCharSequence(t,n.originalStart,n.originalStart+n.originalLength-1),g=i.createCharSequence(t,n.modifiedStart,n.modifiedStart+n.modifiedLength-1);if(m.getElements().length>0&&g.getElements().length>0){let p=ea(m,g,s,!0).changes;l&&(p=Xu(p)),d=[];for(let x=0,w=p.length;x<w;x++)d.push(Lt.createFromDiffChange(p[x],m,g))}}return new zt(o,u,h,c,d)}}class Qu{constructor(t,n,r){this.shouldComputeCharChanges=r.shouldComputeCharChanges,this.shouldPostProcessCharChanges=r.shouldPostProcessCharChanges,this.shouldIgnoreTrimWhitespace=r.shouldIgnoreTrimWhitespace,this.shouldMakePrettyDiff=r.shouldMakePrettyDiff,this.originalLines=t,this.modifiedLines=n,this.original=new ta(t),this.modified=new ta(n),this.continueLineDiff=na(r.maxComputationTime),this.continueCharDiff=na(r.maxComputationTime===0?0:Math.min(r.maxComputationTime,5e3))}computeDiff(){if(this.original.lines.length===1&&this.original.lines[0].length===0)return this.modified.lines.length===1&&this.modified.lines[0].length===0?{quitEarly:!1,changes:[]}:{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:1,modifiedStartLineNumber:1,modifiedEndLineNumber:this.modified.lines.length,charChanges:void 0}]};if(this.modified.lines.length===1&&this.modified.lines[0].length===0)return{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:this.original.lines.length,modifiedStartLineNumber:1,modifiedEndLineNumber:1,charChanges:void 0}]};const t=ea(this.original,this.modified,this.continueLineDiff,this.shouldMakePrettyDiff),n=t.changes,r=t.quitEarly;if(this.shouldIgnoreTrimWhitespace){const l=[];for(let o=0,u=n.length;o<u;o++)l.push(zt.createFromDiffResult(this.shouldIgnoreTrimWhitespace,n[o],this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges));return{quitEarly:r,changes:l}}const i=[];let s=0,a=0;for(let l=-1,o=n.length;l<o;l++){const u=l+1<o?n[l+1]:null,h=u?u.originalStart:this.originalLines.length,c=u?u.modifiedStart:this.modifiedLines.length;for(;s<h&&a<c;){const d=this.originalLines[s],m=this.modifiedLines[a];if(d!==m){{let g=Ar(d,1),p=Ar(m,1);for(;g>1&&p>1;){const x=d.charCodeAt(g-2),w=m.charCodeAt(p-2);if(x!==w)break;g--,p--}(g>1||p>1)&&this._pushTrimWhitespaceCharChange(i,s+1,1,g,a+1,1,p)}{let g=kr(d,1),p=kr(m,1);const x=d.length+1,w=m.length+1;for(;g<x&&p<w;){const L=d.charCodeAt(g-1),v=d.charCodeAt(p-1);if(L!==v)break;g++,p++}(g<x||p<w)&&this._pushTrimWhitespaceCharChange(i,s+1,g,x,a+1,p,w)}}s++,a++}u&&(i.push(zt.createFromDiffResult(this.shouldIgnoreTrimWhitespace,u,this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges)),s+=u.originalLength,a+=u.modifiedLength)}return{quitEarly:r,changes:i}}_pushTrimWhitespaceCharChange(t,n,r,i,s,a,l){if(this._mergeTrimWhitespaceCharChange(t,n,r,i,s,a,l))return;let o;this.shouldComputeCharChanges&&(o=[new Lt(n,r,n,i,s,a,s,l)]),t.push(new zt(n,n,s,s,o))}_mergeTrimWhitespaceCharChange(t,n,r,i,s,a,l){const o=t.length;if(o===0)return!1;const u=t[o-1];return u.originalEndLineNumber===0||u.modifiedEndLineNumber===0?!1:u.originalEndLineNumber===n&&u.modifiedEndLineNumber===s?(this.shouldComputeCharChanges&&u.charChanges&&u.charChanges.push(new Lt(n,r,n,i,s,a,s,l)),!0):u.originalEndLineNumber+1===n&&u.modifiedEndLineNumber+1===s?(u.originalEndLineNumber=n,u.modifiedEndLineNumber=s,this.shouldComputeCharChanges&&u.charChanges&&u.charChanges.push(new Lt(n,r,n,i,s,a,s,l)),!0):!1}}function Ar(e,t){const n=kl(e);return n===-1?t:n+1}function kr(e,t){const n=Rl(e);return n===-1?t:n+2}function na(e){if(e===0)return()=>!0;const t=Date.now();return()=>Date.now()-t<e}function Yu(e,t,n=(r,i)=>r===i){if(e===t)return!0;if(!e||!t||e.length!==t.length)return!1;for(let r=0,i=e.length;r<i;r++)if(!n(e[r],t[r]))return!1;return!0}function*Zu(e,t){let n,r;for(const i of e)r!==void 0&&t(r,i)?n.push(i):(n&&(yield n),n=[i]),r=i;n&&(yield n)}function Ku(e,t){for(let n=0;n<=e.length;n++)t(n===0?void 0:e[n-1],n===e.length?void 0:e[n])}function ec(e,t){for(let n=0;n<e.length;n++)t(n===0?void 0:e[n-1],e[n],n+1===e.length?void 0:e[n+1])}function tc(e,t){for(const n of t)e.push(n)}var Rr;(function(e){function t(s){return s<0}e.isLessThan=t;function n(s){return s<=0}e.isLessThanOrEqual=n;function r(s){return s>0}e.isGreaterThan=r;function i(s){return s===0}e.isNeitherLessOrGreaterThan=i,e.greaterThan=1,e.lessThan=-1,e.neitherLessOrGreaterThan=0})(Rr||(Rr={}));function Ln(e,t){return(n,r)=>t(e(n),e(r))}const Nn=(e,t)=>e-t;function nc(e){return(t,n)=>-e(t,n)}const Dt=class Dt{constructor(t){this.iterate=t}toArray(){const t=[];return this.iterate(n=>(t.push(n),!0)),t}filter(t){return new Dt(n=>this.iterate(r=>t(r)?n(r):!0))}map(t){return new Dt(n=>this.iterate(r=>n(t(r))))}findLast(t){let n;return this.iterate(r=>(t(r)&&(n=r),!0)),n}findLastMaxBy(t){let n,r=!0;return this.iterate(i=>((r||Rr.isGreaterThan(t(i,n)))&&(r=!1,n=i),!0)),n}};Dt.empty=new Dt(t=>{});let ra=Dt;class We{static trivial(t,n){return new We([new Y(W.ofLength(t.length),W.ofLength(n.length))],!1)}static trivialTimedOut(t,n){return new We([new Y(W.ofLength(t.length),W.ofLength(n.length))],!0)}constructor(t,n){this.diffs=t,this.hitTimeout=n}}class Y{static invert(t,n){const r=[];return Ku(t,(i,s)=>{r.push(Y.fromOffsetPairs(i?i.getEndExclusives():He.zero,s?s.getStarts():new He(n,(i?i.seq2Range.endExclusive-i.seq1Range.endExclusive:0)+n)))}),r}static fromOffsetPairs(t,n){return new Y(new W(t.offset1,n.offset1),new W(t.offset2,n.offset2))}static assertSorted(t){let n;for(const r of t){if(n&&!(n.seq1Range.endExclusive<=r.seq1Range.start&&n.seq2Range.endExclusive<=r.seq2Range.start))throw new be("Sequence diffs must be sorted");n=r}}constructor(t,n){this.seq1Range=t,this.seq2Range=n}swap(){return new Y(this.seq2Range,this.seq1Range)}toString(){return`${this.seq1Range} <-> ${this.seq2Range}`}join(t){return new Y(this.seq1Range.join(t.seq1Range),this.seq2Range.join(t.seq2Range))}delta(t){return t===0?this:new Y(this.seq1Range.delta(t),this.seq2Range.delta(t))}deltaStart(t){return t===0?this:new Y(this.seq1Range.deltaStart(t),this.seq2Range.deltaStart(t))}deltaEnd(t){return t===0?this:new Y(this.seq1Range.deltaEnd(t),this.seq2Range.deltaEnd(t))}intersect(t){const n=this.seq1Range.intersect(t.seq1Range),r=this.seq2Range.intersect(t.seq2Range);if(!(!n||!r))return new Y(n,r)}getStarts(){return new He(this.seq1Range.start,this.seq2Range.start)}getEndExclusives(){return new He(this.seq1Range.endExclusive,this.seq2Range.endExclusive)}}const ct=class ct{constructor(t,n){this.offset1=t,this.offset2=n}toString(){return`${this.offset1} <-> ${this.offset2}`}delta(t){return t===0?this:new ct(this.offset1+t,this.offset2+t)}equals(t){return this.offset1===t.offset1&&this.offset2===t.offset2}};ct.zero=new ct(0,0),ct.max=new ct(Number.MAX_SAFE_INTEGER,Number.MAX_SAFE_INTEGER);let He=ct;const jn=class jn{isValid(){return!0}};jn.instance=new jn;let Gt=jn;class rc{constructor(t){if(this.timeout=t,this.startTime=Date.now(),this.valid=!0,t<=0)throw new be("timeout must be positive")}isValid(){if(!(Date.now()-this.startTime<this.timeout)&&this.valid){this.valid=!1;debugger}return this.valid}}class Er{constructor(t,n){this.width=t,this.height=n,this.array=[],this.array=new Array(t*n)}get(t,n){return this.array[t+n*this.width]}set(t,n,r){this.array[t+n*this.width]=r}}function Mr(e){return e===32||e===9}const an=class an{static getKey(t){let n=this.chrKeys.get(t);return n===void 0&&(n=this.chrKeys.size,this.chrKeys.set(t,n)),n}constructor(t,n,r){this.range=t,this.lines=n,this.source=r,this.histogram=[];let i=0;for(let s=t.startLineNumber-1;s<t.endLineNumberExclusive-1;s++){const a=n[s];for(let o=0;o<a.length;o++){i++;const u=a[o],h=an.getKey(u);this.histogram[h]=(this.histogram[h]||0)+1}i++;const l=an.getKey(`
+`);this.histogram[l]=(this.histogram[l]||0)+1}this.totalCount=i}computeSimilarity(t){let n=0;const r=Math.max(this.histogram.length,t.histogram.length);for(let i=0;i<r;i++)n+=Math.abs((this.histogram[i]??0)-(t.histogram[i]??0));return 1-n/(this.totalCount+t.totalCount)}};an.chrKeys=new Map;let Sn=an;class ic{compute(t,n,r=Gt.instance,i){if(t.length===0||n.length===0)return We.trivial(t,n);const s=new Er(t.length,n.length),a=new Er(t.length,n.length),l=new Er(t.length,n.length);for(let g=0;g<t.length;g++)for(let p=0;p<n.length;p++){if(!r.isValid())return We.trivialTimedOut(t,n);const x=g===0?0:s.get(g-1,p),w=p===0?0:s.get(g,p-1);let L;t.getElement(g)===n.getElement(p)?(g===0||p===0?L=0:L=s.get(g-1,p-1),g>0&&p>0&&a.get(g-1,p-1)===3&&(L+=l.get(g-1,p-1)),L+=i?i(g,p):1):L=-1;const v=Math.max(x,w,L);if(v===L){const y=g>0&&p>0?l.get(g-1,p-1):0;l.set(g,p,y+1),a.set(g,p,3)}else v===x?(l.set(g,p,0),a.set(g,p,1)):v===w&&(l.set(g,p,0),a.set(g,p,2));s.set(g,p,v)}const o=[];let u=t.length,h=n.length;function c(g,p){(g+1!==u||p+1!==h)&&o.push(new Y(new W(g+1,u),new W(p+1,h))),u=g,h=p}let d=t.length-1,m=n.length-1;for(;d>=0&&m>=0;)a.get(d,m)===3?(c(d,m),d--,m--):a.get(d,m)===1?d--:m--;return c(-1,-1),o.reverse(),new We(o,!1)}}class ia{compute(t,n,r=Gt.instance){if(t.length===0||n.length===0)return We.trivial(t,n);const i=t,s=n;function a(p,x){for(;p<i.length&&x<s.length&&i.getElement(p)===s.getElement(x);)p++,x++;return p}let l=0;const o=new sc;o.set(0,a(0,0));const u=new ac;u.set(0,o.get(0)===0?null:new sa(null,0,0,o.get(0)));let h=0;e:for(;;){if(l++,!r.isValid())return We.trivialTimedOut(i,s);const p=-Math.min(l,s.length+l%2),x=Math.min(l,i.length+l%2);for(h=p;h<=x;h+=2){const w=h===x?-1:o.get(h+1),L=h===p?-1:o.get(h-1)+1,v=Math.min(Math.max(w,L),i.length),y=v-h;if(v>i.length||y>s.length)continue;const b=a(v,y);o.set(h,b);const _=v===w?u.get(h+1):u.get(h-1);if(u.set(h,b!==v?new sa(_,v,y,b-v):_),o.get(h)===i.length&&o.get(h)-h===s.length)break e}}let c=u.get(h);const d=[];let m=i.length,g=s.length;for(;;){const p=c?c.x+c.length:0,x=c?c.y+c.length:0;if((p!==m||x!==g)&&d.push(new Y(new W(p,m),new W(x,g))),!c)break;m=c.x,g=c.y,c=c.prev}return d.reverse(),new We(d,!1)}}class sa{constructor(t,n,r,i){this.prev=t,this.x=n,this.y=r,this.length=i}}class sc{constructor(){this.positiveArr=new Int32Array(10),this.negativeArr=new Int32Array(10)}get(t){return t<0?(t=-t-1,this.negativeArr[t]):this.positiveArr[t]}set(t,n){if(t<0){if(t=-t-1,t>=this.negativeArr.length){const r=this.negativeArr;this.negativeArr=new Int32Array(r.length*2),this.negativeArr.set(r)}this.negativeArr[t]=n}else{if(t>=this.positiveArr.length){const r=this.positiveArr;this.positiveArr=new Int32Array(r.length*2),this.positiveArr.set(r)}this.positiveArr[t]=n}}}class ac{constructor(){this.positiveArr=[],this.negativeArr=[]}get(t){return t<0?(t=-t-1,this.negativeArr[t]):this.positiveArr[t]}set(t,n){t<0?(t=-t-1,this.negativeArr[t]=n):this.positiveArr[t]=n}}class An{constructor(t,n,r){this.lines=t,this.range=n,this.considerWhitespaceChanges=r,this.elements=[],this.firstElementOffsetByLineIdx=[],this.lineStartOffsets=[],this.trimmedWsLengthsByLineIdx=[],this.firstElementOffsetByLineIdx.push(0);for(let i=this.range.startLineNumber;i<=this.range.endLineNumber;i++){let s=t[i-1],a=0;i===this.range.startLineNumber&&this.range.startColumn>1&&(a=this.range.startColumn-1,s=s.substring(a)),this.lineStartOffsets.push(a);let l=0;if(!r){const u=s.trimStart();l=s.length-u.length,s=u.trimEnd()}this.trimmedWsLengthsByLineIdx.push(l);const o=i===this.range.endLineNumber?Math.min(this.range.endColumn-1-a-l,s.length):s.length;for(let u=0;u<o;u++)this.elements.push(s.charCodeAt(u));i<this.range.endLineNumber&&(this.elements.push(10),this.firstElementOffsetByLineIdx.push(this.elements.length))}}toString(){return`Slice: "${this.text}"`}get text(){return this.getText(new W(0,this.length))}getText(t){return this.elements.slice(t.start,t.endExclusive).map(n=>String.fromCharCode(n)).join("")}getElement(t){return this.elements[t]}get length(){return this.elements.length}getBoundaryScore(t){const n=oa(t>0?this.elements[t-1]:-1),r=oa(t<this.elements.length?this.elements[t]:-1);if(n===7&&r===8)return 0;if(n===8)return 150;let i=0;return n!==r&&(i+=10,n===0&&r===1&&(i+=1)),i+=aa(n),i+=aa(r),i}translateOffset(t,n="right"){const r=Ht(this.firstElementOffsetByLineIdx,s=>s<=t),i=t-this.firstElementOffsetByLineIdx[r];return new ee(this.range.startLineNumber+r,1+this.lineStartOffsets[r]+i+(i===0&&n==="left"?0:this.trimmedWsLengthsByLineIdx[r]))}translateRange(t){const n=this.translateOffset(t.start,"right"),r=this.translateOffset(t.endExclusive,"left");return r.isBefore(n)?z.fromPositions(r,r):z.fromPositions(n,r)}findWordContaining(t){if(t<0||t>=this.elements.length||!Cr(this.elements[t]))return;let n=t;for(;n>0&&Cr(this.elements[n-1]);)n--;let r=t;for(;r<this.elements.length&&Cr(this.elements[r]);)r++;return new W(n,r)}countLinesIn(t){return this.translateOffset(t.endExclusive).lineNumber-this.translateOffset(t.start).lineNumber}isStronglyEqual(t,n){return this.elements[t]===this.elements[n]}extendToFullLines(t){const n=wt(this.firstElementOffsetByLineIdx,i=>i<=t.start)??0,r=Wu(this.firstElementOffsetByLineIdx,i=>t.endExclusive<=i)??this.elements.length;return new W(n,r)}}function Cr(e){return e>=97&&e<=122||e>=65&&e<=90||e>=48&&e<=57}const oc={0:0,1:0,2:0,3:10,4:2,5:30,6:3,7:10,8:10};function aa(e){return oc[e]}function oa(e){return e===10?8:e===13?7:Mr(e)?6:e>=97&&e<=122?0:e>=65&&e<=90?1:e>=48&&e<=57?2:e===-1?3:e===44||e===59?5:4}function lc(e,t,n,r,i,s){let{moves:a,excludedChanges:l}=cc(e,t,n,s);if(!s.isValid())return[];const o=e.filter(h=>!l.has(h)),u=fc(o,r,i,t,n,s);return tc(a,u),a=hc(a),a=a.filter(h=>{const c=h.original.toOffsetRange().slice(t).map(m=>m.trim());return c.join(`
+`).length>=15&&uc(c,m=>m.length>=2)>=2}),a=dc(e,a),a}function uc(e,t){let n=0;for(const r of e)t(r)&&n++;return n}function cc(e,t,n,r){const i=[],s=e.filter(o=>o.modified.isEmpty&&o.original.length>=3).map(o=>new Sn(o.original,t,o)),a=new Set(e.filter(o=>o.original.isEmpty&&o.modified.length>=3).map(o=>new Sn(o.modified,n,o))),l=new Set;for(const o of s){let u=-1,h;for(const c of a){const d=o.computeSimilarity(c);d>u&&(u=d,h=c)}if(u>.9&&h&&(a.delete(h),i.push(new Le(o.range,h.range)),l.add(o.source),l.add(h.source)),!r.isValid())return{moves:i,excludedChanges:l}}return{moves:i,excludedChanges:l}}function fc(e,t,n,r,i,s){const a=[],l=new Mu;for(const d of e)for(let m=d.original.startLineNumber;m<d.original.endLineNumberExclusive-2;m++){const g=`${t[m-1]}:${t[m+1-1]}:${t[m+2-1]}`;l.add(g,{range:new U(m,m+3)})}const o=[];e.sort(Ln(d=>d.modified.startLineNumber,Nn));for(const d of e){let m=[];for(let g=d.modified.startLineNumber;g<d.modified.endLineNumberExclusive-2;g++){const p=`${n[g-1]}:${n[g+1-1]}:${n[g+2-1]}`,x=new U(g,g+3),w=[];l.forEach(p,({range:L})=>{for(const y of m)if(y.originalLineRange.endLineNumberExclusive+1===L.endLineNumberExclusive&&y.modifiedLineRange.endLineNumberExclusive+1===x.endLineNumberExclusive){y.originalLineRange=new U(y.originalLineRange.startLineNumber,L.endLineNumberExclusive),y.modifiedLineRange=new U(y.modifiedLineRange.startLineNumber,x.endLineNumberExclusive),w.push(y);return}const v={modifiedLineRange:x,originalLineRange:L};o.push(v),w.push(v)}),m=w}if(!s.isValid())return[]}o.sort(nc(Ln(d=>d.modifiedLineRange.length,Nn)));const u=new Fe,h=new Fe;for(const d of o){const m=d.modifiedLineRange.startLineNumber-d.originalLineRange.startLineNumber,g=u.subtractFrom(d.modifiedLineRange),p=h.subtractFrom(d.originalLineRange).getWithDelta(m),x=g.getIntersection(p);for(const w of x.ranges){if(w.length<3)continue;const L=w,v=w.delta(-m);a.push(new Le(v,L)),u.addRange(L),h.addRange(v)}}a.sort(Ln(d=>d.original.startLineNumber,Nn));const c=new _n(e);for(let d=0;d<a.length;d++){const m=a[d],g=c.findLastMonotonous(_=>_.original.startLineNumber<=m.original.startLineNumber),p=wt(e,_=>_.modified.startLineNumber<=m.modified.startLineNumber),x=Math.max(m.original.startLineNumber-g.original.startLineNumber,m.modified.startLineNumber-p.modified.startLineNumber),w=c.findLastMonotonous(_=>_.original.startLineNumber<m.original.endLineNumberExclusive),L=wt(e,_=>_.modified.startLineNumber<m.modified.endLineNumberExclusive),v=Math.max(w.original.endLineNumberExclusive-m.original.endLineNumberExclusive,L.modified.endLineNumberExclusive-m.modified.endLineNumberExclusive);let y;for(y=0;y<x;y++){const _=m.original.startLineNumber-y-1,A=m.modified.startLineNumber-y-1;if(_>r.length||A>i.length||u.contains(A)||h.contains(_)||!la(r[_-1],i[A-1],s))break}y>0&&(h.addRange(new U(m.original.startLineNumber-y,m.original.startLineNumber)),u.addRange(new U(m.modified.startLineNumber-y,m.modified.startLineNumber)));let b;for(b=0;b<v;b++){const _=m.original.endLineNumberExclusive+b,A=m.modified.endLineNumberExclusive+b;if(_>r.length||A>i.length||u.contains(A)||h.contains(_)||!la(r[_-1],i[A-1],s))break}b>0&&(h.addRange(new U(m.original.endLineNumberExclusive,m.original.endLineNumberExclusive+b)),u.addRange(new U(m.modified.endLineNumberExclusive,m.modified.endLineNumberExclusive+b))),(y>0||b>0)&&(a[d]=new Le(new U(m.original.startLineNumber-y,m.original.endLineNumberExclusive+b),new U(m.modified.startLineNumber-y,m.modified.endLineNumberExclusive+b)))}return a}function la(e,t,n){if(e.trim()===t.trim())return!0;if(e.length>300&&t.length>300)return!1;const i=new ia().compute(new An([e],new z(1,1,1,e.length),!1),new An([t],new z(1,1,1,t.length),!1),n);let s=0;const a=Y.invert(i.diffs,e.length);for(const h of a)h.seq1Range.forEach(c=>{Mr(e.charCodeAt(c))||s++});function l(h){let c=0;for(let d=0;d<e.length;d++)Mr(h.charCodeAt(d))||c++;return c}const o=l(e.length>t.length?e:t);return s/o>.6&&o>10}function hc(e){if(e.length===0)return e;e.sort(Ln(n=>n.original.startLineNumber,Nn));const t=[e[0]];for(let n=1;n<e.length;n++){const r=t[t.length-1],i=e[n],s=i.original.startLineNumber-r.original.endLineNumberExclusive,a=i.modified.startLineNumber-r.modified.endLineNumberExclusive;if(s>=0&&a>=0&&s+a<=2){t[t.length-1]=r.join(i);continue}t.push(i)}return t}function dc(e,t){const n=new _n(e);return t=t.filter(r=>{const i=n.findLastMonotonous(l=>l.original.startLineNumber<r.original.endLineNumberExclusive)||new Le(new U(1,1),new U(1,1)),s=wt(e,l=>l.modified.startLineNumber<r.modified.endLineNumberExclusive);return i!==s}),t}function ua(e,t,n){let r=n;return r=ca(e,t,r),r=ca(e,t,r),r=mc(e,t,r),r}function ca(e,t,n){if(n.length===0)return n;const r=[];r.push(n[0]);for(let s=1;s<n.length;s++){const a=r[r.length-1];let l=n[s];if(l.seq1Range.isEmpty||l.seq2Range.isEmpty){const o=l.seq1Range.start-a.seq1Range.endExclusive;let u;for(u=1;u<=o&&!(e.getElement(l.seq1Range.start-u)!==e.getElement(l.seq1Range.endExclusive-u)||t.getElement(l.seq2Range.start-u)!==t.getElement(l.seq2Range.endExclusive-u));u++);if(u--,u===o){r[r.length-1]=new Y(new W(a.seq1Range.start,l.seq1Range.endExclusive-o),new W(a.seq2Range.start,l.seq2Range.endExclusive-o));continue}l=l.delta(-u)}r.push(l)}const i=[];for(let s=0;s<r.length-1;s++){const a=r[s+1];let l=r[s];if(l.seq1Range.isEmpty||l.seq2Range.isEmpty){const o=a.seq1Range.start-l.seq1Range.endExclusive;let u;for(u=0;u<o&&!(!e.isStronglyEqual(l.seq1Range.start+u,l.seq1Range.endExclusive+u)||!t.isStronglyEqual(l.seq2Range.start+u,l.seq2Range.endExclusive+u));u++);if(u===o){r[s+1]=new Y(new W(l.seq1Range.start+o,a.seq1Range.endExclusive),new W(l.seq2Range.start+o,a.seq2Range.endExclusive));continue}u>0&&(l=l.delta(u))}i.push(l)}return r.length>0&&i.push(r[r.length-1]),i}function mc(e,t,n){if(!e.getBoundaryScore||!t.getBoundaryScore)return n;for(let r=0;r<n.length;r++){const i=r>0?n[r-1]:void 0,s=n[r],a=r+1<n.length?n[r+1]:void 0,l=new W(i?i.seq1Range.endExclusive+1:0,a?a.seq1Range.start-1:e.length),o=new W(i?i.seq2Range.endExclusive+1:0,a?a.seq2Range.start-1:t.length);s.seq1Range.isEmpty?n[r]=fa(s,e,t,l,o):s.seq2Range.isEmpty&&(n[r]=fa(s.swap(),t,e,o,l).swap())}return n}function fa(e,t,n,r,i){let a=1;for(;e.seq1Range.start-a>=r.start&&e.seq2Range.start-a>=i.start&&n.isStronglyEqual(e.seq2Range.start-a,e.seq2Range.endExclusive-a)&&a<100;)a++;a--;let l=0;for(;e.seq1Range.start+l<r.endExclusive&&e.seq2Range.endExclusive+l<i.endExclusive&&n.isStronglyEqual(e.seq2Range.start+l,e.seq2Range.endExclusive+l)&&l<100;)l++;if(a===0&&l===0)return e;let o=0,u=-1;for(let h=-a;h<=l;h++){const c=e.seq2Range.start+h,d=e.seq2Range.endExclusive+h,m=e.seq1Range.start+h,g=t.getBoundaryScore(m)+n.getBoundaryScore(c)+n.getBoundaryScore(d);g>u&&(u=g,o=h)}return e.delta(o)}function gc(e,t,n){const r=[];for(const i of n){const s=r[r.length-1];if(!s){r.push(i);continue}i.seq1Range.start-s.seq1Range.endExclusive<=2||i.seq2Range.start-s.seq2Range.endExclusive<=2?r[r.length-1]=new Y(s.seq1Range.join(i.seq1Range),s.seq2Range.join(i.seq2Range)):r.push(i)}return r}function pc(e,t,n){const r=Y.invert(n,e.length),i=[];let s=new He(0,0);function a(o,u){if(o.offset1<s.offset1||o.offset2<s.offset2)return;const h=e.findWordContaining(o.offset1),c=t.findWordContaining(o.offset2);if(!h||!c)return;let d=new Y(h,c);const m=d.intersect(u);let g=m.seq1Range.length,p=m.seq2Range.length;for(;r.length>0;){const x=r[0];if(!(x.seq1Range.intersects(d.seq1Range)||x.seq2Range.intersects(d.seq2Range)))break;const L=e.findWordContaining(x.seq1Range.start),v=t.findWordContaining(x.seq2Range.start),y=new Y(L,v),b=y.intersect(x);if(g+=b.seq1Range.length,p+=b.seq2Range.length,d=d.join(y),d.seq1Range.endExclusive>=x.seq1Range.endExclusive)r.shift();else break}g+p<(d.seq1Range.length+d.seq2Range.length)*2/3&&i.push(d),s=d.getEndExclusives()}for(;r.length>0;){const o=r.shift();o.seq1Range.isEmpty||(a(o.getStarts(),o),a(o.getEndExclusives().delta(-1),o))}return bc(n,i)}function bc(e,t){const n=[];for(;e.length>0||t.length>0;){const r=e[0],i=t[0];let s;r&&(!i||r.seq1Range.start<i.seq1Range.start)?s=e.shift():s=t.shift(),n.length>0&&n[n.length-1].seq1Range.endExclusive>=s.seq1Range.start?n[n.length-1]=n[n.length-1].join(s):n.push(s)}return n}function vc(e,t,n){let r=n;if(r.length===0)return r;let i=0,s;do{s=!1;const a=[r[0]];for(let l=1;l<r.length;l++){let h=function(d,m){const g=new W(u.seq1Range.endExclusive,o.seq1Range.start);return e.getText(g).replace(/\s/g,"").length<=4&&(d.seq1Range.length+d.seq2Range.length>5||m.seq1Range.length+m.seq2Range.length>5)};const o=r[l],u=a[a.length-1];h(u,o)?(s=!0,a[a.length-1]=a[a.length-1].join(o)):a.push(o)}r=a}while(i++<10&&s);return r}function xc(e,t,n){let r=n;if(r.length===0)return r;let i=0,s;do{s=!1;const l=[r[0]];for(let o=1;o<r.length;o++){let c=function(m,g){const p=new W(h.seq1Range.endExclusive,u.seq1Range.start);if(e.countLinesIn(p)>5||p.length>500)return!1;const w=e.getText(p).trim();if(w.length>20||w.split(/\r\n|\r|\n/).length>1)return!1;const L=e.countLinesIn(m.seq1Range),v=m.seq1Range.length,y=t.countLinesIn(m.seq2Range),b=m.seq2Range.length,_=e.countLinesIn(g.seq1Range),A=g.seq1Range.length,C=t.countLinesIn(g.seq2Range),F=g.seq2Range.length,O=2*40+50;function M(N){return Math.min(N,O)}return Math.pow(Math.pow(M(L*40+v),1.5)+Math.pow(M(y*40+b),1.5),1.5)+Math.pow(Math.pow(M(_*40+A),1.5)+Math.pow(M(C*40+F),1.5),1.5)>(O**1.5)**1.5*1.3};const u=r[o],h=l[l.length-1];c(h,u)?(s=!0,l[l.length-1]=l[l.length-1].join(u)):l.push(u)}r=l}while(i++<10&&s);const a=[];return ec(r,(l,o,u)=>{let h=o;function c(w){return w.length>0&&w.trim().length<=3&&o.seq1Range.length+o.seq2Range.length>100}const d=e.extendToFullLines(o.seq1Range),m=e.getText(new W(d.start,o.seq1Range.start));c(m)&&(h=h.deltaStart(-m.length));const g=e.getText(new W(o.seq1Range.endExclusive,d.endExclusive));c(g)&&(h=h.deltaEnd(g.length));const p=Y.fromOffsetPairs(l?l.getEndExclusives():He.zero,u?u.getStarts():He.max),x=h.intersect(p);a.length>0&&x.getStarts().equals(a[a.length-1].getEndExclusives())?a[a.length-1]=a[a.length-1].join(x):a.push(x)}),a}class ha{constructor(t,n){this.trimmedHash=t,this.lines=n}getElement(t){return this.trimmedHash[t]}get length(){return this.trimmedHash.length}getBoundaryScore(t){const n=t===0?0:da(this.lines[t-1]),r=t===this.lines.length?0:da(this.lines[t]);return 1e3-(n+r)}getText(t){return this.lines.slice(t.start,t.endExclusive).join(`
+`)}isStronglyEqual(t,n){return this.lines[t]===this.lines[n]}}function da(e){let t=0;for(;t<e.length&&(e.charCodeAt(t)===32||e.charCodeAt(t)===9);)t++;return t}class yc{constructor(){this.dynamicProgrammingDiffing=new ic,this.myersDiffingAlgorithm=new ia}computeDiff(t,n,r){if(t.length<=1&&Yu(t,n,(b,_)=>b===_))return new wn([],[],!1);if(t.length===1&&t[0].length===0||n.length===1&&n[0].length===0)return new wn([new je(new U(1,t.length+1),new U(1,n.length+1),[new Re(new z(1,1,t.length,t[t.length-1].length+1),new z(1,1,n.length,n[n.length-1].length+1))])],[],!1);const i=r.maxComputationTimeMs===0?Gt.instance:new rc(r.maxComputationTimeMs),s=!r.ignoreTrimWhitespace,a=new Map;function l(b){let _=a.get(b);return _===void 0&&(_=a.size,a.set(b,_)),_}const o=t.map(b=>l(b.trim())),u=n.map(b=>l(b.trim())),h=new ha(o,t),c=new ha(u,n),d=h.length+c.length<1700?this.dynamicProgrammingDiffing.compute(h,c,i,(b,_)=>t[b]===n[_]?n[_].length===0?.1:1+Math.log(1+n[_].length):.99):this.myersDiffingAlgorithm.compute(h,c,i);let m=d.diffs,g=d.hitTimeout;m=ua(h,c,m),m=vc(h,c,m);const p=[],x=b=>{if(s)for(let _=0;_<b;_++){const A=w+_,C=L+_;if(t[A]!==n[C]){const F=this.refineDiff(t,n,new Y(new W(A,A+1),new W(C,C+1)),i,s);for(const O of F.mappings)p.push(O);F.hitTimeout&&(g=!0)}}};let w=0,L=0;for(const b of m){yn(()=>b.seq1Range.start-w===b.seq2Range.start-L);const _=b.seq1Range.start-w;x(_),w=b.seq1Range.endExclusive,L=b.seq2Range.endExclusive;const A=this.refineDiff(t,n,b,i,s);A.hitTimeout&&(g=!0);for(const C of A.mappings)p.push(C)}x(t.length-w);const v=ma(p,t,n);let y=[];return r.computeMoves&&(y=this.computeMoves(v,t,n,o,u,i,s)),yn(()=>{function b(A,C){if(A.lineNumber<1||A.lineNumber>C.length)return!1;const F=C[A.lineNumber-1];return!(A.column<1||A.column>F.length+1)}function _(A,C){return!(A.startLineNumber<1||A.startLineNumber>C.length+1||A.endLineNumberExclusive<1||A.endLineNumberExclusive>C.length+1)}for(const A of v){if(!A.innerChanges)return!1;for(const C of A.innerChanges)if(!(b(C.modifiedRange.getStartPosition(),n)&&b(C.modifiedRange.getEndPosition(),n)&&b(C.originalRange.getStartPosition(),t)&&b(C.originalRange.getEndPosition(),t)))return!1;if(!_(A.modified,n)||!_(A.original,t))return!1}return!0}),new wn(v,y,g)}computeMoves(t,n,r,i,s,a,l){return lc(t,n,r,i,s,a).map(h=>{const c=this.refineDiff(n,r,new Y(h.original.toOffsetRange(),h.modified.toOffsetRange()),a,l),d=ma(c.mappings,n,r,!0);return new ju(h,d)})}refineDiff(t,n,r,i,s){const l=_c(r).toRangeMapping2(t,n),o=new An(t,l.originalRange,s),u=new An(n,l.modifiedRange,s),h=o.length+u.length<500?this.dynamicProgrammingDiffing.compute(o,u,i):this.myersDiffingAlgorithm.compute(o,u,i);let c=h.diffs;return c=ua(o,u,c),c=pc(o,u,c),c=gc(o,u,c),c=xc(o,u,c),{mappings:c.map(m=>new Re(o.translateRange(m.seq1Range),u.translateRange(m.seq2Range))),hitTimeout:h.hitTimeout}}}function ma(e,t,n,r=!1){const i=[];for(const s of Zu(e.map(a=>wc(a,t,n)),(a,l)=>a.original.overlapOrTouch(l.original)||a.modified.overlapOrTouch(l.modified))){const a=s[0],l=s[s.length-1];i.push(new je(a.original.join(l.original),a.modified.join(l.modified),s.map(o=>o.innerChanges[0])))}return yn(()=>!r&&i.length>0&&(i[0].modified.startLineNumber!==i[0].original.startLineNumber||n.length-i[i.length-1].modified.endLineNumberExclusive!==t.length-i[i.length-1].original.endLineNumberExclusive)?!1:zs(i,(s,a)=>a.original.startLineNumber-s.original.endLineNumberExclusive===a.modified.startLineNumber-s.modified.endLineNumberExclusive&&s.original.endLineNumberExclusive<a.original.startLineNumber&&s.modified.endLineNumberExclusive<a.modified.startLineNumber)),i}function wc(e,t,n){let r=0,i=0;e.modifiedRange.endColumn===1&&e.originalRange.endColumn===1&&e.originalRange.startLineNumber+r<=e.originalRange.endLineNumber&&e.modifiedRange.startLineNumber+r<=e.modifiedRange.endLineNumber&&(i=-1),e.modifiedRange.startColumn-1>=n[e.modifiedRange.startLineNumber-1].length&&e.originalRange.startColumn-1>=t[e.originalRange.startLineNumber-1].length&&e.originalRange.startLineNumber<=e.originalRange.endLineNumber+i&&e.modifiedRange.startLineNumber<=e.modifiedRange.endLineNumber+i&&(r=1);const s=new U(e.originalRange.startLineNumber+r,e.originalRange.endLineNumber+1+i),a=new U(e.modifiedRange.startLineNumber+r,e.modifiedRange.endLineNumber+1+i);return new je(s,a,[e])}function _c(e){return new Le(new U(e.seq1Range.start+1,e.seq1Range.endExclusive+1),new U(e.seq2Range.start+1,e.seq2Range.endExclusive+1))}const ga={getLegacy:()=>new Gu,getDefault:()=>new yc};function Ke(e,t){const n=Math.pow(10,t);return Math.round(e*n)/n}class ae{constructor(t,n,r,i=1){this._rgbaBrand=void 0,this.r=Math.min(255,Math.max(0,t))|0,this.g=Math.min(255,Math.max(0,n))|0,this.b=Math.min(255,Math.max(0,r))|0,this.a=Ke(Math.max(Math.min(1,i),0),3)}static equals(t,n){return t.r===n.r&&t.g===n.g&&t.b===n.b&&t.a===n.a}}class Ne{constructor(t,n,r,i){this._hslaBrand=void 0,this.h=Math.max(Math.min(360,t),0)|0,this.s=Ke(Math.max(Math.min(1,n),0),3),this.l=Ke(Math.max(Math.min(1,r),0),3),this.a=Ke(Math.max(Math.min(1,i),0),3)}static equals(t,n){return t.h===n.h&&t.s===n.s&&t.l===n.l&&t.a===n.a}static fromRGBA(t){const n=t.r/255,r=t.g/255,i=t.b/255,s=t.a,a=Math.max(n,r,i),l=Math.min(n,r,i);let o=0,u=0;const h=(l+a)/2,c=a-l;if(c>0){switch(u=Math.min(h<=.5?c/(2*h):c/(2-2*h),1),a){case n:o=(r-i)/c+(r<i?6:0);break;case r:o=(i-n)/c+2;break;case i:o=(n-r)/c+4;break}o*=60,o=Math.round(o)}return new Ne(o,u,h,s)}static _hue2rgb(t,n,r){return r<0&&(r+=1),r>1&&(r-=1),r<1/6?t+(n-t)*6*r:r<1/2?n:r<2/3?t+(n-t)*(2/3-r)*6:t}static toRGBA(t){const n=t.h/360,{s:r,l:i,a:s}=t;let a,l,o;if(r===0)a=l=o=i;else{const u=i<.5?i*(1+r):i+r-i*r,h=2*i-u;a=Ne._hue2rgb(h,u,n+1/3),l=Ne._hue2rgb(h,u,n),o=Ne._hue2rgb(h,u,n-1/3)}return new ae(Math.round(a*255),Math.round(l*255),Math.round(o*255),s)}}class Nt{constructor(t,n,r,i){this._hsvaBrand=void 0,this.h=Math.max(Math.min(360,t),0)|0,this.s=Ke(Math.max(Math.min(1,n),0),3),this.v=Ke(Math.max(Math.min(1,r),0),3),this.a=Ke(Math.max(Math.min(1,i),0),3)}static equals(t,n){return t.h===n.h&&t.s===n.s&&t.v===n.v&&t.a===n.a}static fromRGBA(t){const n=t.r/255,r=t.g/255,i=t.b/255,s=Math.max(n,r,i),a=Math.min(n,r,i),l=s-a,o=s===0?0:l/s;let u;return l===0?u=0:s===n?u=((r-i)/l%6+6)%6:s===r?u=(i-n)/l+2:u=(n-r)/l+4,new Nt(Math.round(u*60),o,s,t.a)}static toRGBA(t){const{h:n,s:r,v:i,a:s}=t,a=i*r,l=a*(1-Math.abs(n/60%2-1)),o=i-a;let[u,h,c]=[0,0,0];return n<60?(u=a,h=l):n<120?(u=l,h=a):n<180?(h=a,c=l):n<240?(h=l,c=a):n<300?(u=l,c=a):n<=360&&(u=a,c=l),u=Math.round((u+o)*255),h=Math.round((h+o)*255),c=Math.round((c+o)*255),new ae(u,h,c,s)}}let kn=(G=class{static fromHex(t){return G.Format.CSS.parseHex(t)||G.red}static equals(t,n){return!t&&!n?!0:!t||!n?!1:t.equals(n)}get hsla(){return this._hsla?this._hsla:Ne.fromRGBA(this.rgba)}get hsva(){return this._hsva?this._hsva:Nt.fromRGBA(this.rgba)}constructor(t){if(t)if(t instanceof ae)this.rgba=t;else if(t instanceof Ne)this._hsla=t,this.rgba=Ne.toRGBA(t);else if(t instanceof Nt)this._hsva=t,this.rgba=Nt.toRGBA(t);else throw new Error("Invalid color ctor argument");else throw new Error("Color needs a value")}equals(t){return!!t&&ae.equals(this.rgba,t.rgba)&&Ne.equals(this.hsla,t.hsla)&&Nt.equals(this.hsva,t.hsva)}getRelativeLuminance(){const t=G._relativeLuminanceForComponent(this.rgba.r),n=G._relativeLuminanceForComponent(this.rgba.g),r=G._relativeLuminanceForComponent(this.rgba.b),i=.2126*t+.7152*n+.0722*r;return Ke(i,4)}static _relativeLuminanceForComponent(t){const n=t/255;return n<=.03928?n/12.92:Math.pow((n+.055)/1.055,2.4)}isLighter(){return(this.rgba.r*299+this.rgba.g*587+this.rgba.b*114)/1e3>=128}isLighterThan(t){const n=this.getRelativeLuminance(),r=t.getRelativeLuminance();return n>r}isDarkerThan(t){const n=this.getRelativeLuminance(),r=t.getRelativeLuminance();return n<r}lighten(t){return new G(new Ne(this.hsla.h,this.hsla.s,this.hsla.l+this.hsla.l*t,this.hsla.a))}darken(t){return new G(new Ne(this.hsla.h,this.hsla.s,this.hsla.l-this.hsla.l*t,this.hsla.a))}transparent(t){const{r:n,g:r,b:i,a:s}=this.rgba;return new G(new ae(n,r,i,s*t))}isTransparent(){return this.rgba.a===0}isOpaque(){return this.rgba.a===1}opposite(){return new G(new ae(255-this.rgba.r,255-this.rgba.g,255-this.rgba.b,this.rgba.a))}makeOpaque(t){if(this.isOpaque()||t.rgba.a!==1)return this;const{r:n,g:r,b:i,a:s}=this.rgba;return new G(new ae(t.rgba.r-s*(t.rgba.r-n),t.rgba.g-s*(t.rgba.g-r),t.rgba.b-s*(t.rgba.b-i),1))}toString(){return this._toString||(this._toString=G.Format.CSS.format(this)),this._toString}static getLighterColor(t,n,r){if(t.isLighterThan(n))return t;r=r||.5;const i=t.getRelativeLuminance(),s=n.getRelativeLuminance();return r=r*(s-i)/s,t.lighten(r)}static getDarkerColor(t,n,r){if(t.isDarkerThan(n))return t;r=r||.5;const i=t.getRelativeLuminance(),s=n.getRelativeLuminance();return r=r*(i-s)/i,t.darken(r)}},G.white=new G(new ae(255,255,255,1)),G.black=new G(new ae(0,0,0,1)),G.red=new G(new ae(255,0,0,1)),G.blue=new G(new ae(0,0,255,1)),G.green=new G(new ae(0,255,0,1)),G.cyan=new G(new ae(0,255,255,1)),G.lightgrey=new G(new ae(211,211,211,1)),G.transparent=new G(new ae(0,0,0,0)),G);(function(e){(function(t){(function(n){function r(m){return m.rgba.a===1?`rgb(${m.rgba.r}, ${m.rgba.g}, ${m.rgba.b})`:e.Format.CSS.formatRGBA(m)}n.formatRGB=r;function i(m){return`rgba(${m.rgba.r}, ${m.rgba.g}, ${m.rgba.b}, ${+m.rgba.a.toFixed(2)})`}n.formatRGBA=i;function s(m){return m.hsla.a===1?`hsl(${m.hsla.h}, ${(m.hsla.s*100).toFixed(2)}%, ${(m.hsla.l*100).toFixed(2)}%)`:e.Format.CSS.formatHSLA(m)}n.formatHSL=s;function a(m){return`hsla(${m.hsla.h}, ${(m.hsla.s*100).toFixed(2)}%, ${(m.hsla.l*100).toFixed(2)}%, ${m.hsla.a.toFixed(2)})`}n.formatHSLA=a;function l(m){const g=m.toString(16);return g.length!==2?"0"+g:g}function o(m){return`#${l(m.rgba.r)}${l(m.rgba.g)}${l(m.rgba.b)}`}n.formatHex=o;function u(m,g=!1){return g&&m.rgba.a===1?e.Format.CSS.formatHex(m):`#${l(m.rgba.r)}${l(m.rgba.g)}${l(m.rgba.b)}${l(Math.round(m.rgba.a*255))}`}n.formatHexA=u;function h(m){return m.isOpaque()?e.Format.CSS.formatHex(m):e.Format.CSS.formatRGBA(m)}n.format=h;function c(m){const g=m.length;if(g===0||m.charCodeAt(0)!==35)return null;if(g===7){const p=16*d(m.charCodeAt(1))+d(m.charCodeAt(2)),x=16*d(m.charCodeAt(3))+d(m.charCodeAt(4)),w=16*d(m.charCodeAt(5))+d(m.charCodeAt(6));return new e(new ae(p,x,w,1))}if(g===9){const p=16*d(m.charCodeAt(1))+d(m.charCodeAt(2)),x=16*d(m.charCodeAt(3))+d(m.charCodeAt(4)),w=16*d(m.charCodeAt(5))+d(m.charCodeAt(6)),L=16*d(m.charCodeAt(7))+d(m.charCodeAt(8));return new e(new ae(p,x,w,L/255))}if(g===4){const p=d(m.charCodeAt(1)),x=d(m.charCodeAt(2)),w=d(m.charCodeAt(3));return new e(new ae(16*p+p,16*x+x,16*w+w))}if(g===5){const p=d(m.charCodeAt(1)),x=d(m.charCodeAt(2)),w=d(m.charCodeAt(3)),L=d(m.charCodeAt(4));return new e(new ae(16*p+p,16*x+x,16*w+w,(16*L+L)/255))}return null}n.parseHex=c;function d(m){switch(m){case 48:return 0;case 49:return 1;case 50:return 2;case 51:return 3;case 52:return 4;case 53:return 5;case 54:return 6;case 55:return 7;case 56:return 8;case 57:return 9;case 97:return 10;case 65:return 10;case 98:return 11;case 66:return 11;case 99:return 12;case 67:return 12;case 100:return 13;case 68:return 13;case 101:return 14;case 69:return 14;case 102:return 15;case 70:return 15}return 0}})(t.CSS||(t.CSS={}))})(e.Format||(e.Format={}))})(kn||(kn={}));function pa(e){const t=[];for(const n of e){const r=Number(n);(r||r===0&&n.replace(/\s/g,"")!=="")&&t.push(r)}return t}function Tr(e,t,n,r){return{red:e/255,blue:n/255,green:t/255,alpha:r}}function Jt(e,t){const n=t.index,r=t[0].length;if(!n)return;const i=e.positionAt(n);return{startLineNumber:i.lineNumber,startColumn:i.column,endLineNumber:i.lineNumber,endColumn:i.column+r}}function Lc(e,t){if(!e)return;const n=kn.Format.CSS.parseHex(t);if(n)return{range:e,color:Tr(n.rgba.r,n.rgba.g,n.rgba.b,n.rgba.a)}}function ba(e,t,n){if(!e||t.length!==1)return;const i=t[0].values(),s=pa(i);return{range:e,color:Tr(s[0],s[1],s[2],n?s[3]:1)}}function va(e,t,n){if(!e||t.length!==1)return;const i=t[0].values(),s=pa(i),a=new kn(new Ne(s[0],s[1]/100,s[2]/100,n?s[3]:1));return{range:e,color:Tr(a.rgba.r,a.rgba.g,a.rgba.b,a.rgba.a)}}function Xt(e,t){return typeof e=="string"?[...e.matchAll(t)]:e.findMatches(t)}function Nc(e){const t=[],r=Xt(e,/\b(rgb|rgba|hsl|hsla)(\([0-9\s,.\%]*\))|(#)([A-Fa-f0-9]{3})\b|(#)([A-Fa-f0-9]{4})\b|(#)([A-Fa-f0-9]{6})\b|(#)([A-Fa-f0-9]{8})\b/gm);if(r.length>0)for(const i of r){const s=i.filter(u=>u!==void 0),a=s[1],l=s[2];if(!l)continue;let o;if(a==="rgb"){const u=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*\)$/gm;o=ba(Jt(e,i),Xt(l,u),!1)}else if(a==="rgba"){const u=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;o=ba(Jt(e,i),Xt(l,u),!0)}else if(a==="hsl"){const u=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*\)$/gm;o=va(Jt(e,i),Xt(l,u),!1)}else if(a==="hsla"){const u=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;o=va(Jt(e,i),Xt(l,u),!0)}else a==="#"&&(o=Lc(Jt(e,i),a+l));o&&t.push(o)}return t}function Sc(e){return!e||typeof e.getValue!="function"||typeof e.positionAt!="function"?[]:Nc(e)}const xa=new RegExp("\\bMARK:\\s*(.*)$","d"),Ac=/^-+|-+$/g;function kc(e,t){var r;let n=[];if(t.findRegionSectionHeaders&&((r=t.foldingRules)!=null&&r.markers)){const i=Rc(e,t);n=n.concat(i)}if(t.findMarkSectionHeaders){const i=Ec(e);n=n.concat(i)}return n}function Rc(e,t){const n=[],r=e.getLineCount();for(let i=1;i<=r;i++){const s=e.getLineContent(i),a=s.match(t.foldingRules.markers.start);if(a){const l={startLineNumber:i,startColumn:a[0].length+1,endLineNumber:i,endColumn:s.length+1};if(l.endColumn>l.startColumn){const o={range:l,...ya(s.substring(a[0].length)),shouldBeInComments:!1};(o.text||o.hasSeparatorLine)&&n.push(o)}}}return n}function Ec(e){const t=[],n=e.getLineCount();for(let r=1;r<=n;r++){const i=e.getLineContent(r);Mc(i,r,t)}return t}function Mc(e,t,n){xa.lastIndex=0;const r=xa.exec(e);if(r){const i=r.indices[1][0]+1,s=r.indices[1][1]+1,a={startLineNumber:t,startColumn:i,endLineNumber:t,endColumn:s};if(a.endColumn>a.startColumn){const l={range:a,...ya(r[1]),shouldBeInComments:!0};(l.text||l.hasSeparatorLine)&&n.push(l)}}}function ya(e){e=e.trim();const t=e.startsWith("-");return e=e.replace(Ac,""),{text:e,hasSeparatorLine:t}}var wa;(function(e){async function t(r){let i;const s=await Promise.all(r.map(a=>a.then(l=>l,l=>{i||(i=l)})));if(typeof i<"u")throw i;return s}e.settled=t;function n(r){return new Promise(async(i,s)=>{try{await r(i,s)}catch(a){s(a)}})}e.withAsyncBody=n})(wa||(wa={}));const ge=class ge{static fromArray(t){return new ge(n=>{n.emitMany(t)})}static fromPromise(t){return new ge(async n=>{n.emitMany(await t)})}static fromPromises(t){return new ge(async n=>{await Promise.all(t.map(async r=>n.emitOne(await r)))})}static merge(t){return new ge(async n=>{await Promise.all(t.map(async r=>{for await(const i of r)n.emitOne(i)}))})}constructor(t,n){this._state=0,this._results=[],this._error=null,this._onReturn=n,this._onStateChanged=new _e,queueMicrotask(async()=>{const r={emitOne:i=>this.emitOne(i),emitMany:i=>this.emitMany(i),reject:i=>this.reject(i)};try{await Promise.resolve(t(r)),this.resolve()}catch(i){this.reject(i)}finally{r.emitOne=void 0,r.emitMany=void 0,r.reject=void 0}})}[Symbol.asyncIterator](){let t=0;return{next:async()=>{do{if(this._state===2)throw this._error;if(t<this._results.length)return{done:!1,value:this._results[t++]};if(this._state===1)return{done:!0,value:void 0};await cn.toPromise(this._onStateChanged.event)}while(!0)},return:async()=>{var n;return(n=this._onReturn)==null||n.call(this),{done:!0,value:void 0}}}}static map(t,n){return new ge(async r=>{for await(const i of t)r.emitOne(n(i))})}map(t){return ge.map(this,t)}static filter(t,n){return new ge(async r=>{for await(const i of t)n(i)&&r.emitOne(i)})}filter(t){return ge.filter(this,t)}static coalesce(t){return ge.filter(t,n=>!!n)}coalesce(){return ge.coalesce(this)}static async toPromise(t){const n=[];for await(const r of t)n.push(r);return n}toPromise(){return ge.toPromise(this)}emitOne(t){this._state===0&&(this._results.push(t),this._onStateChanged.fire())}emitMany(t){this._state===0&&(this._results=this._results.concat(t),this._onStateChanged.fire())}resolve(){this._state===0&&(this._state=1,this._onStateChanged.fire())}reject(t){this._state===0&&(this._state=2,this._error=t,this._onStateChanged.fire())}};ge.EMPTY=ge.fromArray([]);let _a=ge;class Cc{constructor(t){this.values=t,this.prefixSum=new Uint32Array(t.length),this.prefixSumValidIndex=new Int32Array(1),this.prefixSumValidIndex[0]=-1}insertValues(t,n){t=yt(t);const r=this.values,i=this.prefixSum,s=n.length;return s===0?!1:(this.values=new Uint32Array(r.length+s),this.values.set(r.subarray(0,t),0),this.values.set(r.subarray(t),t+s),this.values.set(n,t),t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),this.prefixSum=new Uint32Array(this.values.length),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(i.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}setValue(t,n){return t=yt(t),n=yt(n),this.values[t]===n?!1:(this.values[t]=n,t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),!0)}removeValues(t,n){t=yt(t),n=yt(n);const r=this.values,i=this.prefixSum;if(t>=r.length)return!1;const s=r.length-t;return n>=s&&(n=s),n===0?!1:(this.values=new Uint32Array(r.length-n),this.values.set(r.subarray(0,t),0),this.values.set(r.subarray(t+n),t),this.prefixSum=new Uint32Array(this.values.length),t-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=t-1),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(i.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}getTotalSum(){return this.values.length===0?0:this._getPrefixSum(this.values.length-1)}getPrefixSum(t){return t<0?0:(t=yt(t),this._getPrefixSum(t))}_getPrefixSum(t){if(t<=this.prefixSumValidIndex[0])return this.prefixSum[t];let n=this.prefixSumValidIndex[0]+1;n===0&&(this.prefixSum[0]=this.values[0],n++),t>=this.values.length&&(t=this.values.length-1);for(let r=n;r<=t;r++)this.prefixSum[r]=this.prefixSum[r-1]+this.values[r];return this.prefixSumValidIndex[0]=Math.max(this.prefixSumValidIndex[0],t),this.prefixSum[t]}getIndexOf(t){t=Math.floor(t),this.getTotalSum();let n=0,r=this.values.length-1,i=0,s=0,a=0;for(;n<=r;)if(i=n+(r-n)/2|0,s=this.prefixSum[i],a=s-this.values[i],t<a)r=i-1;else if(t>=s)n=i+1;else break;return new Tc(i,t-a)}}class Tc{constructor(t,n){this.index=t,this.remainder=n,this._prefixSumIndexOfResultBrand=void 0,this.index=t,this.remainder=n}}class Pc{constructor(t,n,r,i){this._uri=t,this._lines=n,this._eol=r,this._versionId=i,this._lineStarts=null,this._cachedTextValue=null}dispose(){this._lines.length=0}get version(){return this._versionId}getText(){return this._cachedTextValue===null&&(this._cachedTextValue=this._lines.join(this._eol)),this._cachedTextValue}onEvents(t){t.eol&&t.eol!==this._eol&&(this._eol=t.eol,this._lineStarts=null);const n=t.changes;for(const r of n)this._acceptDeleteRange(r.range),this._acceptInsertText(new ee(r.range.startLineNumber,r.range.startColumn),r.text);this._versionId=t.versionId,this._cachedTextValue=null}_ensureLineStarts(){if(!this._lineStarts){const t=this._eol.length,n=this._lines.length,r=new Uint32Array(n);for(let i=0;i<n;i++)r[i]=this._lines[i].length+t;this._lineStarts=new Cc(r)}}_setLineText(t,n){this._lines[t]=n,this._lineStarts&&this._lineStarts.setValue(t,this._lines[t].length+this._eol.length)}_acceptDeleteRange(t){if(t.startLineNumber===t.endLineNumber){if(t.startColumn===t.endColumn)return;this._setLineText(t.startLineNumber-1,this._lines[t.startLineNumber-1].substring(0,t.startColumn-1)+this._lines[t.startLineNumber-1].substring(t.endColumn-1));return}this._setLineText(t.startLineNumber-1,this._lines[t.startLineNumber-1].substring(0,t.startColumn-1)+this._lines[t.endLineNumber-1].substring(t.endColumn-1)),this._lines.splice(t.startLineNumber,t.endLineNumber-t.startLineNumber),this._lineStarts&&this._lineStarts.removeValues(t.startLineNumber,t.endLineNumber-t.startLineNumber)}_acceptInsertText(t,n){if(n.length===0)return;const r=Al(n);if(r.length===1){this._setLineText(t.lineNumber-1,this._lines[t.lineNumber-1].substring(0,t.column-1)+r[0]+this._lines[t.lineNumber-1].substring(t.column-1));return}r[r.length-1]+=this._lines[t.lineNumber-1].substring(t.column-1),this._setLineText(t.lineNumber-1,this._lines[t.lineNumber-1].substring(0,t.column-1)+r[0]);const i=new Uint32Array(r.length-1);for(let s=1;s<r.length;s++)this._lines.splice(t.lineNumber+s-1,0,r[s]),i[s-1]=r[s].length+this._eol.length;this._lineStarts&&this._lineStarts.insertValues(t.lineNumber,i)}}class Ic{constructor(){this._models=Object.create(null)}getModel(t){return this._models[t]}getModels(){const t=[];return Object.keys(this._models).forEach(n=>t.push(this._models[n])),t}$acceptNewModel(t){this._models[t.url]=new Fc(Ie.parse(t.url),t.lines,t.EOL,t.versionId)}$acceptModelChanged(t,n){if(!this._models[t])return;this._models[t].onEvents(n)}$acceptRemovedModel(t){this._models[t]&&delete this._models[t]}}class Fc extends Pc{get uri(){return this._uri}get eol(){return this._eol}getValue(){return this.getText()}findMatches(t){const n=[];for(let r=0;r<this._lines.length;r++){const i=this._lines[r],s=this.offsetAt(new ee(r+1,1)),a=i.matchAll(t);for(const l of a)(l.index||l.index===0)&&(l.index=l.index+s),n.push(l)}return n}getLinesContent(){return this._lines.slice(0)}getLineCount(){return this._lines.length}getLineContent(t){return this._lines[t-1]}getWordAtPosition(t,n){const r=Nr(t.column,Js(n),this._lines[t.lineNumber-1],0);return r?new z(t.lineNumber,r.startColumn,t.lineNumber,r.endColumn):null}words(t){const n=this._lines,r=this._wordenize.bind(this);let i=0,s="",a=0,l=[];return{*[Symbol.iterator](){for(;;)if(a<l.length){const o=s.substring(l[a].start,l[a].end);a+=1,yield o}else if(i<n.length)s=n[i],l=r(s,t),a=0,i+=1;else break}}}getLineWords(t,n){const r=this._lines[t-1],i=this._wordenize(r,n),s=[];for(const a of i)s.push({word:r.substring(a.start,a.end),startColumn:a.start+1,endColumn:a.end+1});return s}_wordenize(t,n){const r=[];let i;for(n.lastIndex=0;(i=n.exec(t))&&i[0].length!==0;)r.push({start:i.index,end:i.index+i[0].length});return r}getValueInRange(t){if(t=this._validateRange(t),t.startLineNumber===t.endLineNumber)return this._lines[t.startLineNumber-1].substring(t.startColumn-1,t.endColumn-1);const n=this._eol,r=t.startLineNumber-1,i=t.endLineNumber-1,s=[];s.push(this._lines[r].substring(t.startColumn-1));for(let a=r+1;a<i;a++)s.push(this._lines[a]);return s.push(this._lines[i].substring(0,t.endColumn-1)),s.join(n)}offsetAt(t){return t=this._validatePosition(t),this._ensureLineStarts(),this._lineStarts.getPrefixSum(t.lineNumber-2)+(t.column-1)}positionAt(t){t=Math.floor(t),t=Math.max(0,t),this._ensureLineStarts();const n=this._lineStarts.getIndexOf(t),r=this._lines[n.index].length;return{lineNumber:1+n.index,column:1+Math.min(n.remainder,r)}}_validateRange(t){const n=this._validatePosition({lineNumber:t.startLineNumber,column:t.startColumn}),r=this._validatePosition({lineNumber:t.endLineNumber,column:t.endColumn});return n.lineNumber!==t.startLineNumber||n.column!==t.startColumn||r.lineNumber!==t.endLineNumber||r.column!==t.endColumn?{startLineNumber:n.lineNumber,startColumn:n.column,endLineNumber:r.lineNumber,endColumn:r.column}:t}_validatePosition(t){if(!ee.isIPosition(t))throw new Error("bad position");let{lineNumber:n,column:r}=t,i=!1;if(n<1)n=1,r=1,i=!0;else if(n>this._lines.length)n=this._lines.length,r=this._lines[n-1].length+1,i=!0;else{const s=this._lines[n-1].length+1;r<1?(r=1,i=!0):r>s&&(r=s,i=!0)}return i?{lineNumber:n,column:r}:t}}const Wn=class Wn{constructor(){this._workerTextModelSyncServer=new Ic}dispose(){}_getModel(t){return this._workerTextModelSyncServer.getModel(t)}_getModels(){return this._workerTextModelSyncServer.getModels()}$acceptNewModel(t){this._workerTextModelSyncServer.$acceptNewModel(t)}$acceptModelChanged(t,n){this._workerTextModelSyncServer.$acceptModelChanged(t,n)}$acceptRemovedModel(t){this._workerTextModelSyncServer.$acceptRemovedModel(t)}async $computeUnicodeHighlights(t,n,r){const i=this._getModel(t);return i?Bu.computeUnicodeHighlights(i,n,r):{ranges:[],hasMore:!1,ambiguousCharacterCount:0,invisibleCharacterCount:0,nonBasicAsciiCharacterCount:0}}async $findSectionHeaders(t,n){const r=this._getModel(t);return r?kc(r,n):[]}async $computeDiff(t,n,r,i){const s=this._getModel(t),a=this._getModel(n);return!s||!a?null:Rn.computeDiff(s,a,r,i)}static computeDiff(t,n,r,i){const s=i==="advanced"?ga.getDefault():ga.getLegacy(),a=t.getLinesContent(),l=n.getLinesContent(),o=s.computeDiff(a,l,r),u=o.changes.length>0?!1:this._modelsAreIdentical(t,n);function h(c){return c.map(d=>{var m;return[d.original.startLineNumber,d.original.endLineNumberExclusive,d.modified.startLineNumber,d.modified.endLineNumberExclusive,(m=d.innerChanges)==null?void 0:m.map(g=>[g.originalRange.startLineNumber,g.originalRange.startColumn,g.originalRange.endLineNumber,g.originalRange.endColumn,g.modifiedRange.startLineNumber,g.modifiedRange.startColumn,g.modifiedRange.endLineNumber,g.modifiedRange.endColumn])]})}return{identical:u,quitEarly:o.hitTimeout,changes:h(o.changes),moves:o.moves.map(c=>[c.lineRangeMapping.original.startLineNumber,c.lineRangeMapping.original.endLineNumberExclusive,c.lineRangeMapping.modified.startLineNumber,c.lineRangeMapping.modified.endLineNumberExclusive,h(c.changes)])}}static _modelsAreIdentical(t,n){const r=t.getLineCount(),i=n.getLineCount();if(r!==i)return!1;for(let s=1;s<=r;s++){const a=t.getLineContent(s),l=n.getLineContent(s);if(a!==l)return!1}return!0}async $computeMoreMinimalEdits(t,n,r){const i=this._getModel(t);if(!i)return n;const s=[];let a;n=n.slice(0).sort((o,u)=>{if(o.range&&u.range)return z.compareRangesUsingStarts(o.range,u.range);const h=o.range?0:1,c=u.range?0:1;return h-c});let l=0;for(let o=1;o<n.length;o++)z.getEndPosition(n[l].range).equals(z.getStartPosition(n[o].range))?(n[l].range=z.fromPositions(z.getStartPosition(n[l].range),z.getEndPosition(n[o].range)),n[l].text+=n[o].text):(l++,n[l]=n[o]);n.length=l+1;for(let{range:o,text:u,eol:h}of n){if(typeof h=="number"&&(a=h),z.isEmpty(o)&&!u)continue;const c=i.getValueInRange(o);if(u=u.replace(/\r\n|\n|\r/g,i.eol),c===u)continue;if(Math.max(u.length,c.length)>Rn._diffLimit){s.push({range:o,text:u});continue}const d=cu(c,u,r),m=i.offsetAt(z.lift(o).getStartPosition());for(const g of d){const p=i.positionAt(m+g.originalStart),x=i.positionAt(m+g.originalStart+g.originalLength),w={text:u.substr(g.modifiedStart,g.modifiedLength),range:{startLineNumber:p.lineNumber,startColumn:p.column,endLineNumber:x.lineNumber,endColumn:x.column}};i.getValueInRange(w.range)!==w.text&&s.push(w)}}return typeof a=="number"&&s.push({eol:a,text:"",range:{startLineNumber:0,startColumn:0,endLineNumber:0,endColumn:0}}),s}async $computeLinks(t){const n=this._getModel(t);return n?gu(n):null}async $computeDefaultDocumentColors(t){const n=this._getModel(t);return n?Sc(n):null}async $textualSuggest(t,n,r,i){const s=new un,a=new RegExp(r,i),l=new Set;e:for(const o of t){const u=this._getModel(o);if(u){for(const h of u.words(a))if(!(h===n||!isNaN(Number(h)))&&(l.add(h),l.size>Rn._suggestionsLimit))break e}}return{words:Array.from(l),duration:s.elapsed()}}async $computeWordRanges(t,n,r,i){const s=this._getModel(t);if(!s)return Object.create(null);const a=new RegExp(r,i),l=Object.create(null);for(let o=n.startLineNumber;o<n.endLineNumber;o++){const u=s.getLineWords(o,a);for(const h of u){if(!isNaN(Number(h.word)))continue;let c=l[h.word];c||(c=[],l[h.word]=c),c.push({startLineNumber:o,startColumn:h.startColumn,endLineNumber:o,endColumn:h.endColumn})}}return l}async $navigateValueSet(t,n,r,i,s){const a=this._getModel(t);if(!a)return null;const l=new RegExp(i,s);n.startColumn===n.endColumn&&(n={startLineNumber:n.startLineNumber,startColumn:n.startColumn,endLineNumber:n.endLineNumber,endColumn:n.endColumn+1});const o=a.getValueInRange(n),u=a.getWordAtPosition({lineNumber:n.startLineNumber,column:n.startColumn},l);if(!u)return null;const h=a.getValueInRange(u);return mr.INSTANCE.navigateValueSet(n,o,u,h,r)}};Wn._diffLimit=1e5,Wn._suggestionsLimit=1e4;let Pr=Wn;class Rn extends Pr{constructor(t,n){super(),this._host=t,this._foreignModuleFactory=n,this._foreignModule=null}async $ping(){return"pong"}$loadForeignModule(t,n,r){const a={host:Tu(r,(l,o)=>this._host.$fhr(l,o)),getMirrorModels:()=>this._getModels()};return this._foreignModuleFactory?(this._foreignModule=this._foreignModuleFactory(a,n),Promise.resolve(qs(this._foreignModule))):new Promise((l,o)=>{const u=h=>{this._foreignModule=h.create(a,n),l(qs(this._foreignModule))};import(`${Ai.asBrowserUri(`${t}.js`).toString(!0)}`).then(u).catch(o)})}$fmr(t,n){if(!this._foreignModule||typeof this._foreignModule[t]!="function")return Promise.reject(new Error("Missing requestHandler or method: "+t));try{return Promise.resolve(this._foreignModule[t].apply(this._foreignModule,n))}catch(r){return Promise.reject(r)}}}typeof importScripts=="function"&&(globalThis.monaco=Au());let Ir=!1;function La(e){if(Ir)return;Ir=!0;const t=new ou(n=>{globalThis.postMessage(n)},n=>new Rn(Lr.getChannel(n),e));globalThis.onmessage=n=>{t.onmessage(n.data)}}globalThis.onmessage=e=>{Ir||La(null)};/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/function Fr(e,t=!1){const n=e.length;let r=0,i="",s=0,a=16,l=0,o=0,u=0,h=0,c=0;function d(v,y){let b=0,_=0;for(;b<v||!y;){let A=e.charCodeAt(r);if(A>=48&&A<=57)_=_*16+A-48;else if(A>=65&&A<=70)_=_*16+A-65+10;else if(A>=97&&A<=102)_=_*16+A-97+10;else break;r++,b++}return b<v&&(_=-1),_}function m(v){r=v,i="",s=0,a=16,c=0}function g(){let v=r;if(e.charCodeAt(r)===48)r++;else for(r++;r<e.length&&St(e.charCodeAt(r));)r++;if(r<e.length&&e.charCodeAt(r)===46)if(r++,r<e.length&&St(e.charCodeAt(r)))for(r++;r<e.length&&St(e.charCodeAt(r));)r++;else return c=3,e.substring(v,r);let y=r;if(r<e.length&&(e.charCodeAt(r)===69||e.charCodeAt(r)===101))if(r++,(r<e.length&&e.charCodeAt(r)===43||e.charCodeAt(r)===45)&&r++,r<e.length&&St(e.charCodeAt(r))){for(r++;r<e.length&&St(e.charCodeAt(r));)r++;y=r}else c=3;return e.substring(v,y)}function p(){let v="",y=r;for(;;){if(r>=n){v+=e.substring(y,r),c=2;break}const b=e.charCodeAt(r);if(b===34){v+=e.substring(y,r),r++;break}if(b===92){if(v+=e.substring(y,r),r++,r>=n){c=2;break}switch(e.charCodeAt(r++)){case 34:v+='"';break;case 92:v+="\\";break;case 47:v+="/";break;case 98:v+="\b";break;case 102:v+="\f";break;case 110:v+=`
+`;break;case 114:v+="\r";break;case 116:v+=" ";break;case 117:const A=d(4,!0);A>=0?v+=String.fromCharCode(A):c=4;break;default:c=5}y=r;continue}if(b>=0&&b<=31)if(Qt(b)){v+=e.substring(y,r),c=2;break}else c=6;r++}return v}function x(){if(i="",c=0,s=r,o=l,h=u,r>=n)return s=n,a=17;let v=e.charCodeAt(r);if(Vr(v)){do r++,i+=String.fromCharCode(v),v=e.charCodeAt(r);while(Vr(v));return a=15}if(Qt(v))return r++,i+=String.fromCharCode(v),v===13&&e.charCodeAt(r)===10&&(r++,i+=`
+`),l++,u=r,a=14;switch(v){case 123:return r++,a=1;case 125:return r++,a=2;case 91:return r++,a=3;case 93:return r++,a=4;case 58:return r++,a=6;case 44:return r++,a=5;case 34:return r++,i=p(),a=10;case 47:const y=r-1;if(e.charCodeAt(r+1)===47){for(r+=2;r<n&&!Qt(e.charCodeAt(r));)r++;return i=e.substring(y,r),a=12}if(e.charCodeAt(r+1)===42){r+=2;const b=n-1;let _=!1;for(;r<b;){const A=e.charCodeAt(r);if(A===42&&e.charCodeAt(r+1)===47){r+=2,_=!0;break}r++,Qt(A)&&(A===13&&e.charCodeAt(r)===10&&r++,l++,u=r)}return _||(r++,c=1),i=e.substring(y,r),a=13}return i+=String.fromCharCode(v),r++,a=16;case 45:if(i+=String.fromCharCode(v),r++,r===n||!St(e.charCodeAt(r)))return a=16;case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:return i+=g(),a=11;default:for(;r<n&&w(v);)r++,v=e.charCodeAt(r);if(s!==r){switch(i=e.substring(s,r),i){case"true":return a=8;case"false":return a=9;case"null":return a=7}return a=16}return i+=String.fromCharCode(v),r++,a=16}}function w(v){if(Vr(v)||Qt(v))return!1;switch(v){case 125:case 93:case 123:case 91:case 34:case 58:case 44:case 47:return!1}return!0}function L(){let v;do v=x();while(v>=12&&v<=15);return v}return{setPosition:m,getPosition:()=>r,scan:t?L:x,getToken:()=>a,getTokenValue:()=>i,getTokenOffset:()=>s,getTokenLength:()=>r-s,getTokenStartLine:()=>o,getTokenStartCharacter:()=>s-h,getTokenError:()=>c}}function Vr(e){return e===32||e===9}function Qt(e){return e===10||e===13}function St(e){return e>=48&&e<=57}var Na;(function(e){e[e.lineFeed=10]="lineFeed",e[e.carriageReturn=13]="carriageReturn",e[e.space=32]="space",e[e._0=48]="_0",e[e._1=49]="_1",e[e._2=50]="_2",e[e._3=51]="_3",e[e._4=52]="_4",e[e._5=53]="_5",e[e._6=54]="_6",e[e._7=55]="_7",e[e._8=56]="_8",e[e._9=57]="_9",e[e.a=97]="a",e[e.b=98]="b",e[e.c=99]="c",e[e.d=100]="d",e[e.e=101]="e",e[e.f=102]="f",e[e.g=103]="g",e[e.h=104]="h",e[e.i=105]="i",e[e.j=106]="j",e[e.k=107]="k",e[e.l=108]="l",e[e.m=109]="m",e[e.n=110]="n",e[e.o=111]="o",e[e.p=112]="p",e[e.q=113]="q",e[e.r=114]="r",e[e.s=115]="s",e[e.t=116]="t",e[e.u=117]="u",e[e.v=118]="v",e[e.w=119]="w",e[e.x=120]="x",e[e.y=121]="y",e[e.z=122]="z",e[e.A=65]="A",e[e.B=66]="B",e[e.C=67]="C",e[e.D=68]="D",e[e.E=69]="E",e[e.F=70]="F",e[e.G=71]="G",e[e.H=72]="H",e[e.I=73]="I",e[e.J=74]="J",e[e.K=75]="K",e[e.L=76]="L",e[e.M=77]="M",e[e.N=78]="N",e[e.O=79]="O",e[e.P=80]="P",e[e.Q=81]="Q",e[e.R=82]="R",e[e.S=83]="S",e[e.T=84]="T",e[e.U=85]="U",e[e.V=86]="V",e[e.W=87]="W",e[e.X=88]="X",e[e.Y=89]="Y",e[e.Z=90]="Z",e[e.asterisk=42]="asterisk",e[e.backslash=92]="backslash",e[e.closeBrace=125]="closeBrace",e[e.closeBracket=93]="closeBracket",e[e.colon=58]="colon",e[e.comma=44]="comma",e[e.dot=46]="dot",e[e.doubleQuote=34]="doubleQuote",e[e.minus=45]="minus",e[e.openBrace=123]="openBrace",e[e.openBracket=91]="openBracket",e[e.plus=43]="plus",e[e.slash=47]="slash",e[e.formFeed=12]="formFeed",e[e.tab=9]="tab"})(Na||(Na={}));var Se=new Array(20).fill(0).map((e,t)=>" ".repeat(t)),At=200,Sa={" ":{"\n":new Array(At).fill(0).map((e,t)=>`
+`+" ".repeat(t)),"\r":new Array(At).fill(0).map((e,t)=>"\r"+" ".repeat(t)),"\r\n":new Array(At).fill(0).map((e,t)=>`\r
+`+" ".repeat(t))}," ":{"\n":new Array(At).fill(0).map((e,t)=>`
+`+" ".repeat(t)),"\r":new Array(At).fill(0).map((e,t)=>"\r"+" ".repeat(t)),"\r\n":new Array(At).fill(0).map((e,t)=>`\r
+`+" ".repeat(t))}},Vc=[`
+`,"\r",`\r
+`];function Dc(e,t,n){let r,i,s,a,l;if(t){for(a=t.offset,l=a+t.length,s=a;s>0&&!Aa(e,s-1);)s--;let b=l;for(;b<e.length&&!Aa(e,b);)b++;i=e.substring(s,b),r=Oc(i,n)}else i=e,r=0,s=0,a=0,l=e.length;const o=$c(n,e),u=Vc.includes(o);let h=0,c=0,d;n.insertSpaces?d=Se[n.tabSize||4]??kt(Se[1],n.tabSize||4):d=" ";const m=d===" "?" ":" ";let g=Fr(i,!1),p=!1;function x(){if(h>1)return kt(o,h)+kt(d,r+c);const b=d.length*(r+c);return!u||b>Sa[m][o].length?o+kt(d,r+c):b<=0?o:Sa[m][o][b]}function w(){let b=g.scan();for(h=0;b===15||b===14;)b===14&&n.keepLines?h+=1:b===14&&(h=1),b=g.scan();return p=b===16||g.getTokenError()!==0,b}const L=[];function v(b,_,A){!p&&(!t||_<l&&A>a)&&e.substring(_,A)!==b&&L.push({offset:_,length:A-_,content:b})}let y=w();if(n.keepLines&&h>0&&v(kt(o,h),0,0),y!==17){let b=g.getTokenOffset()+s,_=d.length*r<20&&n.insertSpaces?Se[d.length*r]:kt(d,r);v(_,s,b)}for(;y!==17;){let b=g.getTokenOffset()+g.getTokenLength()+s,_=w(),A="",C=!1;for(;h===0&&(_===12||_===13);){let O=g.getTokenOffset()+s;v(Se[1],b,O),b=g.getTokenOffset()+g.getTokenLength()+s,C=_===12,A=C?x():"",_=w()}if(_===2)y!==1&&c--,n.keepLines&&h>0||!n.keepLines&&y!==1?A=x():n.keepLines&&(A=Se[1]);else if(_===4)y!==3&&c--,n.keepLines&&h>0||!n.keepLines&&y!==3?A=x():n.keepLines&&(A=Se[1]);else{switch(y){case 3:case 1:c++,n.keepLines&&h>0||!n.keepLines?A=x():A=Se[1];break;case 5:n.keepLines&&h>0||!n.keepLines?A=x():A=Se[1];break;case 12:A=x();break;case 13:h>0?A=x():C||(A=Se[1]);break;case 6:n.keepLines&&h>0?A=x():C||(A=Se[1]);break;case 10:n.keepLines&&h>0?A=x():_===6&&!C&&(A="");break;case 7:case 8:case 9:case 11:case 2:case 4:n.keepLines&&h>0?A=x():(_===12||_===13)&&!C?A=Se[1]:_!==5&&_!==17&&(p=!0);break;case 16:p=!0;break}h>0&&(_===12||_===13)&&(A=x())}_===17&&(n.keepLines&&h>0?A=x():A=n.insertFinalNewline?o:"");const F=g.getTokenOffset()+s;v(A,b,F),y=_}return L}function kt(e,t){let n="";for(let r=0;r<t;r++)n+=e;return n}function Oc(e,t){let n=0,r=0;const i=t.tabSize||4;for(;n<e.length;){let s=e.charAt(n);if(s===Se[1])r++;else if(s===" ")r+=i;else break;n++}return Math.floor(r/i)}function $c(e,t){for(let n=0;n<t.length;n++){const r=t.charAt(n);if(r==="\r")return n+1<t.length&&t.charAt(n+1)===`
+`?`\r
+`:"\r";if(r===`
+`)return`
+`}return e&&e.eol||`
+`}function Aa(e,t){return`\r
+`.indexOf(e.charAt(t))!==-1}var En;(function(e){e.DEFAULT={allowTrailingComma:!1}})(En||(En={}));function Uc(e,t=[],n=En.DEFAULT){let r=null,i=[];const s=[];function a(o){Array.isArray(i)?i.push(o):r!==null&&(i[r]=o)}return qc(e,{onObjectBegin:()=>{const o={};a(o),s.push(i),i=o,r=null},onObjectProperty:o=>{r=o},onObjectEnd:()=>{i=s.pop()},onArrayBegin:()=>{const o=[];a(o),s.push(i),i=o,r=null},onArrayEnd:()=>{i=s.pop()},onLiteralValue:a,onError:(o,u,h)=>{t.push({error:o,offset:u,length:h})}},n),i[0]}function ka(e){if(!e.parent||!e.parent.children)return[];const t=ka(e.parent);if(e.parent.type==="property"){const n=e.parent.children[0].value;t.push(n)}else if(e.parent.type==="array"){const n=e.parent.children.indexOf(e);n!==-1&&t.push(n)}return t}function Dr(e){switch(e.type){case"array":return e.children.map(Dr);case"object":const t=Object.create(null);for(let n of e.children){const r=n.children[1];r&&(t[n.children[0].value]=Dr(r))}return t;case"null":case"string":case"number":case"boolean":return e.value;default:return}}function Bc(e,t,n=!1){return t>=e.offset&&t<e.offset+e.length||n&&t===e.offset+e.length}function Ra(e,t,n=!1){if(Bc(e,t,n)){const r=e.children;if(Array.isArray(r))for(let i=0;i<r.length&&r[i].offset<=t;i++){const s=Ra(r[i],t,n);if(s)return s}return e}}function qc(e,t,n=En.DEFAULT){const r=Fr(e,!1),i=[];function s(N){return N?()=>N(r.getTokenOffset(),r.getTokenLength(),r.getTokenStartLine(),r.getTokenStartCharacter()):()=>!0}function a(N){return N?()=>N(r.getTokenOffset(),r.getTokenLength(),r.getTokenStartLine(),r.getTokenStartCharacter(),()=>i.slice()):()=>!0}function l(N){return N?k=>N(k,r.getTokenOffset(),r.getTokenLength(),r.getTokenStartLine(),r.getTokenStartCharacter()):()=>!0}function o(N){return N?k=>N(k,r.getTokenOffset(),r.getTokenLength(),r.getTokenStartLine(),r.getTokenStartCharacter(),()=>i.slice()):()=>!0}const u=a(t.onObjectBegin),h=o(t.onObjectProperty),c=s(t.onObjectEnd),d=a(t.onArrayBegin),m=s(t.onArrayEnd),g=o(t.onLiteralValue),p=l(t.onSeparator),x=s(t.onComment),w=l(t.onError),L=n&&n.disallowComments,v=n&&n.allowTrailingComma;function y(){for(;;){const N=r.scan();switch(r.getTokenError()){case 4:b(14);break;case 5:b(15);break;case 3:b(13);break;case 1:L||b(11);break;case 2:b(12);break;case 6:b(16);break}switch(N){case 12:case 13:L?b(10):x();break;case 16:b(1);break;case 15:case 14:break;default:return N}}}function b(N,k=[],R=[]){if(w(N),k.length+R.length>0){let I=r.getToken();for(;I!==17;){if(k.indexOf(I)!==-1){y();break}else if(R.indexOf(I)!==-1)break;I=y()}}}function _(N){const k=r.getTokenValue();return N?g(k):(h(k),i.push(k)),y(),!0}function A(){switch(r.getToken()){case 11:const N=r.getTokenValue();let k=Number(N);isNaN(k)&&(b(2),k=0),g(k);break;case 7:g(null);break;case 8:g(!0);break;case 9:g(!1);break;default:return!1}return y(),!0}function C(){return r.getToken()!==10?(b(3,[],[2,5]),!1):(_(!1),r.getToken()===6?(p(":"),y(),M()||b(4,[],[2,5])):b(5,[],[2,5]),i.pop(),!0)}function F(){u(),y();let N=!1;for(;r.getToken()!==2&&r.getToken()!==17;){if(r.getToken()===5){if(N||b(4,[],[]),p(","),y(),r.getToken()===2&&v)break}else N&&b(6,[],[]);C()||b(4,[],[2,5]),N=!0}return c(),r.getToken()!==2?b(7,[2],[]):y(),!0}function O(){d(),y();let N=!0,k=!1;for(;r.getToken()!==4&&r.getToken()!==17;){if(r.getToken()===5){if(k||b(4,[],[]),p(","),y(),r.getToken()===4&&v)break}else k&&b(6,[],[]);N?(i.push(0),N=!1):i[i.length-1]++,M()||b(4,[],[4,5]),k=!0}return m(),N||i.pop(),r.getToken()!==4?b(8,[4],[]):y(),!0}function M(){switch(r.getToken()){case 3:return O();case 1:return F();case 10:return _(!0);default:return A()}}return y(),r.getToken()===17?n.allowEmptyContent?!0:(b(4,[],[]),!1):M()?(r.getToken()!==17&&b(9,[],[]),!0):(b(4,[],[]),!1)}var at=Fr,Ea;(function(e){e[e.None=0]="None",e[e.UnexpectedEndOfComment=1]="UnexpectedEndOfComment",e[e.UnexpectedEndOfString=2]="UnexpectedEndOfString",e[e.UnexpectedEndOfNumber=3]="UnexpectedEndOfNumber",e[e.InvalidUnicode=4]="InvalidUnicode",e[e.InvalidEscapeCharacter=5]="InvalidEscapeCharacter",e[e.InvalidCharacter=6]="InvalidCharacter"})(Ea||(Ea={}));var Ma;(function(e){e[e.OpenBraceToken=1]="OpenBraceToken",e[e.CloseBraceToken=2]="CloseBraceToken",e[e.OpenBracketToken=3]="OpenBracketToken",e[e.CloseBracketToken=4]="CloseBracketToken",e[e.CommaToken=5]="CommaToken",e[e.ColonToken=6]="ColonToken",e[e.NullKeyword=7]="NullKeyword",e[e.TrueKeyword=8]="TrueKeyword",e[e.FalseKeyword=9]="FalseKeyword",e[e.StringLiteral=10]="StringLiteral",e[e.NumericLiteral=11]="NumericLiteral",e[e.LineCommentTrivia=12]="LineCommentTrivia",e[e.BlockCommentTrivia=13]="BlockCommentTrivia",e[e.LineBreakTrivia=14]="LineBreakTrivia",e[e.Trivia=15]="Trivia",e[e.Unknown=16]="Unknown",e[e.EOF=17]="EOF"})(Ma||(Ma={}));var jc=Uc,Wc=Ra,Hc=ka,zc=Dr,Ca;(function(e){e[e.InvalidSymbol=1]="InvalidSymbol",e[e.InvalidNumberFormat=2]="InvalidNumberFormat",e[e.PropertyNameExpected=3]="PropertyNameExpected",e[e.ValueExpected=4]="ValueExpected",e[e.ColonExpected=5]="ColonExpected",e[e.CommaExpected=6]="CommaExpected",e[e.CloseBraceExpected=7]="CloseBraceExpected",e[e.CloseBracketExpected=8]="CloseBracketExpected",e[e.EndOfFileExpected=9]="EndOfFileExpected",e[e.InvalidCommentToken=10]="InvalidCommentToken",e[e.UnexpectedEndOfComment=11]="UnexpectedEndOfComment",e[e.UnexpectedEndOfString=12]="UnexpectedEndOfString",e[e.UnexpectedEndOfNumber=13]="UnexpectedEndOfNumber",e[e.InvalidUnicode=14]="InvalidUnicode",e[e.InvalidEscapeCharacter=15]="InvalidEscapeCharacter",e[e.InvalidCharacter=16]="InvalidCharacter"})(Ca||(Ca={}));function Gc(e,t,n){return Dc(e,t,n)}function Rt(e,t){if(e===t)return!0;if(e==null||t===null||t===void 0||typeof e!=typeof t||typeof e!="object"||Array.isArray(e)!==Array.isArray(t))return!1;let n,r;if(Array.isArray(e)){if(e.length!==t.length)return!1;for(n=0;n<e.length;n++)if(!Rt(e[n],t[n]))return!1}else{const i=[];for(r in e)i.push(r);i.sort();const s=[];for(r in t)s.push(r);if(s.sort(),!Rt(i,s))return!1;for(n=0;n<i.length;n++)if(!Rt(e[i[n]],t[i[n]]))return!1}return!0}function he(e){return typeof e=="number"}function Ee(e){return typeof e<"u"}function Ve(e){return typeof e=="boolean"}function Ta(e){return typeof e=="string"}function et(e){return typeof e=="object"&&e!==null&&!Array.isArray(e)}function Jc(e,t){if(e.length<t.length)return!1;for(let n=0;n<t.length;n++)if(e[n]!==t[n])return!1;return!0}function Yt(e,t){const n=e.length-t.length;return n>0?e.lastIndexOf(t)===n:n===0?e===t:!1}function Mn(e){let t="";Jc(e,"(?i)")&&(e=e.substring(4),t="i");try{return new RegExp(e,t+"u")}catch{try{return new RegExp(e,t)}catch{return}}}function Pa(e){let t=0;for(let n=0;n<e.length;n++){t++;const r=e.charCodeAt(n);55296<=r&&r<=56319&&n++}return t}var Ia;(function(e){function t(n){return typeof n=="string"}e.is=t})(Ia||(Ia={}));var Or;(function(e){function t(n){return typeof n=="string"}e.is=t})(Or||(Or={}));var Fa;(function(e){e.MIN_VALUE=-2147483648,e.MAX_VALUE=2147483647;function t(n){return typeof n=="number"&&e.MIN_VALUE<=n&&n<=e.MAX_VALUE}e.is=t})(Fa||(Fa={}));var Cn;(function(e){e.MIN_VALUE=0,e.MAX_VALUE=2147483647;function t(n){return typeof n=="number"&&e.MIN_VALUE<=n&&n<=e.MAX_VALUE}e.is=t})(Cn||(Cn={}));var Z;(function(e){function t(r,i){return r===Number.MAX_VALUE&&(r=Cn.MAX_VALUE),i===Number.MAX_VALUE&&(i=Cn.MAX_VALUE),{line:r,character:i}}e.create=t;function n(r){let i=r;return S.objectLiteral(i)&&S.uinteger(i.line)&&S.uinteger(i.character)}e.is=n})(Z||(Z={}));var q;(function(e){function t(r,i,s,a){if(S.uinteger(r)&&S.uinteger(i)&&S.uinteger(s)&&S.uinteger(a))return{start:Z.create(r,i),end:Z.create(s,a)};if(Z.is(r)&&Z.is(i))return{start:r,end:i};throw new Error(`Range#create called with invalid arguments[${r}, ${i}, ${s}, ${a}]`)}e.create=t;function n(r){let i=r;return S.objectLiteral(i)&&Z.is(i.start)&&Z.is(i.end)}e.is=n})(q||(q={}));var Et;(function(e){function t(r,i){return{uri:r,range:i}}e.create=t;function n(r){let i=r;return S.objectLiteral(i)&&q.is(i.range)&&(S.string(i.uri)||S.undefined(i.uri))}e.is=n})(Et||(Et={}));var Va;(function(e){function t(r,i,s,a){return{targetUri:r,targetRange:i,targetSelectionRange:s,originSelectionRange:a}}e.create=t;function n(r){let i=r;return S.objectLiteral(i)&&q.is(i.targetRange)&&S.string(i.targetUri)&&q.is(i.targetSelectionRange)&&(q.is(i.originSelectionRange)||S.undefined(i.originSelectionRange))}e.is=n})(Va||(Va={}));var $r;(function(e){function t(r,i,s,a){return{red:r,green:i,blue:s,alpha:a}}e.create=t;function n(r){const i=r;return S.objectLiteral(i)&&S.numberRange(i.red,0,1)&&S.numberRange(i.green,0,1)&&S.numberRange(i.blue,0,1)&&S.numberRange(i.alpha,0,1)}e.is=n})($r||($r={}));var Da;(function(e){function t(r,i){return{range:r,color:i}}e.create=t;function n(r){const i=r;return S.objectLiteral(i)&&q.is(i.range)&&$r.is(i.color)}e.is=n})(Da||(Da={}));var Oa;(function(e){function t(r,i,s){return{label:r,textEdit:i,additionalTextEdits:s}}e.create=t;function n(r){const i=r;return S.objectLiteral(i)&&S.string(i.label)&&(S.undefined(i.textEdit)||De.is(i))&&(S.undefined(i.additionalTextEdits)||S.typedArray(i.additionalTextEdits,De.is))}e.is=n})(Oa||(Oa={}));var Zt;(function(e){e.Comment="comment",e.Imports="imports",e.Region="region"})(Zt||(Zt={}));var $a;(function(e){function t(r,i,s,a,l,o){const u={startLine:r,endLine:i};return S.defined(s)&&(u.startCharacter=s),S.defined(a)&&(u.endCharacter=a),S.defined(l)&&(u.kind=l),S.defined(o)&&(u.collapsedText=o),u}e.create=t;function n(r){const i=r;return S.objectLiteral(i)&&S.uinteger(i.startLine)&&S.uinteger(i.startLine)&&(S.undefined(i.startCharacter)||S.uinteger(i.startCharacter))&&(S.undefined(i.endCharacter)||S.uinteger(i.endCharacter))&&(S.undefined(i.kind)||S.string(i.kind))}e.is=n})($a||($a={}));var Ur;(function(e){function t(r,i){return{location:r,message:i}}e.create=t;function n(r){let i=r;return S.defined(i)&&Et.is(i.location)&&S.string(i.message)}e.is=n})(Ur||(Ur={}));var xe;(function(e){e.Error=1,e.Warning=2,e.Information=3,e.Hint=4})(xe||(xe={}));var Ua;(function(e){e.Unnecessary=1,e.Deprecated=2})(Ua||(Ua={}));var Ba;(function(e){function t(n){const r=n;return S.objectLiteral(r)&&S.string(r.href)}e.is=t})(Ba||(Ba={}));var ze;(function(e){function t(r,i,s,a,l,o){let u={range:r,message:i};return S.defined(s)&&(u.severity=s),S.defined(a)&&(u.code=a),S.defined(l)&&(u.source=l),S.defined(o)&&(u.relatedInformation=o),u}e.create=t;function n(r){var i;let s=r;return S.defined(s)&&q.is(s.range)&&S.string(s.message)&&(S.number(s.severity)||S.undefined(s.severity))&&(S.integer(s.code)||S.string(s.code)||S.undefined(s.code))&&(S.undefined(s.codeDescription)||S.string((i=s.codeDescription)===null||i===void 0?void 0:i.href))&&(S.string(s.source)||S.undefined(s.source))&&(S.undefined(s.relatedInformation)||S.typedArray(s.relatedInformation,Ur.is))}e.is=n})(ze||(ze={}));var Mt;(function(e){function t(r,i,...s){let a={title:r,command:i};return S.defined(s)&&s.length>0&&(a.arguments=s),a}e.create=t;function n(r){let i=r;return S.defined(i)&&S.string(i.title)&&S.string(i.command)}e.is=n})(Mt||(Mt={}));var De;(function(e){function t(s,a){return{range:s,newText:a}}e.replace=t;function n(s,a){return{range:{start:s,end:s},newText:a}}e.insert=n;function r(s){return{range:s,newText:""}}e.del=r;function i(s){const a=s;return S.objectLiteral(a)&&S.string(a.newText)&&q.is(a.range)}e.is=i})(De||(De={}));var Br;(function(e){function t(r,i,s){const a={label:r};return i!==void 0&&(a.needsConfirmation=i),s!==void 0&&(a.description=s),a}e.create=t;function n(r){const i=r;return S.objectLiteral(i)&&S.string(i.label)&&(S.boolean(i.needsConfirmation)||i.needsConfirmation===void 0)&&(S.string(i.description)||i.description===void 0)}e.is=n})(Br||(Br={}));var Ct;(function(e){function t(n){const r=n;return S.string(r)}e.is=t})(Ct||(Ct={}));var qa;(function(e){function t(s,a,l){return{range:s,newText:a,annotationId:l}}e.replace=t;function n(s,a,l){return{range:{start:s,end:s},newText:a,annotationId:l}}e.insert=n;function r(s,a){return{range:s,newText:"",annotationId:a}}e.del=r;function i(s){const a=s;return De.is(a)&&(Br.is(a.annotationId)||Ct.is(a.annotationId))}e.is=i})(qa||(qa={}));var qr;(function(e){function t(r,i){return{textDocument:r,edits:i}}e.create=t;function n(r){let i=r;return S.defined(i)&&Gr.is(i.textDocument)&&Array.isArray(i.edits)}e.is=n})(qr||(qr={}));var jr;(function(e){function t(r,i,s){let a={kind:"create",uri:r};return i!==void 0&&(i.overwrite!==void 0||i.ignoreIfExists!==void 0)&&(a.options=i),s!==void 0&&(a.annotationId=s),a}e.create=t;function n(r){let i=r;return i&&i.kind==="create"&&S.string(i.uri)&&(i.options===void 0||(i.options.overwrite===void 0||S.boolean(i.options.overwrite))&&(i.options.ignoreIfExists===void 0||S.boolean(i.options.ignoreIfExists)))&&(i.annotationId===void 0||Ct.is(i.annotationId))}e.is=n})(jr||(jr={}));var Wr;(function(e){function t(r,i,s,a){let l={kind:"rename",oldUri:r,newUri:i};return s!==void 0&&(s.overwrite!==void 0||s.ignoreIfExists!==void 0)&&(l.options=s),a!==void 0&&(l.annotationId=a),l}e.create=t;function n(r){let i=r;return i&&i.kind==="rename"&&S.string(i.oldUri)&&S.string(i.newUri)&&(i.options===void 0||(i.options.overwrite===void 0||S.boolean(i.options.overwrite))&&(i.options.ignoreIfExists===void 0||S.boolean(i.options.ignoreIfExists)))&&(i.annotationId===void 0||Ct.is(i.annotationId))}e.is=n})(Wr||(Wr={}));var Hr;(function(e){function t(r,i,s){let a={kind:"delete",uri:r};return i!==void 0&&(i.recursive!==void 0||i.ignoreIfNotExists!==void 0)&&(a.options=i),s!==void 0&&(a.annotationId=s),a}e.create=t;function n(r){let i=r;return i&&i.kind==="delete"&&S.string(i.uri)&&(i.options===void 0||(i.options.recursive===void 0||S.boolean(i.options.recursive))&&(i.options.ignoreIfNotExists===void 0||S.boolean(i.options.ignoreIfNotExists)))&&(i.annotationId===void 0||Ct.is(i.annotationId))}e.is=n})(Hr||(Hr={}));var zr;(function(e){function t(n){let r=n;return r&&(r.changes!==void 0||r.documentChanges!==void 0)&&(r.documentChanges===void 0||r.documentChanges.every(i=>S.string(i.kind)?jr.is(i)||Wr.is(i)||Hr.is(i):qr.is(i)))}e.is=t})(zr||(zr={}));var ja;(function(e){function t(r){return{uri:r}}e.create=t;function n(r){let i=r;return S.defined(i)&&S.string(i.uri)}e.is=n})(ja||(ja={}));var Wa;(function(e){function t(r,i){return{uri:r,version:i}}e.create=t;function n(r){let i=r;return S.defined(i)&&S.string(i.uri)&&S.integer(i.version)}e.is=n})(Wa||(Wa={}));var Gr;(function(e){function t(r,i){return{uri:r,version:i}}e.create=t;function n(r){let i=r;return S.defined(i)&&S.string(i.uri)&&(i.version===null||S.integer(i.version))}e.is=n})(Gr||(Gr={}));var Ha;(function(e){function t(r,i,s,a){return{uri:r,languageId:i,version:s,text:a}}e.create=t;function n(r){let i=r;return S.defined(i)&&S.string(i.uri)&&S.string(i.languageId)&&S.integer(i.version)&&S.string(i.text)}e.is=n})(Ha||(Ha={}));var ot;(function(e){e.PlainText="plaintext",e.Markdown="markdown";function t(n){const r=n;return r===e.PlainText||r===e.Markdown}e.is=t})(ot||(ot={}));var Kt;(function(e){function t(n){const r=n;return S.objectLiteral(n)&&ot.is(r.kind)&&S.string(r.value)}e.is=t})(Kt||(Kt={}));var ye;(function(e){e.Text=1,e.Method=2,e.Function=3,e.Constructor=4,e.Field=5,e.Variable=6,e.Class=7,e.Interface=8,e.Module=9,e.Property=10,e.Unit=11,e.Value=12,e.Enum=13,e.Keyword=14,e.Snippet=15,e.Color=16,e.File=17,e.Reference=18,e.Folder=19,e.EnumMember=20,e.Constant=21,e.Struct=22,e.Event=23,e.Operator=24,e.TypeParameter=25})(ye||(ye={}));var ie;(function(e){e.PlainText=1,e.Snippet=2})(ie||(ie={}));var za;(function(e){e.Deprecated=1})(za||(za={}));var Ga;(function(e){function t(r,i,s){return{newText:r,insert:i,replace:s}}e.create=t;function n(r){const i=r;return i&&S.string(i.newText)&&q.is(i.insert)&&q.is(i.replace)}e.is=n})(Ga||(Ga={}));var Ja;(function(e){e.asIs=1,e.adjustIndentation=2})(Ja||(Ja={}));var Xa;(function(e){function t(n){const r=n;return r&&(S.string(r.detail)||r.detail===void 0)&&(S.string(r.description)||r.description===void 0)}e.is=t})(Xa||(Xa={}));var Jr;(function(e){function t(n){return{label:n}}e.create=t})(Jr||(Jr={}));var Qa;(function(e){function t(n,r){return{items:n||[],isIncomplete:!!r}}e.create=t})(Qa||(Qa={}));var Tn;(function(e){function t(r){return r.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}e.fromPlainText=t;function n(r){const i=r;return S.string(i)||S.objectLiteral(i)&&S.string(i.language)&&S.string(i.value)}e.is=n})(Tn||(Tn={}));var Ya;(function(e){function t(n){let r=n;return!!r&&S.objectLiteral(r)&&(Kt.is(r.contents)||Tn.is(r.contents)||S.typedArray(r.contents,Tn.is))&&(n.range===void 0||q.is(n.range))}e.is=t})(Ya||(Ya={}));var Za;(function(e){function t(n,r){return r?{label:n,documentation:r}:{label:n}}e.create=t})(Za||(Za={}));var Ka;(function(e){function t(n,r,...i){let s={label:n};return S.defined(r)&&(s.documentation=r),S.defined(i)?s.parameters=i:s.parameters=[],s}e.create=t})(Ka||(Ka={}));var eo;(function(e){e.Text=1,e.Read=2,e.Write=3})(eo||(eo={}));var to;(function(e){function t(n,r){let i={range:n};return S.number(r)&&(i.kind=r),i}e.create=t})(to||(to={}));var Oe;(function(e){e.File=1,e.Module=2,e.Namespace=3,e.Package=4,e.Class=5,e.Method=6,e.Property=7,e.Field=8,e.Constructor=9,e.Enum=10,e.Interface=11,e.Function=12,e.Variable=13,e.Constant=14,e.String=15,e.Number=16,e.Boolean=17,e.Array=18,e.Object=19,e.Key=20,e.Null=21,e.EnumMember=22,e.Struct=23,e.Event=24,e.Operator=25,e.TypeParameter=26})(Oe||(Oe={}));var no;(function(e){e.Deprecated=1})(no||(no={}));var ro;(function(e){function t(n,r,i,s,a){let l={name:n,kind:r,location:{uri:s,range:i}};return a&&(l.containerName=a),l}e.create=t})(ro||(ro={}));var io;(function(e){function t(n,r,i,s){return s!==void 0?{name:n,kind:r,location:{uri:i,range:s}}:{name:n,kind:r,location:{uri:i}}}e.create=t})(io||(io={}));var so;(function(e){function t(r,i,s,a,l,o){let u={name:r,detail:i,kind:s,range:a,selectionRange:l};return o!==void 0&&(u.children=o),u}e.create=t;function n(r){let i=r;return i&&S.string(i.name)&&S.number(i.kind)&&q.is(i.range)&&q.is(i.selectionRange)&&(i.detail===void 0||S.string(i.detail))&&(i.deprecated===void 0||S.boolean(i.deprecated))&&(i.children===void 0||Array.isArray(i.children))&&(i.tags===void 0||Array.isArray(i.tags))}e.is=n})(so||(so={}));var ao;(function(e){e.Empty="",e.QuickFix="quickfix",e.Refactor="refactor",e.RefactorExtract="refactor.extract",e.RefactorInline="refactor.inline",e.RefactorRewrite="refactor.rewrite",e.Source="source",e.SourceOrganizeImports="source.organizeImports",e.SourceFixAll="source.fixAll"})(ao||(ao={}));var Pn;(function(e){e.Invoked=1,e.Automatic=2})(Pn||(Pn={}));var oo;(function(e){function t(r,i,s){let a={diagnostics:r};return i!=null&&(a.only=i),s!=null&&(a.triggerKind=s),a}e.create=t;function n(r){let i=r;return S.defined(i)&&S.typedArray(i.diagnostics,ze.is)&&(i.only===void 0||S.typedArray(i.only,S.string))&&(i.triggerKind===void 0||i.triggerKind===Pn.Invoked||i.triggerKind===Pn.Automatic)}e.is=n})(oo||(oo={}));var lo;(function(e){function t(r,i,s){let a={title:r},l=!0;return typeof i=="string"?(l=!1,a.kind=i):Mt.is(i)?a.command=i:a.edit=i,l&&s!==void 0&&(a.kind=s),a}e.create=t;function n(r){let i=r;return i&&S.string(i.title)&&(i.diagnostics===void 0||S.typedArray(i.diagnostics,ze.is))&&(i.kind===void 0||S.string(i.kind))&&(i.edit!==void 0||i.command!==void 0)&&(i.command===void 0||Mt.is(i.command))&&(i.isPreferred===void 0||S.boolean(i.isPreferred))&&(i.edit===void 0||zr.is(i.edit))}e.is=n})(lo||(lo={}));var uo;(function(e){function t(r,i){let s={range:r};return S.defined(i)&&(s.data=i),s}e.create=t;function n(r){let i=r;return S.defined(i)&&q.is(i.range)&&(S.undefined(i.command)||Mt.is(i.command))}e.is=n})(uo||(uo={}));var co;(function(e){function t(r,i){return{tabSize:r,insertSpaces:i}}e.create=t;function n(r){let i=r;return S.defined(i)&&S.uinteger(i.tabSize)&&S.boolean(i.insertSpaces)}e.is=n})(co||(co={}));var fo;(function(e){function t(r,i,s){return{range:r,target:i,data:s}}e.create=t;function n(r){let i=r;return S.defined(i)&&q.is(i.range)&&(S.undefined(i.target)||S.string(i.target))}e.is=n})(fo||(fo={}));var In;(function(e){function t(r,i){return{range:r,parent:i}}e.create=t;function n(r){let i=r;return S.objectLiteral(i)&&q.is(i.range)&&(i.parent===void 0||e.is(i.parent))}e.is=n})(In||(In={}));var ho;(function(e){e.namespace="namespace",e.type="type",e.class="class",e.enum="enum",e.interface="interface",e.struct="struct",e.typeParameter="typeParameter",e.parameter="parameter",e.variable="variable",e.property="property",e.enumMember="enumMember",e.event="event",e.function="function",e.method="method",e.macro="macro",e.keyword="keyword",e.modifier="modifier",e.comment="comment",e.string="string",e.number="number",e.regexp="regexp",e.operator="operator",e.decorator="decorator"})(ho||(ho={}));var mo;(function(e){e.declaration="declaration",e.definition="definition",e.readonly="readonly",e.static="static",e.deprecated="deprecated",e.abstract="abstract",e.async="async",e.modification="modification",e.documentation="documentation",e.defaultLibrary="defaultLibrary"})(mo||(mo={}));var go;(function(e){function t(n){const r=n;return S.objectLiteral(r)&&(r.resultId===void 0||typeof r.resultId=="string")&&Array.isArray(r.data)&&(r.data.length===0||typeof r.data[0]=="number")}e.is=t})(go||(go={}));var po;(function(e){function t(r,i){return{range:r,text:i}}e.create=t;function n(r){const i=r;return i!=null&&q.is(i.range)&&S.string(i.text)}e.is=n})(po||(po={}));var bo;(function(e){function t(r,i,s){return{range:r,variableName:i,caseSensitiveLookup:s}}e.create=t;function n(r){const i=r;return i!=null&&q.is(i.range)&&S.boolean(i.caseSensitiveLookup)&&(S.string(i.variableName)||i.variableName===void 0)}e.is=n})(bo||(bo={}));var vo;(function(e){function t(r,i){return{range:r,expression:i}}e.create=t;function n(r){const i=r;return i!=null&&q.is(i.range)&&(S.string(i.expression)||i.expression===void 0)}e.is=n})(vo||(vo={}));var xo;(function(e){function t(r,i){return{frameId:r,stoppedLocation:i}}e.create=t;function n(r){const i=r;return S.defined(i)&&q.is(r.stoppedLocation)}e.is=n})(xo||(xo={}));var Xr;(function(e){e.Type=1,e.Parameter=2;function t(n){return n===1||n===2}e.is=t})(Xr||(Xr={}));var Qr;(function(e){function t(r){return{value:r}}e.create=t;function n(r){const i=r;return S.objectLiteral(i)&&(i.tooltip===void 0||S.string(i.tooltip)||Kt.is(i.tooltip))&&(i.location===void 0||Et.is(i.location))&&(i.command===void 0||Mt.is(i.command))}e.is=n})(Qr||(Qr={}));var yo;(function(e){function t(r,i,s){const a={position:r,label:i};return s!==void 0&&(a.kind=s),a}e.create=t;function n(r){const i=r;return S.objectLiteral(i)&&Z.is(i.position)&&(S.string(i.label)||S.typedArray(i.label,Qr.is))&&(i.kind===void 0||Xr.is(i.kind))&&i.textEdits===void 0||S.typedArray(i.textEdits,De.is)&&(i.tooltip===void 0||S.string(i.tooltip)||Kt.is(i.tooltip))&&(i.paddingLeft===void 0||S.boolean(i.paddingLeft))&&(i.paddingRight===void 0||S.boolean(i.paddingRight))}e.is=n})(yo||(yo={}));var wo;(function(e){function t(n){return{kind:"snippet",value:n}}e.createSnippet=t})(wo||(wo={}));var _o;(function(e){function t(n,r,i,s){return{insertText:n,filterText:r,range:i,command:s}}e.create=t})(_o||(_o={}));var Lo;(function(e){function t(n){return{items:n}}e.create=t})(Lo||(Lo={}));var No;(function(e){e.Invoked=0,e.Automatic=1})(No||(No={}));var So;(function(e){function t(n,r){return{range:n,text:r}}e.create=t})(So||(So={}));var Ao;(function(e){function t(n,r){return{triggerKind:n,selectedCompletionInfo:r}}e.create=t})(Ao||(Ao={}));var ko;(function(e){function t(n){const r=n;return S.objectLiteral(r)&&Or.is(r.uri)&&S.string(r.name)}e.is=t})(ko||(ko={}));var Ro;(function(e){function t(s,a,l,o){return new Xc(s,a,l,o)}e.create=t;function n(s){let a=s;return!!(S.defined(a)&&S.string(a.uri)&&(S.undefined(a.languageId)||S.string(a.languageId))&&S.uinteger(a.lineCount)&&S.func(a.getText)&&S.func(a.positionAt)&&S.func(a.offsetAt))}e.is=n;function r(s,a){let l=s.getText(),o=i(a,(h,c)=>{let d=h.range.start.line-c.range.start.line;return d===0?h.range.start.character-c.range.start.character:d}),u=l.length;for(let h=o.length-1;h>=0;h--){let c=o[h],d=s.offsetAt(c.range.start),m=s.offsetAt(c.range.end);if(m<=u)l=l.substring(0,d)+c.newText+l.substring(m,l.length);else throw new Error("Overlapping edit");u=d}return l}e.applyEdits=r;function i(s,a){if(s.length<=1)return s;const l=s.length/2|0,o=s.slice(0,l),u=s.slice(l);i(o,a),i(u,a);let h=0,c=0,d=0;for(;h<o.length&&c<u.length;)a(o[h],u[c])<=0?s[d++]=o[h++]:s[d++]=u[c++];for(;h<o.length;)s[d++]=o[h++];for(;c<u.length;)s[d++]=u[c++];return s}})(Ro||(Ro={}));var Xc=class{constructor(e,t,n,r){this._uri=e,this._languageId=t,this._version=n,this._content=r,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(e){if(e){let t=this.offsetAt(e.start),n=this.offsetAt(e.end);return this._content.substring(t,n)}return this._content}update(e,t){this._content=e.text,this._version=t,this._lineOffsets=void 0}getLineOffsets(){if(this._lineOffsets===void 0){let e=[],t=this._content,n=!0;for(let r=0;r<t.length;r++){n&&(e.push(r),n=!1);let i=t.charAt(r);n=i==="\r"||i===`
+`,i==="\r"&&r+1<t.length&&t.charAt(r+1)===`
+`&&r++}n&&t.length>0&&e.push(t.length),this._lineOffsets=e}return this._lineOffsets}positionAt(e){e=Math.max(Math.min(e,this._content.length),0);let t=this.getLineOffsets(),n=0,r=t.length;if(r===0)return Z.create(0,e);for(;n<r;){let s=Math.floor((n+r)/2);t[s]>e?r=s:n=s+1}let i=n-1;return Z.create(i,e-t[i])}offsetAt(e){let t=this.getLineOffsets();if(e.line>=t.length)return this._content.length;if(e.line<0)return 0;let n=t[e.line],r=e.line+1<t.length?t[e.line+1]:this._content.length;return Math.max(Math.min(n+e.character,r),n)}get lineCount(){return this.getLineOffsets().length}},S;(function(e){const t=Object.prototype.toString;function n(m){return typeof m<"u"}e.defined=n;function r(m){return typeof m>"u"}e.undefined=r;function i(m){return m===!0||m===!1}e.boolean=i;function s(m){return t.call(m)==="[object String]"}e.string=s;function a(m){return t.call(m)==="[object Number]"}e.number=a;function l(m,g,p){return t.call(m)==="[object Number]"&&g<=m&&m<=p}e.numberRange=l;function o(m){return t.call(m)==="[object Number]"&&-2147483648<=m&&m<=2147483647}e.integer=o;function u(m){return t.call(m)==="[object Number]"&&0<=m&&m<=2147483647}e.uinteger=u;function h(m){return t.call(m)==="[object Function]"}e.func=h;function c(m){return m!==null&&typeof m=="object"}e.objectLiteral=c;function d(m,g){return Array.isArray(m)&&m.every(g)}e.typedArray=d})(S||(S={}));var Eo=class li{constructor(t,n,r,i){this._uri=t,this._languageId=n,this._version=r,this._content=i,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(t){if(t){const n=this.offsetAt(t.start),r=this.offsetAt(t.end);return this._content.substring(n,r)}return this._content}update(t,n){for(let r of t)if(li.isIncremental(r)){const i=Co(r.range),s=this.offsetAt(i.start),a=this.offsetAt(i.end);this._content=this._content.substring(0,s)+r.text+this._content.substring(a,this._content.length);const l=Math.max(i.start.line,0),o=Math.max(i.end.line,0);let u=this._lineOffsets;const h=Mo(r.text,!1,s);if(o-l===h.length)for(let d=0,m=h.length;d<m;d++)u[d+l+1]=h[d];else h.length<1e4?u.splice(l+1,o-l,...h):this._lineOffsets=u=u.slice(0,l+1).concat(h,u.slice(o+1));const c=r.text.length-(a-s);if(c!==0)for(let d=l+1+h.length,m=u.length;d<m;d++)u[d]=u[d]+c}else if(li.isFull(r))this._content=r.text,this._lineOffsets=void 0;else throw new Error("Unknown change event received");this._version=n}getLineOffsets(){return this._lineOffsets===void 0&&(this._lineOffsets=Mo(this._content,!0)),this._lineOffsets}positionAt(t){t=Math.max(Math.min(t,this._content.length),0);let n=this.getLineOffsets(),r=0,i=n.length;if(i===0)return{line:0,character:t};for(;r<i;){let a=Math.floor((r+i)/2);n[a]>t?i=a:r=a+1}let s=r-1;return{line:s,character:t-n[s]}}offsetAt(t){let n=this.getLineOffsets();if(t.line>=n.length)return this._content.length;if(t.line<0)return 0;let r=n[t.line],i=t.line+1<n.length?n[t.line+1]:this._content.length;return Math.max(Math.min(r+t.character,i),r)}get lineCount(){return this.getLineOffsets().length}static isIncremental(t){let n=t;return n!=null&&typeof n.text=="string"&&n.range!==void 0&&(n.rangeLength===void 0||typeof n.rangeLength=="number")}static isFull(t){let n=t;return n!=null&&typeof n.text=="string"&&n.range===void 0&&n.rangeLength===void 0}},Me;(function(e){function t(i,s,a,l){return new Eo(i,s,a,l)}e.create=t;function n(i,s,a){if(i instanceof Eo)return i.update(s,a),i;throw new Error("TextDocument.update: document must be created by TextDocument.create")}e.update=n;function r(i,s){let a=i.getText(),l=Yr(s.map(Qc),(h,c)=>{let d=h.range.start.line-c.range.start.line;return d===0?h.range.start.character-c.range.start.character:d}),o=0;const u=[];for(const h of l){let c=i.offsetAt(h.range.start);if(c<o)throw new Error("Overlapping edit");c>o&&u.push(a.substring(o,c)),h.newText.length&&u.push(h.newText),o=i.offsetAt(h.range.end)}return u.push(a.substr(o)),u.join("")}e.applyEdits=r})(Me||(Me={}));function Yr(e,t){if(e.length<=1)return e;const n=e.length/2|0,r=e.slice(0,n),i=e.slice(n);Yr(r,t),Yr(i,t);let s=0,a=0,l=0;for(;s<r.length&&a<i.length;)t(r[s],i[a])<=0?e[l++]=r[s++]:e[l++]=i[a++];for(;s<r.length;)e[l++]=r[s++];for(;a<i.length;)e[l++]=i[a++];return e}function Mo(e,t,n=0){const r=t?[n]:[];for(let i=0;i<e.length;i++){let s=e.charCodeAt(i);(s===13||s===10)&&(s===13&&i+1<e.length&&e.charCodeAt(i+1)===10&&i++,r.push(n+i+1))}return r}function Co(e){const t=e.start,n=e.end;return t.line>n.line||t.line===n.line&&t.character>n.character?{start:n,end:t}:e}function Qc(e){const t=Co(e.range);return t!==e.range?{newText:e.newText,range:t}:e}var H;(function(e){e[e.Undefined=0]="Undefined",e[e.EnumValueMismatch=1]="EnumValueMismatch",e[e.Deprecated=2]="Deprecated",e[e.UnexpectedEndOfComment=257]="UnexpectedEndOfComment",e[e.UnexpectedEndOfString=258]="UnexpectedEndOfString",e[e.UnexpectedEndOfNumber=259]="UnexpectedEndOfNumber",e[e.InvalidUnicode=260]="InvalidUnicode",e[e.InvalidEscapeCharacter=261]="InvalidEscapeCharacter",e[e.InvalidCharacter=262]="InvalidCharacter",e[e.PropertyExpected=513]="PropertyExpected",e[e.CommaExpected=514]="CommaExpected",e[e.ColonExpected=515]="ColonExpected",e[e.ValueExpected=516]="ValueExpected",e[e.CommaOrCloseBacketExpected=517]="CommaOrCloseBacketExpected",e[e.CommaOrCloseBraceExpected=518]="CommaOrCloseBraceExpected",e[e.TrailingComma=519]="TrailingComma",e[e.DuplicateKey=520]="DuplicateKey",e[e.CommentNotPermitted=521]="CommentNotPermitted",e[e.PropertyKeysMustBeDoublequoted=528]="PropertyKeysMustBeDoublequoted",e[e.SchemaResolveError=768]="SchemaResolveError",e[e.SchemaUnsupportedFeature=769]="SchemaUnsupportedFeature"})(H||(H={}));var Ce;(function(e){e[e.v3=3]="v3",e[e.v4=4]="v4",e[e.v6=6]="v6",e[e.v7=7]="v7",e[e.v2019_09=19]="v2019_09",e[e.v2020_12=20]="v2020_12"})(Ce||(Ce={}));var Zr;(function(e){e.LATEST={textDocument:{completion:{completionItem:{documentationFormat:[ot.Markdown,ot.PlainText],commitCharactersSupport:!0,labelDetailsSupport:!0}}}}})(Zr||(Zr={}));function E(...e){const t=e[0];let n,r,i;if(typeof t=="string")n=t,r=t,e.splice(0,1),i=!e||typeof e[0]!="object"?e:e[0];else if(t instanceof Array){const s=e.slice(1);if(t.length!==s.length+1)throw new Error("expected a string as the first argument to l10n.t");let a=t[0];for(let l=1;l<t.length;l++)a+=`{${l-1}}`+t[l];return E(a,...s)}else r=t.message,n=r,t.comment&&t.comment.length>0&&(n+=`/${Array.isArray(t.comment)?t.comment.join(""):t.comment}`),i=t.args??{};return Zc(r,i)}var Yc=/{([^}]+)}/g;function Zc(e,t){return Object.keys(t).length===0?e:e.replace(Yc,(n,r)=>t[r]??n)}var Kc={"color-hex":{errorMessage:E("Invalid color format. Use #RGB, #RGBA, #RRGGBB or #RRGGBBAA."),pattern:/^#([0-9A-Fa-f]{3,4}|([0-9A-Fa-f]{2}){3,4})$/},"date-time":{errorMessage:E("String is not a RFC3339 date-time."),pattern:/^(\d{4})-(0[1-9]|1[0-2])-(0[1-9]|[12][0-9]|3[01])T([01][0-9]|2[0-3]):([0-5][0-9]):([0-5][0-9]|60)(\.[0-9]+)?(Z|(\+|-)([01][0-9]|2[0-3]):([0-5][0-9]))$/i},date:{errorMessage:E("String is not a RFC3339 date."),pattern:/^(\d{4})-(0[1-9]|1[0-2])-(0[1-9]|[12][0-9]|3[01])$/i},time:{errorMessage:E("String is not a RFC3339 time."),pattern:/^([01][0-9]|2[0-3]):([0-5][0-9]):([0-5][0-9]|60)(\.[0-9]+)?(Z|(\+|-)([01][0-9]|2[0-3]):([0-5][0-9]))$/i},email:{errorMessage:E("String is not an e-mail address."),pattern:/^(([^<>()\[\]\\.,;:\s@"]+(\.[^<>()\[\]\\.,;:\s@"]+)*)|(".+"))@((\[[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}])|(([a-zA-Z0-9-]+\.)+[a-zA-Z]{2,}))$/},hostname:{errorMessage:E("String is not a hostname."),pattern:/^(?=.{1,253}\.?$)[a-z0-9](?:[a-z0-9-]{0,61}[a-z0-9])?(?:\.[a-z0-9](?:[-0-9a-z]{0,61}[0-9a-z])?)*\.?$/i},ipv4:{errorMessage:E("String is not an IPv4 address."),pattern:/^(?:(?:25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)\.){3}(?:25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)$/},ipv6:{errorMessage:E("String is not an IPv6 address."),pattern:/^((([0-9a-f]{1,4}:){7}([0-9a-f]{1,4}|:))|(([0-9a-f]{1,4}:){6}(:[0-9a-f]{1,4}|((25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)(\.(25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)){3})|:))|(([0-9a-f]{1,4}:){5}(((:[0-9a-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)(\.(25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)){3})|:))|(([0-9a-f]{1,4}:){4}(((:[0-9a-f]{1,4}){1,3})|((:[0-9a-f]{1,4})?:((25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)(\.(25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)){3}))|:))|(([0-9a-f]{1,4}:){3}(((:[0-9a-f]{1,4}){1,4})|((:[0-9a-f]{1,4}){0,2}:((25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)(\.(25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)){3}))|:))|(([0-9a-f]{1,4}:){2}(((:[0-9a-f]{1,4}){1,5})|((:[0-9a-f]{1,4}){0,3}:((25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)(\.(25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)){3}))|:))|(([0-9a-f]{1,4}:){1}(((:[0-9a-f]{1,4}){1,6})|((:[0-9a-f]{1,4}){0,4}:((25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)(\.(25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)){3}))|:))|(:(((:[0-9a-f]{1,4}){1,7})|((:[0-9a-f]{1,4}){0,5}:((25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)(\.(25[0-5]|2[0-4]\d|1\d\d|[1-9]?\d)){3}))|:)))$/i}},lt=class{constructor(e,t,n=0){this.offset=t,this.length=n,this.parent=e}get children(){return[]}toString(){return"type: "+this.type+" ("+this.offset+"/"+this.length+")"+(this.parent?" parent: {"+this.parent.toString()+"}":"")}},e1=class extends lt{constructor(e,t){super(e,t),this.type="null",this.value=null}},To=class extends lt{constructor(e,t,n){super(e,n),this.type="boolean",this.value=t}},t1=class extends lt{constructor(e,t){super(e,t),this.type="array",this.items=[]}get children(){return this.items}},n1=class extends lt{constructor(e,t){super(e,t),this.type="number",this.isInteger=!0,this.value=Number.NaN}},Kr=class extends lt{constructor(e,t,n){super(e,t,n),this.type="string",this.value=""}},r1=class extends lt{constructor(e,t,n){super(e,t),this.type="property",this.colonOffset=-1,this.keyNode=n}get children(){return this.valueNode?[this.keyNode,this.valueNode]:[this.keyNode]}},i1=class extends lt{constructor(e,t){super(e,t),this.type="object",this.properties=[]}get children(){return this.properties}};function we(e){return Ve(e)?e?{}:{not:{}}:e}var Po;(function(e){e[e.Key=0]="Key",e[e.Enum=1]="Enum"})(Po||(Po={}));var s1={"http://json-schema.org/draft-03/schema#":Ce.v3,"http://json-schema.org/draft-04/schema#":Ce.v4,"http://json-schema.org/draft-06/schema#":Ce.v6,"http://json-schema.org/draft-07/schema#":Ce.v7,"https://json-schema.org/draft/2019-09/schema":Ce.v2019_09,"https://json-schema.org/draft/2020-12/schema":Ce.v2020_12},Io=class{constructor(e){this.schemaDraft=e}},a1=class el{constructor(t=-1,n){this.focusOffset=t,this.exclude=n,this.schemas=[]}add(t){this.schemas.push(t)}merge(t){Array.prototype.push.apply(this.schemas,t.schemas)}include(t){return(this.focusOffset===-1||Fo(t,this.focusOffset))&&t!==this.exclude}newSub(){return new el(-1,this.exclude)}},en=class{constructor(){}get schemas(){return[]}add(e){}merge(e){}include(e){return!0}newSub(){return this}};en.instance=new en;var de=class{constructor(){this.problems=[],this.propertiesMatches=0,this.processedProperties=new Set,this.propertiesValueMatches=0,this.primaryValueMatches=0,this.enumValueMatch=!1,this.enumValues=void 0}hasProblems(){return!!this.problems.length}merge(e){this.problems=this.problems.concat(e.problems),this.propertiesMatches+=e.propertiesMatches,this.propertiesValueMatches+=e.propertiesValueMatches,this.mergeProcessedProperties(e)}mergeEnumValues(e){if(!this.enumValueMatch&&!e.enumValueMatch&&this.enumValues&&e.enumValues){this.enumValues=this.enumValues.concat(e.enumValues);for(const t of this.problems)t.code===H.EnumValueMismatch&&(t.message=E("Value is not accepted. Valid values: {0}.",this.enumValues.map(n=>JSON.stringify(n)).join(", ")))}}mergePropertyMatch(e){this.problems=this.problems.concat(e.problems),this.propertiesMatches++,(e.enumValueMatch||!e.hasProblems()&&e.propertiesMatches)&&this.propertiesValueMatches++,e.enumValueMatch&&e.enumValues&&e.enumValues.length===1&&this.primaryValueMatches++}mergeProcessedProperties(e){e.processedProperties.forEach(t=>this.processedProperties.add(t))}compare(e){const t=this.hasProblems();return t!==e.hasProblems()?t?-1:1:this.enumValueMatch!==e.enumValueMatch?e.enumValueMatch?-1:1:this.primaryValueMatches!==e.primaryValueMatches?this.primaryValueMatches-e.primaryValueMatches:this.propertiesValueMatches!==e.propertiesValueMatches?this.propertiesValueMatches-e.propertiesValueMatches:this.propertiesMatches-e.propertiesMatches}};function o1(e,t=[]){return new Vo(e,t,[])}function ut(e){return zc(e)}function ei(e){return Hc(e)}function Fo(e,t,n=!1){return t>=e.offset&&t<e.offset+e.length||n&&t===e.offset+e.length}var Vo=class{constructor(e,t=[],n=[]){this.root=e,this.syntaxErrors=t,this.comments=n}getNodeFromOffset(e,t=!1){if(this.root)return Wc(this.root,e,t)}visit(e){if(this.root){const t=n=>{let r=e(n);const i=n.children;if(Array.isArray(i))for(let s=0;s<i.length&&r;s++)r=t(i[s]);return r};t(this.root)}}validate(e,t,n=xe.Warning,r){if(this.root&&t){const i=new de;return le(this.root,t,i,en.instance,new Io(r??Do(t))),i.problems.map(s=>{const a=q.create(e.positionAt(s.location.offset),e.positionAt(s.location.offset+s.location.length));return ze.create(a,s.message,s.severity??n,s.code)})}}getMatchingSchemas(e,t=-1,n){if(this.root&&e){const r=new a1(t,n),i=Do(e),s=new Io(i);return le(this.root,e,new de,r,s),r.schemas}return[]}};function Do(e,t=Ce.v2020_12){let n=e.$schema;return n?s1[n]??t:t}function le(e,t,n,r,i){if(!e||!r.include(e))return;if(e.type==="property")return le(e.valueNode,t,n,r,i);const s=e;switch(a(),s.type){case"object":h(s);break;case"array":u(s);break;case"string":o(s);break;case"number":l(s);break}r.add({node:s,schema:t});function a(){var L;function c(v){return s.type===v||v==="integer"&&s.type==="number"&&s.isInteger}if(Array.isArray(t.type)?t.type.some(c)||n.problems.push({location:{offset:s.offset,length:s.length},message:t.errorMessage||E("Incorrect type. Expected one of {0}.",t.type.join(", "))}):t.type&&(c(t.type)||n.problems.push({location:{offset:s.offset,length:s.length},message:t.errorMessage||E('Incorrect type. Expected "{0}".',t.type)})),Array.isArray(t.allOf))for(const v of t.allOf){const y=new de,b=r.newSub();le(s,we(v),y,b,i),n.merge(y),r.merge(b)}const d=we(t.not);if(d){const v=new de,y=r.newSub();le(s,d,v,y,i),v.hasProblems()||n.problems.push({location:{offset:s.offset,length:s.length},message:t.errorMessage||E("Matches a schema that is not allowed.")});for(const b of y.schemas)b.inverted=!b.inverted,r.add(b)}const m=(v,y)=>{const b=[];let _;for(const A of v){const C=we(A),F=new de,O=r.newSub();if(le(s,C,F,O,i),F.hasProblems()||b.push(C),!_)_={schema:C,validationResult:F,matchingSchemas:O};else if(!y&&!F.hasProblems()&&!_.validationResult.hasProblems())_.matchingSchemas.merge(O),_.validationResult.propertiesMatches+=F.propertiesMatches,_.validationResult.propertiesValueMatches+=F.propertiesValueMatches,_.validationResult.mergeProcessedProperties(F);else{const M=F.compare(_.validationResult);M>0?_={schema:C,validationResult:F,matchingSchemas:O}:M===0&&(_.matchingSchemas.merge(O),_.validationResult.mergeEnumValues(F))}}return b.length>1&&y&&n.problems.push({location:{offset:s.offset,length:1},message:E("Matches multiple schemas when only one must validate.")}),_&&(n.merge(_.validationResult),r.merge(_.matchingSchemas)),b.length};Array.isArray(t.anyOf)&&m(t.anyOf,!1),Array.isArray(t.oneOf)&&m(t.oneOf,!0);const g=v=>{const y=new de,b=r.newSub();le(s,we(v),y,b,i),n.merge(y),r.merge(b)},p=(v,y,b)=>{const _=we(v),A=new de,C=r.newSub();le(s,_,A,C,i),r.merge(C),n.mergeProcessedProperties(A),A.hasProblems()?b&&g(b):y&&g(y)},x=we(t.if);if(x&&p(x,we(t.then),we(t.else)),Array.isArray(t.enum)){const v=ut(s);let y=!1;for(const b of t.enum)if(Rt(v,b)){y=!0;break}n.enumValues=t.enum,n.enumValueMatch=y,y||n.problems.push({location:{offset:s.offset,length:s.length},code:H.EnumValueMismatch,message:t.errorMessage||E("Value is not accepted. Valid values: {0}.",t.enum.map(b=>JSON.stringify(b)).join(", "))})}if(Ee(t.const)){const v=ut(s);Rt(v,t.const)?n.enumValueMatch=!0:(n.problems.push({location:{offset:s.offset,length:s.length},code:H.EnumValueMismatch,message:t.errorMessage||E("Value must be {0}.",JSON.stringify(t.const))}),n.enumValueMatch=!1),n.enumValues=[t.const]}let w=t.deprecationMessage;if(w||t.deprecated){w=w||E("Value is deprecated");let v=((L=s.parent)==null?void 0:L.type)==="property"?s.parent:s;n.problems.push({location:{offset:v.offset,length:v.length},severity:xe.Warning,message:w,code:H.Deprecated})}}function l(c){const d=c.value;function m(y){var _;const b=/^(-?\d+)(?:\.(\d+))?(?:e([-+]\d+))?$/.exec(y.toString());return b&&{value:Number(b[1]+(b[2]||"")),multiplier:(((_=b[2])==null?void 0:_.length)||0)-(parseInt(b[3])||0)}}if(he(t.multipleOf)){let y=-1;if(Number.isInteger(t.multipleOf))y=d%t.multipleOf;else{let b=m(t.multipleOf),_=m(d);if(b&&_){const A=10**Math.abs(_.multiplier-b.multiplier);_.multiplier<b.multiplier?_.value*=A:b.value*=A,y=_.value%b.value}}y!==0&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Value is not divisible by {0}.",t.multipleOf)})}function g(y,b){if(he(b))return b;if(Ve(b)&&b)return y}function p(y,b){if(!Ve(b)||!b)return y}const x=g(t.minimum,t.exclusiveMinimum);he(x)&&d<=x&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Value is below the exclusive minimum of {0}.",x)});const w=g(t.maximum,t.exclusiveMaximum);he(w)&&d>=w&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Value is above the exclusive maximum of {0}.",w)});const L=p(t.minimum,t.exclusiveMinimum);he(L)&&d<L&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Value is below the minimum of {0}.",L)});const v=p(t.maximum,t.exclusiveMaximum);he(v)&&d>v&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Value is above the maximum of {0}.",v)})}function o(c){if(he(t.minLength)&&Pa(c.value)<t.minLength&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("String is shorter than the minimum length of {0}.",t.minLength)}),he(t.maxLength)&&Pa(c.value)>t.maxLength&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("String is longer than the maximum length of {0}.",t.maxLength)}),Ta(t.pattern)){const d=Mn(t.pattern);d!=null&&d.test(c.value)||n.problems.push({location:{offset:c.offset,length:c.length},message:t.patternErrorMessage||t.errorMessage||E('String does not match the pattern of "{0}".',t.pattern)})}if(t.format)switch(t.format){case"uri":case"uri-reference":{let m;if(!c.value)m=E("URI expected.");else{const g=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/.exec(c.value);g?!g[2]&&t.format==="uri"&&(m=E("URI with a scheme is expected.")):m=E("URI is expected.")}m&&n.problems.push({location:{offset:c.offset,length:c.length},message:t.patternErrorMessage||t.errorMessage||E("String is not a URI: {0}",m)})}break;case"color-hex":case"date-time":case"date":case"time":case"email":case"hostname":case"ipv4":case"ipv6":const d=Kc[t.format];(!c.value||!d.pattern.exec(c.value))&&n.problems.push({location:{offset:c.offset,length:c.length},message:t.patternErrorMessage||t.errorMessage||d.errorMessage})}}function u(c){let d,m;i.schemaDraft>=Ce.v2020_12?(d=t.prefixItems,m=Array.isArray(t.items)?void 0:t.items):(d=Array.isArray(t.items)?t.items:void 0,m=Array.isArray(t.items)?t.additionalItems:t.items);let g=0;if(d!==void 0){const w=Math.min(d.length,c.items.length);for(;g<w;g++){const L=d[g],v=we(L),y=new de,b=c.items[g];b&&(le(b,v,y,r,i),n.mergePropertyMatch(y)),n.processedProperties.add(String(g))}}if(m!==void 0&&g<c.items.length)if(typeof m=="boolean")for(m===!1&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Array has too many items according to schema. Expected {0} or fewer.",g)});g<c.items.length;g++)n.processedProperties.add(String(g)),n.propertiesValueMatches++;else for(;g<c.items.length;g++){const w=new de;le(c.items[g],m,w,r,i),n.mergePropertyMatch(w),n.processedProperties.add(String(g))}const p=we(t.contains);if(p){let w=0;for(let L=0;L<c.items.length;L++){const v=c.items[L],y=new de;le(v,p,y,en.instance,i),y.hasProblems()||(w++,i.schemaDraft>=Ce.v2020_12&&n.processedProperties.add(String(L)))}w===0&&!he(t.minContains)&&n.problems.push({location:{offset:c.offset,length:c.length},message:t.errorMessage||E("Array does not contain required item.")}),he(t.minContains)&&w<t.minContains&&n.problems.push({location:{offset:c.offset,length:c.length},message:t.errorMessage||E("Array has too few items that match the contains contraint. Expected {0} or more.",t.minContains)}),he(t.maxContains)&&w>t.maxContains&&n.problems.push({location:{offset:c.offset,length:c.length},message:t.errorMessage||E("Array has too many items that match the contains contraint. Expected {0} or less.",t.maxContains)})}const x=t.unevaluatedItems;if(x!==void 0)for(let w=0;w<c.items.length;w++){if(!n.processedProperties.has(String(w)))if(x===!1)n.problems.push({location:{offset:c.offset,length:c.length},message:E("Item does not match any validation rule from the array.")});else{const L=new de;le(c.items[w],t.unevaluatedItems,L,r,i),n.mergePropertyMatch(L)}n.processedProperties.add(String(w)),n.propertiesValueMatches++}if(he(t.minItems)&&c.items.length<t.minItems&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Array has too few items. Expected {0} or more.",t.minItems)}),he(t.maxItems)&&c.items.length>t.maxItems&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Array has too many items. Expected {0} or fewer.",t.maxItems)}),t.uniqueItems===!0){let w=function(){for(let v=0;v<L.length-1;v++){const y=L[v];for(let b=v+1;b<L.length;b++)if(Rt(y,L[b]))return!0}return!1};const L=ut(c);w()&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Array has duplicate items.")})}}function h(c){const d=Object.create(null),m=new Set;for(const v of c.properties){const y=v.keyNode.value;d[y]=v.valueNode,m.add(y)}if(Array.isArray(t.required)){for(const v of t.required)if(!d[v]){const y=c.parent&&c.parent.type==="property"&&c.parent.keyNode,b=y?{offset:y.offset,length:y.length}:{offset:c.offset,length:1};n.problems.push({location:b,message:E('Missing property "{0}".',v)})}}const g=v=>{m.delete(v),n.processedProperties.add(v)};if(t.properties)for(const v of Object.keys(t.properties)){g(v);const y=t.properties[v],b=d[v];if(b)if(Ve(y))if(y)n.propertiesMatches++,n.propertiesValueMatches++;else{const _=b.parent;n.problems.push({location:{offset:_.keyNode.offset,length:_.keyNode.length},message:t.errorMessage||E("Property {0} is not allowed.",v)})}else{const _=new de;le(b,y,_,r,i),n.mergePropertyMatch(_)}}if(t.patternProperties)for(const v of Object.keys(t.patternProperties)){const y=Mn(v);if(y){const b=[];for(const _ of m)if(y.test(_)){b.push(_);const A=d[_];if(A){const C=t.patternProperties[v];if(Ve(C))if(C)n.propertiesMatches++,n.propertiesValueMatches++;else{const F=A.parent;n.problems.push({location:{offset:F.keyNode.offset,length:F.keyNode.length},message:t.errorMessage||E("Property {0} is not allowed.",_)})}else{const F=new de;le(A,C,F,r,i),n.mergePropertyMatch(F)}}}b.forEach(g)}}const p=t.additionalProperties;if(p!==void 0)for(const v of m){g(v);const y=d[v];if(y){if(p===!1){const b=y.parent;n.problems.push({location:{offset:b.keyNode.offset,length:b.keyNode.length},message:t.errorMessage||E("Property {0} is not allowed.",v)})}else if(p!==!0){const b=new de;le(y,p,b,r,i),n.mergePropertyMatch(b)}}}const x=t.unevaluatedProperties;if(x!==void 0){const v=[];for(const y of m)if(!n.processedProperties.has(y)){v.push(y);const b=d[y];if(b){if(x===!1){const _=b.parent;n.problems.push({location:{offset:_.keyNode.offset,length:_.keyNode.length},message:t.errorMessage||E("Property {0} is not allowed.",y)})}else if(x!==!0){const _=new de;le(b,x,_,r,i),n.mergePropertyMatch(_)}}}v.forEach(g)}if(he(t.maxProperties)&&c.properties.length>t.maxProperties&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Object has more properties than limit of {0}.",t.maxProperties)}),he(t.minProperties)&&c.properties.length<t.minProperties&&n.problems.push({location:{offset:c.offset,length:c.length},message:E("Object has fewer properties than the required number of {0}",t.minProperties)}),t.dependentRequired)for(const v in t.dependentRequired){const y=d[v],b=t.dependentRequired[v];y&&Array.isArray(b)&&L(v,b)}if(t.dependentSchemas)for(const v in t.dependentSchemas){const y=d[v],b=t.dependentSchemas[v];y&&et(b)&&L(v,b)}if(t.dependencies)for(const v in t.dependencies)d[v]&&L(v,t.dependencies[v]);const w=we(t.propertyNames);if(w)for(const v of c.properties){const y=v.keyNode;y&&le(y,w,n,en.instance,i)}function L(v,y){if(Array.isArray(y))for(const b of y)d[b]?n.propertiesValueMatches++:n.problems.push({location:{offset:c.offset,length:c.length},message:E("Object is missing property {0} required by property {1}.",b,v)});else{const b=we(y);if(b){const _=new de;le(c,b,_,r,i),n.mergePropertyMatch(_)}}}}}function l1(e,t){const n=[];let r=-1;const i=e.getText(),s=at(i,!1),a=t&&t.collectComments?[]:void 0;function l(){for(;;){const _=s.scan();switch(h(),_){case 12:case 13:Array.isArray(a)&&a.push(q.create(e.positionAt(s.getTokenOffset()),e.positionAt(s.getTokenOffset()+s.getTokenLength())));break;case 15:case 14:break;default:return _}}}function o(_,A,C,F,O=xe.Error){if(n.length===0||C!==r){const M=q.create(e.positionAt(C),e.positionAt(F));n.push(ze.create(M,_,O,A,e.languageId)),r=C}}function u(_,A,C=void 0,F=[],O=[]){let M=s.getTokenOffset(),N=s.getTokenOffset()+s.getTokenLength();if(M===N&&M>0){for(M--;M>0&&/\s/.test(i.charAt(M));)M--;N=M+1}if(o(_,A,M,N),C&&c(C,!1),F.length+O.length>0){let k=s.getToken();for(;k!==17;){if(F.indexOf(k)!==-1){l();break}else if(O.indexOf(k)!==-1)break;k=l()}}return C}function h(){switch(s.getTokenError()){case 4:return u(E("Invalid unicode sequence in string."),H.InvalidUnicode),!0;case 5:return u(E("Invalid escape character in string."),H.InvalidEscapeCharacter),!0;case 3:return u(E("Unexpected end of number."),H.UnexpectedEndOfNumber),!0;case 1:return u(E("Unexpected end of comment."),H.UnexpectedEndOfComment),!0;case 2:return u(E("Unexpected end of string."),H.UnexpectedEndOfString),!0;case 6:return u(E("Invalid characters in string. Control characters must be escaped."),H.InvalidCharacter),!0}return!1}function c(_,A){return _.length=s.getTokenOffset()+s.getTokenLength()-_.offset,A&&l(),_}function d(_){if(s.getToken()!==3)return;const A=new t1(_,s.getTokenOffset());l();let C=!1;for(;s.getToken()!==4&&s.getToken()!==17;){if(s.getToken()===5){C||u(E("Value expected"),H.ValueExpected);const O=s.getTokenOffset();if(l(),s.getToken()===4){C&&o(E("Trailing comma"),H.TrailingComma,O,O+1);continue}}else C&&u(E("Expected comma"),H.CommaExpected);const F=v(A);F?A.items.push(F):u(E("Value expected"),H.ValueExpected,void 0,[],[4,5]),C=!0}return s.getToken()!==4?u(E("Expected comma or closing bracket"),H.CommaOrCloseBacketExpected,A):c(A,!0)}const m=new Kr(void 0,0,0);function g(_,A){const C=new r1(_,s.getTokenOffset(),m);let F=x(C);if(!F)if(s.getToken()===16){u(E("Property keys must be doublequoted"),H.PropertyKeysMustBeDoublequoted);const M=new Kr(C,s.getTokenOffset(),s.getTokenLength());M.value=s.getTokenValue(),F=M,l()}else return;if(C.keyNode=F,F.value!=="//"){const M=A[F.value];M?(o(E("Duplicate object key"),H.DuplicateKey,C.keyNode.offset,C.keyNode.offset+C.keyNode.length,xe.Warning),et(M)&&o(E("Duplicate object key"),H.DuplicateKey,M.keyNode.offset,M.keyNode.offset+M.keyNode.length,xe.Warning),A[F.value]=!0):A[F.value]=C}if(s.getToken()===6)C.colonOffset=s.getTokenOffset(),l();else if(u(E("Colon expected"),H.ColonExpected),s.getToken()===10&&e.positionAt(F.offset+F.length).line<e.positionAt(s.getTokenOffset()).line)return C.length=F.length,C;const O=v(C);return O?(C.valueNode=O,C.length=O.offset+O.length-C.offset,C):u(E("Value expected"),H.ValueExpected,C,[],[2,5])}function p(_){if(s.getToken()!==1)return;const A=new i1(_,s.getTokenOffset()),C=Object.create(null);l();let F=!1;for(;s.getToken()!==2&&s.getToken()!==17;){if(s.getToken()===5){F||u(E("Property expected"),H.PropertyExpected);const M=s.getTokenOffset();if(l(),s.getToken()===2){F&&o(E("Trailing comma"),H.TrailingComma,M,M+1);continue}}else F&&u(E("Expected comma"),H.CommaExpected);const O=g(A,C);O?A.properties.push(O):u(E("Property expected"),H.PropertyExpected,void 0,[],[2,5]),F=!0}return s.getToken()!==2?u(E("Expected comma or closing brace"),H.CommaOrCloseBraceExpected,A):c(A,!0)}function x(_){if(s.getToken()!==10)return;const A=new Kr(_,s.getTokenOffset());return A.value=s.getTokenValue(),c(A,!0)}function w(_){if(s.getToken()!==11)return;const A=new n1(_,s.getTokenOffset());if(s.getTokenError()===0){const C=s.getTokenValue();try{const F=JSON.parse(C);if(!he(F))return u(E("Invalid number format."),H.Undefined,A);A.value=F}catch{return u(E("Invalid number format."),H.Undefined,A)}A.isInteger=C.indexOf(".")===-1}return c(A,!0)}function L(_){switch(s.getToken()){case 7:return c(new e1(_,s.getTokenOffset()),!0);case 8:return c(new To(_,!0,s.getTokenOffset()),!0);case 9:return c(new To(_,!1,s.getTokenOffset()),!0);default:return}}function v(_){return d(_)||p(_)||x(_)||w(_)||L(_)}let y;return l()!==17&&(y=v(y),y?s.getToken()!==17&&u(E("End of file expected."),H.Undefined):u(E("Expected a JSON object, array or literal."),H.Undefined)),new Vo(y,n,a)}function ti(e,t,n){if(e!==null&&typeof e=="object"){const r=t+" ";if(Array.isArray(e)){if(e.length===0)return"[]";let i=`[
+`;for(let s=0;s<e.length;s++)i+=r+ti(e[s],r,n),s<e.length-1&&(i+=","),i+=`
+`;return i+=t+"]",i}else{const i=Object.keys(e);if(i.length===0)return"{}";let s=`{
+`;for(let a=0;a<i.length;a++){const l=i[a];s+=r+JSON.stringify(l)+": "+ti(e[l],r,n),a<i.length-1&&(s+=","),s+=`
+`}return s+=t+"}",s}}return n(e)}var u1=class{constructor(e,t=[],n=Promise,r={}){this.schemaService=e,this.contributions=t,this.promiseConstructor=n,this.clientCapabilities=r}doResolve(e){for(let t=this.contributions.length-1;t>=0;t--){const n=this.contributions[t].resolveCompletion;if(n){const r=n(e);if(r)return r}}return this.promiseConstructor.resolve(e)}doComplete(e,t,n){const r={items:[],isIncomplete:!1},i=e.getText(),s=e.offsetAt(t);let a=n.getNodeFromOffset(s,!0);if(this.isInComment(e,a?a.offset:0,s))return Promise.resolve(r);if(a&&s===a.offset+a.length&&s>0){const c=i[s-1];(a.type==="object"&&c==="}"||a.type==="array"&&c==="]")&&(a=a.parent)}const l=this.getCurrentWord(e,s);let o;if(a&&(a.type==="string"||a.type==="number"||a.type==="boolean"||a.type==="null"))o=q.create(e.positionAt(a.offset),e.positionAt(a.offset+a.length));else{let c=s-l.length;c>0&&i[c-1]==='"'&&c--,o=q.create(e.positionAt(c),t)}const u=new Map,h={add:c=>{let d=c.label;const m=u.get(d);if(m)m.documentation||(m.documentation=c.documentation),m.detail||(m.detail=c.detail),m.labelDetails||(m.labelDetails=c.labelDetails);else{if(d=d.replace(/[\n]/g,"↵"),d.length>60){const g=d.substr(0,57).trim()+"...";u.has(g)||(d=g)}c.textEdit=De.replace(o,c.insertText),c.label=d,u.set(d,c),r.items.push(c)}},setAsIncomplete:()=>{r.isIncomplete=!0},error:c=>{console.error(c)},getNumberOfProposals:()=>r.items.length};return this.schemaService.getSchemaForResource(e.uri,n).then(c=>{const d=[];let m=!0,g="",p;if(a&&a.type==="string"){const w=a.parent;w&&w.type==="property"&&w.keyNode===a&&(m=!w.valueNode,p=w,g=i.substr(a.offset+1,a.length-2),w&&(a=w.parent))}if(a&&a.type==="object"){if(a.offset===s)return r;a.properties.forEach(y=>{(!p||p!==y)&&u.set(y.keyNode.value,Jr.create("__"))});let L="";m&&(L=this.evaluateSeparatorAfter(e,e.offsetAt(o.end))),c?this.getPropertyCompletions(c,n,a,m,L,h):this.getSchemaLessPropertyCompletions(n,a,g,h);const v=ei(a);this.contributions.forEach(y=>{const b=y.collectPropertyCompletions(e.uri,v,l,m,L==="",h);b&&d.push(b)}),!c&&l.length>0&&i.charAt(s-l.length-1)!=='"'&&(h.add({kind:ye.Property,label:this.getLabelForValue(l),insertText:this.getInsertTextForProperty(l,void 0,!1,L),insertTextFormat:ie.Snippet,documentation:""}),h.setAsIncomplete())}const x={};return c?this.getValueCompletions(c,n,a,s,e,h,x):this.getSchemaLessValueCompletions(n,a,s,e,h),this.contributions.length>0&&this.getContributedValueCompletions(n,a,s,e,h,d),this.promiseConstructor.all(d).then(()=>{if(h.getNumberOfProposals()===0){let w=s;a&&(a.type==="string"||a.type==="number"||a.type==="boolean"||a.type==="null")&&(w=a.offset+a.length);const L=this.evaluateSeparatorAfter(e,w);this.addFillerValueCompletions(x,L,h)}return r})})}getPropertyCompletions(e,t,n,r,i,s){t.getMatchingSchemas(e.schema,n.offset).forEach(l=>{if(l.node===n&&!l.inverted){const o=l.schema.properties;o&&Object.keys(o).forEach(h=>{const c=o[h];if(typeof c=="object"&&!c.deprecationMessage&&!c.doNotSuggest){const d={kind:ye.Property,label:h,insertText:this.getInsertTextForProperty(h,c,r,i),insertTextFormat:ie.Snippet,filterText:this.getFilterTextForValue(h),documentation:this.fromMarkup(c.markdownDescription)||c.description||""};c.suggestSortText!==void 0&&(d.sortText=c.suggestSortText),d.insertText&&Yt(d.insertText,`$1${i}`)&&(d.command={title:"Suggest",command:"editor.action.triggerSuggest"}),s.add(d)}});const u=l.schema.propertyNames;if(typeof u=="object"&&!u.deprecationMessage&&!u.doNotSuggest){const h=(c,d=void 0)=>{const m={kind:ye.Property,label:c,insertText:this.getInsertTextForProperty(c,void 0,r,i),insertTextFormat:ie.Snippet,filterText:this.getFilterTextForValue(c),documentation:d||this.fromMarkup(u.markdownDescription)||u.description||""};u.suggestSortText!==void 0&&(m.sortText=u.suggestSortText),m.insertText&&Yt(m.insertText,`$1${i}`)&&(m.command={title:"Suggest",command:"editor.action.triggerSuggest"}),s.add(m)};if(u.enum)for(let c=0;c<u.enum.length;c++){let d;u.markdownEnumDescriptions&&c<u.markdownEnumDescriptions.length?d=this.fromMarkup(u.markdownEnumDescriptions[c]):u.enumDescriptions&&c<u.enumDescriptions.length&&(d=u.enumDescriptions[c]),h(u.enum[c],d)}u.const&&h(u.const)}}})}getSchemaLessPropertyCompletions(e,t,n,r){const i=s=>{s.properties.forEach(a=>{const l=a.keyNode.value;r.add({kind:ye.Property,label:l,insertText:this.getInsertTextForValue(l,""),insertTextFormat:ie.Snippet,filterText:this.getFilterTextForValue(l),documentation:""})})};if(t.parent)if(t.parent.type==="property"){const s=t.parent.keyNode.value;e.visit(a=>(a.type==="property"&&a!==t.parent&&a.keyNode.value===s&&a.valueNode&&a.valueNode.type==="object"&&i(a.valueNode),!0))}else t.parent.type==="array"&&t.parent.items.forEach(s=>{s.type==="object"&&s!==t&&i(s)});else t.type==="object"&&r.add({kind:ye.Property,label:"$schema",insertText:this.getInsertTextForProperty("$schema",void 0,!0,""),insertTextFormat:ie.Snippet,documentation:"",filterText:this.getFilterTextForValue("$schema")})}getSchemaLessValueCompletions(e,t,n,r,i){let s=n;if(t&&(t.type==="string"||t.type==="number"||t.type==="boolean"||t.type==="null")&&(s=t.offset+t.length,t=t.parent),!t){i.add({kind:this.getSuggestionKind("object"),label:"Empty object",insertText:this.getInsertTextForValue({},""),insertTextFormat:ie.Snippet,documentation:""}),i.add({kind:this.getSuggestionKind("array"),label:"Empty array",insertText:this.getInsertTextForValue([],""),insertTextFormat:ie.Snippet,documentation:""});return}const a=this.evaluateSeparatorAfter(r,s),l=o=>{o.parent&&!Fo(o.parent,n,!0)&&i.add({kind:this.getSuggestionKind(o.type),label:this.getLabelTextForMatchingNode(o,r),insertText:this.getInsertTextForMatchingNode(o,r,a),insertTextFormat:ie.Snippet,documentation:""}),o.type==="boolean"&&this.addBooleanValueCompletion(!o.value,a,i)};if(t.type==="property"&&n>(t.colonOffset||0)){const o=t.valueNode;if(o&&(n>o.offset+o.length||o.type==="object"||o.type==="array"))return;const u=t.keyNode.value;e.visit(h=>(h.type==="property"&&h.keyNode.value===u&&h.valueNode&&l(h.valueNode),!0)),u==="$schema"&&t.parent&&!t.parent.parent&&this.addDollarSchemaCompletions(a,i)}if(t.type==="array")if(t.parent&&t.parent.type==="property"){const o=t.parent.keyNode.value;e.visit(u=>(u.type==="property"&&u.keyNode.value===o&&u.valueNode&&u.valueNode.type==="array"&&u.valueNode.items.forEach(l),!0))}else t.items.forEach(l)}getValueCompletions(e,t,n,r,i,s,a){let l=r,o,u;if(n&&(n.type==="string"||n.type==="number"||n.type==="boolean"||n.type==="null")&&(l=n.offset+n.length,u=n,n=n.parent),!n){this.addSchemaValueCompletions(e.schema,"",s,a);return}if(n.type==="property"&&r>(n.colonOffset||0)){const h=n.valueNode;if(h&&r>h.offset+h.length)return;o=n.keyNode.value,n=n.parent}if(n&&(o!==void 0||n.type==="array")){const h=this.evaluateSeparatorAfter(i,l),c=t.getMatchingSchemas(e.schema,n.offset,u);for(const d of c)if(d.node===n&&!d.inverted&&d.schema){if(n.type==="array"&&d.schema.items){let m=s;if(d.schema.uniqueItems){const g=new Set;n.children.forEach(p=>{p.type!=="array"&&p.type!=="object"&&g.add(this.getLabelForValue(ut(p)))}),m={...s,add(p){g.has(p.label)||s.add(p)}}}if(Array.isArray(d.schema.items)){const g=this.findItemAtOffset(n,i,r);g<d.schema.items.length&&this.addSchemaValueCompletions(d.schema.items[g],h,m,a)}else this.addSchemaValueCompletions(d.schema.items,h,m,a)}if(o!==void 0){let m=!1;if(d.schema.properties){const g=d.schema.properties[o];g&&(m=!0,this.addSchemaValueCompletions(g,h,s,a))}if(d.schema.patternProperties&&!m)for(const g of Object.keys(d.schema.patternProperties)){const p=Mn(g);if(p!=null&&p.test(o)){m=!0;const x=d.schema.patternProperties[g];this.addSchemaValueCompletions(x,h,s,a)}}if(d.schema.additionalProperties&&!m){const g=d.schema.additionalProperties;this.addSchemaValueCompletions(g,h,s,a)}}}o==="$schema"&&!n.parent&&this.addDollarSchemaCompletions(h,s),a.boolean&&(this.addBooleanValueCompletion(!0,h,s),this.addBooleanValueCompletion(!1,h,s)),a.null&&this.addNullValueCompletion(h,s)}}getContributedValueCompletions(e,t,n,r,i,s){if(!t)this.contributions.forEach(a=>{const l=a.collectDefaultCompletions(r.uri,i);l&&s.push(l)});else if((t.type==="string"||t.type==="number"||t.type==="boolean"||t.type==="null")&&(t=t.parent),t&&t.type==="property"&&n>(t.colonOffset||0)){const a=t.keyNode.value,l=t.valueNode;if((!l||n<=l.offset+l.length)&&t.parent){const o=ei(t.parent);this.contributions.forEach(u=>{const h=u.collectValueCompletions(r.uri,o,a,i);h&&s.push(h)})}}}addSchemaValueCompletions(e,t,n,r){typeof e=="object"&&(this.addEnumValueCompletions(e,t,n),this.addDefaultValueCompletions(e,t,n),this.collectTypes(e,r),Array.isArray(e.allOf)&&e.allOf.forEach(i=>this.addSchemaValueCompletions(i,t,n,r)),Array.isArray(e.anyOf)&&e.anyOf.forEach(i=>this.addSchemaValueCompletions(i,t,n,r)),Array.isArray(e.oneOf)&&e.oneOf.forEach(i=>this.addSchemaValueCompletions(i,t,n,r)))}addDefaultValueCompletions(e,t,n,r=0){let i=!1;if(Ee(e.default)){let s=e.type,a=e.default;for(let o=r;o>0;o--)a=[a],s="array";const l={kind:this.getSuggestionKind(s),label:this.getLabelForValue(a),insertText:this.getInsertTextForValue(a,t),insertTextFormat:ie.Snippet};this.doesSupportsLabelDetails()?l.labelDetails={description:E("Default value")}:l.detail=E("Default value"),n.add(l),i=!0}Array.isArray(e.examples)&&e.examples.forEach(s=>{let a=e.type,l=s;for(let o=r;o>0;o--)l=[l],a="array";n.add({kind:this.getSuggestionKind(a),label:this.getLabelForValue(l),insertText:this.getInsertTextForValue(l,t),insertTextFormat:ie.Snippet}),i=!0}),Array.isArray(e.defaultSnippets)&&e.defaultSnippets.forEach(s=>{let a=e.type,l=s.body,o=s.label,u,h;if(Ee(l)){e.type;for(let c=r;c>0;c--)l=[l];u=this.getInsertTextForSnippetValue(l,t),h=this.getFilterTextForSnippetValue(l),o=o||this.getLabelForSnippetValue(l)}else if(typeof s.bodyText=="string"){let c="",d="",m="";for(let g=r;g>0;g--)c=c+m+`[
+`,d=d+`
+`+m+"]",m+=" ",a="array";u=c+m+s.bodyText.split(`
+`).join(`
+`+m)+d+t,o=o||u,h=u.replace(/[\n]/g,"")}else return;n.add({kind:this.getSuggestionKind(a),label:o,documentation:this.fromMarkup(s.markdownDescription)||s.description,insertText:u,insertTextFormat:ie.Snippet,filterText:h}),i=!0}),!i&&typeof e.items=="object"&&!Array.isArray(e.items)&&r<5&&this.addDefaultValueCompletions(e.items,t,n,r+1)}addEnumValueCompletions(e,t,n){if(Ee(e.const)&&n.add({kind:this.getSuggestionKind(e.type),label:this.getLabelForValue(e.const),insertText:this.getInsertTextForValue(e.const,t),insertTextFormat:ie.Snippet,documentation:this.fromMarkup(e.markdownDescription)||e.description}),Array.isArray(e.enum))for(let r=0,i=e.enum.length;r<i;r++){const s=e.enum[r];let a=this.fromMarkup(e.markdownDescription)||e.description;e.markdownEnumDescriptions&&r<e.markdownEnumDescriptions.length&&this.doesSupportMarkdown()?a=this.fromMarkup(e.markdownEnumDescriptions[r]):e.enumDescriptions&&r<e.enumDescriptions.length&&(a=e.enumDescriptions[r]),n.add({kind:this.getSuggestionKind(e.type),label:this.getLabelForValue(s),insertText:this.getInsertTextForValue(s,t),insertTextFormat:ie.Snippet,documentation:a})}}collectTypes(e,t){if(Array.isArray(e.enum)||Ee(e.const))return;const n=e.type;Array.isArray(n)?n.forEach(r=>t[r]=!0):n&&(t[n]=!0)}addFillerValueCompletions(e,t,n){e.object&&n.add({kind:this.getSuggestionKind("object"),label:"{}",insertText:this.getInsertTextForGuessedValue({},t),insertTextFormat:ie.Snippet,detail:E("New object"),documentation:""}),e.array&&n.add({kind:this.getSuggestionKind("array"),label:"[]",insertText:this.getInsertTextForGuessedValue([],t),insertTextFormat:ie.Snippet,detail:E("New array"),documentation:""})}addBooleanValueCompletion(e,t,n){n.add({kind:this.getSuggestionKind("boolean"),label:e?"true":"false",insertText:this.getInsertTextForValue(e,t),insertTextFormat:ie.Snippet,documentation:""})}addNullValueCompletion(e,t){t.add({kind:this.getSuggestionKind("null"),label:"null",insertText:"null"+e,insertTextFormat:ie.Snippet,documentation:""})}addDollarSchemaCompletions(e,t){this.schemaService.getRegisteredSchemaIds(r=>r==="http"||r==="https").forEach(r=>{r.startsWith("http://json-schema.org/draft-")&&(r=r+"#"),t.add({kind:ye.Module,label:this.getLabelForValue(r),filterText:this.getFilterTextForValue(r),insertText:this.getInsertTextForValue(r,e),insertTextFormat:ie.Snippet,documentation:""})})}getLabelForValue(e){return JSON.stringify(e)}getValueFromLabel(e){return JSON.parse(e)}getFilterTextForValue(e){return JSON.stringify(e)}getFilterTextForSnippetValue(e){return JSON.stringify(e).replace(/\$\{\d+:([^}]+)\}|\$\d+/g,"$1")}getLabelForSnippetValue(e){return JSON.stringify(e).replace(/\$\{\d+:([^}]+)\}|\$\d+/g,"$1")}getInsertTextForPlainText(e){return e.replace(/[\\\$\}]/g,"\\$&")}getInsertTextForValue(e,t){const n=JSON.stringify(e,null," ");return n==="{}"?"{$1}"+t:n==="[]"?"[$1]"+t:this.getInsertTextForPlainText(n+t)}getInsertTextForSnippetValue(e,t){return ti(e,"",r=>typeof r=="string"&&r[0]==="^"?r.substr(1):JSON.stringify(r))+t}getInsertTextForGuessedValue(e,t){switch(typeof e){case"object":return e===null?"${1:null}"+t:this.getInsertTextForValue(e,t);case"string":let n=JSON.stringify(e);return n=n.substr(1,n.length-2),n=this.getInsertTextForPlainText(n),'"${1:'+n+'}"'+t;case"number":case"boolean":return"${1:"+JSON.stringify(e)+"}"+t}return this.getInsertTextForValue(e,t)}getSuggestionKind(e){if(Array.isArray(e)){const t=e;e=t.length>0?t[0]:void 0}if(!e)return ye.Value;switch(e){case"string":return ye.Value;case"object":return ye.Module;case"property":return ye.Property;default:return ye.Value}}getLabelTextForMatchingNode(e,t){switch(e.type){case"array":return"[]";case"object":return"{}";default:return t.getText().substr(e.offset,e.length)}}getInsertTextForMatchingNode(e,t,n){switch(e.type){case"array":return this.getInsertTextForValue([],n);case"object":return this.getInsertTextForValue({},n);default:const r=t.getText().substr(e.offset,e.length)+n;return this.getInsertTextForPlainText(r)}}getInsertTextForProperty(e,t,n,r){const i=this.getInsertTextForValue(e,"");if(!n)return i;const s=i+": ";let a,l=0;if(t){if(Array.isArray(t.defaultSnippets)){if(t.defaultSnippets.length===1){const o=t.defaultSnippets[0].body;Ee(o)&&(a=this.getInsertTextForSnippetValue(o,""))}l+=t.defaultSnippets.length}if(t.enum&&(!a&&t.enum.length===1&&(a=this.getInsertTextForGuessedValue(t.enum[0],"")),l+=t.enum.length),Ee(t.const)&&(a||(a=this.getInsertTextForGuessedValue(t.const,"")),l++),Ee(t.default)&&(a||(a=this.getInsertTextForGuessedValue(t.default,"")),l++),Array.isArray(t.examples)&&t.examples.length&&(a||(a=this.getInsertTextForGuessedValue(t.examples[0],"")),l+=t.examples.length),l===0){let o=Array.isArray(t.type)?t.type[0]:t.type;switch(o||(t.properties?o="object":t.items&&(o="array")),o){case"boolean":a="$1";break;case"string":a='"$1"';break;case"object":a="{$1}";break;case"array":a="[$1]";break;case"number":case"integer":a="${1:0}";break;case"null":a="${1:null}";break;default:return i}}}return(!a||l>1)&&(a="$1"),s+a+r}getCurrentWord(e,t){let n=t-1;const r=e.getText();for(;n>=0&&`
+\r\v":{[,]}`.indexOf(r.charAt(n))===-1;)n--;return r.substring(n+1,t)}evaluateSeparatorAfter(e,t){const n=at(e.getText(),!0);switch(n.setPosition(t),n.scan()){case 5:case 2:case 4:case 17:return"";default:return","}}findItemAtOffset(e,t,n){const r=at(t.getText(),!0),i=e.items;for(let s=i.length-1;s>=0;s--){const a=i[s];if(n>a.offset+a.length)return r.setPosition(a.offset+a.length),r.scan()===5&&n>=r.getTokenOffset()+r.getTokenLength()?s+1:s;if(n>=a.offset)return s}return 0}isInComment(e,t,n){const r=at(e.getText(),!1);r.setPosition(t);let i=r.scan();for(;i!==17&&r.getTokenOffset()+r.getTokenLength()<n;)i=r.scan();return(i===12||i===13)&&r.getTokenOffset()<=n}fromMarkup(e){if(e&&this.doesSupportMarkdown())return{kind:ot.Markdown,value:e}}doesSupportMarkdown(){var e,t,n;if(!Ee(this.supportsMarkdown)){const r=(n=(t=(e=this.clientCapabilities.textDocument)==null?void 0:e.completion)==null?void 0:t.completionItem)==null?void 0:n.documentationFormat;this.supportsMarkdown=Array.isArray(r)&&r.indexOf(ot.Markdown)!==-1}return this.supportsMarkdown}doesSupportsCommitCharacters(){var e,t,n;return Ee(this.supportsCommitCharacters)||(this.labelDetailsSupport=(n=(t=(e=this.clientCapabilities.textDocument)==null?void 0:e.completion)==null?void 0:t.completionItem)==null?void 0:n.commitCharactersSupport),this.supportsCommitCharacters}doesSupportsLabelDetails(){var e,t,n;return Ee(this.labelDetailsSupport)||(this.labelDetailsSupport=(n=(t=(e=this.clientCapabilities.textDocument)==null?void 0:e.completion)==null?void 0:t.completionItem)==null?void 0:n.labelDetailsSupport),this.labelDetailsSupport}},c1=class{constructor(e,t=[],n){this.schemaService=e,this.contributions=t,this.promise=n||Promise}doHover(e,t,n){const r=e.offsetAt(t);let i=n.getNodeFromOffset(r);if(!i||(i.type==="object"||i.type==="array")&&r>i.offset+1&&r<i.offset+i.length-1)return this.promise.resolve(null);const s=i;if(i.type==="string"){const u=i.parent;if(u&&u.type==="property"&&u.keyNode===i&&(i=u.valueNode,!i))return this.promise.resolve(null)}const a=q.create(e.positionAt(s.offset),e.positionAt(s.offset+s.length)),l=u=>({contents:u,range:a}),o=ei(i);for(let u=this.contributions.length-1;u>=0;u--){const c=this.contributions[u].getInfoContribution(e.uri,o);if(c)return c.then(d=>l(d))}return this.schemaService.getSchemaForResource(e.uri,n).then(u=>{if(u&&i){const h=n.getMatchingSchemas(u.schema,i.offset);let c,d,m,g;h.every(x=>{if(x.node===i&&!x.inverted&&x.schema&&(c=c||x.schema.title,d=d||x.schema.markdownDescription||ni(x.schema.description),x.schema.enum)){const w=x.schema.enum.indexOf(ut(i));x.schema.markdownEnumDescriptions?m=x.schema.markdownEnumDescriptions[w]:x.schema.enumDescriptions&&(m=ni(x.schema.enumDescriptions[w])),m&&(g=x.schema.enum[w],typeof g!="string"&&(g=JSON.stringify(g)))}return!0});let p="";return c&&(p=ni(c)),d&&(p.length>0&&(p+=`
+
+`),p+=d),m&&(p.length>0&&(p+=`
+
+`),p+=`\`${f1(g)}\`: ${m}`),l([p])}return null})}};function ni(e){if(e)return e.replace(/([^\n\r])(\r?\n)([^\n\r])/gm,`$1
+
+$3`).replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}function f1(e){return e.indexOf("`")!==-1?"`` "+e+" ``":e}var h1=class{constructor(e,t){this.jsonSchemaService=e,this.promise=t,this.validationEnabled=!0}configure(e){e&&(this.validationEnabled=e.validate!==!1,this.commentSeverity=e.allowComments?void 0:xe.Error)}doValidation(e,t,n,r){if(!this.validationEnabled)return this.promise.resolve([]);const i=[],s={},a=o=>{const u=o.range.start.line+" "+o.range.start.character+" "+o.message;s[u]||(s[u]=!0,i.push(o))},l=o=>{let u=n!=null&&n.trailingCommas?Fn(n.trailingCommas):xe.Error,h=n!=null&&n.comments?Fn(n.comments):this.commentSeverity,c=n!=null&&n.schemaValidation?Fn(n.schemaValidation):xe.Warning,d=n!=null&&n.schemaRequest?Fn(n.schemaRequest):xe.Warning;if(o){const m=(g,p)=>{if(t.root&&d){const x=t.root,w=x.type==="object"?x.properties[0]:void 0;if(w&&w.keyNode.value==="$schema"){const L=w.valueNode||w,v=q.create(e.positionAt(L.offset),e.positionAt(L.offset+L.length));a(ze.create(v,g,d,p))}else{const L=q.create(e.positionAt(x.offset),e.positionAt(x.offset+1));a(ze.create(L,g,d,p))}}};if(o.errors.length)m(o.errors[0],H.SchemaResolveError);else if(c){for(const p of o.warnings)m(p,H.SchemaUnsupportedFeature);const g=t.validate(e,o.schema,c,n==null?void 0:n.schemaDraft);g&&g.forEach(a)}Oo(o.schema)&&(h=void 0),$o(o.schema)&&(u=void 0)}for(const m of t.syntaxErrors){if(m.code===H.TrailingComma){if(typeof u!="number")continue;m.severity=u}a(m)}if(typeof h=="number"){const m=E("Comments are not permitted in JSON.");t.comments.forEach(g=>{a(ze.create(g,m,h,H.CommentNotPermitted))})}return i};if(r){const o=r.id||"schemaservice://untitled/"+d1++;return this.jsonSchemaService.registerExternalSchema({uri:o,schema:r}).getResolvedSchema().then(h=>l(h))}return this.jsonSchemaService.getSchemaForResource(e.uri,t).then(o=>l(o))}getLanguageStatus(e,t){return{schemas:this.jsonSchemaService.getSchemaURIsForResource(e.uri,t)}}},d1=0;function Oo(e){if(e&&typeof e=="object"){if(Ve(e.allowComments))return e.allowComments;if(e.allOf)for(const t of e.allOf){const n=Oo(t);if(Ve(n))return n}}}function $o(e){if(e&&typeof e=="object"){if(Ve(e.allowTrailingCommas))return e.allowTrailingCommas;const t=e;if(Ve(t.allowsTrailingCommas))return t.allowsTrailingCommas;if(e.allOf)for(const n of e.allOf){const r=$o(n);if(Ve(r))return r}}}function Fn(e){switch(e){case"error":return xe.Error;case"warning":return xe.Warning;case"ignore":return}}var Uo=48,m1=57,g1=65,Vn=97,p1=102;function te(e){return e<Uo?0:e<=m1?e-Uo:(e<Vn&&(e+=Vn-g1),e>=Vn&&e<=p1?e-Vn+10:0)}function b1(e){if(e[0]==="#")switch(e.length){case 4:return{red:te(e.charCodeAt(1))*17/255,green:te(e.charCodeAt(2))*17/255,blue:te(e.charCodeAt(3))*17/255,alpha:1};case 5:return{red:te(e.charCodeAt(1))*17/255,green:te(e.charCodeAt(2))*17/255,blue:te(e.charCodeAt(3))*17/255,alpha:te(e.charCodeAt(4))*17/255};case 7:return{red:(te(e.charCodeAt(1))*16+te(e.charCodeAt(2)))/255,green:(te(e.charCodeAt(3))*16+te(e.charCodeAt(4)))/255,blue:(te(e.charCodeAt(5))*16+te(e.charCodeAt(6)))/255,alpha:1};case 9:return{red:(te(e.charCodeAt(1))*16+te(e.charCodeAt(2)))/255,green:(te(e.charCodeAt(3))*16+te(e.charCodeAt(4)))/255,blue:(te(e.charCodeAt(5))*16+te(e.charCodeAt(6)))/255,alpha:(te(e.charCodeAt(7))*16+te(e.charCodeAt(8)))/255}}}var v1=class{constructor(e){this.schemaService=e}findDocumentSymbols(e,t,n={resultLimit:Number.MAX_VALUE}){const r=t.root;if(!r)return[];let i=n.resultLimit||Number.MAX_VALUE;const s=e.uri;if((s==="vscode://defaultsettings/keybindings.json"||Yt(s.toLowerCase(),"/user/keybindings.json"))&&r.type==="array"){const c=[];for(const d of r.items)if(d.type==="object"){for(const m of d.properties)if(m.keyNode.value==="key"&&m.valueNode){const g=Et.create(e.uri,tt(e,d));if(c.push({name:Bo(m.valueNode),kind:Oe.Function,location:g}),i--,i<=0)return n&&n.onResultLimitExceeded&&n.onResultLimitExceeded(s),c}}return c}const a=[{node:r,containerName:""}];let l=0,o=!1;const u=[],h=(c,d)=>{c.type==="array"?c.items.forEach(m=>{m&&a.push({node:m,containerName:d})}):c.type==="object"&&c.properties.forEach(m=>{const g=m.valueNode;if(g)if(i>0){i--;const p=Et.create(e.uri,tt(e,m)),x=d?d+"."+m.keyNode.value:m.keyNode.value;u.push({name:this.getKeyLabel(m),kind:this.getSymbolKind(g.type),location:p,containerName:d}),a.push({node:g,containerName:x})}else o=!0})};for(;l<a.length;){const c=a[l++];h(c.node,c.containerName)}return o&&n&&n.onResultLimitExceeded&&n.onResultLimitExceeded(s),u}findDocumentSymbols2(e,t,n={resultLimit:Number.MAX_VALUE}){const r=t.root;if(!r)return[];let i=n.resultLimit||Number.MAX_VALUE;const s=e.uri;if((s==="vscode://defaultsettings/keybindings.json"||Yt(s.toLowerCase(),"/user/keybindings.json"))&&r.type==="array"){const c=[];for(const d of r.items)if(d.type==="object"){for(const m of d.properties)if(m.keyNode.value==="key"&&m.valueNode){const g=tt(e,d),p=tt(e,m.keyNode);if(c.push({name:Bo(m.valueNode),kind:Oe.Function,range:g,selectionRange:p}),i--,i<=0)return n&&n.onResultLimitExceeded&&n.onResultLimitExceeded(s),c}}return c}const a=[],l=[{node:r,result:a}];let o=0,u=!1;const h=(c,d)=>{c.type==="array"?c.items.forEach((m,g)=>{if(m)if(i>0){i--;const p=tt(e,m),x=p,L={name:String(g),kind:this.getSymbolKind(m.type),range:p,selectionRange:x,children:[]};d.push(L),l.push({result:L.children,node:m})}else u=!0}):c.type==="object"&&c.properties.forEach(m=>{const g=m.valueNode;if(g)if(i>0){i--;const p=tt(e,m),x=tt(e,m.keyNode),w=[],L={name:this.getKeyLabel(m),kind:this.getSymbolKind(g.type),range:p,selectionRange:x,children:w,detail:this.getDetail(g)};d.push(L),l.push({result:w,node:g})}else u=!0})};for(;o<l.length;){const c=l[o++];h(c.node,c.result)}return u&&n&&n.onResultLimitExceeded&&n.onResultLimitExceeded(s),a}getSymbolKind(e){switch(e){case"object":return Oe.Module;case"string":return Oe.String;case"number":return Oe.Number;case"array":return Oe.Array;case"boolean":return Oe.Boolean;default:return Oe.Variable}}getKeyLabel(e){let t=e.keyNode.value;return t&&(t=t.replace(/[\n]/g,"↵")),t&&t.trim()?t:`"${t}"`}getDetail(e){if(e){if(e.type==="boolean"||e.type==="number"||e.type==="null"||e.type==="string")return String(e.value);if(e.type==="array")return e.children.length?void 0:"[]";if(e.type==="object")return e.children.length?void 0:"{}"}}findDocumentColors(e,t,n){return this.schemaService.getSchemaForResource(e.uri,t).then(r=>{const i=[];if(r){let s=n&&typeof n.resultLimit=="number"?n.resultLimit:Number.MAX_VALUE;const a=t.getMatchingSchemas(r.schema),l={};for(const o of a)if(!o.inverted&&o.schema&&(o.schema.format==="color"||o.schema.format==="color-hex")&&o.node&&o.node.type==="string"){const u=String(o.node.offset);if(!l[u]){const h=b1(ut(o.node));if(h){const c=tt(e,o.node);i.push({color:h,range:c})}if(l[u]=!0,s--,s<=0)return n&&n.onResultLimitExceeded&&n.onResultLimitExceeded(e.uri),i}}}return i})}getColorPresentations(e,t,n,r){const i=[],s=Math.round(n.red*255),a=Math.round(n.green*255),l=Math.round(n.blue*255);function o(h){const c=h.toString(16);return c.length!==2?"0"+c:c}let u;return n.alpha===1?u=`#${o(s)}${o(a)}${o(l)}`:u=`#${o(s)}${o(a)}${o(l)}${o(Math.round(n.alpha*255))}`,i.push({label:u,textEdit:De.replace(r,JSON.stringify(u))}),i}};function tt(e,t){return q.create(e.positionAt(t.offset),e.positionAt(t.offset+t.length))}function Bo(e){return ut(e)||E("<empty>")}var ri={schemaAssociations:[],schemas:{"http://json-schema.org/draft-04/schema#":{$schema:"http://json-schema.org/draft-04/schema#",definitions:{schemaArray:{type:"array",minItems:1,items:{$ref:"#"}},positiveInteger:{type:"integer",minimum:0},positiveIntegerDefault0:{allOf:[{$ref:"#/definitions/positiveInteger"},{default:0}]},simpleTypes:{type:"string",enum:["array","boolean","integer","null","number","object","string"]},stringArray:{type:"array",items:{type:"string"},minItems:1,uniqueItems:!0}},type:"object",properties:{id:{type:"string",format:"uri"},$schema:{type:"string",format:"uri"},title:{type:"string"},description:{type:"string"},default:{},multipleOf:{type:"number",minimum:0,exclusiveMinimum:!0},maximum:{type:"number"},exclusiveMaximum:{type:"boolean",default:!1},minimum:{type:"number"},exclusiveMinimum:{type:"boolean",default:!1},maxLength:{allOf:[{$ref:"#/definitions/positiveInteger"}]},minLength:{allOf:[{$ref:"#/definitions/positiveIntegerDefault0"}]},pattern:{type:"string",format:"regex"},additionalItems:{anyOf:[{type:"boolean"},{$ref:"#"}],default:{}},items:{anyOf:[{$ref:"#"},{$ref:"#/definitions/schemaArray"}],default:{}},maxItems:{allOf:[{$ref:"#/definitions/positiveInteger"}]},minItems:{allOf:[{$ref:"#/definitions/positiveIntegerDefault0"}]},uniqueItems:{type:"boolean",default:!1},maxProperties:{allOf:[{$ref:"#/definitions/positiveInteger"}]},minProperties:{allOf:[{$ref:"#/definitions/positiveIntegerDefault0"}]},required:{allOf:[{$ref:"#/definitions/stringArray"}]},additionalProperties:{anyOf:[{type:"boolean"},{$ref:"#"}],default:{}},definitions:{type:"object",additionalProperties:{$ref:"#"},default:{}},properties:{type:"object",additionalProperties:{$ref:"#"},default:{}},patternProperties:{type:"object",additionalProperties:{$ref:"#"},default:{}},dependencies:{type:"object",additionalProperties:{anyOf:[{$ref:"#"},{$ref:"#/definitions/stringArray"}]}},enum:{type:"array",minItems:1,uniqueItems:!0},type:{anyOf:[{$ref:"#/definitions/simpleTypes"},{type:"array",items:{$ref:"#/definitions/simpleTypes"},minItems:1,uniqueItems:!0}]},format:{anyOf:[{type:"string",enum:["date-time","uri","email","hostname","ipv4","ipv6","regex"]},{type:"string"}]},allOf:{allOf:[{$ref:"#/definitions/schemaArray"}]},anyOf:{allOf:[{$ref:"#/definitions/schemaArray"}]},oneOf:{allOf:[{$ref:"#/definitions/schemaArray"}]},not:{allOf:[{$ref:"#"}]}},dependencies:{exclusiveMaximum:["maximum"],exclusiveMinimum:["minimum"]},default:{}},"http://json-schema.org/draft-07/schema#":{definitions:{schemaArray:{type:"array",minItems:1,items:{$ref:"#"}},nonNegativeInteger:{type:"integer",minimum:0},nonNegativeIntegerDefault0:{allOf:[{$ref:"#/definitions/nonNegativeInteger"},{default:0}]},simpleTypes:{enum:["array","boolean","integer","null","number","object","string"]},stringArray:{type:"array",items:{type:"string"},uniqueItems:!0,default:[]}},type:["object","boolean"],properties:{$id:{type:"string",format:"uri-reference"},$schema:{type:"string",format:"uri"},$ref:{type:"string",format:"uri-reference"},$comment:{type:"string"},title:{type:"string"},description:{type:"string"},default:!0,readOnly:{type:"boolean",default:!1},examples:{type:"array",items:!0},multipleOf:{type:"number",exclusiveMinimum:0},maximum:{type:"number"},exclusiveMaximum:{type:"number"},minimum:{type:"number"},exclusiveMinimum:{type:"number"},maxLength:{$ref:"#/definitions/nonNegativeInteger"},minLength:{$ref:"#/definitions/nonNegativeIntegerDefault0"},pattern:{type:"string",format:"regex"},additionalItems:{$ref:"#"},items:{anyOf:[{$ref:"#"},{$ref:"#/definitions/schemaArray"}],default:!0},maxItems:{$ref:"#/definitions/nonNegativeInteger"},minItems:{$ref:"#/definitions/nonNegativeIntegerDefault0"},uniqueItems:{type:"boolean",default:!1},contains:{$ref:"#"},maxProperties:{$ref:"#/definitions/nonNegativeInteger"},minProperties:{$ref:"#/definitions/nonNegativeIntegerDefault0"},required:{$ref:"#/definitions/stringArray"},additionalProperties:{$ref:"#"},definitions:{type:"object",additionalProperties:{$ref:"#"},default:{}},properties:{type:"object",additionalProperties:{$ref:"#"},default:{}},patternProperties:{type:"object",additionalProperties:{$ref:"#"},propertyNames:{format:"regex"},default:{}},dependencies:{type:"object",additionalProperties:{anyOf:[{$ref:"#"},{$ref:"#/definitions/stringArray"}]}},propertyNames:{$ref:"#"},const:!0,enum:{type:"array",items:!0,minItems:1,uniqueItems:!0},type:{anyOf:[{$ref:"#/definitions/simpleTypes"},{type:"array",items:{$ref:"#/definitions/simpleTypes"},minItems:1,uniqueItems:!0}]},format:{type:"string"},contentMediaType:{type:"string"},contentEncoding:{type:"string"},if:{$ref:"#"},then:{$ref:"#"},else:{$ref:"#"},allOf:{$ref:"#/definitions/schemaArray"},anyOf:{$ref:"#/definitions/schemaArray"},oneOf:{$ref:"#/definitions/schemaArray"},not:{$ref:"#"}},default:!0}}},x1={id:E("A unique identifier for the schema."),$schema:E("The schema to verify this document against."),title:E("A descriptive title of the element."),description:E("A long description of the element. Used in hover menus and suggestions."),default:E("A default value. Used by suggestions."),multipleOf:E("A number that should cleanly divide the current value (i.e. have no remainder)."),maximum:E("The maximum numerical value, inclusive by default."),exclusiveMaximum:E("Makes the maximum property exclusive."),minimum:E("The minimum numerical value, inclusive by default."),exclusiveMinimum:E("Makes the minimum property exclusive."),maxLength:E("The maximum length of a string."),minLength:E("The minimum length of a string."),pattern:E("A regular expression to match the string against. It is not implicitly anchored."),additionalItems:E("For arrays, only when items is set as an array. If it is a schema, then this schema validates items after the ones specified by the items array. If it is false, then additional items will cause validation to fail."),items:E("For arrays. Can either be a schema to validate every element against or an array of schemas to validate each item against in order (the first schema will validate the first element, the second schema will validate the second element, and so on."),maxItems:E("The maximum number of items that can be inside an array. Inclusive."),minItems:E("The minimum number of items that can be inside an array. Inclusive."),uniqueItems:E("If all of the items in the array must be unique. Defaults to false."),maxProperties:E("The maximum number of properties an object can have. Inclusive."),minProperties:E("The minimum number of properties an object can have. Inclusive."),required:E("An array of strings that lists the names of all properties required on this object."),additionalProperties:E("Either a schema or a boolean. If a schema, then used to validate all properties not matched by 'properties' or 'patternProperties'. If false, then any properties not matched by either will cause this schema to fail."),definitions:E("Not used for validation. Place subschemas here that you wish to reference inline with $ref."),properties:E("A map of property names to schemas for each property."),patternProperties:E("A map of regular expressions on property names to schemas for matching properties."),dependencies:E("A map of property names to either an array of property names or a schema. An array of property names means the property named in the key depends on the properties in the array being present in the object in order to be valid. If the value is a schema, then the schema is only applied to the object if the property in the key exists on the object."),enum:E("The set of literal values that are valid."),type:E("Either a string of one of the basic schema types (number, integer, null, array, object, boolean, string) or an array of strings specifying a subset of those types."),format:E("Describes the format expected for the value."),allOf:E("An array of schemas, all of which must match."),anyOf:E("An array of schemas, where at least one must match."),oneOf:E("An array of schemas, exactly one of which must match."),not:E("A schema which must not match."),$id:E("A unique identifier for the schema."),$ref:E("Reference a definition hosted on any location."),$comment:E("Comments from schema authors to readers or maintainers of the schema."),readOnly:E("Indicates that the value of the instance is managed exclusively by the owning authority."),examples:E("Sample JSON values associated with a particular schema, for the purpose of illustrating usage."),contains:E('An array instance is valid against "contains" if at least one of its elements is valid against the given schema.'),propertyNames:E("If the instance is an object, this keyword validates if every property name in the instance validates against the provided schema."),const:E("An instance validates successfully against this keyword if its value is equal to the value of the keyword."),contentMediaType:E("Describes the media type of a string property."),contentEncoding:E("Describes the content encoding of a string property."),if:E('The validation outcome of the "if" subschema controls which of the "then" or "else" keywords are evaluated.'),then:E('The "if" subschema is used for validation when the "if" subschema succeeds.'),else:E('The "else" subschema is used for validation when the "if" subschema fails.')};for(const e in ri.schemas){const t=ri.schemas[e];for(const n in t.properties){let r=t.properties[n];typeof r=="boolean"&&(r=t.properties[n]={});const i=x1[n];i&&(r.description=i)}}var qo;(()=>{var e={470:i=>{function s(o){if(typeof o!="string")throw new TypeError("Path must be a string. Received "+JSON.stringify(o))}function a(o,u){for(var h,c="",d=0,m=-1,g=0,p=0;p<=o.length;++p){if(p<o.length)h=o.charCodeAt(p);else{if(h===47)break;h=47}if(h===47){if(!(m===p-1||g===1))if(m!==p-1&&g===2){if(c.length<2||d!==2||c.charCodeAt(c.length-1)!==46||c.charCodeAt(c.length-2)!==46){if(c.length>2){var x=c.lastIndexOf("/");if(x!==c.length-1){x===-1?(c="",d=0):d=(c=c.slice(0,x)).length-1-c.lastIndexOf("/"),m=p,g=0;continue}}else if(c.length===2||c.length===1){c="",d=0,m=p,g=0;continue}}u&&(c.length>0?c+="/..":c="..",d=2)}else c.length>0?c+="/"+o.slice(m+1,p):c=o.slice(m+1,p),d=p-m-1;m=p,g=0}else h===46&&g!==-1?++g:g=-1}return c}var l={resolve:function(){for(var o,u="",h=!1,c=arguments.length-1;c>=-1&&!h;c--){var d;c>=0?d=arguments[c]:(o===void 0&&(o=process.cwd()),d=o),s(d),d.length!==0&&(u=d+"/"+u,h=d.charCodeAt(0)===47)}return u=a(u,!h),h?u.length>0?"/"+u:"/":u.length>0?u:"."},normalize:function(o){if(s(o),o.length===0)return".";var u=o.charCodeAt(0)===47,h=o.charCodeAt(o.length-1)===47;return(o=a(o,!u)).length!==0||u||(o="."),o.length>0&&h&&(o+="/"),u?"/"+o:o},isAbsolute:function(o){return s(o),o.length>0&&o.charCodeAt(0)===47},join:function(){if(arguments.length===0)return".";for(var o,u=0;u<arguments.length;++u){var h=arguments[u];s(h),h.length>0&&(o===void 0?o=h:o+="/"+h)}return o===void 0?".":l.normalize(o)},relative:function(o,u){if(s(o),s(u),o===u||(o=l.resolve(o))===(u=l.resolve(u)))return"";for(var h=1;h<o.length&&o.charCodeAt(h)===47;++h);for(var c=o.length,d=c-h,m=1;m<u.length&&u.charCodeAt(m)===47;++m);for(var g=u.length-m,p=d<g?d:g,x=-1,w=0;w<=p;++w){if(w===p){if(g>p){if(u.charCodeAt(m+w)===47)return u.slice(m+w+1);if(w===0)return u.slice(m+w)}else d>p&&(o.charCodeAt(h+w)===47?x=w:w===0&&(x=0));break}var L=o.charCodeAt(h+w);if(L!==u.charCodeAt(m+w))break;L===47&&(x=w)}var v="";for(w=h+x+1;w<=c;++w)w!==c&&o.charCodeAt(w)!==47||(v.length===0?v+="..":v+="/..");return v.length>0?v+u.slice(m+x):(m+=x,u.charCodeAt(m)===47&&++m,u.slice(m))},_makeLong:function(o){return o},dirname:function(o){if(s(o),o.length===0)return".";for(var u=o.charCodeAt(0),h=u===47,c=-1,d=!0,m=o.length-1;m>=1;--m)if((u=o.charCodeAt(m))===47){if(!d){c=m;break}}else d=!1;return c===-1?h?"/":".":h&&c===1?"//":o.slice(0,c)},basename:function(o,u){if(u!==void 0&&typeof u!="string")throw new TypeError('"ext" argument must be a string');s(o);var h,c=0,d=-1,m=!0;if(u!==void 0&&u.length>0&&u.length<=o.length){if(u.length===o.length&&u===o)return"";var g=u.length-1,p=-1;for(h=o.length-1;h>=0;--h){var x=o.charCodeAt(h);if(x===47){if(!m){c=h+1;break}}else p===-1&&(m=!1,p=h+1),g>=0&&(x===u.charCodeAt(g)?--g==-1&&(d=h):(g=-1,d=p))}return c===d?d=p:d===-1&&(d=o.length),o.slice(c,d)}for(h=o.length-1;h>=0;--h)if(o.charCodeAt(h)===47){if(!m){c=h+1;break}}else d===-1&&(m=!1,d=h+1);return d===-1?"":o.slice(c,d)},extname:function(o){s(o);for(var u=-1,h=0,c=-1,d=!0,m=0,g=o.length-1;g>=0;--g){var p=o.charCodeAt(g);if(p!==47)c===-1&&(d=!1,c=g+1),p===46?u===-1?u=g:m!==1&&(m=1):u!==-1&&(m=-1);else if(!d){h=g+1;break}}return u===-1||c===-1||m===0||m===1&&u===c-1&&u===h+1?"":o.slice(u,c)},format:function(o){if(o===null||typeof o!="object")throw new TypeError('The "pathObject" argument must be of type Object. Received type '+typeof o);return function(u,h){var c=h.dir||h.root,d=h.base||(h.name||"")+(h.ext||"");return c?c===h.root?c+d:c+"/"+d:d}(0,o)},parse:function(o){s(o);var u={root:"",dir:"",base:"",ext:"",name:""};if(o.length===0)return u;var h,c=o.charCodeAt(0),d=c===47;d?(u.root="/",h=1):h=0;for(var m=-1,g=0,p=-1,x=!0,w=o.length-1,L=0;w>=h;--w)if((c=o.charCodeAt(w))!==47)p===-1&&(x=!1,p=w+1),c===46?m===-1?m=w:L!==1&&(L=1):m!==-1&&(L=-1);else if(!x){g=w+1;break}return m===-1||p===-1||L===0||L===1&&m===p-1&&m===g+1?p!==-1&&(u.base=u.name=g===0&&d?o.slice(1,p):o.slice(g,p)):(g===0&&d?(u.name=o.slice(1,m),u.base=o.slice(1,p)):(u.name=o.slice(g,m),u.base=o.slice(g,p)),u.ext=o.slice(m,p)),g>0?u.dir=o.slice(0,g-1):d&&(u.dir="/"),u},sep:"/",delimiter:":",win32:null,posix:null};l.posix=l,i.exports=l}},t={};function n(i){var s=t[i];if(s!==void 0)return s.exports;var a=t[i]={exports:{}};return e[i](a,a.exports,n),a.exports}n.d=(i,s)=>{for(var a in s)n.o(s,a)&&!n.o(i,a)&&Object.defineProperty(i,a,{enumerable:!0,get:s[a]})},n.o=(i,s)=>Object.prototype.hasOwnProperty.call(i,s),n.r=i=>{typeof Symbol<"u"&&Symbol.toStringTag&&Object.defineProperty(i,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(i,"__esModule",{value:!0})};var r={};(()=>{let i;n.r(r),n.d(r,{URI:()=>d,Utils:()=>O}),typeof process=="object"?i=process.platform==="win32":typeof navigator=="object"&&(i=navigator.userAgent.indexOf("Windows")>=0);const s=/^\w[\w\d+.-]*$/,a=/^\//,l=/^\/\//;function o(M,N){if(!M.scheme&&N)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${M.authority}", path: "${M.path}", query: "${M.query}", fragment: "${M.fragment}"}`);if(M.scheme&&!s.test(M.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(M.path){if(M.authority){if(!a.test(M.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if(l.test(M.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}const u="",h="/",c=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;class d{constructor(N,k,R,I,T,P=!1){it(this,"scheme");it(this,"authority");it(this,"path");it(this,"query");it(this,"fragment");typeof N=="object"?(this.scheme=N.scheme||u,this.authority=N.authority||u,this.path=N.path||u,this.query=N.query||u,this.fragment=N.fragment||u):(this.scheme=function(D,$){return D||$?D:"file"}(N,P),this.authority=k||u,this.path=function(D,$){switch(D){case"https":case"http":case"file":$?$[0]!==h&&($=h+$):$=h}return $}(this.scheme,R||u),this.query=I||u,this.fragment=T||u,o(this,P))}static isUri(N){return N instanceof d||!!N&&typeof N.authority=="string"&&typeof N.fragment=="string"&&typeof N.path=="string"&&typeof N.query=="string"&&typeof N.scheme=="string"&&typeof N.fsPath=="string"&&typeof N.with=="function"&&typeof N.toString=="function"}get fsPath(){return L(this)}with(N){if(!N)return this;let{scheme:k,authority:R,path:I,query:T,fragment:P}=N;return k===void 0?k=this.scheme:k===null&&(k=u),R===void 0?R=this.authority:R===null&&(R=u),I===void 0?I=this.path:I===null&&(I=u),T===void 0?T=this.query:T===null&&(T=u),P===void 0?P=this.fragment:P===null&&(P=u),k===this.scheme&&R===this.authority&&I===this.path&&T===this.query&&P===this.fragment?this:new g(k,R,I,T,P)}static parse(N,k=!1){const R=c.exec(N);return R?new g(R[2]||u,_(R[4]||u),_(R[5]||u),_(R[7]||u),_(R[9]||u),k):new g(u,u,u,u,u)}static file(N){let k=u;if(i&&(N=N.replace(/\\/g,h)),N[0]===h&&N[1]===h){const R=N.indexOf(h,2);R===-1?(k=N.substring(2),N=h):(k=N.substring(2,R),N=N.substring(R)||h)}return new g("file",k,N,u,u)}static from(N){const k=new g(N.scheme,N.authority,N.path,N.query,N.fragment);return o(k,!0),k}toString(N=!1){return v(this,N)}toJSON(){return this}static revive(N){if(N){if(N instanceof d)return N;{const k=new g(N);return k._formatted=N.external,k._fsPath=N._sep===m?N.fsPath:null,k}}return N}}const m=i?1:void 0;class g extends d{constructor(){super(...arguments);it(this,"_formatted",null);it(this,"_fsPath",null)}get fsPath(){return this._fsPath||(this._fsPath=L(this)),this._fsPath}toString(k=!1){return k?v(this,!0):(this._formatted||(this._formatted=v(this,!1)),this._formatted)}toJSON(){const k={$mid:1};return this._fsPath&&(k.fsPath=this._fsPath,k._sep=m),this._formatted&&(k.external=this._formatted),this.path&&(k.path=this.path),this.scheme&&(k.scheme=this.scheme),this.authority&&(k.authority=this.authority),this.query&&(k.query=this.query),this.fragment&&(k.fragment=this.fragment),k}}const p={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function x(M,N,k){let R,I=-1;for(let T=0;T<M.length;T++){const P=M.charCodeAt(T);if(P>=97&&P<=122||P>=65&&P<=90||P>=48&&P<=57||P===45||P===46||P===95||P===126||N&&P===47||k&&P===91||k&&P===93||k&&P===58)I!==-1&&(R+=encodeURIComponent(M.substring(I,T)),I=-1),R!==void 0&&(R+=M.charAt(T));else{R===void 0&&(R=M.substr(0,T));const D=p[P];D!==void 0?(I!==-1&&(R+=encodeURIComponent(M.substring(I,T)),I=-1),R+=D):I===-1&&(I=T)}}return I!==-1&&(R+=encodeURIComponent(M.substring(I))),R!==void 0?R:M}function w(M){let N;for(let k=0;k<M.length;k++){const R=M.charCodeAt(k);R===35||R===63?(N===void 0&&(N=M.substr(0,k)),N+=p[R]):N!==void 0&&(N+=M[k])}return N!==void 0?N:M}function L(M,N){let k;return k=M.authority&&M.path.length>1&&M.scheme==="file"?`//${M.authority}${M.path}`:M.path.charCodeAt(0)===47&&(M.path.charCodeAt(1)>=65&&M.path.charCodeAt(1)<=90||M.path.charCodeAt(1)>=97&&M.path.charCodeAt(1)<=122)&&M.path.charCodeAt(2)===58?M.path[1].toLowerCase()+M.path.substr(2):M.path,i&&(k=k.replace(/\//g,"\\")),k}function v(M,N){const k=N?w:x;let R="",{scheme:I,authority:T,path:P,query:D,fragment:$}=M;if(I&&(R+=I,R+=":"),(T||I==="file")&&(R+=h,R+=h),T){let j=T.indexOf("@");if(j!==-1){const ne=T.substr(0,j);T=T.substr(j+1),j=ne.lastIndexOf(":"),j===-1?R+=k(ne,!1,!1):(R+=k(ne.substr(0,j),!1,!1),R+=":",R+=k(ne.substr(j+1),!1,!0)),R+="@"}T=T.toLowerCase(),j=T.lastIndexOf(":"),j===-1?R+=k(T,!1,!0):(R+=k(T.substr(0,j),!1,!0),R+=T.substr(j))}if(P){if(P.length>=3&&P.charCodeAt(0)===47&&P.charCodeAt(2)===58){const j=P.charCodeAt(1);j>=65&&j<=90&&(P=`/${String.fromCharCode(j+32)}:${P.substr(3)}`)}else if(P.length>=2&&P.charCodeAt(1)===58){const j=P.charCodeAt(0);j>=65&&j<=90&&(P=`${String.fromCharCode(j+32)}:${P.substr(2)}`)}R+=k(P,!0,!1)}return D&&(R+="?",R+=k(D,!1,!1)),$&&(R+="#",R+=N?$:x($,!1,!1)),R}function y(M){try{return decodeURIComponent(M)}catch{return M.length>3?M.substr(0,3)+y(M.substr(3)):M}}const b=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function _(M){return M.match(b)?M.replace(b,N=>y(N)):M}var A=n(470);const C=A.posix||A,F="/";var O;(function(M){M.joinPath=function(N,...k){return N.with({path:C.join(N.path,...k)})},M.resolvePath=function(N,...k){let R=N.path,I=!1;R[0]!==F&&(R=F+R,I=!0);let T=C.resolve(R,...k);return I&&T[0]===F&&!N.authority&&(T=T.substring(1)),N.with({path:T})},M.dirname=function(N){if(N.path.length===0||N.path===F)return N;let k=C.dirname(N.path);return k.length===1&&k.charCodeAt(0)===46&&(k=""),N.with({path:k})},M.basename=function(N){return C.basename(N.path)},M.extname=function(N){return C.extname(N.path)}})(O||(O={}))})(),qo=r})();var{URI:Tt,Utils:tf}=qo;function y1(e,t){if(typeof e!="string")throw new TypeError("Expected a string");const n=String(e);let r="";const i=t?!!t.extended:!1,s=t?!!t.globstar:!1;let a=!1;const l=t&&typeof t.flags=="string"?t.flags:"";let o;for(let u=0,h=n.length;u<h;u++)switch(o=n[u],o){case"/":case"$":case"^":case"+":case".":case"(":case")":case"=":case"!":case"|":r+="\\"+o;break;case"?":if(i){r+=".";break}case"[":case"]":if(i){r+=o;break}case"{":if(i){a=!0,r+="(";break}case"}":if(i){a=!1,r+=")";break}case",":if(a){r+="|";break}r+="\\"+o;break;case"*":const c=n[u-1];let d=1;for(;n[u+1]==="*";)d++,u++;const m=n[u+1];s?d>1&&(c==="/"||c===void 0||c==="{"||c===",")&&(m==="/"||m===void 0||m===","||m==="}")?(m==="/"?u++:c==="/"&&r.endsWith("\\/")&&(r=r.substr(0,r.length-2)),r+="((?:[^/]*(?:/|$))*)"):r+="([^/]*)":r+=".*";break;default:r+=o}return(!l||!~l.indexOf("g"))&&(r="^"+r+"$"),new RegExp(r,l)}var w1="!",_1="/",L1=class{constructor(e,t,n){this.folderUri=t,this.uris=n,this.globWrappers=[];try{for(let r of e){const i=r[0]!==w1;i||(r=r.substring(1)),r.length>0&&(r[0]===_1&&(r=r.substring(1)),this.globWrappers.push({regexp:y1("**/"+r,{extended:!0,globstar:!0}),include:i}))}t&&(t=Wo(t),t.endsWith("/")||(t=t+"/"),this.folderUri=t)}catch{this.globWrappers.length=0,this.uris=[]}}matchesPattern(e){if(this.folderUri&&!e.startsWith(this.folderUri))return!1;let t=!1;for(const{regexp:n,include:r}of this.globWrappers)n.test(e)&&(t=r);return t}getURIs(){return this.uris}},N1=class{constructor(e,t,n){this.service=e,this.uri=t,this.dependencies=new Set,this.anchors=void 0,n&&(this.unresolvedSchema=this.service.promise.resolve(new tn(n)))}getUnresolvedSchema(){return this.unresolvedSchema||(this.unresolvedSchema=this.service.loadSchema(this.uri)),this.unresolvedSchema}getResolvedSchema(){return this.resolvedSchema||(this.resolvedSchema=this.getUnresolvedSchema().then(e=>this.service.resolveSchemaContent(e,this))),this.resolvedSchema}clearSchema(){const e=!!this.unresolvedSchema;return this.resolvedSchema=void 0,this.unresolvedSchema=void 0,this.dependencies.clear(),this.anchors=void 0,e}},tn=class{constructor(e,t=[]){this.schema=e,this.errors=t}},jo=class{constructor(e,t=[],n=[],r){this.schema=e,this.errors=t,this.warnings=n,this.schemaDraft=r}getSection(e){const t=this.getSectionRecursive(e,this.schema);if(t)return we(t)}getSectionRecursive(e,t){if(!t||typeof t=="boolean"||e.length===0)return t;const n=e.shift();if(t.properties&&typeof t.properties[n])return this.getSectionRecursive(e,t.properties[n]);if(t.patternProperties)for(const r of Object.keys(t.patternProperties)){const i=Mn(r);if(i!=null&&i.test(n))return this.getSectionRecursive(e,t.patternProperties[r])}else{if(typeof t.additionalProperties=="object")return this.getSectionRecursive(e,t.additionalProperties);if(n.match("[0-9]+")){if(Array.isArray(t.items)){const r=parseInt(n,10);if(!isNaN(r)&&t.items[r])return this.getSectionRecursive(e,t.items[r])}else if(t.items)return this.getSectionRecursive(e,t.items)}}}},S1=class{constructor(e,t,n){this.contextService=t,this.requestService=e,this.promiseConstructor=n||Promise,this.callOnDispose=[],this.contributionSchemas={},this.contributionAssociations=[],this.schemasById={},this.filePatternAssociations=[],this.registeredSchemasIds={}}getRegisteredSchemaIds(e){return Object.keys(this.registeredSchemasIds).filter(t=>{const n=Tt.parse(t).scheme;return n!=="schemaservice"&&(!e||e(n))})}get promise(){return this.promiseConstructor}dispose(){for(;this.callOnDispose.length>0;)this.callOnDispose.pop()()}onResourceChange(e){this.cachedSchemaForResource=void 0;let t=!1;e=nt(e);const n=[e],r=Object.keys(this.schemasById).map(i=>this.schemasById[i]);for(;n.length;){const i=n.pop();for(let s=0;s<r.length;s++){const a=r[s];a&&(a.uri===i||a.dependencies.has(i))&&(a.uri!==i&&n.push(a.uri),a.clearSchema()&&(t=!0),r[s]=void 0)}}return t}setSchemaContributions(e){if(e.schemas){const t=e.schemas;for(const n in t){const r=nt(n);this.contributionSchemas[r]=this.addSchemaHandle(r,t[n])}}if(Array.isArray(e.schemaAssociations)){const t=e.schemaAssociations;for(let n of t){const r=n.uris.map(nt),i=this.addFilePatternAssociation(n.pattern,n.folderUri,r);this.contributionAssociations.push(i)}}}addSchemaHandle(e,t){const n=new N1(this,e,t);return this.schemasById[e]=n,n}getOrAddSchemaHandle(e,t){return this.schemasById[e]||this.addSchemaHandle(e,t)}addFilePatternAssociation(e,t,n){const r=new L1(e,t,n);return this.filePatternAssociations.push(r),r}registerExternalSchema(e){const t=nt(e.uri);return this.registeredSchemasIds[t]=!0,this.cachedSchemaForResource=void 0,e.fileMatch&&e.fileMatch.length&&this.addFilePatternAssociation(e.fileMatch,e.folderUri,[t]),e.schema?this.addSchemaHandle(t,e.schema):this.getOrAddSchemaHandle(t)}clearExternalSchemas(){this.schemasById={},this.filePatternAssociations=[],this.registeredSchemasIds={},this.cachedSchemaForResource=void 0;for(const e in this.contributionSchemas)this.schemasById[e]=this.contributionSchemas[e],this.registeredSchemasIds[e]=!0;for(const e of this.contributionAssociations)this.filePatternAssociations.push(e)}getResolvedSchema(e){const t=nt(e),n=this.schemasById[t];return n?n.getResolvedSchema():this.promise.resolve(void 0)}loadSchema(e){if(!this.requestService){const t=E("Unable to load schema from '{0}'. No schema request service available",nn(e));return this.promise.resolve(new tn({},[t]))}return e.startsWith("http://json-schema.org/")&&(e="https"+e.substring(4)),this.requestService(e).then(t=>{if(!t){const s=E("Unable to load schema from '{0}': No content.",nn(e));return new tn({},[s])}const n=[];t.charCodeAt(0)===65279&&(n.push(E("Problem reading content from '{0}': UTF-8 with BOM detected, only UTF 8 is allowed.",nn(e))),t=t.trimStart());let r={};const i=[];return r=jc(t,i),i.length&&n.push(E("Unable to parse content from '{0}': Parse error at offset {1}.",nn(e),i[0].offset)),new tn(r,n)},t=>{let n=t.toString();const r=t.toString().split("Error: ");return r.length>1&&(n=r[1]),Yt(n,".")&&(n=n.substr(0,n.length-1)),new tn({},[E("Unable to load schema from '{0}': {1}.",nn(e),n)])})}resolveSchemaContent(e,t){const n=e.errors.slice(0),r=e.schema;let i=r.$schema?nt(r.$schema):void 0;if(i==="http://json-schema.org/draft-03/schema")return this.promise.resolve(new jo({},[E("Draft-03 schemas are not supported.")],[],i));let s=new Set;const a=this.contextService,l=(g,p)=>{p=decodeURIComponent(p);let x=g;return p[0]==="/"&&(p=p.substring(1)),p.split("/").some(w=>(w=w.replace(/~1/g,"/").replace(/~0/g,"~"),x=x[w],!x)),x},o=(g,p,x)=>(p.anchors||(p.anchors=m(g)),p.anchors.get(x)),u=(g,p)=>{for(const x in p)p.hasOwnProperty(x)&&x!=="id"&&x!=="$id"&&(g[x]=p[x])},h=(g,p,x,w)=>{let L;w===void 0||w.length===0?L=p:w.charAt(0)==="/"?L=l(p,w):L=o(p,x,w),L?u(g,L):n.push(E("$ref '{0}' in '{1}' can not be resolved.",w||"",x.uri))},c=(g,p,x,w)=>{a&&!/^[A-Za-z][A-Za-z0-9+\-.+]*:\/\/.*/.test(p)&&(p=a.resolveRelativePath(p,w.uri)),p=nt(p);const L=this.getOrAddSchemaHandle(p);return L.getUnresolvedSchema().then(v=>{if(w.dependencies.add(p),v.errors.length){const y=x?p+"#"+x:p;n.push(E("Problems loading reference '{0}': {1}",y,v.errors[0]))}return h(g,v.schema,L,x),d(g,v.schema,L)})},d=(g,p,x)=>{const w=[];return this.traverseNodes(g,L=>{const v=new Set;for(;L.$ref;){const y=L.$ref,b=y.split("#",2);if(delete L.$ref,b[0].length>0){w.push(c(L,b[0],b[1],x));return}else if(!v.has(y)){const _=b[1];h(L,p,x,_),v.add(y)}}L.$recursiveRef&&s.add("$recursiveRef"),L.$dynamicRef&&s.add("$dynamicRef")}),this.promise.all(w)},m=g=>{const p=new Map;return this.traverseNodes(g,x=>{const w=x.$id||x.id,L=Ta(w)&&w.charAt(0)==="#"?w.substring(1):x.$anchor;L&&(p.has(L)?n.push(E("Duplicate anchor declaration: '{0}'",L)):p.set(L,x)),x.$recursiveAnchor&&s.add("$recursiveAnchor"),x.$dynamicAnchor&&s.add("$dynamicAnchor")}),p};return d(r,r,t).then(g=>{let p=[];return s.size&&p.push(E("The schema uses meta-schema features ({0}) that are not yet supported by the validator.",Array.from(s.keys()).join(", "))),new jo(r,n,p,i)})}traverseNodes(e,t){if(!e||typeof e!="object")return Promise.resolve(null);const n=new Set,r=(...u)=>{for(const h of u)et(h)&&l.push(h)},i=(...u)=>{for(const h of u)if(et(h))for(const c in h){const m=h[c];et(m)&&l.push(m)}},s=(...u)=>{for(const h of u)if(Array.isArray(h))for(const c of h)et(c)&&l.push(c)},a=u=>{if(Array.isArray(u))for(const h of u)et(h)&&l.push(h);else et(u)&&l.push(u)},l=[e];let o=l.pop();for(;o;)n.has(o)||(n.add(o),t(o),r(o.additionalItems,o.additionalProperties,o.not,o.contains,o.propertyNames,o.if,o.then,o.else,o.unevaluatedItems,o.unevaluatedProperties),i(o.definitions,o.$defs,o.properties,o.patternProperties,o.dependencies,o.dependentSchemas),s(o.anyOf,o.allOf,o.oneOf,o.prefixItems),a(o.items)),o=l.pop()}getSchemaFromProperty(e,t){var n,r;if(((n=t.root)==null?void 0:n.type)==="object"){for(const i of t.root.properties)if(i.keyNode.value==="$schema"&&((r=i.valueNode)==null?void 0:r.type)==="string"){let s=i.valueNode.value;return this.contextService&&!/^\w[\w\d+.-]*:/.test(s)&&(s=this.contextService.resolveRelativePath(s,e)),s}}}getAssociatedSchemas(e){const t=Object.create(null),n=[],r=Wo(e);for(const i of this.filePatternAssociations)if(i.matchesPattern(r))for(const s of i.getURIs())t[s]||(n.push(s),t[s]=!0);return n}getSchemaURIsForResource(e,t){let n=t&&this.getSchemaFromProperty(e,t);return n?[n]:this.getAssociatedSchemas(e)}getSchemaForResource(e,t){if(t){let i=this.getSchemaFromProperty(e,t);if(i){const s=nt(i);return this.getOrAddSchemaHandle(s).getResolvedSchema()}}if(this.cachedSchemaForResource&&this.cachedSchemaForResource.resource===e)return this.cachedSchemaForResource.resolvedSchema;const n=this.getAssociatedSchemas(e),r=n.length>0?this.createCombinedSchema(e,n).getResolvedSchema():this.promise.resolve(void 0);return this.cachedSchemaForResource={resource:e,resolvedSchema:r},r}createCombinedSchema(e,t){if(t.length===1)return this.getOrAddSchemaHandle(t[0]);{const n="schemaservice://combinedSchema/"+encodeURIComponent(e),r={allOf:t.map(i=>({$ref:i}))};return this.addSchemaHandle(n,r)}}getMatchingSchemas(e,t,n){if(n){const r=n.id||"schemaservice://untitled/matchingSchemas/"+A1++;return this.addSchemaHandle(r,n).getResolvedSchema().then(s=>t.getMatchingSchemas(s.schema).filter(a=>!a.inverted))}return this.getSchemaForResource(e.uri,t).then(r=>r?t.getMatchingSchemas(r.schema).filter(i=>!i.inverted):[])}},A1=0;function nt(e){try{return Tt.parse(e).toString(!0)}catch{return e}}function Wo(e){try{return Tt.parse(e).with({fragment:null,query:null}).toString(!0)}catch{return e}}function nn(e){try{const t=Tt.parse(e);if(t.scheme==="file")return t.fsPath}catch{}return e}function k1(e,t){const n=[],r=[],i=[];let s=-1;const a=at(e.getText(),!1);let l=a.scan();function o(g){n.push(g),r.push(i.length)}for(;l!==17;){switch(l){case 1:case 3:{const g=e.positionAt(a.getTokenOffset()).line,p={startLine:g,endLine:g,kind:l===1?"object":"array"};i.push(p);break}case 2:case 4:{const g=l===2?"object":"array";if(i.length>0&&i[i.length-1].kind===g){const p=i.pop(),x=e.positionAt(a.getTokenOffset()).line;p&&x>p.startLine+1&&s!==p.startLine&&(p.endLine=x-1,o(p),s=p.startLine)}break}case 13:{const g=e.positionAt(a.getTokenOffset()).line,p=e.positionAt(a.getTokenOffset()+a.getTokenLength()).line;a.getTokenError()===1&&g+1<e.lineCount?a.setPosition(e.offsetAt(Z.create(g+1,0))):g<p&&(o({startLine:g,endLine:p,kind:Zt.Comment}),s=g);break}case 12:{const p=e.getText().substr(a.getTokenOffset(),a.getTokenLength()).match(/^\/\/\s*#(region\b)|(endregion\b)/);if(p){const x=e.positionAt(a.getTokenOffset()).line;if(p[1]){const w={startLine:x,endLine:x,kind:Zt.Region};i.push(w)}else{let w=i.length-1;for(;w>=0&&i[w].kind!==Zt.Region;)w--;if(w>=0){const L=i[w];i.length=w,x>L.startLine&&s!==L.startLine&&(L.endLine=x,o(L),s=L.startLine)}}}break}}l=a.scan()}const u=t&&t.rangeLimit;if(typeof u!="number"||n.length<=u)return n;t&&t.onRangeLimitExceeded&&t.onRangeLimitExceeded(e.uri);const h=[];for(let g of r)g<30&&(h[g]=(h[g]||0)+1);let c=0,d=0;for(let g=0;g<h.length;g++){const p=h[g];if(p){if(p+c>u){d=g;break}c+=p}}const m=[];for(let g=0;g<n.length;g++){const p=r[g];typeof p=="number"&&(p<d||p===d&&c++<u)&&m.push(n[g])}return m}function R1(e,t,n){function r(l){let o=e.offsetAt(l),u=n.getNodeFromOffset(o,!0);const h=[];for(;u;){switch(u.type){case"string":case"object":case"array":const d=u.offset+1,m=u.offset+u.length-1;d<m&&o>=d&&o<=m&&h.push(i(d,m)),h.push(i(u.offset,u.offset+u.length));break;case"number":case"boolean":case"null":case"property":h.push(i(u.offset,u.offset+u.length));break}if(u.type==="property"||u.parent&&u.parent.type==="array"){const d=a(u.offset+u.length,5);d!==-1&&h.push(i(u.offset,d))}u=u.parent}let c;for(let d=h.length-1;d>=0;d--)c=In.create(h[d],c);return c||(c=In.create(q.create(l,l))),c}function i(l,o){return q.create(e.positionAt(l),e.positionAt(o))}const s=at(e.getText(),!0);function a(l,o){return s.setPosition(l),s.scan()===o?s.getTokenOffset()+s.getTokenLength():-1}return t.map(r)}function ii(e,t,n){let r;if(n){const s=e.offsetAt(n.start),a=e.offsetAt(n.end)-s;r={offset:s,length:a}}const i={tabSize:t?t.tabSize:4,insertSpaces:(t==null?void 0:t.insertSpaces)===!0,insertFinalNewline:(t==null?void 0:t.insertFinalNewline)===!0,eol:`
+`,keepLines:(t==null?void 0:t.keepLines)===!0};return Gc(e.getText(),r,i).map(s=>De.replace(q.create(e.positionAt(s.offset),e.positionAt(s.offset+s.length)),s.content))}var se;(function(e){e[e.Object=0]="Object",e[e.Array=1]="Array"})(se||(se={}));var Dn=class{constructor(e,t){this.propertyName=e??"",this.beginningLineNumber=t,this.childrenProperties=[],this.lastProperty=!1,this.noKeyName=!1}addChildProperty(e){if(e.parent=this,this.childrenProperties.length>0){let t=0;e.noKeyName?t=this.childrenProperties.length:t=M1(this.childrenProperties,e,E1),t<0&&(t=t*-1-1),this.childrenProperties.splice(t,0,e)}else this.childrenProperties.push(e);return e}};function E1(e,t){const n=e.propertyName.toLowerCase(),r=t.propertyName.toLowerCase();return n<r?-1:n>r?1:0}function M1(e,t,n){const r=t.propertyName.toLowerCase(),i=e[0].propertyName.toLowerCase(),s=e[e.length-1].propertyName.toLowerCase();if(r<i)return 0;if(r>s)return e.length;let a=0,l=e.length-1;for(;a<=l;){let o=l+a>>1,u=n(t,e[o]);if(u>0)a=o+1;else if(u<0)l=o-1;else return o}return-a-1}function C1(e,t){const n={...t,keepLines:!1},r=Me.applyEdits(e,ii(e,n,void 0)),i=Me.create("test://test.json","json",0,r),s=T1(i),a=P1(i,s),l=ii(a,n,void 0),o=Me.applyEdits(a,l);return[De.replace(q.create(Z.create(0,0),e.positionAt(e.getText().length)),o)]}function T1(e){const t=e.getText(),n=at(t,!1);let r=new Dn,i=r,s=r,a=r,l,o=0,u=0,h,c,d=-1,m=-1,g=0,p=0,x=[],w=!1,L=!1;for(;(l=n.scan())!==17;){if(w===!0&&l!==14&&l!==15&&l!==12&&l!==13&&s.endLineNumber===void 0){let v=n.getTokenStartLine();c===2||c===4?a.endLineNumber=v-1:s.endLineNumber=v-1,g=v,w=!1}if(L===!0&&l!==14&&l!==15&&l!==12&&l!==13&&(g=n.getTokenStartLine(),L=!1),n.getTokenStartLine()!==o){for(let v=o;v<n.getTokenStartLine();v++){const y=e.getText(q.create(Z.create(v,0),Z.create(v+1,0))).length;u=u+y}o=n.getTokenStartLine()}switch(l){case 10:{if(h===void 0||h===1||h===5&&x[x.length-1]===se.Object){const v=new Dn(n.getTokenValue(),g);a=s,s=i.addChildProperty(v)}break}case 3:{if(r.beginningLineNumber===void 0&&(r.beginningLineNumber=n.getTokenStartLine()),x[x.length-1]===se.Object)i=s;else if(x[x.length-1]===se.Array){const v=new Dn(n.getTokenValue(),g);v.noKeyName=!0,a=s,s=i.addChildProperty(v),i=s}x.push(se.Array),s.type=se.Array,g=n.getTokenStartLine(),g++;break}case 1:{if(r.beginningLineNumber===void 0)r.beginningLineNumber=n.getTokenStartLine();else if(x[x.length-1]===se.Array){const v=new Dn(n.getTokenValue(),g);v.noKeyName=!0,a=s,s=i.addChildProperty(v)}s.type=se.Object,x.push(se.Object),i=s,g=n.getTokenStartLine(),g++;break}case 4:{p=n.getTokenStartLine(),x.pop(),s.endLineNumber===void 0&&(h===2||h===4)&&(s.endLineNumber=p-1,s.lastProperty=!0,s.lineWhereToAddComma=d,s.indexWhereToAddComa=m,a=s,s=s?s.parent:void 0,i=s),r.endLineNumber=p,g=p+1;break}case 2:{p=n.getTokenStartLine(),x.pop(),h!==1&&(s.endLineNumber===void 0&&(s.endLineNumber=p-1,s.lastProperty=!0,s.lineWhereToAddComma=d,s.indexWhereToAddComa=m),a=s,s=s?s.parent:void 0,i=s),r.endLineNumber=n.getTokenStartLine(),g=p+1;break}case 5:{p=n.getTokenStartLine(),s.endLineNumber===void 0&&(x[x.length-1]===se.Object||x[x.length-1]===se.Array&&(h===2||h===4))&&(s.endLineNumber=p,s.commaIndex=n.getTokenOffset()-u,s.commaLine=p),(h===2||h===4)&&(a=s,s=s?s.parent:void 0,i=s),g=p+1;break}case 13:{h===5&&d===n.getTokenStartLine()&&(x[x.length-1]===se.Array&&(c===2||c===4)||x[x.length-1]===se.Object)&&(x[x.length-1]===se.Array&&(c===2||c===4)||x[x.length-1]===se.Object)&&(s.endLineNumber=void 0,w=!0),(h===1||h===3)&&d===n.getTokenStartLine()&&(L=!0);break}}l!==14&&l!==13&&l!==12&&l!==15&&(c=h,h=l,d=n.getTokenStartLine(),m=n.getTokenOffset()+n.getTokenLength()-u)}return r}function P1(e,t){if(t.childrenProperties.length===0)return e;const n=Me.create("test://test.json","json",0,e.getText()),r=[];for(Ho(r,t,t.beginningLineNumber);r.length>0;){const i=r.shift(),s=i.propertyTreeArray;let a=i.beginningLineNumber;for(let l=0;l<s.length;l++){const o=s[l],u=q.create(Z.create(o.beginningLineNumber,0),Z.create(o.endLineNumber+1,0)),h=e.getText(u),c=Me.create("test://test.json","json",0,h);if(o.lastProperty===!0&&l!==s.length-1){const g=o.lineWhereToAddComma-o.beginningLineNumber,p=o.indexWhereToAddComa,x={range:q.create(Z.create(g,p),Z.create(g,p)),text:","};Me.update(c,[x],1)}else if(o.lastProperty===!1&&l===s.length-1){const g=o.commaIndex,x=o.commaLine-o.beginningLineNumber,w={range:q.create(Z.create(x,g),Z.create(x,g+1)),text:""};Me.update(c,[w],1)}const d=o.endLineNumber-o.beginningLineNumber+1,m={range:q.create(Z.create(a,0),Z.create(a+d,0)),text:c.getText()};Me.update(n,[m],1),Ho(r,o,a),a=a+d}}return n}function Ho(e,t,n){if(t.childrenProperties.length!==0)if(t.type===se.Object){let r=1/0;for(const s of t.childrenProperties)s.beginningLineNumber<r&&(r=s.beginningLineNumber);const i=r-t.beginningLineNumber;n=n+i,e.push(new Go(n,t.childrenProperties))}else t.type===se.Array&&zo(e,t,n)}function zo(e,t,n){for(const r of t.childrenProperties){if(r.type===se.Object){let i=1/0;for(const a of r.childrenProperties)a.beginningLineNumber<i&&(i=a.beginningLineNumber);const s=i-r.beginningLineNumber;e.push(new Go(n+r.beginningLineNumber-t.beginningLineNumber+s,r.childrenProperties))}r.type===se.Array&&zo(e,r,n+r.beginningLineNumber-t.beginningLineNumber)}}var Go=class{constructor(e,t){this.beginningLineNumber=e,this.propertyTreeArray=t}};function I1(e,t){const n=[];return t.visit(r=>{var i;if(r.type==="property"&&r.keyNode.value==="$ref"&&((i=r.valueNode)==null?void 0:i.type)==="string"){const s=r.valueNode.value,a=V1(t,s);if(a){const l=e.positionAt(a.offset);n.push({target:`${e.uri}#${l.line+1},${l.character+1}`,range:F1(e,r.valueNode)})}}return!0}),Promise.resolve(n)}function F1(e,t){return q.create(e.positionAt(t.offset+1),e.positionAt(t.offset+t.length-1))}function V1(e,t){const n=D1(t);return n?si(n,e.root):null}function si(e,t){if(!t)return null;if(e.length===0)return t;const n=e.shift();if(t&&t.type==="object"){const r=t.properties.find(i=>i.keyNode.value===n);return r?si(e,r.valueNode):null}else if(t&&t.type==="array"&&n.match(/^(0|[1-9][0-9]*)$/)){const r=Number.parseInt(n),i=t.items[r];return i?si(e,i):null}return null}function D1(e){return e==="#"?[]:e[0]!=="#"||e[1]!=="/"?null:e.substring(2).split(/\//).map(O1)}function O1(e){return e.replace(/~1/g,"/").replace(/~0/g,"~")}function $1(e){const t=e.promiseConstructor||Promise,n=new S1(e.schemaRequestService,e.workspaceContext,t);n.setSchemaContributions(ri);const r=new u1(n,e.contributions,t,e.clientCapabilities),i=new c1(n,e.contributions,t),s=new v1(n),a=new h1(n,t);return{configure:l=>{var o;n.clearExternalSchemas(),(o=l.schemas)==null||o.forEach(n.registerExternalSchema.bind(n)),a.configure(l)},resetSchema:l=>n.onResourceChange(l),doValidation:a.doValidation.bind(a),getLanguageStatus:a.getLanguageStatus.bind(a),parseJSONDocument:l=>l1(l,{collectComments:!0}),newJSONDocument:(l,o)=>o1(l,o),getMatchingSchemas:n.getMatchingSchemas.bind(n),doResolve:r.doResolve.bind(r),doComplete:r.doComplete.bind(r),findDocumentSymbols:s.findDocumentSymbols.bind(s),findDocumentSymbols2:s.findDocumentSymbols2.bind(s),findDocumentColors:s.findDocumentColors.bind(s),getColorPresentations:s.getColorPresentations.bind(s),doHover:i.doHover.bind(i),getFoldingRanges:k1,getSelectionRanges:R1,findDefinition:()=>Promise.resolve([]),findLinks:I1,format:(l,o,u)=>ii(l,u,o),sort:(l,o)=>C1(l,o)}}var Jo;typeof fetch<"u"&&(Jo=function(e){return fetch(e).then(t=>t.text())});var U1=class{constructor(e,t){this._ctx=e,this._languageSettings=t.languageSettings,this._languageId=t.languageId,this._languageService=$1({workspaceContext:{resolveRelativePath:(n,r)=>{const i=r.substr(0,r.lastIndexOf("/")+1);return j1(i,n)}},schemaRequestService:t.enableSchemaRequest?Jo:void 0,clientCapabilities:Zr.LATEST}),this._languageService.configure(this._languageSettings)}async doValidation(e){let t=this._getTextDocument(e);if(t){let n=this._languageService.parseJSONDocument(t);return this._languageService.doValidation(t,n,this._languageSettings)}return Promise.resolve([])}async doComplete(e,t){let n=this._getTextDocument(e);if(!n)return null;let r=this._languageService.parseJSONDocument(n);return this._languageService.doComplete(n,t,r)}async doResolve(e){return this._languageService.doResolve(e)}async doHover(e,t){let n=this._getTextDocument(e);if(!n)return null;let r=this._languageService.parseJSONDocument(n);return this._languageService.doHover(n,t,r)}async format(e,t,n){let r=this._getTextDocument(e);if(!r)return[];let i=this._languageService.format(r,t,n);return Promise.resolve(i)}async resetSchema(e){return Promise.resolve(this._languageService.resetSchema(e))}async findDocumentSymbols(e){let t=this._getTextDocument(e);if(!t)return[];let n=this._languageService.parseJSONDocument(t),r=this._languageService.findDocumentSymbols2(t,n);return Promise.resolve(r)}async findDocumentColors(e){let t=this._getTextDocument(e);if(!t)return[];let n=this._languageService.parseJSONDocument(t),r=this._languageService.findDocumentColors(t,n);return Promise.resolve(r)}async getColorPresentations(e,t,n){let r=this._getTextDocument(e);if(!r)return[];let i=this._languageService.parseJSONDocument(r),s=this._languageService.getColorPresentations(r,i,t,n);return Promise.resolve(s)}async getFoldingRanges(e,t){let n=this._getTextDocument(e);if(!n)return[];let r=this._languageService.getFoldingRanges(n,t);return Promise.resolve(r)}async getSelectionRanges(e,t){let n=this._getTextDocument(e);if(!n)return[];let r=this._languageService.parseJSONDocument(n),i=this._languageService.getSelectionRanges(n,t,r);return Promise.resolve(i)}async parseJSONDocument(e){let t=this._getTextDocument(e);if(!t)return null;let n=this._languageService.parseJSONDocument(t);return Promise.resolve(n)}async getMatchingSchemas(e){let t=this._getTextDocument(e);if(!t)return[];let n=this._languageService.parseJSONDocument(t);return Promise.resolve(this._languageService.getMatchingSchemas(t,n))}_getTextDocument(e){let t=this._ctx.getMirrorModels();for(let n of t)if(n.uri.toString()===e)return Me.create(e,this._languageId,n.version,n.getValue());return null}},B1=47,ai=46;function q1(e){return e.charCodeAt(0)===B1}function j1(e,t){if(q1(t)){const n=Tt.parse(e),r=t.split("/");return n.with({path:Xo(r)}).toString()}return W1(e,t)}function Xo(e){const t=[];for(const r of e)r.length===0||r.length===1&&r.charCodeAt(0)===ai||(r.length===2&&r.charCodeAt(0)===ai&&r.charCodeAt(1)===ai?t.pop():t.push(r));e.length>1&&e[e.length-1].length===0&&t.push("");let n=t.join("/");return e[0].length===0&&(n="/"+n),n}function W1(e,...t){const n=Tt.parse(e),r=n.path.split("/");for(let i of t)r.push(...i.split("/"));return n.with({path:Xo(r)}).toString()}self.onmessage=()=>{La((e,t)=>new U1(e,t))}})();
diff --git a/docs/assets/logo-BYkHSa_O.png b/docs/assets/logo-BYkHSa_O.png
new file mode 100644
index 0000000..f5b8660
--- /dev/null
+++ b/docs/assets/logo-BYkHSa_O.png
Binary files differ
diff --git a/docs/assets/md-1JH4n7HQ.js b/docs/assets/md-1JH4n7HQ.js
new file mode 100644
index 0000000..32574ad
--- /dev/null
+++ b/docs/assets/md-1JH4n7HQ.js
@@ -0,0 +1 @@
+import{_ as n}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as i,c as m,k as p,e,aa as t,l as c,m as u,q as d,s as A,I as s}from"./modules/vue-DPv8DyWv.js";import{I as _}from"./slidev/default-DYfprxyr.js";import{u as f,f as g}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const L={__name:"slides.md__slidev_26",setup(k){const{$slidev:$,$nav:x,$clicksContext:a,$clicks:P,$page:z,$renderContext:B,$frontmatter:r}=f();return a.setup(),(C,o)=>{const l=n;return i(),m(_,d(A(s(g)(s(r),25))),{default:p(()=>[o[0]||(o[0]=e("h2",null,[e("code",null,"isZero"),t("?")],-1)),o[1]||(o[1]=e("p",null,[t("Similar to "),e("code",null,"isNil"),t("!")],-1)),c(l,u({runnable:"","code-lz":"PTAEBcAsCcFNdAXlAZyQPlALw6gFCgXlgJRkBQ5AligFqzQD2SoAdrq8bgGYA2KJPOGgBXEpQDGjVika9YAOl6MA5nlgA3AIa8AQozl4a9JsQaMy4qTLmLlazTv2Hj5giIkSzTS+KA==",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{L as default};
diff --git a/docs/assets/md-5Bkjs5et.js b/docs/assets/md-5Bkjs5et.js
new file mode 100644
index 0000000..82be84c
--- /dev/null
+++ b/docs/assets/md-5Bkjs5et.js
@@ -0,0 +1,2 @@
+import{o as h,c as k,k as r,e as i,aa as s,q as n,s as d,I as a}from"./modules/vue-DPv8DyWv.js";import{I as p}from"./slidev/default-DYfprxyr.js";import{u as g,f as o}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const L={__name:"slides.md__slidev_45",setup(c){const{$slidev:y,$nav:B,$clicksContext:l,$clicks:u,$page:f,$renderContext:D,$frontmatter:t}=g();return l.setup(),(A,e)=>(h(),k(p,n(d(a(o)(a(t),44))),{default:r(()=>e[0]||(e[0]=[i("h1",null,"Quad Trees",-1),i("ul",null,[i("li",null,[s("Leafs/pixels:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"black"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," w"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b")]),s(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"white"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," w"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," w")])])])]),i("li",null,[s("4-tuple (product type):"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"screen"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," s"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"TL"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"TR"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"BL"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"BR"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")])])])]),i("li",null,[s("Where "),i("code",null,"TL"),s(","),i("code",null,"TR"),s(","),i("code",null,"BL"),s(","),i("code",null,"BR"),s(" are either a screen or a pixel")])],-1)])),_:1},16))}};export{L as default};
diff --git a/docs/assets/md-9wuO6xgO.js b/docs/assets/md-9wuO6xgO.js
new file mode 100644
index 0000000..94e49d6
--- /dev/null
+++ b/docs/assets/md-9wuO6xgO.js
@@ -0,0 +1 @@
+import{o,c as l,k as i,e as t,q as n,s as p,I as r}from"./modules/vue-DPv8DyWv.js";import{_ as u}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as m,f as c}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const S={__name:"slides.md__slidev_43",setup(f){const{$slidev:_,$nav:d,$clicksContext:s,$clicks:$,$page:h,$renderContext:x,$frontmatter:a}=m();return s.setup(),(C,e)=>(o(),l(u,n(p(r(c)(r(a),42))),{default:i(()=>e[0]||(e[0]=[t("h1",null,"Other Data?",-1),t("ul",null,[t("li",null,"Strings? List of 2-ary numerals."),t("li",null,"Bits? List of Church booleans."),t("li",null,"Maps? Balanced tree with Church pairs.")],-1)])),_:1},16))}};export{S as default};
diff --git a/docs/assets/md-B2tNCsUg.js b/docs/assets/md-B2tNCsUg.js
new file mode 100644
index 0000000..330b4c5
--- /dev/null
+++ b/docs/assets/md-B2tNCsUg.js
@@ -0,0 +1,2 @@
+import{_ as k}from"./slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js";import{o as h,c as o,k as t,e as s,l as n,m as p,aa as d,q as g,s as m,I as a}from"./modules/vue-DPv8DyWv.js";import{I as c}from"./slidev/default-DYfprxyr.js";import{u as f,f as B}from"./slidev/context-DlCOjm-I.js";import"./modules/unplugin-icons-DdQIwbVj.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const q={__name:"slides.md__slidev_8",setup(u){const{$slidev:y,$nav:_,$clicksContext:e,$clicks:D,$page:A,$renderContext:$,$frontmatter:r}=f();return e.setup(),(v,i)=>{const l=k;return h(),o(c,g(m(a(B)(a(r),7))),{default:t(()=>[i[1]||(i[1]=s("h2",null,"Church Booleans",-1)),n(l,p({},{ranges:[]}),{default:t(()=>i[0]||(i[0]=[s("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[s("code",{class:"language-js"},[s("span",{class:"line"},[s("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"tru"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," t"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," f"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," t")]),d(`
+`),s("span",{class:"line"},[s("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"fls"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," t"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," f"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," f")])])],-1)])),_:1},16)]),_:1},16)}}};export{q as default};
diff --git a/docs/assets/md-B3gM_aLi.js b/docs/assets/md-B3gM_aLi.js
new file mode 100644
index 0000000..c710bcb
--- /dev/null
+++ b/docs/assets/md-B3gM_aLi.js
@@ -0,0 +1,2 @@
+import{_ as n}from"./slidev/VClicks-BJgSDv3Q.js";import{o as r,c as d,k,e as i,aa as h,l as p,q as g,s as y,I as a}from"./modules/vue-DPv8DyWv.js";import{I as o}from"./slidev/default-DYfprxyr.js";import{u as D,f as B}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const N={__name:"slides.md__slidev_27",setup(A){const{$slidev:c,$nav:m,$clicksContext:t,$clicks:u,$page:f,$renderContext:b,$frontmatter:l}=D();return t.setup(),(_,s)=>{const e=n;return r(),d(o,g(y(a(B)(a(l),26))),{default:k(()=>[s[1]||(s[1]=i("h1",null,"Other Numeral Systems",-1)),s[2]||(s[2]=i("p",null,[h("Example: Encoding of "),i("span",{class:"katex"},[i("span",{class:"katex-mathml"},[i("math",{xmlns:"http://www.w3.org/1998/Math/MathML"},[i("semantics",null,[i("mrow",null,[i("mn",null,"3")]),i("annotation",{encoding:"application/x-tex"},"3")])])]),i("span",{class:"katex-html","aria-hidden":"true"},[i("span",{class:"base"},[i("span",{class:"strut",style:{height:"0.6444em"}}),i("span",{class:"mord"},"3")])])])],-1)),p(e,null,{default:k(()=>s[0]||(s[0]=[i("ul",null,[i("li",null,[h("Scott:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," z1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," z2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s3"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," z3"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s3"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s4"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," z4"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," z4"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")))")])])])]),i("li",null,[h("Parigot:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"end"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," s1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s3"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s3"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s4"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," end"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")))")])])])]),i("li",null,[h("Mogensen:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"end"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b0"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," b1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"b1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"end"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"))"),i("span",{style:{"--shiki-dark":"#758575DD","--shiki-light":"#A0ADA0"}}," // binary")]),h(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"end"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," tn"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," tp"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," t0"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," t0"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"tp"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"end"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"))"),i("span",{style:{"--shiki-dark":"#758575DD","--shiki-light":"#A0ADA0"}}," // balanced ternary")])])])]),i("li",null,"Wadsworth, de Bruijn, Rationals, …")],-1)])),_:1})]),_:1},16)}}};export{N as default};
diff --git a/docs/assets/md-B46whd7y.js b/docs/assets/md-B46whd7y.js
new file mode 100644
index 0000000..acfdfca
--- /dev/null
+++ b/docs/assets/md-B46whd7y.js
@@ -0,0 +1,3 @@
+import{o as l,c as e,k as n,e as i,aa as s,q as r,s as d,I as k}from"./modules/vue-DPv8DyWv.js";import{I as p}from"./slidev/default-DYfprxyr.js";import{u as g,f as y}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const j={__name:"slides.md__slidev_41",setup(B){const{$slidev:D,$nav:o,$clicksContext:a,$clicks:c,$page:A,$renderContext:m,$frontmatter:t}=g();return a.setup(),(u,h)=>(l(),e(p,r(d(k(y)(k(t),40))),{default:n(()=>h[0]||(h[0]=[i("h1",null,"de Bruijn-Church",-1),i("ul",null,[i("li",null,"Idea: Encode symbols as Church-encoded de Bruijn indices"),i("li",null,"Abstractions are simpler and allow open terms"),i("li",null,[s("Translation:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"i"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," idx"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," church"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"idx"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"]")]),s(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," idx"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])")]),s(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," idx"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])")])])])])],-1)])),_:1},16))}};export{j as default};
diff --git a/docs/assets/md-BCsvnU1G.js b/docs/assets/md-BCsvnU1G.js
new file mode 100644
index 0000000..321bb1e
--- /dev/null
+++ b/docs/assets/md-BCsvnU1G.js
@@ -0,0 +1 @@
+import{_ as r}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as n,c as m,k as l,e as p,l as A,m as c,q as i,s as u,I as e}from"./modules/vue-DPv8DyWv.js";import{I as _}from"./slidev/default-DYfprxyr.js";import{u as d,f as g}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const h={__name:"slides.md__slidev_19",setup(f){const{$slidev:w,$nav:x,$clicksContext:o,$clicks:C,$page:I,$renderContext:P,$frontmatter:s}=d();return o.setup(),($,t)=>{const a=r;return n(),m(_,i(u(e(g)(e(s),18))),{default:l(()=>[t[0]||(t[0]=p("h2",null,"Example: Iteration",-1)),A(a,c({runnable:"","code-lz":"J4AgvCBm4HwgHrKAKYzIEoPPhgUAKbwCGAtgA4A2BAMgJYDOALuCAMYD2Adg8gETE+2Tj34AjIchG8+bSVzqUs+alwDmTABas0AJwJskXJJUYswcRgDlFyU83wgnzl0+TIMRx65/vPFkH02ZGMAahAARmEAE107MywPLDwfF2QABnw8aQ5qADpKDjU7AnUtZCIyKloEpLwgA",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{h as default};
diff --git a/docs/assets/md-BMx_EcJM.js b/docs/assets/md-BMx_EcJM.js
new file mode 100644
index 0000000..f0cb35d
--- /dev/null
+++ b/docs/assets/md-BMx_EcJM.js
@@ -0,0 +1 @@
+import{o as a,c as o,k as n,e,q as i,s as u,I as r}from"./modules/vue-DPv8DyWv.js";import{_ as p}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as m,f as c}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const R={__name:"slides.md__slidev_34",setup(d){const{$slidev:_,$nav:f,$clicksContext:s,$clicks:$,$page:x,$renderContext:k,$frontmatter:l}=m();return s.setup(),(v,t)=>(a(),o(p,i(u(r(c)(r(l),33))),{default:n(()=>t[0]||(t[0]=[e("h1",null,"Trees",-1),e("p",null,"Trivial with presented data structures",-1),e("ul",null,[e("li",null,"Rose trees"),e("li",null,"Binary trees"),e("li",null,"Finger trees"),e("li",null,"Balanced trees")],-1)])),_:1},16))}};export{R as default};
diff --git a/docs/assets/md-BTxTxIcV.js b/docs/assets/md-BTxTxIcV.js
new file mode 100644
index 0000000..10f1f5f
--- /dev/null
+++ b/docs/assets/md-BTxTxIcV.js
@@ -0,0 +1 @@
+import{_ as a}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as r,c as n,k as m,l as p,m as c,q as l,s as A,I as t}from"./modules/vue-DPv8DyWv.js";import{I as i}from"./slidev/default-DYfprxyr.js";import{u as _,f}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const Q={__name:"slides.md__slidev_32",setup(u){const{$slidev:d,$nav:k,$clicksContext:e,$clicks:x,$page:B,$renderContext:U,$frontmatter:o}=_();return e.setup(),(b,g)=>{const s=a;return r(),n(i,l(A(t(f)(t(o),31))),{default:m(()=>[p(s,c({runnable:"","code-lz":"PTAEBMEMBdNAVATgU2aAvKAMsyAzUASQDtpQAfUAOQHtw0lUEVkAoHfDUYjAPlAA2uAun7E6aUYOEAKYgEpWtelzhSARn2mcp4lbokzI8mesV7kOPGUwWtFmQH0tjk2v6apx1hYBKASwBzAAsbbgl7Q2cpVyMtTw9FIXwANUgBAFdJbRF+ZLw5exNo/ldWfwBnDhFQaBYtOtRCqTqMt3itPAEKxUrlbMbJfkHm/i6euI0GxDbWIA",lang:"js"},{}),null,16)]),_:1},16)}}};export{Q as default};
diff --git a/docs/assets/md-BW7_HJTh.js b/docs/assets/md-BW7_HJTh.js
new file mode 100644
index 0000000..d81d44d
--- /dev/null
+++ b/docs/assets/md-BW7_HJTh.js
@@ -0,0 +1,4 @@
+import{_ as n}from"./slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js";import{o as r,c as p,k as t,e as i,aa as k,l as d,m as g,q as o,s as y,I as a}from"./modules/vue-DPv8DyWv.js";import{I as A}from"./slidev/default-DYfprxyr.js";import{u as B,f as D}from"./slidev/context-DlCOjm-I.js";import"./modules/unplugin-icons-DdQIwbVj.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const T={__name:"slides.md__slidev_17",setup(c){const{$slidev:m,$nav:u,$clicksContext:h,$clicks:f,$page:C,$renderContext:_,$frontmatter:l}=B();return h.setup(),(x,s)=>{const e=n;return r(),p(A,o(y(a(D)(a(l),16))),{default:t(()=>[s[1]||(s[1]=i("h2",null,[i("code",null,"isNil"),k("?")],-1)),s[2]||(s[2]=i("ul",null,[i("li",null,[k("We define NIL such that it "),i("em",null,"ignores"),k(" its selector argument")])],-1)),d(e,g({},{ranges:[]}),{default:t(()=>s[0]||(s[0]=[i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"cons"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," s"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")]),k(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"nil"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," s"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," x")]),k(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"exampleList"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," cons"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"a"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"cons"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"b"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"cons"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"c"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"nil"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")))")]),k(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#758575DD","--shiki-light":"#A0ADA0"}},'// = s1 => s1("a")(s2 => s2("b")(s3 => s3("c")(nil)))')])])],-1)])),_:1},16)]),_:1},16)}}};export{T as default};
diff --git a/docs/assets/md-BhMNIGO-.js b/docs/assets/md-BhMNIGO-.js
new file mode 100644
index 0000000..16117f6
--- /dev/null
+++ b/docs/assets/md-BhMNIGO-.js
@@ -0,0 +1 @@
+import{_ as r}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as m,c as p,k as i,e as a,aa as t,l as c,m as u,q as h,s as d,I as e}from"./modules/vue-DPv8DyWv.js";import{I as x}from"./slidev/default-DYfprxyr.js";import{u as g,f as w}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const E={__name:"slides.md__slidev_23",setup(f){const{$slidev:k,$nav:C,$clicksContext:n,$clicks:_,$page:A,$renderContext:M,$frontmatter:l}=g();return n.setup(),($,s)=>{const o=r;return m(),p(x,h(d(e(w)(e(l),22))),{default:i(()=>[s[0]||(s[0]=a("h1",null,"Church Numerals",-1)),s[1]||(s[1]=a("ul",null,[a("li",null,[t("Idea: Represent a number "),a("span",{class:"katex"},[a("span",{class:"katex-mathml"},[a("math",{xmlns:"http://www.w3.org/1998/Math/MathML"},[a("semantics",null,[a("mrow",null,[a("mi",null,"n")]),a("annotation",{encoding:"application/x-tex"},"n")])])]),a("span",{class:"katex-html","aria-hidden":"true"},[a("span",{class:"base"},[a("span",{class:"strut",style:{height:"0.4306em"}}),a("span",{class:"mord mathnormal"},"n")])])]),t(" by applying "),a("span",{class:"katex"},[a("span",{class:"katex-mathml"},[a("math",{xmlns:"http://www.w3.org/1998/Math/MathML"},[a("semantics",null,[a("mrow",null,[a("mi",null,"n")]),a("annotation",{encoding:"application/x-tex"},"n")])])]),a("span",{class:"katex-html","aria-hidden":"true"},[a("span",{class:"base"},[a("span",{class:"strut",style:{height:"0.4306em"}}),a("span",{class:"mord mathnormal"},"n")])])]),t(" composed functions to some argument!")]),a("li",null,"For example:")],-1)),c(o,u({runnable:"","code-lz":"C4CwTgphAEC80Gc4D5oC8WIBQJ1tAlEQFDEQBuAhgDYDCIArmAMYhzQB2mHWAHpvwDU0AIwEsABgLFmAew4JZ1CADpqsgOZYKNek1ZZQkCCSA===",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{E as default};
diff --git a/docs/assets/md-BlV4tDf7.js b/docs/assets/md-BlV4tDf7.js
new file mode 100644
index 0000000..5c6ea72
--- /dev/null
+++ b/docs/assets/md-BlV4tDf7.js
@@ -0,0 +1 @@
+import{_ as n}from"./slidev/VClicks-BJgSDv3Q.js";import{o as r,c as d,k as h,e as i,aa as a,l as p,q as g,s as y,I as k}from"./modules/vue-DPv8DyWv.js";import{I as o}from"./slidev/default-DYfprxyr.js";import{u as B,f as c}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const L={__name:"slides.md__slidev_20",setup(D){const{$slidev:A,$nav:m,$clicksContext:t,$clicks:u,$page:f,$renderContext:C,$frontmatter:l}=B();return t.setup(),(_,s)=>{const e=n;return r(),d(o,g(y(k(c)(k(l),19))),{default:h(()=>[s[1]||(s[1]=i("h1",null,"Other Lists",-1)),s[2]||(s[2]=i("p",null,[a("Example: Encoding of "),i("code",null,'["a", "b"]')],-1)),p(e,null,{default:h(()=>s[0]||(s[0]=[i("ul",null,[i("li",null,[a("Parigot:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"end"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," s1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"a"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"b"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"end"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"))")])])])]),i("li",null,[a("Scott:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," end1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s1"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"a"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," end2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s2"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"b"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s3"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," end3"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," end3"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"))")])])])]),i("li",null,[i("span",{class:"katex"},[i("span",{class:"katex-mathml"},[i("math",{xmlns:"http://www.w3.org/1998/Math/MathML"},[i("semantics",null,[i("mrow",null,[i("mi",null,"n")]),i("annotation",{encoding:"application/x-tex"},"n")])])]),i("span",{class:"katex-html","aria-hidden":"true"},[i("span",{class:"base"},[i("span",{class:"strut",style:{height:"0.4306em"}}),i("span",{class:"mord mathnormal"},"n")])])]),a("-Tuple:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"s"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"a"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#C98A7D","--shiki-light":"#B56959"}},"b"),i("span",{style:{"--shiki-dark":"#C98A7D77","--shiki-light":"#B5695977"}},'"'),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")...")])])])])],-1)])),_:1})]),_:1},16)}}};export{L as default};
diff --git a/docs/assets/md-CKWXIRj9.js b/docs/assets/md-CKWXIRj9.js
new file mode 100644
index 0000000..561d9a3
--- /dev/null
+++ b/docs/assets/md-CKWXIRj9.js
@@ -0,0 +1 @@
+import{_ as n}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as A,c as l,k as m,e as t,aa as p,l as i,m as u,q as c,s as d,I as a}from"./modules/vue-DPv8DyWv.js";import{I as g}from"./slidev/default-DYfprxyr.js";import{u as B,f as w}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const D={__name:"slides.md__slidev_37",setup(C){const{$slidev:P,$nav:f,$clicksContext:o,$clicks:E,$page:_,$renderContext:k,$frontmatter:s}=B();return o.setup(),(x,e)=>{const r=n;return A(),l(g,c(d(a(w)(a(s),36))),{default:m(()=>[e[0]||(e[0]=t("h1",null,"Either",-1)),e[1]||(e[1]=t("p",null,[p("Stores either a value or another value, but "),t("em",null,"tagged")],-1)),i(r,u({runnable:"","code-lz":"PTAEBMEMBdNBRAltAFgUwE6jgI1AXlABk0AzabUAH1ACVEBzFCnAKBPIMvwD5QAbMhV6gMjZgT6DyACkgBKVvSbDQeEdOF8xKyaPHQZORaxChEAOwDOsCwGM0oALIB7C5HCsADgFcMjwmVmVhxLcC4AWwAPPVI9aJkOaHkZUkUzUEysrIBaPPz8gD1iwszCgorK/NMwbLq7SCtHJLrMhqa6A1A5Ly9+AE8TNAA3SH4kVEwuNGR0LBEZyYw5PQAiFtXQAGpsFPU+VaCKTZ3jVjs3KxdBADp+FwYZEbGJuaMwxKEZABYAJnkUhY9L5/DIgTsAIwAgHnS7XNB3B5PUbjWaYd4WcAyI4/f6A4F+NBg7agKHQ1hAA",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{D as default};
diff --git a/docs/assets/md-CLLTnEZ7.js b/docs/assets/md-CLLTnEZ7.js
new file mode 100644
index 0000000..079187c
--- /dev/null
+++ b/docs/assets/md-CLLTnEZ7.js
@@ -0,0 +1 @@
+import{_ as a}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as n,c as m,k as p,e as l,l as i,m as c,q as u,s as _,I as o}from"./modules/vue-DPv8DyWv.js";import{I as f}from"./slidev/default-DYfprxyr.js";import{u as A,f as d}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const b={__name:"slides.md__slidev_9",setup(B){const{$slidev:g,$nav:C,$clicksContext:t,$clicks:$,$page:k,$renderContext:x,$frontmatter:s}=A();return t.setup(),(v,e)=>{const r=a;return n(),m(f,u(_(o(d)(o(s),8))),{default:p(()=>[e[0]||(e[0]=l("h2",null,"Church Booleans",-1)),i(r,c({runnable:"","code-lz":"C4JwrgBAvBzQfBAZg2AoJAbAztWqUpEk00BTANwENMAhAe3szwCNHmiI2mAKAIlBgyfAJT8kNbMJFoAxvQB22JmQB0megHMelGg16CRM+UpXqtO6nXY8s2I2iA==",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{b as default};
diff --git a/docs/assets/md-CW4SE-QG.js b/docs/assets/md-CW4SE-QG.js
new file mode 100644
index 0000000..779264b
--- /dev/null
+++ b/docs/assets/md-CW4SE-QG.js
@@ -0,0 +1 @@
+import{o as a,c as i,k as n,e,q as p,s as l,I as r}from"./modules/vue-DPv8DyWv.js";import{_ as m}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as c,f as u}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const z={__name:"slides.md__slidev_38",setup(_){const{$slidev:d,$nav:f,$clicksContext:o,$clicks:$,$page:x,$renderContext:k,$frontmatter:s}=c();return o.setup(),(v,t)=>(a(),i(m,p(l(r(u)(r(s),37))),{default:n(()=>t[0]||(t[0]=[e("h1",null,"Meta",-1),e("p",null,"(little detour)",-1)])),_:1},16))}};export{z as default};
diff --git a/docs/assets/md-C_l7Kv4p.js b/docs/assets/md-C_l7Kv4p.js
new file mode 100644
index 0000000..f0b8eef
--- /dev/null
+++ b/docs/assets/md-C_l7Kv4p.js
@@ -0,0 +1 @@
+import{_ as A}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as n,c as l,k as m,e as t,aa as i,l as p,m as c,q as u,s as d,I as o}from"./modules/vue-DPv8DyWv.js";import{I as g}from"./slidev/default-DYfprxyr.js";import{u as M,f as B}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const D={__name:"slides.md__slidev_36",setup(f){const{$slidev:y,$nav:_,$clicksContext:s,$clicks:k,$page:Q,$renderContext:x,$frontmatter:r}=M();return s.setup(),(C,e)=>{const a=A;return n(),l(g,u(d(o(B)(o(r),35))),{default:m(()=>[e[0]||(e[0]=t("h1",null,"Maybe",-1)),e[1]||(e[1]=t("p",null,[i("Stores either nothing or a value, but "),t("em",null,"tagged")],-1)),p(a,c({runnable:"","code-lz":"PTAEBMEMBdNBZSBPARgU1HAvKAcge2gAsBLAOwHNQAfUAKQFcBnaTAKAOPKpzMNMqgsAPlAArZqxGg+XSm0YshoAG5DRsgT1ESl03dAAUKgJRs2IUORaQyAYwzx8ZSODYAHBgCcMORdDYUcnBlAFsAD3VQADMoiMMIk0Nos0tQdIyAWmyc3OyAPXz0/LzS0oswDIy7SCYMTi0q0Bq6+klQQ0h3dwAbJDM2NBVIHsRUX1BQ5HQ46bRDACIG7gWktWkF/1AF0ABqVTM7ZyZ8HrQAOh78CkMhkbH0QyCycENlyiSyKM8fQy/9gCMJmBh2OpwuVxud1GcyewUM/kMABYAEzAv7fbzzf6gIEgthAA",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{D as default};
diff --git a/docs/assets/md-CePMrKWo.js b/docs/assets/md-CePMrKWo.js
new file mode 100644
index 0000000..ddfa203
--- /dev/null
+++ b/docs/assets/md-CePMrKWo.js
@@ -0,0 +1 @@
+import{_ as l}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{aB as p,o,c as m,k as u,e as A,l as s,m as a,ae as _,b as d,q as f,s as v,I as r}from"./modules/vue-DPv8DyWv.js";import{I as g}from"./slidev/default-DYfprxyr.js";import{u as B,f as k}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const E={__name:"slides.md__slidev_4",setup(w){const{$slidev:C,$nav:$,$clicksContext:n,$clicks:x,$page:I,$renderContext:M,$frontmatter:c}=B();return n.setup(),(b,e)=>{const t=l,i=p("click");return o(),m(g,f(v(r(k)(r(c),3))),{default:u(()=>[e[0]||(e[0]=A("h2",null,"JavaScript Notation",-1)),s(t,a({runnable:"","code-lz":"GYAgvCAe4HxSBqEAWATAKAMYHsB2BnbAGwFMA6I7AcwApgbUBKR9IA==",lang:"js"},{showOutputAt:1}),null,16),_((o(),d("div",null,[s(t,a({runnable:"","code-lz":"GYAgvCAe4HwgnrKIDUCBQBjA9gOwM7YA2ApgHRHYDmAFMDQEwCUNALM0+kA=",lang:"js"},{showOutputAt:3}),null,16)])),[[i,2]])]),_:1},16)}}};export{E as default};
diff --git a/docs/assets/md-CnRKUBhD.js b/docs/assets/md-CnRKUBhD.js
new file mode 100644
index 0000000..fda6254
--- /dev/null
+++ b/docs/assets/md-CnRKUBhD.js
@@ -0,0 +1,6 @@
+import{_ as p}from"./slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js";import{o as d,c as g,k as h,e as i,l as t,m as l,aa as k,q as y,s as B,I as e}from"./modules/vue-DPv8DyWv.js";import{I as D}from"./slidev/default-DYfprxyr.js";import{u as A,f as o}from"./slidev/context-DlCOjm-I.js";import"./modules/unplugin-icons-DdQIwbVj.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const q={__name:"slides.md__slidev_40",setup(m){const{$slidev:f,$nav:c,$clicksContext:n,$clicks:u,$page:x,$renderContext:v,$frontmatter:r}=A();return n.setup(),(_,s)=>{const a=p;return d(),g(D,y(B(e(o)(e(r),39))),{default:h(()=>[s[2]||(s[2]=i("h2",null,"Meta-Circular Interpreter",-1)),s[3]||(s[3]=i("p",null,"Evaluate lambda terms using the lambda implementation of the language itself!",-1)),t(a,l({},{ranges:[]}),{default:h(()=>s[0]||(s[0]=[i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," sym"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," sym"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")]),k(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," sym"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])")]),k(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," m"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," sym"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"m"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])")])])],-1)])),_:1},16),t(a,l({},{ranges:[]}),{default:h(()=>s[1]||(s[1]=[i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"eval"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," term"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," term")]),k(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")]),k(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," eval"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"eval"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")))")]),k(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"m"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," eval"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"m"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")))")])])],-1)])),_:1},16)]),_:1},16)}}};export{q as default};
diff --git a/docs/assets/md-CodFZ4QM.js b/docs/assets/md-CodFZ4QM.js
new file mode 100644
index 0000000..fe416d4
--- /dev/null
+++ b/docs/assets/md-CodFZ4QM.js
@@ -0,0 +1 @@
+import{o as a,c as i,k as n,e as t,q as m,s as p,I as r}from"./modules/vue-DPv8DyWv.js";import{_ as l}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as c,f as u}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const h={__name:"slides.md__slidev_21",setup(_){const{$slidev:f,$nav:d,$clicksContext:s,$clicks:$,$page:v,$renderContext:x,$frontmatter:o}=c();return s.setup(),(k,e)=>(a(),i(l,m(p(r(u)(r(o),20))),{default:n(()=>e[0]||(e[0]=[t("h1",null,"Numbers",-1),t("p",null,"(brief overview)",-1)])),_:1},16))}};export{h as default};
diff --git a/docs/assets/md-CoooLRyL.js b/docs/assets/md-CoooLRyL.js
new file mode 100644
index 0000000..807a472
--- /dev/null
+++ b/docs/assets/md-CoooLRyL.js
@@ -0,0 +1 @@
+import{o,c as a,k as i,e as n,q as m,s as p,I as e}from"./modules/vue-DPv8DyWv.js";import{_ as c}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as l,f as _}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const z={__name:"slides.md__slidev_15",setup(f){const{$slidev:u,$nav:d,$clicksContext:s,$clicks:$,$page:x,$renderContext:k,$frontmatter:r}=l();return s.setup(),(v,t)=>(o(),a(c,m(p(e(_)(e(r),14))),{default:i(()=>t[0]||(t[0]=[n("h1",null,"Lists",-1)])),_:1},16))}};export{z as default};
diff --git a/docs/assets/md-CrFSu7wK.js b/docs/assets/md-CrFSu7wK.js
new file mode 100644
index 0000000..5c9ccc6
--- /dev/null
+++ b/docs/assets/md-CrFSu7wK.js
@@ -0,0 +1 @@
+import{_ as o}from"./slidev/VClicks-BJgSDv3Q.js";import{o as k,c as n,k as t,e as s,l as h,aa as p,q as d,s as c,I as e}from"./modules/vue-DPv8DyWv.js";import{I as u}from"./slidev/default-DYfprxyr.js";import{u as m,f as g}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const V={__name:"slides.md__slidev_13",setup(f){const{$slidev:_,$nav:y,$clicksContext:a,$clicks:v,$page:B,$renderContext:x,$frontmatter:l}=m();return a.setup(),(A,i)=>{const r=o;return k(),n(u,d(c(e(g)(e(l),12))),{default:t(()=>[i[1]||(i[1]=s("h1",null,"Church Pairs",-1)),h(r,null,{default:t(()=>i[0]||(i[0]=[s("ul",null,[s("li",null,"Stores two values"),s("li",null,[p("The selector function gets applied to both values:"),s("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[s("code",{class:"language-js"},[s("span",{class:"line"},[s("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"examplePair"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," s"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),s("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," s"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"A"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),s("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"B"),s("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")])])])])],-1)])),_:1})]),_:1},16)}}};export{V as default};
diff --git a/docs/assets/md-CvjSSd-j.js b/docs/assets/md-CvjSSd-j.js
new file mode 100644
index 0000000..26e9607
--- /dev/null
+++ b/docs/assets/md-CvjSSd-j.js
@@ -0,0 +1 @@
+import{o as n,c as i,k as l,e,aa as r,q as m,s as p,I as a}from"./modules/vue-DPv8DyWv.js";import{_ as c}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u,f as _}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const S={__name:"slides.md__slidev_1",setup(d){const{$slidev:f,$nav:$,$clicksContext:o,$clicks:x,$page:k,$renderContext:v,$frontmatter:s}=u();return o.setup(),(B,t)=>(n(),i(c,m(p(a(_)(a(s),0))),{default:l(()=>t[0]||(t[0]=[e("h1",null,[e("em",null,"Really"),r(" Functional"),e("br"),r("Data Structures")],-1),e("p",null,[e("a",{href:"https://marvinborner.de",target:"_blank"},"Marvin Borner")],-1)])),_:1},16))}};export{S as default};
diff --git a/docs/assets/md-DBY66ZvP.js b/docs/assets/md-DBY66ZvP.js
new file mode 100644
index 0000000..2f4283f
--- /dev/null
+++ b/docs/assets/md-DBY66ZvP.js
@@ -0,0 +1 @@
+import{o as n,c as l,k as i,e,aa as t,q as c,s as d,I as s}from"./modules/vue-DPv8DyWv.js";import{I as u}from"./slidev/default-DYfprxyr.js";import{u as p,f as m}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const S={__name:"slides.md__slidev_24",setup(f){const{$slidev:_,$nav:x,$clicksContext:r,$clicks:$,$page:k,$renderContext:v,$frontmatter:a}=p();return r.setup(),(C,o)=>(n(),l(u,c(d(s(m)(s(a),23))),{default:i(()=>o[0]||(o[0]=[e("h2",null,"Successor",-1),e("ul",null,[e("li",null,[t("Add another "),e("code",null,"s"),t(" to the composition")]),e("li",null,[t('We also need to "rebind" existing '),e("code",null,"s"),t(" and "),e("code",null,"z"),t("!")])],-1)])),_:1},16))}};export{S as default};
diff --git a/docs/assets/md-DHlhFPM5.js b/docs/assets/md-DHlhFPM5.js
new file mode 100644
index 0000000..c532883
--- /dev/null
+++ b/docs/assets/md-DHlhFPM5.js
@@ -0,0 +1 @@
+import{_ as r}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as n,c as m,k as p,e as l,l as c,m as i,q as u,s as A,I as e}from"./modules/vue-DPv8DyWv.js";import{I as _}from"./slidev/default-DYfprxyr.js";import{u as d,f}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const z={__name:"slides.md__slidev_11",setup(B){const{$slidev:g,$nav:C,$clicksContext:o,$clicks:k,$page:v,$renderContext:x,$frontmatter:s}=d();return o.setup(),(N,t)=>{const a=r;return n(),m(_,u(A(e(f)(e(s),10))),{default:p(()=>[t[0]||(t[0]=l("h2",null,"Example: Negation",-1)),c(a,i({runnable:"","code-lz":"C4JwrgBAvBzQfBAZg2AoJAbAztWqUpEk0A7AUwHMBDYcvAIwHsnNU4jlVnWAKJAJS9gAtGnIA3apgBCLNjB4LES3gCJQYcmqFqk07NtEBjJqWytyAOkxNKvSdLl8KNOsPACvaU+cs27BylZeV5XWnJ+HC9RIA===",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{z as default};
diff --git a/docs/assets/md-DMKKtLyU.js b/docs/assets/md-DMKKtLyU.js
new file mode 100644
index 0000000..2bd6157
--- /dev/null
+++ b/docs/assets/md-DMKKtLyU.js
@@ -0,0 +1 @@
+import{o as r,c as a,k as n,e as i,q as m,s as p,I as e}from"./modules/vue-DPv8DyWv.js";import{_ as c}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as l,f as _}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const z={__name:"slides.md__slidev_35",setup(d){const{$slidev:f,$nav:u,$clicksContext:s,$clicks:$,$page:x,$renderContext:k,$frontmatter:o}=l();return s.setup(),(v,t)=>(r(),a(c,m(p(e(_)(e(o),34))),{default:n(()=>t[0]||(t[0]=[i("h1",null,"Monads",-1)])),_:1},16))}};export{z as default};
diff --git a/docs/assets/md-DNuVt8V8.js b/docs/assets/md-DNuVt8V8.js
new file mode 100644
index 0000000..c873f88
--- /dev/null
+++ b/docs/assets/md-DNuVt8V8.js
@@ -0,0 +1 @@
+import{o as l,c as t,k as e,e as i,q as r,s as d,I as h}from"./modules/vue-DPv8DyWv.js";import{I as n}from"./slidev/default-DYfprxyr.js";import{u as g,f as p}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const j={__name:"slides.md__slidev_12",setup(y){const{$slidev:o,$nav:B,$clicksContext:k,$clicks:A,$page:c,$renderContext:D,$frontmatter:a}=g();return k.setup(),(f,s)=>(l(),t(n,r(d(h(p)(h(a),11))),{default:e(()=>s[0]||(s[0]=[i("h2",null,"Other Operators",-1),i("ul",null,[i("li",null,[i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"and"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")])])])]),i("li",null,[i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"xor"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"fls"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"))("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")])])])]),i("li",null,[i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"xnor"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"tru"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"))")])])])]),i("li",null,[i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"impl"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," a"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"b"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"tru"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")])])])]),i("li",null,"…")],-1)])),_:1},16))}};export{j as default};
diff --git a/docs/assets/md-DQ2E402P.js b/docs/assets/md-DQ2E402P.js
new file mode 100644
index 0000000..5341bb4
--- /dev/null
+++ b/docs/assets/md-DQ2E402P.js
@@ -0,0 +1 @@
+import{_ as a}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as l,c as u,k as m,e as t,l as i,m as p,q as c,s as A,I as o}from"./modules/vue-DPv8DyWv.js";import{I as d}from"./slidev/default-DYfprxyr.js";import{u as g,f as P}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const q={__name:"slides.md__slidev_30",setup(f){const{$slidev:_,$nav:B,$clicksContext:r,$clicks:x,$page:D,$renderContext:E,$frontmatter:s}=g();return r.setup(),(R,e)=>{const n=a;return l(),u(d,c(A(o(P)(o(s),29))),{default:m(()=>[e[0]||(e[0]=t("h1",null,"Products/Records",-1)),e[1]||(e[1]=t("ul",null,[t("li",null,"Stores multiple elements, supports construction and extraction"),t("li",null,"For two elements: Just a Church pair"),t("li",null,"For multiple elements: Extend the pair!")],-1)),i(n,p({runnable:"","code-lz":"PTAEBMEMBdNAxATgSwKYDtwGdQF4EobagDeoARqltKAFy2gDK0K6A5gDSgBmhmANgE86DZq06gA7qmSJwIpi2TtQAXwBQSNJhz5K1PAD4efcEKNSZci7uNYAFPugBKe721nBr6bPDP1TngmHrbBRA6BuMYA+haxURRULuruROb4qToWmdj28cY56THZpkL+PtYZpqE5Dvmg9RXyCU3+KdVBWuH2AEQAgvzIAMaoPa49AEIA9uRjvQDCkIhT/GPqQ1PoWCuoAHT8U2yOSW7Vzv5AA",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{q as default};
diff --git a/docs/assets/md-DTXsVKV9.js b/docs/assets/md-DTXsVKV9.js
new file mode 100644
index 0000000..ca4dc09
--- /dev/null
+++ b/docs/assets/md-DTXsVKV9.js
@@ -0,0 +1 @@
+import{_ as n}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as i,c as m,k as A,e,aa as o,l as p,m as u,q as c,s as d,I as s}from"./modules/vue-DPv8DyWv.js";import{I as g}from"./slidev/default-DYfprxyr.js";import{u as B,f}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const w={__name:"slides.md__slidev_18",setup(C){const{$slidev:_,$nav:x,$clicksContext:r,$clicks:k,$page:q,$renderContext:N,$frontmatter:l}=B();return r.setup(),(z,t)=>{const a=n;return i(),m(g,c(d(s(f)(s(l),17))),{default:A(()=>[t[0]||(t[0]=e("h2",null,[e("code",null,"isNil"),o("?")],-1)),t[1]||(t[1]=e("ul",null,[e("li",null,[o("We define NIL such that it "),e("em",null,"ignores"),o(" its selector argument")])],-1)),p(a,u({runnable:"","code-lz":"MYewdgzgBAvFCGsB8UBGyrRiiAKeAlLqgQFBgCWANrJhgB4OkCm98AtgA5XMAyFEAC61QkXACJ44oqLzjU03LInBFlKgU2kA9Nqj6DhuBACMGUxKlEIAJnM2JC6wGZzzlWuqaypAQDlqWioBYWwoYKFcAAtmeAATDEF4QLCAJwoAcyjQlAAzKggiQVSAVzJdQ30APRqqysNaxqbmltrqmp09A1ltdXr+/U5k1MxmHmBBEBHDPogx5gmp0lJZEB4AOioQDNxmADd4KgAhEDXcf2pcdW8V8Ag15k3t3YPj06pziACP1g5uPhCNyAA=",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{w as default};
diff --git a/docs/assets/md-DUAIk41M.js b/docs/assets/md-DUAIk41M.js
new file mode 100644
index 0000000..5186709
--- /dev/null
+++ b/docs/assets/md-DUAIk41M.js
@@ -0,0 +1 @@
+import{_ as a}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as r,c as A,k as m,l as n,m as l,q as p,s as c,I as t}from"./modules/vue-DPv8DyWv.js";import{I as i}from"./slidev/default-DYfprxyr.js";import{u as f,f as d}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const v={__name:"slides.md__slidev_33",setup(u){const{$slidev:_,$nav:g,$clicksContext:e,$clicks:k,$page:B,$renderContext:E,$frontmatter:s}=f();return e.setup(),(Q,x)=>{const o=a;return r(),A(i,p(c(t(d)(t(s),32))),{default:m(()=>[n(o,l({runnable:"","code-lz":"PTAEBMEMBdNAVATgU2aAvKAMsyAzUASQDtpQAfUAOQHtw0lUEVkAoHfDUYjAPlAA2uAun7E6aUYOEAKYgEpWtelzhSARn2mcp4lbokzI8mesV7kOPGUwWtFmQH0tjk2v6apx1hYBKASwBzAAsbbgl7Q2cpVyMtTw9FIXwANUgBAFdJbRF+ZLw5exNo/ldWfwBnDhFQaBYtOtRCqTqMt3itPAEKxUrlbMbJfkHm/i6euI0GxDbWVmQAD0gAWwAHIUZs/plqmQBGeRNt3YAmQ53ZAGZDxQBjGmIKmiEAOgEaQJlkADd0gCEaM8ZH1DIsVutkJsbqx7o9nsg3h8vr8BACgZVdhYrNAvks1hsWDc7g8nq93p98mlMsg5BJsbjwQTUNDYaSEeSZJT0llafQAiEcX4gqEGfjIYToUA",lang:"js"},{}),null,16)]),_:1},16)}}};export{v as default};
diff --git a/docs/assets/md-DWSVng2s.js b/docs/assets/md-DWSVng2s.js
new file mode 100644
index 0000000..3e6d5dd
--- /dev/null
+++ b/docs/assets/md-DWSVng2s.js
@@ -0,0 +1 @@
+import{o,c as n,k as m,e,q as i,s as p,I as r}from"./modules/vue-DPv8DyWv.js";import{_ as l}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as c,f as _}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const q={__name:"slides.md__slidev_46",setup(d){const{$slidev:f,$nav:u,$clicksContext:a,$clicks:$,$page:k,$renderContext:x,$frontmatter:s}=c();return a.setup(),(v,t)=>(o(),n(l,i(p(r(_)(r(s),45))),{default:m(()=>t[0]||(t[0]=[e("h1",null,"Demo",-1),e("p",null,[e("a",{href:"https://lambda-screen.marvinborner.de",target:"_blank"},"Lambda Screen")],-1)])),_:1},16))}};export{q as default};
diff --git a/docs/assets/md-D_pZLUDz.js b/docs/assets/md-D_pZLUDz.js
new file mode 100644
index 0000000..0cbfbdd
--- /dev/null
+++ b/docs/assets/md-D_pZLUDz.js
@@ -0,0 +1 @@
+import{o as s,c as a,k as m,e as n,q as i,s as p,I as e}from"./modules/vue-DPv8DyWv.js";import{_ as c}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as l,f as _}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const z={__name:"slides.md__slidev_6",setup(f){const{$slidev:u,$nav:d,$clicksContext:o,$clicks:$,$page:x,$renderContext:k,$frontmatter:r}=l();return o.setup(),(C,t)=>(s(),a(c,i(p(e(_)(e(r),5))),{default:m(()=>t[0]||(t[0]=[n("h1",null,"Common",-1)])),_:1},16))}};export{z as default};
diff --git a/docs/assets/md-DdH2xtAn.js b/docs/assets/md-DdH2xtAn.js
new file mode 100644
index 0000000..38f0ca7
--- /dev/null
+++ b/docs/assets/md-DdH2xtAn.js
@@ -0,0 +1 @@
+import{_ as a}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as n,c as i,k as A,e as m,l as p,m as c,q as l,s as u,I as t}from"./modules/vue-DPv8DyWv.js";import{I as _}from"./slidev/default-DYfprxyr.js";import{u as d,f}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const G={__name:"slides.md__slidev_14",setup(g){const{$slidev:B,$nav:k,$clicksContext:o,$clicks:C,$page:v,$renderContext:$,$frontmatter:s}=d();return o.setup(),(x,e)=>{const r=a;return n(),i(_,l(u(t(f)(t(s),13))),{default:A(()=>[e[0]||(e[0]=m("h2",null,"Construction/Selection",-1)),p(r,c({runnable:"","code-lz":"MYewdgzgBAvFCGsB8UBGyrRiiAKeAlLqgQFDDwBOsUADvAJbXZ2OX4bouHkAmzrJhnpMOLLihKkA9NKjyFipfIB6a9RpUy5y3RACmAG33AALiGoAzAK5gzDcKVL6AHvAC2tYwAU2NUJC4AETwQURBqGHk4BAgxgB0hiAA5rgU7K4eXvq+TARkAbEJSanA/LiZnj5s+aRAA==",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{G as default};
diff --git a/docs/assets/md-DgnI8Bd4.js b/docs/assets/md-DgnI8Bd4.js
new file mode 100644
index 0000000..370610e
--- /dev/null
+++ b/docs/assets/md-DgnI8Bd4.js
@@ -0,0 +1 @@
+import{o as n,c as l,k as i,e as t,aa as o,q as p,s as u,I as a}from"./modules/vue-DPv8DyWv.js";import{I as c}from"./slidev/default-DYfprxyr.js";import{u as m,f as d}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const T={__name:"slides.md__slidev_7",setup(f){const{$slidev:_,$nav:x,$clicksContext:r,$clicks:$,$page:g,$renderContext:k,$frontmatter:s}=m();return r.setup(),(B,e)=>(n(),l(c,p(u(a(d)(a(s),6))),{default:i(()=>e[0]||(e[0]=[t("h1",null,"Boolean Logic",-1),t("ul",null,[t("li",null,[t("strong",null,"Capacity"),o(": 1 Bit (true/false)")]),t("li",null,[t("strong",null,"Operations"),o(": and/not/etc.")])],-1)])),_:1},16))}};export{T as default};
diff --git a/docs/assets/md-Dq6iqmEs.js b/docs/assets/md-Dq6iqmEs.js
new file mode 100644
index 0000000..9bfdc18
--- /dev/null
+++ b/docs/assets/md-Dq6iqmEs.js
@@ -0,0 +1 @@
+import{_ as r}from"./slidev/VClicks-BJgSDv3Q.js";import{o as i,c as u,k as e,e as l,l as m,aa as p,q as c,s as d,I as o}from"./modules/vue-DPv8DyWv.js";import{I as f}from"./slidev/default-DYfprxyr.js";import{u as _,f as g}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const j={__name:"slides.md__slidev_2",setup(k){const{$slidev:v,$nav:x,$clicksContext:n,$clicks:$,$page:y,$renderContext:B,$frontmatter:a}=_();return n.setup(),(C,t)=>{const s=r;return i(),u(f,c(d(o(g)(o(a),1))),{default:e(()=>[t[1]||(t[1]=l("h1",null,"Goal/Motivation",-1)),m(s,null,{default:e(()=>t[0]||(t[0]=[l("ul",null,[l("li",null,[p("Represent arbitrary data using only functions "),l("ul",null,[l("li",null,"No classes, structs, numbers, etc."),l("li",null,"Basically pure lambda calculus")])]),l("li",null,"Think/program more functionally"),l("li",null,"Elegant and minimal solutions"),l("li",null,"Useful for theorem proving?"),l("li",null,"Really fun!")],-1)])),_:1})]),_:1},16)}}};export{j as default};
diff --git a/docs/assets/md-DtHqeZS0.js b/docs/assets/md-DtHqeZS0.js
new file mode 100644
index 0000000..e0af100
--- /dev/null
+++ b/docs/assets/md-DtHqeZS0.js
@@ -0,0 +1,3 @@
+import{_ as o}from"./slidev/VClicks-BJgSDv3Q.js";import{o as h,c as r,k as t,e as i,l as d,aa as s,q as p,s as f,I as e}from"./modules/vue-DPv8DyWv.js";import{I as c}from"./slidev/default-DYfprxyr.js";import{u as g,f as u}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const T={__name:"slides.md__slidev_10",setup(m){const{$slidev:y,$nav:_,$clicksContext:a,$clicks:D,$page:A,$renderContext:B,$frontmatter:n}=g();return a.setup(),(b,l)=>{const k=o;return h(),r(c,p(f(e(u)(e(n),9))),{default:t(()=>[l[1]||(l[1]=i("h2",null,"Example: Negation",-1)),d(k,null,{default:t(()=>l[0]||(l[0]=[i("ul",null,[i("li",null,[s("We know: "),i("code",null,"bool = t => f => t/f")]),i("li",null,[s("If "),i("code",null,"bool = t => f => t"),s(", then "),i("code",null,"!bool = t => f => f")]),i("li",null,[s("If "),i("code",null,"bool = t => f => f"),s(", then "),i("code",null,"!bool = t => f => t")]),i("li",null,[s("Therefore:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"negate"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," bool"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," t"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," bool"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"t"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")]),s(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#758575DD","--shiki-light":"#A0ADA0"}},"// ^ ^")]),s(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#758575DD","--shiki-light":"#A0ADA0"}},"// one will be eliminated!")])])])])],-1)])),_:1})]),_:1},16)}}};export{T as default};
diff --git a/docs/assets/md-Du6urbl_.js b/docs/assets/md-Du6urbl_.js
new file mode 100644
index 0000000..9bdae68
--- /dev/null
+++ b/docs/assets/md-Du6urbl_.js
@@ -0,0 +1 @@
+import{_ as r}from"./slidev/VClicks-BJgSDv3Q.js";import{o as u,c as i,k as a,e,l as p,aa as c,q as d,s as m,I as l}from"./modules/vue-DPv8DyWv.js";import{I as _}from"./slidev/default-DYfprxyr.js";import{u as f,f as x}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const h={__name:"slides.md__slidev_5",setup($){const{$slidev:g,$nav:k,$clicksContext:s,$clicks:v,$page:C,$renderContext:y,$frontmatter:o}=f();return s.setup(),(B,t)=>{const n=r;return u(),i(_,d(m(l(x)(l(o),4))),{default:a(()=>[t[1]||(t[1]=e("h1",null,"Really Functional Data Structures",-1)),p(n,null,{default:a(()=>t[0]||(t[0]=[e("ul",null,[e("li",null,"Only use pure, closed terms"),e("li",null,[c("Multiple states are encoded via (unapplied) arguments and applications "),e("ul",null,[e("li",null,"Carefully, such that data doesn’t reduce itself!")])]),e("li",null,'State can often be extracted using "selector" argument')],-1)])),_:1})]),_:1},16)}}};export{h as default};
diff --git a/docs/assets/md-DuSHXnxV.js b/docs/assets/md-DuSHXnxV.js
new file mode 100644
index 0000000..f2f22cd
--- /dev/null
+++ b/docs/assets/md-DuSHXnxV.js
@@ -0,0 +1 @@
+import{_ as a}from"./Monaco.vue_vue_type_style_index_0_lang-PDEG0sxg.js";import{o as i,c as d,k as u,e,aa as o,l as c,m as p,q as m,s as A,I as s}from"./modules/vue-DPv8DyWv.js";import{I as _}from"./slidev/default-DYfprxyr.js";import{u as f,f as g}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const S={__name:"slides.md__slidev_25",setup(B){const{$slidev:x,$nav:P,$clicksContext:l,$clicks:$,$page:k,$renderContext:C,$frontmatter:r}=f();return l.setup(),(I,t)=>{const n=a;return i(),d(_,m(A(s(g)(s(r),24))),{default:u(()=>[t[0]||(t[0]=e("h2",null,"Successor",-1)),t[1]||(t[1]=e("ul",null,[e("li",null,[o("Add another "),e("code",null,"s"),o(" to the composition")]),e("li",null,[o('We also need to "rebind" existing '),e("code",null,"s"),o(" and "),e("code",null,"z"),o("!")])],-1)),c(n,p({runnable:"","code-lz":"PTAEBcAsCcFNdAXlAZyQPlALw6gFCgXlgJRkBQ5Ws0A9kqrjopluSgK4DGXDAdrjQtsgvHwIliFcl1p8UtADawAdItoBzPLABuAQ0UBhSB2hdIBbl0s8b16nTJPyQA==",lang:"js"},{showOutputAt:"+1"}),null,16)]),_:1},16)}}};export{S as default};
diff --git a/docs/assets/md-DyvObES2.js b/docs/assets/md-DyvObES2.js
new file mode 100644
index 0000000..4034959
--- /dev/null
+++ b/docs/assets/md-DyvObES2.js
@@ -0,0 +1,3 @@
+import{o as l,c as e,k as n,e as i,aa as s,q as r,s as p,I as h}from"./modules/vue-DPv8DyWv.js";import{I as d}from"./slidev/default-DYfprxyr.js";import{u as g,f as y}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const C={__name:"slides.md__slidev_39",setup(B){const{$slidev:D,$nav:o,$clicksContext:a,$clicks:m,$page:A,$renderContext:c,$frontmatter:t}=g();return a.setup(),(u,k)=>(l(),e(d,r(p(h(y)(h(t),38))),{default:n(()=>k[0]||(k[0]=[i("h1",null,"Mogensen-Scott",-1),i("ul",null,[i("li",null,"Meta encoding of lambda terms"),i("li",null,[s("Tagged union: "),i("code",null,"Symbol x | Application Term Term | Lambda Term")]),i("li",null,[s("Translation:"),i("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[i("code",{class:"language-js"},[i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," sym"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," sym"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")")]),s(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},")]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," sym"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"f"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])")]),s(`
+`),i("span",{class:"line"},[i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," m"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"]"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," ="),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," sym"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," app"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#80A665","--shiki-light":"#59873A"}}," lam"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"("),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"x"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}}," =>"),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}}," enc"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"["),i("span",{style:{"--shiki-dark":"#BD976A","--shiki-light":"#B07D48"}},"m"),i("span",{style:{"--shiki-dark":"#666666","--shiki-light":"#999999"}},"])")])])])])],-1)])),_:1},16))}};export{C as default};
diff --git a/docs/assets/md-Esu_vpIB.js b/docs/assets/md-Esu_vpIB.js
new file mode 100644
index 0000000..8b64f71
--- /dev/null
+++ b/docs/assets/md-Esu_vpIB.js
@@ -0,0 +1 @@
+import{o,c as r,k as m,e as a,aa as t,q as p,s as i,I as e}from"./modules/vue-DPv8DyWv.js";import{I as c}from"./slidev/default-DYfprxyr.js";import{u,f as h}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const B={__name:"slides.md__slidev_22",setup(d){const{$slidev:x,$nav:f,$clicksContext:n,$clicks:k,$page:w,$renderContext:g,$frontmatter:l}=u();return n.setup(),(_,s)=>(o(),r(c,p(i(e(h)(e(l),21))),{default:m(()=>s[0]||(s[0]=[a("h1",null,"Church Numerals",-1),a("ul",null,[a("li",null,[t("Idea: Represent a number "),a("span",{class:"katex"},[a("span",{class:"katex-mathml"},[a("math",{xmlns:"http://www.w3.org/1998/Math/MathML"},[a("semantics",null,[a("mrow",null,[a("mi",null,"n")]),a("annotation",{encoding:"application/x-tex"},"n")])])]),a("span",{class:"katex-html","aria-hidden":"true"},[a("span",{class:"base"},[a("span",{class:"strut",style:{height:"0.4306em"}}),a("span",{class:"mord mathnormal"},"n")])])]),t(" by applying "),a("span",{class:"katex"},[a("span",{class:"katex-mathml"},[a("math",{xmlns:"http://www.w3.org/1998/Math/MathML"},[a("semantics",null,[a("mrow",null,[a("mi",null,"n")]),a("annotation",{encoding:"application/x-tex"},"n")])])]),a("span",{class:"katex-html","aria-hidden":"true"},[a("span",{class:"base"},[a("span",{class:"strut",style:{height:"0.4306em"}}),a("span",{class:"mord mathnormal"},"n")])])]),t(" composed functions to some argument!")])],-1)])),_:1},16))}};export{B as default};
diff --git a/docs/assets/md-OQJduEAz.js b/docs/assets/md-OQJduEAz.js
new file mode 100644
index 0000000..c005546
--- /dev/null
+++ b/docs/assets/md-OQJduEAz.js
@@ -0,0 +1 @@
+import{o,c as r,k as i,e as t,q as l,s as u,I as s}from"./modules/vue-DPv8DyWv.js";import{I as m}from"./slidev/default-DYfprxyr.js";import{u as c,f as p}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const I={__name:"slides.md__slidev_3",setup(d){const{$slidev:f,$nav:_,$clicksContext:a,$clicks:$,$page:g,$renderContext:x,$frontmatter:n}=c();return a.setup(),(b,e)=>(o(),r(m,l(u(s(p)(s(n),2))),{default:i(()=>e[0]||(e[0]=[t("h1",null,"Anonymous Functions (Lambdas)",-1),t("ul",null,[t("li",null,"Functions (abstractions) have an argument and a body"),t("li",null,"Applying a function with an argument substitutes it"),t("li",null,"Functions can be assigned to names (easier to read)")],-1)])),_:1},16))}};export{I as default};
diff --git a/docs/assets/md-P0Anrfeg.js b/docs/assets/md-P0Anrfeg.js
new file mode 100644
index 0000000..e930f90
--- /dev/null
+++ b/docs/assets/md-P0Anrfeg.js
@@ -0,0 +1 @@
+import{o as l,c as n,k as i,e as t,aa as e,q as u,s as m,I as o}from"./modules/vue-DPv8DyWv.js";import{I as p}from"./slidev/default-DYfprxyr.js";import{u as c,f as d}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const N={__name:"slides.md__slidev_31",setup(f){const{$slidev:_,$nav:x,$clicksContext:s,$clicks:$,$page:k,$renderContext:v,$frontmatter:r}=c();return s.setup(),(y,a)=>(l(),n(p,u(m(o(d)(o(r),30))),{default:i(()=>a[0]||(a[0]=[t("h1",null,"Sums/Unions",-1),t("ul",null,[t("li",null,[e("Similar: Stores multiple "),t("em",null,"types"),e(", but only one at a time")]),t("li",null,[e("Typical functional data structure (e.g. Haskell’s "),t("code",null,"data"),e(' "|")')])],-1)])),_:1},16))}};export{N as default};
diff --git a/docs/assets/md-RRrviqmf.css b/docs/assets/md-RRrviqmf.css
new file mode 100644
index 0000000..6aaa8ad
--- /dev/null
+++ b/docs/assets/md-RRrviqmf.css
@@ -0,0 +1 @@
+pre[data-v-5c17cd09],code[data-v-5c17cd09],pre[data-v-5c17cd09] *{color:#000!important;background-color:transparent!important;margin:0 auto;width:min-content;font-size:90%;line-height:1.1!important}small[data-v-5c17cd09]{font-size:50%}
diff --git a/docs/assets/md-UMyVtbKA.js b/docs/assets/md-UMyVtbKA.js
new file mode 100644
index 0000000..9c621db
--- /dev/null
+++ b/docs/assets/md-UMyVtbKA.js
@@ -0,0 +1 @@
+import{_ as i}from"./slidev/VClicks-BJgSDv3Q.js";import{o as u,c as m,k as s,e as t,l as p,aa as o,q as c,s as d,I as l}from"./modules/vue-DPv8DyWv.js";import{I as f}from"./slidev/default-DYfprxyr.js";import{u as _,f as k}from"./slidev/context-DlCOjm-I.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./index-Da-oe7Cw.js";import"./modules/shiki-BNMsYMPq.js";const w={__name:"slides.md__slidev_16",setup(x){const{$slidev:C,$nav:$,$clicksContext:r,$clicks:h,$page:B,$renderContext:I,$frontmatter:a}=_();return r.setup(),(v,e)=>{const n=i;return u(),m(f,c(d(l(k)(l(a),15))),{default:s(()=>[e[1]||(e[1]=t("h1",null,"Church Lists",-1)),p(n,{depth:"2"},{default:s(()=>e[0]||(e[0]=[t("ul",null,[t("li",null,[o("Idea: A list is just a composition of pairs:"),t("br"),t("code",null,"[A, B, C, D] = (A, (B, (C, (D, NIL))))")]),t("li",null,[o("But what is "),t("code",null,"NIL"),o("? "),t("ul",null,[t("li",null,"marks the end of the list"),t("li",null,"differentiable from other elements")])])],-1)])),_:1})]),_:1},16)}}};export{w as default};
diff --git a/docs/assets/md-VAjAPvfg.js b/docs/assets/md-VAjAPvfg.js
new file mode 100644
index 0000000..46acf09
--- /dev/null
+++ b/docs/assets/md-VAjAPvfg.js
@@ -0,0 +1,17 @@
+import{_ as r}from"./slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js";import{o,c as u,k as a,e as s,l as c,m,aa as n,q as d,s as f,I as e}from"./modules/vue-DPv8DyWv.js";import{I as _}from"./slidev/default-DYfprxyr.js";import{u as k,f as g}from"./slidev/context-DlCOjm-I.js";import{_ as v}from"./index-Da-oe7Cw.js";import"./modules/unplugin-icons-DdQIwbVj.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const x={__name:"slides.md__slidev_42",setup(h){const{$slidev:$,$nav:b,$clicksContext:t,$clicks:C,$page:I,$renderContext:B,$frontmatter:i}=k();return t.setup(),(P,l)=>{const p=r;return o(),u(_,d(f(e(g)(e(i),41))),{default:a(()=>[l[1]||(l[1]=s("h2",null,"194 bit self interpreter",-1)),l[2]||(l[2]=s("p",null,"Minimal data structures allow minimal interpreters!",-1)),c(p,m({},{ranges:[]}),{default:a(()=>l[0]||(l[0]=[s("pre",{class:"shiki shiki-themes vitesse-dark vitesse-light slidev-code",style:{"--shiki-dark":"#dbd7caee","--shiki-light":"#393a34","--shiki-dark-bg":"#121212","--shiki-light-bg":"#ffffff"}},[s("code",{class:"language-text"},[s("span",{class:"line"},[s("span",null,"01010001 00011100")]),n(`
+`),s("span",{class:"line"},[s("span",null,"11010000 ###### 11100110")]),n(`
+`),s("span",{class:"line"},[s("span",null,"10000 ############ 00001")]),n(`
+`),s("span",{class:"line"},[s("span",null,"01011 ##### ##### 00001")]),n(`
+`),s("span",{class:"line"},[s("span",null,"11100 #### #### 00101")]),n(`
+`),s("span",{class:"line"},[s("span",null,"01110 #### ##### 00011")]),n(`
+`),s("span",{class:"line"},[s("span",null,"00000 #### ###### 10100")]),n(`
+`),s("span",{class:"line"},[s("span",null,"00011 #### ### #### 00111")]),n(`
+`),s("span",{class:"line"},[s("span",null,"10000 #### ## #### 11111")]),n(`
+`),s("span",{class:"line"},[s("span",null,"00001 #### ### #### 11110")]),n(`
+`),s("span",{class:"line"},[s("span",null,"00010 ###### #### 11110")]),n(`
+`),s("span",{class:"line"},[s("span",null,"10011 ##### #### 10100")]),n(`
+`),s("span",{class:"line"},[s("span",null,"11110 #### #### 00011")]),n(`
+`),s("span",{class:"line"},[s("span",null,"11000 ##### ##### 00011")]),n(`
+`),s("span",{class:"line"},[s("span",null,"11000 ############ 01011")]),n(`
+`),s("span",{class:"line"},[s("span",null,"01101110 ###### 00011001")]),n(`
+`),s("span",{class:"line"},[s("span",null,"00011010 00011010")])])],-1)])),_:1},16),l[3]||(l[3]=s("small",null,[s("p",null,[n("See "),s("a",{href:"https://text.marvinborner.de/2023-09-03-21.html",target:"_blank"},"Metaprogramming and Self-Interpretation")])],-1))]),_:1},16)}}},z=v(x,[["__scopeId","data-v-5c17cd09"]]);export{z as default};
diff --git a/docs/assets/md-Zw3N9h5o.js b/docs/assets/md-Zw3N9h5o.js
new file mode 100644
index 0000000..8029a5b
--- /dev/null
+++ b/docs/assets/md-Zw3N9h5o.js
@@ -0,0 +1 @@
+import{o as l,c as a,k as n,e as t,q as i,s as u,I as r}from"./modules/vue-DPv8DyWv.js";import{I as p}from"./slidev/default-DYfprxyr.js";import{u as m,f as c}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const F={__name:"slides.md__slidev_29",setup(d){const{$slidev:f,$nav:_,$clicksContext:o,$clicks:x,$page:$,$renderContext:k,$frontmatter:s}=m();return o.setup(),(C,e)=>(l(),a(p,i(u(r(c)(r(s),28))),{default:n(()=>e[0]||(e[0]=[t("h1",null,"Products/Records",-1),t("ul",null,[t("li",null,"Stores multiple elements, supports construction and extraction"),t("li",null,"For two elements: Just a Church pair"),t("li",null,"For multiple elements: Extend the pair!")],-1)])),_:1},16))}};export{F as default};
diff --git a/docs/assets/md-chp4UUUX.js b/docs/assets/md-chp4UUUX.js
new file mode 100644
index 0000000..f14abba
--- /dev/null
+++ b/docs/assets/md-chp4UUUX.js
@@ -0,0 +1 @@
+import{o,c as a,k as i,e as n,q as p,s as m,I as t}from"./modules/vue-DPv8DyWv.js";import{_ as c}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as l,f as _}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const q={__name:"slides.md__slidev_28",setup(f){const{$slidev:u,$nav:d,$clicksContext:r,$clicks:$,$page:x,$renderContext:k,$frontmatter:s}=l();return r.setup(),(v,e)=>(o(),a(c,p(m(t(_)(t(s),27))),{default:i(()=>e[0]||(e[0]=[n("h1",null,"Algebraic Types",-1)])),_:1},16))}};export{q as default};
diff --git a/docs/assets/md-snUBHVES.js b/docs/assets/md-snUBHVES.js
new file mode 100644
index 0000000..27c75b2
--- /dev/null
+++ b/docs/assets/md-snUBHVES.js
@@ -0,0 +1 @@
+import{o,c as a,k as i,e as m,q as n,s as p,I as e}from"./modules/vue-DPv8DyWv.js";import{_ as c}from"./slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js";import{u as l,f as _}from"./slidev/context-DlCOjm-I.js";import"./index-Da-oe7Cw.js";import"./monaco/bundled-types-B0l6HWZX.js";import"./modules/file-saver-igGfcqei.js";import"./modules/shiki-BNMsYMPq.js";const w={__name:"slides.md__slidev_44",setup(f){const{$slidev:u,$nav:d,$clicksContext:s,$clicks:$,$page:x,$renderContext:k,$frontmatter:r}=l();return s.setup(),(v,t)=>(o(),a(c,n(p(e(_)(e(r),43))),{default:i(()=>t[0]||(t[0]=[m("h1",null,"Images",-1)])),_:1},16))}};export{w as default};
diff --git a/docs/assets/modules/file-saver-igGfcqei.js b/docs/assets/modules/file-saver-igGfcqei.js
new file mode 100644
index 0000000..1592c18
--- /dev/null
+++ b/docs/assets/modules/file-saver-igGfcqei.js
@@ -0,0 +1 @@
+function O(n,l){for(var i=0;i<l.length;i++){const r=l[i];if(typeof r!="string"&&!Array.isArray(r)){for(const c in r)if(c!=="default"&&!(c in n)){const f=Object.getOwnPropertyDescriptor(r,c);f&&Object.defineProperty(n,c,f.get?f:{enumerable:!0,get:()=>r[c]})}}}return Object.freeze(Object.defineProperty(n,Symbol.toStringTag,{value:"Module"}))}var p=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global<"u"?global:typeof self<"u"?self:{};function _(n){return n&&n.__esModule&&Object.prototype.hasOwnProperty.call(n,"default")?n.default:n}function A(n){if(n.__esModule)return n;var l=n.default;if(typeof l=="function"){var i=function r(){return this instanceof r?Reflect.construct(l,arguments,this.constructor):l.apply(this,arguments)};i.prototype=l.prototype}else i={};return Object.defineProperty(i,"__esModule",{value:!0}),Object.keys(n).forEach(function(r){var c=Object.getOwnPropertyDescriptor(n,r);Object.defineProperty(i,r,c.get?c:{enumerable:!0,get:function(){return n[r]}})}),i}var h={exports:{}};(function(n,l){(function(i,r){r()})(p,function(){function i(e,t){return typeof t>"u"?t={autoBom:!1}:typeof t!="object"&&(console.warn("Deprecated: Expected third argument to be a object"),t={autoBom:!t}),t.autoBom&&/^\s*(?:text\/\S*|application\/xml|\S*\/\S*\+xml)\s*;.*charset\s*=\s*utf-8/i.test(e.type)?new Blob(["\uFEFF",e],{type:e.type}):e}function r(e,t,u){var o=new XMLHttpRequest;o.open("GET",e),o.responseType="blob",o.onload=function(){v(o.response,t,u)},o.onerror=function(){console.error("could not download file")},o.send()}function c(e){var t=new XMLHttpRequest;t.open("HEAD",e,!1);try{t.send()}catch{}return 200<=t.status&&299>=t.status}function f(e){try{e.dispatchEvent(new MouseEvent("click"))}catch{var t=document.createEvent("MouseEvents");t.initMouseEvent("click",!0,!0,window,0,0,0,80,20,!1,!1,!1,!1,0,null),e.dispatchEvent(t)}}var s=typeof window=="object"&&window.window===window?window:typeof self=="object"&&self.self===self?self:typeof p=="object"&&p.global===p?p:void 0,w=s.navigator&&/Macintosh/.test(navigator.userAgent)&&/AppleWebKit/.test(navigator.userAgent)&&!/Safari/.test(navigator.userAgent),v=s.saveAs||(typeof window!="object"||window!==s?function(){}:"download"in HTMLAnchorElement.prototype&&!w?function(e,t,u){var o=s.URL||s.webkitURL,a=document.createElement("a");t=t||e.name||"download",a.download=t,a.rel="noopener",typeof e=="string"?(a.href=e,a.origin===location.origin?f(a):c(a.href)?r(e,t,u):f(a,a.target="_blank")):(a.href=o.createObjectURL(e),setTimeout(function(){o.revokeObjectURL(a.href)},4e4),setTimeout(function(){f(a)},0))}:"msSaveOrOpenBlob"in navigator?function(e,t,u){if(t=t||e.name||"download",typeof e!="string")navigator.msSaveOrOpenBlob(i(e,u),t);else if(c(e))r(e,t,u);else{var o=document.createElement("a");o.href=e,o.target="_blank",setTimeout(function(){f(o)})}}:function(e,t,u,o){if(o=o||open("","_blank"),o&&(o.document.title=o.document.body.innerText="downloading..."),typeof e=="string")return r(e,t,u);var a=e.type==="application/octet-stream",j=/constructor/i.test(s.HTMLElement)||s.safari,b=/CriOS\/[\d]+/.test(navigator.userAgent);if((b||a&&j||w)&&typeof FileReader<"u"){var y=new FileReader;y.onloadend=function(){var d=y.result;d=b?d:d.replace(/^data:[^;]*;/,"data:attachment/file;"),o?o.location.href=d:location=d,o=null},y.readAsDataURL(e)}else{var g=s.URL||s.webkitURL,m=g.createObjectURL(e);o?o.location=m:location.href=m,o=null,setTimeout(function(){g.revokeObjectURL(m)},4e4)}});s.saveAs=v.saveAs=v,n.exports=v})})(h);var E=h.exports;const R=O({__proto__:null},[E]);export{R as F,_ as a,p as c,A as g};
diff --git a/docs/assets/modules/shiki-BNMsYMPq.js b/docs/assets/modules/shiki-BNMsYMPq.js
new file mode 100644
index 0000000..cf04305
--- /dev/null
+++ b/docs/assets/modules/shiki-BNMsYMPq.js
@@ -0,0 +1,12 @@
+var Yn=Object.defineProperty;var Kn=(e,A,t)=>A in e?Yn(e,A,{enumerable:!0,configurable:!0,writable:!0,value:t}):e[A]=t;var N=(e,A,t)=>Kn(e,typeof A!="symbol"?A+"":A,t);import{d as ke,p as Pn,a as Sn,n as xn,o as gA,c as ye,w as Jn,r as YA,b as KA,e as IA,F as vn,f as Qe,g as Mt,h as Ke,i as Et,j as Zn,k as ae,l as ug,m as pg,q as On,s as qn,t as je,u as zn,v as _n,x as jn}from"./vue-DPv8DyWv.js";const $n=["top","right","bottom","left"],Ft=["start","end"],Gt=$n.reduce((e,A)=>e.concat(A,A+"-"+Ft[0],A+"-"+Ft[1]),[]),PA=Math.min,rA=Math.max,Tn={left:"right",right:"left",bottom:"top",top:"bottom"},Wn={start:"end",end:"start"};function $e(e,A,t){return rA(e,PA(A,t))}function uA(e,A){return typeof e=="function"?e(A):e}function eA(e){return e.split("-")[0]}function $(e){return e.split("-")[1]}function mg(e){return e==="x"?"y":"x"}function Ct(e){return e==="y"?"height":"width"}function lA(e){return["top","bottom"].includes(eA(e))?"y":"x"}function rt(e){return mg(lA(e))}function hg(e,A,t){t===void 0&&(t=!1);const g=$(e),n=rt(e),B=Ct(n);let Q=n==="x"?g===(t?"end":"start")?"right":"left":g==="start"?"bottom":"top";return A.reference[B]>A.floating[B]&&(Q=ie(Q)),[Q,ie(Q)]}function Xn(e){const A=ie(e);return[se(e),A,se(A)]}function se(e){return e.replace(/start|end/g,A=>Wn[A])}function Vn(e,A,t){const g=["left","right"],n=["right","left"],B=["top","bottom"],Q=["bottom","top"];switch(e){case"top":case"bottom":return t?A?n:g:A?g:n;case"left":case"right":return A?B:Q;default:return[]}}function AB(e,A,t,g){const n=$(e);let B=Vn(eA(e),t==="start",g);return n&&(B=B.map(Q=>Q+"-"+n),A&&(B=B.concat(B.map(se)))),B}function ie(e){return e.replace(/left|right|bottom|top/g,A=>Tn[A])}function eB(e){return{top:0,right:0,bottom:0,left:0,...e}}function fg(e){return typeof e!="number"?eB(e):{top:e,right:e,bottom:e,left:e}}function NA(e){const{x:A,y:t,width:g,height:n}=e;return{width:g,height:n,top:t,left:A,right:A+g,bottom:t+n,x:A,y:t}}function Nt(e,A,t){let{reference:g,floating:n}=e;const B=lA(A),Q=rt(A),a=Ct(Q),s=eA(A),i=B==="y",E=g.x+g.width/2-n.width/2,o=g.y+g.height/2-n.height/2,C=g[a]/2-n[a]/2;let r;switch(s){case"top":r={x:E,y:g.y-n.height};break;case"bottom":r={x:E,y:g.y+g.height};break;case"right":r={x:g.x+g.width,y:o};break;case"left":r={x:g.x-n.width,y:o};break;default:r={x:g.x,y:g.y}}switch($(A)){case"start":r[Q]-=C*(t&&i?-1:1);break;case"end":r[Q]+=C*(t&&i?-1:1);break}return r}const tB=async(e,A,t)=>{const{placement:g="bottom",strategy:n="absolute",middleware:B=[],platform:Q}=t,a=B.filter(Boolean),s=await(Q.isRTL==null?void 0:Q.isRTL(A));let i=await Q.getElementRects({reference:e,floating:A,strategy:n}),{x:E,y:o}=Nt(i,g,s),C=g,r={},I=0;for(let l=0;l<a.length;l++){const{name:c,fn:w}=a[l],{x:D,y:u,data:p,reset:m}=await w({x:E,y:o,initialPlacement:g,placement:C,strategy:n,middlewareData:r,rects:i,platform:Q,elements:{reference:e,floating:A}});E=D??E,o=u??o,r={...r,[c]:{...r[c],...p}},m&&I<=50&&(I++,typeof m=="object"&&(m.placement&&(C=m.placement),m.rects&&(i=m.rects===!0?await Q.getElementRects({reference:e,floating:A,strategy:n}):m.rects),{x:E,y:o}=Nt(i,C,s)),l=-1)}return{x:E,y:o,placement:C,strategy:n,middlewareData:r}};async function Me(e,A){var t;A===void 0&&(A={});const{x:g,y:n,platform:B,rects:Q,elements:a,strategy:s}=e,{boundary:i="clippingAncestors",rootBoundary:E="viewport",elementContext:o="floating",altBoundary:C=!1,padding:r=0}=uA(A,e),I=fg(r),c=a[C?o==="floating"?"reference":"floating":o],w=NA(await B.getClippingRect({element:(t=await(B.isElement==null?void 0:B.isElement(c)))==null||t?c:c.contextElement||await(B.getDocumentElement==null?void 0:B.getDocumentElement(a.floating)),boundary:i,rootBoundary:E,strategy:s})),D=o==="floating"?{x:g,y:n,width:Q.floating.width,height:Q.floating.height}:Q.reference,u=await(B.getOffsetParent==null?void 0:B.getOffsetParent(a.floating)),p=await(B.isElement==null?void 0:B.isElement(u))?await(B.getScale==null?void 0:B.getScale(u))||{x:1,y:1}:{x:1,y:1},m=NA(B.convertOffsetParentRelativeRectToViewportRelativeRect?await B.convertOffsetParentRelativeRectToViewportRelativeRect({elements:a,rect:D,offsetParent:u,strategy:s}):D);return{top:(w.top-m.top+I.top)/p.y,bottom:(m.bottom-w.bottom+I.bottom)/p.y,left:(w.left-m.left+I.left)/p.x,right:(m.right-w.right+I.right)/p.x}}const gB=e=>({name:"arrow",options:e,async fn(A){const{x:t,y:g,placement:n,rects:B,platform:Q,elements:a,middlewareData:s}=A,{element:i,padding:E=0}=uA(e,A)||{};if(i==null)return{};const o=fg(E),C={x:t,y:g},r=rt(n),I=Ct(r),l=await Q.getDimensions(i),c=r==="y",w=c?"top":"left",D=c?"bottom":"right",u=c?"clientHeight":"clientWidth",p=B.reference[I]+B.reference[r]-C[r]-B.floating[I],m=C[r]-B.reference[r],k=await(Q.getOffsetParent==null?void 0:Q.getOffsetParent(i));let f=k?k[u]:0;(!f||!await(Q.isElement==null?void 0:Q.isElement(k)))&&(f=a.floating[u]||B.floating[I]);const G=p/2-m/2,R=f/2-l[I]/2-1,y=PA(o[w],R),U=PA(o[D],R),P=y,J=f-l[I]-U,F=f/2-l[I]/2+G,v=$e(P,F,J),Z=!s.arrow&&$(n)!=null&&F!==v&&B.reference[I]/2-(F<P?y:U)-l[I]/2<0,W=Z?F<P?F-P:F-J:0;return{[r]:C[r]+W,data:{[r]:v,centerOffset:F-v-W,...Z&&{alignmentOffset:W}},reset:Z}}});function nB(e,A,t){return(e?[...t.filter(n=>$(n)===e),...t.filter(n=>$(n)!==e)]:t.filter(n=>eA(n)===n)).filter(n=>e?$(n)===e||(A?se(n)!==n:!1):!0)}const BB=function(e){return e===void 0&&(e={}),{name:"autoPlacement",options:e,async fn(A){var t,g,n;const{rects:B,middlewareData:Q,placement:a,platform:s,elements:i}=A,{crossAxis:E=!1,alignment:o,allowedPlacements:C=Gt,autoAlignment:r=!0,...I}=uA(e,A),l=o!==void 0||C===Gt?nB(o||null,r,C):C,c=await Me(A,I),w=((t=Q.autoPlacement)==null?void 0:t.index)||0,D=l[w];if(D==null)return{};const u=hg(D,B,await(s.isRTL==null?void 0:s.isRTL(i.floating)));if(a!==D)return{reset:{placement:l[0]}};const p=[c[eA(D)],c[u[0]],c[u[1]]],m=[...((g=Q.autoPlacement)==null?void 0:g.overflows)||[],{placement:D,overflows:p}],k=l[w+1];if(k)return{data:{index:w+1,overflows:m},reset:{placement:k}};const f=m.map(y=>{const U=$(y.placement);return[y.placement,U&&E?y.overflows.slice(0,2).reduce((P,J)=>P+J,0):y.overflows[0],y.overflows]}).sort((y,U)=>y[1]-U[1]),R=((n=f.filter(y=>y[2].slice(0,$(y[0])?2:3).every(U=>U<=0))[0])==null?void 0:n[0])||f[0][0];return R!==a?{data:{index:w+1,overflows:m},reset:{placement:R}}:{}}}},QB=function(e){return e===void 0&&(e={}),{name:"flip",options:e,async fn(A){var t,g;const{placement:n,middlewareData:B,rects:Q,initialPlacement:a,platform:s,elements:i}=A,{mainAxis:E=!0,crossAxis:o=!0,fallbackPlacements:C,fallbackStrategy:r="bestFit",fallbackAxisSideDirection:I="none",flipAlignment:l=!0,...c}=uA(e,A);if((t=B.arrow)!=null&&t.alignmentOffset)return{};const w=eA(n),D=lA(a),u=eA(a)===a,p=await(s.isRTL==null?void 0:s.isRTL(i.floating)),m=C||(u||!l?[ie(a)]:Xn(a)),k=I!=="none";!C&&k&&m.push(...AB(a,l,I,p));const f=[a,...m],G=await Me(A,c),R=[];let y=((g=B.flip)==null?void 0:g.overflows)||[];if(E&&R.push(G[w]),o){const F=hg(n,Q,p);R.push(G[F[0]],G[F[1]])}if(y=[...y,{placement:n,overflows:R}],!R.every(F=>F<=0)){var U,P;const F=(((U=B.flip)==null?void 0:U.index)||0)+1,v=f[F];if(v)return{data:{index:F,overflows:y},reset:{placement:v}};let Z=(P=y.filter(W=>W.overflows[0]<=0).sort((W,nA)=>W.overflows[1]-nA.overflows[1])[0])==null?void 0:P.placement;if(!Z)switch(r){case"bestFit":{var J;const W=(J=y.filter(nA=>{if(k){const BA=lA(nA.placement);return BA===D||BA==="y"}return!0}).map(nA=>[nA.placement,nA.overflows.filter(BA=>BA>0).reduce((BA,Un)=>BA+Un,0)]).sort((nA,BA)=>nA[1]-BA[1])[0])==null?void 0:J[0];W&&(Z=W);break}case"initialPlacement":Z=a;break}if(n!==Z)return{reset:{placement:Z}}}return{}}}};async function aB(e,A){const{placement:t,platform:g,elements:n}=e,B=await(g.isRTL==null?void 0:g.isRTL(n.floating)),Q=eA(t),a=$(t),s=lA(t)==="y",i=["left","top"].includes(Q)?-1:1,E=B&&s?-1:1,o=uA(A,e);let{mainAxis:C,crossAxis:r,alignmentAxis:I}=typeof o=="number"?{mainAxis:o,crossAxis:0,alignmentAxis:null}:{mainAxis:o.mainAxis||0,crossAxis:o.crossAxis||0,alignmentAxis:o.alignmentAxis};return a&&typeof I=="number"&&(r=a==="end"?I*-1:I),s?{x:r*E,y:C*i}:{x:C*i,y:r*E}}const sB=function(e){return e===void 0&&(e=0),{name:"offset",options:e,async fn(A){var t,g;const{x:n,y:B,placement:Q,middlewareData:a}=A,s=await aB(A,e);return Q===((t=a.offset)==null?void 0:t.placement)&&(g=a.arrow)!=null&&g.alignmentOffset?{}:{x:n+s.x,y:B+s.y,data:{...s,placement:Q}}}}},iB=function(e){return e===void 0&&(e={}),{name:"shift",options:e,async fn(A){const{x:t,y:g,placement:n}=A,{mainAxis:B=!0,crossAxis:Q=!1,limiter:a={fn:c=>{let{x:w,y:D}=c;return{x:w,y:D}}},...s}=uA(e,A),i={x:t,y:g},E=await Me(A,s),o=lA(eA(n)),C=mg(o);let r=i[C],I=i[o];if(B){const c=C==="y"?"top":"left",w=C==="y"?"bottom":"right",D=r+E[c],u=r-E[w];r=$e(D,r,u)}if(Q){const c=o==="y"?"top":"left",w=o==="y"?"bottom":"right",D=I+E[c],u=I-E[w];I=$e(D,I,u)}const l=a.fn({...A,[C]:r,[o]:I});return{...l,data:{x:l.x-t,y:l.y-g,enabled:{[C]:B,[o]:Q}}}}}},oB=function(e){return e===void 0&&(e={}),{name:"size",options:e,async fn(A){var t,g;const{placement:n,rects:B,platform:Q,elements:a}=A,{apply:s=()=>{},...i}=uA(e,A),E=await Me(A,i),o=eA(n),C=$(n),r=lA(n)==="y",{width:I,height:l}=B.floating;let c,w;o==="top"||o==="bottom"?(c=o,w=C===(await(Q.isRTL==null?void 0:Q.isRTL(a.floating))?"start":"end")?"left":"right"):(w=o,c=C==="end"?"top":"bottom");const D=l-E.top-E.bottom,u=I-E.left-E.right,p=PA(l-E[c],D),m=PA(I-E[w],u),k=!A.middlewareData.shift;let f=p,G=m;if((t=A.middlewareData.shift)!=null&&t.enabled.x&&(G=u),(g=A.middlewareData.shift)!=null&&g.enabled.y&&(f=D),k&&!C){const y=rA(E.left,0),U=rA(E.right,0),P=rA(E.top,0),J=rA(E.bottom,0);r?G=I-2*(y!==0||U!==0?y+U:rA(E.left,E.right)):f=l-2*(P!==0||J!==0?P+J:rA(E.top,E.bottom))}await s({...A,availableWidth:G,availableHeight:f});const R=await Q.getDimensions(a.floating);return I!==R.width||l!==R.height?{reset:{rects:!0}}:{}}}};function z(e){var A;return((A=e.ownerDocument)==null?void 0:A.defaultView)||window}function V(e){return z(e).getComputedStyle(e)}const Lt=Math.min,LA=Math.max,oe=Math.round;function bg(e){const A=V(e);let t=parseFloat(A.width),g=parseFloat(A.height);const n=e.offsetWidth,B=e.offsetHeight,Q=oe(t)!==n||oe(g)!==B;return Q&&(t=n,g=B),{width:t,height:g,fallback:Q}}function oA(e){return yg(e)?(e.nodeName||"").toLowerCase():""}let TA;function kg(){if(TA)return TA;const e=navigator.userAgentData;return e&&Array.isArray(e.brands)?(TA=e.brands.map(A=>A.brand+"/"+A.version).join(" "),TA):navigator.userAgent}function AA(e){return e instanceof z(e).HTMLElement}function sA(e){return e instanceof z(e).Element}function yg(e){return e instanceof z(e).Node}function Ht(e){return typeof ShadowRoot>"u"?!1:e instanceof z(e).ShadowRoot||e instanceof ShadowRoot}function Fe(e){const{overflow:A,overflowX:t,overflowY:g,display:n}=V(e);return/auto|scroll|overlay|hidden|clip/.test(A+g+t)&&!["inline","contents"].includes(n)}function EB(e){return["table","td","th"].includes(oA(e))}function Te(e){const A=/firefox/i.test(kg()),t=V(e),g=t.backdropFilter||t.WebkitBackdropFilter;return t.transform!=="none"||t.perspective!=="none"||!!g&&g!=="none"||A&&t.willChange==="filter"||A&&!!t.filter&&t.filter!=="none"||["transform","perspective"].some(n=>t.willChange.includes(n))||["paint","layout","strict","content"].some(n=>{const B=t.contain;return B!=null&&B.includes(n)})}function Mg(){return!/^((?!chrome|android).)*safari/i.test(kg())}function It(e){return["html","body","#document"].includes(oA(e))}function Fg(e){return sA(e)?e:e.contextElement}const Gg={x:1,y:1};function hA(e){const A=Fg(e);if(!AA(A))return Gg;const t=A.getBoundingClientRect(),{width:g,height:n,fallback:B}=bg(A);let Q=(B?oe(t.width):t.width)/g,a=(B?oe(t.height):t.height)/n;return Q&&Number.isFinite(Q)||(Q=1),a&&Number.isFinite(a)||(a=1),{x:Q,y:a}}function SA(e,A,t,g){var n,B;A===void 0&&(A=!1),t===void 0&&(t=!1);const Q=e.getBoundingClientRect(),a=Fg(e);let s=Gg;A&&(g?sA(g)&&(s=hA(g)):s=hA(e));const i=a?z(a):window,E=!Mg()&&t;let o=(Q.left+(E&&((n=i.visualViewport)==null?void 0:n.offsetLeft)||0))/s.x,C=(Q.top+(E&&((B=i.visualViewport)==null?void 0:B.offsetTop)||0))/s.y,r=Q.width/s.x,I=Q.height/s.y;if(a){const l=z(a),c=g&&sA(g)?z(g):g;let w=l.frameElement;for(;w&&g&&c!==l;){const D=hA(w),u=w.getBoundingClientRect(),p=getComputedStyle(w);u.x+=(w.clientLeft+parseFloat(p.paddingLeft))*D.x,u.y+=(w.clientTop+parseFloat(p.paddingTop))*D.y,o*=D.x,C*=D.y,r*=D.x,I*=D.y,o+=u.x,C+=u.y,w=z(w).frameElement}}return{width:r,height:I,top:C,right:o+r,bottom:C+I,left:o,x:o,y:C}}function iA(e){return((yg(e)?e.ownerDocument:e.document)||window.document).documentElement}function Ge(e){return sA(e)?{scrollLeft:e.scrollLeft,scrollTop:e.scrollTop}:{scrollLeft:e.pageXOffset,scrollTop:e.pageYOffset}}function Ng(e){return SA(iA(e)).left+Ge(e).scrollLeft}function xA(e){if(oA(e)==="html")return e;const A=e.assignedSlot||e.parentNode||Ht(e)&&e.host||iA(e);return Ht(A)?A.host:A}function Lg(e){const A=xA(e);return It(A)?A.ownerDocument.body:AA(A)&&Fe(A)?A:Lg(A)}function Ee(e,A){var t;A===void 0&&(A=[]);const g=Lg(e),n=g===((t=e.ownerDocument)==null?void 0:t.body),B=z(g);return n?A.concat(B,B.visualViewport||[],Fe(g)?g:[]):A.concat(g,Ee(g))}function Rt(e,A,t){return A==="viewport"?NA(function(g,n){const B=z(g),Q=iA(g),a=B.visualViewport;let s=Q.clientWidth,i=Q.clientHeight,E=0,o=0;if(a){s=a.width,i=a.height;const C=Mg();(C||!C&&n==="fixed")&&(E=a.offsetLeft,o=a.offsetTop)}return{width:s,height:i,x:E,y:o}}(e,t)):sA(A)?NA(function(g,n){const B=SA(g,!0,n==="fixed"),Q=B.top+g.clientTop,a=B.left+g.clientLeft,s=AA(g)?hA(g):{x:1,y:1};return{width:g.clientWidth*s.x,height:g.clientHeight*s.y,x:a*s.x,y:Q*s.y}}(A,t)):NA(function(g){const n=iA(g),B=Ge(g),Q=g.ownerDocument.body,a=LA(n.scrollWidth,n.clientWidth,Q.scrollWidth,Q.clientWidth),s=LA(n.scrollHeight,n.clientHeight,Q.scrollHeight,Q.clientHeight);let i=-B.scrollLeft+Ng(g);const E=-B.scrollTop;return V(Q).direction==="rtl"&&(i+=LA(n.clientWidth,Q.clientWidth)-a),{width:a,height:s,x:i,y:E}}(iA(e)))}function Ut(e){return AA(e)&&V(e).position!=="fixed"?e.offsetParent:null}function Yt(e){const A=z(e);let t=Ut(e);for(;t&&EB(t)&&V(t).position==="static";)t=Ut(t);return t&&(oA(t)==="html"||oA(t)==="body"&&V(t).position==="static"&&!Te(t))?A:t||function(g){let n=xA(g);for(;AA(n)&&!It(n);){if(Te(n))return n;n=xA(n)}return null}(e)||A}function CB(e,A,t){const g=AA(A),n=iA(A),B=SA(e,!0,t==="fixed",A);let Q={scrollLeft:0,scrollTop:0};const a={x:0,y:0};if(g||!g&&t!=="fixed")if((oA(A)!=="body"||Fe(n))&&(Q=Ge(A)),AA(A)){const s=SA(A,!0);a.x=s.x+A.clientLeft,a.y=s.y+A.clientTop}else n&&(a.x=Ng(n));return{x:B.left+Q.scrollLeft-a.x,y:B.top+Q.scrollTop-a.y,width:B.width,height:B.height}}const rB={getClippingRect:function(e){let{element:A,boundary:t,rootBoundary:g,strategy:n}=e;const B=t==="clippingAncestors"?function(i,E){const o=E.get(i);if(o)return o;let C=Ee(i).filter(c=>sA(c)&&oA(c)!=="body"),r=null;const I=V(i).position==="fixed";let l=I?xA(i):i;for(;sA(l)&&!It(l);){const c=V(l),w=Te(l);(I?w||r:w||c.position!=="static"||!r||!["absolute","fixed"].includes(r.position))?r=c:C=C.filter(D=>D!==l),l=xA(l)}return E.set(i,C),C}(A,this._c):[].concat(t),Q=[...B,g],a=Q[0],s=Q.reduce((i,E)=>{const o=Rt(A,E,n);return i.top=LA(o.top,i.top),i.right=Lt(o.right,i.right),i.bottom=Lt(o.bottom,i.bottom),i.left=LA(o.left,i.left),i},Rt(A,a,n));return{width:s.right-s.left,height:s.bottom-s.top,x:s.left,y:s.top}},convertOffsetParentRelativeRectToViewportRelativeRect:function(e){let{rect:A,offsetParent:t,strategy:g}=e;const n=AA(t),B=iA(t);if(t===B)return A;let Q={scrollLeft:0,scrollTop:0},a={x:1,y:1};const s={x:0,y:0};if((n||!n&&g!=="fixed")&&((oA(t)!=="body"||Fe(B))&&(Q=Ge(t)),AA(t))){const i=SA(t);a=hA(t),s.x=i.x+t.clientLeft,s.y=i.y+t.clientTop}return{width:A.width*a.x,height:A.height*a.y,x:A.x*a.x-Q.scrollLeft*a.x+s.x,y:A.y*a.y-Q.scrollTop*a.y+s.y}},isElement:sA,getDimensions:function(e){return AA(e)?bg(e):e.getBoundingClientRect()},getOffsetParent:Yt,getDocumentElement:iA,getScale:hA,async getElementRects(e){let{reference:A,floating:t,strategy:g}=e;const n=this.getOffsetParent||Yt,B=this.getDimensions;return{reference:CB(A,await n(t),g),floating:{x:0,y:0,...await B(t)}}},getClientRects:e=>Array.from(e.getClientRects()),isRTL:e=>V(e).direction==="rtl"},IB=(e,A,t)=>{const g=new Map,n={platform:rB,...t},B={...n.platform,_c:g};return tB(e,A,{...n,platform:B})};function Hg(e,A){for(const t in A)Object.prototype.hasOwnProperty.call(A,t)&&(typeof A[t]=="object"&&e[t]?Hg(e[t],A[t]):e[t]=A[t])}const T={disabled:!1,distance:5,skidding:0,container:"body",boundary:void 0,instantMove:!1,disposeTimeout:150,popperTriggers:[],strategy:"absolute",preventOverflow:!0,flip:!0,shift:!0,overflowPadding:0,arrowPadding:0,arrowOverflow:!0,autoHideOnMousedown:!1,themes:{tooltip:{placement:"top",triggers:["hover","focus","touch"],hideTriggers:e=>[...e,"click"],delay:{show:200,hide:0},handleResize:!1,html:!1,loadingContent:"..."},dropdown:{placement:"bottom",triggers:["click"],delay:0,handleResize:!0,autoHide:!0},menu:{$extend:"dropdown",triggers:["hover","focus"],popperTriggers:["hover"],delay:{show:0,hide:400}}}};function JA(e,A){let t=T.themes[e]||{},g;do g=t[A],typeof g>"u"?t.$extend?t=T.themes[t.$extend]||{}:(t=null,g=T[A]):t=null;while(t);return g}function cB(e){const A=[e];let t=T.themes[e]||{};do t.$extend&&!t.$resetCss?(A.push(t.$extend),t=T.themes[t.$extend]||{}):t=null;while(t);return A.map(g=>`v-popper--theme-${g}`)}function Kt(e){const A=[e];let t=T.themes[e]||{};do t.$extend?(A.push(t.$extend),t=T.themes[t.$extend]||{}):t=null;while(t);return A}let kA=!1;if(typeof window<"u"){kA=!1;try{const e=Object.defineProperty({},"passive",{get(){kA=!0}});window.addEventListener("test",null,e)}catch{}}let Rg=!1;typeof window<"u"&&typeof navigator<"u"&&(Rg=/iPad|iPhone|iPod/.test(navigator.userAgent)&&!window.MSStream);const Ug=["auto","top","bottom","left","right"].reduce((e,A)=>e.concat([A,`${A}-start`,`${A}-end`]),[]),Pt={hover:"mouseenter",focus:"focus",click:"click",touch:"touchstart",pointer:"pointerdown"},St={hover:"mouseleave",focus:"blur",click:"click",touch:"touchend",pointer:"pointerup"};function xt(e,A){const t=e.indexOf(A);t!==-1&&e.splice(t,1)}function Pe(){return new Promise(e=>requestAnimationFrame(()=>{requestAnimationFrame(e)}))}const j=[];let EA=null;const Jt={};function vt(e){let A=Jt[e];return A||(A=Jt[e]=[]),A}let We=function(){};typeof window<"u"&&(We=window.Element);function b(e){return function(A){return JA(A.theme,e)}}const Se="__floating-vue__popper",Yg=()=>ke({name:"VPopper",provide(){return{[Se]:{parentPopper:this}}},inject:{[Se]:{default:null}},props:{theme:{type:String,required:!0},targetNodes:{type:Function,required:!0},referenceNode:{type:Function,default:null},popperNode:{type:Function,required:!0},shown:{type:Boolean,default:!1},showGroup:{type:String,default:null},ariaId:{default:null},disabled:{type:Boolean,default:b("disabled")},positioningDisabled:{type:Boolean,default:b("positioningDisabled")},placement:{type:String,default:b("placement"),validator:e=>Ug.includes(e)},delay:{type:[String,Number,Object],default:b("delay")},distance:{type:[Number,String],default:b("distance")},skidding:{type:[Number,String],default:b("skidding")},triggers:{type:Array,default:b("triggers")},showTriggers:{type:[Array,Function],default:b("showTriggers")},hideTriggers:{type:[Array,Function],default:b("hideTriggers")},popperTriggers:{type:Array,default:b("popperTriggers")},popperShowTriggers:{type:[Array,Function],default:b("popperShowTriggers")},popperHideTriggers:{type:[Array,Function],default:b("popperHideTriggers")},container:{type:[String,Object,We,Boolean],default:b("container")},boundary:{type:[String,We],default:b("boundary")},strategy:{type:String,validator:e=>["absolute","fixed"].includes(e),default:b("strategy")},autoHide:{type:[Boolean,Function],default:b("autoHide")},handleResize:{type:Boolean,default:b("handleResize")},instantMove:{type:Boolean,default:b("instantMove")},eagerMount:{type:Boolean,default:b("eagerMount")},popperClass:{type:[String,Array,Object],default:b("popperClass")},computeTransformOrigin:{type:Boolean,default:b("computeTransformOrigin")},autoMinSize:{type:Boolean,default:b("autoMinSize")},autoSize:{type:[Boolean,String],default:b("autoSize")},autoMaxSize:{type:Boolean,default:b("autoMaxSize")},autoBoundaryMaxSize:{type:Boolean,default:b("autoBoundaryMaxSize")},preventOverflow:{type:Boolean,default:b("preventOverflow")},overflowPadding:{type:[Number,String],default:b("overflowPadding")},arrowPadding:{type:[Number,String],default:b("arrowPadding")},arrowOverflow:{type:Boolean,default:b("arrowOverflow")},flip:{type:Boolean,default:b("flip")},shift:{type:Boolean,default:b("shift")},shiftCrossAxis:{type:Boolean,default:b("shiftCrossAxis")},noAutoFocus:{type:Boolean,default:b("noAutoFocus")},disposeTimeout:{type:Number,default:b("disposeTimeout")}},emits:{show:()=>!0,hide:()=>!0,"update:shown":e=>!0,"apply-show":()=>!0,"apply-hide":()=>!0,"close-group":()=>!0,"close-directive":()=>!0,"auto-hide":()=>!0,resize:()=>!0},data(){return{isShown:!1,isMounted:!1,skipTransition:!1,classes:{showFrom:!1,showTo:!1,hideFrom:!1,hideTo:!0},result:{x:0,y:0,placement:"",strategy:this.strategy,arrow:{x:0,y:0,centerOffset:0},transformOrigin:null},randomId:`popper_${[Math.random(),Date.now()].map(e=>e.toString(36).substring(2,10)).join("_")}`,shownChildren:new Set,lastAutoHide:!0,pendingHide:!1,containsGlobalTarget:!1,isDisposed:!0,mouseDownContains:!1}},computed:{popperId(){return this.ariaId!=null?this.ariaId:this.randomId},shouldMountContent(){return this.eagerMount||this.isMounted},slotData(){return{popperId:this.popperId,isShown:this.isShown,shouldMountContent:this.shouldMountContent,skipTransition:this.skipTransition,autoHide:typeof this.autoHide=="function"?this.lastAutoHide:this.autoHide,show:this.show,hide:this.hide,handleResize:this.handleResize,onResize:this.onResize,classes:{...this.classes,popperClass:this.popperClass},result:this.positioningDisabled?null:this.result,attrs:this.$attrs}},parentPopper(){var e;return(e=this[Se])==null?void 0:e.parentPopper},hasPopperShowTriggerHover(){var e,A;return((e=this.popperTriggers)==null?void 0:e.includes("hover"))||((A=this.popperShowTriggers)==null?void 0:A.includes("hover"))}},watch:{shown:"$_autoShowHide",disabled(e){e?this.dispose():this.init()},async container(){this.isShown&&(this.$_ensureTeleport(),await this.$_computePosition())},triggers:{handler:"$_refreshListeners",deep:!0},positioningDisabled:"$_refreshListeners",...["placement","distance","skidding","boundary","strategy","overflowPadding","arrowPadding","preventOverflow","shift","shiftCrossAxis","flip"].reduce((e,A)=>(e[A]="$_computePosition",e),{})},created(){this.autoMinSize&&console.warn('[floating-vue] `autoMinSize` option is deprecated. Use `autoSize="min"` instead.'),this.autoMaxSize&&console.warn("[floating-vue] `autoMaxSize` option is deprecated. Use `autoBoundaryMaxSize` instead.")},mounted(){this.init(),this.$_detachPopperNode()},activated(){this.$_autoShowHide()},deactivated(){this.hide()},beforeUnmount(){this.dispose()},methods:{show({event:e=null,skipDelay:A=!1,force:t=!1}={}){var g,n;(g=this.parentPopper)!=null&&g.lockedChild&&this.parentPopper.lockedChild!==this||(this.pendingHide=!1,(t||!this.disabled)&&(((n=this.parentPopper)==null?void 0:n.lockedChild)===this&&(this.parentPopper.lockedChild=null),this.$_scheduleShow(e,A),this.$emit("show"),this.$_showFrameLocked=!0,requestAnimationFrame(()=>{this.$_showFrameLocked=!1})),this.$emit("update:shown",!0))},hide({event:e=null,skipDelay:A=!1}={}){var t;if(!this.$_hideInProgress){if(this.shownChildren.size>0){this.pendingHide=!0;return}if(this.hasPopperShowTriggerHover&&this.$_isAimingPopper()){this.parentPopper&&(this.parentPopper.lockedChild=this,clearTimeout(this.parentPopper.lockedChildTimer),this.parentPopper.lockedChildTimer=setTimeout(()=>{this.parentPopper.lockedChild===this&&(this.parentPopper.lockedChild.hide({skipDelay:A}),this.parentPopper.lockedChild=null)},1e3));return}((t=this.parentPopper)==null?void 0:t.lockedChild)===this&&(this.parentPopper.lockedChild=null),this.pendingHide=!1,this.$_scheduleHide(e,A),this.$emit("hide"),this.$emit("update:shown",!1)}},init(){var e;this.isDisposed&&(this.isDisposed=!1,this.isMounted=!1,this.$_events=[],this.$_preventShow=!1,this.$_referenceNode=((e=this.referenceNode)==null?void 0:e.call(this))??this.$el,this.$_targetNodes=this.targetNodes().filter(A=>A.nodeType===A.ELEMENT_NODE),this.$_popperNode=this.popperNode(),this.$_innerNode=this.$_popperNode.querySelector(".v-popper__inner"),this.$_arrowNode=this.$_popperNode.querySelector(".v-popper__arrow-container"),this.$_swapTargetAttrs("title","data-original-title"),this.$_detachPopperNode(),this.triggers.length&&this.$_addEventListeners(),this.shown&&this.show())},dispose(){this.isDisposed||(this.isDisposed=!0,this.$_removeEventListeners(),this.hide({skipDelay:!0}),this.$_detachPopperNode(),this.isMounted=!1,this.isShown=!1,this.$_updateParentShownChildren(!1),this.$_swapTargetAttrs("data-original-title","title"))},async onResize(){this.isShown&&(await this.$_computePosition(),this.$emit("resize"))},async $_computePosition(){if(this.isDisposed||this.positioningDisabled)return;const e={strategy:this.strategy,middleware:[]};(this.distance||this.skidding)&&e.middleware.push(sB({mainAxis:this.distance,crossAxis:this.skidding}));const A=this.placement.startsWith("auto");if(A?e.middleware.push(BB({alignment:this.placement.split("-")[1]??""})):e.placement=this.placement,this.preventOverflow&&(this.shift&&e.middleware.push(iB({padding:this.overflowPadding,boundary:this.boundary,crossAxis:this.shiftCrossAxis})),!A&&this.flip&&e.middleware.push(QB({padding:this.overflowPadding,boundary:this.boundary}))),e.middleware.push(gB({element:this.$_arrowNode,padding:this.arrowPadding})),this.arrowOverflow&&e.middleware.push({name:"arrowOverflow",fn:({placement:g,rects:n,middlewareData:B})=>{let Q;const{centerOffset:a}=B.arrow;return g.startsWith("top")||g.startsWith("bottom")?Q=Math.abs(a)>n.reference.width/2:Q=Math.abs(a)>n.reference.height/2,{data:{overflow:Q}}}}),this.autoMinSize||this.autoSize){const g=this.autoSize?this.autoSize:this.autoMinSize?"min":null;e.middleware.push({name:"autoSize",fn:({rects:n,placement:B,middlewareData:Q})=>{var a;if((a=Q.autoSize)!=null&&a.skip)return{};let s,i;return B.startsWith("top")||B.startsWith("bottom")?s=n.reference.width:i=n.reference.height,this.$_innerNode.style[g==="min"?"minWidth":g==="max"?"maxWidth":"width"]=s!=null?`${s}px`:null,this.$_innerNode.style[g==="min"?"minHeight":g==="max"?"maxHeight":"height"]=i!=null?`${i}px`:null,{data:{skip:!0},reset:{rects:!0}}}})}(this.autoMaxSize||this.autoBoundaryMaxSize)&&(this.$_innerNode.style.maxWidth=null,this.$_innerNode.style.maxHeight=null,e.middleware.push(oB({boundary:this.boundary,padding:this.overflowPadding,apply:({availableWidth:g,availableHeight:n})=>{this.$_innerNode.style.maxWidth=g!=null?`${g}px`:null,this.$_innerNode.style.maxHeight=n!=null?`${n}px`:null}})));const t=await IB(this.$_referenceNode,this.$_popperNode,e);Object.assign(this.result,{x:t.x,y:t.y,placement:t.placement,strategy:t.strategy,arrow:{...t.middlewareData.arrow,...t.middlewareData.arrowOverflow}})},$_scheduleShow(e,A=!1){if(this.$_updateParentShownChildren(!0),this.$_hideInProgress=!1,clearTimeout(this.$_scheduleTimer),EA&&this.instantMove&&EA.instantMove&&EA!==this.parentPopper){EA.$_applyHide(!0),this.$_applyShow(!0);return}A?this.$_applyShow():this.$_scheduleTimer=setTimeout(this.$_applyShow.bind(this),this.$_computeDelay("show"))},$_scheduleHide(e,A=!1){if(this.shownChildren.size>0){this.pendingHide=!0;return}this.$_updateParentShownChildren(!1),this.$_hideInProgress=!0,clearTimeout(this.$_scheduleTimer),this.isShown&&(EA=this),A?this.$_applyHide():this.$_scheduleTimer=setTimeout(this.$_applyHide.bind(this),this.$_computeDelay("hide"))},$_computeDelay(e){const A=this.delay;return parseInt(A&&A[e]||A||0)},async $_applyShow(e=!1){clearTimeout(this.$_disposeTimer),clearTimeout(this.$_scheduleTimer),this.skipTransition=e,!this.isShown&&(this.$_ensureTeleport(),await Pe(),await this.$_computePosition(),await this.$_applyShowEffect(),this.positioningDisabled||this.$_registerEventListeners([...Ee(this.$_referenceNode),...Ee(this.$_popperNode)],"scroll",()=>{this.$_computePosition()}))},async $_applyShowEffect(){if(this.$_hideInProgress)return;if(this.computeTransformOrigin){const A=this.$_referenceNode.getBoundingClientRect(),t=this.$_popperNode.querySelector(".v-popper__wrapper"),g=t.parentNode.getBoundingClientRect(),n=A.x+A.width/2-(g.left+t.offsetLeft),B=A.y+A.height/2-(g.top+t.offsetTop);this.result.transformOrigin=`${n}px ${B}px`}this.isShown=!0,this.$_applyAttrsToTarget({"aria-describedby":this.popperId,"data-popper-shown":""});const e=this.showGroup;if(e){let A;for(let t=0;t<j.length;t++)A=j[t],A.showGroup!==e&&(A.hide(),A.$emit("close-group"))}j.push(this),document.body.classList.add("v-popper--some-open");for(const A of Kt(this.theme))vt(A).push(this),document.body.classList.add(`v-popper--some-open--${A}`);this.$emit("apply-show"),this.classes.showFrom=!0,this.classes.showTo=!1,this.classes.hideFrom=!1,this.classes.hideTo=!1,await Pe(),this.classes.showFrom=!1,this.classes.showTo=!0,this.noAutoFocus||this.$_popperNode.focus()},async $_applyHide(e=!1){if(this.shownChildren.size>0){this.pendingHide=!0,this.$_hideInProgress=!1;return}if(clearTimeout(this.$_scheduleTimer),!this.isShown)return;this.skipTransition=e,xt(j,this),j.length===0&&document.body.classList.remove("v-popper--some-open");for(const t of Kt(this.theme)){const g=vt(t);xt(g,this),g.length===0&&document.body.classList.remove(`v-popper--some-open--${t}`)}EA===this&&(EA=null),this.isShown=!1,this.$_applyAttrsToTarget({"aria-describedby":void 0,"data-popper-shown":void 0}),clearTimeout(this.$_disposeTimer);const A=this.disposeTimeout;A!==null&&(this.$_disposeTimer=setTimeout(()=>{this.$_popperNode&&(this.$_detachPopperNode(),this.isMounted=!1)},A)),this.$_removeEventListeners("scroll"),this.$emit("apply-hide"),this.classes.showFrom=!1,this.classes.showTo=!1,this.classes.hideFrom=!0,this.classes.hideTo=!1,await Pe(),this.classes.hideFrom=!1,this.classes.hideTo=!0},$_autoShowHide(){this.shown?this.show():this.hide()},$_ensureTeleport(){if(this.isDisposed)return;let e=this.container;if(typeof e=="string"?e=window.document.querySelector(e):e===!1&&(e=this.$_targetNodes[0].parentNode),!e)throw new Error("No container for popover: "+this.container);e.appendChild(this.$_popperNode),this.isMounted=!0},$_addEventListeners(){const e=t=>{this.isShown&&!this.$_hideInProgress||(t.usedByTooltip=!0,!this.$_preventShow&&this.show({event:t}))};this.$_registerTriggerListeners(this.$_targetNodes,Pt,this.triggers,this.showTriggers,e),this.$_registerTriggerListeners([this.$_popperNode],Pt,this.popperTriggers,this.popperShowTriggers,e);const A=t=>{t.usedByTooltip||this.hide({event:t})};this.$_registerTriggerListeners(this.$_targetNodes,St,this.triggers,this.hideTriggers,A),this.$_registerTriggerListeners([this.$_popperNode],St,this.popperTriggers,this.popperHideTriggers,A)},$_registerEventListeners(e,A,t){this.$_events.push({targetNodes:e,eventType:A,handler:t}),e.forEach(g=>g.addEventListener(A,t,kA?{passive:!0}:void 0))},$_registerTriggerListeners(e,A,t,g,n){let B=t;g!=null&&(B=typeof g=="function"?g(B):g),B.forEach(Q=>{const a=A[Q];a&&this.$_registerEventListeners(e,a,n)})},$_removeEventListeners(e){const A=[];this.$_events.forEach(t=>{const{targetNodes:g,eventType:n,handler:B}=t;!e||e===n?g.forEach(Q=>Q.removeEventListener(n,B)):A.push(t)}),this.$_events=A},$_refreshListeners(){this.isDisposed||(this.$_removeEventListeners(),this.$_addEventListeners())},$_handleGlobalClose(e,A=!1){this.$_showFrameLocked||(this.hide({event:e}),e.closePopover?this.$emit("close-directive"):this.$emit("auto-hide"),A&&(this.$_preventShow=!0,setTimeout(()=>{this.$_preventShow=!1},300)))},$_detachPopperNode(){this.$_popperNode.parentNode&&this.$_popperNode.parentNode.removeChild(this.$_popperNode)},$_swapTargetAttrs(e,A){for(const t of this.$_targetNodes){const g=t.getAttribute(e);g&&(t.removeAttribute(e),t.setAttribute(A,g))}},$_applyAttrsToTarget(e){for(const A of this.$_targetNodes)for(const t in e){const g=e[t];g==null?A.removeAttribute(t):A.setAttribute(t,g)}},$_updateParentShownChildren(e){let A=this.parentPopper;for(;A;)e?A.shownChildren.add(this.randomId):(A.shownChildren.delete(this.randomId),A.pendingHide&&A.hide()),A=A.parentPopper},$_isAimingPopper(){const e=this.$_referenceNode.getBoundingClientRect();if(HA>=e.left&&HA<=e.right&&RA>=e.top&&RA<=e.bottom){const A=this.$_popperNode.getBoundingClientRect(),t=HA-QA,g=RA-aA,n=A.left+A.width/2-QA+(A.top+A.height/2)-aA+A.width+A.height,B=QA+t*n,Q=aA+g*n;return WA(QA,aA,B,Q,A.left,A.top,A.left,A.bottom)||WA(QA,aA,B,Q,A.left,A.top,A.right,A.top)||WA(QA,aA,B,Q,A.right,A.top,A.right,A.bottom)||WA(QA,aA,B,Q,A.left,A.bottom,A.right,A.bottom)}return!1}},render(){return this.$slots.default(this.slotData)}});if(typeof document<"u"&&typeof window<"u"){if(Rg){const e=kA?{passive:!0,capture:!0}:!0;document.addEventListener("touchstart",A=>Zt(A,!0),e),document.addEventListener("touchend",A=>Ot(A,!0),e)}else window.addEventListener("mousedown",e=>Zt(e,!1),!0),window.addEventListener("click",e=>Ot(e,!1),!0);window.addEventListener("resize",Pg)}function Zt(e,A){if(T.autoHideOnMousedown)Kg(e,A);else for(let t=0;t<j.length;t++){const g=j[t];try{g.mouseDownContains=g.popperNode().contains(e.target)}catch{}}}function Ot(e,A){T.autoHideOnMousedown||Kg(e,A)}function Kg(e,A){const t={};for(let g=j.length-1;g>=0;g--){const n=j[g];try{const B=n.containsGlobalTarget=n.mouseDownContains||n.popperNode().contains(e.target);n.pendingHide=!1,requestAnimationFrame(()=>{if(n.pendingHide=!1,!t[n.randomId]&&qt(n,B,e)){if(n.$_handleGlobalClose(e,A),!e.closeAllPopover&&e.closePopover&&B){let a=n.parentPopper;for(;a;)t[a.randomId]=!0,a=a.parentPopper;return}let Q=n.parentPopper;for(;Q&&qt(Q,Q.containsGlobalTarget,e);)Q.$_handleGlobalClose(e,A),Q=Q.parentPopper}})}catch{}}}function qt(e,A,t){return t.closeAllPopover||t.closePopover&&A||wB(e,t)&&!A}function wB(e,A){if(typeof e.autoHide=="function"){const t=e.autoHide(A);return e.lastAutoHide=t,t}return e.autoHide}function Pg(){for(let e=0;e<j.length;e++)j[e].$_computePosition()}let QA=0,aA=0,HA=0,RA=0;typeof window<"u"&&window.addEventListener("mousemove",e=>{QA=HA,aA=RA,HA=e.clientX,RA=e.clientY},kA?{passive:!0}:void 0);function WA(e,A,t,g,n,B,Q,a){const s=((Q-n)*(A-B)-(a-B)*(e-n))/((a-B)*(t-e)-(Q-n)*(g-A)),i=((t-e)*(A-B)-(g-A)*(e-n))/((a-B)*(t-e)-(Q-n)*(g-A));return s>=0&&s<=1&&i>=0&&i<=1}const DB={extends:Yg()},Ne=(e,A)=>{const t=e.__vccOpts||e;for(const[g,n]of A)t[g]=n;return t};function lB(e,A,t,g,n,B){return gA(),KA("div",{ref:"reference",class:Et(["v-popper",{"v-popper--shown":e.slotData.isShown}])},[Qe(e.$slots,"default",On(qn(e.slotData)))],2)}const dB=Ne(DB,[["render",lB]]);function uB(){var e=window.navigator.userAgent,A=e.indexOf("MSIE ");if(A>0)return parseInt(e.substring(A+5,e.indexOf(".",A)),10);var t=e.indexOf("Trident/");if(t>0){var g=e.indexOf("rv:");return parseInt(e.substring(g+3,e.indexOf(".",g)),10)}var n=e.indexOf("Edge/");return n>0?parseInt(e.substring(n+5,e.indexOf(".",n)),10):-1}let ge;function Xe(){Xe.init||(Xe.init=!0,ge=uB()!==-1)}var Le={name:"ResizeObserver",props:{emitOnMount:{type:Boolean,default:!1},ignoreWidth:{type:Boolean,default:!1},ignoreHeight:{type:Boolean,default:!1}},emits:["notify"],mounted(){Xe(),xn(()=>{this._w=this.$el.offsetWidth,this._h=this.$el.offsetHeight,this.emitOnMount&&this.emitSize()});const e=document.createElement("object");this._resizeObject=e,e.setAttribute("aria-hidden","true"),e.setAttribute("tabindex",-1),e.onload=this.addResizeHandlers,e.type="text/html",ge&&this.$el.appendChild(e),e.data="about:blank",ge||this.$el.appendChild(e)},beforeUnmount(){this.removeResizeHandlers()},methods:{compareAndNotify(){(!this.ignoreWidth&&this._w!==this.$el.offsetWidth||!this.ignoreHeight&&this._h!==this.$el.offsetHeight)&&(this._w=this.$el.offsetWidth,this._h=this.$el.offsetHeight,this.emitSize())},emitSize(){this.$emit("notify",{width:this._w,height:this._h})},addResizeHandlers(){this._resizeObject.contentDocument.defaultView.addEventListener("resize",this.compareAndNotify),this.compareAndNotify()},removeResizeHandlers(){this._resizeObject&&this._resizeObject.onload&&(!ge&&this._resizeObject.contentDocument&&this._resizeObject.contentDocument.defaultView.removeEventListener("resize",this.compareAndNotify),this.$el.removeChild(this._resizeObject),this._resizeObject.onload=null,this._resizeObject=null)}}};const pB=Jn();Pn("data-v-b329ee4c");const mB={class:"resize-observer",tabindex:"-1"};Sn();const hB=pB((e,A,t,g,n,B)=>(gA(),ye("div",mB)));Le.render=hB;Le.__scopeId="data-v-b329ee4c";Le.__file="src/components/ResizeObserver.vue";const Sg=(e="theme")=>({computed:{themeClass(){return cB(this[e])}}}),fB=ke({name:"VPopperContent",components:{ResizeObserver:Le},mixins:[Sg()],props:{popperId:String,theme:String,shown:Boolean,mounted:Boolean,skipTransition:Boolean,autoHide:Boolean,handleResize:Boolean,classes:Object,result:Object},emits:["hide","resize"],methods:{toPx(e){return e!=null&&!isNaN(e)?`${e}px`:null}}}),bB=["id","aria-hidden","tabindex","data-popper-placement"],kB={ref:"inner",class:"v-popper__inner"},yB=IA("div",{class:"v-popper__arrow-outer"},null,-1),MB=IA("div",{class:"v-popper__arrow-inner"},null,-1),FB=[yB,MB];function GB(e,A,t,g,n,B){const Q=YA("ResizeObserver");return gA(),KA("div",{id:e.popperId,ref:"popover",class:Et(["v-popper__popper",[e.themeClass,e.classes.popperClass,{"v-popper__popper--shown":e.shown,"v-popper__popper--hidden":!e.shown,"v-popper__popper--show-from":e.classes.showFrom,"v-popper__popper--show-to":e.classes.showTo,"v-popper__popper--hide-from":e.classes.hideFrom,"v-popper__popper--hide-to":e.classes.hideTo,"v-popper__popper--skip-transition":e.skipTransition,"v-popper__popper--arrow-overflow":e.result&&e.result.arrow.overflow,"v-popper__popper--no-positioning":!e.result}]]),style:Ke(e.result?{position:e.result.strategy,transform:`translate3d(${Math.round(e.result.x)}px,${Math.round(e.result.y)}px,0)`}:void 0),"aria-hidden":e.shown?"false":"true",tabindex:e.autoHide?0:void 0,"data-popper-placement":e.result?e.result.placement:void 0,onKeyup:A[2]||(A[2]=Zn(a=>e.autoHide&&e.$emit("hide"),["esc"]))},[IA("div",{class:"v-popper__backdrop",onClick:A[0]||(A[0]=a=>e.autoHide&&e.$emit("hide"))}),IA("div",{class:"v-popper__wrapper",style:Ke(e.result?{transformOrigin:e.result.transformOrigin}:void 0)},[IA("div",kB,[e.mounted?(gA(),KA(vn,{key:0},[IA("div",null,[Qe(e.$slots,"default")]),e.handleResize?(gA(),ye(Q,{key:0,onNotify:A[1]||(A[1]=a=>e.$emit("resize",a))})):Mt("",!0)],64)):Mt("",!0)],512),IA("div",{ref:"arrow",class:"v-popper__arrow-container",style:Ke(e.result?{left:e.toPx(e.result.arrow.x),top:e.toPx(e.result.arrow.y)}:void 0)},FB,4)],4)],46,bB)}const xg=Ne(fB,[["render",GB]]),Jg={methods:{show(...e){return this.$refs.popper.show(...e)},hide(...e){return this.$refs.popper.hide(...e)},dispose(...e){return this.$refs.popper.dispose(...e)},onResize(...e){return this.$refs.popper.onResize(...e)}}};let Ve=function(){};typeof window<"u"&&(Ve=window.Element);const NB=ke({name:"VPopperWrapper",components:{Popper:dB,PopperContent:xg},mixins:[Jg,Sg("finalTheme")],props:{theme:{type:String,default:null},referenceNode:{type:Function,default:null},shown:{type:Boolean,default:!1},showGroup:{type:String,default:null},ariaId:{default:null},disabled:{type:Boolean,default:void 0},positioningDisabled:{type:Boolean,default:void 0},placement:{type:String,default:void 0},delay:{type:[String,Number,Object],default:void 0},distance:{type:[Number,String],default:void 0},skidding:{type:[Number,String],default:void 0},triggers:{type:Array,default:void 0},showTriggers:{type:[Array,Function],default:void 0},hideTriggers:{type:[Array,Function],default:void 0},popperTriggers:{type:Array,default:void 0},popperShowTriggers:{type:[Array,Function],default:void 0},popperHideTriggers:{type:[Array,Function],default:void 0},container:{type:[String,Object,Ve,Boolean],default:void 0},boundary:{type:[String,Ve],default:void 0},strategy:{type:String,default:void 0},autoHide:{type:[Boolean,Function],default:void 0},handleResize:{type:Boolean,default:void 0},instantMove:{type:Boolean,default:void 0},eagerMount:{type:Boolean,default:void 0},popperClass:{type:[String,Array,Object],default:void 0},computeTransformOrigin:{type:Boolean,default:void 0},autoMinSize:{type:Boolean,default:void 0},autoSize:{type:[Boolean,String],default:void 0},autoMaxSize:{type:Boolean,default:void 0},autoBoundaryMaxSize:{type:Boolean,default:void 0},preventOverflow:{type:Boolean,default:void 0},overflowPadding:{type:[Number,String],default:void 0},arrowPadding:{type:[Number,String],default:void 0},arrowOverflow:{type:Boolean,default:void 0},flip:{type:Boolean,default:void 0},shift:{type:Boolean,default:void 0},shiftCrossAxis:{type:Boolean,default:void 0},noAutoFocus:{type:Boolean,default:void 0},disposeTimeout:{type:Number,default:void 0}},emits:{show:()=>!0,hide:()=>!0,"update:shown":e=>!0,"apply-show":()=>!0,"apply-hide":()=>!0,"close-group":()=>!0,"close-directive":()=>!0,"auto-hide":()=>!0,resize:()=>!0},computed:{finalTheme(){return this.theme??this.$options.vPopperTheme}},methods:{getTargetNodes(){return Array.from(this.$el.children).filter(e=>e!==this.$refs.popperContent.$el)}}});function LB(e,A,t,g,n,B){const Q=YA("PopperContent"),a=YA("Popper");return gA(),ye(a,pg({ref:"popper"},e.$props,{theme:e.finalTheme,"target-nodes":e.getTargetNodes,"popper-node":()=>e.$refs.popperContent.$el,class:[e.themeClass],onShow:A[0]||(A[0]=()=>e.$emit("show")),onHide:A[1]||(A[1]=()=>e.$emit("hide")),"onUpdate:shown":A[2]||(A[2]=s=>e.$emit("update:shown",s)),onApplyShow:A[3]||(A[3]=()=>e.$emit("apply-show")),onApplyHide:A[4]||(A[4]=()=>e.$emit("apply-hide")),onCloseGroup:A[5]||(A[5]=()=>e.$emit("close-group")),onCloseDirective:A[6]||(A[6]=()=>e.$emit("close-directive")),onAutoHide:A[7]||(A[7]=()=>e.$emit("auto-hide")),onResize:A[8]||(A[8]=()=>e.$emit("resize"))}),{default:ae(({popperId:s,isShown:i,shouldMountContent:E,skipTransition:o,autoHide:C,show:r,hide:I,handleResize:l,onResize:c,classes:w,result:D})=>[Qe(e.$slots,"default",{shown:i,show:r,hide:I}),ug(Q,{ref:"popperContent","popper-id":s,theme:e.finalTheme,shown:i,mounted:E,"skip-transition":o,"auto-hide":C,"handle-resize":l,classes:w,result:D,onHide:I,onResize:c},{default:ae(()=>[Qe(e.$slots,"popper",{shown:i,hide:I})]),_:2},1032,["popper-id","theme","shown","mounted","skip-transition","auto-hide","handle-resize","classes","result","onHide","onResize"])]),_:3},16,["theme","target-nodes","popper-node","class"])}const ct=Ne(NB,[["render",LB]]),HB={...ct,name:"VDropdown",vPopperTheme:"dropdown"},vg={...ct,name:"VMenu",vPopperTheme:"menu"},RB={...ct,name:"VTooltip",vPopperTheme:"tooltip"},UB=ke({name:"VTooltipDirective",components:{Popper:Yg(),PopperContent:xg},mixins:[Jg],inheritAttrs:!1,props:{theme:{type:String,default:"tooltip"},html:{type:Boolean,default:e=>JA(e.theme,"html")},content:{type:[String,Number,Function],default:null},loadingContent:{type:String,default:e=>JA(e.theme,"loadingContent")},targetNodes:{type:Function,required:!0}},data(){return{asyncContent:null}},computed:{isContentAsync(){return typeof this.content=="function"},loading(){return this.isContentAsync&&this.asyncContent==null},finalContent(){return this.isContentAsync?this.loading?this.loadingContent:this.asyncContent:this.content}},watch:{content:{handler(){this.fetchContent(!0)},immediate:!0},async finalContent(){await this.$nextTick(),this.$refs.popper.onResize()}},created(){this.$_fetchId=0},methods:{fetchContent(e){if(typeof this.content=="function"&&this.$_isShown&&(e||!this.$_loading&&this.asyncContent==null)){this.asyncContent=null,this.$_loading=!0;const A=++this.$_fetchId,t=this.content(this);t.then?t.then(g=>this.onResult(A,g)):this.onResult(A,t)}},onResult(e,A){e===this.$_fetchId&&(this.$_loading=!1,this.asyncContent=A)},onShow(){this.$_isShown=!0,this.fetchContent()},onHide(){this.$_isShown=!1}}}),YB=["innerHTML"],KB=["textContent"];function PB(e,A,t,g,n,B){const Q=YA("PopperContent"),a=YA("Popper");return gA(),ye(a,pg({ref:"popper"},e.$attrs,{theme:e.theme,"target-nodes":e.targetNodes,"popper-node":()=>e.$refs.popperContent.$el,onApplyShow:e.onShow,onApplyHide:e.onHide}),{default:ae(({popperId:s,isShown:i,shouldMountContent:E,skipTransition:o,autoHide:C,hide:r,handleResize:I,onResize:l,classes:c,result:w})=>[ug(Q,{ref:"popperContent",class:Et({"v-popper--tooltip-loading":e.loading}),"popper-id":s,theme:e.theme,shown:i,mounted:E,"skip-transition":o,"auto-hide":C,"handle-resize":I,classes:c,result:w,onHide:r,onResize:l},{default:ae(()=>[e.html?(gA(),KA("div",{key:0,innerHTML:e.finalContent},null,8,YB)):(gA(),KA("div",{key:1,textContent:jn(e.finalContent)},null,8,KB))]),_:2},1032,["class","popper-id","theme","shown","mounted","skip-transition","auto-hide","handle-resize","classes","result","onHide","onResize"])]),_:1},16,["theme","target-nodes","popper-node","onApplyShow","onApplyHide"])}const SB=Ne(UB,[["render",PB]]),Zg="v-popper--has-tooltip";function xB(e,A){let t=e.placement;if(!t&&A)for(const g of Ug)A[g]&&(t=g);return t||(t=JA(e.theme||"tooltip","placement")),t}function Og(e,A,t){let g;const n=typeof A;return n==="string"?g={content:A}:A&&n==="object"?g=A:g={content:!1},g.placement=xB(g,t),g.targetNodes=()=>[e],g.referenceNode=()=>e,g}let xe,vA,JB=0;function vB(){if(xe)return;vA=je([]),xe=zn({name:"VTooltipDirectiveApp",setup(){return{directives:vA}},render(){return this.directives.map(A=>_n(SB,{...A.options,shown:A.shown||A.options.shown,key:A.id}))},devtools:{hide:!0}});const e=document.createElement("div");document.body.appendChild(e),xe.mount(e)}function ZB(e,A,t){vB();const g=je(Og(e,A,t)),n=je(!1),B={id:JB++,options:g,shown:n};return vA.value.push(B),e.classList&&e.classList.add(Zg),e.$_popper={options:g,item:B,show(){n.value=!0},hide(){n.value=!1}}}function qg(e){if(e.$_popper){const A=vA.value.indexOf(e.$_popper.item);A!==-1&&vA.value.splice(A,1),delete e.$_popper,delete e.$_popperOldShown,delete e.$_popperMountTarget}e.classList&&e.classList.remove(Zg)}function zt(e,{value:A,modifiers:t}){const g=Og(e,A,t);if(!g.content||JA(g.theme||"tooltip","disabled"))qg(e);else{let n;e.$_popper?(n=e.$_popper,n.options.value=g):n=ZB(e,A,t),typeof A.shown<"u"&&A.shown!==e.$_popperOldShown&&(e.$_popperOldShown=A.shown,A.shown?n.show():n.hide())}}const OB={beforeMount:zt,updated:zt,beforeUnmount(e){qg(e)}};function _t(e){e.addEventListener("mousedown",Ce),e.addEventListener("click",Ce),e.addEventListener("touchstart",zg,kA?{passive:!0}:!1)}function jt(e){e.removeEventListener("mousedown",Ce),e.removeEventListener("click",Ce),e.removeEventListener("touchstart",zg),e.removeEventListener("touchend",_g),e.removeEventListener("touchcancel",jg)}function Ce(e){const A=e.currentTarget;e.closePopover=!A.$_vclosepopover_touch,e.closeAllPopover=A.$_closePopoverModifiers&&!!A.$_closePopoverModifiers.all}function zg(e){if(e.changedTouches.length===1){const A=e.currentTarget;A.$_vclosepopover_touch=!0;const t=e.changedTouches[0];A.$_vclosepopover_touchPoint=t,A.addEventListener("touchend",_g),A.addEventListener("touchcancel",jg)}}function _g(e){const A=e.currentTarget;if(A.$_vclosepopover_touch=!1,e.changedTouches.length===1){const t=e.changedTouches[0],g=A.$_vclosepopover_touchPoint;e.closePopover=Math.abs(t.screenY-g.screenY)<20&&Math.abs(t.screenX-g.screenX)<20,e.closeAllPopover=A.$_closePopoverModifiers&&!!A.$_closePopoverModifiers.all}}function jg(e){const A=e.currentTarget;A.$_vclosepopover_touch=!1}const qB={beforeMount(e,{value:A,modifiers:t}){e.$_closePopoverModifiers=t,(typeof A>"u"||A)&&_t(e)},updated(e,{value:A,oldValue:t,modifiers:g}){e.$_closePopoverModifiers=g,A!==t&&(typeof A>"u"||A?_t(e):jt(e))},beforeUnmount(e){jt(e)}},ki=vg;function zB(e,A={}){e.$_vTooltipInstalled||(e.$_vTooltipInstalled=!0,Hg(T,A),e.directive("tooltip",OB),e.directive("close-popper",qB),e.component("VTooltip",RB),e.component("VDropdown",HB),e.component("VMenu",vg))}const _B={version:"5.2.2",install:zB,options:T},$t=typeof navigator<"u"&&/Android|webOS|iPhone|iPad|iPod|BlackBerry|IEMobile|Opera Mini/i.test(navigator.userAgent),yi={install:(e,A={})=>{typeof window<"u"&&window.addEventListener("click",t=>{t.composedPath().some(n=>{var B,Q,a,s;return((Q=(B=n==null?void 0:n.classList)==null?void 0:B.contains)==null?void 0:Q.call(B,"vp-code-group"))||((s=(a=n==null?void 0:n.classList)==null?void 0:a.contains)==null?void 0:s.call(a,"tabs"))})&&Pg()},{passive:!0}),e.use(_B,{...A,themes:{twoslash:{$extend:"dropdown",triggers:$t?["touch"]:["hover","touch"],popperTriggers:$t?["touch"]:["hover","touch"],placement:"bottom-start",overflowPadding:10,delay:0,handleResize:!1,autoHide:!0,instantMove:!0,flip:!1,arrowPadding:8,autoBoundaryMaxSize:!0},"twoslash-query":{$extend:"twoslash",triggers:["click"],popperTriggers:["click"],autoHide:!1},"twoslash-completion":{$extend:"twoslash-query",triggers:["click"],popperTriggers:["click"],autoHide:!1,distance:0,arrowOverflow:!0},...A.theme}})}};let DA=class extends Error{constructor(A){super(A),this.name="ShikiError"}},wt=class extends Error{constructor(A){super(A),this.name="ShikiError"}};function jB(){return 2147483648}function $B(){return typeof performance<"u"?performance.now():Date.now()}const TB=(e,A)=>e+(A-e%A)%A;async function WB(e){let A,t;const g={};function n(r){t=r,g.HEAPU8=new Uint8Array(r),g.HEAPU32=new Uint32Array(r)}function B(r,I,l){g.HEAPU8.copyWithin(r,I,I+l)}function Q(r){try{return A.grow(r-t.byteLength+65535>>>16),n(A.buffer),1}catch{}}function a(r){const I=g.HEAPU8.length;r=r>>>0;const l=jB();if(r>l)return!1;for(let c=1;c<=4;c*=2){let w=I*(1+.2/c);w=Math.min(w,r+100663296);const D=Math.min(l,TB(Math.max(r,w),65536));if(Q(D))return!0}return!1}const s=typeof TextDecoder<"u"?new TextDecoder("utf8"):void 0;function i(r,I,l=1024){const c=I+l;let w=I;for(;r[w]&&!(w>=c);)++w;if(w-I>16&&r.buffer&&s)return s.decode(r.subarray(I,w));let D="";for(;I<w;){let u=r[I++];if(!(u&128)){D+=String.fromCharCode(u);continue}const p=r[I++]&63;if((u&224)===192){D+=String.fromCharCode((u&31)<<6|p);continue}const m=r[I++]&63;if((u&240)===224?u=(u&15)<<12|p<<6|m:u=(u&7)<<18|p<<12|m<<6|r[I++]&63,u<65536)D+=String.fromCharCode(u);else{const k=u-65536;D+=String.fromCharCode(55296|k>>10,56320|k&1023)}}return D}function E(r,I){return r?i(g.HEAPU8,r,I):""}const o={emscripten_get_now:$B,emscripten_memcpy_big:B,emscripten_resize_heap:a,fd_write:()=>0};async function C(){const I=await e({env:o,wasi_snapshot_preview1:o});A=I.memory,n(A.buffer),Object.assign(g,I),g.UTF8ToString=E}return await C(),g}let Y=null;function XB(e){throw new wt(e.UTF8ToString(e.getLastOnigError()))}class He{constructor(A){N(this,"utf16Length");N(this,"utf8Length");N(this,"utf16Value");N(this,"utf8Value");N(this,"utf16OffsetToUtf8");N(this,"utf8OffsetToUtf16");const t=A.length,g=He._utf8ByteLength(A),n=g!==t,B=n?new Uint32Array(t+1):null;n&&(B[t]=g);const Q=n?new Uint32Array(g+1):null;n&&(Q[g]=t);const a=new Uint8Array(g);let s=0;for(let i=0;i<t;i++){const E=A.charCodeAt(i);let o=E,C=!1;if(E>=55296&&E<=56319&&i+1<t){const r=A.charCodeAt(i+1);r>=56320&&r<=57343&&(o=(E-55296<<10)+65536|r-56320,C=!0)}n&&(B[i]=s,C&&(B[i+1]=s),o<=127?Q[s+0]=i:o<=2047?(Q[s+0]=i,Q[s+1]=i):o<=65535?(Q[s+0]=i,Q[s+1]=i,Q[s+2]=i):(Q[s+0]=i,Q[s+1]=i,Q[s+2]=i,Q[s+3]=i)),o<=127?a[s++]=o:o<=2047?(a[s++]=192|(o&1984)>>>6,a[s++]=128|(o&63)>>>0):o<=65535?(a[s++]=224|(o&61440)>>>12,a[s++]=128|(o&4032)>>>6,a[s++]=128|(o&63)>>>0):(a[s++]=240|(o&1835008)>>>18,a[s++]=128|(o&258048)>>>12,a[s++]=128|(o&4032)>>>6,a[s++]=128|(o&63)>>>0),C&&i++}this.utf16Length=t,this.utf8Length=g,this.utf16Value=A,this.utf8Value=a,this.utf16OffsetToUtf8=B,this.utf8OffsetToUtf16=Q}static _utf8ByteLength(A){let t=0;for(let g=0,n=A.length;g<n;g++){const B=A.charCodeAt(g);let Q=B,a=!1;if(B>=55296&&B<=56319&&g+1<n){const s=A.charCodeAt(g+1);s>=56320&&s<=57343&&(Q=(B-55296<<10)+65536|s-56320,a=!0)}Q<=127?t+=1:Q<=2047?t+=2:Q<=65535?t+=3:t+=4,a&&g++}return t}createString(A){const t=A.omalloc(this.utf8Length);return A.HEAPU8.set(this.utf8Value,t),t}}const x=class x{constructor(A){N(this,"id",++x.LAST_ID);N(this,"_onigBinding");N(this,"content");N(this,"utf16Length");N(this,"utf8Length");N(this,"utf16OffsetToUtf8");N(this,"utf8OffsetToUtf16");N(this,"ptr");if(!Y)throw new wt("Must invoke loadWasm first.");this._onigBinding=Y,this.content=A;const t=new He(A);this.utf16Length=t.utf16Length,this.utf8Length=t.utf8Length,this.utf16OffsetToUtf8=t.utf16OffsetToUtf8,this.utf8OffsetToUtf16=t.utf8OffsetToUtf16,this.utf8Length<1e4&&!x._sharedPtrInUse?(x._sharedPtr||(x._sharedPtr=Y.omalloc(1e4)),x._sharedPtrInUse=!0,Y.HEAPU8.set(t.utf8Value,x._sharedPtr),this.ptr=x._sharedPtr):this.ptr=t.createString(Y)}convertUtf8OffsetToUtf16(A){return this.utf8OffsetToUtf16?A<0?0:A>this.utf8Length?this.utf16Length:this.utf8OffsetToUtf16[A]:A}convertUtf16OffsetToUtf8(A){return this.utf16OffsetToUtf8?A<0?0:A>this.utf16Length?this.utf8Length:this.utf16OffsetToUtf8[A]:A}dispose(){this.ptr===x._sharedPtr?x._sharedPtrInUse=!1:this._onigBinding.ofree(this.ptr)}};N(x,"LAST_ID",0),N(x,"_sharedPtr",0),N(x,"_sharedPtrInUse",!1);let re=x;class VB{constructor(A){N(this,"_onigBinding");N(this,"_ptr");if(!Y)throw new wt("Must invoke loadWasm first.");const t=[],g=[];for(let a=0,s=A.length;a<s;a++){const i=new He(A[a]);t[a]=i.createString(Y),g[a]=i.utf8Length}const n=Y.omalloc(4*A.length);Y.HEAPU32.set(t,n/4);const B=Y.omalloc(4*A.length);Y.HEAPU32.set(g,B/4);const Q=Y.createOnigScanner(n,B,A.length);for(let a=0,s=A.length;a<s;a++)Y.ofree(t[a]);Y.ofree(B),Y.ofree(n),Q===0&&XB(Y),this._onigBinding=Y,this._ptr=Q}dispose(){this._onigBinding.freeOnigScanner(this._ptr)}findNextMatchSync(A,t,g){let n=0;if(typeof g=="number"&&(n=g),typeof A=="string"){A=new re(A);const B=this._findNextMatchSync(A,t,!1,n);return A.dispose(),B}return this._findNextMatchSync(A,t,!1,n)}_findNextMatchSync(A,t,g,n){const B=this._onigBinding,Q=B.findNextOnigScannerMatch(this._ptr,A.id,A.ptr,A.utf8Length,A.convertUtf16OffsetToUtf8(t),n);if(Q===0)return null;const a=B.HEAPU32;let s=Q/4;const i=a[s++],E=a[s++],o=[];for(let C=0;C<E;C++){const r=A.convertUtf8OffsetToUtf16(a[s++]),I=A.convertUtf8OffsetToUtf16(a[s++]);o[C]={start:r,end:I,length:I-r}}return{index:i,captureIndices:o}}}function AQ(e){return typeof e.instantiator=="function"}function eQ(e){return typeof e.default=="function"}function tQ(e){return typeof e.data<"u"}function gQ(e){return typeof Response<"u"&&e instanceof Response}function nQ(e){var A;return typeof ArrayBuffer<"u"&&(e instanceof ArrayBuffer||ArrayBuffer.isView(e))||typeof Buffer<"u"&&((A=Buffer.isBuffer)==null?void 0:A.call(Buffer,e))||typeof SharedArrayBuffer<"u"&&e instanceof SharedArrayBuffer||typeof Uint32Array<"u"&&e instanceof Uint32Array}let XA;function BQ(e){if(XA)return XA;async function A(){Y=await WB(async t=>{let g=e;return g=await g,typeof g=="function"&&(g=await g(t)),typeof g=="function"&&(g=await g(t)),AQ(g)?g=await g.instantiator(t):eQ(g)?g=await g.default(t):(tQ(g)&&(g=g.data),gQ(g)?typeof WebAssembly.instantiateStreaming=="function"?g=await QQ(g)(t):g=await aQ(g)(t):nQ(g)?g=await Je(g)(t):g instanceof WebAssembly.Module?g=await Je(g)(t):"default"in g&&g.default instanceof WebAssembly.Module&&(g=await Je(g.default)(t))),"instance"in g&&(g=g.instance),"exports"in g&&(g=g.exports),g})}return XA=A(),XA}function Je(e){return A=>WebAssembly.instantiate(e,A)}function QQ(e){return A=>WebAssembly.instantiateStreaming(e,A)}function aQ(e){return async A=>{const t=await e.arrayBuffer();return WebAssembly.instantiate(t,A)}}let sQ;function iQ(){return sQ}async function oQ(e){return e&&await BQ(e),{createScanner(A){return new VB(A)},createString(A){return new re(A)}}}function EQ(e){return Dt(e)}function Dt(e){return Array.isArray(e)?CQ(e):typeof e=="object"?rQ(e):e}function CQ(e){let A=[];for(let t=0,g=e.length;t<g;t++)A[t]=Dt(e[t]);return A}function rQ(e){let A={};for(let t in e)A[t]=Dt(e[t]);return A}function $g(e,...A){return A.forEach(t=>{for(let g in t)e[g]=t[g]}),e}function Tg(e){const A=~e.lastIndexOf("/")||~e.lastIndexOf("\\");return A===0?e:~A===e.length-1?Tg(e.substring(0,e.length-1)):e.substr(~A+1)}var ve=/\$(\d+)|\${(\d+):\/(downcase|upcase)}/g,VA=class{static hasCaptures(e){return e===null?!1:(ve.lastIndex=0,ve.test(e))}static replaceCaptures(e,A,t){return e.replace(ve,(g,n,B,Q)=>{let a=t[parseInt(n||B,10)];if(a){let s=A.substring(a.start,a.end);for(;s[0]===".";)s=s.substring(1);switch(Q){case"downcase":return s.toLowerCase();case"upcase":return s.toUpperCase();default:return s}}else return g})}};function Wg(e,A){return e<A?-1:e>A?1:0}function Xg(e,A){if(e===null&&A===null)return 0;if(!e)return-1;if(!A)return 1;let t=e.length,g=A.length;if(t===g){for(let n=0;n<t;n++){let B=Wg(e[n],A[n]);if(B!==0)return B}return 0}return t-g}function Tt(e){return!!(/^#[0-9a-f]{6}$/i.test(e)||/^#[0-9a-f]{8}$/i.test(e)||/^#[0-9a-f]{3}$/i.test(e)||/^#[0-9a-f]{4}$/i.test(e))}function Vg(e){return e.replace(/[\-\\\{\}\*\+\?\|\^\$\.\,\[\]\(\)\#\s]/g,"\\$&")}var An=class{constructor(e){this.fn=e,this.cache=new Map}get(e){if(this.cache.has(e))return this.cache.get(e);const A=this.fn(e);return this.cache.set(e,A),A}},Ie=class{constructor(e,A,t){this._colorMap=e,this._defaults=A,this._root=t,this._cachedMatchRoot=new An(g=>this._root.match(g))}static createFromRawTheme(e,A){return this.createFromParsedTheme(wQ(e),A)}static createFromParsedTheme(e,A){return lQ(e,A)}getColorMap(){return this._colorMap.getColorMap()}getDefaults(){return this._defaults}match(e){if(e===null)return this._defaults;const A=e.scopeName,g=this._cachedMatchRoot.get(A).find(n=>IQ(e.parent,n.parentScopes));return g?new en(g.fontStyle,g.foreground,g.background):null}},Ze=class ne{constructor(A,t){this.parent=A,this.scopeName=t}static push(A,t){for(const g of t)A=new ne(A,g);return A}static from(...A){let t=null;for(let g=0;g<A.length;g++)t=new ne(t,A[g]);return t}push(A){return new ne(this,A)}getSegments(){let A=this;const t=[];for(;A;)t.push(A.scopeName),A=A.parent;return t.reverse(),t}toString(){return this.getSegments().join(" ")}extends(A){return this===A?!0:this.parent===null?!1:this.parent.extends(A)}getExtensionIfDefined(A){const t=[];let g=this;for(;g&&g!==A;)t.push(g.scopeName),g=g.parent;return g===A?t.reverse():void 0}};function IQ(e,A){if(A.length===0)return!0;for(let t=0;t<A.length;t++){let g=A[t],n=!1;if(g===">"){if(t===A.length-1)return!1;g=A[++t],n=!0}for(;e&&!cQ(e.scopeName,g);){if(n)return!1;e=e.parent}if(!e)return!1;e=e.parent}return!0}function cQ(e,A){return A===e||e.startsWith(A)&&e[A.length]==="."}var en=class{constructor(e,A,t){this.fontStyle=e,this.foregroundId=A,this.backgroundId=t}};function wQ(e){if(!e)return[];if(!e.settings||!Array.isArray(e.settings))return[];let A=e.settings,t=[],g=0;for(let n=0,B=A.length;n<B;n++){let Q=A[n];if(!Q.settings)continue;let a;if(typeof Q.scope=="string"){let o=Q.scope;o=o.replace(/^[,]+/,""),o=o.replace(/[,]+$/,""),a=o.split(",")}else Array.isArray(Q.scope)?a=Q.scope:a=[""];let s=-1;if(typeof Q.settings.fontStyle=="string"){s=0;let o=Q.settings.fontStyle.split(" ");for(let C=0,r=o.length;C<r;C++)switch(o[C]){case"italic":s=s|1;break;case"bold":s=s|2;break;case"underline":s=s|4;break;case"strikethrough":s=s|8;break}}let i=null;typeof Q.settings.foreground=="string"&&Tt(Q.settings.foreground)&&(i=Q.settings.foreground);let E=null;typeof Q.settings.background=="string"&&Tt(Q.settings.background)&&(E=Q.settings.background);for(let o=0,C=a.length;o<C;o++){let I=a[o].trim().split(" "),l=I[I.length-1],c=null;I.length>1&&(c=I.slice(0,I.length-1),c.reverse()),t[g++]=new DQ(l,c,n,s,i,E)}}return t}var DQ=class{constructor(e,A,t,g,n,B){this.scope=e,this.parentScopes=A,this.index=t,this.fontStyle=g,this.foreground=n,this.background=B}},tA=(e=>(e[e.NotSet=-1]="NotSet",e[e.None=0]="None",e[e.Italic=1]="Italic",e[e.Bold=2]="Bold",e[e.Underline=4]="Underline",e[e.Strikethrough=8]="Strikethrough",e))(tA||{});function lQ(e,A){e.sort((s,i)=>{let E=Wg(s.scope,i.scope);return E!==0||(E=Xg(s.parentScopes,i.parentScopes),E!==0)?E:s.index-i.index});let t=0,g="#000000",n="#ffffff";for(;e.length>=1&&e[0].scope==="";){let s=e.shift();s.fontStyle!==-1&&(t=s.fontStyle),s.foreground!==null&&(g=s.foreground),s.background!==null&&(n=s.background)}let B=new dQ(A),Q=new en(t,B.getId(g),B.getId(n)),a=new pQ(new At(0,null,-1,0,0),[]);for(let s=0,i=e.length;s<i;s++){let E=e[s];a.insert(0,E.scope,E.parentScopes,E.fontStyle,B.getId(E.foreground),B.getId(E.background))}return new Ie(B,Q,a)}var dQ=class{constructor(e){if(this._lastColorId=0,this._id2color=[],this._color2id=Object.create(null),Array.isArray(e)){this._isFrozen=!0;for(let A=0,t=e.length;A<t;A++)this._color2id[e[A]]=A,this._id2color[A]=e[A]}else this._isFrozen=!1}getId(e){if(e===null)return 0;e=e.toUpperCase();let A=this._color2id[e];if(A)return A;if(this._isFrozen)throw new Error(`Missing color in color map - ${e}`);return A=++this._lastColorId,this._color2id[e]=A,this._id2color[A]=e,A}getColorMap(){return this._id2color.slice(0)}},uQ=Object.freeze([]),At=class tn{constructor(A,t,g,n,B){this.scopeDepth=A,this.parentScopes=t||uQ,this.fontStyle=g,this.foreground=n,this.background=B}clone(){return new tn(this.scopeDepth,this.parentScopes,this.fontStyle,this.foreground,this.background)}static cloneArr(A){let t=[];for(let g=0,n=A.length;g<n;g++)t[g]=A[g].clone();return t}acceptOverwrite(A,t,g,n){this.scopeDepth>A?console.log("how did this happen?"):this.scopeDepth=A,t!==-1&&(this.fontStyle=t),g!==0&&(this.foreground=g),n!==0&&(this.background=n)}},pQ=class et{constructor(A,t=[],g={}){this._mainRule=A,this._children=g,this._rulesWithParentScopes=t}static _cmpBySpecificity(A,t){if(A.scopeDepth!==t.scopeDepth)return t.scopeDepth-A.scopeDepth;let g=0,n=0;for(;A.parentScopes[g]===">"&&g++,t.parentScopes[n]===">"&&n++,!(g>=A.parentScopes.length||n>=t.parentScopes.length);){const B=t.parentScopes[n].length-A.parentScopes[g].length;if(B!==0)return B;g++,n++}return t.parentScopes.length-A.parentScopes.length}match(A){if(A!==""){let g=A.indexOf("."),n,B;if(g===-1?(n=A,B=""):(n=A.substring(0,g),B=A.substring(g+1)),this._children.hasOwnProperty(n))return this._children[n].match(B)}const t=this._rulesWithParentScopes.concat(this._mainRule);return t.sort(et._cmpBySpecificity),t}insert(A,t,g,n,B,Q){if(t===""){this._doInsertHere(A,g,n,B,Q);return}let a=t.indexOf("."),s,i;a===-1?(s=t,i=""):(s=t.substring(0,a),i=t.substring(a+1));let E;this._children.hasOwnProperty(s)?E=this._children[s]:(E=new et(this._mainRule.clone(),At.cloneArr(this._rulesWithParentScopes)),this._children[s]=E),E.insert(A+1,i,g,n,B,Q)}_doInsertHere(A,t,g,n,B){if(t===null){this._mainRule.acceptOverwrite(A,g,n,B);return}for(let Q=0,a=this._rulesWithParentScopes.length;Q<a;Q++){let s=this._rulesWithParentScopes[Q];if(Xg(s.parentScopes,t)===0){s.acceptOverwrite(A,g,n,B);return}}g===-1&&(g=this._mainRule.fontStyle),n===0&&(n=this._mainRule.foreground),B===0&&(B=this._mainRule.background),this._rulesWithParentScopes.push(new At(A,t,g,n,B))}},dA=class q{static toBinaryStr(A){return A.toString(2).padStart(32,"0")}static print(A){const t=q.getLanguageId(A),g=q.getTokenType(A),n=q.getFontStyle(A),B=q.getForeground(A),Q=q.getBackground(A);console.log({languageId:t,tokenType:g,fontStyle:n,foreground:B,background:Q})}static getLanguageId(A){return(A&255)>>>0}static getTokenType(A){return(A&768)>>>8}static containsBalancedBrackets(A){return(A&1024)!==0}static getFontStyle(A){return(A&30720)>>>11}static getForeground(A){return(A&16744448)>>>15}static getBackground(A){return(A&4278190080)>>>24}static set(A,t,g,n,B,Q,a){let s=q.getLanguageId(A),i=q.getTokenType(A),E=q.containsBalancedBrackets(A)?1:0,o=q.getFontStyle(A),C=q.getForeground(A),r=q.getBackground(A);return t!==0&&(s=t),g!==8&&(i=g),n!==null&&(E=n?1:0),B!==-1&&(o=B),Q!==0&&(C=Q),a!==0&&(r=a),(s<<0|i<<8|E<<10|o<<11|C<<15|r<<24)>>>0}};function ce(e,A){const t=[],g=mQ(e);let n=g.next();for(;n!==null;){let s=0;if(n.length===2&&n.charAt(1)===":"){switch(n.charAt(0)){case"R":s=1;break;case"L":s=-1;break;default:console.log(`Unknown priority ${n} in scope selector`)}n=g.next()}let i=Q();if(t.push({matcher:i,priority:s}),n!==",")break;n=g.next()}return t;function B(){if(n==="-"){n=g.next();const s=B();return i=>!!s&&!s(i)}if(n==="("){n=g.next();const s=a();return n===")"&&(n=g.next()),s}if(Wt(n)){const s=[];do s.push(n),n=g.next();while(Wt(n));return i=>A(s,i)}return null}function Q(){const s=[];let i=B();for(;i;)s.push(i),i=B();return E=>s.every(o=>o(E))}function a(){const s=[];let i=Q();for(;i&&(s.push(i),n==="|"||n===",");){do n=g.next();while(n==="|"||n===",");i=Q()}return E=>s.some(o=>o(E))}}function Wt(e){return!!e&&!!e.match(/[\w\.:]+/)}function mQ(e){let A=/([LR]:|[\w\.:][\w\.:\-]*|[\,\|\-\(\)])/g,t=A.exec(e);return{next:()=>{if(!t)return null;const g=t[0];return t=A.exec(e),g}}}function gn(e){typeof e.dispose=="function"&&e.dispose()}var ZA=class{constructor(e){this.scopeName=e}toKey(){return this.scopeName}},hQ=class{constructor(e,A){this.scopeName=e,this.ruleName=A}toKey(){return`${this.scopeName}#${this.ruleName}`}},fQ=class{constructor(){this._references=[],this._seenReferenceKeys=new Set,this.visitedRule=new Set}get references(){return this._references}add(e){const A=e.toKey();this._seenReferenceKeys.has(A)||(this._seenReferenceKeys.add(A),this._references.push(e))}},bQ=class{constructor(e,A){this.repo=e,this.initialScopeName=A,this.seenFullScopeRequests=new Set,this.seenPartialScopeRequests=new Set,this.seenFullScopeRequests.add(this.initialScopeName),this.Q=[new ZA(this.initialScopeName)]}processQueue(){const e=this.Q;this.Q=[];const A=new fQ;for(const t of e)kQ(t,this.initialScopeName,this.repo,A);for(const t of A.references)if(t instanceof ZA){if(this.seenFullScopeRequests.has(t.scopeName))continue;this.seenFullScopeRequests.add(t.scopeName),this.Q.push(t)}else{if(this.seenFullScopeRequests.has(t.scopeName)||this.seenPartialScopeRequests.has(t.toKey()))continue;this.seenPartialScopeRequests.add(t.toKey()),this.Q.push(t)}}};function kQ(e,A,t,g){const n=t.lookup(e.scopeName);if(!n){if(e.scopeName===A)throw new Error(`No grammar provided for <${A}>`);return}const B=t.lookup(A);e instanceof ZA?Be({baseGrammar:B,selfGrammar:n},g):tt(e.ruleName,{baseGrammar:B,selfGrammar:n,repository:n.repository},g);const Q=t.injections(e.scopeName);if(Q)for(const a of Q)g.add(new ZA(a))}function tt(e,A,t){if(A.repository&&A.repository[e]){const g=A.repository[e];we([g],A,t)}}function Be(e,A){e.selfGrammar.patterns&&Array.isArray(e.selfGrammar.patterns)&&we(e.selfGrammar.patterns,{...e,repository:e.selfGrammar.repository},A),e.selfGrammar.injections&&we(Object.values(e.selfGrammar.injections),{...e,repository:e.selfGrammar.repository},A)}function we(e,A,t){for(const g of e){if(t.visitedRule.has(g))continue;t.visitedRule.add(g);const n=g.repository?$g({},A.repository,g.repository):A.repository;Array.isArray(g.patterns)&&we(g.patterns,{...A,repository:n},t);const B=g.include;if(!B)continue;const Q=nn(B);switch(Q.kind){case 0:Be({...A,selfGrammar:A.baseGrammar},t);break;case 1:Be(A,t);break;case 2:tt(Q.ruleName,{...A,repository:n},t);break;case 3:case 4:const a=Q.scopeName===A.selfGrammar.scopeName?A.selfGrammar:Q.scopeName===A.baseGrammar.scopeName?A.baseGrammar:void 0;if(a){const s={baseGrammar:A.baseGrammar,selfGrammar:a,repository:n};Q.kind===4?tt(Q.ruleName,s,t):Be(s,t)}else Q.kind===4?t.add(new hQ(Q.scopeName,Q.ruleName)):t.add(new ZA(Q.scopeName));break}}}var yQ=class{constructor(){this.kind=0}},MQ=class{constructor(){this.kind=1}},FQ=class{constructor(e){this.ruleName=e,this.kind=2}},GQ=class{constructor(e){this.scopeName=e,this.kind=3}},NQ=class{constructor(e,A){this.scopeName=e,this.ruleName=A,this.kind=4}};function nn(e){if(e==="$base")return new yQ;if(e==="$self")return new MQ;const A=e.indexOf("#");if(A===-1)return new GQ(e);if(A===0)return new FQ(e.substring(1));{const t=e.substring(0,A),g=e.substring(A+1);return new NQ(t,g)}}var LQ=/\\(\d+)/,Xt=/\\(\d+)/g,HQ=-1,Bn=-2;var jA=class{constructor(e,A,t,g){this.$location=e,this.id=A,this._name=t||null,this._nameIsCapturing=VA.hasCaptures(this._name),this._contentName=g||null,this._contentNameIsCapturing=VA.hasCaptures(this._contentName)}get debugName(){const e=this.$location?`${Tg(this.$location.filename)}:${this.$location.line}`:"unknown";return`${this.constructor.name}#${this.id} @ ${e}`}getName(e,A){return!this._nameIsCapturing||this._name===null||e===null||A===null?this._name:VA.replaceCaptures(this._name,e,A)}getContentName(e,A){return!this._contentNameIsCapturing||this._contentName===null?this._contentName:VA.replaceCaptures(this._contentName,e,A)}},RQ=class extends jA{constructor(e,A,t,g,n){super(e,A,t,g),this.retokenizeCapturedWithRuleId=n}dispose(){}collectPatterns(e,A){throw new Error("Not supported!")}compile(e,A){throw new Error("Not supported!")}compileAG(e,A,t,g){throw new Error("Not supported!")}},UQ=class extends jA{constructor(e,A,t,g,n){super(e,A,t,null),this._match=new OA(g,this.id),this.captures=n,this._cachedCompiledPatterns=null}dispose(){this._cachedCompiledPatterns&&(this._cachedCompiledPatterns.dispose(),this._cachedCompiledPatterns=null)}get debugMatchRegExp(){return`${this._match.source}`}collectPatterns(e,A){A.push(this._match)}compile(e,A){return this._getCachedCompiledPatterns(e).compile(e)}compileAG(e,A,t,g){return this._getCachedCompiledPatterns(e).compileAG(e,t,g)}_getCachedCompiledPatterns(e){return this._cachedCompiledPatterns||(this._cachedCompiledPatterns=new qA,this.collectPatterns(e,this._cachedCompiledPatterns)),this._cachedCompiledPatterns}},Vt=class extends jA{constructor(e,A,t,g,n){super(e,A,t,g),this.patterns=n.patterns,this.hasMissingPatterns=n.hasMissingPatterns,this._cachedCompiledPatterns=null}dispose(){this._cachedCompiledPatterns&&(this._cachedCompiledPatterns.dispose(),this._cachedCompiledPatterns=null)}collectPatterns(e,A){for(const t of this.patterns)e.getRule(t).collectPatterns(e,A)}compile(e,A){return this._getCachedCompiledPatterns(e).compile(e)}compileAG(e,A,t,g){return this._getCachedCompiledPatterns(e).compileAG(e,t,g)}_getCachedCompiledPatterns(e){return this._cachedCompiledPatterns||(this._cachedCompiledPatterns=new qA,this.collectPatterns(e,this._cachedCompiledPatterns)),this._cachedCompiledPatterns}},gt=class extends jA{constructor(e,A,t,g,n,B,Q,a,s,i){super(e,A,t,g),this._begin=new OA(n,this.id),this.beginCaptures=B,this._end=new OA(Q||"￿",-1),this.endHasBackReferences=this._end.hasBackReferences,this.endCaptures=a,this.applyEndPatternLast=s||!1,this.patterns=i.patterns,this.hasMissingPatterns=i.hasMissingPatterns,this._cachedCompiledPatterns=null}dispose(){this._cachedCompiledPatterns&&(this._cachedCompiledPatterns.dispose(),this._cachedCompiledPatterns=null)}get debugBeginRegExp(){return`${this._begin.source}`}get debugEndRegExp(){return`${this._end.source}`}getEndWithResolvedBackReferences(e,A){return this._end.resolveBackReferences(e,A)}collectPatterns(e,A){A.push(this._begin)}compile(e,A){return this._getCachedCompiledPatterns(e,A).compile(e)}compileAG(e,A,t,g){return this._getCachedCompiledPatterns(e,A).compileAG(e,t,g)}_getCachedCompiledPatterns(e,A){if(!this._cachedCompiledPatterns){this._cachedCompiledPatterns=new qA;for(const t of this.patterns)e.getRule(t).collectPatterns(e,this._cachedCompiledPatterns);this.applyEndPatternLast?this._cachedCompiledPatterns.push(this._end.hasBackReferences?this._end.clone():this._end):this._cachedCompiledPatterns.unshift(this._end.hasBackReferences?this._end.clone():this._end)}return this._end.hasBackReferences&&(this.applyEndPatternLast?this._cachedCompiledPatterns.setSource(this._cachedCompiledPatterns.length()-1,A):this._cachedCompiledPatterns.setSource(0,A)),this._cachedCompiledPatterns}},De=class extends jA{constructor(e,A,t,g,n,B,Q,a,s){super(e,A,t,g),this._begin=new OA(n,this.id),this.beginCaptures=B,this.whileCaptures=a,this._while=new OA(Q,Bn),this.whileHasBackReferences=this._while.hasBackReferences,this.patterns=s.patterns,this.hasMissingPatterns=s.hasMissingPatterns,this._cachedCompiledPatterns=null,this._cachedCompiledWhilePatterns=null}dispose(){this._cachedCompiledPatterns&&(this._cachedCompiledPatterns.dispose(),this._cachedCompiledPatterns=null),this._cachedCompiledWhilePatterns&&(this._cachedCompiledWhilePatterns.dispose(),this._cachedCompiledWhilePatterns=null)}get debugBeginRegExp(){return`${this._begin.source}`}get debugWhileRegExp(){return`${this._while.source}`}getWhileWithResolvedBackReferences(e,A){return this._while.resolveBackReferences(e,A)}collectPatterns(e,A){A.push(this._begin)}compile(e,A){return this._getCachedCompiledPatterns(e).compile(e)}compileAG(e,A,t,g){return this._getCachedCompiledPatterns(e).compileAG(e,t,g)}_getCachedCompiledPatterns(e){if(!this._cachedCompiledPatterns){this._cachedCompiledPatterns=new qA;for(const A of this.patterns)e.getRule(A).collectPatterns(e,this._cachedCompiledPatterns)}return this._cachedCompiledPatterns}compileWhile(e,A){return this._getCachedCompiledWhilePatterns(e,A).compile(e)}compileWhileAG(e,A,t,g){return this._getCachedCompiledWhilePatterns(e,A).compileAG(e,t,g)}_getCachedCompiledWhilePatterns(e,A){return this._cachedCompiledWhilePatterns||(this._cachedCompiledWhilePatterns=new qA,this._cachedCompiledWhilePatterns.push(this._while.hasBackReferences?this._while.clone():this._while)),this._while.hasBackReferences&&this._cachedCompiledWhilePatterns.setSource(0,A||"￿"),this._cachedCompiledWhilePatterns}},Qn=class K{static createCaptureRule(A,t,g,n,B){return A.registerRule(Q=>new RQ(t,Q,g,n,B))}static getCompiledRuleId(A,t,g){return A.id||t.registerRule(n=>{if(A.id=n,A.match)return new UQ(A.$vscodeTextmateLocation,A.id,A.name,A.match,K._compileCaptures(A.captures,t,g));if(typeof A.begin>"u"){A.repository&&(g=$g({},g,A.repository));let B=A.patterns;return typeof B>"u"&&A.include&&(B=[{include:A.include}]),new Vt(A.$vscodeTextmateLocation,A.id,A.name,A.contentName,K._compilePatterns(B,t,g))}return A.while?new De(A.$vscodeTextmateLocation,A.id,A.name,A.contentName,A.begin,K._compileCaptures(A.beginCaptures||A.captures,t,g),A.while,K._compileCaptures(A.whileCaptures||A.captures,t,g),K._compilePatterns(A.patterns,t,g)):new gt(A.$vscodeTextmateLocation,A.id,A.name,A.contentName,A.begin,K._compileCaptures(A.beginCaptures||A.captures,t,g),A.end,K._compileCaptures(A.endCaptures||A.captures,t,g),A.applyEndPatternLast,K._compilePatterns(A.patterns,t,g))}),A.id}static _compileCaptures(A,t,g){let n=[];if(A){let B=0;for(const Q in A){if(Q==="$vscodeTextmateLocation")continue;const a=parseInt(Q,10);a>B&&(B=a)}for(let Q=0;Q<=B;Q++)n[Q]=null;for(const Q in A){if(Q==="$vscodeTextmateLocation")continue;const a=parseInt(Q,10);let s=0;A[Q].patterns&&(s=K.getCompiledRuleId(A[Q],t,g)),n[a]=K.createCaptureRule(t,A[Q].$vscodeTextmateLocation,A[Q].name,A[Q].contentName,s)}}return n}static _compilePatterns(A,t,g){let n=[];if(A)for(let B=0,Q=A.length;B<Q;B++){const a=A[B];let s=-1;if(a.include){const i=nn(a.include);switch(i.kind){case 0:case 1:s=K.getCompiledRuleId(g[a.include],t,g);break;case 2:let E=g[i.ruleName];E&&(s=K.getCompiledRuleId(E,t,g));break;case 3:case 4:const o=i.scopeName,C=i.kind===4?i.ruleName:null,r=t.getExternalGrammar(o,g);if(r)if(C){let I=r.repository[C];I&&(s=K.getCompiledRuleId(I,t,r.repository))}else s=K.getCompiledRuleId(r.repository.$self,t,r.repository);break}}else s=K.getCompiledRuleId(a,t,g);if(s!==-1){const i=t.getRule(s);let E=!1;if((i instanceof Vt||i instanceof gt||i instanceof De)&&i.hasMissingPatterns&&i.patterns.length===0&&(E=!0),E)continue;n.push(s)}}return{patterns:n,hasMissingPatterns:(A?A.length:0)!==n.length}}},OA=class an{constructor(A,t){if(A){const g=A.length;let n=0,B=[],Q=!1;for(let a=0;a<g;a++)if(A.charAt(a)==="\\"&&a+1<g){const i=A.charAt(a+1);i==="z"?(B.push(A.substring(n,a)),B.push("$(?!\\n)(?<!\\n)"),n=a+2):(i==="A"||i==="G")&&(Q=!0),a++}this.hasAnchor=Q,n===0?this.source=A:(B.push(A.substring(n,g)),this.source=B.join(""))}else this.hasAnchor=!1,this.source=A;this.hasAnchor?this._anchorCache=this._buildAnchorCache():this._anchorCache=null,this.ruleId=t,this.hasBackReferences=LQ.test(this.source)}clone(){return new an(this.source,this.ruleId)}setSource(A){this.source!==A&&(this.source=A,this.hasAnchor&&(this._anchorCache=this._buildAnchorCache()))}resolveBackReferences(A,t){let g=t.map(n=>A.substring(n.start,n.end));return Xt.lastIndex=0,this.source.replace(Xt,(n,B)=>Vg(g[parseInt(B,10)]||""))}_buildAnchorCache(){let A=[],t=[],g=[],n=[],B,Q,a,s;for(B=0,Q=this.source.length;B<Q;B++)a=this.source.charAt(B),A[B]=a,t[B]=a,g[B]=a,n[B]=a,a==="\\"&&B+1<Q&&(s=this.source.charAt(B+1),s==="A"?(A[B+1]="￿",t[B+1]="￿",g[B+1]="A",n[B+1]="A"):s==="G"?(A[B+1]="￿",t[B+1]="G",g[B+1]="￿",n[B+1]="G"):(A[B+1]=s,t[B+1]=s,g[B+1]=s,n[B+1]=s),B++);return{A0_G0:A.join(""),A0_G1:t.join(""),A1_G0:g.join(""),A1_G1:n.join("")}}resolveAnchors(A,t){return!this.hasAnchor||!this._anchorCache?this.source:A?t?this._anchorCache.A1_G1:this._anchorCache.A1_G0:t?this._anchorCache.A0_G1:this._anchorCache.A0_G0}},qA=class{constructor(){this._items=[],this._hasAnchors=!1,this._cached=null,this._anchorCache={A0_G0:null,A0_G1:null,A1_G0:null,A1_G1:null}}dispose(){this._disposeCaches()}_disposeCaches(){this._cached&&(this._cached.dispose(),this._cached=null),this._anchorCache.A0_G0&&(this._anchorCache.A0_G0.dispose(),this._anchorCache.A0_G0=null),this._anchorCache.A0_G1&&(this._anchorCache.A0_G1.dispose(),this._anchorCache.A0_G1=null),this._anchorCache.A1_G0&&(this._anchorCache.A1_G0.dispose(),this._anchorCache.A1_G0=null),this._anchorCache.A1_G1&&(this._anchorCache.A1_G1.dispose(),this._anchorCache.A1_G1=null)}push(e){this._items.push(e),this._hasAnchors=this._hasAnchors||e.hasAnchor}unshift(e){this._items.unshift(e),this._hasAnchors=this._hasAnchors||e.hasAnchor}length(){return this._items.length}setSource(e,A){this._items[e].source!==A&&(this._disposeCaches(),this._items[e].setSource(A))}compile(e){if(!this._cached){let A=this._items.map(t=>t.source);this._cached=new Ag(e,A,this._items.map(t=>t.ruleId))}return this._cached}compileAG(e,A,t){return this._hasAnchors?A?t?(this._anchorCache.A1_G1||(this._anchorCache.A1_G1=this._resolveAnchors(e,A,t)),this._anchorCache.A1_G1):(this._anchorCache.A1_G0||(this._anchorCache.A1_G0=this._resolveAnchors(e,A,t)),this._anchorCache.A1_G0):t?(this._anchorCache.A0_G1||(this._anchorCache.A0_G1=this._resolveAnchors(e,A,t)),this._anchorCache.A0_G1):(this._anchorCache.A0_G0||(this._anchorCache.A0_G0=this._resolveAnchors(e,A,t)),this._anchorCache.A0_G0):this.compile(e)}_resolveAnchors(e,A,t){let g=this._items.map(n=>n.resolveAnchors(A,t));return new Ag(e,g,this._items.map(n=>n.ruleId))}},Ag=class{constructor(e,A,t){this.regExps=A,this.rules=t,this.scanner=e.createOnigScanner(A)}dispose(){typeof this.scanner.dispose=="function"&&this.scanner.dispose()}toString(){const e=[];for(let A=0,t=this.rules.length;A<t;A++)e.push(" - "+this.rules[A]+": "+this.regExps[A]);return e.join(`
+`)}findNextMatchSync(e,A,t){const g=this.scanner.findNextMatchSync(e,A,t);return g?{ruleId:this.rules[g.index],captureIndices:g.captureIndices}:null}},nt=class{constructor(e,A){this.languageId=e,this.tokenType=A}},lt=class Bt{constructor(A,t){this._getBasicScopeAttributes=new An(g=>{const n=this._scopeToLanguage(g),B=this._toStandardTokenType(g);return new nt(n,B)}),this._defaultAttributes=new nt(A,8),this._embeddedLanguagesMatcher=new KQ(Object.entries(t||{}))}getDefaultAttributes(){return this._defaultAttributes}getBasicScopeAttributes(A){return A===null?Bt._NULL_SCOPE_METADATA:this._getBasicScopeAttributes.get(A)}_scopeToLanguage(A){return this._embeddedLanguagesMatcher.match(A)||0}_toStandardTokenType(A){const t=A.match(Bt.STANDARD_TOKEN_TYPE_REGEXP);if(!t)return 8;switch(t[1]){case"comment":return 1;case"string":return 2;case"regex":return 3;case"meta.embedded":return 0}throw new Error("Unexpected match for standard token type!")}};lt._NULL_SCOPE_METADATA=new nt(0,0);lt.STANDARD_TOKEN_TYPE_REGEXP=/\b(comment|string|regex|meta\.embedded)\b/;var YQ=lt,KQ=class{constructor(e){if(e.length===0)this.values=null,this.scopesRegExp=null;else{this.values=new Map(e);const A=e.map(([t,g])=>Vg(t));A.sort(),A.reverse(),this.scopesRegExp=new RegExp(`^((${A.join(")|(")}))($|\\.)`,"")}}match(e){if(!this.scopesRegExp)return;const A=e.match(this.scopesRegExp);if(A)return this.values.get(A[1])}},eg=class{constructor(e,A){this.stack=e,this.stoppedEarly=A}};function sn(e,A,t,g,n,B,Q,a){const s=A.content.length;let i=!1,E=-1;if(Q){const r=PQ(e,A,t,g,n,B);n=r.stack,g=r.linePos,t=r.isFirstLine,E=r.anchorPosition}const o=Date.now();for(;!i;){if(a!==0&&Date.now()-o>a)return new eg(n,!0);C()}return new eg(n,!1);function C(){const r=SQ(e,A,t,g,n,E);if(!r){B.produce(n,s),i=!0;return}const I=r.captureIndices,l=r.matchedRuleId,c=I&&I.length>0?I[0].end>g:!1;if(l===HQ){const w=n.getRule(e);B.produce(n,I[0].start),n=n.withContentNameScopesList(n.nameScopesList),GA(e,A,t,n,B,w.endCaptures,I),B.produce(n,I[0].end);const D=n;if(n=n.parent,E=D.getAnchorPos(),!c&&D.getEnterPos()===g){n=D,B.produce(n,s),i=!0;return}}else{const w=e.getRule(l);B.produce(n,I[0].start);const D=n,u=w.getName(A.content,I),p=n.contentNameScopesList.pushAttributed(u,e);if(n=n.push(l,g,E,I[0].end===s,null,p,p),w instanceof gt){const m=w;GA(e,A,t,n,B,m.beginCaptures,I),B.produce(n,I[0].end),E=I[0].end;const k=m.getContentName(A.content,I),f=p.pushAttributed(k,e);if(n=n.withContentNameScopesList(f),m.endHasBackReferences&&(n=n.withEndRule(m.getEndWithResolvedBackReferences(A.content,I))),!c&&D.hasSameRuleAs(n)){n=n.pop(),B.produce(n,s),i=!0;return}}else if(w instanceof De){const m=w;GA(e,A,t,n,B,m.beginCaptures,I),B.produce(n,I[0].end),E=I[0].end;const k=m.getContentName(A.content,I),f=p.pushAttributed(k,e);if(n=n.withContentNameScopesList(f),m.whileHasBackReferences&&(n=n.withEndRule(m.getWhileWithResolvedBackReferences(A.content,I))),!c&&D.hasSameRuleAs(n)){n=n.pop(),B.produce(n,s),i=!0;return}}else if(GA(e,A,t,n,B,w.captures,I),B.produce(n,I[0].end),n=n.pop(),!c){n=n.safePop(),B.produce(n,s),i=!0;return}}I[0].end>g&&(g=I[0].end,t=!1)}}function PQ(e,A,t,g,n,B){let Q=n.beginRuleCapturedEOL?0:-1;const a=[];for(let s=n;s;s=s.pop()){const i=s.getRule(e);i instanceof De&&a.push({rule:i,stack:s})}for(let s=a.pop();s;s=a.pop()){const{ruleScanner:i,findOptions:E}=vQ(s.rule,e,s.stack.endRule,t,g===Q),o=i.findNextMatchSync(A,g,E);if(o){if(o.ruleId!==Bn){n=s.stack.pop();break}o.captureIndices&&o.captureIndices.length&&(B.produce(s.stack,o.captureIndices[0].start),GA(e,A,t,s.stack,B,s.rule.whileCaptures,o.captureIndices),B.produce(s.stack,o.captureIndices[0].end),Q=o.captureIndices[0].end,o.captureIndices[0].end>g&&(g=o.captureIndices[0].end,t=!1))}else{n=s.stack.pop();break}}return{stack:n,linePos:g,anchorPosition:Q,isFirstLine:t}}function SQ(e,A,t,g,n,B){const Q=xQ(e,A,t,g,n,B),a=e.getInjections();if(a.length===0)return Q;const s=JQ(a,e,A,t,g,n,B);if(!s)return Q;if(!Q)return s;const i=Q.captureIndices[0].start,E=s.captureIndices[0].start;return E<i||s.priorityMatch&&E===i?s:Q}function xQ(e,A,t,g,n,B){const Q=n.getRule(e),{ruleScanner:a,findOptions:s}=on(Q,e,n.endRule,t,g===B),i=a.findNextMatchSync(A,g,s);return i?{captureIndices:i.captureIndices,matchedRuleId:i.ruleId}:null}function JQ(e,A,t,g,n,B,Q){let a=Number.MAX_VALUE,s=null,i,E=0;const o=B.contentNameScopesList.getScopeNames();for(let C=0,r=e.length;C<r;C++){const I=e[C];if(!I.matcher(o))continue;const l=A.getRule(I.ruleId),{ruleScanner:c,findOptions:w}=on(l,A,null,g,n===Q),D=c.findNextMatchSync(t,n,w);if(!D)continue;const u=D.captureIndices[0].start;if(!(u>=a)&&(a=u,s=D.captureIndices,i=D.ruleId,E=I.priority,a===n))break}return s?{priorityMatch:E===-1,captureIndices:s,matchedRuleId:i}:null}function on(e,A,t,g,n){return{ruleScanner:e.compileAG(A,t,g,n),findOptions:0}}function vQ(e,A,t,g,n){return{ruleScanner:e.compileWhileAG(A,t,g,n),findOptions:0}}function GA(e,A,t,g,n,B,Q){if(B.length===0)return;const a=A.content,s=Math.min(B.length,Q.length),i=[],E=Q[0].end;for(let o=0;o<s;o++){const C=B[o];if(C===null)continue;const r=Q[o];if(r.length===0)continue;if(r.start>E)break;for(;i.length>0&&i[i.length-1].endPos<=r.start;)n.produceFromScopes(i[i.length-1].scopes,i[i.length-1].endPos),i.pop();if(i.length>0?n.produceFromScopes(i[i.length-1].scopes,r.start):n.produce(g,r.start),C.retokenizeCapturedWithRuleId){const l=C.getName(a,Q),c=g.contentNameScopesList.pushAttributed(l,e),w=C.getContentName(a,Q),D=c.pushAttributed(w,e),u=g.push(C.retokenizeCapturedWithRuleId,r.start,-1,!1,null,c,D),p=e.createOnigString(a.substring(0,r.end));sn(e,p,t&&r.start===0,r.start,u,n,!1,0),gn(p);continue}const I=C.getName(a,Q);if(I!==null){const c=(i.length>0?i[i.length-1].scopes:g.contentNameScopesList).pushAttributed(I,e);i.push(new ZQ(c,r.end))}}for(;i.length>0;)n.produceFromScopes(i[i.length-1].scopes,i[i.length-1].endPos),i.pop()}var ZQ=class{constructor(e,A){this.scopes=e,this.endPos=A}};function OQ(e,A,t,g,n,B,Q,a){return new zQ(e,A,t,g,n,B,Q,a)}function tg(e,A,t,g,n){const B=ce(A,le),Q=Qn.getCompiledRuleId(t,g,n.repository);for(const a of B)e.push({debugSelector:A,matcher:a.matcher,ruleId:Q,grammar:n,priority:a.priority})}function le(e,A){if(A.length<e.length)return!1;let t=0;return e.every(g=>{for(let n=t;n<A.length;n++)if(qQ(A[n],g))return t=n+1,!0;return!1})}function qQ(e,A){if(!e)return!1;if(e===A)return!0;const t=A.length;return e.length>t&&e.substr(0,t)===A&&e[t]==="."}var zQ=class{constructor(e,A,t,g,n,B,Q,a){if(this._rootScopeName=e,this.balancedBracketSelectors=B,this._onigLib=a,this._basicScopeAttributesProvider=new YQ(t,g),this._rootId=-1,this._lastRuleId=0,this._ruleId2desc=[null],this._includedGrammars={},this._grammarRepository=Q,this._grammar=gg(A,null),this._injections=null,this._tokenTypeMatchers=[],n)for(const s of Object.keys(n)){const i=ce(s,le);for(const E of i)this._tokenTypeMatchers.push({matcher:E.matcher,type:n[s]})}}get themeProvider(){return this._grammarRepository}dispose(){for(const e of this._ruleId2desc)e&&e.dispose()}createOnigScanner(e){return this._onigLib.createOnigScanner(e)}createOnigString(e){return this._onigLib.createOnigString(e)}getMetadataForScope(e){return this._basicScopeAttributesProvider.getBasicScopeAttributes(e)}_collectInjections(){const e={lookup:n=>n===this._rootScopeName?this._grammar:this.getExternalGrammar(n),injections:n=>this._grammarRepository.injections(n)},A=[],t=this._rootScopeName,g=e.lookup(t);if(g){const n=g.injections;if(n)for(let Q in n)tg(A,Q,n[Q],this,g);const B=this._grammarRepository.injections(t);B&&B.forEach(Q=>{const a=this.getExternalGrammar(Q);if(a){const s=a.injectionSelector;s&&tg(A,s,a,this,a)}})}return A.sort((n,B)=>n.priority-B.priority),A}getInjections(){return this._injections===null&&(this._injections=this._collectInjections()),this._injections}registerRule(e){const A=++this._lastRuleId,t=e(A);return this._ruleId2desc[A]=t,t}getRule(e){return this._ruleId2desc[e]}getExternalGrammar(e,A){if(this._includedGrammars[e])return this._includedGrammars[e];if(this._grammarRepository){const t=this._grammarRepository.lookup(e);if(t)return this._includedGrammars[e]=gg(t,A&&A.$base),this._includedGrammars[e]}}tokenizeLine(e,A,t=0){const g=this._tokenize(e,A,!1,t);return{tokens:g.lineTokens.getResult(g.ruleStack,g.lineLength),ruleStack:g.ruleStack,stoppedEarly:g.stoppedEarly}}tokenizeLine2(e,A,t=0){const g=this._tokenize(e,A,!0,t);return{tokens:g.lineTokens.getBinaryResult(g.ruleStack,g.lineLength),ruleStack:g.ruleStack,stoppedEarly:g.stoppedEarly}}_tokenize(e,A,t,g){this._rootId===-1&&(this._rootId=Qn.getCompiledRuleId(this._grammar.repository.$self,this,this._grammar.repository),this.getInjections());let n;if(!A||A===at.NULL){n=!0;const i=this._basicScopeAttributesProvider.getDefaultAttributes(),E=this.themeProvider.getDefaults(),o=dA.set(0,i.languageId,i.tokenType,null,E.fontStyle,E.foregroundId,E.backgroundId),C=this.getRule(this._rootId).getName(null,null);let r;C?r=UA.createRootAndLookUpScopeName(C,o,this):r=UA.createRoot("unknown",o),A=new at(null,this._rootId,-1,-1,!1,null,r,r)}else n=!1,A.reset();e=e+`
+`;const B=this.createOnigString(e),Q=B.content.length,a=new jQ(t,e,this._tokenTypeMatchers,this.balancedBracketSelectors),s=sn(this,B,n,0,A,a,!0,g);return gn(B),{lineLength:Q,lineTokens:a,ruleStack:s.stack,stoppedEarly:s.stoppedEarly}}};function gg(e,A){return e=EQ(e),e.repository=e.repository||{},e.repository.$self={$vscodeTextmateLocation:e.$vscodeTextmateLocation,patterns:e.patterns,name:e.scopeName},e.repository.$base=A||e.repository.$self,e}var UA=class X{constructor(A,t,g){this.parent=A,this.scopePath=t,this.tokenAttributes=g}static fromExtension(A,t){let g=A,n=(A==null?void 0:A.scopePath)??null;for(const B of t)n=Ze.push(n,B.scopeNames),g=new X(g,n,B.encodedTokenAttributes);return g}static createRoot(A,t){return new X(null,new Ze(null,A),t)}static createRootAndLookUpScopeName(A,t,g){const n=g.getMetadataForScope(A),B=new Ze(null,A),Q=g.themeProvider.themeMatch(B),a=X.mergeAttributes(t,n,Q);return new X(null,B,a)}get scopeName(){return this.scopePath.scopeName}toString(){return this.getScopeNames().join(" ")}equals(A){return X.equals(this,A)}static equals(A,t){do{if(A===t||!A&&!t)return!0;if(!A||!t||A.scopeName!==t.scopeName||A.tokenAttributes!==t.tokenAttributes)return!1;A=A.parent,t=t.parent}while(!0)}static mergeAttributes(A,t,g){let n=-1,B=0,Q=0;return g!==null&&(n=g.fontStyle,B=g.foregroundId,Q=g.backgroundId),dA.set(A,t.languageId,t.tokenType,null,n,B,Q)}pushAttributed(A,t){if(A===null)return this;if(A.indexOf(" ")===-1)return X._pushAttributed(this,A,t);const g=A.split(/ /g);let n=this;for(const B of g)n=X._pushAttributed(n,B,t);return n}static _pushAttributed(A,t,g){const n=g.getMetadataForScope(t),B=A.scopePath.push(t),Q=g.themeProvider.themeMatch(B),a=X.mergeAttributes(A.tokenAttributes,n,Q);return new X(A,B,a)}getScopeNames(){return this.scopePath.getSegments()}getExtensionIfDefined(A){var n;const t=[];let g=this;for(;g&&g!==A;)t.push({encodedTokenAttributes:g.tokenAttributes,scopeNames:g.scopePath.getExtensionIfDefined(((n=g.parent)==null?void 0:n.scopePath)??null)}),g=g.parent;return g===A?t.reverse():void 0}},Qt=class mA{constructor(A,t,g,n,B,Q,a,s){this.parent=A,this.ruleId=t,this.beginRuleCapturedEOL=B,this.endRule=Q,this.nameScopesList=a,this.contentNameScopesList=s,this._stackElementBrand=void 0,this.depth=this.parent?this.parent.depth+1:1,this._enterPos=g,this._anchorPos=n}equals(A){return A===null?!1:mA._equals(this,A)}static _equals(A,t){return A===t?!0:this._structuralEquals(A,t)?UA.equals(A.contentNameScopesList,t.contentNameScopesList):!1}static _structuralEquals(A,t){do{if(A===t||!A&&!t)return!0;if(!A||!t||A.depth!==t.depth||A.ruleId!==t.ruleId||A.endRule!==t.endRule)return!1;A=A.parent,t=t.parent}while(!0)}clone(){return this}static _reset(A){for(;A;)A._enterPos=-1,A._anchorPos=-1,A=A.parent}reset(){mA._reset(this)}pop(){return this.parent}safePop(){return this.parent?this.parent:this}push(A,t,g,n,B,Q,a){return new mA(this,A,t,g,n,B,Q,a)}getEnterPos(){return this._enterPos}getAnchorPos(){return this._anchorPos}getRule(A){return A.getRule(this.ruleId)}toString(){const A=[];return this._writeString(A,0),"["+A.join(",")+"]"}_writeString(A,t){var g,n;return this.parent&&(t=this.parent._writeString(A,t)),A[t++]=`(${this.ruleId}, ${(g=this.nameScopesList)==null?void 0:g.toString()}, ${(n=this.contentNameScopesList)==null?void 0:n.toString()})`,t}withContentNameScopesList(A){return this.contentNameScopesList===A?this:this.parent.push(this.ruleId,this._enterPos,this._anchorPos,this.beginRuleCapturedEOL,this.endRule,this.nameScopesList,A)}withEndRule(A){return this.endRule===A?this:new mA(this.parent,this.ruleId,this._enterPos,this._anchorPos,this.beginRuleCapturedEOL,A,this.nameScopesList,this.contentNameScopesList)}hasSameRuleAs(A){let t=this;for(;t&&t._enterPos===A._enterPos;){if(t.ruleId===A.ruleId)return!0;t=t.parent}return!1}toStateStackFrame(){var A,t,g;return{ruleId:this.ruleId,beginRuleCapturedEOL:this.beginRuleCapturedEOL,endRule:this.endRule,nameScopesList:((t=this.nameScopesList)==null?void 0:t.getExtensionIfDefined(((A=this.parent)==null?void 0:A.nameScopesList)??null))??[],contentNameScopesList:((g=this.contentNameScopesList)==null?void 0:g.getExtensionIfDefined(this.nameScopesList))??[]}}static pushFrame(A,t){const g=UA.fromExtension((A==null?void 0:A.nameScopesList)??null,t.nameScopesList);return new mA(A,t.ruleId,t.enterPos??-1,t.anchorPos??-1,t.beginRuleCapturedEOL,t.endRule,g,UA.fromExtension(g,t.contentNameScopesList))}};Qt.NULL=new Qt(null,0,0,0,!1,null,null,null);var at=Qt,_Q=class{constructor(e,A){this.allowAny=!1,this.balancedBracketScopes=e.flatMap(t=>t==="*"?(this.allowAny=!0,[]):ce(t,le).map(g=>g.matcher)),this.unbalancedBracketScopes=A.flatMap(t=>ce(t,le).map(g=>g.matcher))}get matchesAlways(){return this.allowAny&&this.unbalancedBracketScopes.length===0}get matchesNever(){return this.balancedBracketScopes.length===0&&!this.allowAny}match(e){for(const A of this.unbalancedBracketScopes)if(A(e))return!1;for(const A of this.balancedBracketScopes)if(A(e))return!0;return this.allowAny}},jQ=class{constructor(e,A,t,g){this.balancedBracketSelectors=g,this._emitBinaryTokens=e,this._tokenTypeOverrides=t,this._lineText=null,this._tokens=[],this._binaryTokens=[],this._lastTokenEndIndex=0}produce(e,A){this.produceFromScopes(e.contentNameScopesList,A)}produceFromScopes(e,A){var g;if(this._lastTokenEndIndex>=A)return;if(this._emitBinaryTokens){let n=(e==null?void 0:e.tokenAttributes)??0,B=!1;if((g=this.balancedBracketSelectors)!=null&&g.matchesAlways&&(B=!0),this._tokenTypeOverrides.length>0||this.balancedBracketSelectors&&!this.balancedBracketSelectors.matchesAlways&&!this.balancedBracketSelectors.matchesNever){const Q=(e==null?void 0:e.getScopeNames())??[];for(const a of this._tokenTypeOverrides)a.matcher(Q)&&(n=dA.set(n,0,a.type,null,-1,0,0));this.balancedBracketSelectors&&(B=this.balancedBracketSelectors.match(Q))}if(B&&(n=dA.set(n,0,8,B,-1,0,0)),this._binaryTokens.length>0&&this._binaryTokens[this._binaryTokens.length-1]===n){this._lastTokenEndIndex=A;return}this._binaryTokens.push(this._lastTokenEndIndex),this._binaryTokens.push(n),this._lastTokenEndIndex=A;return}const t=(e==null?void 0:e.getScopeNames())??[];this._tokens.push({startIndex:this._lastTokenEndIndex,endIndex:A,scopes:t}),this._lastTokenEndIndex=A}getResult(e,A){return this._tokens.length>0&&this._tokens[this._tokens.length-1].startIndex===A-1&&this._tokens.pop(),this._tokens.length===0&&(this._lastTokenEndIndex=-1,this.produce(e,A),this._tokens[this._tokens.length-1].startIndex=0),this._tokens}getBinaryResult(e,A){this._binaryTokens.length>0&&this._binaryTokens[this._binaryTokens.length-2]===A-1&&(this._binaryTokens.pop(),this._binaryTokens.pop()),this._binaryTokens.length===0&&(this._lastTokenEndIndex=-1,this.produce(e,A),this._binaryTokens[this._binaryTokens.length-2]=0);const t=new Uint32Array(this._binaryTokens.length);for(let g=0,n=this._binaryTokens.length;g<n;g++)t[g]=this._binaryTokens[g];return t}},$Q=class{constructor(e,A){this._onigLib=A,this._grammars=new Map,this._rawGrammars=new Map,this._injectionGrammars=new Map,this._theme=e}dispose(){for(const e of this._grammars.values())e.dispose()}setTheme(e){this._theme=e}getColorMap(){return this._theme.getColorMap()}addGrammar(e,A){this._rawGrammars.set(e.scopeName,e),A&&this._injectionGrammars.set(e.scopeName,A)}lookup(e){return this._rawGrammars.get(e)}injections(e){return this._injectionGrammars.get(e)}getDefaults(){return this._theme.getDefaults()}themeMatch(e){return this._theme.match(e)}grammarForScopeName(e,A,t,g,n){if(!this._grammars.has(e)){let B=this._rawGrammars.get(e);if(!B)return null;this._grammars.set(e,OQ(e,B,A,t,g,n,this,this._onigLib))}return this._grammars.get(e)}},TQ=class{constructor(A){this._options=A,this._syncRegistry=new $Q(Ie.createFromRawTheme(A.theme,A.colorMap),A.onigLib),this._ensureGrammarCache=new Map}dispose(){this._syncRegistry.dispose()}setTheme(A,t){this._syncRegistry.setTheme(Ie.createFromRawTheme(A,t))}getColorMap(){return this._syncRegistry.getColorMap()}loadGrammarWithEmbeddedLanguages(A,t,g){return this.loadGrammarWithConfiguration(A,t,{embeddedLanguages:g})}loadGrammarWithConfiguration(A,t,g){return this._loadGrammar(A,t,g.embeddedLanguages,g.tokenTypes,new _Q(g.balancedBracketSelectors||[],g.unbalancedBracketSelectors||[]))}loadGrammar(A){return this._loadGrammar(A,0,null,null,null)}_loadGrammar(A,t,g,n,B){const Q=new bQ(this._syncRegistry,A);for(;Q.Q.length>0;)Q.Q.map(a=>this._loadSingleGrammar(a.scopeName)),Q.processQueue();return this._grammarForScopeName(A,t,g,n,B)}_loadSingleGrammar(A){this._ensureGrammarCache.has(A)||(this._doLoadSingleGrammar(A),this._ensureGrammarCache.set(A,!0))}_doLoadSingleGrammar(A){const t=this._options.loadGrammar(A);if(t){const g=typeof this._options.getInjections=="function"?this._options.getInjections(A):void 0;this._syncRegistry.addGrammar(t,g)}}addGrammar(A,t=[],g=0,n=null){return this._syncRegistry.addGrammar(A,t),this._grammarForScopeName(A.scopeName,g,n)}_grammarForScopeName(A,t=0,g=null,n=null,B=null){return this._syncRegistry.grammarForScopeName(A,t,g,n,B)}},de=at.NULL;const WQ=["area","base","basefont","bgsound","br","col","command","embed","frame","hr","image","img","input","keygen","link","meta","param","source","track","wbr"];class $A{constructor(A,t,g){this.property=A,this.normal=t,g&&(this.space=g)}}$A.prototype.property={};$A.prototype.normal={};$A.prototype.space=null;function En(e,A){const t={},g={};let n=-1;for(;++n<e.length;)Object.assign(t,e[n].property),Object.assign(g,e[n].normal);return new $A(t,g,A)}function st(e){return e.toLowerCase()}class _{constructor(A,t){this.property=A,this.attribute=t}}_.prototype.space=null;_.prototype.boolean=!1;_.prototype.booleanish=!1;_.prototype.overloadedBoolean=!1;_.prototype.number=!1;_.prototype.commaSeparated=!1;_.prototype.spaceSeparated=!1;_.prototype.commaOrSpaceSeparated=!1;_.prototype.mustUseProperty=!1;_.prototype.defined=!1;let XQ=0;const h=pA(),L=pA(),Cn=pA(),d=pA(),M=pA(),fA=pA(),O=pA();function pA(){return 2**++XQ}const it=Object.freeze(Object.defineProperty({__proto__:null,boolean:h,booleanish:L,commaOrSpaceSeparated:O,commaSeparated:fA,number:d,overloadedBoolean:Cn,spaceSeparated:M},Symbol.toStringTag,{value:"Module"})),Oe=Object.keys(it);class dt extends _{constructor(A,t,g,n){let B=-1;if(super(A,t),ng(this,"space",n),typeof g=="number")for(;++B<Oe.length;){const Q=Oe[B];ng(this,Oe[B],(g&it[Q])===it[Q])}}}dt.prototype.defined=!0;function ng(e,A,t){t&&(e[A]=t)}const VQ={}.hasOwnProperty;function yA(e){const A={},t={};let g;for(g in e.properties)if(VQ.call(e.properties,g)){const n=e.properties[g],B=new dt(g,e.transform(e.attributes||{},g),n,e.space);e.mustUseProperty&&e.mustUseProperty.includes(g)&&(B.mustUseProperty=!0),A[g]=B,t[st(g)]=g,t[st(B.attribute)]=g}return new $A(A,t,e.space)}const rn=yA({space:"xlink",transform(e,A){return"xlink:"+A.slice(5).toLowerCase()},properties:{xLinkActuate:null,xLinkArcRole:null,xLinkHref:null,xLinkRole:null,xLinkShow:null,xLinkTitle:null,xLinkType:null}}),In=yA({space:"xml",transform(e,A){return"xml:"+A.slice(3).toLowerCase()},properties:{xmlLang:null,xmlBase:null,xmlSpace:null}});function cn(e,A){return A in e?e[A]:A}function wn(e,A){return cn(e,A.toLowerCase())}const Dn=yA({space:"xmlns",attributes:{xmlnsxlink:"xmlns:xlink"},transform:wn,properties:{xmlns:null,xmlnsXLink:null}}),ln=yA({transform(e,A){return A==="role"?A:"aria-"+A.slice(4).toLowerCase()},properties:{ariaActiveDescendant:null,ariaAtomic:L,ariaAutoComplete:null,ariaBusy:L,ariaChecked:L,ariaColCount:d,ariaColIndex:d,ariaColSpan:d,ariaControls:M,ariaCurrent:null,ariaDescribedBy:M,ariaDetails:null,ariaDisabled:L,ariaDropEffect:M,ariaErrorMessage:null,ariaExpanded:L,ariaFlowTo:M,ariaGrabbed:L,ariaHasPopup:null,ariaHidden:L,ariaInvalid:null,ariaKeyShortcuts:null,ariaLabel:null,ariaLabelledBy:M,ariaLevel:d,ariaLive:null,ariaModal:L,ariaMultiLine:L,ariaMultiSelectable:L,ariaOrientation:null,ariaOwns:M,ariaPlaceholder:null,ariaPosInSet:d,ariaPressed:L,ariaReadOnly:L,ariaRelevant:null,ariaRequired:L,ariaRoleDescription:M,ariaRowCount:d,ariaRowIndex:d,ariaRowSpan:d,ariaSelected:L,ariaSetSize:d,ariaSort:null,ariaValueMax:d,ariaValueMin:d,ariaValueNow:d,ariaValueText:null,role:null}}),Aa=yA({space:"html",attributes:{acceptcharset:"accept-charset",classname:"class",htmlfor:"for",httpequiv:"http-equiv"},transform:wn,mustUseProperty:["checked","multiple","muted","selected"],properties:{abbr:null,accept:fA,acceptCharset:M,accessKey:M,action:null,allow:null,allowFullScreen:h,allowPaymentRequest:h,allowUserMedia:h,alt:null,as:null,async:h,autoCapitalize:null,autoComplete:M,autoFocus:h,autoPlay:h,blocking:M,capture:null,charSet:null,checked:h,cite:null,className:M,cols:d,colSpan:null,content:null,contentEditable:L,controls:h,controlsList:M,coords:d|fA,crossOrigin:null,data:null,dateTime:null,decoding:null,default:h,defer:h,dir:null,dirName:null,disabled:h,download:Cn,draggable:L,encType:null,enterKeyHint:null,fetchPriority:null,form:null,formAction:null,formEncType:null,formMethod:null,formNoValidate:h,formTarget:null,headers:M,height:d,hidden:h,high:d,href:null,hrefLang:null,htmlFor:M,httpEquiv:M,id:null,imageSizes:null,imageSrcSet:null,inert:h,inputMode:null,integrity:null,is:null,isMap:h,itemId:null,itemProp:M,itemRef:M,itemScope:h,itemType:M,kind:null,label:null,lang:null,language:null,list:null,loading:null,loop:h,low:d,manifest:null,max:null,maxLength:d,media:null,method:null,min:null,minLength:d,multiple:h,muted:h,name:null,nonce:null,noModule:h,noValidate:h,onAbort:null,onAfterPrint:null,onAuxClick:null,onBeforeMatch:null,onBeforePrint:null,onBeforeToggle:null,onBeforeUnload:null,onBlur:null,onCancel:null,onCanPlay:null,onCanPlayThrough:null,onChange:null,onClick:null,onClose:null,onContextLost:null,onContextMenu:null,onContextRestored:null,onCopy:null,onCueChange:null,onCut:null,onDblClick:null,onDrag:null,onDragEnd:null,onDragEnter:null,onDragExit:null,onDragLeave:null,onDragOver:null,onDragStart:null,onDrop:null,onDurationChange:null,onEmptied:null,onEnded:null,onError:null,onFocus:null,onFormData:null,onHashChange:null,onInput:null,onInvalid:null,onKeyDown:null,onKeyPress:null,onKeyUp:null,onLanguageChange:null,onLoad:null,onLoadedData:null,onLoadedMetadata:null,onLoadEnd:null,onLoadStart:null,onMessage:null,onMessageError:null,onMouseDown:null,onMouseEnter:null,onMouseLeave:null,onMouseMove:null,onMouseOut:null,onMouseOver:null,onMouseUp:null,onOffline:null,onOnline:null,onPageHide:null,onPageShow:null,onPaste:null,onPause:null,onPlay:null,onPlaying:null,onPopState:null,onProgress:null,onRateChange:null,onRejectionHandled:null,onReset:null,onResize:null,onScroll:null,onScrollEnd:null,onSecurityPolicyViolation:null,onSeeked:null,onSeeking:null,onSelect:null,onSlotChange:null,onStalled:null,onStorage:null,onSubmit:null,onSuspend:null,onTimeUpdate:null,onToggle:null,onUnhandledRejection:null,onUnload:null,onVolumeChange:null,onWaiting:null,onWheel:null,open:h,optimum:d,pattern:null,ping:M,placeholder:null,playsInline:h,popover:null,popoverTarget:null,popoverTargetAction:null,poster:null,preload:null,readOnly:h,referrerPolicy:null,rel:M,required:h,reversed:h,rows:d,rowSpan:d,sandbox:M,scope:null,scoped:h,seamless:h,selected:h,shadowRootClonable:h,shadowRootDelegatesFocus:h,shadowRootMode:null,shape:null,size:d,sizes:null,slot:null,span:d,spellCheck:L,src:null,srcDoc:null,srcLang:null,srcSet:null,start:d,step:null,style:null,tabIndex:d,target:null,title:null,translate:null,type:null,typeMustMatch:h,useMap:null,value:L,width:d,wrap:null,writingSuggestions:null,align:null,aLink:null,archive:M,axis:null,background:null,bgColor:null,border:d,borderColor:null,bottomMargin:d,cellPadding:null,cellSpacing:null,char:null,charOff:null,classId:null,clear:null,code:null,codeBase:null,codeType:null,color:null,compact:h,declare:h,event:null,face:null,frame:null,frameBorder:null,hSpace:d,leftMargin:d,link:null,longDesc:null,lowSrc:null,marginHeight:d,marginWidth:d,noResize:h,noHref:h,noShade:h,noWrap:h,object:null,profile:null,prompt:null,rev:null,rightMargin:d,rules:null,scheme:null,scrolling:L,standby:null,summary:null,text:null,topMargin:d,valueType:null,version:null,vAlign:null,vLink:null,vSpace:d,allowTransparency:null,autoCorrect:null,autoSave:null,disablePictureInPicture:h,disableRemotePlayback:h,prefix:null,property:null,results:d,security:null,unselectable:null}}),ea=yA({space:"svg",attributes:{accentHeight:"accent-height",alignmentBaseline:"alignment-baseline",arabicForm:"arabic-form",baselineShift:"baseline-shift",capHeight:"cap-height",className:"class",clipPath:"clip-path",clipRule:"clip-rule",colorInterpolation:"color-interpolation",colorInterpolationFilters:"color-interpolation-filters",colorProfile:"color-profile",colorRendering:"color-rendering",crossOrigin:"crossorigin",dataType:"datatype",dominantBaseline:"dominant-baseline",enableBackground:"enable-background",fillOpacity:"fill-opacity",fillRule:"fill-rule",floodColor:"flood-color",floodOpacity:"flood-opacity",fontFamily:"font-family",fontSize:"font-size",fontSizeAdjust:"font-size-adjust",fontStretch:"font-stretch",fontStyle:"font-style",fontVariant:"font-variant",fontWeight:"font-weight",glyphName:"glyph-name",glyphOrientationHorizontal:"glyph-orientation-horizontal",glyphOrientationVertical:"glyph-orientation-vertical",hrefLang:"hreflang",horizAdvX:"horiz-adv-x",horizOriginX:"horiz-origin-x",horizOriginY:"horiz-origin-y",imageRendering:"image-rendering",letterSpacing:"letter-spacing",lightingColor:"lighting-color",markerEnd:"marker-end",markerMid:"marker-mid",markerStart:"marker-start",navDown:"nav-down",navDownLeft:"nav-down-left",navDownRight:"nav-down-right",navLeft:"nav-left",navNext:"nav-next",navPrev:"nav-prev",navRight:"nav-right",navUp:"nav-up",navUpLeft:"nav-up-left",navUpRight:"nav-up-right",onAbort:"onabort",onActivate:"onactivate",onAfterPrint:"onafterprint",onBeforePrint:"onbeforeprint",onBegin:"onbegin",onCancel:"oncancel",onCanPlay:"oncanplay",onCanPlayThrough:"oncanplaythrough",onChange:"onchange",onClick:"onclick",onClose:"onclose",onCopy:"oncopy",onCueChange:"oncuechange",onCut:"oncut",onDblClick:"ondblclick",onDrag:"ondrag",onDragEnd:"ondragend",onDragEnter:"ondragenter",onDragExit:"ondragexit",onDragLeave:"ondragleave",onDragOver:"ondragover",onDragStart:"ondragstart",onDrop:"ondrop",onDurationChange:"ondurationchange",onEmptied:"onemptied",onEnd:"onend",onEnded:"onended",onError:"onerror",onFocus:"onfocus",onFocusIn:"onfocusin",onFocusOut:"onfocusout",onHashChange:"onhashchange",onInput:"oninput",onInvalid:"oninvalid",onKeyDown:"onkeydown",onKeyPress:"onkeypress",onKeyUp:"onkeyup",onLoad:"onload",onLoadedData:"onloadeddata",onLoadedMetadata:"onloadedmetadata",onLoadStart:"onloadstart",onMessage:"onmessage",onMouseDown:"onmousedown",onMouseEnter:"onmouseenter",onMouseLeave:"onmouseleave",onMouseMove:"onmousemove",onMouseOut:"onmouseout",onMouseOver:"onmouseover",onMouseUp:"onmouseup",onMouseWheel:"onmousewheel",onOffline:"onoffline",onOnline:"ononline",onPageHide:"onpagehide",onPageShow:"onpageshow",onPaste:"onpaste",onPause:"onpause",onPlay:"onplay",onPlaying:"onplaying",onPopState:"onpopstate",onProgress:"onprogress",onRateChange:"onratechange",onRepeat:"onrepeat",onReset:"onreset",onResize:"onresize",onScroll:"onscroll",onSeeked:"onseeked",onSeeking:"onseeking",onSelect:"onselect",onShow:"onshow",onStalled:"onstalled",onStorage:"onstorage",onSubmit:"onsubmit",onSuspend:"onsuspend",onTimeUpdate:"ontimeupdate",onToggle:"ontoggle",onUnload:"onunload",onVolumeChange:"onvolumechange",onWaiting:"onwaiting",onZoom:"onzoom",overlinePosition:"overline-position",overlineThickness:"overline-thickness",paintOrder:"paint-order",panose1:"panose-1",pointerEvents:"pointer-events",referrerPolicy:"referrerpolicy",renderingIntent:"rendering-intent",shapeRendering:"shape-rendering",stopColor:"stop-color",stopOpacity:"stop-opacity",strikethroughPosition:"strikethrough-position",strikethroughThickness:"strikethrough-thickness",strokeDashArray:"stroke-dasharray",strokeDashOffset:"stroke-dashoffset",strokeLineCap:"stroke-linecap",strokeLineJoin:"stroke-linejoin",strokeMiterLimit:"stroke-miterlimit",strokeOpacity:"stroke-opacity",strokeWidth:"stroke-width",tabIndex:"tabindex",textAnchor:"text-anchor",textDecoration:"text-decoration",textRendering:"text-rendering",transformOrigin:"transform-origin",typeOf:"typeof",underlinePosition:"underline-position",underlineThickness:"underline-thickness",unicodeBidi:"unicode-bidi",unicodeRange:"unicode-range",unitsPerEm:"units-per-em",vAlphabetic:"v-alphabetic",vHanging:"v-hanging",vIdeographic:"v-ideographic",vMathematical:"v-mathematical",vectorEffect:"vector-effect",vertAdvY:"vert-adv-y",vertOriginX:"vert-origin-x",vertOriginY:"vert-origin-y",wordSpacing:"word-spacing",writingMode:"writing-mode",xHeight:"x-height",playbackOrder:"playbackorder",timelineBegin:"timelinebegin"},transform:cn,properties:{about:O,accentHeight:d,accumulate:null,additive:null,alignmentBaseline:null,alphabetic:d,amplitude:d,arabicForm:null,ascent:d,attributeName:null,attributeType:null,azimuth:d,bandwidth:null,baselineShift:null,baseFrequency:null,baseProfile:null,bbox:null,begin:null,bias:d,by:null,calcMode:null,capHeight:d,className:M,clip:null,clipPath:null,clipPathUnits:null,clipRule:null,color:null,colorInterpolation:null,colorInterpolationFilters:null,colorProfile:null,colorRendering:null,content:null,contentScriptType:null,contentStyleType:null,crossOrigin:null,cursor:null,cx:null,cy:null,d:null,dataType:null,defaultAction:null,descent:d,diffuseConstant:d,direction:null,display:null,dur:null,divisor:d,dominantBaseline:null,download:h,dx:null,dy:null,edgeMode:null,editable:null,elevation:d,enableBackground:null,end:null,event:null,exponent:d,externalResourcesRequired:null,fill:null,fillOpacity:d,fillRule:null,filter:null,filterRes:null,filterUnits:null,floodColor:null,floodOpacity:null,focusable:null,focusHighlight:null,fontFamily:null,fontSize:null,fontSizeAdjust:null,fontStretch:null,fontStyle:null,fontVariant:null,fontWeight:null,format:null,fr:null,from:null,fx:null,fy:null,g1:fA,g2:fA,glyphName:fA,glyphOrientationHorizontal:null,glyphOrientationVertical:null,glyphRef:null,gradientTransform:null,gradientUnits:null,handler:null,hanging:d,hatchContentUnits:null,hatchUnits:null,height:null,href:null,hrefLang:null,horizAdvX:d,horizOriginX:d,horizOriginY:d,id:null,ideographic:d,imageRendering:null,initialVisibility:null,in:null,in2:null,intercept:d,k:d,k1:d,k2:d,k3:d,k4:d,kernelMatrix:O,kernelUnitLength:null,keyPoints:null,keySplines:null,keyTimes:null,kerning:null,lang:null,lengthAdjust:null,letterSpacing:null,lightingColor:null,limitingConeAngle:d,local:null,markerEnd:null,markerMid:null,markerStart:null,markerHeight:null,markerUnits:null,markerWidth:null,mask:null,maskContentUnits:null,maskUnits:null,mathematical:null,max:null,media:null,mediaCharacterEncoding:null,mediaContentEncodings:null,mediaSize:d,mediaTime:null,method:null,min:null,mode:null,name:null,navDown:null,navDownLeft:null,navDownRight:null,navLeft:null,navNext:null,navPrev:null,navRight:null,navUp:null,navUpLeft:null,navUpRight:null,numOctaves:null,observer:null,offset:null,onAbort:null,onActivate:null,onAfterPrint:null,onBeforePrint:null,onBegin:null,onCancel:null,onCanPlay:null,onCanPlayThrough:null,onChange:null,onClick:null,onClose:null,onCopy:null,onCueChange:null,onCut:null,onDblClick:null,onDrag:null,onDragEnd:null,onDragEnter:null,onDragExit:null,onDragLeave:null,onDragOver:null,onDragStart:null,onDrop:null,onDurationChange:null,onEmptied:null,onEnd:null,onEnded:null,onError:null,onFocus:null,onFocusIn:null,onFocusOut:null,onHashChange:null,onInput:null,onInvalid:null,onKeyDown:null,onKeyPress:null,onKeyUp:null,onLoad:null,onLoadedData:null,onLoadedMetadata:null,onLoadStart:null,onMessage:null,onMouseDown:null,onMouseEnter:null,onMouseLeave:null,onMouseMove:null,onMouseOut:null,onMouseOver:null,onMouseUp:null,onMouseWheel:null,onOffline:null,onOnline:null,onPageHide:null,onPageShow:null,onPaste:null,onPause:null,onPlay:null,onPlaying:null,onPopState:null,onProgress:null,onRateChange:null,onRepeat:null,onReset:null,onResize:null,onScroll:null,onSeeked:null,onSeeking:null,onSelect:null,onShow:null,onStalled:null,onStorage:null,onSubmit:null,onSuspend:null,onTimeUpdate:null,onToggle:null,onUnload:null,onVolumeChange:null,onWaiting:null,onZoom:null,opacity:null,operator:null,order:null,orient:null,orientation:null,origin:null,overflow:null,overlay:null,overlinePosition:d,overlineThickness:d,paintOrder:null,panose1:null,path:null,pathLength:d,patternContentUnits:null,patternTransform:null,patternUnits:null,phase:null,ping:M,pitch:null,playbackOrder:null,pointerEvents:null,points:null,pointsAtX:d,pointsAtY:d,pointsAtZ:d,preserveAlpha:null,preserveAspectRatio:null,primitiveUnits:null,propagate:null,property:O,r:null,radius:null,referrerPolicy:null,refX:null,refY:null,rel:O,rev:O,renderingIntent:null,repeatCount:null,repeatDur:null,requiredExtensions:O,requiredFeatures:O,requiredFonts:O,requiredFormats:O,resource:null,restart:null,result:null,rotate:null,rx:null,ry:null,scale:null,seed:null,shapeRendering:null,side:null,slope:null,snapshotTime:null,specularConstant:d,specularExponent:d,spreadMethod:null,spacing:null,startOffset:null,stdDeviation:null,stemh:null,stemv:null,stitchTiles:null,stopColor:null,stopOpacity:null,strikethroughPosition:d,strikethroughThickness:d,string:null,stroke:null,strokeDashArray:O,strokeDashOffset:null,strokeLineCap:null,strokeLineJoin:null,strokeMiterLimit:d,strokeOpacity:d,strokeWidth:null,style:null,surfaceScale:d,syncBehavior:null,syncBehaviorDefault:null,syncMaster:null,syncTolerance:null,syncToleranceDefault:null,systemLanguage:O,tabIndex:d,tableValues:null,target:null,targetX:d,targetY:d,textAnchor:null,textDecoration:null,textRendering:null,textLength:null,timelineBegin:null,title:null,transformBehavior:null,type:null,typeOf:O,to:null,transform:null,transformOrigin:null,u1:null,u2:null,underlinePosition:d,underlineThickness:d,unicode:null,unicodeBidi:null,unicodeRange:null,unitsPerEm:d,values:null,vAlphabetic:d,vMathematical:d,vectorEffect:null,vHanging:d,vIdeographic:d,version:null,vertAdvY:d,vertOriginX:d,vertOriginY:d,viewBox:null,viewTarget:null,visibility:null,width:null,widths:null,wordSpacing:null,writingMode:null,x:null,x1:null,x2:null,xChannelSelector:null,xHeight:d,y:null,y1:null,y2:null,yChannelSelector:null,z:null,zoomAndPan:null}}),ta=/^data[-\w.:]+$/i,Bg=/-[a-z]/g,ga=/[A-Z]/g;function na(e,A){const t=st(A);let g=A,n=_;if(t in e.normal)return e.property[e.normal[t]];if(t.length>4&&t.slice(0,4)==="data"&&ta.test(A)){if(A.charAt(4)==="-"){const B=A.slice(5).replace(Bg,Qa);g="data"+B.charAt(0).toUpperCase()+B.slice(1)}else{const B=A.slice(4);if(!Bg.test(B)){let Q=B.replace(ga,Ba);Q.charAt(0)!=="-"&&(Q="-"+Q),A="data"+Q}}n=dt}return new n(g,A)}function Ba(e){return"-"+e.toLowerCase()}function Qa(e){return e.charAt(1).toUpperCase()}const aa=En([In,rn,Dn,ln,Aa],"html"),dn=En([In,rn,Dn,ln,ea],"svg"),Qg={}.hasOwnProperty;function sa(e,A){const t=A||{};function g(n,...B){let Q=g.invalid;const a=g.handlers;if(n&&Qg.call(n,e)){const s=String(n[e]);Q=Qg.call(a,s)?a[s]:g.unknown}if(Q)return Q.call(this,n,...B)}return g.handlers=t.handlers||{},g.invalid=t.invalid,g.unknown=t.unknown,g}const ia=/["&'<>`]/g,oa=/[\uD800-\uDBFF][\uDC00-\uDFFF]/g,Ea=/[\x01-\t\v\f\x0E-\x1F\x7F\x81\x8D\x8F\x90\x9D\xA0-\uFFFF]/g,Ca=/[|\\{}()[\]^$+*?.]/g,ag=new WeakMap;function ra(e,A){if(e=e.replace(A.subset?Ia(A.subset):ia,g),A.subset||A.escapeOnly)return e;return e.replace(oa,t).replace(Ea,g);function t(n,B,Q){return A.format((n.charCodeAt(0)-55296)*1024+n.charCodeAt(1)-56320+65536,Q.charCodeAt(B+2),A)}function g(n,B,Q){return A.format(n.charCodeAt(0),Q.charCodeAt(B+1),A)}}function Ia(e){let A=ag.get(e);return A||(A=ca(e),ag.set(e,A)),A}function ca(e){const A=[];let t=-1;for(;++t<e.length;)A.push(e[t].replace(Ca,"\\$&"));return new RegExp("(?:"+A.join("|")+")","g")}const wa=/[\dA-Fa-f]/;function Da(e,A,t){const g="&#x"+e.toString(16).toUpperCase();return t&&A&&!wa.test(String.fromCharCode(A))?g:g+";"}const la=/\d/;function da(e,A,t){const g="&#"+String(e);return t&&A&&!la.test(String.fromCharCode(A))?g:g+";"}const ua=["AElig","AMP","Aacute","Acirc","Agrave","Aring","Atilde","Auml","COPY","Ccedil","ETH","Eacute","Ecirc","Egrave","Euml","GT","Iacute","Icirc","Igrave","Iuml","LT","Ntilde","Oacute","Ocirc","Ograve","Oslash","Otilde","Ouml","QUOT","REG","THORN","Uacute","Ucirc","Ugrave","Uuml","Yacute","aacute","acirc","acute","aelig","agrave","amp","aring","atilde","auml","brvbar","ccedil","cedil","cent","copy","curren","deg","divide","eacute","ecirc","egrave","eth","euml","frac12","frac14","frac34","gt","iacute","icirc","iexcl","igrave","iquest","iuml","laquo","lt","macr","micro","middot","nbsp","not","ntilde","oacute","ocirc","ograve","ordf","ordm","oslash","otilde","ouml","para","plusmn","pound","quot","raquo","reg","sect","shy","sup1","sup2","sup3","szlig","thorn","times","uacute","ucirc","ugrave","uml","uuml","yacute","yen","yuml"],qe={nbsp:" ",iexcl:"¡",cent:"¢",pound:"£",curren:"¤",yen:"¥",brvbar:"¦",sect:"§",uml:"¨",copy:"©",ordf:"ª",laquo:"«",not:"¬",shy:"­",reg:"®",macr:"¯",deg:"°",plusmn:"±",sup2:"²",sup3:"³",acute:"´",micro:"µ",para:"¶",middot:"·",cedil:"¸",sup1:"¹",ordm:"º",raquo:"»",frac14:"¼",frac12:"½",frac34:"¾",iquest:"¿",Agrave:"À",Aacute:"Á",Acirc:"Â",Atilde:"Ã",Auml:"Ä",Aring:"Å",AElig:"Æ",Ccedil:"Ç",Egrave:"È",Eacute:"É",Ecirc:"Ê",Euml:"Ë",Igrave:"Ì",Iacute:"Í",Icirc:"Î",Iuml:"Ï",ETH:"Ð",Ntilde:"Ñ",Ograve:"Ò",Oacute:"Ó",Ocirc:"Ô",Otilde:"Õ",Ouml:"Ö",times:"×",Oslash:"Ø",Ugrave:"Ù",Uacute:"Ú",Ucirc:"Û",Uuml:"Ü",Yacute:"Ý",THORN:"Þ",szlig:"ß",agrave:"à",aacute:"á",acirc:"â",atilde:"ã",auml:"ä",aring:"å",aelig:"æ",ccedil:"ç",egrave:"è",eacute:"é",ecirc:"ê",euml:"ë",igrave:"ì",iacute:"í",icirc:"î",iuml:"ï",eth:"ð",ntilde:"ñ",ograve:"ò",oacute:"ó",ocirc:"ô",otilde:"õ",ouml:"ö",divide:"÷",oslash:"ø",ugrave:"ù",uacute:"ú",ucirc:"û",uuml:"ü",yacute:"ý",thorn:"þ",yuml:"ÿ",fnof:"ƒ",Alpha:"Α",Beta:"Β",Gamma:"Γ",Delta:"Δ",Epsilon:"Ε",Zeta:"Ζ",Eta:"Η",Theta:"Θ",Iota:"Ι",Kappa:"Κ",Lambda:"Λ",Mu:"Μ",Nu:"Ν",Xi:"Ξ",Omicron:"Ο",Pi:"Π",Rho:"Ρ",Sigma:"Σ",Tau:"Τ",Upsilon:"Υ",Phi:"Φ",Chi:"Χ",Psi:"Ψ",Omega:"Ω",alpha:"α",beta:"β",gamma:"γ",delta:"δ",epsilon:"ε",zeta:"ζ",eta:"η",theta:"θ",iota:"ι",kappa:"κ",lambda:"λ",mu:"μ",nu:"ν",xi:"ξ",omicron:"ο",pi:"π",rho:"ρ",sigmaf:"ς",sigma:"σ",tau:"τ",upsilon:"υ",phi:"φ",chi:"χ",psi:"ψ",omega:"ω",thetasym:"ϑ",upsih:"ϒ",piv:"ϖ",bull:"•",hellip:"…",prime:"′",Prime:"″",oline:"‾",frasl:"⁄",weierp:"℘",image:"ℑ",real:"ℜ",trade:"™",alefsym:"ℵ",larr:"←",uarr:"↑",rarr:"→",darr:"↓",harr:"↔",crarr:"↵",lArr:"⇐",uArr:"⇑",rArr:"⇒",dArr:"⇓",hArr:"⇔",forall:"∀",part:"∂",exist:"∃",empty:"∅",nabla:"∇",isin:"∈",notin:"∉",ni:"∋",prod:"∏",sum:"∑",minus:"−",lowast:"∗",radic:"√",prop:"∝",infin:"∞",ang:"∠",and:"∧",or:"∨",cap:"∩",cup:"∪",int:"∫",there4:"∴",sim:"∼",cong:"≅",asymp:"≈",ne:"≠",equiv:"≡",le:"≤",ge:"≥",sub:"⊂",sup:"⊃",nsub:"⊄",sube:"⊆",supe:"⊇",oplus:"⊕",otimes:"⊗",perp:"⊥",sdot:"⋅",lceil:"⌈",rceil:"⌉",lfloor:"⌊",rfloor:"⌋",lang:"〈",rang:"〉",loz:"◊",spades:"♠",clubs:"♣",hearts:"♥",diams:"♦",quot:'"',amp:"&",lt:"<",gt:">",OElig:"Œ",oelig:"œ",Scaron:"Š",scaron:"š",Yuml:"Ÿ",circ:"ˆ",tilde:"˜",ensp:" ",emsp:" ",thinsp:" ",zwnj:"‌",zwj:"‍",lrm:"‎",rlm:"‏",ndash:"–",mdash:"—",lsquo:"‘",rsquo:"’",sbquo:"‚",ldquo:"“",rdquo:"”",bdquo:"„",dagger:"†",Dagger:"‡",permil:"‰",lsaquo:"‹",rsaquo:"›",euro:"€"},pa=["cent","copy","divide","gt","lt","not","para","times"],un={}.hasOwnProperty,ot={};let Ae;for(Ae in qe)un.call(qe,Ae)&&(ot[qe[Ae]]=Ae);const ma=/[^\dA-Za-z]/;function ha(e,A,t,g){const n=String.fromCharCode(e);if(un.call(ot,n)){const B=ot[n],Q="&"+B;return t&&ua.includes(B)&&!pa.includes(B)&&(!g||A&&A!==61&&ma.test(String.fromCharCode(A)))?Q:Q+";"}return""}function fa(e,A,t){let g=Da(e,A,t.omitOptionalSemicolons),n;if((t.useNamedReferences||t.useShortestReferences)&&(n=ha(e,A,t.omitOptionalSemicolons,t.attribute)),(t.useShortestReferences||!n)&&t.useShortestReferences){const B=da(e,A,t.omitOptionalSemicolons);B.length<g.length&&(g=B)}return n&&(!t.useShortestReferences||n.length<g.length)?n:g}function bA(e,A){return ra(e,Object.assign({format:fa},A))}const ba=/^>|^->|<!--|-->|--!>|<!-$/g,ka=[">"],ya=["<",">"];function Ma(e,A,t,g){return g.settings.bogusComments?"<?"+bA(e.value,Object.assign({},g.settings.characterReferences,{subset:ka}))+">":"<!--"+e.value.replace(ba,n)+"-->";function n(B){return bA(B,Object.assign({},g.settings.characterReferences,{subset:ya}))}}function Fa(e,A,t,g){return"<!"+(g.settings.upperDoctype?"DOCTYPE":"doctype")+(g.settings.tightDoctype?"":" ")+"html>"}function sg(e,A){const t=String(e);if(typeof A!="string")throw new TypeError("Expected character");let g=0,n=t.indexOf(A);for(;n!==-1;)g++,n=t.indexOf(A,n+A.length);return g}function Ga(e,A){const t=A||{};return(e[e.length-1]===""?[...e,""]:e).join((t.padRight?" ":"")+","+(t.padLeft===!1?"":" ")).trim()}function Na(e){return e.join(" ").trim()}const La=/[ \t\n\f\r]/g;function ut(e){return typeof e=="object"?e.type==="text"?ig(e.value):!1:ig(e)}function ig(e){return e.replace(La,"")===""}const H=mn(1),pn=mn(-1),Ha=[];function mn(e){return A;function A(t,g,n){const B=t?t.children:Ha;let Q=(g||0)+e,a=B[Q];if(!n)for(;a&&ut(a);)Q+=e,a=B[Q];return a}}const Ra={}.hasOwnProperty;function hn(e){return A;function A(t,g,n){return Ra.call(e,t.tagName)&&e[t.tagName](t,g,n)}}const pt=hn({body:Ya,caption:ze,colgroup:ze,dd:xa,dt:Sa,head:ze,html:Ua,li:Pa,optgroup:Ja,option:va,p:Ka,rp:og,rt:og,tbody:Oa,td:Eg,tfoot:qa,th:Eg,thead:Za,tr:za});function ze(e,A,t){const g=H(t,A,!0);return!g||g.type!=="comment"&&!(g.type==="text"&&ut(g.value.charAt(0)))}function Ua(e,A,t){const g=H(t,A);return!g||g.type!=="comment"}function Ya(e,A,t){const g=H(t,A);return!g||g.type!=="comment"}function Ka(e,A,t){const g=H(t,A);return g?g.type==="element"&&(g.tagName==="address"||g.tagName==="article"||g.tagName==="aside"||g.tagName==="blockquote"||g.tagName==="details"||g.tagName==="div"||g.tagName==="dl"||g.tagName==="fieldset"||g.tagName==="figcaption"||g.tagName==="figure"||g.tagName==="footer"||g.tagName==="form"||g.tagName==="h1"||g.tagName==="h2"||g.tagName==="h3"||g.tagName==="h4"||g.tagName==="h5"||g.tagName==="h6"||g.tagName==="header"||g.tagName==="hgroup"||g.tagName==="hr"||g.tagName==="main"||g.tagName==="menu"||g.tagName==="nav"||g.tagName==="ol"||g.tagName==="p"||g.tagName==="pre"||g.tagName==="section"||g.tagName==="table"||g.tagName==="ul"):!t||!(t.type==="element"&&(t.tagName==="a"||t.tagName==="audio"||t.tagName==="del"||t.tagName==="ins"||t.tagName==="map"||t.tagName==="noscript"||t.tagName==="video"))}function Pa(e,A,t){const g=H(t,A);return!g||g.type==="element"&&g.tagName==="li"}function Sa(e,A,t){const g=H(t,A);return!!(g&&g.type==="element"&&(g.tagName==="dt"||g.tagName==="dd"))}function xa(e,A,t){const g=H(t,A);return!g||g.type==="element"&&(g.tagName==="dt"||g.tagName==="dd")}function og(e,A,t){const g=H(t,A);return!g||g.type==="element"&&(g.tagName==="rp"||g.tagName==="rt")}function Ja(e,A,t){const g=H(t,A);return!g||g.type==="element"&&g.tagName==="optgroup"}function va(e,A,t){const g=H(t,A);return!g||g.type==="element"&&(g.tagName==="option"||g.tagName==="optgroup")}function Za(e,A,t){const g=H(t,A);return!!(g&&g.type==="element"&&(g.tagName==="tbody"||g.tagName==="tfoot"))}function Oa(e,A,t){const g=H(t,A);return!g||g.type==="element"&&(g.tagName==="tbody"||g.tagName==="tfoot")}function qa(e,A,t){return!H(t,A)}function za(e,A,t){const g=H(t,A);return!g||g.type==="element"&&g.tagName==="tr"}function Eg(e,A,t){const g=H(t,A);return!g||g.type==="element"&&(g.tagName==="td"||g.tagName==="th")}const _a=hn({body:Ta,colgroup:Wa,head:$a,html:ja,tbody:Xa});function ja(e){const A=H(e,-1);return!A||A.type!=="comment"}function $a(e){const A=new Set;for(const g of e.children)if(g.type==="element"&&(g.tagName==="base"||g.tagName==="title")){if(A.has(g.tagName))return!1;A.add(g.tagName)}const t=e.children[0];return!t||t.type==="element"}function Ta(e){const A=H(e,-1,!0);return!A||A.type!=="comment"&&!(A.type==="text"&&ut(A.value.charAt(0)))&&!(A.type==="element"&&(A.tagName==="meta"||A.tagName==="link"||A.tagName==="script"||A.tagName==="style"||A.tagName==="template"))}function Wa(e,A,t){const g=pn(t,A),n=H(e,-1,!0);return t&&g&&g.type==="element"&&g.tagName==="colgroup"&&pt(g,t.children.indexOf(g),t)?!1:!!(n&&n.type==="element"&&n.tagName==="col")}function Xa(e,A,t){const g=pn(t,A),n=H(e,-1);return t&&g&&g.type==="element"&&(g.tagName==="thead"||g.tagName==="tbody")&&pt(g,t.children.indexOf(g),t)?!1:!!(n&&n.type==="element"&&n.tagName==="tr")}const ee={name:[[`
+\f\r &/=>`.split(""),`
+\f\r "&'/=>\``.split("")],[`\0
+\f\r "&'/<=>`.split(""),`\0
+\f\r "&'/<=>\``.split("")]],unquoted:[[`
+\f\r &>`.split(""),`\0
+\f\r "&'<=>\``.split("")],[`\0
+\f\r "&'<=>\``.split(""),`\0
+\f\r "&'<=>\``.split("")]],single:[["&'".split(""),"\"&'`".split("")],["\0&'".split(""),"\0\"&'`".split("")]],double:[['"&'.split(""),"\"&'`".split("")],['\0"&'.split(""),"\0\"&'`".split("")]]};function Va(e,A,t,g){const n=g.schema,B=n.space==="svg"?!1:g.settings.omitOptionalTags;let Q=n.space==="svg"?g.settings.closeEmptyElements:g.settings.voids.includes(e.tagName.toLowerCase());const a=[];let s;n.space==="html"&&e.tagName==="svg"&&(g.schema=dn);const i=As(g,e.properties),E=g.all(n.space==="html"&&e.tagName==="template"?e.content:e);return g.schema=n,E&&(Q=!1),(i||!B||!_a(e,A,t))&&(a.push("<",e.tagName,i?" "+i:""),Q&&(n.space==="svg"||g.settings.closeSelfClosing)&&(s=i.charAt(i.length-1),(!g.settings.tightSelfClosing||s==="/"||s&&s!=='"'&&s!=="'")&&a.push(" "),a.push("/")),a.push(">")),a.push(E),!Q&&(!B||!pt(e,A,t))&&a.push("</"+e.tagName+">"),a.join("")}function As(e,A){const t=[];let g=-1,n;if(A){for(n in A)if(A[n]!==null&&A[n]!==void 0){const B=es(e,n,A[n]);B&&t.push(B)}}for(;++g<t.length;){const B=e.settings.tightAttributes?t[g].charAt(t[g].length-1):void 0;g!==t.length-1&&B!=='"'&&B!=="'"&&(t[g]+=" ")}return t.join("")}function es(e,A,t){const g=na(e.schema,A),n=e.settings.allowParseErrors&&e.schema.space==="html"?0:1,B=e.settings.allowDangerousCharacters?0:1;let Q=e.quote,a;if(g.overloadedBoolean&&(t===g.attribute||t==="")?t=!0:(g.boolean||g.overloadedBoolean&&typeof t!="string")&&(t=!!t),t==null||t===!1||typeof t=="number"&&Number.isNaN(t))return"";const s=bA(g.attribute,Object.assign({},e.settings.characterReferences,{subset:ee.name[n][B]}));return t===!0||(t=Array.isArray(t)?(g.commaSeparated?Ga:Na)(t,{padLeft:!e.settings.tightCommaSeparatedLists}):String(t),e.settings.collapseEmptyAttributes&&!t)?s:(e.settings.preferUnquoted&&(a=bA(t,Object.assign({},e.settings.characterReferences,{attribute:!0,subset:ee.unquoted[n][B]}))),a!==t&&(e.settings.quoteSmart&&sg(t,Q)>sg(t,e.alternative)&&(Q=e.alternative),a=Q+bA(t,Object.assign({},e.settings.characterReferences,{subset:(Q==="'"?ee.single:ee.double)[n][B],attribute:!0}))+Q),s+(a&&"="+a))}const ts=["<","&"];function fn(e,A,t,g){return t&&t.type==="element"&&(t.tagName==="script"||t.tagName==="style")?e.value:bA(e.value,Object.assign({},g.settings.characterReferences,{subset:ts}))}function gs(e,A,t,g){return g.settings.allowDangerousHtml?e.value:fn(e,A,t,g)}function ns(e,A,t,g){return g.all(e)}const Bs=sa("type",{invalid:Qs,unknown:as,handlers:{comment:Ma,doctype:Fa,element:Va,raw:gs,root:ns,text:fn}});function Qs(e){throw new Error("Expected node, not `"+e+"`")}function as(e){const A=e;throw new Error("Cannot compile unknown node `"+A.type+"`")}const ss={},is={},os=[];function Es(e,A){const t=ss,g=t.quote||'"',n=g==='"'?"'":'"';if(g!=='"'&&g!=="'")throw new Error("Invalid quote `"+g+"`, expected `'` or `\"`");return{one:Cs,all:rs,settings:{omitOptionalTags:t.omitOptionalTags||!1,allowParseErrors:t.allowParseErrors||!1,allowDangerousCharacters:t.allowDangerousCharacters||!1,quoteSmart:t.quoteSmart||!1,preferUnquoted:t.preferUnquoted||!1,tightAttributes:t.tightAttributes||!1,upperDoctype:t.upperDoctype||!1,tightDoctype:t.tightDoctype||!1,bogusComments:t.bogusComments||!1,tightCommaSeparatedLists:t.tightCommaSeparatedLists||!1,tightSelfClosing:t.tightSelfClosing||!1,collapseEmptyAttributes:t.collapseEmptyAttributes||!1,allowDangerousHtml:t.allowDangerousHtml||!1,voids:t.voids||WQ,characterReferences:t.characterReferences||is,closeSelfClosing:t.closeSelfClosing||!1,closeEmptyElements:t.closeEmptyElements||!1},schema:t.space==="svg"?dn:aa,quote:g,alternative:n}.one(Array.isArray(e)?{type:"root",children:e}:e,void 0,void 0)}function Cs(e,A,t){return Bs(e,A,t,this)}function rs(e){const A=[],t=e&&e.children||os;let g=-1;for(;++g<t.length;)A[g]=this.one(t[g],g,e);return A.join("")}function Is(e){return Array.isArray(e)?e:[e]}function Re(e,A=!1){var B;const t=e.split(/(\r?\n)/g);let g=0;const n=[];for(let Q=0;Q<t.length;Q+=2){const a=A?t[Q]+(t[Q+1]||""):t[Q];n.push([a,g]),g+=t[Q].length,g+=((B=t[Q+1])==null?void 0:B.length)||0}return n}function mt(e){return!e||["plaintext","txt","text","plain"].includes(e)}function cs(e){return e==="ansi"||mt(e)}function ht(e){return e==="none"}function ws(e){return ht(e)}function bn(e,A){var t;if(!A)return e;e.properties||(e.properties={}),(t=e.properties).class||(t.class=[]),typeof e.properties.class=="string"&&(e.properties.class=e.properties.class.split(/\s+/g)),Array.isArray(e.properties.class)||(e.properties.class=[]);const g=Array.isArray(A)?A:A.split(/\s+/g);for(const n of g)n&&!e.properties.class.includes(n)&&e.properties.class.push(n);return e}function Ds(e,A){let t=0;const g=[];for(const n of A)n>t&&g.push({...e,content:e.content.slice(t,n),offset:e.offset+t}),t=n;return t<e.content.length&&g.push({...e,content:e.content.slice(t),offset:e.offset+t}),g}function ls(e,A){const t=Array.from(A instanceof Set?A:new Set(A)).sort((g,n)=>g-n);return t.length?e.map(g=>g.flatMap(n=>{const B=t.filter(Q=>n.offset<Q&&Q<n.offset+n.content.length).map(Q=>Q-n.offset).sort((Q,a)=>Q-a);return B.length?Ds(n,B):n})):e}async function kn(e){return Promise.resolve(typeof e=="function"?e():e).then(A=>A.default||A)}function ue(e,A){const t=typeof e=="string"?{}:{...e.colorReplacements},g=typeof e=="string"?e:e.name;for(const[n,B]of Object.entries((A==null?void 0:A.colorReplacements)||{}))typeof B=="string"?t[n]=B:n===g&&Object.assign(t,B);return t}function cA(e,A){return e&&((A==null?void 0:A[e==null?void 0:e.toLowerCase()])||e)}function yn(e){const A={};return e.color&&(A.color=e.color),e.bgColor&&(A["background-color"]=e.bgColor),e.fontStyle&&(e.fontStyle&tA.Italic&&(A["font-style"]="italic"),e.fontStyle&tA.Bold&&(A["font-weight"]="bold"),e.fontStyle&tA.Underline&&(A["text-decoration"]="underline")),A}function ds(e){return typeof e=="string"?e:Object.entries(e).map(([A,t])=>`${A}:${t}`).join(";")}function us(e){const A=Re(e,!0).map(([n])=>n);function t(n){if(n===e.length)return{line:A.length-1,character:A[A.length-1].length};let B=n,Q=0;for(const a of A){if(B<a.length)break;B-=a.length,Q++}return{line:Q,character:B}}function g(n,B){let Q=0;for(let a=0;a<n;a++)Q+=A[a].length;return Q+=B,Q}return{lines:A,indexToPos:t,posToIndex:g}}class S extends Error{constructor(A){super(A),this.name="ShikiError"}}var ps=Object.defineProperty,ms=(e,A,t)=>A in e?ps(e,A,{enumerable:!0,configurable:!0,writable:!0,value:t}):e[A]=t,Cg=(e,A,t)=>(ms(e,typeof A!="symbol"?A+"":A,t),t);const Mn=new WeakMap;function Ue(e,A){Mn.set(e,A)}function zA(e){return Mn.get(e)}class MA{constructor(...A){if(Cg(this,"_stacks",{}),Cg(this,"lang"),A.length===2){const[t,g]=A;this.lang=g,this._stacks=t}else{const[t,g,n]=A;this.lang=g,this._stacks={[n]:t}}}get themes(){return Object.keys(this._stacks)}get theme(){return this.themes[0]}get _stack(){return this._stacks[this.theme]}static initial(A,t){return new MA(Object.fromEntries(Is(t).map(g=>[g,de])),A)}getInternalStack(A=this.theme){return this._stacks[A]}get scopes(){return rg(this._stacks[this.theme])}getScopes(A=this.theme){return rg(this._stacks[A])}toJSON(){return{lang:this.lang,theme:this.theme,themes:this.themes,scopes:this.scopes}}}function rg(e){const A=[],t=new Set;function g(n){var Q;if(t.has(n))return;t.add(n);const B=(Q=n==null?void 0:n.nameScopesList)==null?void 0:Q.scopeName;B&&A.push(B),n.parent&&g(n.parent)}return g(e),A}function hs(e,A){if(!(e instanceof MA))throw new S("Invalid grammar state");return e.getInternalStack(A)}function fs(){const e=new WeakMap;function A(t){if(!e.has(t.meta)){let g=function(Q){if(typeof Q=="number"){if(Q<0||Q>t.source.length)throw new S(`Invalid decoration offset: ${Q}. Code length: ${t.source.length}`);return{...n.indexToPos(Q),offset:Q}}else{const a=n.lines[Q.line];if(a===void 0)throw new S(`Invalid decoration position ${JSON.stringify(Q)}. Lines length: ${n.lines.length}`);if(Q.character<0||Q.character>a.length)throw new S(`Invalid decoration position ${JSON.stringify(Q)}. Line ${Q.line} length: ${a.length}`);return{...Q,offset:n.posToIndex(Q.line,Q.character)}}};const n=us(t.source),B=(t.options.decorations||[]).map(Q=>({...Q,start:g(Q.start),end:g(Q.end)}));bs(B),e.set(t.meta,{decorations:B,converter:n,source:t.source})}return e.get(t.meta)}return{name:"shiki:decorations",tokens(t){var Q;if(!((Q=this.options.decorations)!=null&&Q.length))return;const n=A(this).decorations.flatMap(a=>[a.start.offset,a.end.offset]);return ls(t,n)},code(t){var E;if(!((E=this.options.decorations)!=null&&E.length))return;const g=A(this),n=Array.from(t.children).filter(o=>o.type==="element"&&o.tagName==="span");if(n.length!==g.converter.lines.length)throw new S(`Number of lines in code element (${n.length}) does not match the number of lines in the source (${g.converter.lines.length}). Failed to apply decorations.`);function B(o,C,r,I){const l=n[o];let c="",w=-1,D=-1;if(C===0&&(w=0),r===0&&(D=0),r===Number.POSITIVE_INFINITY&&(D=l.children.length),w===-1||D===-1)for(let p=0;p<l.children.length;p++)c+=Fn(l.children[p]),w===-1&&c.length===C&&(w=p+1),D===-1&&c.length===r&&(D=p+1);if(w===-1)throw new S(`Failed to find start index for decoration ${JSON.stringify(I.start)}`);if(D===-1)throw new S(`Failed to find end index for decoration ${JSON.stringify(I.end)}`);const u=l.children.slice(w,D);if(!I.alwaysWrap&&u.length===l.children.length)a(l,I,"line");else if(!I.alwaysWrap&&u.length===1&&u[0].type==="element")a(u[0],I,"token");else{const p={type:"element",tagName:"span",properties:{},children:u};a(p,I,"wrapper"),l.children.splice(w,u.length,p)}}function Q(o,C){n[o]=a(n[o],C,"line")}function a(o,C,r){var c;const I=C.properties||{},l=C.transform||(w=>w);return o.tagName=C.tagName||"span",o.properties={...o.properties,...I,class:o.properties.class},(c=C.properties)!=null&&c.class&&bn(o,C.properties.class),o=l(o,r)||o,o}const s=[],i=g.decorations.sort((o,C)=>C.start.offset-o.start.offset);for(const o of i){const{start:C,end:r}=o;if(C.line===r.line)B(C.line,C.character,r.character,o);else if(C.line<r.line){B(C.line,C.character,Number.POSITIVE_INFINITY,o);for(let I=C.line+1;I<r.line;I++)s.unshift(()=>Q(I,o));B(r.line,0,r.character,o)}}s.forEach(o=>o())}}}function bs(e){for(let A=0;A<e.length;A++){const t=e[A];if(t.start.offset>t.end.offset)throw new S(`Invalid decoration range: ${JSON.stringify(t.start)} - ${JSON.stringify(t.end)}`);for(let g=A+1;g<e.length;g++){const n=e[g],B=t.start.offset<n.start.offset&&n.start.offset<t.end.offset,Q=t.start.offset<n.end.offset&&n.end.offset<t.end.offset,a=n.start.offset<t.start.offset&&t.start.offset<n.end.offset,s=n.start.offset<t.end.offset&&t.end.offset<n.end.offset;if(B||Q||a||s){if(Q&&Q||a&&s)continue;throw new S(`Decorations ${JSON.stringify(t.start)} and ${JSON.stringify(n.start)} intersect.`)}}}}function Fn(e){return e.type==="text"?e.value:e.type==="element"?e.children.map(Fn).join(""):""}const ks=[fs()];function pe(e){return[...e.transformers||[],...ks]}var wA=["black","red","green","yellow","blue","magenta","cyan","white","brightBlack","brightRed","brightGreen","brightYellow","brightBlue","brightMagenta","brightCyan","brightWhite"],_e={1:"bold",2:"dim",3:"italic",4:"underline",7:"reverse",9:"strikethrough"};function ys(e,A){const t=e.indexOf("\x1B[",A);if(t!==-1){const g=e.indexOf("m",t);return{sequence:e.substring(t+2,g).split(";"),startPosition:t,position:g+1}}return{position:e.length}}function Ig(e,A){let t=1;const g=e[A+t++];let n;if(g==="2"){const B=[e[A+t++],e[A+t++],e[A+t]].map(Q=>Number.parseInt(Q));B.length===3&&!B.some(Q=>Number.isNaN(Q))&&(n={type:"rgb",rgb:B})}else if(g==="5"){const B=Number.parseInt(e[A+t]);Number.isNaN(B)||(n={type:"table",index:Number(B)})}return[t,n]}function Ms(e){const A=[];for(let t=0;t<e.length;t++){const g=e[t],n=Number.parseInt(g);if(!Number.isNaN(n))if(n===0)A.push({type:"resetAll"});else if(n<=9)_e[n]&&A.push({type:"setDecoration",value:_e[n]});else if(n<=29){const B=_e[n-20];B&&A.push({type:"resetDecoration",value:B})}else if(n<=37)A.push({type:"setForegroundColor",value:{type:"named",name:wA[n-30]}});else if(n===38){const[B,Q]=Ig(e,t);Q&&A.push({type:"setForegroundColor",value:Q}),t+=B}else if(n===39)A.push({type:"resetForegroundColor"});else if(n<=47)A.push({type:"setBackgroundColor",value:{type:"named",name:wA[n-40]}});else if(n===48){const[B,Q]=Ig(e,t);Q&&A.push({type:"setBackgroundColor",value:Q}),t+=B}else n===49?A.push({type:"resetBackgroundColor"}):n>=90&&n<=97?A.push({type:"setForegroundColor",value:{type:"named",name:wA[n-90+8]}}):n>=100&&n<=107&&A.push({type:"setBackgroundColor",value:{type:"named",name:wA[n-100+8]}})}return A}function Fs(){let e=null,A=null,t=new Set;return{parse(g){const n=[];let B=0;do{const Q=ys(g,B),a=Q.sequence?g.substring(B,Q.startPosition):g.substring(B);if(a.length>0&&n.push({value:a,foreground:e,background:A,decorations:new Set(t)}),Q.sequence){const s=Ms(Q.sequence);for(const i of s)i.type==="resetAll"?(e=null,A=null,t.clear()):i.type==="resetForegroundColor"?e=null:i.type==="resetBackgroundColor"?A=null:i.type==="resetDecoration"&&t.delete(i.value);for(const i of s)i.type==="setForegroundColor"?e=i.value:i.type==="setBackgroundColor"?A=i.value:i.type==="setDecoration"&&t.add(i.value)}B=Q.position}while(B<g.length);return n}}}var Gs={black:"#000000",red:"#bb0000",green:"#00bb00",yellow:"#bbbb00",blue:"#0000bb",magenta:"#ff00ff",cyan:"#00bbbb",white:"#eeeeee",brightBlack:"#555555",brightRed:"#ff5555",brightGreen:"#00ff00",brightYellow:"#ffff55",brightBlue:"#5555ff",brightMagenta:"#ff55ff",brightCyan:"#55ffff",brightWhite:"#ffffff"};function Ns(e=Gs){function A(a){return e[a]}function t(a){return`#${a.map(s=>Math.max(0,Math.min(s,255)).toString(16).padStart(2,"0")).join("")}`}let g;function n(){if(g)return g;g=[];for(let i=0;i<wA.length;i++)g.push(A(wA[i]));let a=[0,95,135,175,215,255];for(let i=0;i<6;i++)for(let E=0;E<6;E++)for(let o=0;o<6;o++)g.push(t([a[i],a[E],a[o]]));let s=8;for(let i=0;i<24;i++,s+=10)g.push(t([s,s,s]));return g}function B(a){return n()[a]}function Q(a){switch(a.type){case"named":return A(a.name);case"rgb":return t(a.rgb);case"table":return B(a.index)}}return{value:Q}}function Ls(e,A,t){const g=ue(e,t),n=Re(A),B=Ns(Object.fromEntries(wA.map(a=>{var s;return[a,(s=e.colors)==null?void 0:s[`terminal.ansi${a[0].toUpperCase()}${a.substring(1)}`]]}))),Q=Fs();return n.map(a=>Q.parse(a[0]).map(s=>{let i,E;s.decorations.has("reverse")?(i=s.background?B.value(s.background):e.bg,E=s.foreground?B.value(s.foreground):e.fg):(i=s.foreground?B.value(s.foreground):e.fg,E=s.background?B.value(s.background):void 0),i=cA(i,g),E=cA(E,g),s.decorations.has("dim")&&(i=Hs(i));let o=tA.None;return s.decorations.has("bold")&&(o|=tA.Bold),s.decorations.has("italic")&&(o|=tA.Italic),s.decorations.has("underline")&&(o|=tA.Underline),{content:s.value,offset:a[1],color:i,bgColor:E,fontStyle:o}}))}function Hs(e){const A=e.match(/#([0-9a-f]{3})([0-9a-f]{3})?([0-9a-f]{2})?/);if(A)if(A[3]){const g=Math.round(Number.parseInt(A[3],16)/2).toString(16).padStart(2,"0");return`#${A[1]}${A[2]}${g}`}else return A[2]?`#${A[1]}${A[2]}80`:`#${Array.from(A[1]).map(g=>`${g}${g}`).join("")}80`;const t=e.match(/var\((--[\w-]+-ansi-[\w-]+)\)/);return t?`var(${t[1]}-dim)`:e}function ft(e,A,t={}){const{lang:g="text",theme:n=e.getLoadedThemes()[0]}=t;if(mt(g)||ht(n))return Re(A).map(s=>[{content:s[0],offset:s[1]}]);const{theme:B,colorMap:Q}=e.setTheme(n);if(g==="ansi")return Ls(B,A,t);const a=e.getLanguage(g);if(t.grammarState){if(t.grammarState.lang!==a.name)throw new DA(`Grammar state language "${t.grammarState.lang}" does not match highlight language "${a.name}"`);if(!t.grammarState.themes.includes(B.name))throw new DA(`Grammar state themes "${t.grammarState.themes}" do not contain highlight theme "${B.name}"`)}return Us(A,a,B,Q,t)}function Rs(...e){if(e.length===2)return zA(e[1]);const[A,t,g={}]=e,{lang:n="text",theme:B=A.getLoadedThemes()[0]}=g;if(mt(n)||ht(B))throw new DA("Plain language does not have grammar state");if(n==="ansi")throw new DA("ANSI language does not have grammar state");const{theme:Q,colorMap:a}=A.setTheme(B),s=A.getLanguage(n);return new MA(me(t,s,Q,a,g).stateStack,s.name,Q.name)}function Us(e,A,t,g,n){const B=me(e,A,t,g,n),Q=new MA(me(e,A,t,g,n).stateStack,A.name,t.name);return Ue(B.tokens,Q),B.tokens}function me(e,A,t,g,n){const B=ue(t,n),{tokenizeMaxLineLength:Q=0,tokenizeTimeLimit:a=500}=n,s=Re(e);let i=n.grammarState?hs(n.grammarState,t.name)??de:n.grammarContextCode!=null?me(n.grammarContextCode,A,t,g,{...n,grammarState:void 0,grammarContextCode:void 0}).stateStack:de,E=[];const o=[];for(let C=0,r=s.length;C<r;C++){const[I,l]=s[C];if(I===""){E=[],o.push([]);continue}if(Q>0&&I.length>=Q){E=[],o.push([{content:I,offset:l,color:"",fontStyle:0}]);continue}let c,w,D;n.includeExplanation&&(c=A.tokenizeLine(I,i),w=c.tokens,D=0);const u=A.tokenizeLine2(I,i,a),p=u.tokens.length/2;for(let m=0;m<p;m++){const k=u.tokens[2*m],f=m+1<p?u.tokens[2*m+2]:I.length;if(k===f)continue;const G=u.tokens[2*m+1],R=cA(g[dA.getForeground(G)],B),y=dA.getFontStyle(G),U={content:I.substring(k,f),offset:l+k,color:R,fontStyle:y};if(n.includeExplanation){const P=[];if(n.includeExplanation!=="scopeName")for(const F of t.settings){let v;switch(typeof F.scope){case"string":v=F.scope.split(/,/).map(Z=>Z.trim());break;case"object":v=F.scope;break;default:continue}P.push({settings:F,selectors:v.map(Z=>Z.split(/ /))})}U.explanation=[];let J=0;for(;k+J<f;){const F=w[D],v=I.substring(F.startIndex,F.endIndex);J+=v.length,U.explanation.push({content:v,scopes:n.includeExplanation==="scopeName"?Ys(F.scopes):Ks(P,F.scopes)}),D+=1}}E.push(U)}o.push(E),E=[],i=u.ruleStack}return{tokens:o,stateStack:i}}function Ys(e){return e.map(A=>({scopeName:A}))}function Ks(e,A){const t=[];for(let g=0,n=A.length;g<n;g++){const B=A[g];t[g]={scopeName:B,themeMatches:Ss(e,B,A.slice(0,g))}}return t}function cg(e,A){return e===A||A.substring(0,e.length)===e&&A[e.length]==="."}function Ps(e,A,t){if(!cg(e[e.length-1],A))return!1;let g=e.length-2,n=t.length-1;for(;g>=0&&n>=0;)cg(e[g],t[n])&&(g-=1),n-=1;return g===-1}function Ss(e,A,t){const g=[];for(const{selectors:n,settings:B}of e)for(const Q of n)if(Ps(Q,A,t)){g.push(B);break}return g}function Gn(e,A,t){const g=Object.entries(t.themes).filter(s=>s[1]).map(s=>({color:s[0],theme:s[1]})),n=g.map(s=>{const i=ft(e,A,{...t,theme:s.theme}),E=zA(i),o=typeof s.theme=="string"?s.theme:s.theme.name;return{tokens:i,state:E,theme:o}}),B=xs(...n.map(s=>s.tokens)),Q=B[0].map((s,i)=>s.map((E,o)=>{const C={content:E.content,variants:{},offset:E.offset};return"includeExplanation"in t&&t.includeExplanation&&(C.explanation=E.explanation),B.forEach((r,I)=>{const{content:l,explanation:c,offset:w,...D}=r[i][o];C.variants[g[I].color]=D}),C})),a=n[0].state?new MA(Object.fromEntries(n.map(s=>{var i;return[s.theme,(i=s.state)==null?void 0:i.getInternalStack(s.theme)]})),n[0].state.lang):void 0;return a&&Ue(Q,a),Q}function xs(...e){const A=e.map(()=>[]),t=e.length;for(let g=0;g<e[0].length;g++){const n=e.map(s=>s[g]),B=A.map(()=>[]);A.forEach((s,i)=>s.push(B[i]));const Q=n.map(()=>0),a=n.map(s=>s[0]);for(;a.every(s=>s);){const s=Math.min(...a.map(i=>i.content.length));for(let i=0;i<t;i++){const E=a[i];E.content.length===s?(B[i].push(E),Q[i]+=1,a[i]=n[i][Q[i]]):(B[i].push({...E,content:E.content.slice(0,s)}),a[i]={...E,content:E.content.slice(s),offset:E.offset+s})}}}return A}function he(e,A,t){let g,n,B,Q,a,s;if("themes"in t){const{defaultColor:i="light",cssVariablePrefix:E="--shiki-"}=t,o=Object.entries(t.themes).filter(c=>c[1]).map(c=>({color:c[0],theme:c[1]})).sort((c,w)=>c.color===i?-1:w.color===i?1:0);if(o.length===0)throw new DA("`themes` option must not be empty");const C=Gn(e,A,t);if(s=zA(C),i&&!o.find(c=>c.color===i))throw new DA(`\`themes\` option must contain the defaultColor key \`${i}\``);const r=o.map(c=>e.getTheme(c.theme)),I=o.map(c=>c.color);B=C.map(c=>c.map(w=>Js(w,I,E,i))),s&&Ue(B,s);const l=o.map(c=>ue(c.theme,t));n=o.map((c,w)=>(w===0&&i?"":`${E+c.color}:`)+(cA(r[w].fg,l[w])||"inherit")).join(";"),g=o.map((c,w)=>(w===0&&i?"":`${E+c.color}-bg:`)+(cA(r[w].bg,l[w])||"inherit")).join(";"),Q=`shiki-themes ${r.map(c=>c.name).join(" ")}`,a=i?void 0:[n,g].join(";")}else if("theme"in t){const i=ue(t.theme,t);B=ft(e,A,t);const E=e.getTheme(t.theme);g=cA(E.bg,i),n=cA(E.fg,i),Q=E.name,s=zA(B)}else throw new DA("Invalid options, either `theme` or `themes` must be provided");return{tokens:B,fg:n,bg:g,themeName:Q,rootStyle:a,grammarState:s}}function Js(e,A,t,g){const n={content:e.content,explanation:e.explanation,offset:e.offset},B=A.map(s=>yn(e.variants[s])),Q=new Set(B.flatMap(s=>Object.keys(s))),a={};return B.forEach((s,i)=>{for(const E of Q){const o=s[E]||"inherit";if(i===0&&g)a[E]=o;else{const C=E==="color"?"":E==="background-color"?"-bg":`-${E}`,r=t+A[i]+(E==="color"?"":C);a[r]=o}}}),n.htmlStyle=a,n}function fe(e,A,t,g={meta:{},options:t,codeToHast:(n,B)=>fe(e,n,B),codeToTokens:(n,B)=>he(e,n,B)}){var r,I;let n=A;for(const l of pe(t))n=((r=l.preprocess)==null?void 0:r.call(g,n,t))||n;let{tokens:B,fg:Q,bg:a,themeName:s,rootStyle:i,grammarState:E}=he(e,n,t);const{mergeWhitespaces:o=!0}=t;o===!0?B=Zs(B):o==="never"&&(B=Os(B));const C={...g,get source(){return n}};for(const l of pe(t))B=((I=l.tokens)==null?void 0:I.call(C,B))||B;return vs(B,{...t,fg:Q,bg:a,themeName:s,rootStyle:i},C,E)}function vs(e,A,t,g=zA(e)){var I,l,c;const n=pe(A),B=[],Q={type:"root",children:[]},{structure:a="classic",tabindex:s="0"}=A;let i={type:"element",tagName:"pre",properties:{class:`shiki ${A.themeName||""}`,style:A.rootStyle||`background-color:${A.bg};color:${A.fg}`,...s!==!1&&s!=null?{tabindex:s.toString()}:{},...Object.fromEntries(Array.from(Object.entries(A.meta||{})).filter(([w])=>!w.startsWith("_")))},children:[]},E={type:"element",tagName:"code",properties:{},children:B};const o=[],C={...t,structure:a,addClassToHast:bn,get source(){return t.source},get tokens(){return e},get options(){return A},get root(){return Q},get pre(){return i},get code(){return E},get lines(){return o}};if(e.forEach((w,D)=>{var m,k;D&&(a==="inline"?Q.children.push({type:"element",tagName:"br",properties:{},children:[]}):a==="classic"&&B.push({type:"text",value:`
+`}));let u={type:"element",tagName:"span",properties:{class:"line"},children:[]},p=0;for(const f of w){let G={type:"element",tagName:"span",properties:{...f.htmlAttrs},children:[{type:"text",value:f.content}]};f.htmlStyle;const R=ds(f.htmlStyle||yn(f));R&&(G.properties.style=R);for(const y of n)G=((m=y==null?void 0:y.span)==null?void 0:m.call(C,G,D+1,p,u,f))||G;a==="inline"?Q.children.push(G):a==="classic"&&u.children.push(G),p+=f.content.length}if(a==="classic"){for(const f of n)u=((k=f==null?void 0:f.line)==null?void 0:k.call(C,u,D+1))||u;o.push(u),B.push(u)}}),a==="classic"){for(const w of n)E=((I=w==null?void 0:w.code)==null?void 0:I.call(C,E))||E;i.children.push(E);for(const w of n)i=((l=w==null?void 0:w.pre)==null?void 0:l.call(C,i))||i;Q.children.push(i)}let r=Q;for(const w of n)r=((c=w==null?void 0:w.root)==null?void 0:c.call(C,r))||r;return g&&Ue(r,g),r}function Zs(e){return e.map(A=>{const t=[];let g="",n=0;return A.forEach((B,Q)=>{const s=!(B.fontStyle&&B.fontStyle&tA.Underline);s&&B.content.match(/^\s+$/)&&A[Q+1]?(n||(n=B.offset),g+=B.content):g?(s?t.push({...B,offset:n,content:g+B.content}):t.push({content:g,offset:n},B),n=0,g=""):t.push(B)}),t})}function Os(e){return e.map(A=>A.flatMap(t=>{if(t.content.match(/^\s+$/))return t;const g=t.content.match(/^(\s*)(.*?)(\s*)$/);if(!g)return t;const[,n,B,Q]=g;if(!n&&!Q)return t;const a=[{...t,offset:t.offset+n.length,content:B}];return n&&a.unshift({content:n,offset:t.offset}),Q&&a.push({content:Q,offset:t.offset+n.length+B.length}),a}))}function qs(e,A,t){var B;const g={meta:{},options:t,codeToHast:(Q,a)=>fe(e,Q,a),codeToTokens:(Q,a)=>he(e,Q,a)};let n=Es(fe(e,A,t,g));for(const Q of pe(t))n=((B=Q.postprocess)==null?void 0:B.call(g,n,t))||n;return n}const wg={light:"#333333",dark:"#bbbbbb"},Dg={light:"#fffffe",dark:"#1e1e1e"},lg="__shiki_resolved";function bt(e){var a,s,i,E,o;if(e!=null&&e[lg])return e;const A={...e};A.tokenColors&&!A.settings&&(A.settings=A.tokenColors,delete A.tokenColors),A.type||(A.type="dark"),A.colorReplacements={...A.colorReplacements},A.settings||(A.settings=[]);let{bg:t,fg:g}=A;if(!t||!g){const C=A.settings?A.settings.find(r=>!r.name&&!r.scope):void 0;(a=C==null?void 0:C.settings)!=null&&a.foreground&&(g=C.settings.foreground),(s=C==null?void 0:C.settings)!=null&&s.background&&(t=C.settings.background),!g&&((i=A==null?void 0:A.colors)!=null&&i["editor.foreground"])&&(g=A.colors["editor.foreground"]),!t&&((E=A==null?void 0:A.colors)!=null&&E["editor.background"])&&(t=A.colors["editor.background"]),g||(g=A.type==="light"?wg.light:wg.dark),t||(t=A.type==="light"?Dg.light:Dg.dark),A.fg=g,A.bg=t}A.settings[0]&&A.settings[0].settings&&!A.settings[0].scope||A.settings.unshift({settings:{foreground:A.fg,background:A.bg}});let n=0;const B=new Map;function Q(C){var I;if(B.has(C))return B.get(C);n+=1;const r=`#${n.toString(16).padStart(8,"0").toLowerCase()}`;return(I=A.colorReplacements)!=null&&I[`#${r}`]?Q(C):(B.set(C,r),r)}A.settings=A.settings.map(C=>{var c,w;const r=((c=C.settings)==null?void 0:c.foreground)&&!C.settings.foreground.startsWith("#"),I=((w=C.settings)==null?void 0:w.background)&&!C.settings.background.startsWith("#");if(!r&&!I)return C;const l={...C,settings:{...C.settings}};if(r){const D=Q(C.settings.foreground);A.colorReplacements[D]=C.settings.foreground,l.settings.foreground=D}if(I){const D=Q(C.settings.background);A.colorReplacements[D]=C.settings.background,l.settings.background=D}return l});for(const C of Object.keys(A.colors||{}))if((C==="editor.foreground"||C==="editor.background"||C.startsWith("terminal.ansi"))&&!((o=A.colors[C])!=null&&o.startsWith("#"))){const r=Q(A.colors[C]);A.colorReplacements[r]=A.colors[C],A.colors[C]=r}return Object.defineProperty(A,lg,{enumerable:!1,writable:!1,value:!0}),A}async function Nn(e){return Array.from(new Set((await Promise.all(e.filter(A=>!cs(A)).map(async A=>await kn(A).then(t=>Array.isArray(t)?t:[t])))).flat()))}async function Ln(e){return(await Promise.all(e.map(async t=>ws(t)?null:bt(await kn(t))))).filter(t=>!!t)}var zs=Object.defineProperty,_s=(e,A,t)=>A in e?zs(e,A,{enumerable:!0,configurable:!0,writable:!0,value:t}):e[A]=t,CA=(e,A,t)=>(_s(e,typeof A!="symbol"?A+"":A,t),t);class js extends TQ{constructor(A,t,g,n={}){super(A),this._resolver=A,this._themes=t,this._langs=g,this._alias=n,CA(this,"_resolvedThemes",new Map),CA(this,"_resolvedGrammars",new Map),CA(this,"_langMap",new Map),CA(this,"_langGraph",new Map),CA(this,"_textmateThemeCache",new WeakMap),CA(this,"_loadedThemesCache",null),CA(this,"_loadedLanguagesCache",null),this._themes.map(B=>this.loadTheme(B)),this.loadLanguages(this._langs)}getTheme(A){return typeof A=="string"?this._resolvedThemes.get(A):this.loadTheme(A)}loadTheme(A){const t=bt(A);return t.name&&(this._resolvedThemes.set(t.name,t),this._loadedThemesCache=null),t}getLoadedThemes(){return this._loadedThemesCache||(this._loadedThemesCache=[...this._resolvedThemes.keys()]),this._loadedThemesCache}setTheme(A){let t=this._textmateThemeCache.get(A);t||(t=Ie.createFromRawTheme(A),this._textmateThemeCache.set(A,t)),this._syncRegistry.setTheme(t)}getGrammar(A){if(this._alias[A]){const t=new Set([A]);for(;this._alias[A];){if(A=this._alias[A],t.has(A))throw new S(`Circular alias \`${Array.from(t).join(" -> ")} -> ${A}\``);t.add(A)}}return this._resolvedGrammars.get(A)}loadLanguage(A){var B,Q,a,s;if(this.getGrammar(A.name))return;const t=new Set([...this._langMap.values()].filter(i=>{var E;return(E=i.embeddedLangsLazy)==null?void 0:E.includes(A.name)}));this._resolver.addLanguage(A);const g={balancedBracketSelectors:A.balancedBracketSelectors||["*"],unbalancedBracketSelectors:A.unbalancedBracketSelectors||[]};this._syncRegistry._rawGrammars.set(A.scopeName,A);const n=this.loadGrammarWithConfiguration(A.scopeName,1,g);if(n.name=A.name,this._resolvedGrammars.set(A.name,n),A.aliases&&A.aliases.forEach(i=>{this._alias[i]=A.name}),this._loadedLanguagesCache=null,t.size)for(const i of t)this._resolvedGrammars.delete(i.name),this._loadedLanguagesCache=null,(Q=(B=this._syncRegistry)==null?void 0:B._injectionGrammars)==null||Q.delete(i.scopeName),(s=(a=this._syncRegistry)==null?void 0:a._grammars)==null||s.delete(i.scopeName),this.loadLanguage(this._langMap.get(i.name))}dispose(){super.dispose(),this._resolvedThemes.clear(),this._resolvedGrammars.clear(),this._langMap.clear(),this._langGraph.clear(),this._loadedThemesCache=null}loadLanguages(A){for(const n of A)this.resolveEmbeddedLanguages(n);const t=Array.from(this._langGraph.entries()),g=t.filter(([n,B])=>!B);if(g.length){const n=t.filter(([B,Q])=>{var a;return Q&&((a=Q.embeddedLangs)==null?void 0:a.some(s=>g.map(([i])=>i).includes(s)))}).filter(B=>!g.includes(B));throw new S(`Missing languages ${g.map(([B])=>`\`${B}\``).join(", ")}, required by ${n.map(([B])=>`\`${B}\``).join(", ")}`)}for(const[n,B]of t)this._resolver.addLanguage(B);for(const[n,B]of t)this.loadLanguage(B)}getLoadedLanguages(){return this._loadedLanguagesCache||(this._loadedLanguagesCache=[...new Set([...this._resolvedGrammars.keys(),...Object.keys(this._alias)])]),this._loadedLanguagesCache}resolveEmbeddedLanguages(A){if(this._langMap.set(A.name,A),this._langGraph.set(A.name,A),A.embeddedLangs)for(const t of A.embeddedLangs)this._langGraph.set(t,this._langMap.get(t))}}var $s=Object.defineProperty,Ts=(e,A,t)=>A in e?$s(e,A,{enumerable:!0,configurable:!0,writable:!0,value:t}):e[A]=t,te=(e,A,t)=>(Ts(e,typeof A!="symbol"?A+"":A,t),t);class Ws{constructor(A,t){te(this,"_langs",new Map),te(this,"_scopeToLang",new Map),te(this,"_injections",new Map),te(this,"_onigLib"),this._onigLib={createOnigScanner:g=>A.createScanner(g),createOnigString:g=>A.createString(g)},t.forEach(g=>this.addLanguage(g))}get onigLib(){return this._onigLib}getLangRegistration(A){return this._langs.get(A)}loadGrammar(A){return this._scopeToLang.get(A)}addLanguage(A){this._langs.set(A.name,A),A.aliases&&A.aliases.forEach(t=>{this._langs.set(t,A)}),this._scopeToLang.set(A.scopeName,A),A.injectTo&&A.injectTo.forEach(t=>{this._injections.get(t)||this._injections.set(t,[]),this._injections.get(t).push(A.scopeName)})}getInjections(A){const t=A.split(".");let g=[];for(let n=1;n<=t.length;n++){const B=t.slice(0,n).join(".");g=[...g,...this._injections.get(B)||[]]}return g}}let FA=0;function Xs(e){FA+=1,e.warnings!==!1&&FA>=10&&FA%10===0&&console.warn(`[Shiki] ${FA} instances have been created. Shiki is supposed to be used as a singleton, consider refactoring your code to cache your highlighter instance; Or call \`highlighter.dispose()\` to release unused instances.`);let A=!1;if(!e.engine)throw new S("`engine` option is required for synchronous mode");const t=(e.langs||[]).flat(1),g=(e.themes||[]).flat(1).map(bt),n=new Ws(e.engine,t),B=new js(n,g,t,e.langAlias);let Q;function a(D){c();const u=B.getGrammar(typeof D=="string"?D:D.name);if(!u)throw new S(`Language \`${D}\` not found, you may need to load it first`);return u}function s(D){if(D==="none")return{bg:"",fg:"",name:"none",settings:[],type:"dark"};c();const u=B.getTheme(D);if(!u)throw new S(`Theme \`${D}\` not found, you may need to load it first`);return u}function i(D){c();const u=s(D);Q!==D&&(B.setTheme(u),Q=D);const p=B.getColorMap();return{theme:u,colorMap:p}}function E(){return c(),B.getLoadedThemes()}function o(){return c(),B.getLoadedLanguages()}function C(...D){c(),B.loadLanguages(D.flat(1))}async function r(...D){return C(await Nn(D))}function I(...D){c();for(const u of D.flat(1))B.loadTheme(u)}async function l(...D){return c(),I(await Ln(D))}function c(){if(A)throw new S("Shiki instance has been disposed")}function w(){A||(A=!0,B.dispose(),FA-=1)}return{setTheme:i,getTheme:s,getLanguage:a,getLoadedThemes:E,getLoadedLanguages:o,loadLanguage:r,loadLanguageSync:C,loadTheme:l,loadThemeSync:I,dispose:w,[Symbol.dispose]:w}}async function Vs(e={}){e.loadWasm;const[A,t,g]=await Promise.all([Ln(e.themes||[]),Nn(e.langs||[]),e.engine||oQ(e.loadWasm||iQ())]);return Xs({...e,loadWasm:void 0,themes:A,langs:t,engine:g})}async function Ni(e={}){const A=await Vs(e);return{getLastGrammarState:(...t)=>Rs(A,...t),codeToTokensBase:(t,g)=>ft(A,t,g),codeToTokensWithThemes:(t,g)=>Gn(A,t,g),codeToTokens:(t,g)=>he(A,t,g),codeToHast:(t,g)=>fe(A,t,g),codeToHtml:(t,g)=>qs(A,t,g),...A,getInternalContext:()=>A}}function Ai(e){let A="rules"in e?e.rules:void 0;if(!A){A=[];const g=e.settings||e.tokenColors;for(const{scope:n,settings:B}of g){const Q=Array.isArray(n)?n:[n];for(const a of Q)B.foreground&&a&&A.push({token:a,foreground:be(B.foreground)})}}const t=Object.fromEntries(Object.entries(e.colors||{}).map(([g,n])=>[g,`#${be(n)}`]));return{base:e.type==="light"?"vs":"vs-dark",inherit:!1,colors:t,rules:A}}function Li(e,A,t={}){const g=new Map,n=e.getLoadedThemes();for(const C of n){const r=e.getTheme(C),I=Ai(r);g.set(C,I),A.editor.defineTheme(C,I)}const B=[],Q=new Map,a=A.editor.setTheme.bind(A.editor);A.editor.setTheme=C=>{const r=e.setTheme(C),I=g.get(C);B.length=r.colorMap.length,r.colorMap.forEach((l,c)=>{B[c]=l}),Q.clear(),I==null||I.rules.forEach(l=>{const c=be(l.foreground);c&&!Q.has(c)&&Q.set(c,l.token)}),a(C)},A.editor.setTheme(n[0]);function s(C){return Q.get(C)}const{tokenizeMaxLineLength:i=2e4,tokenizeTimeLimit:E=500}=t,o=new Set(A.languages.getLanguages().map(C=>C.id));for(const C of e.getLoadedLanguages())o.has(C)&&A.languages.setTokensProvider(C,{getInitialState(){return new _A(de)},tokenize(r,I){if(r.length>=i)return{endState:I,tokens:[{startIndex:0,scopes:""}]};const c=e.getLanguage(C).tokenizeLine2(r,I.ruleStack,E);c.stoppedEarly&&console.warn(`Time limit reached when tokenizing line: ${r.substring(0,100)}`);const w=c.tokens.length/2,D=[];for(let u=0;u<w;u++){const p=c.tokens[2*u],m=c.tokens[2*u+1],k=be(B[dA.getForeground(m)]||""),f=s(k)||"";D.push({startIndex:p,scopes:f})}return{endState:new _A(c.ruleStack),tokens:D}}})}class _A{constructor(A){this._ruleStack=A}get ruleStack(){return this._ruleStack}clone(){return new _A(this._ruleStack)}equals(A){return!(!A||!(A instanceof _A)||A!==this||A._ruleStack!==this._ruleStack)}}function be(e){return e&&(e=(e.charCodeAt(0)===35?e.slice(1):e).toLowerCase(),(e.length===3||e.length===4)&&(e=e.split("").map(A=>A+A).join("")),e)}const ei=Object.freeze(JSON.parse('{"colors":{"activityBar.activeBorder":"#4d9375","activityBar.background":"#121212","activityBar.border":"#191919","activityBar.foreground":"#dbd7caee","activityBar.inactiveForeground":"#dedcd550","activityBarBadge.background":"#bfbaaa","activityBarBadge.foreground":"#121212","badge.background":"#dedcd590","badge.foreground":"#121212","breadcrumb.activeSelectionForeground":"#eeeeee18","breadcrumb.background":"#181818","breadcrumb.focusForeground":"#dbd7caee","breadcrumb.foreground":"#959da5","breadcrumbPicker.background":"#121212","button.background":"#4d9375","button.foreground":"#121212","button.hoverBackground":"#4d9375","checkbox.background":"#181818","checkbox.border":"#2f363d","debugToolBar.background":"#121212","descriptionForeground":"#dedcd590","diffEditor.insertedTextBackground":"#4d937550","diffEditor.removedTextBackground":"#ab595950","dropdown.background":"#121212","dropdown.border":"#191919","dropdown.foreground":"#dbd7caee","dropdown.listBackground":"#181818","editor.background":"#121212","editor.findMatchBackground":"#e6cc7722","editor.findMatchHighlightBackground":"#e6cc7744","editor.focusedStackFrameHighlightBackground":"#b808","editor.foldBackground":"#eeeeee10","editor.foreground":"#dbd7caee","editor.inactiveSelectionBackground":"#eeeeee10","editor.lineHighlightBackground":"#181818","editor.selectionBackground":"#eeeeee18","editor.selectionHighlightBackground":"#eeeeee10","editor.stackFrameHighlightBackground":"#a707","editor.wordHighlightBackground":"#1c6b4805","editor.wordHighlightStrongBackground":"#1c6b4810","editorBracketHighlight.foreground1":"#5eaab5","editorBracketHighlight.foreground2":"#4d9375","editorBracketHighlight.foreground3":"#d4976c","editorBracketHighlight.foreground4":"#d9739f","editorBracketHighlight.foreground5":"#e6cc77","editorBracketHighlight.foreground6":"#6394bf","editorBracketMatch.background":"#4d937520","editorError.foreground":"#cb7676","editorGroup.border":"#191919","editorGroupHeader.tabsBackground":"#121212","editorGroupHeader.tabsBorder":"#191919","editorGutter.addedBackground":"#4d9375","editorGutter.commentRangeForeground":"#dedcd550","editorGutter.deletedBackground":"#cb7676","editorGutter.foldingControlForeground":"#dedcd590","editorGutter.modifiedBackground":"#6394bf","editorHint.foreground":"#4d9375","editorIndentGuide.activeBackground":"#ffffff30","editorIndentGuide.background":"#ffffff15","editorInfo.foreground":"#6394bf","editorInlayHint.background":"#181818","editorInlayHint.foreground":"#666666","editorLineNumber.activeForeground":"#bfbaaa","editorLineNumber.foreground":"#dedcd550","editorOverviewRuler.border":"#111","editorStickyScroll.background":"#181818","editorStickyScrollHover.background":"#181818","editorWarning.foreground":"#d4976c","editorWhitespace.foreground":"#ffffff15","editorWidget.background":"#121212","errorForeground":"#cb7676","focusBorder":"#00000000","foreground":"#dbd7caee","gitDecoration.addedResourceForeground":"#4d9375","gitDecoration.conflictingResourceForeground":"#d4976c","gitDecoration.deletedResourceForeground":"#cb7676","gitDecoration.ignoredResourceForeground":"#dedcd550","gitDecoration.modifiedResourceForeground":"#6394bf","gitDecoration.submoduleResourceForeground":"#dedcd590","gitDecoration.untrackedResourceForeground":"#5eaab5","input.background":"#181818","input.border":"#191919","input.foreground":"#dbd7caee","input.placeholderForeground":"#dedcd590","inputOption.activeBackground":"#dedcd550","list.activeSelectionBackground":"#181818","list.activeSelectionForeground":"#dbd7caee","list.focusBackground":"#181818","list.highlightForeground":"#4d9375","list.hoverBackground":"#181818","list.hoverForeground":"#dbd7caee","list.inactiveFocusBackground":"#121212","list.inactiveSelectionBackground":"#181818","list.inactiveSelectionForeground":"#dbd7caee","menu.separatorBackground":"#191919","notificationCenterHeader.background":"#121212","notificationCenterHeader.foreground":"#959da5","notifications.background":"#121212","notifications.border":"#191919","notifications.foreground":"#dbd7caee","notificationsErrorIcon.foreground":"#cb7676","notificationsInfoIcon.foreground":"#6394bf","notificationsWarningIcon.foreground":"#d4976c","panel.background":"#121212","panel.border":"#191919","panelInput.border":"#2f363d","panelTitle.activeBorder":"#4d9375","panelTitle.activeForeground":"#dbd7caee","panelTitle.inactiveForeground":"#959da5","peekViewEditor.background":"#121212","peekViewEditor.matchHighlightBackground":"#ffd33d33","peekViewResult.background":"#121212","peekViewResult.matchHighlightBackground":"#ffd33d33","pickerGroup.border":"#191919","pickerGroup.foreground":"#dbd7caee","problemsErrorIcon.foreground":"#cb7676","problemsInfoIcon.foreground":"#6394bf","problemsWarningIcon.foreground":"#d4976c","progressBar.background":"#4d9375","quickInput.background":"#121212","quickInput.foreground":"#dbd7caee","quickInputList.focusBackground":"#181818","scrollbar.shadow":"#0000","scrollbarSlider.activeBackground":"#dedcd550","scrollbarSlider.background":"#dedcd510","scrollbarSlider.hoverBackground":"#dedcd550","settings.headerForeground":"#dbd7caee","settings.modifiedItemIndicator":"#4d9375","sideBar.background":"#121212","sideBar.border":"#191919","sideBar.foreground":"#bfbaaa","sideBarSectionHeader.background":"#121212","sideBarSectionHeader.border":"#191919","sideBarSectionHeader.foreground":"#dbd7caee","sideBarTitle.foreground":"#dbd7caee","statusBar.background":"#121212","statusBar.border":"#191919","statusBar.debuggingBackground":"#181818","statusBar.debuggingForeground":"#bfbaaa","statusBar.foreground":"#bfbaaa","statusBar.noFolderBackground":"#121212","statusBarItem.prominentBackground":"#181818","tab.activeBackground":"#121212","tab.activeBorder":"#191919","tab.activeBorderTop":"#dedcd590","tab.activeForeground":"#dbd7caee","tab.border":"#191919","tab.hoverBackground":"#181818","tab.inactiveBackground":"#121212","tab.inactiveForeground":"#959da5","tab.unfocusedActiveBorder":"#191919","tab.unfocusedActiveBorderTop":"#191919","tab.unfocusedHoverBackground":"#121212","terminal.ansiBlack":"#393a34","terminal.ansiBlue":"#6394bf","terminal.ansiBrightBlack":"#777777","terminal.ansiBrightBlue":"#6394bf","terminal.ansiBrightCyan":"#5eaab5","terminal.ansiBrightGreen":"#4d9375","terminal.ansiBrightMagenta":"#d9739f","terminal.ansiBrightRed":"#cb7676","terminal.ansiBrightWhite":"#ffffff","terminal.ansiBrightYellow":"#e6cc77","terminal.ansiCyan":"#5eaab5","terminal.ansiGreen":"#4d9375","terminal.ansiMagenta":"#d9739f","terminal.ansiRed":"#cb7676","terminal.ansiWhite":"#dbd7ca","terminal.ansiYellow":"#e6cc77","terminal.foreground":"#dbd7caee","terminal.selectionBackground":"#eeeeee18","textBlockQuote.background":"#121212","textBlockQuote.border":"#191919","textCodeBlock.background":"#121212","textLink.activeForeground":"#4d9375","textLink.foreground":"#4d9375","textPreformat.foreground":"#d1d5da","textSeparator.foreground":"#586069","titleBar.activeBackground":"#121212","titleBar.activeForeground":"#bfbaaa","titleBar.border":"#181818","titleBar.inactiveBackground":"#121212","titleBar.inactiveForeground":"#959da5","tree.indentGuidesStroke":"#2f363d","welcomePage.buttonBackground":"#2f363d","welcomePage.buttonHoverBackground":"#444d56"},"displayName":"Vitesse Dark","name":"vitesse-dark","semanticHighlighting":true,"semanticTokenColors":{"class":"#6872ab","interface":"#5d99a9","namespace":"#db889a","property":"#b8a965","type":"#5d99a9"},"tokenColors":[{"scope":["comment","punctuation.definition.comment","string.comment"],"settings":{"foreground":"#758575dd"}},{"scope":["delimiter.bracket","delimiter","invalid.illegal.character-not-allowed-here.html","keyword.operator.rest","keyword.operator.spread","keyword.operator.type.annotation","keyword.operator.relational","keyword.operator.assignment","keyword.operator.type","meta.brace","meta.tag.block.any.html","meta.tag.inline.any.html","meta.tag.structure.input.void.html","meta.type.annotation","meta.embedded.block.github-actions-expression","storage.type.function.arrow","meta.objectliteral.ts","punctuation","punctuation.definition.string.begin.html.vue","punctuation.definition.string.end.html.vue"],"settings":{"foreground":"#666666"}},{"scope":["constant","entity.name.constant","variable.language","meta.definition.variable"],"settings":{"foreground":"#c99076"}},{"scope":["entity","entity.name"],"settings":{"foreground":"#80a665"}},{"scope":"variable.parameter.function","settings":{"foreground":"#dbd7caee"}},{"scope":["entity.name.tag","tag.html"],"settings":{"foreground":"#4d9375"}},{"scope":"entity.name.function","settings":{"foreground":"#80a665"}},{"scope":["keyword","storage.type.class.jsdoc","punctuation.definition.template-expression"],"settings":{"foreground":"#4d9375"}},{"scope":["storage","storage.type","support.type.builtin","constant.language.undefined","constant.language.null","constant.language.import-export-all.ts"],"settings":{"foreground":"#cb7676"}},{"scope":["text.html.derivative","storage.modifier.package","storage.modifier.import","storage.type.java"],"settings":{"foreground":"#dbd7caee"}},{"scope":["string","string punctuation.section.embedded source","attribute.value"],"settings":{"foreground":"#c98a7d"}},{"scope":["punctuation.definition.string"],"settings":{"foreground":"#c98a7d77"}},{"scope":["punctuation.support.type.property-name"],"settings":{"foreground":"#b8a96577"}},{"scope":"support","settings":{"foreground":"#b8a965"}},{"scope":["property","meta.property-name","meta.object-literal.key","entity.name.tag.yaml","attribute.name"],"settings":{"foreground":"#b8a965"}},{"scope":["entity.other.attribute-name","invalid.deprecated.entity.other.attribute-name.html"],"settings":{"foreground":"#bd976a"}},{"scope":["variable","identifier"],"settings":{"foreground":"#bd976a"}},{"scope":["support.type.primitive","entity.name.type"],"settings":{"foreground":"#5DA994"}},{"scope":"namespace","settings":{"foreground":"#db889a"}},{"scope":["keyword.operator","keyword.operator.assignment.compound","meta.var.expr.ts"],"settings":{"foreground":"#cb7676"}},{"scope":"invalid.broken","settings":{"fontStyle":"italic","foreground":"#fdaeb7"}},{"scope":"invalid.deprecated","settings":{"fontStyle":"italic","foreground":"#fdaeb7"}},{"scope":"invalid.illegal","settings":{"fontStyle":"italic","foreground":"#fdaeb7"}},{"scope":"invalid.unimplemented","settings":{"fontStyle":"italic","foreground":"#fdaeb7"}},{"scope":"carriage-return","settings":{"background":"#f97583","content":"^M","fontStyle":"italic underline","foreground":"#24292e"}},{"scope":"message.error","settings":{"foreground":"#fdaeb7"}},{"scope":"string variable","settings":{"foreground":"#c98a7d"}},{"scope":["source.regexp","string.regexp"],"settings":{"foreground":"#c4704f"}},{"scope":["string.regexp.character-class","string.regexp constant.character.escape","string.regexp source.ruby.embedded","string.regexp string.regexp.arbitrary-repitition"],"settings":{"foreground":"#c98a7d"}},{"scope":"string.regexp constant.character.escape","settings":{"foreground":"#e6cc77"}},{"scope":["support.constant"],"settings":{"foreground":"#c99076"}},{"scope":["keyword.operator.quantifier.regexp","constant.numeric","number"],"settings":{"foreground":"#4C9A91"}},{"scope":["keyword.other.unit"],"settings":{"foreground":"#cb7676"}},{"scope":["constant.language.boolean","constant.language"],"settings":{"foreground":"#4d9375"}},{"scope":"meta.module-reference","settings":{"foreground":"#4d9375"}},{"scope":"punctuation.definition.list.begin.markdown","settings":{"foreground":"#d4976c"}},{"scope":["markup.heading","markup.heading entity.name"],"settings":{"fontStyle":"bold","foreground":"#4d9375"}},{"scope":"markup.quote","settings":{"foreground":"#5d99a9"}},{"scope":"markup.italic","settings":{"fontStyle":"italic","foreground":"#dbd7caee"}},{"scope":"markup.bold","settings":{"fontStyle":"bold","foreground":"#dbd7caee"}},{"scope":"markup.raw","settings":{"foreground":"#4d9375"}},{"scope":["markup.deleted","meta.diff.header.from-file","punctuation.definition.deleted"],"settings":{"background":"#86181d","foreground":"#fdaeb7"}},{"scope":["markup.inserted","meta.diff.header.to-file","punctuation.definition.inserted"],"settings":{"background":"#144620","foreground":"#85e89d"}},{"scope":["markup.changed","punctuation.definition.changed"],"settings":{"background":"#c24e00","foreground":"#ffab70"}},{"scope":["markup.ignored","markup.untracked"],"settings":{"background":"#79b8ff","foreground":"#2f363d"}},{"scope":"meta.diff.range","settings":{"fontStyle":"bold","foreground":"#b392f0"}},{"scope":"meta.diff.header","settings":{"foreground":"#79b8ff"}},{"scope":"meta.separator","settings":{"fontStyle":"bold","foreground":"#79b8ff"}},{"scope":"meta.output","settings":{"foreground":"#79b8ff"}},{"scope":["brackethighlighter.tag","brackethighlighter.curly","brackethighlighter.round","brackethighlighter.square","brackethighlighter.angle","brackethighlighter.quote"],"settings":{"foreground":"#d1d5da"}},{"scope":"brackethighlighter.unmatched","settings":{"foreground":"#fdaeb7"}},{"scope":["constant.other.reference.link","string.other.link","punctuation.definition.string.begin.markdown","punctuation.definition.string.end.markdown"],"settings":{"foreground":"#c98a7d"}},{"scope":["markup.underline.link.markdown","markup.underline.link.image.markdown"],"settings":{"fontStyle":"underline","foreground":"#dedcd590"}},{"scope":["type.identifier","constant.other.character-class.regexp"],"settings":{"foreground":"#6872ab"}},{"scope":["entity.other.attribute-name.html.vue"],"settings":{"foreground":"#80a665"}},{"scope":["invalid.illegal.unrecognized-tag.html"],"settings":{"fontStyle":"normal"}}],"type":"dark"}')),Hi=Object.freeze(Object.defineProperty({__proto__:null,default:ei},Symbol.toStringTag,{value:"Module"})),ti=Object.freeze(JSON.parse('{"colors":{"activityBar.activeBorder":"#1c6b48","activityBar.background":"#ffffff","activityBar.border":"#f0f0f0","activityBar.foreground":"#393a34","activityBar.inactiveForeground":"#393a3450","activityBarBadge.background":"#4e4f47","activityBarBadge.foreground":"#ffffff","badge.background":"#393a3490","badge.foreground":"#ffffff","breadcrumb.activeSelectionForeground":"#22222218","breadcrumb.background":"#f7f7f7","breadcrumb.focusForeground":"#393a34","breadcrumb.foreground":"#6a737d","breadcrumbPicker.background":"#ffffff","button.background":"#1c6b48","button.foreground":"#ffffff","button.hoverBackground":"#1c6b48","checkbox.background":"#f7f7f7","checkbox.border":"#d1d5da","debugToolBar.background":"#ffffff","descriptionForeground":"#393a3490","diffEditor.insertedTextBackground":"#1c6b4830","diffEditor.removedTextBackground":"#ab595940","dropdown.background":"#ffffff","dropdown.border":"#f0f0f0","dropdown.foreground":"#393a34","dropdown.listBackground":"#f7f7f7","editor.background":"#ffffff","editor.findMatchBackground":"#e6cc7744","editor.findMatchHighlightBackground":"#e6cc7766","editor.focusedStackFrameHighlightBackground":"#fff5b1","editor.foldBackground":"#22222210","editor.foreground":"#393a34","editor.inactiveSelectionBackground":"#22222210","editor.lineHighlightBackground":"#f7f7f7","editor.selectionBackground":"#22222218","editor.selectionHighlightBackground":"#22222210","editor.stackFrameHighlightBackground":"#fffbdd","editor.wordHighlightBackground":"#1c6b4805","editor.wordHighlightStrongBackground":"#1c6b4810","editorBracketHighlight.foreground1":"#2993a3","editorBracketHighlight.foreground2":"#1e754f","editorBracketHighlight.foreground3":"#a65e2b","editorBracketHighlight.foreground4":"#a13865","editorBracketHighlight.foreground5":"#bda437","editorBracketHighlight.foreground6":"#296aa3","editorBracketMatch.background":"#1c6b4820","editorError.foreground":"#ab5959","editorGroup.border":"#f0f0f0","editorGroupHeader.tabsBackground":"#ffffff","editorGroupHeader.tabsBorder":"#f0f0f0","editorGutter.addedBackground":"#1e754f","editorGutter.commentRangeForeground":"#393a3450","editorGutter.deletedBackground":"#ab5959","editorGutter.foldingControlForeground":"#393a3490","editorGutter.modifiedBackground":"#296aa3","editorHint.foreground":"#1e754f","editorIndentGuide.activeBackground":"#00000030","editorIndentGuide.background":"#00000015","editorInfo.foreground":"#296aa3","editorInlayHint.background":"#f7f7f7","editorInlayHint.foreground":"#999999","editorLineNumber.activeForeground":"#4e4f47","editorLineNumber.foreground":"#393a3450","editorOverviewRuler.border":"#fff","editorStickyScroll.background":"#f7f7f7","editorStickyScrollHover.background":"#f7f7f7","editorWarning.foreground":"#a65e2b","editorWhitespace.foreground":"#00000015","editorWidget.background":"#ffffff","errorForeground":"#ab5959","focusBorder":"#00000000","foreground":"#393a34","gitDecoration.addedResourceForeground":"#1e754f","gitDecoration.conflictingResourceForeground":"#a65e2b","gitDecoration.deletedResourceForeground":"#ab5959","gitDecoration.ignoredResourceForeground":"#393a3450","gitDecoration.modifiedResourceForeground":"#296aa3","gitDecoration.submoduleResourceForeground":"#393a3490","gitDecoration.untrackedResourceForeground":"#2993a3","input.background":"#f7f7f7","input.border":"#f0f0f0","input.foreground":"#393a34","input.placeholderForeground":"#393a3490","inputOption.activeBackground":"#393a3450","list.activeSelectionBackground":"#f7f7f7","list.activeSelectionForeground":"#393a34","list.focusBackground":"#f7f7f7","list.highlightForeground":"#1c6b48","list.hoverBackground":"#f7f7f7","list.hoverForeground":"#393a34","list.inactiveFocusBackground":"#ffffff","list.inactiveSelectionBackground":"#f7f7f7","list.inactiveSelectionForeground":"#393a34","menu.separatorBackground":"#f0f0f0","notificationCenterHeader.background":"#ffffff","notificationCenterHeader.foreground":"#6a737d","notifications.background":"#ffffff","notifications.border":"#f0f0f0","notifications.foreground":"#393a34","notificationsErrorIcon.foreground":"#ab5959","notificationsInfoIcon.foreground":"#296aa3","notificationsWarningIcon.foreground":"#a65e2b","panel.background":"#ffffff","panel.border":"#f0f0f0","panelInput.border":"#e1e4e8","panelTitle.activeBorder":"#1c6b48","panelTitle.activeForeground":"#393a34","panelTitle.inactiveForeground":"#6a737d","peekViewEditor.background":"#ffffff","peekViewResult.background":"#ffffff","pickerGroup.border":"#f0f0f0","pickerGroup.foreground":"#393a34","problemsErrorIcon.foreground":"#ab5959","problemsInfoIcon.foreground":"#296aa3","problemsWarningIcon.foreground":"#a65e2b","progressBar.background":"#1c6b48","quickInput.background":"#ffffff","quickInput.foreground":"#393a34","quickInputList.focusBackground":"#f7f7f7","scrollbar.shadow":"#6a737d33","scrollbarSlider.activeBackground":"#393a3450","scrollbarSlider.background":"#393a3410","scrollbarSlider.hoverBackground":"#393a3450","settings.headerForeground":"#393a34","settings.modifiedItemIndicator":"#1c6b48","sideBar.background":"#ffffff","sideBar.border":"#f0f0f0","sideBar.foreground":"#4e4f47","sideBarSectionHeader.background":"#ffffff","sideBarSectionHeader.border":"#f0f0f0","sideBarSectionHeader.foreground":"#393a34","sideBarTitle.foreground":"#393a34","statusBar.background":"#ffffff","statusBar.border":"#f0f0f0","statusBar.debuggingBackground":"#f7f7f7","statusBar.debuggingForeground":"#4e4f47","statusBar.foreground":"#4e4f47","statusBar.noFolderBackground":"#ffffff","statusBarItem.prominentBackground":"#f7f7f7","tab.activeBackground":"#ffffff","tab.activeBorder":"#f0f0f0","tab.activeBorderTop":"#393a3490","tab.activeForeground":"#393a34","tab.border":"#f0f0f0","tab.hoverBackground":"#f7f7f7","tab.inactiveBackground":"#ffffff","tab.inactiveForeground":"#6a737d","tab.unfocusedActiveBorder":"#f0f0f0","tab.unfocusedActiveBorderTop":"#f0f0f0","tab.unfocusedHoverBackground":"#ffffff","terminal.ansiBlack":"#121212","terminal.ansiBlue":"#296aa3","terminal.ansiBrightBlack":"#aaaaaa","terminal.ansiBrightBlue":"#296aa3","terminal.ansiBrightCyan":"#2993a3","terminal.ansiBrightGreen":"#1e754f","terminal.ansiBrightMagenta":"#a13865","terminal.ansiBrightRed":"#ab5959","terminal.ansiBrightWhite":"#dddddd","terminal.ansiBrightYellow":"#bda437","terminal.ansiCyan":"#2993a3","terminal.ansiGreen":"#1e754f","terminal.ansiMagenta":"#a13865","terminal.ansiRed":"#ab5959","terminal.ansiWhite":"#dbd7ca","terminal.ansiYellow":"#bda437","terminal.foreground":"#393a34","terminal.selectionBackground":"#22222218","textBlockQuote.background":"#ffffff","textBlockQuote.border":"#f0f0f0","textCodeBlock.background":"#ffffff","textLink.activeForeground":"#1c6b48","textLink.foreground":"#1c6b48","textPreformat.foreground":"#586069","textSeparator.foreground":"#d1d5da","titleBar.activeBackground":"#ffffff","titleBar.activeForeground":"#4e4f47","titleBar.border":"#f7f7f7","titleBar.inactiveBackground":"#ffffff","titleBar.inactiveForeground":"#6a737d","tree.indentGuidesStroke":"#e1e4e8","welcomePage.buttonBackground":"#f6f8fa","welcomePage.buttonHoverBackground":"#e1e4e8"},"displayName":"Vitesse Light","name":"vitesse-light","semanticHighlighting":true,"semanticTokenColors":{"class":"#5a6aa6","interface":"#2e808f","namespace":"#b05a78","property":"#998418","type":"#2e808f"},"tokenColors":[{"scope":["comment","punctuation.definition.comment","string.comment"],"settings":{"foreground":"#a0ada0"}},{"scope":["delimiter.bracket","delimiter","invalid.illegal.character-not-allowed-here.html","keyword.operator.rest","keyword.operator.spread","keyword.operator.type.annotation","keyword.operator.relational","keyword.operator.assignment","keyword.operator.type","meta.brace","meta.tag.block.any.html","meta.tag.inline.any.html","meta.tag.structure.input.void.html","meta.type.annotation","meta.embedded.block.github-actions-expression","storage.type.function.arrow","meta.objectliteral.ts","punctuation","punctuation.definition.string.begin.html.vue","punctuation.definition.string.end.html.vue"],"settings":{"foreground":"#999999"}},{"scope":["constant","entity.name.constant","variable.language","meta.definition.variable"],"settings":{"foreground":"#a65e2b"}},{"scope":["entity","entity.name"],"settings":{"foreground":"#59873a"}},{"scope":"variable.parameter.function","settings":{"foreground":"#393a34"}},{"scope":["entity.name.tag","tag.html"],"settings":{"foreground":"#1e754f"}},{"scope":"entity.name.function","settings":{"foreground":"#59873a"}},{"scope":["keyword","storage.type.class.jsdoc","punctuation.definition.template-expression"],"settings":{"foreground":"#1e754f"}},{"scope":["storage","storage.type","support.type.builtin","constant.language.undefined","constant.language.null","constant.language.import-export-all.ts"],"settings":{"foreground":"#ab5959"}},{"scope":["text.html.derivative","storage.modifier.package","storage.modifier.import","storage.type.java"],"settings":{"foreground":"#393a34"}},{"scope":["string","string punctuation.section.embedded source","attribute.value"],"settings":{"foreground":"#b56959"}},{"scope":["punctuation.definition.string"],"settings":{"foreground":"#b5695977"}},{"scope":["punctuation.support.type.property-name"],"settings":{"foreground":"#99841877"}},{"scope":"support","settings":{"foreground":"#998418"}},{"scope":["property","meta.property-name","meta.object-literal.key","entity.name.tag.yaml","attribute.name"],"settings":{"foreground":"#998418"}},{"scope":["entity.other.attribute-name","invalid.deprecated.entity.other.attribute-name.html"],"settings":{"foreground":"#b07d48"}},{"scope":["variable","identifier"],"settings":{"foreground":"#b07d48"}},{"scope":["support.type.primitive","entity.name.type"],"settings":{"foreground":"#2e8f82"}},{"scope":"namespace","settings":{"foreground":"#b05a78"}},{"scope":["keyword.operator","keyword.operator.assignment.compound","meta.var.expr.ts"],"settings":{"foreground":"#ab5959"}},{"scope":"invalid.broken","settings":{"fontStyle":"italic","foreground":"#b31d28"}},{"scope":"invalid.deprecated","settings":{"fontStyle":"italic","foreground":"#b31d28"}},{"scope":"invalid.illegal","settings":{"fontStyle":"italic","foreground":"#b31d28"}},{"scope":"invalid.unimplemented","settings":{"fontStyle":"italic","foreground":"#b31d28"}},{"scope":"carriage-return","settings":{"background":"#d73a49","content":"^M","fontStyle":"italic underline","foreground":"#fafbfc"}},{"scope":"message.error","settings":{"foreground":"#b31d28"}},{"scope":"string variable","settings":{"foreground":"#b56959"}},{"scope":["source.regexp","string.regexp"],"settings":{"foreground":"#ab5e3f"}},{"scope":["string.regexp.character-class","string.regexp constant.character.escape","string.regexp source.ruby.embedded","string.regexp string.regexp.arbitrary-repitition"],"settings":{"foreground":"#b56959"}},{"scope":"string.regexp constant.character.escape","settings":{"foreground":"#bda437"}},{"scope":["support.constant"],"settings":{"foreground":"#a65e2b"}},{"scope":["keyword.operator.quantifier.regexp","constant.numeric","number"],"settings":{"foreground":"#2f798a"}},{"scope":["keyword.other.unit"],"settings":{"foreground":"#ab5959"}},{"scope":["constant.language.boolean","constant.language"],"settings":{"foreground":"#1e754f"}},{"scope":"meta.module-reference","settings":{"foreground":"#1c6b48"}},{"scope":"punctuation.definition.list.begin.markdown","settings":{"foreground":"#a65e2b"}},{"scope":["markup.heading","markup.heading entity.name"],"settings":{"fontStyle":"bold","foreground":"#1c6b48"}},{"scope":"markup.quote","settings":{"foreground":"#2e808f"}},{"scope":"markup.italic","settings":{"fontStyle":"italic","foreground":"#393a34"}},{"scope":"markup.bold","settings":{"fontStyle":"bold","foreground":"#393a34"}},{"scope":"markup.raw","settings":{"foreground":"#1c6b48"}},{"scope":["markup.deleted","meta.diff.header.from-file","punctuation.definition.deleted"],"settings":{"background":"#ffeef0","foreground":"#b31d28"}},{"scope":["markup.inserted","meta.diff.header.to-file","punctuation.definition.inserted"],"settings":{"background":"#f0fff4","foreground":"#22863a"}},{"scope":["markup.changed","punctuation.definition.changed"],"settings":{"background":"#ffebda","foreground":"#e36209"}},{"scope":["markup.ignored","markup.untracked"],"settings":{"background":"#005cc5","foreground":"#f6f8fa"}},{"scope":"meta.diff.range","settings":{"fontStyle":"bold","foreground":"#6f42c1"}},{"scope":"meta.diff.header","settings":{"foreground":"#005cc5"}},{"scope":"meta.separator","settings":{"fontStyle":"bold","foreground":"#005cc5"}},{"scope":"meta.output","settings":{"foreground":"#005cc5"}},{"scope":["brackethighlighter.tag","brackethighlighter.curly","brackethighlighter.round","brackethighlighter.square","brackethighlighter.angle","brackethighlighter.quote"],"settings":{"foreground":"#586069"}},{"scope":"brackethighlighter.unmatched","settings":{"foreground":"#b31d28"}},{"scope":["constant.other.reference.link","string.other.link","punctuation.definition.string.begin.markdown","punctuation.definition.string.end.markdown"],"settings":{"foreground":"#b56959"}},{"scope":["markup.underline.link.markdown","markup.underline.link.image.markdown"],"settings":{"fontStyle":"underline","foreground":"#393a3490"}},{"scope":["type.identifier","constant.other.character-class.regexp"],"settings":{"foreground":"#5a6aa6"}},{"scope":["entity.other.attribute-name.html.vue"],"settings":{"foreground":"#59873a"}},{"scope":["invalid.illegal.unrecognized-tag.html"],"settings":{"fontStyle":"normal"}}],"type":"light"}')),Ri=Object.freeze(Object.defineProperty({__proto__:null,default:ti},Symbol.toStringTag,{value:"Module"})),gi=Object.freeze(JSON.parse('{"displayName":"Markdown","name":"markdown","patterns":[{"include":"#frontMatter"},{"include":"#block"}],"repository":{"ampersand":{"comment":"Markdown will convert this for us. We match it so that the HTML grammar will not mark it up as invalid.","match":"&(?!([a-zA-Z0-9]+|#\\\\d+|#x[0-9a-fA-F]+);)","name":"meta.other.valid-ampersand.markdown"},"block":{"patterns":[{"include":"#separator"},{"include":"#heading"},{"include":"#blockquote"},{"include":"#lists"},{"include":"#fenced_code_block"},{"include":"#raw_block"},{"include":"#link-def"},{"include":"#html"},{"include":"#table"},{"include":"#paragraph"}]},"blockquote":{"begin":"(^|\\\\G)[ ]{0,3}(>) ?","captures":{"2":{"name":"punctuation.definition.quote.begin.markdown"}},"name":"markup.quote.markdown","patterns":[{"include":"#block"}],"while":"(^|\\\\G)\\\\s*(>) ?"},"bold":{"begin":"(?<open>(\\\\*\\\\*(?=\\\\w)|(?<!\\\\w)\\\\*\\\\*|(?<!\\\\w)\\\\b__))(?=\\\\S)(?=(<[^>]*+>|(?<raw>`+)([^`]|(?!(?<!`)\\\\k<raw>(?!`))`)*+\\\\k<raw>|\\\\\\\\[\\\\\\\\`*_{}\\\\[\\\\]()#.!+\\\\->]?+|\\\\[((?<square>[^\\\\[\\\\]\\\\\\\\]|\\\\\\\\.|\\\\[\\\\g<square>*+\\\\])*+\\\\](([ ]?\\\\[[^\\\\]]*+\\\\])|(\\\\([ \\\\t]*+<?(.*?)>?[ \\\\t]*+((?<title>[\'\\"])(.*?)\\\\k<title>)?\\\\))))|(?!(?<=\\\\S)\\\\k<open>).)++(?<=\\\\S)(?=__\\\\b|\\\\*\\\\*)\\\\k<open>)","captures":{"1":{"name":"punctuation.definition.bold.markdown"}},"end":"(?<=\\\\S)(\\\\1)","name":"markup.bold.markdown","patterns":[{"applyEndPatternLast":1,"begin":"(?=<[^>]*?>)","end":"(?<=>)","patterns":[{"include":"text.html.derivative"}]},{"include":"#escape"},{"include":"#ampersand"},{"include":"#bracket"},{"include":"#raw"},{"include":"#bold"},{"include":"#italic"},{"include":"#image-inline"},{"include":"#link-inline"},{"include":"#link-inet"},{"include":"#link-email"},{"include":"#image-ref"},{"include":"#link-ref-literal"},{"include":"#link-ref"},{"include":"#link-ref-shortcut"},{"include":"#strikethrough"}]},"bracket":{"comment":"Markdown will convert this for us. We match it so that the HTML grammar will not mark it up as invalid.","match":"<(?![a-zA-Z/?$!])","name":"meta.other.valid-bracket.markdown"},"escape":{"match":"\\\\\\\\[-`*_#+.!(){}\\\\[\\\\]\\\\\\\\>]","name":"constant.character.escape.markdown"},"fenced_code_block":{"patterns":[{"include":"#fenced_code_block_css"},{"include":"#fenced_code_block_basic"},{"include":"#fenced_code_block_ini"},{"include":"#fenced_code_block_java"},{"include":"#fenced_code_block_lua"},{"include":"#fenced_code_block_makefile"},{"include":"#fenced_code_block_perl"},{"include":"#fenced_code_block_r"},{"include":"#fenced_code_block_ruby"},{"include":"#fenced_code_block_php"},{"include":"#fenced_code_block_sql"},{"include":"#fenced_code_block_vs_net"},{"include":"#fenced_code_block_xml"},{"include":"#fenced_code_block_xsl"},{"include":"#fenced_code_block_yaml"},{"include":"#fenced_code_block_dosbatch"},{"include":"#fenced_code_block_clojure"},{"include":"#fenced_code_block_coffee"},{"include":"#fenced_code_block_c"},{"include":"#fenced_code_block_cpp"},{"include":"#fenced_code_block_diff"},{"include":"#fenced_code_block_dockerfile"},{"include":"#fenced_code_block_git_commit"},{"include":"#fenced_code_block_git_rebase"},{"include":"#fenced_code_block_go"},{"include":"#fenced_code_block_groovy"},{"include":"#fenced_code_block_pug"},{"include":"#fenced_code_block_js"},{"include":"#fenced_code_block_js_regexp"},{"include":"#fenced_code_block_json"},{"include":"#fenced_code_block_jsonc"},{"include":"#fenced_code_block_less"},{"include":"#fenced_code_block_objc"},{"include":"#fenced_code_block_swift"},{"include":"#fenced_code_block_scss"},{"include":"#fenced_code_block_perl6"},{"include":"#fenced_code_block_powershell"},{"include":"#fenced_code_block_python"},{"include":"#fenced_code_block_julia"},{"include":"#fenced_code_block_regexp_python"},{"include":"#fenced_code_block_rust"},{"include":"#fenced_code_block_scala"},{"include":"#fenced_code_block_shell"},{"include":"#fenced_code_block_ts"},{"include":"#fenced_code_block_tsx"},{"include":"#fenced_code_block_csharp"},{"include":"#fenced_code_block_fsharp"},{"include":"#fenced_code_block_dart"},{"include":"#fenced_code_block_handlebars"},{"include":"#fenced_code_block_markdown"},{"include":"#fenced_code_block_log"},{"include":"#fenced_code_block_erlang"},{"include":"#fenced_code_block_elixir"},{"include":"#fenced_code_block_latex"},{"include":"#fenced_code_block_bibtex"},{"include":"#fenced_code_block_twig"},{"include":"#fenced_code_block_unknown"}]},"fenced_code_block_basic":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(html|htm|shtml|xhtml|inc|tmpl|tpl)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.html","patterns":[{"include":"text.html.basic"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_bibtex":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(bibtex)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.bibtex","patterns":[{"include":"text.bibtex"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_c":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(c|h)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.c","patterns":[{"include":"source.c"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_clojure":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(clj|cljs|clojure)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.clojure","patterns":[{"include":"source.clojure"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_coffee":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(coffee|Cakefile|coffee.erb)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.coffee","patterns":[{"include":"source.coffee"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_cpp":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(cpp|c\\\\+\\\\+|cxx)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.cpp source.cpp","patterns":[{"include":"source.cpp"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_csharp":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(cs|csharp|c#)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.csharp","patterns":[{"include":"source.cs"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_css":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(css|css.erb)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.css","patterns":[{"include":"source.css"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_dart":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(dart)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.dart","patterns":[{"include":"source.dart"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_diff":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(patch|diff|rej)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.diff","patterns":[{"include":"source.diff"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_dockerfile":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(dockerfile|Dockerfile)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.dockerfile","patterns":[{"include":"source.dockerfile"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_dosbatch":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(bat|batch)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.dosbatch","patterns":[{"include":"source.batchfile"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_elixir":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(elixir)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.elixir","patterns":[{"include":"source.elixir"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_erlang":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(erlang)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.erlang","patterns":[{"include":"source.erlang"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_fsharp":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(fs|fsharp|f#)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.fsharp","patterns":[{"include":"source.fsharp"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_git_commit":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(COMMIT_EDITMSG|MERGE_MSG)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.git_commit","patterns":[{"include":"text.git-commit"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_git_rebase":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(git-rebase-todo)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.git_rebase","patterns":[{"include":"text.git-rebase"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_go":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(go|golang)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.go","patterns":[{"include":"source.go"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_groovy":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(groovy|gvy)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.groovy","patterns":[{"include":"source.groovy"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_handlebars":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(handlebars|hbs)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.handlebars","patterns":[{"include":"text.html.handlebars"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_ini":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(ini|conf)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.ini","patterns":[{"include":"source.ini"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_java":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(java|bsh)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.java","patterns":[{"include":"source.java"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_js":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(js|jsx|javascript|es6|mjs|cjs|dataviewjs|\\\\{\\\\.js.+?\\\\})((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.javascript","patterns":[{"include":"source.js"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_js_regexp":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(regexp)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.js_regexp","patterns":[{"include":"source.js.regexp"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_json":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(json|json5|sublime-settings|sublime-menu|sublime-keymap|sublime-mousemap|sublime-theme|sublime-build|sublime-project|sublime-completions)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.json","patterns":[{"include":"source.json"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_jsonc":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(jsonc)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.jsonc","patterns":[{"include":"source.json.comments"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_julia":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(julia|\\\\{\\\\.julia.+?\\\\})((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.julia","patterns":[{"include":"source.julia"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_latex":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(latex|tex)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.latex","patterns":[{"include":"text.tex.latex"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_less":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(less)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.less","patterns":[{"include":"source.css.less"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_log":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(log)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.log","patterns":[{"include":"text.log"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_lua":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(lua)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.lua","patterns":[{"include":"source.lua"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_makefile":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(Makefile|makefile|GNUmakefile|OCamlMakefile)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.makefile","patterns":[{"include":"source.makefile"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_markdown":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(markdown|md)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.markdown","patterns":[{"include":"text.html.markdown"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_objc":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(objectivec|objective-c|mm|objc|obj-c|m|h)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.objc","patterns":[{"include":"source.objc"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_perl":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(perl|pl|pm|pod|t|PL|psgi|vcl)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.perl","patterns":[{"include":"source.perl"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_perl6":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(perl6|p6|pl6|pm6|nqp)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.perl6","patterns":[{"include":"source.perl.6"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_php":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(php|php3|php4|php5|phpt|phtml|aw|ctp)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.php","patterns":[{"include":"text.html.basic"},{"include":"source.php"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_powershell":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(powershell|ps1|psm1|psd1|pwsh)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.powershell","patterns":[{"include":"source.powershell"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_pug":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(jade|pug)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.pug","patterns":[{"include":"text.pug"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_python":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(python|py|py3|rpy|pyw|cpy|SConstruct|Sconstruct|sconstruct|SConscript|gyp|gypi|\\\\{\\\\.python.+?\\\\})((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.python","patterns":[{"include":"source.python"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_r":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(R|r|s|S|Rprofile|\\\\{\\\\.r.+?\\\\})((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.r","patterns":[{"include":"source.r"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_regexp_python":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(re)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.regexp_python","patterns":[{"include":"source.regexp.python"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_ruby":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(ruby|rb|rbx|rjs|Rakefile|rake|cgi|fcgi|gemspec|irbrc|Capfile|ru|prawn|Cheffile|Gemfile|Guardfile|Hobofile|Vagrantfile|Appraisals|Rantfile|Berksfile|Berksfile.lock|Thorfile|Puppetfile)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.ruby","patterns":[{"include":"source.ruby"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_rust":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(rust|rs|\\\\{\\\\.rust.+?\\\\})((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.rust","patterns":[{"include":"source.rust"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_scala":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(scala|sbt)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.scala","patterns":[{"include":"source.scala"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_scss":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(scss)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.scss","patterns":[{"include":"source.css.scss"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_shell":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(shell|sh|bash|zsh|bashrc|bash_profile|bash_login|profile|bash_logout|.textmate_init|\\\\{\\\\.bash.+?\\\\})((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.shellscript","patterns":[{"include":"source.shell"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_sql":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(sql|ddl|dml)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.sql","patterns":[{"include":"source.sql"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_swift":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(swift)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.swift","patterns":[{"include":"source.swift"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_ts":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(typescript|ts)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.typescript","patterns":[{"include":"source.ts"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_tsx":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(tsx)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.typescriptreact","patterns":[{"include":"source.tsx"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_twig":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(twig)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.twig","patterns":[{"include":"source.twig"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_unknown":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?=([^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown"},"fenced_code_block_vs_net":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(vb)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.vs_net","patterns":[{"include":"source.asp.vb.net"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_xml":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(xml|xsd|tld|jsp|pt|cpt|dtml|rss|opml)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.xml","patterns":[{"include":"text.xml"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_xsl":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(xsl|xslt)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.xsl","patterns":[{"include":"text.xml.xsl"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"fenced_code_block_yaml":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(yaml|yml)((\\\\s+|:|,|\\\\{|\\\\?)[^`]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown"}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"begin":"(^|\\\\G)(\\\\s*)(.*)","contentName":"meta.embedded.block.yaml","patterns":[{"include":"source.yaml"}],"while":"(^|\\\\G)(?!\\\\s*([`~]{3,})\\\\s*$)"}]},"frontMatter":{"applyEndPatternLast":1,"begin":"\\\\A(?=(-{3,}))","end":"^ {,3}\\\\1-*[ \\\\t]*$|^[ \\\\t]*\\\\.{3}$","endCaptures":{"0":{"name":"punctuation.definition.end.frontmatter"}},"patterns":[{"begin":"\\\\A(-{3,})(.*)$","beginCaptures":{"1":{"name":"punctuation.definition.begin.frontmatter"},"2":{"name":"comment.frontmatter"}},"contentName":"meta.embedded.block.frontmatter","patterns":[{"include":"source.yaml"}],"while":"^(?! {,3}\\\\1-*[ \\\\t]*$|[ \\\\t]*\\\\.{3}$)"}]},"heading":{"captures":{"1":{"patterns":[{"captures":{"1":{"name":"punctuation.definition.heading.markdown"},"2":{"name":"entity.name.section.markdown","patterns":[{"include":"#inline"},{"include":"text.html.derivative"}]},"3":{"name":"punctuation.definition.heading.markdown"}},"match":"(#{6})\\\\s+(.*?)(?:\\\\s+(#+))?\\\\s*$","name":"heading.6.markdown"},{"captures":{"1":{"name":"punctuation.definition.heading.markdown"},"2":{"name":"entity.name.section.markdown","patterns":[{"include":"#inline"},{"include":"text.html.derivative"}]},"3":{"name":"punctuation.definition.heading.markdown"}},"match":"(#{5})\\\\s+(.*?)(?:\\\\s+(#+))?\\\\s*$","name":"heading.5.markdown"},{"captures":{"1":{"name":"punctuation.definition.heading.markdown"},"2":{"name":"entity.name.section.markdown","patterns":[{"include":"#inline"},{"include":"text.html.derivative"}]},"3":{"name":"punctuation.definition.heading.markdown"}},"match":"(#{4})\\\\s+(.*?)(?:\\\\s+(#+))?\\\\s*$","name":"heading.4.markdown"},{"captures":{"1":{"name":"punctuation.definition.heading.markdown"},"2":{"name":"entity.name.section.markdown","patterns":[{"include":"#inline"},{"include":"text.html.derivative"}]},"3":{"name":"punctuation.definition.heading.markdown"}},"match":"(#{3})\\\\s+(.*?)(?:\\\\s+(#+))?\\\\s*$","name":"heading.3.markdown"},{"captures":{"1":{"name":"punctuation.definition.heading.markdown"},"2":{"name":"entity.name.section.markdown","patterns":[{"include":"#inline"},{"include":"text.html.derivative"}]},"3":{"name":"punctuation.definition.heading.markdown"}},"match":"(#{2})\\\\s+(.*?)(?:\\\\s+(#+))?\\\\s*$","name":"heading.2.markdown"},{"captures":{"1":{"name":"punctuation.definition.heading.markdown"},"2":{"name":"entity.name.section.markdown","patterns":[{"include":"#inline"},{"include":"text.html.derivative"}]},"3":{"name":"punctuation.definition.heading.markdown"}},"match":"(#{1})\\\\s+(.*?)(?:\\\\s+(#+))?\\\\s*$","name":"heading.1.markdown"}]}},"match":"(?:^|\\\\G)[ ]{0,3}(#{1,6}\\\\s+(.*?)(\\\\s+#{1,6})?\\\\s*)$","name":"markup.heading.markdown"},"heading-setext":{"patterns":[{"match":"^(={3,})(?=[ \\\\t]*$\\\\n?)","name":"markup.heading.setext.1.markdown"},{"match":"^(-{3,})(?=[ \\\\t]*$\\\\n?)","name":"markup.heading.setext.2.markdown"}]},"html":{"patterns":[{"begin":"(^|\\\\G)\\\\s*(<!--)","captures":{"1":{"name":"punctuation.definition.comment.html"},"2":{"name":"punctuation.definition.comment.html"}},"end":"(-->)","name":"comment.block.html"},{"begin":"(?i)(^|\\\\G)\\\\s*(?=<(script|style|pre)(\\\\s|$|>)(?!.*?</(script|style|pre)>))","end":"(?i)(.*)((</)(script|style|pre)(>))","endCaptures":{"1":{"patterns":[{"include":"text.html.derivative"}]},"2":{"name":"meta.tag.structure.$4.end.html"},"3":{"name":"punctuation.definition.tag.begin.html"},"4":{"name":"entity.name.tag.html"},"5":{"name":"punctuation.definition.tag.end.html"}},"patterns":[{"begin":"(\\\\s*|$)","patterns":[{"include":"text.html.derivative"}],"while":"(?i)^(?!.*</(script|style|pre)>)"}]},{"begin":"(?i)(^|\\\\G)\\\\s*(?=</?[a-zA-Z]+[^\\\\s/&gt;]*(\\\\s|$|/?>))","patterns":[{"include":"text.html.derivative"}],"while":"^(?!\\\\s*$)"},{"begin":"(^|\\\\G)\\\\s*(?=(<[a-zA-Z0-9\\\\-](/?>|\\\\s.*?>)|</[a-zA-Z0-9\\\\-]>)\\\\s*$)","patterns":[{"include":"text.html.derivative"}],"while":"^(?!\\\\s*$)"}]},"image-inline":{"captures":{"1":{"name":"punctuation.definition.link.description.begin.markdown"},"2":{"name":"string.other.link.description.markdown"},"4":{"name":"punctuation.definition.link.description.end.markdown"},"5":{"name":"punctuation.definition.metadata.markdown"},"7":{"name":"punctuation.definition.link.markdown"},"8":{"name":"markup.underline.link.image.markdown"},"9":{"name":"punctuation.definition.link.markdown"},"10":{"name":"markup.underline.link.image.markdown"},"12":{"name":"string.other.link.description.title.markdown"},"13":{"name":"punctuation.definition.string.begin.markdown"},"14":{"name":"punctuation.definition.string.end.markdown"},"15":{"name":"string.other.link.description.title.markdown"},"16":{"name":"punctuation.definition.string.begin.markdown"},"17":{"name":"punctuation.definition.string.end.markdown"},"18":{"name":"string.other.link.description.title.markdown"},"19":{"name":"punctuation.definition.string.begin.markdown"},"20":{"name":"punctuation.definition.string.end.markdown"},"21":{"name":"punctuation.definition.metadata.markdown"}},"match":"(!\\\\[)((?<square>[^\\\\[\\\\]\\\\\\\\]|\\\\\\\\.|\\\\[\\\\g<square>*+\\\\])*+)(\\\\])(\\\\()[ \\\\t]*((<)((?:\\\\\\\\[<>]|[^<>\\\\n])*)(>)|((?<url>(?>[^\\\\s()]+)|\\\\(\\\\g<url>*\\\\))*))[ \\\\t]*(?:((\\\\().+?(\\\\)))|((\\").+?(\\"))|((\').+?(\')))?\\\\s*(\\\\))","name":"meta.image.inline.markdown"},"image-ref":{"captures":{"1":{"name":"punctuation.definition.link.description.begin.markdown"},"2":{"name":"string.other.link.description.markdown"},"4":{"name":"punctuation.definition.link.description.end.markdown"},"5":{"name":"punctuation.definition.constant.markdown"},"6":{"name":"constant.other.reference.link.markdown"},"7":{"name":"punctuation.definition.constant.markdown"}},"match":"(!\\\\[)((?<square>[^\\\\[\\\\]\\\\\\\\]|\\\\\\\\.|\\\\[\\\\g<square>*+\\\\])*+)(\\\\])[ ]?(\\\\[)(.*?)(\\\\])","name":"meta.image.reference.markdown"},"inline":{"patterns":[{"include":"#ampersand"},{"include":"#bracket"},{"include":"#bold"},{"include":"#italic"},{"include":"#raw"},{"include":"#strikethrough"},{"include":"#escape"},{"include":"#image-inline"},{"include":"#image-ref"},{"include":"#link-email"},{"include":"#link-inet"},{"include":"#link-inline"},{"include":"#link-ref"},{"include":"#link-ref-literal"},{"include":"#link-ref-shortcut"}]},"italic":{"begin":"(?<open>(\\\\*(?=\\\\w)|(?<!\\\\w)\\\\*|(?<!\\\\w)\\\\b_))(?=\\\\S)(?=(<[^>]*+>|(?<raw>`+)([^`]|(?!(?<!`)\\\\k<raw>(?!`))`)*+\\\\k<raw>|\\\\\\\\[\\\\\\\\`*_{}\\\\[\\\\]()#.!+\\\\->]?+|\\\\[((?<square>[^\\\\[\\\\]\\\\\\\\]|\\\\\\\\.|\\\\[\\\\g<square>*+\\\\])*+\\\\](([ ]?\\\\[[^\\\\]]*+\\\\])|(\\\\([ \\\\t]*+<?(.*?)>?[ \\\\t]*+((?<title>[\'\\"])(.*?)\\\\k<title>)?\\\\))))|\\\\k<open>\\\\k<open>|(?!(?<=\\\\S)\\\\k<open>).)++(?<=\\\\S)(?=_\\\\b|\\\\*)\\\\k<open>)","captures":{"1":{"name":"punctuation.definition.italic.markdown"}},"end":"(?<=\\\\S)(\\\\1)((?!\\\\1)|(?=\\\\1\\\\1))","name":"markup.italic.markdown","patterns":[{"applyEndPatternLast":1,"begin":"(?=<[^>]*?>)","end":"(?<=>)","patterns":[{"include":"text.html.derivative"}]},{"include":"#escape"},{"include":"#ampersand"},{"include":"#bracket"},{"include":"#raw"},{"include":"#bold"},{"include":"#image-inline"},{"include":"#link-inline"},{"include":"#link-inet"},{"include":"#link-email"},{"include":"#image-ref"},{"include":"#link-ref-literal"},{"include":"#link-ref"},{"include":"#link-ref-shortcut"},{"include":"#strikethrough"}]},"link-def":{"captures":{"1":{"name":"punctuation.definition.constant.markdown"},"2":{"name":"constant.other.reference.link.markdown"},"3":{"name":"punctuation.definition.constant.markdown"},"4":{"name":"punctuation.separator.key-value.markdown"},"5":{"name":"punctuation.definition.link.markdown"},"6":{"name":"markup.underline.link.markdown"},"7":{"name":"punctuation.definition.link.markdown"},"8":{"name":"markup.underline.link.markdown"},"9":{"name":"string.other.link.description.title.markdown"},"10":{"name":"punctuation.definition.string.begin.markdown"},"11":{"name":"punctuation.definition.string.end.markdown"},"12":{"name":"string.other.link.description.title.markdown"},"13":{"name":"punctuation.definition.string.begin.markdown"},"14":{"name":"punctuation.definition.string.end.markdown"},"15":{"name":"string.other.link.description.title.markdown"},"16":{"name":"punctuation.definition.string.begin.markdown"},"17":{"name":"punctuation.definition.string.end.markdown"}},"match":"\\\\s*(\\\\[)([^]]+?)(\\\\])(:)[ \\\\t]*(?:(<)((?:\\\\\\\\[<>]|[^<>\\\\n])*)(>)|(\\\\S+?))[ \\\\t]*(?:((\\\\().+?(\\\\)))|((\\").+?(\\"))|((\').+?(\')))?\\\\s*$","name":"meta.link.reference.def.markdown"},"link-email":{"captures":{"1":{"name":"punctuation.definition.link.markdown"},"2":{"name":"markup.underline.link.markdown"},"4":{"name":"punctuation.definition.link.markdown"}},"match":"(<)((?:mailto:)?[a-zA-Z0-9.!#$%&\'*+/=?^_`{|}~-]+@[a-zA-Z0-9-]+(?:\\\\.[a-zA-Z0-9-]+)*)(>)","name":"meta.link.email.lt-gt.markdown"},"link-inet":{"captures":{"1":{"name":"punctuation.definition.link.markdown"},"2":{"name":"markup.underline.link.markdown"},"3":{"name":"punctuation.definition.link.markdown"}},"match":"(<)((?:https?|ftp)://.*?)(>)","name":"meta.link.inet.markdown"},"link-inline":{"captures":{"1":{"name":"punctuation.definition.link.title.begin.markdown"},"2":{"name":"string.other.link.title.markdown","patterns":[{"include":"#raw"},{"include":"#bold"},{"include":"#italic"},{"include":"#strikethrough"},{"include":"#image-inline"}]},"4":{"name":"punctuation.definition.link.title.end.markdown"},"5":{"name":"punctuation.definition.metadata.markdown"},"7":{"name":"punctuation.definition.link.markdown"},"8":{"name":"markup.underline.link.markdown"},"9":{"name":"punctuation.definition.link.markdown"},"10":{"name":"markup.underline.link.markdown"},"12":{"name":"string.other.link.description.title.markdown"},"13":{"name":"punctuation.definition.string.begin.markdown"},"14":{"name":"punctuation.definition.string.end.markdown"},"15":{"name":"string.other.link.description.title.markdown"},"16":{"name":"punctuation.definition.string.begin.markdown"},"17":{"name":"punctuation.definition.string.end.markdown"},"18":{"name":"string.other.link.description.title.markdown"},"19":{"name":"punctuation.definition.string.begin.markdown"},"20":{"name":"punctuation.definition.string.end.markdown"},"21":{"name":"punctuation.definition.metadata.markdown"}},"match":"(\\\\[)((?<square>[^\\\\[\\\\]\\\\\\\\]|\\\\\\\\.|\\\\[\\\\g<square>*+\\\\])*+)(\\\\])(\\\\()[ \\\\t]*((<)((?:\\\\\\\\[<>]|[^<>\\\\n])*)(>)|((?<url>(?>[^\\\\s()]+)|\\\\(\\\\g<url>*\\\\))*))[ \\\\t]*(?:((\\\\()[^()]*(\\\\)))|((\\")[^\\"]*(\\"))|((\')[^\']*(\')))?\\\\s*(\\\\))","name":"meta.link.inline.markdown"},"link-ref":{"captures":{"1":{"name":"punctuation.definition.link.title.begin.markdown"},"2":{"name":"string.other.link.title.markdown","patterns":[{"include":"#raw"},{"include":"#bold"},{"include":"#italic"},{"include":"#strikethrough"},{"include":"#image-inline"}]},"4":{"name":"punctuation.definition.link.title.end.markdown"},"5":{"name":"punctuation.definition.constant.begin.markdown"},"6":{"name":"constant.other.reference.link.markdown"},"7":{"name":"punctuation.definition.constant.end.markdown"}},"match":"(?<![\\\\]\\\\\\\\])(\\\\[)((?<square>[^\\\\[\\\\]\\\\\\\\]|\\\\\\\\.|\\\\[\\\\g<square>*+\\\\])*+)(\\\\])(\\\\[)([^\\\\]]*+)(\\\\])","name":"meta.link.reference.markdown"},"link-ref-literal":{"captures":{"1":{"name":"punctuation.definition.link.title.begin.markdown"},"2":{"name":"string.other.link.title.markdown"},"4":{"name":"punctuation.definition.link.title.end.markdown"},"5":{"name":"punctuation.definition.constant.begin.markdown"},"6":{"name":"punctuation.definition.constant.end.markdown"}},"match":"(?<![\\\\]\\\\\\\\])(\\\\[)((?<square>[^\\\\[\\\\]\\\\\\\\]|\\\\\\\\.|\\\\[\\\\g<square>*+\\\\])*+)(\\\\])[ ]?(\\\\[)(\\\\])","name":"meta.link.reference.literal.markdown"},"link-ref-shortcut":{"captures":{"1":{"name":"punctuation.definition.link.title.begin.markdown"},"2":{"name":"string.other.link.title.markdown"},"3":{"name":"punctuation.definition.link.title.end.markdown"}},"match":"(?<![\\\\]\\\\\\\\])(\\\\[)((?:[^\\\\s\\\\[\\\\]\\\\\\\\]|\\\\\\\\[\\\\[\\\\]])+?)((?<!\\\\\\\\)\\\\])","name":"meta.link.reference.markdown"},"list_paragraph":{"begin":"(^|\\\\G)(?=\\\\S)(?![*+->]\\\\s|\\\\d+\\\\.\\\\s)","name":"meta.paragraph.markdown","patterns":[{"include":"#inline"},{"include":"text.html.derivative"},{"include":"#heading-setext"}],"while":"(^|\\\\G)(?!\\\\s*$|#|[ ]{0,3}([-*_>][ ]{2,}){3,}[ \\\\t]*$\\\\n?|[ ]{0,3}[*+->]|[ ]{0,3}\\\\d+\\\\.)"},"lists":{"patterns":[{"begin":"(^|\\\\G)([ ]{0,3})([*+-])([ \\\\t])","beginCaptures":{"3":{"name":"punctuation.definition.list.begin.markdown"}},"comment":"Currently does not support un-indented second lines.","name":"markup.list.unnumbered.markdown","patterns":[{"include":"#block"},{"include":"#list_paragraph"}],"while":"((^|\\\\G)([ ]{2,4}|\\\\t))|(^[ \\\\t]*$)"},{"begin":"(^|\\\\G)([ ]{0,3})(\\\\d+[\\\\.)])([ \\\\t])","beginCaptures":{"3":{"name":"punctuation.definition.list.begin.markdown"}},"name":"markup.list.numbered.markdown","patterns":[{"include":"#block"},{"include":"#list_paragraph"}],"while":"((^|\\\\G)([ ]{2,4}|\\\\t))|(^[ \\\\t]*$)"}]},"paragraph":{"begin":"(^|\\\\G)[ ]{0,3}(?=[^ \\\\t\\\\n])","name":"meta.paragraph.markdown","patterns":[{"include":"#inline"},{"include":"text.html.derivative"},{"include":"#heading-setext"}],"while":"(^|\\\\G)((?=\\\\s*[-=]{3,}\\\\s*$)|[ ]{4,}(?=[^ \\\\t\\\\n]))"},"raw":{"captures":{"1":{"name":"punctuation.definition.raw.markdown"},"3":{"name":"punctuation.definition.raw.markdown"}},"match":"(`+)((?:[^`]|(?!(?<!`)\\\\1(?!`))`)*+)(\\\\1)","name":"markup.inline.raw.string.markdown"},"raw_block":{"begin":"(^|\\\\G)([ ]{4}|\\\\t)","name":"markup.raw.block.markdown","while":"(^|\\\\G)([ ]{4}|\\\\t)"},"separator":{"match":"(^|\\\\G)[ ]{0,3}([\\\\*\\\\-_])([ ]{0,2}\\\\2){2,}[ \\\\t]*$\\\\n?","name":"meta.separator.markdown"},"strikethrough":{"captures":{"1":{"name":"punctuation.definition.strikethrough.markdown"},"2":{"patterns":[{"applyEndPatternLast":1,"begin":"(?=<[^>]*?>)","end":"(?<=>)","patterns":[{"include":"text.html.derivative"}]},{"include":"#escape"},{"include":"#ampersand"},{"include":"#bracket"},{"include":"#raw"},{"include":"#bold"},{"include":"#italic"},{"include":"#image-inline"},{"include":"#link-inline"},{"include":"#link-inet"},{"include":"#link-email"},{"include":"#image-ref"},{"include":"#link-ref-literal"},{"include":"#link-ref"},{"include":"#link-ref-shortcut"}]},"3":{"name":"punctuation.definition.strikethrough.markdown"}},"match":"(?<!\\\\\\\\)(~{2,})((?:[^~]|(?!(?<![~\\\\\\\\])\\\\1(?!~))~)*+)(\\\\1)","name":"markup.strikethrough.markdown"},"table":{"begin":"(^|\\\\G)(\\\\|)(?=[^|].+\\\\|\\\\s*$)","beginCaptures":{"2":{"name":"punctuation.definition.table.markdown"}},"name":"markup.table.markdown","patterns":[{"match":"\\\\|","name":"punctuation.definition.table.markdown"},{"captures":{"1":{"name":"punctuation.separator.table.markdown"}},"match":"(?<=\\\\|)\\\\s*(:?-+:?)\\\\s*(?=\\\\|)"},{"captures":{"1":{"patterns":[{"include":"#inline"}]}},"match":"(?<=\\\\|)\\\\s*(?=\\\\S)((\\\\\\\\\\\\||[^|])+)(?<=\\\\S)\\\\s*(?=\\\\|)"}],"while":"(^|\\\\G)(?=\\\\|)"}},"scopeName":"text.html.markdown","embeddedLangs":[],"aliases":["md"],"embeddedLangsLazy":["css","html","ini","java","lua","make","perl","r","ruby","php","sql","vb","xml","xsl","yaml","bat","clojure","coffee","c","cpp","diff","docker","git-commit","git-rebase","go","groovy","pug","javascript","json","jsonc","less","objective-c","swift","scss","raku","powershell","python","julia","regexp","rust","scala","shellscript","typescript","tsx","csharp","fsharp","dart","handlebars","log","erlang","elixir","latex","bibtex","html-derivative"]}')),ni=[gi],Ui=Object.freeze(Object.defineProperty({__proto__:null,default:ni},Symbol.toStringTag,{value:"Module"})),Bi=Object.freeze(JSON.parse(`{"displayName":"JavaScript","name":"javascript","patterns":[{"include":"#directives"},{"include":"#statements"},{"include":"#shebang"}],"repository":{"access-modifier":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(abstract|declare|override|public|protected|private|readonly|static)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.js"},"after-operator-block-as-object-literal":{"begin":"(?<!\\\\+\\\\+|--)(?<=[:=(,\\\\[?+!>]|^await|[^\\\\._$0-9A-Za-z]await|^return|[^\\\\._$0-9A-Za-z]return|^yield|[^\\\\._$0-9A-Za-z]yield|^throw|[^\\\\._$0-9A-Za-z]throw|^in|[^\\\\._$0-9A-Za-z]in|^of|[^\\\\._$0-9A-Za-z]of|^typeof|[^\\\\._$0-9A-Za-z]typeof|&&|\\\\|\\\\||\\\\*)\\\\s*(\\\\{)","beginCaptures":{"1":{"name":"punctuation.definition.block.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"name":"meta.objectliteral.js","patterns":[{"include":"#object-member"}]},"array-binding-pattern":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\[)","beginCaptures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"punctuation.definition.binding-pattern.array.js"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.array.js"}},"patterns":[{"include":"#binding-element"},{"include":"#punctuation-comma"}]},"array-binding-pattern-const":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\[)","beginCaptures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"punctuation.definition.binding-pattern.array.js"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.array.js"}},"patterns":[{"include":"#binding-element-const"},{"include":"#punctuation-comma"}]},"array-literal":{"begin":"\\\\s*(\\\\[)","beginCaptures":{"1":{"name":"meta.brace.square.js"}},"end":"\\\\]","endCaptures":{"0":{"name":"meta.brace.square.js"}},"name":"meta.array.literal.js","patterns":[{"include":"#expression"},{"include":"#punctuation-comma"}]},"arrow-function":{"patterns":[{"captures":{"1":{"name":"storage.modifier.async.js"},"2":{"name":"variable.parameter.js"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(\\\\basync)\\\\s+)?([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?==>)","name":"meta.arrow.js"},{"begin":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(\\\\basync))?((?<![})!\\\\]])\\\\s*(?=((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))","beginCaptures":{"1":{"name":"storage.modifier.async.js"}},"end":"(?==>|\\\\{|(^\\\\s*(export|function|class|interface|let|var|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|const|import|enum|namespace|module|type|abstract|declare)\\\\s+))","name":"meta.arrow.js","patterns":[{"include":"#comment"},{"include":"#type-parameters"},{"include":"#function-parameters"},{"include":"#arrow-return-type"},{"include":"#possibly-arrow-return-type"}]},{"begin":"=>","beginCaptures":{"0":{"name":"storage.type.function.arrow.js"}},"end":"((?<=\\\\}|\\\\S)(?<!=>)|((?!\\\\{)(?=\\\\S)))(?!\\\\/[\\\\/\\\\*])","name":"meta.arrow.js","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#decl-block"},{"include":"#expression"}]}]},"arrow-return-type":{"begin":"(?<=\\\\))\\\\s*(:)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.js"}},"end":"(?==>|\\\\{|(^\\\\s*(export|function|class|interface|let|var|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|const|import|enum|namespace|module|type|abstract|declare)\\\\s+))","name":"meta.return.type.arrow.js","patterns":[{"include":"#arrow-return-type-body"}]},"arrow-return-type-body":{"patterns":[{"begin":"(?<=[:])(?=\\\\s*\\\\{)","end":"(?<=\\\\})","patterns":[{"include":"#type-object"}]},{"include":"#type-predicate-operator"},{"include":"#type"}]},"async-modifier":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(async)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.async.js"},"binding-element":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#numeric-literal"},{"include":"#regex"},{"include":"#object-binding-pattern"},{"include":"#array-binding-pattern"},{"include":"#destructuring-variable-rest"},{"include":"#variable-initializer"}]},"binding-element-const":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#numeric-literal"},{"include":"#regex"},{"include":"#object-binding-pattern-const"},{"include":"#array-binding-pattern-const"},{"include":"#destructuring-variable-rest-const"},{"include":"#variable-initializer"}]},"boolean-literal":{"patterns":[{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))true(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.boolean.true.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))false(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.boolean.false.js"}]},"brackets":{"patterns":[{"begin":"{","end":"}|(?=\\\\*/)","patterns":[{"include":"#brackets"}]},{"begin":"\\\\[","end":"\\\\]|(?=\\\\*/)","patterns":[{"include":"#brackets"}]}]},"cast":{"patterns":[{"include":"#jsx"}]},"class-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(?:(abstract)\\\\s+)?\\\\b(class)\\\\b(?=\\\\s+|/[/*])","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.modifier.js"},"4":{"name":"storage.type.class.js"}},"end":"(?<=\\\\})","name":"meta.class.js","patterns":[{"include":"#class-declaration-or-expression-patterns"}]},"class-declaration-or-expression-patterns":{"patterns":[{"include":"#comment"},{"include":"#class-or-interface-heritage"},{"captures":{"0":{"name":"entity.name.type.class.js"}},"match":"[_$A-Za-z][_$0-9A-Za-z]*"},{"include":"#type-parameters"},{"include":"#class-or-interface-body"}]},"class-expression":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(abstract)\\\\s+)?(class)\\\\b(?=\\\\s+|[<{]|\\\\/[\\\\/*])","beginCaptures":{"1":{"name":"storage.modifier.js"},"2":{"name":"storage.type.class.js"}},"end":"(?<=\\\\})","name":"meta.class.js","patterns":[{"include":"#class-declaration-or-expression-patterns"}]},"class-or-interface-body":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"patterns":[{"include":"#comment"},{"include":"#decorator"},{"begin":"(?<=:)\\\\s*","end":"(?=\\\\s|[;),}\\\\]:\\\\-+]|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#expression"}]},{"include":"#method-declaration"},{"include":"#indexer-declaration"},{"include":"#field-declaration"},{"include":"#string"},{"include":"#type-annotation"},{"include":"#variable-initializer"},{"include":"#access-modifier"},{"include":"#property-accessor"},{"include":"#async-modifier"},{"include":"#after-operator-block-as-object-literal"},{"include":"#decl-block"},{"include":"#expression"},{"include":"#punctuation-comma"},{"include":"#punctuation-semicolon"}]},"class-or-interface-heritage":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(extends|implements)\\\\b)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"storage.modifier.js"}},"end":"(?=\\\\{)","patterns":[{"include":"#comment"},{"include":"#class-or-interface-heritage"},{"include":"#type-parameters"},{"include":"#expressionWithoutIdentifiers"},{"captures":{"1":{"name":"entity.name.type.module.js"},"2":{"name":"punctuation.accessor.js"},"3":{"name":"punctuation.accessor.optional.js"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))(?=\\\\s*[_$A-Za-z][_$0-9A-Za-z]*(\\\\s*\\\\??\\\\.\\\\s*[_$A-Za-z][_$0-9A-Za-z]*)*\\\\s*)"},{"captures":{"1":{"name":"entity.other.inherited-class.js"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)"},{"include":"#expressionPunctuations"}]},"comment":{"patterns":[{"begin":"/\\\\*\\\\*(?!/)","beginCaptures":{"0":{"name":"punctuation.definition.comment.js"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.js"}},"name":"comment.block.documentation.js","patterns":[{"include":"#docblock"}]},{"begin":"(/\\\\*)(?:\\\\s*((@)internal)(?=\\\\s|(\\\\*/)))?","beginCaptures":{"1":{"name":"punctuation.definition.comment.js"},"2":{"name":"storage.type.internaldeclaration.js"},"3":{"name":"punctuation.decorator.internaldeclaration.js"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.js"}},"name":"comment.block.js"},{"begin":"(^[ \\\\t]+)?((//)(?:\\\\s*((@)internal)(?=\\\\s|$))?)","beginCaptures":{"1":{"name":"punctuation.whitespace.comment.leading.js"},"2":{"name":"comment.line.double-slash.js"},"3":{"name":"punctuation.definition.comment.js"},"4":{"name":"storage.type.internaldeclaration.js"},"5":{"name":"punctuation.decorator.internaldeclaration.js"}},"contentName":"comment.line.double-slash.js","end":"(?=$)"}]},"control-statement":{"patterns":[{"include":"#switch-statement"},{"include":"#for-loop"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(catch|finally|throw|try)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.trycatch.js"},{"captures":{"1":{"name":"keyword.control.loop.js"},"2":{"name":"entity.name.label.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(break|continue|goto)\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(break|continue|do|goto|while)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.loop.js"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(return)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"0":{"name":"keyword.control.flow.js"}},"end":"(?=[;}]|$|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#expression"}]},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(case|default|switch)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.switch.js"},{"include":"#if-statement"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(else|if)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.conditional.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(with)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.with.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(package)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(debugger)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.other.debugger.js"}]},"decl-block":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"name":"meta.block.js","patterns":[{"include":"#statements"}]},"declaration":{"patterns":[{"include":"#decorator"},{"include":"#var-expr"},{"include":"#function-declaration"},{"include":"#class-declaration"},{"include":"#interface-declaration"},{"include":"#enum-declaration"},{"include":"#namespace-declaration"},{"include":"#type-alias-declaration"},{"include":"#import-equals-declaration"},{"include":"#import-declaration"},{"include":"#export-declaration"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(declare|export)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.js"}]},"decorator":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))\\\\@","beginCaptures":{"0":{"name":"punctuation.decorator.js"}},"end":"(?=\\\\s)","name":"meta.decorator.js","patterns":[{"include":"#expression"}]},"destructuring-const":{"patterns":[{"begin":"(?<!=|:|^of|[^\\\\._$0-9A-Za-z]of|^in|[^\\\\._$0-9A-Za-z]in)\\\\s*(?=\\\\{)","end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","name":"meta.object-binding-pattern-variable.js","patterns":[{"include":"#object-binding-pattern-const"},{"include":"#type-annotation"},{"include":"#comment"}]},{"begin":"(?<!=|:|^of|[^\\\\._$0-9A-Za-z]of|^in|[^\\\\._$0-9A-Za-z]in)\\\\s*(?=\\\\[)","end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","name":"meta.array-binding-pattern-variable.js","patterns":[{"include":"#array-binding-pattern-const"},{"include":"#type-annotation"},{"include":"#comment"}]}]},"destructuring-parameter":{"patterns":[{"begin":"(?<!=|:)\\\\s*(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\{)","beginCaptures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"punctuation.definition.binding-pattern.object.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.object.js"}},"name":"meta.parameter.object-binding-pattern.js","patterns":[{"include":"#parameter-object-binding-element"}]},{"begin":"(?<!=|:)\\\\s*(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\[)","beginCaptures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"punctuation.definition.binding-pattern.array.js"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.array.js"}},"name":"meta.paramter.array-binding-pattern.js","patterns":[{"include":"#parameter-binding-element"},{"include":"#punctuation-comma"}]}]},"destructuring-parameter-rest":{"captures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"variable.parameter.js"}},"match":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?([_$A-Za-z][_$0-9A-Za-z]*)"},"destructuring-variable":{"patterns":[{"begin":"(?<!=|:|^of|[^\\\\._$0-9A-Za-z]of|^in|[^\\\\._$0-9A-Za-z]in)\\\\s*(?=\\\\{)","end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","name":"meta.object-binding-pattern-variable.js","patterns":[{"include":"#object-binding-pattern"},{"include":"#type-annotation"},{"include":"#comment"}]},{"begin":"(?<!=|:|^of|[^\\\\._$0-9A-Za-z]of|^in|[^\\\\._$0-9A-Za-z]in)\\\\s*(?=\\\\[)","end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","name":"meta.array-binding-pattern-variable.js","patterns":[{"include":"#array-binding-pattern"},{"include":"#type-annotation"},{"include":"#comment"}]}]},"destructuring-variable-rest":{"captures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"meta.definition.variable.js variable.other.readwrite.js"}},"match":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?([_$A-Za-z][_$0-9A-Za-z]*)"},"destructuring-variable-rest-const":{"captures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"meta.definition.variable.js variable.other.constant.js"}},"match":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?([_$A-Za-z][_$0-9A-Za-z]*)"},"directives":{"begin":"^(///)\\\\s*(?=<(reference|amd-dependency|amd-module)(\\\\s+(path|types|no-default-lib|lib|name|resolution-mode)\\\\s*=\\\\s*((\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)))+\\\\s*/>\\\\s*$)","beginCaptures":{"1":{"name":"punctuation.definition.comment.js"}},"end":"(?=$)","name":"comment.line.triple-slash.directive.js","patterns":[{"begin":"(<)(reference|amd-dependency|amd-module)","beginCaptures":{"1":{"name":"punctuation.definition.tag.directive.js"},"2":{"name":"entity.name.tag.directive.js"}},"end":"/>","endCaptures":{"0":{"name":"punctuation.definition.tag.directive.js"}},"name":"meta.tag.js","patterns":[{"match":"path|types|no-default-lib|lib|name|resolution-mode","name":"entity.other.attribute-name.directive.js"},{"match":"=","name":"keyword.operator.assignment.js"},{"include":"#string"}]}]},"docblock":{"patterns":[{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"constant.language.access-type.jsdoc"}},"match":"((@)(?:access|api))\\\\s+(private|protected|public)\\\\b"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"entity.name.type.instance.jsdoc"},"4":{"name":"punctuation.definition.bracket.angle.begin.jsdoc"},"5":{"name":"constant.other.email.link.underline.jsdoc"},"6":{"name":"punctuation.definition.bracket.angle.end.jsdoc"}},"match":"((@)author)\\\\s+([^@\\\\s<>*/](?:[^@<>*/]|\\\\*[^/])*)(?:\\\\s*(<)([^>\\\\s]+)(>))?"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"entity.name.type.instance.jsdoc"},"4":{"name":"keyword.operator.control.jsdoc"},"5":{"name":"entity.name.type.instance.jsdoc"}},"match":"((@)borrows)\\\\s+((?:[^@\\\\s*/]|\\\\*[^/])+)\\\\s+(as)\\\\s+((?:[^@\\\\s*/]|\\\\*[^/])+)"},{"begin":"((@)example)\\\\s+","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=@|\\\\*/)","name":"meta.example.jsdoc","patterns":[{"match":"^\\\\s\\\\*\\\\s+"},{"begin":"\\\\G(<)caption(>)","beginCaptures":{"0":{"name":"entity.name.tag.inline.jsdoc"},"1":{"name":"punctuation.definition.bracket.angle.begin.jsdoc"},"2":{"name":"punctuation.definition.bracket.angle.end.jsdoc"}},"contentName":"constant.other.description.jsdoc","end":"(</)caption(>)|(?=\\\\*/)","endCaptures":{"0":{"name":"entity.name.tag.inline.jsdoc"},"1":{"name":"punctuation.definition.bracket.angle.begin.jsdoc"},"2":{"name":"punctuation.definition.bracket.angle.end.jsdoc"}}},{"captures":{"0":{"name":"source.embedded.js"}},"match":"[^\\\\s@*](?:[^*]|\\\\*[^/])*"}]},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"constant.language.symbol-type.jsdoc"}},"match":"((@)kind)\\\\s+(class|constant|event|external|file|function|member|mixin|module|namespace|typedef)\\\\b"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.link.underline.jsdoc"},"4":{"name":"entity.name.type.instance.jsdoc"}},"match":"((@)see)\\\\s+(?:((?=https?://)(?:[^\\\\s*]|\\\\*[^/])+)|((?!https?://|(?:\\\\[[^\\\\[\\\\]]*\\\\])?{@(?:link|linkcode|linkplain|tutorial)\\\\b)(?:[^@\\\\s*/]|\\\\*[^/])+))"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.jsdoc"}},"match":"((@)template)\\\\s+([A-Za-z_$][\\\\w$.\\\\[\\\\]]*(?:\\\\s*,\\\\s*[A-Za-z_$][\\\\w$.\\\\[\\\\]]*)*)"},{"begin":"((@)template)\\\\s+(?={)","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=\\\\s|\\\\*/|[^{}\\\\[\\\\]A-Za-z_$])","patterns":[{"include":"#jsdoctype"},{"match":"([A-Za-z_$][\\\\w$.\\\\[\\\\]]*)","name":"variable.other.jsdoc"}]},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.jsdoc"}},"match":"((@)(?:arg|argument|const|constant|member|namespace|param|var))\\\\s+([A-Za-z_$][\\\\w$.\\\\[\\\\]]*)"},{"begin":"((@)typedef)\\\\s+(?={)","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=\\\\s|\\\\*/|[^{}\\\\[\\\\]A-Za-z_$])","patterns":[{"include":"#jsdoctype"},{"match":"(?:[^@\\\\s*/]|\\\\*[^/])+","name":"entity.name.type.instance.jsdoc"}]},{"begin":"((@)(?:arg|argument|const|constant|member|namespace|param|prop|property|var))\\\\s+(?={)","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=\\\\s|\\\\*/|[^{}\\\\[\\\\]A-Za-z_$])","patterns":[{"include":"#jsdoctype"},{"match":"([A-Za-z_$][\\\\w$.\\\\[\\\\]]*)","name":"variable.other.jsdoc"},{"captures":{"1":{"name":"punctuation.definition.optional-value.begin.bracket.square.jsdoc"},"2":{"name":"keyword.operator.assignment.jsdoc"},"3":{"name":"source.embedded.js"},"4":{"name":"punctuation.definition.optional-value.end.bracket.square.jsdoc"},"5":{"name":"invalid.illegal.syntax.jsdoc"}},"match":"(\\\\[)\\\\s*[\\\\w$]+(?:(?:\\\\[\\\\])?\\\\.[\\\\w$]+)*(?:\\\\s*(=)\\\\s*((?>\\"(?:(?:\\\\*(?!/))|(?:\\\\\\\\(?!\\"))|[^*\\\\\\\\])*?\\"|'(?:(?:\\\\*(?!/))|(?:\\\\\\\\(?!'))|[^*\\\\\\\\])*?'|\\\\[(?:(?:\\\\*(?!/))|[^*])*?\\\\]|(?:(?:\\\\*(?!/))|\\\\s(?!\\\\s*\\\\])|\\\\[.*?(?:\\\\]|(?=\\\\*/))|[^*\\\\s\\\\[\\\\]])*)*))?\\\\s*(?:(\\\\])((?:[^*\\\\s]|\\\\*[^\\\\s/])+)?|(?=\\\\*/))","name":"variable.other.jsdoc"}]},{"begin":"((@)(?:define|enum|exception|export|extends|lends|implements|modifies|namespace|private|protected|returns?|satisfies|suppress|this|throws|type|yields?))\\\\s+(?={)","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=\\\\s|\\\\*/|[^{}\\\\[\\\\]A-Za-z_$])","patterns":[{"include":"#jsdoctype"}]},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"entity.name.type.instance.jsdoc"}},"match":"((@)(?:alias|augments|callback|constructs|emits|event|fires|exports?|extends|external|function|func|host|lends|listens|interface|memberof!?|method|module|mixes|mixin|name|requires|see|this|typedef|uses))\\\\s+((?:[^{}@\\\\s*]|\\\\*[^/])+)"},{"begin":"((@)(?:default(?:value)?|license|version))\\\\s+(([''\\"]))","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.jsdoc"},"4":{"name":"punctuation.definition.string.begin.jsdoc"}},"contentName":"variable.other.jsdoc","end":"(\\\\3)|(?=$|\\\\*/)","endCaptures":{"0":{"name":"variable.other.jsdoc"},"1":{"name":"punctuation.definition.string.end.jsdoc"}}},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.jsdoc"}},"match":"((@)(?:default(?:value)?|license|tutorial|variation|version))\\\\s+([^\\\\s*]+)"},{"captures":{"1":{"name":"punctuation.definition.block.tag.jsdoc"}},"match":"(@)(?:abstract|access|alias|api|arg|argument|async|attribute|augments|author|beta|borrows|bubbles|callback|chainable|class|classdesc|code|config|const|constant|constructor|constructs|copyright|default|defaultvalue|define|deprecated|desc|description|dict|emits|enum|event|example|exception|exports?|extends|extension(?:_?for)?|external|externs|file|fileoverview|final|fires|for|func|function|generator|global|hideconstructor|host|ignore|implements|implicitCast|inherit[Dd]oc|inner|instance|interface|internal|kind|lends|license|listens|main|member|memberof!?|method|mixes|mixins?|modifies|module|name|namespace|noalias|nocollapse|nocompile|nosideeffects|override|overview|package|param|polymer(?:Behavior)?|preserve|private|prop|property|protected|public|read[Oo]nly|record|require[ds]|returns?|see|since|static|struct|submodule|summary|suppress|template|this|throws|todo|tutorial|type|typedef|unrestricted|uses|var|variation|version|virtual|writeOnce|yields?)\\\\b","name":"storage.type.class.jsdoc"},{"include":"#inline-tags"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"match":"((@)(?:[_$A-Za-z][_$0-9A-Za-z]*))(?=\\\\s+)"}]},"enum-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?(?:\\\\b(const)\\\\s+)?\\\\b(enum)\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.modifier.js"},"4":{"name":"storage.type.enum.js"},"5":{"name":"entity.name.type.enum.js"}},"end":"(?<=\\\\})","name":"meta.enum.declaration.js","patterns":[{"include":"#comment"},{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"patterns":[{"include":"#comment"},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)","beginCaptures":{"0":{"name":"variable.other.enummember.js"}},"end":"(?=,|\\\\}|$)","patterns":[{"include":"#comment"},{"include":"#variable-initializer"}]},{"begin":"(?=((\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\])))","end":"(?=,|\\\\}|$)","patterns":[{"include":"#string"},{"include":"#array-literal"},{"include":"#comment"},{"include":"#variable-initializer"}]},{"include":"#punctuation-comma"}]}]},"export-declaration":{"patterns":[{"captures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"keyword.control.as.js"},"3":{"name":"storage.type.namespace.js"},"4":{"name":"entity.name.type.module.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(export)\\\\s+(as)\\\\s+(namespace)\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(export)(?:\\\\s+(type))?(?:(?:\\\\s*(=))|(?:\\\\s+(default)(?=\\\\s+)))","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"keyword.control.type.js"},"3":{"name":"keyword.operator.assignment.js"},"4":{"name":"keyword.control.default.js"}},"end":"(?=$|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","name":"meta.export.default.js","patterns":[{"include":"#interface-declaration"},{"include":"#expression"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(export)(?:\\\\s+(type))?\\\\b(?!(\\\\$)|(\\\\s*:))((?=\\\\s*[{*])|((?=\\\\s*[_$A-Za-z][_$0-9A-Za-z]*(\\\\s|,))(?!\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"keyword.control.type.js"}},"end":"(?=$|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","name":"meta.export.js","patterns":[{"include":"#import-export-declaration"}]}]},"expression":{"patterns":[{"include":"#expressionWithoutIdentifiers"},{"include":"#identifiers"},{"include":"#expressionPunctuations"}]},"expression-inside-possibly-arrow-parens":{"patterns":[{"include":"#expressionWithoutIdentifiers"},{"include":"#comment"},{"include":"#string"},{"include":"#decorator"},{"include":"#destructuring-parameter"},{"captures":{"1":{"name":"storage.modifier.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|protected|private|readonly)\\\\s+(?=(override|public|protected|private|readonly)\\\\s+)"},{"captures":{"1":{"name":"storage.modifier.js"},"2":{"name":"keyword.operator.rest.js"},"3":{"name":"entity.name.function.js variable.language.this.js"},"4":{"name":"entity.name.function.js"},"5":{"name":"keyword.operator.optional.js"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*(\\\\??)(?=\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))"},{"captures":{"1":{"name":"storage.modifier.js"},"2":{"name":"keyword.operator.rest.js"},"3":{"name":"variable.parameter.js variable.language.this.js"},"4":{"name":"variable.parameter.js"},"5":{"name":"keyword.operator.optional.js"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*(\\\\??)(?=\\\\s*[:,]|$)"},{"include":"#type-annotation"},{"include":"#variable-initializer"},{"match":",","name":"punctuation.separator.parameter.js"},{"include":"#identifiers"},{"include":"#expressionPunctuations"}]},"expression-operators":{"patterns":[{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(await)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.flow.js"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(yield)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?=\\\\s*\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*\\\\*)","beginCaptures":{"1":{"name":"keyword.control.flow.js"}},"end":"\\\\*","endCaptures":{"0":{"name":"keyword.generator.asterisk.js"}},"patterns":[{"include":"#comment"}]},{"captures":{"1":{"name":"keyword.control.flow.js"},"2":{"name":"keyword.generator.asterisk.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(yield)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?:\\\\s*(\\\\*))?"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))delete(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.delete.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))in(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?!\\\\()","name":"keyword.operator.expression.in.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))of(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?!\\\\()","name":"keyword.operator.expression.of.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))instanceof(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.instanceof.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))new(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.new.js"},{"include":"#typeof-operator"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))void(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.void.js"},{"captures":{"1":{"name":"keyword.control.as.js"},"2":{"name":"storage.modifier.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as)\\\\s+(const)(?=\\\\s*($|[;,:})\\\\]]))"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(as)|(satisfies))\\\\s+","beginCaptures":{"1":{"name":"keyword.control.as.js"},"2":{"name":"keyword.control.satisfies.js"}},"end":"(?=^|[;),}\\\\]:?\\\\-+>]|\\\\|\\\\||\\\\&\\\\&|!==|$|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as|satisfies)\\\\s+)|(\\\\s+<))","patterns":[{"include":"#type"}]},{"match":"\\\\.\\\\.\\\\.","name":"keyword.operator.spread.js"},{"match":"\\\\*=|(?<!\\\\()/=|%=|\\\\+=|-=","name":"keyword.operator.assignment.compound.js"},{"match":"\\\\&=|\\\\^=|<<=|>>=|>>>=|\\\\|=","name":"keyword.operator.assignment.compound.bitwise.js"},{"match":"<<|>>>|>>","name":"keyword.operator.bitwise.shift.js"},{"match":"===|!==|==|!=","name":"keyword.operator.comparison.js"},{"match":"<=|>=|<>|<|>","name":"keyword.operator.relational.js"},{"captures":{"1":{"name":"keyword.operator.logical.js"},"2":{"name":"keyword.operator.assignment.compound.js"},"3":{"name":"keyword.operator.arithmetic.js"}},"match":"(?<=[_$0-9A-Za-z])(!)\\\\s*(?:(/=)|(?:(/)(?![/*])))"},{"match":"!|&&|\\\\|\\\\||\\\\?\\\\?","name":"keyword.operator.logical.js"},{"match":"\\\\&|~|\\\\^|\\\\|","name":"keyword.operator.bitwise.js"},{"match":"=","name":"keyword.operator.assignment.js"},{"match":"--","name":"keyword.operator.decrement.js"},{"match":"\\\\+\\\\+","name":"keyword.operator.increment.js"},{"match":"%|\\\\*|/|-|\\\\+","name":"keyword.operator.arithmetic.js"},{"begin":"(?<=[_$0-9A-Za-z)\\\\]])\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)+(?:(/=)|(?:(/)(?![/*]))))","end":"(?:(/=)|(?:(/)(?!\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/)))","endCaptures":{"1":{"name":"keyword.operator.assignment.compound.js"},"2":{"name":"keyword.operator.arithmetic.js"}},"patterns":[{"include":"#comment"}]},{"captures":{"1":{"name":"keyword.operator.assignment.compound.js"},"2":{"name":"keyword.operator.arithmetic.js"}},"match":"(?<=[_$0-9A-Za-z)\\\\]])\\\\s*(?:(/=)|(?:(/)(?![/*])))"}]},"expressionPunctuations":{"patterns":[{"include":"#punctuation-comma"},{"include":"#punctuation-accessor"}]},"expressionWithoutIdentifiers":{"patterns":[{"include":"#jsx"},{"include":"#string"},{"include":"#regex"},{"include":"#comment"},{"include":"#function-expression"},{"include":"#class-expression"},{"include":"#arrow-function"},{"include":"#paren-expression-possibly-arrow"},{"include":"#cast"},{"include":"#ternary-expression"},{"include":"#new-expr"},{"include":"#instanceof-expr"},{"include":"#object-literal"},{"include":"#expression-operators"},{"include":"#function-call"},{"include":"#literal"},{"include":"#support-objects"},{"include":"#paren-expression"}]},"field-declaration":{"begin":"(?<!\\\\()(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(readonly)\\\\s+)?(?=\\\\s*((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(?:(?:(\\\\?)|(!))\\\\s*)?(=|:|;|,|\\\\}|$))","beginCaptures":{"1":{"name":"storage.modifier.js"}},"end":"(?=\\\\}|;|,|$|(^(?!\\\\s*((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(?:(?:(\\\\?)|(!))\\\\s*)?(=|:|;|,|$))))|(?<=\\\\})","name":"meta.field.declaration.js","patterns":[{"include":"#variable-initializer"},{"include":"#type-annotation"},{"include":"#string"},{"include":"#array-literal"},{"include":"#numeric-literal"},{"include":"#comment"},{"captures":{"1":{"name":"meta.definition.property.js entity.name.function.js"},"2":{"name":"keyword.operator.optional.js"},"3":{"name":"keyword.operator.definiteassignment.js"}},"match":"(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)(?:(\\\\?)|(!))?(?=\\\\s*\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))"},{"match":"\\\\#?[_$A-Za-z][_$0-9A-Za-z]*","name":"meta.definition.property.js variable.object.property.js"},{"match":"\\\\?","name":"keyword.operator.optional.js"},{"match":"!","name":"keyword.operator.definiteassignment.js"}]},"for-loop":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))for(?=((\\\\s+|(\\\\s*\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*))await)?\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)?(\\\\())","beginCaptures":{"0":{"name":"keyword.control.loop.js"}},"end":"(?<=\\\\))","patterns":[{"include":"#comment"},{"match":"await","name":"keyword.control.loop.js"},{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"patterns":[{"include":"#var-expr"},{"include":"#expression"},{"include":"#punctuation-semicolon"}]}]},"function-body":{"patterns":[{"include":"#comment"},{"include":"#type-parameters"},{"include":"#function-parameters"},{"include":"#return-type"},{"include":"#type-function-return-type"},{"include":"#decl-block"},{"match":"\\\\*","name":"keyword.generator.asterisk.js"}]},"function-call":{"patterns":[{"begin":"(?=(((([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))|(?<=[)]))\\\\s*(?:(\\\\?\\\\.\\\\s*)|(!))?((<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?\\\\())","end":"(?<=\\\\))(?!(((([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))|(?<=[)]))\\\\s*(?:(\\\\?\\\\.\\\\s*)|(!))?((<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?\\\\())","patterns":[{"begin":"(?=(([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))","end":"(?=\\\\s*(?:(\\\\?\\\\.\\\\s*)|(!))?((<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?\\\\())","name":"meta.function-call.js","patterns":[{"include":"#function-call-target"}]},{"include":"#comment"},{"include":"#function-call-optionals"},{"include":"#type-arguments"},{"include":"#paren-expression"}]},{"begin":"(?=(((([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))|(?<=[)]))(<\\\\s*[{\\\\[(]\\\\s*$))","end":"(?<=>)(?!(((([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))|(?<=[)]))(<\\\\s*[{\\\\[(]\\\\s*$))","patterns":[{"begin":"(?=(([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))","end":"(?=(<\\\\s*[{\\\\[(]\\\\s*$))","name":"meta.function-call.js","patterns":[{"include":"#function-call-target"}]},{"include":"#comment"},{"include":"#function-call-optionals"},{"include":"#type-arguments"}]}]},"function-call-optionals":{"patterns":[{"match":"\\\\?\\\\.","name":"meta.function-call.js punctuation.accessor.optional.js"},{"match":"!","name":"meta.function-call.js keyword.operator.definiteassignment.js"}]},"function-call-target":{"patterns":[{"include":"#support-function-call-identifiers"},{"match":"(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)","name":"entity.name.function.js"}]},"function-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?(?:(async)\\\\s+)?(function\\\\b)(?:\\\\s*(\\\\*))?(?:(?:\\\\s+|(?<=\\\\*))([_$A-Za-z][_$0-9A-Za-z]*))?\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.modifier.async.js"},"4":{"name":"storage.type.function.js"},"5":{"name":"keyword.generator.asterisk.js"},"6":{"name":"meta.definition.function.js entity.name.function.js"}},"end":"(?=;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))|(?<=\\\\})","name":"meta.function.js","patterns":[{"include":"#function-name"},{"include":"#function-body"}]},"function-expression":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(async)\\\\s+)?(function\\\\b)(?:\\\\s*(\\\\*))?(?:(?:\\\\s+|(?<=\\\\*))([_$A-Za-z][_$0-9A-Za-z]*))?\\\\s*","beginCaptures":{"1":{"name":"storage.modifier.async.js"},"2":{"name":"storage.type.function.js"},"3":{"name":"keyword.generator.asterisk.js"},"4":{"name":"meta.definition.function.js entity.name.function.js"}},"end":"(?=;)|(?<=\\\\})","name":"meta.function.expression.js","patterns":[{"include":"#function-name"},{"include":"#single-line-comment-consuming-line-ending"},{"include":"#function-body"}]},"function-name":{"match":"[_$A-Za-z][_$0-9A-Za-z]*","name":"meta.definition.function.js entity.name.function.js"},"function-parameters":{"begin":"\\\\(","beginCaptures":{"0":{"name":"punctuation.definition.parameters.begin.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.definition.parameters.end.js"}},"name":"meta.parameters.js","patterns":[{"include":"#function-parameters-body"}]},"function-parameters-body":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#decorator"},{"include":"#destructuring-parameter"},{"include":"#parameter-name"},{"include":"#parameter-type-annotation"},{"include":"#variable-initializer"},{"match":",","name":"punctuation.separator.parameter.js"}]},"identifiers":{"patterns":[{"include":"#object-identifiers"},{"captures":{"1":{"name":"punctuation.accessor.js"},"2":{"name":"punctuation.accessor.optional.js"},"3":{"name":"entity.name.function.js"}},"match":"(?:(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*)?([_$A-Za-z][_$0-9A-Za-z]*)(?=\\\\s*=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))"},{"captures":{"1":{"name":"punctuation.accessor.js"},"2":{"name":"punctuation.accessor.optional.js"},"3":{"name":"variable.other.constant.property.js"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(\\\\#?[A-Z][_$\\\\dA-Z]*)(?![_$0-9A-Za-z])"},{"captures":{"1":{"name":"punctuation.accessor.js"},"2":{"name":"punctuation.accessor.optional.js"},"3":{"name":"variable.other.property.js"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)"},{"match":"([A-Z][_$\\\\dA-Z]*)(?![_$0-9A-Za-z])","name":"variable.other.constant.js"},{"match":"[_$A-Za-z][_$0-9A-Za-z]*","name":"variable.other.readwrite.js"}]},"if-statement":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?=\\\\bif\\\\s*(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))\\\\s*(?!\\\\{))","end":"(?=;|$|\\\\})","patterns":[{"include":"#comment"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(if)\\\\s*(\\\\()","beginCaptures":{"1":{"name":"keyword.control.conditional.js"},"2":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"patterns":[{"include":"#expression"}]},{"begin":"(?<=\\\\))\\\\s*\\\\/(?![\\\\/*])(?=(?:[^\\\\/\\\\\\\\\\\\[]|\\\\\\\\.|\\\\[([^\\\\]\\\\\\\\]|\\\\\\\\.)*\\\\])+\\\\/([dgimsuvy]+|(?![\\\\/\\\\*])|(?=\\\\/\\\\*))(?!\\\\s*[a-zA-Z0-9_$]))","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.js"}},"end":"(/)([dgimsuvy]*)","endCaptures":{"1":{"name":"punctuation.definition.string.end.js"},"2":{"name":"keyword.other.js"}},"name":"string.regexp.js","patterns":[{"include":"#regexp"}]},{"include":"#statements"}]}]},"import-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(import)(?:\\\\s+(type)(?!\\\\s+from))?(?!\\\\s*[:(])(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"keyword.control.import.js"},"4":{"name":"keyword.control.type.js"}},"end":"(?<!^import|[^\\\\._$0-9A-Za-z]import)(?=;|$|^)","name":"meta.import.js","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"include":"#string"},{"begin":"(?<=^import|[^\\\\._$0-9A-Za-z]import)(?!\\\\s*[\\"'])","end":"\\\\bfrom\\\\b","endCaptures":{"0":{"name":"keyword.control.from.js"}},"patterns":[{"include":"#import-export-declaration"}]},{"include":"#import-export-declaration"}]},"import-equals-declaration":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(import)(?:\\\\s+(type))?\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(=)\\\\s*(require)\\\\s*(\\\\()","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"keyword.control.import.js"},"4":{"name":"keyword.control.type.js"},"5":{"name":"variable.other.readwrite.alias.js"},"6":{"name":"keyword.operator.assignment.js"},"7":{"name":"keyword.control.require.js"},"8":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"name":"meta.import-equals.external.js","patterns":[{"include":"#comment"},{"include":"#string"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(import)(?:\\\\s+(type))?\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(=)\\\\s*(?!require\\\\b)","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"keyword.control.import.js"},"4":{"name":"keyword.control.type.js"},"5":{"name":"variable.other.readwrite.alias.js"},"6":{"name":"keyword.operator.assignment.js"}},"end":"(?=;|$|^)","name":"meta.import-equals.internal.js","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"captures":{"1":{"name":"entity.name.type.module.js"},"2":{"name":"punctuation.accessor.js"},"3":{"name":"punctuation.accessor.optional.js"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))"},{"match":"([_$A-Za-z][_$0-9A-Za-z]*)","name":"variable.other.readwrite.js"}]}]},"import-export-assert-clause":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(with)|(assert))\\\\s*(\\\\{)","beginCaptures":{"1":{"name":"keyword.control.with.js"},"2":{"name":"keyword.control.assert.js"},"3":{"name":"punctuation.definition.block.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"patterns":[{"include":"#comment"},{"include":"#string"},{"match":"(?:[_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*:)","name":"meta.object-literal.key.js"},{"match":":","name":"punctuation.separator.key-value.js"}]},"import-export-block":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"name":"meta.block.js","patterns":[{"include":"#import-export-clause"}]},"import-export-clause":{"patterns":[{"include":"#comment"},{"captures":{"1":{"name":"keyword.control.type.js"},"2":{"name":"keyword.control.default.js"},"3":{"name":"constant.language.import-export-all.js"},"4":{"name":"variable.other.readwrite.js"},"5":{"name":"string.quoted.alias.js"},"12":{"name":"keyword.control.as.js"},"13":{"name":"keyword.control.default.js"},"14":{"name":"variable.other.readwrite.alias.js"},"15":{"name":"string.quoted.alias.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(?:(\\\\btype)\\\\s+)?(?:(\\\\bdefault)|(\\\\*)|(\\\\b[_$A-Za-z][_$0-9A-Za-z]*)|((\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))))\\\\s+(as)\\\\s+(?:(default(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|([_$A-Za-z][_$0-9A-Za-z]*)|((\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)))"},{"include":"#punctuation-comma"},{"match":"\\\\*","name":"constant.language.import-export-all.js"},{"match":"\\\\b(default)\\\\b","name":"keyword.control.default.js"},{"captures":{"1":{"name":"keyword.control.type.js"},"2":{"name":"variable.other.readwrite.alias.js"},"3":{"name":"string.quoted.alias.js"}},"match":"(?:(\\\\btype)\\\\s+)?(?:([_$A-Za-z][_$0-9A-Za-z]*)|((\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)))"}]},"import-export-declaration":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#import-export-block"},{"match":"\\\\bfrom\\\\b","name":"keyword.control.from.js"},{"include":"#import-export-assert-clause"},{"include":"#import-export-clause"}]},"indexer-declaration":{"begin":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(readonly)\\\\s*)?\\\\s*(\\\\[)\\\\s*([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=:)","beginCaptures":{"1":{"name":"storage.modifier.js"},"2":{"name":"meta.brace.square.js"},"3":{"name":"variable.parameter.js"}},"end":"(\\\\])\\\\s*(\\\\?\\\\s*)?|$","endCaptures":{"1":{"name":"meta.brace.square.js"},"2":{"name":"keyword.operator.optional.js"}},"name":"meta.indexer.declaration.js","patterns":[{"include":"#type-annotation"}]},"indexer-mapped-type-declaration":{"begin":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))([+-])?(readonly)\\\\s*)?\\\\s*(\\\\[)\\\\s*([_$A-Za-z][_$0-9A-Za-z]*)\\\\s+(in)\\\\s+","beginCaptures":{"1":{"name":"keyword.operator.type.modifier.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"meta.brace.square.js"},"4":{"name":"entity.name.type.js"},"5":{"name":"keyword.operator.expression.in.js"}},"end":"(\\\\])([+-])?\\\\s*(\\\\?\\\\s*)?|$","endCaptures":{"1":{"name":"meta.brace.square.js"},"2":{"name":"keyword.operator.type.modifier.js"},"3":{"name":"keyword.operator.optional.js"}},"name":"meta.indexer.mappedtype.declaration.js","patterns":[{"captures":{"1":{"name":"keyword.control.as.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as)\\\\s+"},{"include":"#type"}]},"inline-tags":{"patterns":[{"captures":{"1":{"name":"punctuation.definition.bracket.square.begin.jsdoc"},"2":{"name":"punctuation.definition.bracket.square.end.jsdoc"}},"match":"(\\\\[)[^\\\\]]+(\\\\])(?={@(?:link|linkcode|linkplain|tutorial))","name":"constant.other.description.jsdoc"},{"begin":"({)((@)(?:link(?:code|plain)?|tutorial))\\\\s*","beginCaptures":{"1":{"name":"punctuation.definition.bracket.curly.begin.jsdoc"},"2":{"name":"storage.type.class.jsdoc"},"3":{"name":"punctuation.definition.inline.tag.jsdoc"}},"end":"}|(?=\\\\*/)","endCaptures":{"0":{"name":"punctuation.definition.bracket.curly.end.jsdoc"}},"name":"entity.name.type.instance.jsdoc","patterns":[{"captures":{"1":{"name":"variable.other.link.underline.jsdoc"},"2":{"name":"punctuation.separator.pipe.jsdoc"}},"match":"\\\\G((?=https?://)(?:[^|}\\\\s*]|\\\\*[/])+)(\\\\|)?"},{"captures":{"1":{"name":"variable.other.description.jsdoc"},"2":{"name":"punctuation.separator.pipe.jsdoc"}},"match":"\\\\G((?:[^{}@\\\\s|*]|\\\\*[^/])+)(\\\\|)?"}]}]},"instanceof-expr":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(instanceof)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.operator.expression.instanceof.js"}},"end":"(?<=\\\\))|(?=[;),}\\\\]:?\\\\-+>]|\\\\|\\\\||\\\\&\\\\&|!==|$|(===|!==|==|!=)|(([\\\\&\\\\~\\\\^\\\\|]\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s+instanceof(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))function((\\\\s+[_$A-Za-z][_$0-9A-Za-z]*)|(\\\\s*[(]))))","patterns":[{"include":"#type"}]},"interface-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(?:(abstract)\\\\s+)?\\\\b(interface)\\\\b(?=\\\\s+|/[/*])","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.modifier.js"},"4":{"name":"storage.type.interface.js"}},"end":"(?<=\\\\})","name":"meta.interface.js","patterns":[{"include":"#comment"},{"include":"#class-or-interface-heritage"},{"captures":{"0":{"name":"entity.name.type.interface.js"}},"match":"[_$A-Za-z][_$0-9A-Za-z]*"},{"include":"#type-parameters"},{"include":"#class-or-interface-body"}]},"jsdoctype":{"patterns":[{"begin":"\\\\G({)","beginCaptures":{"0":{"name":"entity.name.type.instance.jsdoc"},"1":{"name":"punctuation.definition.bracket.curly.begin.jsdoc"}},"contentName":"entity.name.type.instance.jsdoc","end":"((}))\\\\s*|(?=\\\\*/)","endCaptures":{"1":{"name":"entity.name.type.instance.jsdoc"},"2":{"name":"punctuation.definition.bracket.curly.end.jsdoc"}},"patterns":[{"include":"#brackets"}]}]},"jsx":{"patterns":[{"include":"#jsx-tag-without-attributes-in-expression"},{"include":"#jsx-tag-in-expression"}]},"jsx-children":{"patterns":[{"include":"#jsx-tag-without-attributes"},{"include":"#jsx-tag"},{"include":"#jsx-evaluated-code"},{"include":"#jsx-entities"}]},"jsx-entities":{"patterns":[{"captures":{"1":{"name":"punctuation.definition.entity.js"},"3":{"name":"punctuation.definition.entity.js"}},"match":"(&)([a-zA-Z0-9]+|#\\\\d+|#x[0-9a-fA-F]+)(;)","name":"constant.character.entity.js"}]},"jsx-evaluated-code":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.section.embedded.begin.js"}},"contentName":"meta.embedded.expression.js","end":"\\\\}","endCaptures":{"0":{"name":"punctuation.section.embedded.end.js"}},"patterns":[{"include":"#expression"}]},"jsx-string-double-quoted":{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.js"}},"end":"\\"","endCaptures":{"0":{"name":"punctuation.definition.string.end.js"}},"name":"string.quoted.double.js","patterns":[{"include":"#jsx-entities"}]},"jsx-string-single-quoted":{"begin":"'","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.js"}},"end":"'","endCaptures":{"0":{"name":"punctuation.definition.string.end.js"}},"name":"string.quoted.single.js","patterns":[{"include":"#jsx-entities"}]},"jsx-tag":{"begin":"(?=(<)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))(?=((<\\\\s*)|(\\\\s+))(?!\\\\?)|\\\\/?>))","end":"(/>)|(?:(</)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))?\\\\s*(>))","endCaptures":{"1":{"name":"punctuation.definition.tag.end.js"},"2":{"name":"punctuation.definition.tag.begin.js"},"3":{"name":"entity.name.tag.namespace.js"},"4":{"name":"punctuation.separator.namespace.js"},"5":{"name":"entity.name.tag.js"},"6":{"name":"support.class.component.js"},"7":{"name":"punctuation.definition.tag.end.js"}},"name":"meta.tag.js","patterns":[{"begin":"(<)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))(?=((<\\\\s*)|(\\\\s+))(?!\\\\?)|\\\\/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.js"},"2":{"name":"entity.name.tag.namespace.js"},"3":{"name":"punctuation.separator.namespace.js"},"4":{"name":"entity.name.tag.js"},"5":{"name":"support.class.component.js"}},"end":"(?=[/]?>)","patterns":[{"include":"#comment"},{"include":"#type-arguments"},{"include":"#jsx-tag-attributes"}]},{"begin":"(>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.end.js"}},"contentName":"meta.jsx.children.js","end":"(?=</)","patterns":[{"include":"#jsx-children"}]}]},"jsx-tag-attribute-assignment":{"match":"=(?=\\\\s*(?:'|\\"|{|/\\\\*|//|\\\\n))","name":"keyword.operator.assignment.js"},"jsx-tag-attribute-name":{"captures":{"1":{"name":"entity.other.attribute-name.namespace.js"},"2":{"name":"punctuation.separator.namespace.js"},"3":{"name":"entity.other.attribute-name.js"}},"match":"\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(:))?([_$A-Za-z][-_$0-9A-Za-z]*)(?=\\\\s|=|/?>|/\\\\*|//)"},"jsx-tag-attributes":{"begin":"\\\\s+","end":"(?=[/]?>)","name":"meta.tag.attributes.js","patterns":[{"include":"#comment"},{"include":"#jsx-tag-attribute-name"},{"include":"#jsx-tag-attribute-assignment"},{"include":"#jsx-string-double-quoted"},{"include":"#jsx-string-single-quoted"},{"include":"#jsx-evaluated-code"},{"include":"#jsx-tag-attributes-illegal"}]},"jsx-tag-attributes-illegal":{"match":"\\\\S+","name":"invalid.illegal.attribute.js"},"jsx-tag-in-expression":{"begin":"(?<!\\\\+\\\\+|--)(?<=[({\\\\[,?=>:*]|&&|\\\\|\\\\||\\\\?|\\\\*\\\\/|^await|[^\\\\._$0-9A-Za-z]await|^return|[^\\\\._$0-9A-Za-z]return|^default|[^\\\\._$0-9A-Za-z]default|^yield|[^\\\\._$0-9A-Za-z]yield|^)\\\\s*(?!<\\\\s*[_$A-Za-z][_$0-9A-Za-z]*((\\\\s+extends\\\\s+[^=>])|,))(?=(<)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))(?=((<\\\\s*)|(\\\\s+))(?!\\\\?)|\\\\/?>))","end":"(?!(<)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))(?=((<\\\\s*)|(\\\\s+))(?!\\\\?)|\\\\/?>))","patterns":[{"include":"#jsx-tag"}]},"jsx-tag-without-attributes":{"begin":"(<)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))?\\\\s*(>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.js"},"2":{"name":"entity.name.tag.namespace.js"},"3":{"name":"punctuation.separator.namespace.js"},"4":{"name":"entity.name.tag.js"},"5":{"name":"support.class.component.js"},"6":{"name":"punctuation.definition.tag.end.js"}},"contentName":"meta.jsx.children.js","end":"(</)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))?\\\\s*(>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.js"},"2":{"name":"entity.name.tag.namespace.js"},"3":{"name":"punctuation.separator.namespace.js"},"4":{"name":"entity.name.tag.js"},"5":{"name":"support.class.component.js"},"6":{"name":"punctuation.definition.tag.end.js"}},"name":"meta.tag.without-attributes.js","patterns":[{"include":"#jsx-children"}]},"jsx-tag-without-attributes-in-expression":{"begin":"(?<!\\\\+\\\\+|--)(?<=[({\\\\[,?=>:*]|&&|\\\\|\\\\||\\\\?|\\\\*\\\\/|^await|[^\\\\._$0-9A-Za-z]await|^return|[^\\\\._$0-9A-Za-z]return|^default|[^\\\\._$0-9A-Za-z]default|^yield|[^\\\\._$0-9A-Za-z]yield|^)\\\\s*(?=(<)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))?\\\\s*(>))","end":"(?!(<)\\\\s*(?:([_$A-Za-z][-_$0-9A-Za-z.]*)(?<!\\\\.|-)(:))?((?:[a-z][a-z0-9]*|([_$A-Za-z][-_$0-9A-Za-z.]*))(?<!\\\\.|-))?\\\\s*(>))","patterns":[{"include":"#jsx-tag-without-attributes"}]},"label":{"patterns":[{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(:)(?=\\\\s*\\\\{)","beginCaptures":{"1":{"name":"entity.name.label.js"},"2":{"name":"punctuation.separator.label.js"}},"end":"(?<=\\\\})","patterns":[{"include":"#decl-block"}]},{"captures":{"1":{"name":"entity.name.label.js"},"2":{"name":"punctuation.separator.label.js"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(:)"}]},"literal":{"patterns":[{"include":"#numeric-literal"},{"include":"#boolean-literal"},{"include":"#null-literal"},{"include":"#undefined-literal"},{"include":"#numericConstant-literal"},{"include":"#array-literal"},{"include":"#this-literal"},{"include":"#super-literal"}]},"method-declaration":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(override)\\\\s+)?(?:\\\\b(public|private|protected)\\\\s+)?(?:\\\\b(abstract)\\\\s+)?(?:\\\\b(async)\\\\s+)?\\\\s*\\\\b(constructor)\\\\b(?!:)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"storage.modifier.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.modifier.js"},"4":{"name":"storage.modifier.async.js"},"5":{"name":"storage.type.js"}},"end":"(?=\\\\}|;|,|$)|(?<=\\\\})","name":"meta.method.declaration.js","patterns":[{"include":"#method-declaration-name"},{"include":"#function-body"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(override)\\\\s+)?(?:\\\\b(public|private|protected)\\\\s+)?(?:\\\\b(abstract)\\\\s+)?(?:\\\\b(async)\\\\s+)?(?:(?:\\\\s*\\\\b(new)\\\\b(?!:)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(?:(\\\\*)\\\\s*)?)(?=\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?[(])","beginCaptures":{"1":{"name":"storage.modifier.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.modifier.js"},"4":{"name":"storage.modifier.async.js"},"5":{"name":"keyword.operator.new.js"},"6":{"name":"keyword.generator.asterisk.js"}},"end":"(?=\\\\}|;|,|$)|(?<=\\\\})","name":"meta.method.declaration.js","patterns":[{"include":"#method-declaration-name"},{"include":"#function-body"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(override)\\\\s+)?(?:\\\\b(public|private|protected)\\\\s+)?(?:\\\\b(abstract)\\\\s+)?(?:\\\\b(async)\\\\s+)?(?:\\\\b(get|set)\\\\s+)?(?:(\\\\*)\\\\s*)?(?=\\\\s*(((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(\\\\??))\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?[(])","beginCaptures":{"1":{"name":"storage.modifier.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.modifier.js"},"4":{"name":"storage.modifier.async.js"},"5":{"name":"storage.type.property.js"},"6":{"name":"keyword.generator.asterisk.js"}},"end":"(?=\\\\}|;|,|$)|(?<=\\\\})","name":"meta.method.declaration.js","patterns":[{"include":"#method-declaration-name"},{"include":"#function-body"}]}]},"method-declaration-name":{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(\\\\??)\\\\s*[(<])","end":"(?=\\\\(|<)","patterns":[{"include":"#string"},{"include":"#array-literal"},{"include":"#numeric-literal"},{"match":"[_$A-Za-z][_$0-9A-Za-z]*","name":"meta.definition.method.js entity.name.function.js"},{"match":"\\\\?","name":"keyword.operator.optional.js"}]},"namespace-declaration":{"begin":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(namespace|module)\\\\s+(?=[_$A-Za-z\\"'\`]))","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.type.namespace.js"}},"end":"(?<=\\\\})|(?=;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","name":"meta.namespace.declaration.js","patterns":[{"include":"#comment"},{"include":"#string"},{"match":"([_$A-Za-z][_$0-9A-Za-z]*)","name":"entity.name.type.module.js"},{"include":"#punctuation-accessor"},{"include":"#decl-block"}]},"new-expr":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(new)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.operator.new.js"}},"end":"(?<=\\\\))|(?=[;),}\\\\]:?\\\\-+>]|\\\\|\\\\||\\\\&\\\\&|!==|$|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))new(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))function((\\\\s+[_$A-Za-z][_$0-9A-Za-z]*)|(\\\\s*[(]))))","name":"new.expr.js","patterns":[{"include":"#expression"}]},"null-literal":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))null(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.null.js"},"numeric-literal":{"patterns":[{"captures":{"1":{"name":"storage.type.numeric.bigint.js"}},"match":"\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$)","name":"constant.numeric.hex.js"},{"captures":{"1":{"name":"storage.type.numeric.bigint.js"}},"match":"\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$)","name":"constant.numeric.binary.js"},{"captures":{"1":{"name":"storage.type.numeric.bigint.js"}},"match":"\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$)","name":"constant.numeric.octal.js"},{"captures":{"0":{"name":"constant.numeric.decimal.js"},"1":{"name":"meta.delimiter.decimal.period.js"},"2":{"name":"storage.type.numeric.bigint.js"},"3":{"name":"meta.delimiter.decimal.period.js"},"4":{"name":"storage.type.numeric.bigint.js"},"5":{"name":"meta.delimiter.decimal.period.js"},"6":{"name":"storage.type.numeric.bigint.js"},"7":{"name":"storage.type.numeric.bigint.js"},"8":{"name":"meta.delimiter.decimal.period.js"},"9":{"name":"storage.type.numeric.bigint.js"},"10":{"name":"meta.delimiter.decimal.period.js"},"11":{"name":"storage.type.numeric.bigint.js"},"12":{"name":"meta.delimiter.decimal.period.js"},"13":{"name":"storage.type.numeric.bigint.js"},"14":{"name":"storage.type.numeric.bigint.js"}},"match":"(?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$)"}]},"numericConstant-literal":{"patterns":[{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))NaN(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.nan.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Infinity(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.infinity.js"}]},"object-binding-element":{"patterns":[{"include":"#comment"},{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(:))","end":"(?=,|\\\\})","patterns":[{"include":"#object-binding-element-propertyName"},{"include":"#binding-element"}]},{"include":"#object-binding-pattern"},{"include":"#destructuring-variable-rest"},{"include":"#variable-initializer"},{"include":"#punctuation-comma"}]},"object-binding-element-const":{"patterns":[{"include":"#comment"},{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(:))","end":"(?=,|\\\\})","patterns":[{"include":"#object-binding-element-propertyName"},{"include":"#binding-element-const"}]},{"include":"#object-binding-pattern-const"},{"include":"#destructuring-variable-rest-const"},{"include":"#variable-initializer"},{"include":"#punctuation-comma"}]},"object-binding-element-propertyName":{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(:))","end":"(:)","endCaptures":{"0":{"name":"punctuation.destructuring.js"}},"patterns":[{"include":"#string"},{"include":"#array-literal"},{"include":"#numeric-literal"},{"match":"([_$A-Za-z][_$0-9A-Za-z]*)","name":"variable.object.property.js"}]},"object-binding-pattern":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\{)","beginCaptures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"punctuation.definition.binding-pattern.object.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.object.js"}},"patterns":[{"include":"#object-binding-element"}]},"object-binding-pattern-const":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\{)","beginCaptures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"punctuation.definition.binding-pattern.object.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.object.js"}},"patterns":[{"include":"#object-binding-element-const"}]},"object-identifiers":{"patterns":[{"match":"([_$A-Za-z][_$0-9A-Za-z]*)(?=\\\\s*\\\\??\\\\.\\\\s*prototype\\\\b(?!\\\\$))","name":"support.class.js"},{"captures":{"1":{"name":"punctuation.accessor.js"},"2":{"name":"punctuation.accessor.optional.js"},"3":{"name":"variable.other.constant.object.property.js"},"4":{"name":"variable.other.object.property.js"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(?:(\\\\#?[A-Z][_$\\\\dA-Z]*)|(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))(?=\\\\s*\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)"},{"captures":{"1":{"name":"variable.other.constant.object.js"},"2":{"name":"variable.other.object.js"}},"match":"(?:([A-Z][_$\\\\dA-Z]*)|([_$A-Za-z][_$0-9A-Za-z]*))(?=\\\\s*\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)"}]},"object-literal":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"name":"meta.objectliteral.js","patterns":[{"include":"#object-member"}]},"object-literal-method-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(async)\\\\s+)?(?:\\\\b(get|set)\\\\s+)?(?:(\\\\*)\\\\s*)?(?=\\\\s*(((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(\\\\??))\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?[(])","beginCaptures":{"1":{"name":"storage.modifier.async.js"},"2":{"name":"storage.type.property.js"},"3":{"name":"keyword.generator.asterisk.js"}},"end":"(?=\\\\}|;|,)|(?<=\\\\})","name":"meta.method.declaration.js","patterns":[{"include":"#method-declaration-name"},{"include":"#function-body"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(async)\\\\s+)?(?:\\\\b(get|set)\\\\s+)?(?:(\\\\*)\\\\s*)?(?=\\\\s*(((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(\\\\??))\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?[(])","beginCaptures":{"1":{"name":"storage.modifier.async.js"},"2":{"name":"storage.type.property.js"},"3":{"name":"keyword.generator.asterisk.js"}},"end":"(?=\\\\(|<)","patterns":[{"include":"#method-declaration-name"}]}]},"object-member":{"patterns":[{"include":"#comment"},{"include":"#object-literal-method-declaration"},{"begin":"(?=\\\\[)","end":"(?=:)|((?<=[\\\\]])(?=\\\\s*[(<]))","name":"meta.object.member.js meta.object-literal.key.js","patterns":[{"include":"#comment"},{"include":"#array-literal"}]},{"begin":"(?=[\\\\'\\\\\\"\\\\\`])","end":"(?=:)|((?<=[\\\\'\\\\\\"\\\\\`])(?=((\\\\s*[(<,}])|(\\\\s+(as|satisifies)\\\\s+))))","name":"meta.object.member.js meta.object-literal.key.js","patterns":[{"include":"#comment"},{"include":"#string"}]},{"begin":"(?=(\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$)))","end":"(?=:)|(?=\\\\s*([(<,}])|(\\\\s+as|satisifies\\\\s+))","name":"meta.object.member.js meta.object-literal.key.js","patterns":[{"include":"#comment"},{"include":"#numeric-literal"}]},{"begin":"(?<=[\\\\]\\\\'\\\\\\"\\\\\`])(?=\\\\s*[(<])","end":"(?=\\\\}|;|,)|(?<=\\\\})","name":"meta.method.declaration.js","patterns":[{"include":"#function-body"}]},{"captures":{"0":{"name":"meta.object-literal.key.js"},"1":{"name":"constant.numeric.decimal.js"}},"match":"(?![_$A-Za-z])([\\\\d]+)\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*:)","name":"meta.object.member.js"},{"captures":{"0":{"name":"meta.object-literal.key.js"},"1":{"name":"entity.name.function.js"}},"match":"(?:([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*:(\\\\s*\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/)*\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))","name":"meta.object.member.js"},{"captures":{"0":{"name":"meta.object-literal.key.js"}},"match":"(?:[_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*:)","name":"meta.object.member.js"},{"begin":"\\\\.\\\\.\\\\.","beginCaptures":{"0":{"name":"keyword.operator.spread.js"}},"end":"(?=,|\\\\})","name":"meta.object.member.js","patterns":[{"include":"#expression"}]},{"captures":{"1":{"name":"variable.other.readwrite.js"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=,|\\\\}|$|\\\\/\\\\/|\\\\/\\\\*)","name":"meta.object.member.js"},{"captures":{"1":{"name":"keyword.control.as.js"},"2":{"name":"storage.modifier.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as)\\\\s+(const)(?=\\\\s*([,}]|$))","name":"meta.object.member.js"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(as)|(satisfies))\\\\s+","beginCaptures":{"1":{"name":"keyword.control.as.js"},"2":{"name":"keyword.control.satisfies.js"}},"end":"(?=[;),}\\\\]:?\\\\-+>]|\\\\|\\\\||\\\\&\\\\&|!==|$|^|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as|satisifies)\\\\s+))","name":"meta.object.member.js","patterns":[{"include":"#type"}]},{"begin":"(?=[_$A-Za-z][_$0-9A-Za-z]*\\\\s*=)","end":"(?=,|\\\\}|$|\\\\/\\\\/|\\\\/\\\\*)","name":"meta.object.member.js","patterns":[{"include":"#expression"}]},{"begin":":","beginCaptures":{"0":{"name":"meta.object-literal.key.js punctuation.separator.key-value.js"}},"end":"(?=,|\\\\})","name":"meta.object.member.js","patterns":[{"begin":"(?<=:)\\\\s*(async)?(?=\\\\s*(<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)\\\\(\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))","beginCaptures":{"1":{"name":"storage.modifier.async.js"}},"end":"(?<=\\\\))","patterns":[{"include":"#type-parameters"},{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"patterns":[{"include":"#expression-inside-possibly-arrow-parens"}]}]},{"begin":"(?<=:)\\\\s*(async)?\\\\s*(\\\\()(?=\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))","beginCaptures":{"1":{"name":"storage.modifier.async.js"},"2":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"patterns":[{"include":"#expression-inside-possibly-arrow-parens"}]},{"begin":"(?<=:)\\\\s*(async)?\\\\s*(?=<\\\\s*$)","beginCaptures":{"1":{"name":"storage.modifier.async.js"}},"end":"(?<=>)","patterns":[{"include":"#type-parameters"}]},{"begin":"(?<=>)\\\\s*(\\\\()(?=\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))","beginCaptures":{"1":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"patterns":[{"include":"#expression-inside-possibly-arrow-parens"}]},{"include":"#possibly-arrow-return-type"},{"include":"#expression"}]},{"include":"#punctuation-comma"},{"include":"#decl-block"}]},"parameter-array-binding-pattern":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\[)","beginCaptures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"punctuation.definition.binding-pattern.array.js"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.array.js"}},"patterns":[{"include":"#parameter-binding-element"},{"include":"#punctuation-comma"}]},"parameter-binding-element":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#numeric-literal"},{"include":"#regex"},{"include":"#parameter-object-binding-pattern"},{"include":"#parameter-array-binding-pattern"},{"include":"#destructuring-parameter-rest"},{"include":"#variable-initializer"}]},"parameter-name":{"patterns":[{"captures":{"1":{"name":"storage.modifier.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|protected|private|readonly)\\\\s+(?=(override|public|protected|private|readonly)\\\\s+)"},{"captures":{"1":{"name":"storage.modifier.js"},"2":{"name":"keyword.operator.rest.js"},"3":{"name":"entity.name.function.js variable.language.this.js"},"4":{"name":"entity.name.function.js"},"5":{"name":"keyword.operator.optional.js"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*(\\\\??)(?=\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))"},{"captures":{"1":{"name":"storage.modifier.js"},"2":{"name":"keyword.operator.rest.js"},"3":{"name":"variable.parameter.js variable.language.this.js"},"4":{"name":"variable.parameter.js"},"5":{"name":"keyword.operator.optional.js"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*(\\\\??)"}]},"parameter-object-binding-element":{"patterns":[{"include":"#comment"},{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(:))","end":"(?=,|\\\\})","patterns":[{"include":"#object-binding-element-propertyName"},{"include":"#parameter-binding-element"},{"include":"#paren-expression"}]},{"include":"#parameter-object-binding-pattern"},{"include":"#destructuring-parameter-rest"},{"include":"#variable-initializer"},{"include":"#punctuation-comma"}]},"parameter-object-binding-pattern":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\{)","beginCaptures":{"1":{"name":"keyword.operator.rest.js"},"2":{"name":"punctuation.definition.binding-pattern.object.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.object.js"}},"patterns":[{"include":"#parameter-object-binding-element"}]},"parameter-type-annotation":{"patterns":[{"begin":"(:)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.js"}},"end":"(?=[,)])|(?==[^>])","name":"meta.type.annotation.js","patterns":[{"include":"#type"}]}]},"paren-expression":{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"patterns":[{"include":"#expression"}]},"paren-expression-possibly-arrow":{"patterns":[{"begin":"(?<=[(=,])\\\\s*(async)?(?=\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?\\\\(\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))","beginCaptures":{"1":{"name":"storage.modifier.async.js"}},"end":"(?<=\\\\))","patterns":[{"include":"#paren-expression-possibly-arrow-with-typeparameters"}]},{"begin":"(?<=[(=,]|=>|^return|[^\\\\._$0-9A-Za-z]return)\\\\s*(async)?(?=\\\\s*((((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?\\\\()|(<)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)))\\\\s*$)","beginCaptures":{"1":{"name":"storage.modifier.async.js"}},"end":"(?<=\\\\))","patterns":[{"include":"#paren-expression-possibly-arrow-with-typeparameters"}]},{"include":"#possibly-arrow-return-type"}]},"paren-expression-possibly-arrow-with-typeparameters":{"patterns":[{"include":"#type-parameters"},{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"patterns":[{"include":"#expression-inside-possibly-arrow-parens"}]}]},"possibly-arrow-return-type":{"begin":"(?<=\\\\)|^)\\\\s*(:)(?=\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*=>)","beginCaptures":{"1":{"name":"meta.arrow.js meta.return.type.arrow.js keyword.operator.type.annotation.js"}},"contentName":"meta.arrow.js meta.return.type.arrow.js","end":"(?==>|\\\\{|(^\\\\s*(export|function|class|interface|let|var|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|const|import|enum|namespace|module|type|abstract|declare)\\\\s+))","patterns":[{"include":"#arrow-return-type-body"}]},"property-accessor":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(accessor|get|set)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.type.property.js"},"punctuation-accessor":{"captures":{"1":{"name":"punctuation.accessor.js"},"2":{"name":"punctuation.accessor.optional.js"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))"},"punctuation-comma":{"match":",","name":"punctuation.separator.comma.js"},"punctuation-semicolon":{"match":";","name":"punctuation.terminator.statement.js"},"qstring-double":{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.js"}},"end":"(\\")|((?:[^\\\\\\\\\\\\n])$)","endCaptures":{"1":{"name":"punctuation.definition.string.end.js"},"2":{"name":"invalid.illegal.newline.js"}},"name":"string.quoted.double.js","patterns":[{"include":"#string-character-escape"}]},"qstring-single":{"begin":"'","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.js"}},"end":"(\\\\')|((?:[^\\\\\\\\\\\\n])$)","endCaptures":{"1":{"name":"punctuation.definition.string.end.js"},"2":{"name":"invalid.illegal.newline.js"}},"name":"string.quoted.single.js","patterns":[{"include":"#string-character-escape"}]},"regex":{"patterns":[{"begin":"(?<!\\\\+\\\\+|--|})(?<=[=(:,\\\\[?+!]|^return|[^\\\\._$0-9A-Za-z]return|^case|[^\\\\._$0-9A-Za-z]case|=>|&&|\\\\|\\\\||\\\\*\\\\/)\\\\s*(\\\\/)(?![\\\\/*])(?=(?:[^\\\\/\\\\\\\\\\\\[()]|\\\\\\\\.|\\\\[([^\\\\]\\\\\\\\]|\\\\\\\\.)+\\\\]|\\\\(([^)\\\\\\\\]|\\\\\\\\.)+\\\\))+\\\\/([dgimsuvy]+|(?![\\\\/\\\\*])|(?=\\\\/\\\\*))(?!\\\\s*[a-zA-Z0-9_$]))","beginCaptures":{"1":{"name":"punctuation.definition.string.begin.js"}},"end":"(/)([dgimsuvy]*)","endCaptures":{"1":{"name":"punctuation.definition.string.end.js"},"2":{"name":"keyword.other.js"}},"name":"string.regexp.js","patterns":[{"include":"#regexp"}]},{"begin":"((?<![_$0-9A-Za-z)\\\\]]|\\\\+\\\\+|--|}|\\\\*\\\\/)|((?<=^return|[^\\\\._$0-9A-Za-z]return|^case|[^\\\\._$0-9A-Za-z]case))\\\\s*)\\\\/(?![\\\\/*])(?=(?:[^\\\\/\\\\\\\\\\\\[]|\\\\\\\\.|\\\\[([^\\\\]\\\\\\\\]|\\\\\\\\.)*\\\\])+\\\\/([dgimsuvy]+|(?![\\\\/\\\\*])|(?=\\\\/\\\\*))(?!\\\\s*[a-zA-Z0-9_$]))","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.js"}},"end":"(/)([dgimsuvy]*)","endCaptures":{"1":{"name":"punctuation.definition.string.end.js"},"2":{"name":"keyword.other.js"}},"name":"string.regexp.js","patterns":[{"include":"#regexp"}]}]},"regex-character-class":{"patterns":[{"match":"\\\\\\\\[wWsSdDtrnvf]|\\\\.","name":"constant.other.character-class.regexp"},{"match":"\\\\\\\\([0-7]{3}|x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4})","name":"constant.character.numeric.regexp"},{"match":"\\\\\\\\c[A-Z]","name":"constant.character.control.regexp"},{"match":"\\\\\\\\.","name":"constant.character.escape.backslash.regexp"}]},"regexp":{"patterns":[{"match":"\\\\\\\\[bB]|\\\\^|\\\\$","name":"keyword.control.anchor.regexp"},{"captures":{"0":{"name":"keyword.other.back-reference.regexp"},"1":{"name":"variable.other.regexp"}},"match":"\\\\\\\\[1-9]\\\\d*|\\\\\\\\k<([a-zA-Z_$][\\\\w$]*)>"},{"match":"[?+*]|\\\\{(\\\\d+,\\\\d+|\\\\d+,|,\\\\d+|\\\\d+)\\\\}\\\\??","name":"keyword.operator.quantifier.regexp"},{"match":"\\\\|","name":"keyword.operator.or.regexp"},{"begin":"(\\\\()((\\\\?=)|(\\\\?!)|(\\\\?<=)|(\\\\?<!))","beginCaptures":{"1":{"name":"punctuation.definition.group.regexp"},"2":{"name":"punctuation.definition.group.assertion.regexp"},"3":{"name":"meta.assertion.look-ahead.regexp"},"4":{"name":"meta.assertion.negative-look-ahead.regexp"},"5":{"name":"meta.assertion.look-behind.regexp"},"6":{"name":"meta.assertion.negative-look-behind.regexp"}},"end":"(\\\\))","endCaptures":{"1":{"name":"punctuation.definition.group.regexp"}},"name":"meta.group.assertion.regexp","patterns":[{"include":"#regexp"}]},{"begin":"\\\\((?:(\\\\?:)|(?:\\\\?<([a-zA-Z_$][\\\\w$]*)>))?","beginCaptures":{"0":{"name":"punctuation.definition.group.regexp"},"1":{"name":"punctuation.definition.group.no-capture.regexp"},"2":{"name":"variable.other.regexp"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.definition.group.regexp"}},"name":"meta.group.regexp","patterns":[{"include":"#regexp"}]},{"begin":"(\\\\[)(\\\\^)?","beginCaptures":{"1":{"name":"punctuation.definition.character-class.regexp"},"2":{"name":"keyword.operator.negation.regexp"}},"end":"(\\\\])","endCaptures":{"1":{"name":"punctuation.definition.character-class.regexp"}},"name":"constant.other.character-class.set.regexp","patterns":[{"captures":{"1":{"name":"constant.character.numeric.regexp"},"2":{"name":"constant.character.control.regexp"},"3":{"name":"constant.character.escape.backslash.regexp"},"4":{"name":"constant.character.numeric.regexp"},"5":{"name":"constant.character.control.regexp"},"6":{"name":"constant.character.escape.backslash.regexp"}},"match":"(?:.|(\\\\\\\\(?:[0-7]{3}|x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4}))|(\\\\\\\\c[A-Z])|(\\\\\\\\.))-(?:[^\\\\]\\\\\\\\]|(\\\\\\\\(?:[0-7]{3}|x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4}))|(\\\\\\\\c[A-Z])|(\\\\\\\\.))","name":"constant.other.character-class.range.regexp"},{"include":"#regex-character-class"}]},{"include":"#regex-character-class"}]},"return-type":{"patterns":[{"begin":"(?<=\\\\))\\\\s*(:)(?=\\\\s*\\\\S)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.js"}},"end":"(?<![:|&])(?=$|^|[{};,]|//)","name":"meta.return.type.js","patterns":[{"include":"#return-type-core"}]},{"begin":"(?<=\\\\))\\\\s*(:)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.js"}},"end":"(?<![:|&])((?=[{};,]|//|^\\\\s*$)|((?<=\\\\S)(?=\\\\s*$)))","name":"meta.return.type.js","patterns":[{"include":"#return-type-core"}]}]},"return-type-core":{"patterns":[{"include":"#comment"},{"begin":"(?<=[:|&])(?=\\\\s*\\\\{)","end":"(?<=\\\\})","patterns":[{"include":"#type-object"}]},{"include":"#type-predicate-operator"},{"include":"#type"}]},"shebang":{"captures":{"1":{"name":"punctuation.definition.comment.js"}},"match":"\\\\A(#!).*(?=$)","name":"comment.line.shebang.js"},"single-line-comment-consuming-line-ending":{"begin":"(^[ \\\\t]+)?((//)(?:\\\\s*((@)internal)(?=\\\\s|$))?)","beginCaptures":{"1":{"name":"punctuation.whitespace.comment.leading.js"},"2":{"name":"comment.line.double-slash.js"},"3":{"name":"punctuation.definition.comment.js"},"4":{"name":"storage.type.internaldeclaration.js"},"5":{"name":"punctuation.decorator.internaldeclaration.js"}},"contentName":"comment.line.double-slash.js","end":"(?=^)"},"statements":{"patterns":[{"include":"#declaration"},{"include":"#control-statement"},{"include":"#after-operator-block-as-object-literal"},{"include":"#decl-block"},{"include":"#label"},{"include":"#expression"},{"include":"#punctuation-semicolon"},{"include":"#string"},{"include":"#comment"}]},"string":{"patterns":[{"include":"#qstring-single"},{"include":"#qstring-double"},{"include":"#template"}]},"string-character-escape":{"match":"\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4}|u\\\\{[0-9A-Fa-f]+\\\\}|[0-2][0-7]{0,2}|3[0-6][0-7]?|37[0-7]?|[4-7][0-7]?|.|$)","name":"constant.character.escape.js"},"super-literal":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))super\\\\b(?!\\\\$)","name":"variable.language.super.js"},"support-function-call-identifiers":{"patterns":[{"include":"#literal"},{"include":"#support-objects"},{"include":"#object-identifiers"},{"include":"#punctuation-accessor"},{"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))import(?=\\\\s*[(]\\\\s*[\\\\\\"\\\\'\\\\\`]))","name":"keyword.operator.expression.import.js"}]},"support-objects":{"patterns":[{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(arguments)\\\\b(?!\\\\$)","name":"variable.language.arguments.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(Promise)\\\\b(?!\\\\$)","name":"support.class.promise.js"},{"captures":{"1":{"name":"keyword.control.import.js"},"2":{"name":"punctuation.accessor.js"},"3":{"name":"punctuation.accessor.optional.js"},"4":{"name":"support.variable.property.importmeta.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(import)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(meta)\\\\b(?!\\\\$)"},{"captures":{"1":{"name":"keyword.operator.new.js"},"2":{"name":"punctuation.accessor.js"},"3":{"name":"punctuation.accessor.optional.js"},"4":{"name":"support.variable.property.target.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(new)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(target)\\\\b(?!\\\\$)"},{"captures":{"1":{"name":"punctuation.accessor.js"},"2":{"name":"punctuation.accessor.optional.js"},"3":{"name":"support.variable.property.js"},"4":{"name":"support.constant.js"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(?:(?:(constructor|length|prototype|__proto__)\\\\b(?!\\\\$|\\\\s*(<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\())|(?:(EPSILON|MAX_SAFE_INTEGER|MAX_VALUE|MIN_SAFE_INTEGER|MIN_VALUE|NEGATIVE_INFINITY|POSITIVE_INFINITY)\\\\b(?!\\\\$)))"},{"captures":{"1":{"name":"support.type.object.module.js"},"2":{"name":"support.type.object.module.js"},"3":{"name":"punctuation.accessor.js"},"4":{"name":"punctuation.accessor.optional.js"},"5":{"name":"support.type.object.module.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(exports)|(module)(?:(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))(exports|id|filename|loaded|parent|children))?)\\\\b(?!\\\\$)"}]},"switch-statement":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?=\\\\bswitch\\\\s*\\\\()","end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"name":"switch-statement.expr.js","patterns":[{"include":"#comment"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(switch)\\\\s*(\\\\()","beginCaptures":{"1":{"name":"keyword.control.switch.js"},"2":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"name":"switch-expression.expr.js","patterns":[{"include":"#expression"}]},{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.js"}},"end":"(?=\\\\})","name":"switch-block.expr.js","patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(case|default(?=:))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.control.switch.js"}},"end":"(?=:)","name":"case-clause.expr.js","patterns":[{"include":"#expression"}]},{"begin":"(:)\\\\s*(\\\\{)","beginCaptures":{"1":{"name":"case-clause.expr.js punctuation.definition.section.case-statement.js"},"2":{"name":"meta.block.js punctuation.definition.block.js"}},"contentName":"meta.block.js","end":"\\\\}","endCaptures":{"0":{"name":"meta.block.js punctuation.definition.block.js"}},"patterns":[{"include":"#statements"}]},{"captures":{"0":{"name":"case-clause.expr.js punctuation.definition.section.case-statement.js"}},"match":"(:)"},{"include":"#statements"}]}]},"template":{"patterns":[{"include":"#template-call"},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)?(\`)","beginCaptures":{"1":{"name":"entity.name.function.tagged-template.js"},"2":{"name":"string.template.js punctuation.definition.string.template.begin.js"}},"contentName":"string.template.js","end":"\`","endCaptures":{"0":{"name":"string.template.js punctuation.definition.string.template.end.js"}},"patterns":[{"include":"#template-substitution-element"},{"include":"#string-character-escape"}]}]},"template-call":{"patterns":[{"begin":"(?=(([_$A-Za-z][_$0-9A-Za-z]*\\\\s*\\\\??\\\\.\\\\s*)*|(\\\\??\\\\.\\\\s*)?)([_$A-Za-z][_$0-9A-Za-z]*)(<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?\`)","end":"(?=\`)","patterns":[{"begin":"(?=(([_$A-Za-z][_$0-9A-Za-z]*\\\\s*\\\\??\\\\.\\\\s*)*|(\\\\??\\\\.\\\\s*)?)([_$A-Za-z][_$0-9A-Za-z]*))","end":"(?=(<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?\`)","patterns":[{"include":"#support-function-call-identifiers"},{"match":"([_$A-Za-z][_$0-9A-Za-z]*)","name":"entity.name.function.tagged-template.js"}]},{"include":"#type-arguments"}]},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)?\\\\s*(?=(<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)\`)","beginCaptures":{"1":{"name":"entity.name.function.tagged-template.js"}},"end":"(?=\`)","patterns":[{"include":"#type-arguments"}]}]},"template-substitution-element":{"begin":"\\\\$\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.template-expression.begin.js"}},"contentName":"meta.embedded.line.js","end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.template-expression.end.js"}},"name":"meta.template.expression.js","patterns":[{"include":"#expression"}]},"template-type":{"patterns":[{"include":"#template-call"},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)?(\`)","beginCaptures":{"1":{"name":"entity.name.function.tagged-template.js"},"2":{"name":"string.template.js punctuation.definition.string.template.begin.js"}},"contentName":"string.template.js","end":"\`","endCaptures":{"0":{"name":"string.template.js punctuation.definition.string.template.end.js"}},"patterns":[{"include":"#template-type-substitution-element"},{"include":"#string-character-escape"}]}]},"template-type-substitution-element":{"begin":"\\\\$\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.template-expression.begin.js"}},"contentName":"meta.embedded.line.js","end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.template-expression.end.js"}},"name":"meta.template.expression.js","patterns":[{"include":"#type"}]},"ternary-expression":{"begin":"(?!\\\\?\\\\.\\\\s*[^\\\\d])(\\\\?)(?!\\\\?)","beginCaptures":{"1":{"name":"keyword.operator.ternary.js"}},"end":"\\\\s*(:)","endCaptures":{"1":{"name":"keyword.operator.ternary.js"}},"patterns":[{"include":"#expression"}]},"this-literal":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))this\\\\b(?!\\\\$)","name":"variable.language.this.js"},"type":{"patterns":[{"include":"#comment"},{"include":"#type-string"},{"include":"#numeric-literal"},{"include":"#type-primitive"},{"include":"#type-builtin-literals"},{"include":"#type-parameters"},{"include":"#type-tuple"},{"include":"#type-object"},{"include":"#type-operators"},{"include":"#type-conditional"},{"include":"#type-fn-type-parameters"},{"include":"#type-paren-or-function-parameters"},{"include":"#type-function-return-type"},{"captures":{"1":{"name":"storage.modifier.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(readonly)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*"},{"include":"#type-name"}]},"type-alias-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(type)\\\\b\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.type.type.js"},"4":{"name":"entity.name.type.alias.js"}},"end":"(?=\\\\}|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","name":"meta.type.declaration.js","patterns":[{"include":"#comment"},{"include":"#type-parameters"},{"begin":"(=)\\\\s*(intrinsic)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.operator.assignment.js"},"2":{"name":"keyword.control.intrinsic.js"}},"end":"(?=\\\\}|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#type"}]},{"begin":"(=)\\\\s*","beginCaptures":{"1":{"name":"keyword.operator.assignment.js"}},"end":"(?=\\\\}|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#type"}]}]},"type-annotation":{"patterns":[{"begin":"(:)(?=\\\\s*\\\\S)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.js"}},"end":"(?<![:|&])(?!\\\\s*[|&]\\\\s+)((?=^|[,);}\\\\]]|//)|(?==[^>])|((?<=[}>\\\\])]|[_$A-Za-z])\\\\s*(?=\\\\{)))","name":"meta.type.annotation.js","patterns":[{"include":"#type"}]},{"begin":"(:)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.js"}},"end":"(?<![:|&])((?=[,);}\\\\]]|\\\\/\\\\/)|(?==[^>])|(?=^\\\\s*$)|((?<=[}>\\\\])]|[_$A-Za-z])\\\\s*(?=\\\\{)))","name":"meta.type.annotation.js","patterns":[{"include":"#type"}]}]},"type-arguments":{"begin":"<","beginCaptures":{"0":{"name":"punctuation.definition.typeparameters.begin.js"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.typeparameters.end.js"}},"name":"meta.type.parameters.js","patterns":[{"include":"#type-arguments-body"}]},"type-arguments-body":{"patterns":[{"captures":{"0":{"name":"keyword.operator.type.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(_)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))"},{"include":"#type"},{"include":"#punctuation-comma"}]},"type-builtin-literals":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(this|true|false|undefined|null|object)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"support.type.builtin.js"},"type-conditional":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(extends)\\\\s+","beginCaptures":{"1":{"name":"storage.modifier.js"}},"end":"(?<=:)","patterns":[{"begin":"\\\\?","beginCaptures":{"0":{"name":"keyword.operator.ternary.js"}},"end":":","endCaptures":{"0":{"name":"keyword.operator.ternary.js"}},"patterns":[{"include":"#type"}]},{"include":"#type"}]}]},"type-fn-type-parameters":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(abstract)\\\\s+)?(new)\\\\b(?=\\\\s*<)","beginCaptures":{"1":{"name":"meta.type.constructor.js storage.modifier.js"},"2":{"name":"meta.type.constructor.js keyword.control.new.js"}},"end":"(?<=>)","patterns":[{"include":"#comment"},{"include":"#type-parameters"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(abstract)\\\\s+)?(new)\\\\b\\\\s*(?=\\\\()","beginCaptures":{"1":{"name":"storage.modifier.js"},"2":{"name":"keyword.control.new.js"}},"end":"(?<=\\\\))","name":"meta.type.constructor.js","patterns":[{"include":"#function-parameters"}]},{"begin":"((?=[(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>))))))","end":"(?<=\\\\))","name":"meta.type.function.js","patterns":[{"include":"#function-parameters"}]}]},"type-function-return-type":{"patterns":[{"begin":"(=>)(?=\\\\s*\\\\S)","beginCaptures":{"1":{"name":"storage.type.function.arrow.js"}},"end":"(?<!=>)(?<![|&])(?=[,\\\\]){}=;>:?]|//|$)","name":"meta.type.function.return.js","patterns":[{"include":"#type-function-return-type-core"}]},{"begin":"=>","beginCaptures":{"0":{"name":"storage.type.function.arrow.js"}},"end":"(?<!=>)(?<![|&])((?=[,\\\\]){}=;:?>]|//|^\\\\s*$)|((?<=\\\\S)(?=\\\\s*$)))","name":"meta.type.function.return.js","patterns":[{"include":"#type-function-return-type-core"}]}]},"type-function-return-type-core":{"patterns":[{"include":"#comment"},{"begin":"(?<==>)(?=\\\\s*\\\\{)","end":"(?<=\\\\})","patterns":[{"include":"#type-object"}]},{"include":"#type-predicate-operator"},{"include":"#type"}]},"type-infer":{"patterns":[{"captures":{"1":{"name":"keyword.operator.expression.infer.js"},"2":{"name":"entity.name.type.js"},"3":{"name":"keyword.operator.expression.extends.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(infer)\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?:\\\\s+(extends)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))?","name":"meta.type.infer.js"}]},"type-name":{"patterns":[{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(<)","captures":{"1":{"name":"entity.name.type.module.js"},"2":{"name":"punctuation.accessor.js"},"3":{"name":"punctuation.accessor.optional.js"},"4":{"name":"meta.type.parameters.js punctuation.definition.typeparameters.begin.js"}},"contentName":"meta.type.parameters.js","end":"(>)","endCaptures":{"1":{"name":"meta.type.parameters.js punctuation.definition.typeparameters.end.js"}},"patterns":[{"include":"#type-arguments-body"}]},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(<)","beginCaptures":{"1":{"name":"entity.name.type.js"},"2":{"name":"meta.type.parameters.js punctuation.definition.typeparameters.begin.js"}},"contentName":"meta.type.parameters.js","end":"(>)","endCaptures":{"1":{"name":"meta.type.parameters.js punctuation.definition.typeparameters.end.js"}},"patterns":[{"include":"#type-arguments-body"}]},{"captures":{"1":{"name":"entity.name.type.module.js"},"2":{"name":"punctuation.accessor.js"},"3":{"name":"punctuation.accessor.optional.js"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))"},{"match":"[_$A-Za-z][_$0-9A-Za-z]*","name":"entity.name.type.js"}]},"type-object":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.js"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.js"}},"name":"meta.object.type.js","patterns":[{"include":"#comment"},{"include":"#method-declaration"},{"include":"#indexer-declaration"},{"include":"#indexer-mapped-type-declaration"},{"include":"#field-declaration"},{"include":"#type-annotation"},{"begin":"\\\\.\\\\.\\\\.","beginCaptures":{"0":{"name":"keyword.operator.spread.js"}},"end":"(?=\\\\}|;|,|$)|(?<=\\\\})","patterns":[{"include":"#type"}]},{"include":"#punctuation-comma"},{"include":"#punctuation-semicolon"},{"include":"#type"}]},"type-operators":{"patterns":[{"include":"#typeof-operator"},{"include":"#type-infer"},{"begin":"([&|])(?=\\\\s*\\\\{)","beginCaptures":{"0":{"name":"keyword.operator.type.js"}},"end":"(?<=\\\\})","patterns":[{"include":"#type-object"}]},{"begin":"[&|]","beginCaptures":{"0":{"name":"keyword.operator.type.js"}},"end":"(?=\\\\S)"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))keyof(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.keyof.js"},{"match":"(\\\\?|:)","name":"keyword.operator.ternary.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))import(?=\\\\s*\\\\()","name":"keyword.operator.expression.import.js"}]},"type-parameters":{"begin":"(<)","beginCaptures":{"1":{"name":"punctuation.definition.typeparameters.begin.js"}},"end":"(>)","endCaptures":{"1":{"name":"punctuation.definition.typeparameters.end.js"}},"name":"meta.type.parameters.js","patterns":[{"include":"#comment"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(extends|in|out|const)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.js"},{"include":"#type"},{"include":"#punctuation-comma"},{"match":"(=)(?!>)","name":"keyword.operator.assignment.js"}]},"type-paren-or-function-parameters":{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.js"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.js"}},"name":"meta.type.paren.cover.js","patterns":[{"captures":{"1":{"name":"storage.modifier.js"},"2":{"name":"keyword.operator.rest.js"},"3":{"name":"entity.name.function.js variable.language.this.js"},"4":{"name":"entity.name.function.js"},"5":{"name":"keyword.operator.optional.js"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))\\\\s*(\\\\??)(?=\\\\s*(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))))"},{"captures":{"1":{"name":"storage.modifier.js"},"2":{"name":"keyword.operator.rest.js"},"3":{"name":"variable.parameter.js variable.language.this.js"},"4":{"name":"variable.parameter.js"},"5":{"name":"keyword.operator.optional.js"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))\\\\s*(\\\\??)(?=:)"},{"include":"#type-annotation"},{"match":",","name":"punctuation.separator.parameter.js"},{"include":"#type"}]},"type-predicate-operator":{"patterns":[{"captures":{"1":{"name":"keyword.operator.type.asserts.js"},"2":{"name":"variable.parameter.js variable.language.this.js"},"3":{"name":"variable.parameter.js"},"4":{"name":"keyword.operator.expression.is.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(asserts)\\\\s+)?(?!asserts)(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))\\\\s(is)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))"},{"captures":{"1":{"name":"keyword.operator.type.asserts.js"},"2":{"name":"variable.parameter.js variable.language.this.js"},"3":{"name":"variable.parameter.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(asserts)\\\\s+(?!is)(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))asserts(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.type.asserts.js"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))is(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.is.js"}]},"type-primitive":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(string|number|bigint|boolean|symbol|any|void|never|unknown)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"support.type.primitive.js"},"type-string":{"patterns":[{"include":"#qstring-single"},{"include":"#qstring-double"},{"include":"#template-type"}]},"type-tuple":{"begin":"\\\\[","beginCaptures":{"0":{"name":"meta.brace.square.js"}},"end":"\\\\]","endCaptures":{"0":{"name":"meta.brace.square.js"}},"name":"meta.type.tuple.js","patterns":[{"match":"\\\\.\\\\.\\\\.","name":"keyword.operator.rest.js"},{"captures":{"1":{"name":"entity.name.label.js"},"2":{"name":"keyword.operator.optional.js"},"3":{"name":"punctuation.separator.label.js"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(\\\\?)?\\\\s*(:)"},{"include":"#type"},{"include":"#punctuation-comma"}]},"typeof-operator":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))typeof(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"0":{"name":"keyword.operator.expression.typeof.js"}},"end":"(?=[,);}\\\\]=>:&|{?]|(extends\\\\s+)|$|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#type-arguments"},{"include":"#expression"}]},"undefined-literal":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))undefined(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.undefined.js"},"var-expr":{"patterns":[{"begin":"(?=(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(var|let)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))","end":"(?!(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(var|let)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))((?=^|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))|((?<!^let|[^\\\\._$0-9A-Za-z]let|^var|[^\\\\._$0-9A-Za-z]var)(?=\\\\s*$)))","name":"meta.var.expr.js","patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(var|let)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.type.js"}},"end":"(?=\\\\S)"},{"include":"#destructuring-variable"},{"include":"#var-single-variable"},{"include":"#variable-initializer"},{"include":"#comment"},{"begin":"(,)\\\\s*(?=$|\\\\/\\\\/)","beginCaptures":{"1":{"name":"punctuation.separator.comma.js"}},"end":"(?<!,)(((?==|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|^\\\\s*$))|((?<=\\\\S)(?=\\\\s*$)))","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"include":"#destructuring-variable"},{"include":"#var-single-variable"},{"include":"#punctuation-comma"}]},{"include":"#punctuation-comma"}]},{"begin":"(?=(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(const(?!\\\\s+enum\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.type.js"}},"end":"(?!(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(const(?!\\\\s+enum\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))((?=^|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))|((?<!^const|[^\\\\._$0-9A-Za-z]const)(?=\\\\s*$)))","name":"meta.var.expr.js","patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(const(?!\\\\s+enum\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.type.js"}},"end":"(?=\\\\S)"},{"include":"#destructuring-const"},{"include":"#var-single-const"},{"include":"#variable-initializer"},{"include":"#comment"},{"begin":"(,)\\\\s*(?=$|\\\\/\\\\/)","beginCaptures":{"1":{"name":"punctuation.separator.comma.js"}},"end":"(?<!,)(((?==|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|^\\\\s*$))|((?<=\\\\S)(?=\\\\s*$)))","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"include":"#destructuring-const"},{"include":"#var-single-const"},{"include":"#punctuation-comma"}]},{"include":"#punctuation-comma"}]},{"begin":"(?=(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b((?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.type.js"}},"end":"(?!(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b((?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))((?=;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))|((?<!^using|[^\\\\._$0-9A-Za-z]using|^await\\\\s+using|[^\\\\._$0-9A-Za-z]await\\\\s+using)(?=\\\\s*$)))","name":"meta.var.expr.js","patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b((?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.js"},"2":{"name":"storage.modifier.js"},"3":{"name":"storage.type.js"}},"end":"(?=\\\\S)"},{"include":"#var-single-const"},{"include":"#variable-initializer"},{"include":"#comment"},{"begin":"(,)\\\\s*((?!\\\\S)|(?=\\\\/\\\\/))","beginCaptures":{"1":{"name":"punctuation.separator.comma.js"}},"end":"(?<!,)(((?==|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|^\\\\s*$))|((?<=\\\\S)(?=\\\\s*$)))","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"include":"#var-single-const"},{"include":"#punctuation-comma"}]},{"include":"#punctuation-comma"}]}]},"var-single-const":{"patterns":[{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)(?=\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))","beginCaptures":{"1":{"name":"meta.definition.variable.js variable.other.constant.js entity.name.function.js"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.js","patterns":[{"include":"#var-single-variable-type-annotation"}]},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)","beginCaptures":{"1":{"name":"meta.definition.variable.js variable.other.constant.js"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.js","patterns":[{"include":"#var-single-variable-type-annotation"}]}]},"var-single-variable":{"patterns":[{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)(!)?(?=\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|([(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|([<]\\\\s*[_$A-Za-z][_$0-9A-Za-z]*\\\\s+extends\\\\s*[^=>])|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\'\\\\\\"\\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\'([^\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\\`([^\\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))","beginCaptures":{"1":{"name":"meta.definition.variable.js entity.name.function.js"},"2":{"name":"keyword.operator.definiteassignment.js"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.js","patterns":[{"include":"#var-single-variable-type-annotation"}]},{"begin":"([A-Z][_$\\\\dA-Z]*)(?![_$0-9A-Za-z])(!)?","beginCaptures":{"1":{"name":"meta.definition.variable.js variable.other.constant.js"},"2":{"name":"keyword.operator.definiteassignment.js"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.js","patterns":[{"include":"#var-single-variable-type-annotation"}]},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)(!)?","beginCaptures":{"1":{"name":"meta.definition.variable.js variable.other.readwrite.js"},"2":{"name":"keyword.operator.definiteassignment.js"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.js","patterns":[{"include":"#var-single-variable-type-annotation"}]}]},"var-single-variable-type-annotation":{"patterns":[{"include":"#type-annotation"},{"include":"#string"},{"include":"#comment"}]},"variable-initializer":{"patterns":[{"begin":"(?<!=|!)(=)(?!=)(?=\\\\s*\\\\S)(?!\\\\s*.*=>\\\\s*$)","beginCaptures":{"1":{"name":"keyword.operator.assignment.js"}},"end":"(?=$|^|[,);}\\\\]]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","patterns":[{"include":"#expression"}]},{"begin":"(?<!=|!)(=)(?!=)","beginCaptures":{"1":{"name":"keyword.operator.assignment.js"}},"end":"(?=[,);}\\\\]]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))|(?=^\\\\s*$)|(?<![\\\\|\\\\&+\\\\-\\\\*\\\\/])(?<=\\\\S)(?<!=)(?=\\\\s*$)","patterns":[{"include":"#expression"}]}]}},"scopeName":"source.js","aliases":["js"]}`)),Ye=[Bi],Yi=Object.freeze(Object.defineProperty({__proto__:null,default:Ye},Symbol.toStringTag,{value:"Module"})),Qi=Object.freeze(JSON.parse(`{"displayName":"CSS","name":"css","patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"include":"#combinators"},{"include":"#selector"},{"include":"#at-rules"},{"include":"#rule-list"}],"repository":{"at-rules":{"patterns":[{"begin":"\\\\A(?:\\\\xEF\\\\xBB\\\\xBF)?(?i:(?=\\\\s*@charset\\\\b))","end":";|(?=$)","endCaptures":{"0":{"name":"punctuation.terminator.rule.css"}},"name":"meta.at-rule.charset.css","patterns":[{"captures":{"1":{"name":"invalid.illegal.not-lowercase.charset.css"},"2":{"name":"invalid.illegal.leading-whitespace.charset.css"},"3":{"name":"invalid.illegal.no-whitespace.charset.css"},"4":{"name":"invalid.illegal.whitespace.charset.css"},"5":{"name":"invalid.illegal.not-double-quoted.charset.css"},"6":{"name":"invalid.illegal.unclosed-string.charset.css"},"7":{"name":"invalid.illegal.unexpected-characters.charset.css"}},"match":"\\\\G((?!@charset)@\\\\w+)|\\\\G(\\\\s+)|(@charset\\\\S[^;]*)|(?<=@charset)(\\\\x20{2,}|\\\\t+)|(?<=@charset\\\\x20)([^\\";]+)|(\\"[^\\"]+$)|(?<=\\")([^;]+)"},{"captures":{"1":{"name":"keyword.control.at-rule.charset.css"},"2":{"name":"punctuation.definition.keyword.css"}},"match":"((@)charset)(?=\\\\s)"},{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.css"}},"end":"\\"|$","endCaptures":{"0":{"name":"punctuation.definition.string.end.css"}},"name":"string.quoted.double.css","patterns":[{"begin":"(?:\\\\G|^)(?=(?:[^\\"])+$)","end":"$","name":"invalid.illegal.unclosed.string.css"}]}]},{"begin":"(?i)((@)import)(?:\\\\s+|$|(?=['\\"]|/\\\\*))","beginCaptures":{"1":{"name":"keyword.control.at-rule.import.css"},"2":{"name":"punctuation.definition.keyword.css"}},"end":";","endCaptures":{"0":{"name":"punctuation.terminator.rule.css"}},"name":"meta.at-rule.import.css","patterns":[{"begin":"\\\\G\\\\s*(?=/\\\\*)","end":"(?<=\\\\*/)\\\\s*","patterns":[{"include":"#comment-block"}]},{"include":"#string"},{"include":"#url"},{"include":"#media-query-list"}]},{"begin":"(?i)((@)font-face)(?=\\\\s*|{|/\\\\*|$)","beginCaptures":{"1":{"name":"keyword.control.at-rule.font-face.css"},"2":{"name":"punctuation.definition.keyword.css"}},"end":"(?!\\\\G)","name":"meta.at-rule.font-face.css","patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"include":"#rule-list"}]},{"begin":"(?i)(@)page(?=[\\\\s:{]|/\\\\*|$)","captures":{"0":{"name":"keyword.control.at-rule.page.css"},"1":{"name":"punctuation.definition.keyword.css"}},"end":"(?=\\\\s*($|[:{;]))","name":"meta.at-rule.page.css","patterns":[{"include":"#rule-list"}]},{"begin":"(?i)(?=@media(\\\\s|\\\\(|/\\\\*|$))","end":"(?<=})(?!\\\\G)","patterns":[{"begin":"(?i)\\\\G(@)media","beginCaptures":{"0":{"name":"keyword.control.at-rule.media.css"},"1":{"name":"punctuation.definition.keyword.css"}},"end":"(?=\\\\s*[{;])","name":"meta.at-rule.media.header.css","patterns":[{"include":"#media-query-list"}]},{"begin":"{","beginCaptures":{"0":{"name":"punctuation.section.media.begin.bracket.curly.css"}},"end":"}","endCaptures":{"0":{"name":"punctuation.section.media.end.bracket.curly.css"}},"name":"meta.at-rule.media.body.css","patterns":[{"include":"$self"}]}]},{"begin":"(?i)(?=@counter-style([\\\\s'\\"{;]|/\\\\*|$))","end":"(?<=})(?!\\\\G)","patterns":[{"begin":"(?i)\\\\G(@)counter-style","beginCaptures":{"0":{"name":"keyword.control.at-rule.counter-style.css"},"1":{"name":"punctuation.definition.keyword.css"}},"end":"(?=\\\\s*{)","name":"meta.at-rule.counter-style.header.css","patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"captures":{"0":{"patterns":[{"include":"#escapes"}]}},"match":"(?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*","name":"variable.parameter.style-name.css"}]},{"begin":"{","beginCaptures":{"0":{"name":"punctuation.section.property-list.begin.bracket.curly.css"}},"end":"}","endCaptures":{"0":{"name":"punctuation.section.property-list.end.bracket.curly.css"}},"name":"meta.at-rule.counter-style.body.css","patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"include":"#rule-list-innards"}]}]},{"begin":"(?i)(?=@document([\\\\s'\\"{;]|/\\\\*|$))","end":"(?<=})(?!\\\\G)","patterns":[{"begin":"(?i)\\\\G(@)document","beginCaptures":{"0":{"name":"keyword.control.at-rule.document.css"},"1":{"name":"punctuation.definition.keyword.css"}},"end":"(?=\\\\s*[{;])","name":"meta.at-rule.document.header.css","patterns":[{"begin":"(?i)(?<![\\\\w-])(url-prefix|domain|regexp)(\\\\()","beginCaptures":{"1":{"name":"support.function.document-rule.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.document-rule.css","patterns":[{"include":"#string"},{"include":"#comment-block"},{"include":"#escapes"},{"match":"[^'\\")\\\\s]+","name":"variable.parameter.document-rule.css"}]},{"include":"#url"},{"include":"#commas"},{"include":"#comment-block"},{"include":"#escapes"}]},{"begin":"{","beginCaptures":{"0":{"name":"punctuation.section.document.begin.bracket.curly.css"}},"end":"}","endCaptures":{"0":{"name":"punctuation.section.document.end.bracket.curly.css"}},"name":"meta.at-rule.document.body.css","patterns":[{"include":"$self"}]}]},{"begin":"(?i)(?=@(?:-(?:webkit|moz|o|ms)-)?keyframes([\\\\s'\\"{;]|/\\\\*|$))","end":"(?<=})(?!\\\\G)","patterns":[{"begin":"(?i)\\\\G(@)(?:-(?:webkit|moz|o|ms)-)?keyframes","beginCaptures":{"0":{"name":"keyword.control.at-rule.keyframes.css"},"1":{"name":"punctuation.definition.keyword.css"}},"end":"(?=\\\\s*{)","name":"meta.at-rule.keyframes.header.css","patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"captures":{"0":{"patterns":[{"include":"#escapes"}]}},"match":"(?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*","name":"variable.parameter.keyframe-list.css"}]},{"begin":"{","beginCaptures":{"0":{"name":"punctuation.section.keyframes.begin.bracket.curly.css"}},"end":"}","endCaptures":{"0":{"name":"punctuation.section.keyframes.end.bracket.curly.css"}},"name":"meta.at-rule.keyframes.body.css","patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"captures":{"1":{"name":"entity.other.keyframe-offset.css"},"2":{"name":"entity.other.keyframe-offset.percentage.css"}},"match":"(?i)(?<![\\\\w-])(from|to)(?![\\\\w-])|([-+]?(?:\\\\d+(?:\\\\.\\\\d+)?|\\\\.\\\\d+)%)"},{"include":"#rule-list"}]}]},{"begin":"(?i)(?=@supports(\\\\s|\\\\(|/\\\\*|$))","end":"(?<=})(?!\\\\G)|(?=;)","patterns":[{"begin":"(?i)\\\\G(@)supports","beginCaptures":{"0":{"name":"keyword.control.at-rule.supports.css"},"1":{"name":"punctuation.definition.keyword.css"}},"end":"(?=\\\\s*[{;])","name":"meta.at-rule.supports.header.css","patterns":[{"include":"#feature-query-operators"},{"include":"#feature-query"},{"include":"#comment-block"},{"include":"#escapes"}]},{"begin":"{","beginCaptures":{"0":{"name":"punctuation.section.supports.begin.bracket.curly.css"}},"end":"}","endCaptures":{"0":{"name":"punctuation.section.supports.end.bracket.curly.css"}},"name":"meta.at-rule.supports.body.css","patterns":[{"include":"$self"}]}]},{"begin":"(?i)((@)(-(ms|o)-)?viewport)(?=[\\\\s'\\"{;]|/\\\\*|$)","beginCaptures":{"1":{"name":"keyword.control.at-rule.viewport.css"},"2":{"name":"punctuation.definition.keyword.css"}},"end":"(?=\\\\s*[@{;])","name":"meta.at-rule.viewport.css","patterns":[{"include":"#comment-block"},{"include":"#escapes"}]},{"begin":"(?i)((@)font-feature-values)(?=[\\\\s'\\"{;]|/\\\\*|$)\\\\s*","beginCaptures":{"1":{"name":"keyword.control.at-rule.font-feature-values.css"},"2":{"name":"punctuation.definition.keyword.css"}},"contentName":"variable.parameter.font-name.css","end":"(?=\\\\s*[@{;])","name":"meta.at-rule.font-features.css","patterns":[{"include":"#comment-block"},{"include":"#escapes"}]},{"include":"#font-features"},{"begin":"(?i)((@)namespace)(?=[\\\\s'\\";]|/\\\\*|$)","beginCaptures":{"1":{"name":"keyword.control.at-rule.namespace.css"},"2":{"name":"punctuation.definition.keyword.css"}},"end":";|(?=[@{])","endCaptures":{"0":{"name":"punctuation.terminator.rule.css"}},"name":"meta.at-rule.namespace.css","patterns":[{"include":"#url"},{"captures":{"1":{"patterns":[{"include":"#comment-block"}]},"2":{"name":"entity.name.function.namespace-prefix.css","patterns":[{"include":"#escapes"}]}},"match":"(?i)(?:\\\\G|^|(?<=\\\\s))(?=(?<=\\\\s|^)(?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])|\\\\s*/\\\\*(?:[^*]|\\\\*[^/])*\\\\*/)(.*?)((?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*)"},{"include":"#comment-block"},{"include":"#escapes"},{"include":"#string"}]},{"begin":"(?i)(?=@[\\\\w-]+[^;]+;s*$)","end":"(?<=;)(?!\\\\G)","patterns":[{"begin":"(?i)\\\\G(@)[\\\\w-]+","beginCaptures":{"0":{"name":"keyword.control.at-rule.css"},"1":{"name":"punctuation.definition.keyword.css"}},"end":";","endCaptures":{"0":{"name":"punctuation.terminator.rule.css"}},"name":"meta.at-rule.header.css"}]},{"begin":"(?i)(?=@[\\\\w-]+(\\\\s|\\\\(|{|/\\\\*|$))","end":"(?<=})(?!\\\\G)","patterns":[{"begin":"(?i)\\\\G(@)[\\\\w-]+","beginCaptures":{"0":{"name":"keyword.control.at-rule.css"},"1":{"name":"punctuation.definition.keyword.css"}},"end":"(?=\\\\s*[{;])","name":"meta.at-rule.header.css"},{"begin":"{","beginCaptures":{"0":{"name":"punctuation.section.begin.bracket.curly.css"}},"end":"}","endCaptures":{"0":{"name":"punctuation.section.end.bracket.curly.css"}},"name":"meta.at-rule.body.css","patterns":[{"include":"$self"}]}]}]},"color-keywords":{"patterns":[{"match":"(?i)(?<![\\\\w-])(aqua|black|blue|fuchsia|gray|green|lime|maroon|navy|olive|orange|purple|red|silver|teal|white|yellow)(?![\\\\w-])","name":"support.constant.color.w3c-standard-color-name.css"},{"match":"(?i)(?<![\\\\w-])(aliceblue|antiquewhite|aquamarine|azure|beige|bisque|blanchedalmond|blueviolet|brown|burlywood|cadetblue|chartreuse|chocolate|coral|cornflowerblue|cornsilk|crimson|cyan|darkblue|darkcyan|darkgoldenrod|darkgray|darkgreen|darkgrey|darkkhaki|darkmagenta|darkolivegreen|darkorange|darkorchid|darkred|darksalmon|darkseagreen|darkslateblue|darkslategray|darkslategrey|darkturquoise|darkviolet|deeppink|deepskyblue|dimgray|dimgrey|dodgerblue|firebrick|floralwhite|forestgreen|gainsboro|ghostwhite|gold|goldenrod|greenyellow|grey|honeydew|hotpink|indianred|indigo|ivory|khaki|lavender|lavenderblush|lawngreen|lemonchiffon|lightblue|lightcoral|lightcyan|lightgoldenrodyellow|lightgray|lightgreen|lightgrey|lightpink|lightsalmon|lightseagreen|lightskyblue|lightslategray|lightslategrey|lightsteelblue|lightyellow|limegreen|linen|magenta|mediumaquamarine|mediumblue|mediumorchid|mediumpurple|mediumseagreen|mediumslateblue|mediumspringgreen|mediumturquoise|mediumvioletred|midnightblue|mintcream|mistyrose|moccasin|navajowhite|oldlace|olivedrab|orangered|orchid|palegoldenrod|palegreen|paleturquoise|palevioletred|papayawhip|peachpuff|peru|pink|plum|powderblue|rebeccapurple|rosybrown|royalblue|saddlebrown|salmon|sandybrown|seagreen|seashell|sienna|skyblue|slateblue|slategray|slategrey|snow|springgreen|steelblue|tan|thistle|tomato|transparent|turquoise|violet|wheat|whitesmoke|yellowgreen)(?![\\\\w-])","name":"support.constant.color.w3c-extended-color-name.css"},{"match":"(?i)(?<![\\\\w-])currentColor(?![\\\\w-])","name":"support.constant.color.current.css"},{"match":"(?i)(?<![\\\\w-])(ActiveBorder|ActiveCaption|AppWorkspace|Background|ButtonFace|ButtonHighlight|ButtonShadow|ButtonText|CaptionText|GrayText|Highlight|HighlightText|InactiveBorder|InactiveCaption|InactiveCaptionText|InfoBackground|InfoText|Menu|MenuText|Scrollbar|ThreeDDarkShadow|ThreeDFace|ThreeDHighlight|ThreeDLightShadow|ThreeDShadow|Window|WindowFrame|WindowText)(?![\\\\w-])","name":"invalid.deprecated.color.system.css"}]},"combinators":{"patterns":[{"match":"/deep/|>>>","name":"invalid.deprecated.combinator.css"},{"match":">>|>|\\\\+|~","name":"keyword.operator.combinator.css"}]},"commas":{"match":",","name":"punctuation.separator.list.comma.css"},"comment-block":{"begin":"/\\\\*","beginCaptures":{"0":{"name":"punctuation.definition.comment.begin.css"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.end.css"}},"name":"comment.block.css"},"escapes":{"patterns":[{"match":"\\\\\\\\[0-9a-fA-F]{1,6}","name":"constant.character.escape.codepoint.css"},{"begin":"\\\\\\\\$\\\\s*","end":"^(?<!\\\\G)","name":"constant.character.escape.newline.css"},{"match":"\\\\\\\\.","name":"constant.character.escape.css"}]},"feature-query":{"begin":"\\\\(","beginCaptures":{"0":{"name":"punctuation.definition.condition.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.definition.condition.end.bracket.round.css"}},"name":"meta.feature-query.css","patterns":[{"include":"#feature-query-operators"},{"include":"#feature-query"}]},"feature-query-operators":{"patterns":[{"match":"(?i)(?<=[\\\\s()]|^|\\\\*/)(and|not|or)(?=[\\\\s()]|/\\\\*|$)","name":"keyword.operator.logical.feature.$1.css"},{"include":"#rule-list-innards"}]},"font-features":{"begin":"(?i)((@)(annotation|character-variant|ornaments|styleset|stylistic|swash))(?=[\\\\s@'\\"{;]|/\\\\*|$)","beginCaptures":{"1":{"name":"keyword.control.at-rule.\${3:/downcase}.css"},"2":{"name":"punctuation.definition.keyword.css"}},"end":"(?<=})","name":"meta.at-rule.\${3:/downcase}.css","patterns":[{"begin":"{","beginCaptures":{"0":{"name":"punctuation.section.property-list.begin.bracket.curly.css"}},"end":"}","endCaptures":{"0":{"name":"punctuation.section.property-list.end.bracket.curly.css"}},"name":"meta.property-list.font-feature.css","patterns":[{"captures":{"0":{"patterns":[{"include":"#escapes"}]}},"match":"(?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*","name":"variable.font-feature.css"},{"include":"#rule-list-innards"}]}]},"functional-pseudo-classes":{"patterns":[{"begin":"(?i)((:)dir)(\\\\()","beginCaptures":{"1":{"name":"entity.other.attribute-name.pseudo-class.css"},"2":{"name":"punctuation.definition.entity.css"},"3":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"match":"(?i)(?<![\\\\w-])(ltr|rtl)(?![\\\\w-])","name":"support.constant.text-direction.css"},{"include":"#property-values"}]},{"begin":"(?i)((:)lang)(\\\\()","beginCaptures":{"1":{"name":"entity.other.attribute-name.pseudo-class.css"},"2":{"name":"punctuation.definition.entity.css"},"3":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"patterns":[{"match":"(?<=[(,\\\\s])[a-zA-Z]+(-[a-zA-Z0-9]*|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*(?=[),\\\\s])","name":"support.constant.language-range.css"},{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.css"}},"end":"\\"","endCaptures":{"0":{"name":"punctuation.definition.string.end.css"}},"name":"string.quoted.double.css","patterns":[{"include":"#escapes"},{"match":"(?<=[\\"\\\\s])[a-zA-Z*]+(-[a-zA-Z0-9*]*)*(?=[\\"\\\\s])","name":"support.constant.language-range.css"}]},{"begin":"'","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.css"}},"end":"'","endCaptures":{"0":{"name":"punctuation.definition.string.end.css"}},"name":"string.quoted.single.css","patterns":[{"include":"#escapes"},{"match":"(?<=['\\\\s])[a-zA-Z*]+(-[a-zA-Z0-9*]*)*(?=['\\\\s])","name":"support.constant.language-range.css"}]},{"include":"#commas"}]},{"begin":"(?i)((:)(?:not|has|matches|where|is))(\\\\()","beginCaptures":{"1":{"name":"entity.other.attribute-name.pseudo-class.css"},"2":{"name":"punctuation.definition.entity.css"},"3":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"patterns":[{"include":"#selector-innards"}]},{"begin":"(?i)((:)nth-(?:last-)?(?:child|of-type))(\\\\()","beginCaptures":{"1":{"name":"entity.other.attribute-name.pseudo-class.css"},"2":{"name":"punctuation.definition.entity.css"},"3":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"patterns":[{"match":"(?i)[+-]?(\\\\d+n?|n)(\\\\s*[+-]\\\\s*\\\\d+)?","name":"constant.numeric.css"},{"match":"(?i)even|odd","name":"support.constant.parity.css"}]}]},"functions":{"patterns":[{"begin":"(?i)(?<![\\\\w-])(calc)(\\\\()","beginCaptures":{"1":{"name":"support.function.calc.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.calc.css","patterns":[{"match":"[*/]|(?<=\\\\s|^)[-+](?=\\\\s|$)","name":"keyword.operator.arithmetic.css"},{"include":"#property-values"}]},{"begin":"(?i)(?<![\\\\w-])(rgba?|rgb|hsla?|hsl|hwb|lab|oklab|lch|oklch|color)(\\\\()","beginCaptures":{"1":{"name":"support.function.misc.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.color.css","patterns":[{"include":"#property-values"}]},{"begin":"(?i)(?<![\\\\w-])((?:-webkit-|-moz-|-o-)?(?:repeating-)?(?:linear|radial|conic)-gradient)(\\\\()","beginCaptures":{"1":{"name":"support.function.gradient.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.gradient.css","patterns":[{"match":"(?i)(?<![\\\\w-])(from|to|at|in|hue)(?![\\\\w-])","name":"keyword.operator.gradient.css"},{"include":"#property-values"}]},{"begin":"(?i)(?<![\\\\w-])(-webkit-gradient)(\\\\()","beginCaptures":{"1":{"name":"invalid.deprecated.gradient.function.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.gradient.invalid.deprecated.gradient.css","patterns":[{"begin":"(?i)(?<![\\\\w-])(from|to|color-stop)(\\\\()","beginCaptures":{"1":{"name":"invalid.deprecated.function.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"patterns":[{"include":"#property-values"}]},{"include":"#property-values"}]},{"begin":"(?i)(?<![\\\\w-])(annotation|attr|blur|brightness|character-variant|clamp|contrast|counters?|cross-fade|drop-shadow|element|fit-content|format|grayscale|hue-rotate|color-mix|image-set|invert|local|max|min|minmax|opacity|ornaments|repeat|saturate|sepia|styleset|stylistic|swash|symbols|cos|sin|tan|acos|asin|atan|atan2|hypot|sqrt|pow|log|exp|abs|sign)(\\\\()","beginCaptures":{"1":{"name":"support.function.misc.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.misc.css","patterns":[{"match":"(?i)(?<=[,\\\\s\\"]|\\\\*/|^)\\\\d+x(?=[\\\\s,\\"')]|/\\\\*|$)","name":"constant.numeric.other.density.css"},{"include":"#property-values"},{"match":"[^'\\"),\\\\s]+","name":"variable.parameter.misc.css"}]},{"begin":"(?i)(?<![\\\\w-])(circle|ellipse|inset|polygon|rect)(\\\\()","beginCaptures":{"1":{"name":"support.function.shape.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.shape.css","patterns":[{"match":"(?i)(?<=\\\\s|^|\\\\*/)(at|round)(?=\\\\s|/\\\\*|$)","name":"keyword.operator.shape.css"},{"include":"#property-values"}]},{"begin":"(?i)(?<![\\\\w-])(cubic-bezier|steps)(\\\\()","beginCaptures":{"1":{"name":"support.function.timing-function.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.timing-function.css","patterns":[{"match":"(?i)(?<![\\\\w-])(start|end)(?=\\\\s*\\\\)|$)","name":"support.constant.step-direction.css"},{"include":"#property-values"}]},{"begin":"(?i)(?<![\\\\w-])((?:translate|scale|rotate)(?:[XYZ]|3D)?|matrix(?:3D)?|skew[XY]?|perspective)(\\\\()","beginCaptures":{"1":{"name":"support.function.transform.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"patterns":[{"include":"#property-values"}]},{"include":"#url"},{"begin":"(?i)(?<![\\\\w-])(var)(\\\\()","beginCaptures":{"1":{"name":"support.function.misc.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.variable.css","patterns":[{"match":"--(?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*","name":"variable.argument.css"},{"include":"#property-values"}]}]},"media-feature-keywords":{"match":"(?i)(?<=^|\\\\s|:|\\\\*/)(?:portrait|landscape|progressive|interlace|fullscreen|standalone|minimal-ui|browser|hover)(?=\\\\s|\\\\)|$)","name":"support.constant.property-value.css"},"media-features":{"captures":{"1":{"name":"support.type.property-name.media.css"},"2":{"name":"support.type.property-name.media.css"},"3":{"name":"support.type.vendored.property-name.media.css"}},"match":"(?i)(?<=^|\\\\s|\\\\(|\\\\*/)(?:((?:min-|max-)?(?:height|width|aspect-ratio|color|color-index|monochrome|resolution)|grid|scan|orientation|display-mode|hover)|((?:min-|max-)?device-(?:height|width|aspect-ratio))|((?:[-_](?:webkit|apple|khtml|epub|moz|ms|o|xv|ah|rim|atsc|hp|tc|wap|ro)|(?:mso|prince))-[\\\\w-]+(?=\\\\s*(?:/\\\\*(?:[^*]|\\\\*[^/])*\\\\*/)?\\\\s*[:)])))(?=\\\\s|$|[><:=]|\\\\)|/\\\\*)"},"media-query":{"begin":"\\\\G","end":"(?=\\\\s*[{;])","patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"include":"#media-types"},{"match":"(?i)(?<=\\\\s|^|,|\\\\*/)(only|not)(?=\\\\s|{|/\\\\*|$)","name":"keyword.operator.logical.$1.media.css"},{"match":"(?i)(?<=\\\\s|^|\\\\*/|\\\\))and(?=\\\\s|/\\\\*|$)","name":"keyword.operator.logical.and.media.css"},{"match":",(?:(?:\\\\s*,)+|(?=\\\\s*[;){]))","name":"invalid.illegal.comma.css"},{"include":"#commas"},{"begin":"\\\\(","beginCaptures":{"0":{"name":"punctuation.definition.parameters.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.definition.parameters.end.bracket.round.css"}},"patterns":[{"include":"#media-features"},{"include":"#media-feature-keywords"},{"match":":","name":"punctuation.separator.key-value.css"},{"match":">=|<=|=|<|>","name":"keyword.operator.comparison.css"},{"captures":{"1":{"name":"constant.numeric.css"},"2":{"name":"keyword.operator.arithmetic.css"},"3":{"name":"constant.numeric.css"}},"match":"(\\\\d+)\\\\s*(/)\\\\s*(\\\\d+)","name":"meta.ratio.css"},{"include":"#numeric-values"},{"include":"#comment-block"}]}]},"media-query-list":{"begin":"(?=\\\\s*[^{;])","end":"(?=\\\\s*[{;])","patterns":[{"include":"#media-query"}]},"media-types":{"captures":{"1":{"name":"support.constant.media.css"},"2":{"name":"invalid.deprecated.constant.media.css"}},"match":"(?i)(?<=^|\\\\s|,|\\\\*/)(?:(all|print|screen|speech)|(aural|braille|embossed|handheld|projection|tty|tv))(?=$|[{,\\\\s;]|/\\\\*)"},"numeric-values":{"patterns":[{"captures":{"1":{"name":"punctuation.definition.constant.css"}},"match":"(#)(?:[0-9a-fA-F]{3,4}|[0-9a-fA-F]{6}|[0-9a-fA-F]{8})\\\\b","name":"constant.other.color.rgb-value.hex.css"},{"captures":{"1":{"name":"keyword.other.unit.percentage.css"},"2":{"name":"keyword.other.unit.\${2:/downcase}.css"}},"match":"(?i)(?<![\\\\w-])[-+]?(?:\\\\d+(?:\\\\.\\\\d+)?|\\\\.\\\\d+)(?:(?<=\\\\d)E[-+]?\\\\d+)?(?:(%)|(deg|grad|rad|turn|Hz|kHz|ch|cm|em|ex|fr|in|mm|mozmm|pc|pt|px|q|rem|rch|rex|rlh|ic|ric|rcap|vh|vw|vb|vi|svh|svw|svb|svi|dvh|dvw|dvb|dvi|lvh|lvw|lvb|lvi|vmax|vmin|cqw|cqi|cqh|cqb|cqmin|cqmax|dpi|dpcm|dppx|s|ms)\\\\b)?","name":"constant.numeric.css"}]},"property-keywords":{"patterns":[{"match":"(?i)(?<![\\\\w-])(above|absolute|active|add|additive|after-edge|alias|all|all-petite-caps|all-scroll|all-small-caps|alpha|alphabetic|alternate|alternate-reverse|always|antialiased|auto|auto-fill|auto-fit|auto-pos|available|avoid|avoid-column|avoid-page|avoid-region|backwards|balance|baseline|before-edge|below|bevel|bidi-override|blink|block|block-axis|block-start|block-end|bold|bolder|border|border-box|both|bottom|bottom-outside|break-all|break-word|bullets|butt|capitalize|caption|cell|center|central|char|circle|clip|clone|close-quote|closest-corner|closest-side|col-resize|collapse|color|color-burn|color-dodge|column|column-reverse|common-ligatures|compact|condensed|contain|content|content-box|contents|context-menu|contextual|copy|cover|crisp-edges|crispEdges|crosshair|cyclic|dark|darken|dashed|decimal|default|dense|diagonal-fractions|difference|digits|disabled|disc|discretionary-ligatures|distribute|distribute-all-lines|distribute-letter|distribute-space|dot|dotted|double|double-circle|downleft|downright|e-resize|each-line|ease|ease-in|ease-in-out|ease-out|economy|ellipse|ellipsis|embed|end|evenodd|ew-resize|exact|exclude|exclusion|expanded|extends|extra-condensed|extra-expanded|fallback|farthest-corner|farthest-side|fill|fill-available|fill-box|filled|fit-content|fixed|flat|flex|flex-end|flex-start|flip|flow-root|forwards|freeze|from-image|full-width|geometricPrecision|georgian|grab|grabbing|grayscale|grid|groove|hand|hanging|hard-light|help|hidden|hide|historical-forms|historical-ligatures|horizontal|horizontal-tb|hue|icon|ideograph-alpha|ideograph-numeric|ideograph-parenthesis|ideograph-space|ideographic|inactive|infinite|inherit|initial|inline|inline-axis|inline-block|inline-end|inline-flex|inline-grid|inline-list-item|inline-start|inline-table|inset|inside|inter-character|inter-ideograph|inter-word|intersect|invert|isolate|isolate-override|italic|jis04|jis78|jis83|jis90|justify|justify-all|kannada|keep-all|landscape|large|larger|left|light|lighten|lighter|line|line-edge|line-through|linear|linearRGB|lining-nums|list-item|local|loose|lowercase|lr|lr-tb|ltr|luminance|luminosity|main-size|mandatory|manipulation|manual|margin-box|match-parent|match-source|mathematical|max-content|medium|menu|message-box|middle|min-content|miter|mixed|move|multiply|n-resize|narrower|ne-resize|nearest-neighbor|nesw-resize|newspaper|no-change|no-clip|no-close-quote|no-common-ligatures|no-contextual|no-discretionary-ligatures|no-drop|no-historical-ligatures|no-open-quote|no-repeat|none|nonzero|normal|not-allowed|nowrap|ns-resize|numbers|numeric|nw-resize|nwse-resize|oblique|oldstyle-nums|open|open-quote|optimizeLegibility|optimizeQuality|optimizeSpeed|optional|ordinal|outset|outside|over|overlay|overline|padding|padding-box|page|painted|pan-down|pan-left|pan-right|pan-up|pan-x|pan-y|paused|petite-caps|pixelated|plaintext|pointer|portrait|pre|pre-line|pre-wrap|preserve-3d|progress|progressive|proportional-nums|proportional-width|proximity|radial|recto|region|relative|remove|repeat|repeat-[xy]|reset-size|reverse|revert|ridge|right|rl|rl-tb|round|row|row-resize|row-reverse|row-severse|rtl|ruby|ruby-base|ruby-base-container|ruby-text|ruby-text-container|run-in|running|s-resize|saturation|scale-down|screen|scroll|scroll-position|se-resize|semi-condensed|semi-expanded|separate|sesame|show|sideways|sideways-left|sideways-lr|sideways-right|sideways-rl|simplified|slashed-zero|slice|small|small-caps|small-caption|smaller|smooth|soft-light|solid|space|space-around|space-between|space-evenly|spell-out|square|sRGB|stacked-fractions|start|static|status-bar|swap|step-end|step-start|sticky|stretch|strict|stroke|stroke-box|style|sub|subgrid|subpixel-antialiased|subtract|super|sw-resize|symbolic|table|table-caption|table-cell|table-column|table-column-group|table-footer-group|table-header-group|table-row|table-row-group|tabular-nums|tb|tb-rl|text|text-after-edge|text-before-edge|text-bottom|text-top|thick|thin|titling-caps|top|top-outside|touch|traditional|transparent|triangle|ultra-condensed|ultra-expanded|under|underline|unicase|unset|upleft|uppercase|upright|use-glyph-orientation|use-script|verso|vertical|vertical-ideographic|vertical-lr|vertical-rl|vertical-text|view-box|visible|visibleFill|visiblePainted|visibleStroke|w-resize|wait|wavy|weight|whitespace|wider|words|wrap|wrap-reverse|x|x-large|x-small|xx-large|xx-small|y|zero|zoom-in|zoom-out)(?![\\\\w-])","name":"support.constant.property-value.css"},{"match":"(?i)(?<![\\\\w-])(arabic-indic|armenian|bengali|cambodian|circle|cjk-decimal|cjk-earthly-branch|cjk-heavenly-stem|cjk-ideographic|decimal|decimal-leading-zero|devanagari|disc|disclosure-closed|disclosure-open|ethiopic-halehame-am|ethiopic-halehame-ti-e[rt]|ethiopic-numeric|georgian|gujarati|gurmukhi|hangul|hangul-consonant|hebrew|hiragana|hiragana-iroha|japanese-formal|japanese-informal|kannada|katakana|katakana-iroha|khmer|korean-hangul-formal|korean-hanja-formal|korean-hanja-informal|lao|lower-alpha|lower-armenian|lower-greek|lower-latin|lower-roman|malayalam|mongolian|myanmar|oriya|persian|simp-chinese-formal|simp-chinese-informal|square|tamil|telugu|thai|tibetan|trad-chinese-formal|trad-chinese-informal|upper-alpha|upper-armenian|upper-latin|upper-roman|urdu)(?![\\\\w-])","name":"support.constant.property-value.list-style-type.css"},{"match":"(?<![\\\\w-])(?i:-(?:ah|apple|atsc|epub|hp|khtml|moz|ms|o|rim|ro|tc|wap|webkit|xv)|(?:mso|prince))-[a-zA-Z-]+","name":"support.constant.vendored.property-value.css"},{"match":"(?<![\\\\w-])(?i:arial|century|comic|courier|garamond|georgia|helvetica|impact|lucida|symbol|system-ui|system|tahoma|times|trebuchet|ui-monospace|ui-rounded|ui-sans-serif|ui-serif|utopia|verdana|webdings|sans-serif|serif|monospace)(?![\\\\w-])","name":"support.constant.font-name.css"}]},"property-names":{"patterns":[{"match":"(?i)(?<![\\\\w-])(?:accent-color|additive-symbols|align-content|align-items|align-self|all|animation|animation-delay|animation-direction|animation-duration|animation-fill-mode|animation-iteration-count|animation-name|animation-play-state|animation-timing-function|aspect-ratio|backdrop-filter|backface-visibility|background|background-attachment|background-blend-mode|background-clip|background-color|background-image|background-origin|background-position|background-position-[xy]|background-repeat|background-size|bleed|block-size|border|border-block-end|border-block-end-color|border-block-end-style|border-block-end-width|border-block-start|border-block-start-color|border-block-start-style|border-block-start-width|border-bottom|border-bottom-color|border-bottom-left-radius|border-bottom-right-radius|border-bottom-style|border-bottom-width|border-collapse|border-color|border-end-end-radius|border-end-start-radius|border-image|border-image-outset|border-image-repeat|border-image-slice|border-image-source|border-image-width|border-inline-end|border-inline-end-color|border-inline-end-style|border-inline-end-width|border-inline-start|border-inline-start-color|border-inline-start-style|border-inline-start-width|border-left|border-left-color|border-left-style|border-left-width|border-radius|border-right|border-right-color|border-right-style|border-right-width|border-spacing|border-start-end-radius|border-start-start-radius|border-style|border-top|border-top-color|border-top-left-radius|border-top-right-radius|border-top-style|border-top-width|border-width|bottom|box-decoration-break|box-shadow|box-sizing|break-after|break-before|break-inside|caption-side|caret-color|clear|clip|clip-path|clip-rule|color|color-adjust|color-interpolation-filters|color-scheme|column-count|column-fill|column-gap|column-rule|column-rule-color|column-rule-style|column-rule-width|column-span|column-width|columns|contain|container|container-name|container-type|content|counter-increment|counter-reset|cursor|direction|display|empty-cells|enable-background|fallback|fill|fill-opacity|fill-rule|filter|flex|flex-basis|flex-direction|flex-flow|flex-grow|flex-shrink|flex-wrap|float|flood-color|flood-opacity|font|font-display|font-family|font-feature-settings|font-kerning|font-language-override|font-optical-sizing|font-size|font-size-adjust|font-stretch|font-style|font-synthesis|font-variant|font-variant-alternates|font-variant-caps|font-variant-east-asian|font-variant-ligatures|font-variant-numeric|font-variant-position|font-variation-settings|font-weight|gap|glyph-orientation-horizontal|glyph-orientation-vertical|grid|grid-area|grid-auto-columns|grid-auto-flow|grid-auto-rows|grid-column|grid-column-end|grid-column-gap|grid-column-start|grid-gap|grid-row|grid-row-end|grid-row-gap|grid-row-start|grid-template|grid-template-areas|grid-template-columns|grid-template-rows|hanging-punctuation|height|hyphens|image-orientation|image-rendering|image-resolution|ime-mode|initial-letter|initial-letter-align|inline-size|inset|inset-block|inset-block-end|inset-block-start|inset-inline|inset-inline-end|inset-inline-start|isolation|justify-content|justify-items|justify-self|kerning|left|letter-spacing|lighting-color|line-break|line-clamp|line-height|list-style|list-style-image|list-style-position|list-style-type|margin|margin-block|margin-block-end|margin-block-start|margin-bottom|margin-inline|margin-inline-end|margin-inline-start|margin-left|margin-right|margin-top|marker-end|marker-mid|marker-start|marks|mask|mask-border|mask-border-mode|mask-border-outset|mask-border-repeat|mask-border-slice|mask-border-source|mask-border-width|mask-clip|mask-composite|mask-image|mask-mode|mask-origin|mask-position|mask-repeat|mask-size|mask-type|max-block-size|max-height|max-inline-size|max-lines|max-width|max-zoom|min-block-size|min-height|min-inline-size|min-width|min-zoom|mix-blend-mode|negative|object-fit|object-position|offset|offset-anchor|offset-distance|offset-path|offset-position|offset-rotation|opacity|order|orientation|orphans|outline|outline-color|outline-offset|outline-style|outline-width|overflow|overflow-anchor|overflow-block|overflow-inline|overflow-wrap|overflow-[xy]|overscroll-behavior|overscroll-behavior-block|overscroll-behavior-inline|overscroll-behavior-[xy]|pad|padding|padding-block|padding-block-end|padding-block-start|padding-bottom|padding-inline|padding-inline-end|padding-inline-start|padding-left|padding-right|padding-top|page-break-after|page-break-before|page-break-inside|paint-order|perspective|perspective-origin|place-content|place-items|place-self|pointer-events|position|prefix|quotes|range|resize|right|rotate|row-gap|ruby-align|ruby-merge|ruby-position|scale|scroll-behavior|scroll-margin|scroll-margin-block|scroll-margin-block-end|scroll-margin-block-start|scroll-margin-bottom|scroll-margin-inline|scroll-margin-inline-end|scroll-margin-inline-start|scroll-margin-left|scroll-margin-right|scroll-margin-top|scroll-padding|scroll-padding-block|scroll-padding-block-end|scroll-padding-block-start|scroll-padding-bottom|scroll-padding-inline|scroll-padding-inline-end|scroll-padding-inline-start|scroll-padding-left|scroll-padding-right|scroll-padding-top|scroll-snap-align|scroll-snap-coordinate|scroll-snap-destination|scroll-snap-stop|scroll-snap-type|scrollbar-color|scrollbar-gutter|scrollbar-width|shape-image-threshold|shape-margin|shape-outside|shape-rendering|size|speak-as|src|stop-color|stop-opacity|stroke|stroke-dasharray|stroke-dashoffset|stroke-linecap|stroke-linejoin|stroke-miterlimit|stroke-opacity|stroke-width|suffix|symbols|system|tab-size|table-layout|text-align|text-align-last|text-anchor|text-combine-upright|text-decoration|text-decoration-color|text-decoration-line|text-decoration-skip|text-decoration-skip-ink|text-decoration-style|text-decoration-thickness|text-emphasis|text-emphasis-color|text-emphasis-position|text-emphasis-style|text-indent|text-justify|text-orientation|text-overflow|text-rendering|text-shadow|text-size-adjust|text-transform|text-underline-offset|text-underline-position|top|touch-action|transform|transform-box|transform-origin|transform-style|transition|transition-delay|transition-duration|transition-property|transition-timing-function|translate|unicode-bidi|unicode-range|user-select|user-zoom|vertical-align|visibility|white-space|widows|width|will-change|word-break|word-spacing|word-wrap|writing-mode|z-index|zoom|alignment-baseline|baseline-shift|clip-rule|color-interpolation|color-interpolation-filters|color-profile|color-rendering|cx|cy|dominant-baseline|enable-background|fill|fill-opacity|fill-rule|flood-color|flood-opacity|glyph-orientation-horizontal|glyph-orientation-vertical|height|kerning|lighting-color|marker-end|marker-mid|marker-start|r|rx|ry|shape-rendering|stop-color|stop-opacity|stroke|stroke-dasharray|stroke-dashoffset|stroke-linecap|stroke-linejoin|stroke-miterlimit|stroke-opacity|stroke-width|text-anchor|width|x|y|adjust|after|align|align-last|alignment|alignment-adjust|appearance|attachment|azimuth|background-break|balance|baseline|before|bidi|binding|bookmark|bookmark-label|bookmark-level|bookmark-target|border-length|bottom-color|bottom-left-radius|bottom-right-radius|bottom-style|bottom-width|box|box-align|box-direction|box-flex|box-flex-group|box-lines|box-ordinal-group|box-orient|box-pack|break|character|collapse|column|column-break-after|column-break-before|count|counter|crop|cue|cue-after|cue-before|decoration|decoration-break|delay|display-model|display-role|down|drop|drop-initial-after-adjust|drop-initial-after-align|drop-initial-before-adjust|drop-initial-before-align|drop-initial-size|drop-initial-value|duration|elevation|emphasis|family|fit|fit-position|flex-group|float-offset|gap|grid-columns|grid-rows|hanging-punctuation|header|hyphenate|hyphenate-after|hyphenate-before|hyphenate-character|hyphenate-lines|hyphenate-resource|icon|image|increment|indent|index|initial-after-adjust|initial-after-align|initial-before-adjust|initial-before-align|initial-size|initial-value|inline-box-align|iteration-count|justify|label|left-color|left-style|left-width|length|level|line|line-stacking|line-stacking-ruby|line-stacking-shift|line-stacking-strategy|lines|list|mark|mark-after|mark-before|marks|marquee|marquee-direction|marquee-play-count|marquee-speed|marquee-style|max|min|model|move-to|name|nav|nav-down|nav-index|nav-left|nav-right|nav-up|new|numeral|offset|ordinal-group|orient|origin|overflow-style|overhang|pack|page|page-policy|pause|pause-after|pause-before|phonemes|pitch|pitch-range|play-count|play-during|play-state|point|presentation|presentation-level|profile|property|punctuation|punctuation-trim|radius|rate|rendering-intent|repeat|replace|reset|resolution|resource|respond-to|rest|rest-after|rest-before|richness|right-color|right-style|right-width|role|rotation|rotation-point|rows|ruby|ruby-overhang|ruby-span|rule|rule-color|rule-style|rule-width|shadow|size|size-adjust|sizing|space|space-collapse|spacing|span|speak|speak-header|speak-numeral|speak-punctuation|speech|speech-rate|speed|stacking|stacking-ruby|stacking-shift|stacking-strategy|stress|stretch|string-set|style|style-image|style-position|style-type|target|target-name|target-new|target-position|text|text-height|text-justify|text-outline|text-replace|text-wrap|timing-function|top-color|top-left-radius|top-right-radius|top-style|top-width|trim|unicode|up|user-select|variant|voice|voice-balance|voice-duration|voice-family|voice-pitch|voice-pitch-range|voice-rate|voice-stress|voice-volume|volume|weight|white|white-space-collapse|word|wrap)(?![\\\\w-])","name":"support.type.property-name.css"},{"match":"(?<![\\\\w-])(?i:-(?:ah|apple|atsc|epub|hp|khtml|moz|ms|o|rim|ro|tc|wap|webkit|xv)|(?:mso|prince))-[a-zA-Z-]+","name":"support.type.vendored.property-name.css"}]},"property-values":{"patterns":[{"include":"#commas"},{"include":"#comment-block"},{"include":"#escapes"},{"include":"#functions"},{"include":"#property-keywords"},{"include":"#unicode-range"},{"include":"#numeric-values"},{"include":"#color-keywords"},{"include":"#string"},{"match":"!\\\\s*important(?![\\\\w-])","name":"keyword.other.important.css"}]},"pseudo-classes":{"captures":{"1":{"name":"punctuation.definition.entity.css"},"2":{"name":"invalid.illegal.colon.css"}},"match":"(?i)(:)(:*)(?:active|any-link|checked|default|disabled|empty|enabled|first|(?:first|last|only)-(?:child|of-type)|focus|focus-visible|focus-within|fullscreen|host|hover|in-range|indeterminate|invalid|left|link|optional|out-of-range|read-only|read-write|required|right|root|scope|target|unresolved|valid|visited)(?![\\\\w-]|\\\\s*[;}])","name":"entity.other.attribute-name.pseudo-class.css"},"pseudo-elements":{"captures":{"1":{"name":"punctuation.definition.entity.css"},"2":{"name":"punctuation.definition.entity.css"}},"match":"(?i)(?:(::?)(?:after|before|first-letter|first-line|(?:-(?:ah|apple|atsc|epub|hp|khtml|moz|ms|o|rim|ro|tc|wap|webkit|xv)|(?:mso|prince))-[a-z-]+)|(::)(?:backdrop|content|grammar-error|marker|placeholder|selection|shadow|spelling-error))(?![\\\\w-]|\\\\s*[;}])","name":"entity.other.attribute-name.pseudo-element.css"},"rule-list":{"begin":"{","beginCaptures":{"0":{"name":"punctuation.section.property-list.begin.bracket.curly.css"}},"end":"}","endCaptures":{"0":{"name":"punctuation.section.property-list.end.bracket.curly.css"}},"name":"meta.property-list.css","patterns":[{"include":"#rule-list-innards"}]},"rule-list-innards":{"patterns":[{"include":"#comment-block"},{"include":"#escapes"},{"include":"#font-features"},{"match":"(?<![\\\\w-])--(?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*","name":"variable.css"},{"begin":"(?<![-a-zA-Z])(?=[-a-zA-Z])","end":"$|(?![-a-zA-Z])","name":"meta.property-name.css","patterns":[{"include":"#property-names"}]},{"begin":"(:)\\\\s*","beginCaptures":{"1":{"name":"punctuation.separator.key-value.css"}},"contentName":"meta.property-value.css","end":"\\\\s*(;)|\\\\s*(?=}|\\\\))","endCaptures":{"1":{"name":"punctuation.terminator.rule.css"}},"patterns":[{"include":"#comment-block"},{"include":"#property-values"}]},{"match":";","name":"punctuation.terminator.rule.css"}]},"selector":{"begin":"(?=(?:\\\\|)?(?:[-\\\\[:.*#a-zA-Z_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.)))","end":"(?=\\\\s*[/@{)])","name":"meta.selector.css","patterns":[{"include":"#selector-innards"}]},"selector-innards":{"patterns":[{"include":"#comment-block"},{"include":"#commas"},{"include":"#escapes"},{"include":"#combinators"},{"captures":{"1":{"name":"entity.other.namespace-prefix.css"},"2":{"name":"punctuation.separator.css"}},"match":"(?:^|(?<=[\\\\s,(};]))(?![-\\\\w*]+\\\\|(?![-\\\\[:.*#a-zA-Z_]|[^\\\\x00-\\\\x7F]))((?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*|\\\\*)?(\\\\|)"},{"include":"#tag-names"},{"match":"\\\\*","name":"entity.name.tag.wildcard.css"},{"captures":{"1":{"name":"punctuation.definition.entity.css"},"2":{"patterns":[{"include":"#escapes"}]}},"match":"(?<![@\\\\w-])([.#])((?:-?\\\\d|-(?=$|[\\\\s,.#)\\\\[:{>+~|]|/\\\\*)|(?:[-a-zA-Z_0-9]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*(?:[!\\"'%&(*;<?@^\`|\\\\]}]|/(?!\\\\*))+)(?:[-a-zA-Z_0-9]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*)","name":"invalid.illegal.bad-identifier.css"},{"captures":{"1":{"name":"punctuation.definition.entity.css"},"2":{"patterns":[{"include":"#escapes"}]}},"match":"(\\\\.)((?:[-a-zA-Z_0-9]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))+)(?=$|[\\\\s,.#)\\\\[:{>+~|]|/\\\\*)","name":"entity.other.attribute-name.class.css"},{"captures":{"1":{"name":"punctuation.definition.entity.css"},"2":{"patterns":[{"include":"#escapes"}]}},"match":"(\\\\#)(-?(?!\\\\d)(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))+)(?=$|[\\\\s,.#)\\\\[:{>+~|]|/\\\\*)","name":"entity.other.attribute-name.id.css"},{"begin":"\\\\[","beginCaptures":{"0":{"name":"punctuation.definition.entity.begin.bracket.square.css"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.entity.end.bracket.square.css"}},"name":"meta.attribute-selector.css","patterns":[{"include":"#comment-block"},{"include":"#string"},{"captures":{"1":{"name":"storage.modifier.ignore-case.css"}},"match":"(?<=[\\"'\\\\s]|^|\\\\*/)\\\\s*([iI])\\\\s*(?=[\\\\s\\\\]]|/\\\\*|$)"},{"captures":{"1":{"name":"string.unquoted.attribute-value.css","patterns":[{"include":"#escapes"}]}},"match":"(?<==)\\\\s*((?!/\\\\*)(?:[^\\\\\\\\\\"'\\\\s\\\\]]|\\\\\\\\.)+)"},{"include":"#escapes"},{"match":"[~|^$*]?=","name":"keyword.operator.pattern.css"},{"match":"\\\\|","name":"punctuation.separator.css"},{"captures":{"1":{"name":"entity.other.namespace-prefix.css","patterns":[{"include":"#escapes"}]}},"match":"(-?(?!\\\\d)(?:[\\\\w-]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))+|\\\\*)(?=\\\\|(?!\\\\s|=|$|\\\\])(?:-?(?!\\\\d)|[\\\\\\\\\\\\w-]|[^\\\\x00-\\\\x7F]))"},{"captures":{"1":{"name":"entity.other.attribute-name.css","patterns":[{"include":"#escapes"}]}},"match":"(-?(?!\\\\d)(?>[\\\\w-]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))+)\\\\s*(?=[~|^\\\\]$*=]|/\\\\*)"}]},{"include":"#pseudo-classes"},{"include":"#pseudo-elements"},{"include":"#functional-pseudo-classes"},{"match":"(?<![@\\\\w-])(?=[a-z]\\\\w*-)(?:(?![A-Z])[\\\\w-])+(?![(\\\\w-])","name":"entity.name.tag.custom.css"}]},"string":{"patterns":[{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.css"}},"end":"\\"|(?<!\\\\\\\\)(?=$|\\\\n)","endCaptures":{"0":{"name":"punctuation.definition.string.end.css"}},"name":"string.quoted.double.css","patterns":[{"begin":"(?:\\\\G|^)(?=(?:[^\\\\\\\\\\"]|\\\\\\\\.)+$)","end":"$","name":"invalid.illegal.unclosed.string.css","patterns":[{"include":"#escapes"}]},{"include":"#escapes"}]},{"begin":"'","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.css"}},"end":"'|(?<!\\\\\\\\)(?=$|\\\\n)","endCaptures":{"0":{"name":"punctuation.definition.string.end.css"}},"name":"string.quoted.single.css","patterns":[{"begin":"(?:\\\\G|^)(?=(?:[^\\\\\\\\']|\\\\\\\\.)+$)","end":"$","name":"invalid.illegal.unclosed.string.css","patterns":[{"include":"#escapes"}]},{"include":"#escapes"}]}]},"tag-names":{"match":"(?i)(?<![\\\\w:-])(?:a|abbr|acronym|address|applet|area|article|aside|audio|b|base|basefont|bdi|bdo|bgsound|big|blink|blockquote|body|br|button|canvas|caption|center|cite|code|col|colgroup|command|content|data|datalist|dd|del|details|dfn|dialog|dir|div|dl|dt|element|em|embed|fieldset|figcaption|figure|font|footer|form|frame|frameset|h[1-6]|head|header|hgroup|hr|html|i|iframe|image|img|input|ins|isindex|kbd|keygen|label|legend|li|link|listing|main|map|mark|marquee|math|menu|menuitem|meta|meter|multicol|nav|nextid|nobr|noembed|noframes|noscript|object|ol|optgroup|option|output|p|param|picture|plaintext|pre|progress|q|rb|rp|rt|rtc|ruby|s|samp|script|section|select|shadow|slot|small|source|spacer|span|strike|strong|style|sub|summary|sup|table|tbody|td|template|textarea|tfoot|th|thead|time|title|tr|track|tt|u|ul|var|video|wbr|xmp|altGlyph|altGlyphDef|altGlyphItem|animate|animateColor|animateMotion|animateTransform|circle|clipPath|color-profile|cursor|defs|desc|discard|ellipse|feBlend|feColorMatrix|feComponentTransfer|feComposite|feConvolveMatrix|feDiffuseLighting|feDisplacementMap|feDistantLight|feDropShadow|feFlood|feFuncA|feFuncB|feFuncG|feFuncR|feGaussianBlur|feImage|feMerge|feMergeNode|feMorphology|feOffset|fePointLight|feSpecularLighting|feSpotLight|feTile|feTurbulence|filter|font-face|font-face-format|font-face-name|font-face-src|font-face-uri|foreignObject|g|glyph|glyphRef|hatch|hatchpath|hkern|line|linearGradient|marker|mask|mesh|meshgradient|meshpatch|meshrow|metadata|missing-glyph|mpath|path|pattern|polygon|polyline|radialGradient|rect|set|solidcolor|stop|svg|switch|symbol|text|textPath|tref|tspan|use|view|vkern|annotation|annotation-xml|maction|maligngroup|malignmark|math|menclose|merror|mfenced|mfrac|mglyph|mi|mlabeledtr|mlongdiv|mmultiscripts|mn|mo|mover|mpadded|mphantom|mroot|mrow|ms|mscarries|mscarry|msgroup|msline|mspace|msqrt|msrow|mstack|mstyle|msub|msubsup|msup|mtable|mtd|mtext|mtr|munder|munderover|semantics)(?=[+~>\\\\s,.#|){:\\\\[]|/\\\\*|$)","name":"entity.name.tag.css"},"unicode-range":{"captures":{"0":{"name":"constant.other.unicode-range.css"},"1":{"name":"punctuation.separator.dash.unicode-range.css"}},"match":"(?<![\\\\w-])[Uu]\\\\+[0-9A-Fa-f?]{1,6}(?:(-)[0-9A-Fa-f]{1,6})?(?![\\\\w-])"},"url":{"begin":"(?i)(?<![\\\\w@-])(url)(\\\\()","beginCaptures":{"1":{"name":"support.function.url.css"},"2":{"name":"punctuation.section.function.begin.bracket.round.css"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.section.function.end.bracket.round.css"}},"name":"meta.function.url.css","patterns":[{"match":"[^'\\")\\\\s]+","name":"variable.parameter.url.css"},{"include":"#string"},{"include":"#comment-block"},{"include":"#escapes"}]}},"scopeName":"source.css"}`)),kt=[Qi],Ki=Object.freeze(Object.defineProperty({__proto__:null,default:kt},Symbol.toStringTag,{value:"Module"})),ai=Object.freeze(JSON.parse(`{"displayName":"HTML","injections":{"R:text.html - (comment.block, text.html meta.embedded, meta.tag.*.*.html, meta.tag.*.*.*.html, meta.tag.*.*.*.*.html)":{"comment":"Uses R: to ensure this matches after any other injections.","patterns":[{"match":"<","name":"invalid.illegal.bad-angle-bracket.html"}]}},"name":"html","patterns":[{"include":"#xml-processing"},{"include":"#comment"},{"include":"#doctype"},{"include":"#cdata"},{"include":"#tags-valid"},{"include":"#tags-invalid"},{"include":"#entities"}],"repository":{"attribute":{"patterns":[{"begin":"(s(hape|cope|t(ep|art)|ize(s)?|p(ellcheck|an)|elected|lot|andbox|rc(set|doc|lang)?)|h(ttp-equiv|i(dden|gh)|e(ight|aders)|ref(lang)?)|n(o(nce|validate|module)|ame)|c(h(ecked|arset)|ite|o(nt(ent(editable)?|rols)|ords|l(s(pan)?|or))|lass|rossorigin)|t(ype(mustmatch)?|itle|a(rget|bindex)|ranslate)|i(s(map)?|n(tegrity|putmode)|tem(scope|type|id|prop|ref)|d)|op(timum|en)|d(i(sabled|r(name)?)|ownload|e(coding|f(er|ault))|at(etime|a)|raggable)|usemap|p(ing|oster|la(ysinline|ceholder)|attern|reload)|enctype|value|kind|for(m(novalidate|target|enctype|action|method)?)?|w(idth|rap)|l(ist|o(op|w)|a(ng|bel))|a(s(ync)?|c(ce(sskey|pt(-charset)?)|tion)|uto(c(omplete|apitalize)|play|focus)|l(t|low(usermedia|paymentrequest|fullscreen))|bbr)|r(ows(pan)?|e(versed|quired|ferrerpolicy|l|adonly))|m(in(length)?|u(ted|ltiple)|e(thod|dia)|a(nifest|x(length)?)))(?![\\\\w:-])","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"comment":"HTML5 attributes, not event handlers","end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.$1.html","patterns":[{"include":"#attribute-interior"}]},{"begin":"style(?![\\\\w:-])","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"comment":"HTML5 style attribute","end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.style.html","patterns":[{"begin":"=","beginCaptures":{"0":{"name":"punctuation.separator.key-value.html"}},"end":"(?<=[^\\\\s=])(?!\\\\s*=)|(?=/?>)","patterns":[{"begin":"(?=[^\\\\s=<>\`/]|/(?!>))","end":"(?!\\\\G)","name":"meta.embedded.line.css","patterns":[{"captures":{"0":{"name":"source.css"}},"match":"([^\\\\s\\"'=<>\`/]|/(?!>))+","name":"string.unquoted.html"},{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.html"}},"contentName":"source.css","end":"(\\")","endCaptures":{"0":{"name":"punctuation.definition.string.end.html"},"1":{"name":"source.css"}},"name":"string.quoted.double.html","patterns":[{"include":"#entities"}]},{"begin":"'","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.html"}},"contentName":"source.css","end":"(')","endCaptures":{"0":{"name":"punctuation.definition.string.end.html"},"1":{"name":"source.css"}},"name":"string.quoted.single.html","patterns":[{"include":"#entities"}]}]},{"match":"=","name":"invalid.illegal.unexpected-equals-sign.html"}]}]},{"begin":"on(s(croll|t(orage|alled)|u(spend|bmit)|e(curitypolicyviolation|ek(ing|ed)|lect))|hashchange|c(hange|o(ntextmenu|py)|u(t|echange)|l(ick|ose)|an(cel|play(through)?))|t(imeupdate|oggle)|in(put|valid)|o(nline|ffline)|d(urationchange|r(op|ag(start|over|e(n(ter|d)|xit)|leave)?)|blclick)|un(handledrejection|load)|p(opstate|lay(ing)?|a(ste|use|ge(show|hide))|rogress)|e(nded|rror|mptied)|volumechange|key(down|up|press)|focus|w(heel|aiting)|l(oad(start|e(nd|d(data|metadata)))?|anguagechange)|a(uxclick|fterprint|bort)|r(e(s(ize|et)|jectionhandled)|atechange)|m(ouse(o(ut|ver)|down|up|enter|leave|move)|essage(error)?)|b(efore(unload|print)|lur))(?![\\\\w:-])","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"comment":"HTML5 attributes, event handlers","end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.event-handler.$1.html","patterns":[{"begin":"=","beginCaptures":{"0":{"name":"punctuation.separator.key-value.html"}},"end":"(?<=[^\\\\s=])(?!\\\\s*=)|(?=/?>)","patterns":[{"begin":"(?=[^\\\\s=<>\`/]|/(?!>))","end":"(?!\\\\G)","name":"meta.embedded.line.js","patterns":[{"captures":{"0":{"name":"source.js"},"1":{"patterns":[{"include":"source.js"}]}},"match":"(([^\\\\s\\"'=<>\`/]|/(?!>))+)","name":"string.unquoted.html"},{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.html"}},"contentName":"source.js","end":"(\\")","endCaptures":{"0":{"name":"punctuation.definition.string.end.html"},"1":{"name":"source.js"}},"name":"string.quoted.double.html","patterns":[{"captures":{"0":{"patterns":[{"include":"source.js"}]}},"match":"([^\\\\n\\"/]|/(?![/*]))+"},{"begin":"//","beginCaptures":{"0":{"name":"punctuation.definition.comment.js"}},"end":"(?=\\")|\\\\n","name":"comment.line.double-slash.js"},{"begin":"/\\\\*","beginCaptures":{"0":{"name":"punctuation.definition.comment.begin.js"}},"end":"(?=\\")|\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.end.js"}},"name":"comment.block.js"}]},{"begin":"'","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.html"}},"contentName":"source.js","end":"(')","endCaptures":{"0":{"name":"punctuation.definition.string.end.html"},"1":{"name":"source.js"}},"name":"string.quoted.single.html","patterns":[{"captures":{"0":{"patterns":[{"include":"source.js"}]}},"match":"([^\\\\n'/]|/(?![/*]))+"},{"begin":"//","beginCaptures":{"0":{"name":"punctuation.definition.comment.js"}},"end":"(?=')|\\\\n","name":"comment.line.double-slash.js"},{"begin":"/\\\\*","beginCaptures":{"0":{"name":"punctuation.definition.comment.begin.js"}},"end":"(?=')|\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.end.js"}},"name":"comment.block.js"}]}]},{"match":"=","name":"invalid.illegal.unexpected-equals-sign.html"}]}]},{"begin":"(data-[a-z\\\\-]+)(?![\\\\w:-])","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"comment":"HTML5 attributes, data-*","end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.data-x.$1.html","patterns":[{"include":"#attribute-interior"}]},{"begin":"(align|bgcolor|border)(?![\\\\w:-])","beginCaptures":{"0":{"name":"invalid.deprecated.entity.other.attribute-name.html"}},"comment":"HTML attributes, deprecated","end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.$1.html","patterns":[{"include":"#attribute-interior"}]},{"begin":"([^\\\\x{0020}\\"'<>/=\\\\x{0000}-\\\\x{001F}\\\\x{007F}-\\\\x{009F}\\\\x{FDD0}-\\\\x{FDEF}\\\\x{FFFE}\\\\x{FFFF}\\\\x{1FFFE}\\\\x{1FFFF}\\\\x{2FFFE}\\\\x{2FFFF}\\\\x{3FFFE}\\\\x{3FFFF}\\\\x{4FFFE}\\\\x{4FFFF}\\\\x{5FFFE}\\\\x{5FFFF}\\\\x{6FFFE}\\\\x{6FFFF}\\\\x{7FFFE}\\\\x{7FFFF}\\\\x{8FFFE}\\\\x{8FFFF}\\\\x{9FFFE}\\\\x{9FFFF}\\\\x{AFFFE}\\\\x{AFFFF}\\\\x{BFFFE}\\\\x{BFFFF}\\\\x{CFFFE}\\\\x{CFFFF}\\\\x{DFFFE}\\\\x{DFFFF}\\\\x{EFFFE}\\\\x{EFFFF}\\\\x{FFFFE}\\\\x{FFFFF}\\\\x{10FFFE}\\\\x{10FFFF}]+)","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"comment":"Anything else that is valid","end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.unrecognized.$1.html","patterns":[{"include":"#attribute-interior"}]},{"match":"[^\\\\s>]+","name":"invalid.illegal.character-not-allowed-here.html"}]},"attribute-interior":{"patterns":[{"begin":"=","beginCaptures":{"0":{"name":"punctuation.separator.key-value.html"}},"end":"(?<=[^\\\\s=])(?!\\\\s*=)|(?=/?>)","patterns":[{"match":"([^\\\\s\\"'=<>\`/]|/(?!>))+","name":"string.unquoted.html"},{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.html"}},"end":"\\"","endCaptures":{"0":{"name":"punctuation.definition.string.end.html"}},"name":"string.quoted.double.html","patterns":[{"include":"#entities"}]},{"begin":"'","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.html"}},"end":"'","endCaptures":{"0":{"name":"punctuation.definition.string.end.html"}},"name":"string.quoted.single.html","patterns":[{"include":"#entities"}]},{"match":"=","name":"invalid.illegal.unexpected-equals-sign.html"}]}]},"cdata":{"begin":"<!\\\\[CDATA\\\\[","beginCaptures":{"0":{"name":"punctuation.definition.tag.begin.html"}},"contentName":"string.other.inline-data.html","end":"]]>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.cdata.html"},"comment":{"begin":"<!--","captures":{"0":{"name":"punctuation.definition.comment.html"}},"end":"-->","name":"comment.block.html","patterns":[{"match":"\\\\G-?>","name":"invalid.illegal.characters-not-allowed-here.html"},{"match":"<!--(?!>)|<!-(?=-->)","name":"invalid.illegal.characters-not-allowed-here.html"},{"match":"--!>","name":"invalid.illegal.characters-not-allowed-here.html"}]},"core-minus-invalid":{"comment":"This should be the root pattern array includes minus #tags-invalid","patterns":[{"include":"#xml-processing"},{"include":"#comment"},{"include":"#doctype"},{"include":"#cdata"},{"include":"#tags-valid"},{"include":"#entities"}]},"doctype":{"begin":"<!(?=(?i:DOCTYPE\\\\s))","beginCaptures":{"0":{"name":"punctuation.definition.tag.begin.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.doctype.html","patterns":[{"match":"\\\\G(?i:DOCTYPE)","name":"entity.name.tag.html"},{"begin":"\\"","end":"\\"","name":"string.quoted.double.html"},{"match":"[^\\\\s>]+","name":"entity.other.attribute-name.html"}]},"entities":{"patterns":[{"captures":{"1":{"name":"punctuation.definition.entity.html"},"912":{"name":"punctuation.definition.entity.html"}},"comment":"Yes this is a bit ridiculous, there are quite a lot of these","match":"(&)(?=[a-zA-Z])((a(s(ymp(eq)?|cr|t)|n(d(slope|d|v|and)?|g(s(t|ph)|zarr|e|le|rt(vb(d)?)?|msd(a(h|c|d|e|f|a|g|b))?)?)|c(y|irc|d|ute|E)?|tilde|o(pf|gon)|uml|p(id|os|prox(eq)?|e|E|acir)?|elig|f(r)?|w(conint|int)|l(pha|e(ph|fsym))|acute|ring|grave|m(p|a(cr|lg))|breve)|A(s(sign|cr)|nd|MP|c(y|irc)|tilde|o(pf|gon)|uml|pplyFunction|fr|Elig|lpha|acute|ring|grave|macr|breve))|(B(scr|cy|opf|umpeq|e(cause|ta|rnoullis)|fr|a(ckslash|r(v|wed))|reve)|b(s(cr|im(e)?|ol(hsub|b)?|emi)|n(ot|e(quiv)?)|c(y|ong)|ig(s(tar|qcup)|c(irc|up|ap)|triangle(down|up)|o(times|dot|plus)|uplus|vee|wedge)|o(t(tom)?|pf|wtie|x(h(d|u|D|U)?|times|H(d|u|D|U)?|d(R|l|r|L)|u(R|l|r|L)|plus|D(R|l|r|L)|v(R|h|H|l|r|L)?|U(R|l|r|L)|V(R|h|H|l|r|L)?|minus|box))|Not|dquo|u(ll(et)?|mp(e(q)?|E)?)|prime|e(caus(e)?|t(h|ween|a)|psi|rnou|mptyv)|karow|fr|l(ock|k(1(2|4)|34)|a(nk|ck(square|triangle(down|left|right)?|lozenge)))|a(ck(sim(eq)?|cong|prime|epsilon)|r(vee|wed(ge)?))|r(eve|vbar)|brk(tbrk)?))|(c(s(cr|u(p(e)?|b(e)?))|h(cy|i|eck(mark)?)|ylcty|c(irc|ups(sm)?|edil|a(ps|ron))|tdot|ir(scir|c(eq|le(d(R|circ|S|dash|ast)|arrow(left|right)))?|e|fnint|E|mid)?|o(n(int|g(dot)?)|p(y(sr)?|f|rod)|lon(e(q)?)?|m(p(fn|le(xes|ment))?|ma(t)?))|dot|u(darr(l|r)|p(s|c(up|ap)|or|dot|brcap)?|e(sc|pr)|vee|wed|larr(p)?|r(vearrow(left|right)|ly(eq(succ|prec)|vee|wedge)|arr(m)?|ren))|e(nt(erdot)?|dil|mptyv)|fr|w(conint|int)|lubs(uit)?|a(cute|p(s|c(up|ap)|dot|and|brcup)?|r(on|et))|r(oss|arr))|C(scr|hi|c(irc|onint|edil|aron)|ircle(Minus|Times|Dot|Plus)|Hcy|o(n(tourIntegral|int|gruent)|unterClockwiseContourIntegral|p(f|roduct)|lon(e)?)|dot|up(Cap)?|OPY|e(nterDot|dilla)|fr|lo(seCurly(DoubleQuote|Quote)|ckwiseContourIntegral)|a(yleys|cute|p(italDifferentialD)?)|ross))|(d(s(c(y|r)|trok|ol)|har(l|r)|c(y|aron)|t(dot|ri(f)?)|i(sin|e|v(ide(ontimes)?|onx)?|am(s|ond(suit)?)?|gamma)|Har|z(cy|igrarr)|o(t(square|plus|eq(dot)?|minus)?|ublebarwedge|pf|wn(harpoon(left|right)|downarrows|arrow)|llar)|d(otseq|a(rr|gger))?|u(har|arr)|jcy|e(lta|g|mptyv)|f(isht|r)|wangle|lc(orn|rop)|a(sh(v)?|leth|rr|gger)|r(c(orn|rop)|bkarow)|b(karow|lac)|Arr)|D(s(cr|trok)|c(y|aron)|Scy|i(fferentialD|a(critical(Grave|Tilde|Do(t|ubleAcute)|Acute)|mond))|o(t(Dot|Equal)?|uble(Right(Tee|Arrow)|ContourIntegral|Do(t|wnArrow)|Up(DownArrow|Arrow)|VerticalBar|L(ong(RightArrow|Left(RightArrow|Arrow))|eft(RightArrow|Tee|Arrow)))|pf|wn(Right(TeeVector|Vector(Bar)?)|Breve|Tee(Arrow)?|arrow|Left(RightVector|TeeVector|Vector(Bar)?)|Arrow(Bar|UpArrow)?))|Zcy|el(ta)?|D(otrahd)?|Jcy|fr|a(shv|rr|gger)))|(e(s(cr|im|dot)|n(sp|g)|c(y|ir(c)?|olon|aron)|t(h|a)|o(pf|gon)|dot|u(ro|ml)|p(si(v|lon)?|lus|ar(sl)?)|e|D(ot|Dot)|q(s(im|lant(less|gtr))|c(irc|olon)|u(iv(DD)?|est|als)|vparsl)|f(Dot|r)|l(s(dot)?|inters|l)?|a(ster|cute)|r(Dot|arr)|g(s(dot)?|rave)?|x(cl|ist|p(onentiale|ectation))|m(sp(1(3|4))?|pty(set|v)?|acr))|E(s(cr|im)|c(y|irc|aron)|ta|o(pf|gon)|NG|dot|uml|TH|psilon|qu(ilibrium|al(Tilde)?)|fr|lement|acute|grave|x(ists|ponentialE)|m(pty(SmallSquare|VerySmallSquare)|acr)))|(f(scr|nof|cy|ilig|o(pf|r(k(v)?|all))|jlig|partint|emale|f(ilig|l(ig|lig)|r)|l(tns|lig|at)|allingdotseq|r(own|a(sl|c(1(2|8|3|4|5|6)|78|2(3|5)|3(8|4|5)|45|5(8|6)))))|F(scr|cy|illed(SmallSquare|VerySmallSquare)|o(uriertrf|pf|rAll)|fr))|(G(scr|c(y|irc|edil)|t|opf|dot|T|Jcy|fr|amma(d)?|reater(Greater|SlantEqual|Tilde|Equal(Less)?|FullEqual|Less)|g|breve)|g(s(cr|im(e|l)?)|n(sim|e(q(q)?)?|E|ap(prox)?)|c(y|irc)|t(c(c|ir)|dot|quest|lPar|r(sim|dot|eq(qless|less)|less|a(pprox|rr)))?|imel|opf|dot|jcy|e(s(cc|dot(o(l)?)?|l(es)?)?|q(slant|q)?|l)?|v(nE|ertneqq)|fr|E(l)?|l(j|E|a)?|a(cute|p|mma(d)?)|rave|g(g)?|breve))|(h(s(cr|trok|lash)|y(phen|bull)|circ|o(ok(leftarrow|rightarrow)|pf|arr|rbar|mtht)|e(llip|arts(uit)?|rcon)|ks(earow|warow)|fr|a(irsp|lf|r(dcy|r(cir|w)?)|milt)|bar|Arr)|H(s(cr|trok)|circ|ilbertSpace|o(pf|rizontalLine)|ump(DownHump|Equal)|fr|a(cek|t)|ARDcy))|(i(s(cr|in(s(v)?|dot|v|E)?)|n(care|t(cal|prod|e(rcal|gers)|larhk)?|odot|fin(tie)?)?|c(y|irc)?|t(ilde)?|i(nfin|i(nt|int)|ota)?|o(cy|ta|pf|gon)|u(kcy|ml)|jlig|prod|e(cy|xcl)|quest|f(f|r)|acute|grave|m(of|ped|a(cr|th|g(part|e|line))))|I(scr|n(t(e(rsection|gral))?|visible(Comma|Times))|c(y|irc)|tilde|o(ta|pf|gon)|dot|u(kcy|ml)|Ocy|Jlig|fr|Ecy|acute|grave|m(plies|a(cr|ginaryI))?))|(j(s(cr|ercy)|c(y|irc)|opf|ukcy|fr|math)|J(s(cr|ercy)|c(y|irc)|opf|ukcy|fr))|(k(scr|hcy|c(y|edil)|opf|jcy|fr|appa(v)?|green)|K(scr|c(y|edil)|Hcy|opf|Jcy|fr|appa))|(l(s(h|cr|trok|im(e|g)?|q(uo(r)?|b)|aquo)|h(ar(d|u(l)?)|blk)|n(sim|e(q(q)?)?|E|ap(prox)?)|c(y|ub|e(il|dil)|aron)|Barr|t(hree|c(c|ir)|imes|dot|quest|larr|r(i(e|f)?|Par))?|Har|o(ng(left(arrow|rightarrow)|rightarrow|mapsto)|times|z(enge|f)?|oparrow(left|right)|p(f|lus|ar)|w(ast|bar)|a(ng|rr)|brk)|d(sh|ca|quo(r)?|r(dhar|ushar))|ur(dshar|uhar)|jcy|par(lt)?|e(s(s(sim|dot|eq(qgtr|gtr)|approx|gtr)|cc|dot(o(r)?)?|g(es)?)?|q(slant|q)?|ft(harpoon(down|up)|threetimes|leftarrows|arrow(tail)?|right(squigarrow|harpoons|arrow(s)?))|g)?|v(nE|ertneqq)|f(isht|loor|r)|E(g)?|l(hard|corner|tri|arr)?|a(ng(d|le)?|cute|t(e(s)?|ail)?|p|emptyv|quo|rr(sim|hk|tl|pl|fs|lp|b(fs)?)?|gran|mbda)|r(har(d)?|corner|tri|arr|m)|g(E)?|m(idot|oust(ache)?)|b(arr|r(k(sl(d|u)|e)|ac(e|k))|brk)|A(tail|arr|rr))|L(s(h|cr|trok)|c(y|edil|aron)|t|o(ng(RightArrow|left(arrow|rightarrow)|rightarrow|Left(RightArrow|Arrow))|pf|wer(RightArrow|LeftArrow))|T|e(ss(Greater|SlantEqual|Tilde|EqualGreater|FullEqual|Less)|ft(Right(Vector|Arrow)|Ceiling|T(ee(Vector|Arrow)?|riangle(Bar|Equal)?)|Do(ubleBracket|wn(TeeVector|Vector(Bar)?))|Up(TeeVector|DownVector|Vector(Bar)?)|Vector(Bar)?|arrow|rightarrow|Floor|A(ngleBracket|rrow(RightArrow|Bar)?)))|Jcy|fr|l(eftarrow)?|a(ng|cute|placetrf|rr|mbda)|midot))|(M(scr|cy|inusPlus|opf|u|e(diumSpace|llintrf)|fr|ap)|m(s(cr|tpos)|ho|nplus|c(y|omma)|i(nus(d(u)?|b)?|cro|d(cir|dot|ast)?)|o(dels|pf)|dash|u(ltimap|map)?|p|easuredangle|DDot|fr|l(cp|dr)|a(cr|p(sto(down|up|left)?)?|l(t(ese)?|e)|rker)))|(n(s(hort(parallel|mid)|c(cue|e|r)?|im(e(q)?)?|u(cc(eq)?|p(set(eq(q)?)?|e|E)?|b(set(eq(q)?)?|e|E)?)|par|qsu(pe|be)|mid)|Rightarrow|h(par|arr|Arr)|G(t(v)?|g)|c(y|ong(dot)?|up|edil|a(p|ron))|t(ilde|lg|riangle(left(eq)?|right(eq)?)|gl)|i(s(d)?|v)?|o(t(ni(v(c|a|b))?|in(dot|v(c|a|b)|E)?)?|pf)|dash|u(m(sp|ero)?)?|jcy|p(olint|ar(sl|t|allel)?|r(cue|e(c(eq)?)?)?)|e(s(im|ear)|dot|quiv|ar(hk|r(ow)?)|xist(s)?|Arr)?|v(sim|infin|Harr|dash|Dash|l(t(rie)?|e|Arr)|ap|r(trie|Arr)|g(t|e))|fr|w(near|ar(hk|r(ow)?)|Arr)|V(dash|Dash)|l(sim|t(ri(e)?)?|dr|e(s(s)?|q(slant|q)?|ft(arrow|rightarrow))?|E|arr|Arr)|a(ng|cute|tur(al(s)?)?|p(id|os|prox|E)?|bla)|r(tri(e)?|ightarrow|arr(c|w)?|Arr)|g(sim|t(r)?|e(s|q(slant|q)?)?|E)|mid|L(t(v)?|eft(arrow|rightarrow)|l)|b(sp|ump(e)?))|N(scr|c(y|edil|aron)|tilde|o(nBreakingSpace|Break|t(R(ightTriangle(Bar|Equal)?|everseElement)|Greater(Greater|SlantEqual|Tilde|Equal|FullEqual|Less)?|S(u(cceeds(SlantEqual|Tilde|Equal)?|perset(Equal)?|bset(Equal)?)|quareSu(perset(Equal)?|bset(Equal)?))|Hump(DownHump|Equal)|Nested(GreaterGreater|LessLess)|C(ongruent|upCap)|Tilde(Tilde|Equal|FullEqual)?|DoubleVerticalBar|Precedes(SlantEqual|Equal)?|E(qual(Tilde)?|lement|xists)|VerticalBar|Le(ss(Greater|SlantEqual|Tilde|Equal|Less)?|ftTriangle(Bar|Equal)?))?|pf)|u|e(sted(GreaterGreater|LessLess)|wLine|gative(MediumSpace|Thi(nSpace|ckSpace)|VeryThinSpace))|Jcy|fr|acute))|(o(s(cr|ol|lash)|h(m|bar)|c(y|ir(c)?)|ti(lde|mes(as)?)|S|int|opf|d(sold|iv|ot|ash|blac)|uml|p(erp|lus|ar)|elig|vbar|f(cir|r)|l(c(ir|ross)|t|ine|arr)|a(st|cute)|r(slope|igof|or|d(er(of)?|f|m)?|v|arr)?|g(t|on|rave)|m(i(nus|cron|d)|ega|acr))|O(s(cr|lash)|c(y|irc)|ti(lde|mes)|opf|dblac|uml|penCurly(DoubleQuote|Quote)|ver(B(ar|rac(e|ket))|Parenthesis)|fr|Elig|acute|r|grave|m(icron|ega|acr)))|(p(s(cr|i)|h(i(v)?|one|mmat)|cy|i(tchfork|v)?|o(intint|und|pf)|uncsp|er(cnt|tenk|iod|p|mil)|fr|l(us(sim|cir|two|d(o|u)|e|acir|mn|b)?|an(ck(h)?|kv))|ar(s(im|l)|t|a(llel)?)?|r(sim|n(sim|E|ap)|cue|ime(s)?|o(d|p(to)?|f(surf|line|alar))|urel|e(c(sim|n(sim|eqq|approx)|curlyeq|eq|approx)?)?|E|ap)?|m)|P(s(cr|i)|hi|cy|i|o(incareplane|pf)|fr|lusMinus|artialD|r(ime|o(duct|portion(al)?)|ecedes(SlantEqual|Tilde|Equal)?)?))|(q(scr|int|opf|u(ot|est(eq)?|at(int|ernions))|prime|fr)|Q(scr|opf|UOT|fr))|(R(s(h|cr)|ho|c(y|edil|aron)|Barr|ight(Ceiling|T(ee(Vector|Arrow)?|riangle(Bar|Equal)?)|Do(ubleBracket|wn(TeeVector|Vector(Bar)?))|Up(TeeVector|DownVector|Vector(Bar)?)|Vector(Bar)?|arrow|Floor|A(ngleBracket|rrow(Bar|LeftArrow)?))|o(undImplies|pf)|uleDelayed|e(verse(UpEquilibrium|E(quilibrium|lement)))?|fr|EG|a(ng|cute|rr(tl)?)|rightarrow)|r(s(h|cr|q(uo(r)?|b)|aquo)|h(o(v)?|ar(d|u(l)?))|nmid|c(y|ub|e(il|dil)|aron)|Barr|t(hree|imes|ri(e|f|ltri)?)|i(singdotseq|ng|ght(squigarrow|harpoon(down|up)|threetimes|left(harpoons|arrows)|arrow(tail)?|rightarrows))|Har|o(times|p(f|lus|ar)|a(ng|rr)|brk)|d(sh|ca|quo(r)?|ldhar)|uluhar|p(polint|ar(gt)?)|e(ct|al(s|ine|part)?|g)|f(isht|loor|r)|l(har|arr|m)|a(ng(d|e|le)?|c(ute|e)|t(io(nals)?|ail)|dic|emptyv|quo|rr(sim|hk|c|tl|pl|fs|w|lp|ap|b(fs)?)?)|rarr|x|moust(ache)?|b(arr|r(k(sl(d|u)|e)|ac(e|k))|brk)|A(tail|arr|rr)))|(s(s(cr|tarf|etmn|mile)|h(y|c(hcy|y)|ort(parallel|mid)|arp)|c(sim|y|n(sim|E|ap)|cue|irc|polint|e(dil)?|E|a(p|ron))?|t(ar(f)?|r(ns|aight(phi|epsilon)))|i(gma(v|f)?|m(ne|dot|plus|e(q)?|l(E)?|rarr|g(E)?)?)|zlig|o(pf|ftcy|l(b(ar)?)?)|dot(e|b)?|u(ng|cc(sim|n(sim|eqq|approx)|curlyeq|eq|approx)?|p(s(im|u(p|b)|et(neq(q)?|eq(q)?)?)|hs(ol|ub)|1|n(e|E)|2|d(sub|ot)|3|plus|e(dot)?|E|larr|mult)?|m|b(s(im|u(p|b)|et(neq(q)?|eq(q)?)?)|n(e|E)|dot|plus|e(dot)?|E|rarr|mult)?)|pa(des(uit)?|r)|e(swar|ct|tm(n|inus)|ar(hk|r(ow)?)|xt|mi|Arr)|q(su(p(set(eq)?|e)?|b(set(eq)?|e)?)|c(up(s)?|ap(s)?)|u(f|ar(e|f))?)|fr(own)?|w(nwar|ar(hk|r(ow)?)|Arr)|larr|acute|rarr|m(t(e(s)?)?|i(d|le)|eparsl|a(shp|llsetminus))|bquo)|S(scr|hort(RightArrow|DownArrow|UpArrow|LeftArrow)|c(y|irc|edil|aron)?|tar|igma|H(cy|CHcy)|opf|u(c(hThat|ceeds(SlantEqual|Tilde|Equal)?)|p(set|erset(Equal)?)?|m|b(set(Equal)?)?)|OFTcy|q(uare(Su(perset(Equal)?|bset(Equal)?)|Intersection|Union)?|rt)|fr|acute|mallCircle))|(t(s(hcy|c(y|r)|trok)|h(i(nsp|ck(sim|approx))|orn|e(ta(sym|v)?|re(4|fore))|k(sim|ap))|c(y|edil|aron)|i(nt|lde|mes(d|b(ar)?)?)|o(sa|p(cir|f(ork)?|bot)?|ea)|dot|prime|elrec|fr|w(ixt|ohead(leftarrow|rightarrow))|a(u|rget)|r(i(sb|time|dot|plus|e|angle(down|q|left(eq)?|right(eq)?)?|minus)|pezium|ade)|brk)|T(s(cr|trok)|RADE|h(i(nSpace|ckSpace)|e(ta|refore))|c(y|edil|aron)|S(cy|Hcy)|ilde(Tilde|Equal|FullEqual)?|HORN|opf|fr|a(u|b)|ripleDot))|(u(scr|h(ar(l|r)|blk)|c(y|irc)|t(ilde|dot|ri(f)?)|Har|o(pf|gon)|d(har|arr|blac)|u(arr|ml)|p(si(h|lon)?|harpoon(left|right)|downarrow|uparrows|lus|arrow)|f(isht|r)|wangle|l(c(orn(er)?|rop)|tri)|a(cute|rr)|r(c(orn(er)?|rop)|tri|ing)|grave|m(l|acr)|br(cy|eve)|Arr)|U(scr|n(ion(Plus)?|der(B(ar|rac(e|ket))|Parenthesis))|c(y|irc)|tilde|o(pf|gon)|dblac|uml|p(si(lon)?|downarrow|Tee(Arrow)?|per(RightArrow|LeftArrow)|DownArrow|Equilibrium|arrow|Arrow(Bar|DownArrow)?)|fr|a(cute|rr(ocir)?)|ring|grave|macr|br(cy|eve)))|(v(s(cr|u(pn(e|E)|bn(e|E)))|nsu(p|b)|cy|Bar(v)?|zigzag|opf|dash|prop|e(e(eq|bar)?|llip|r(t|bar))|Dash|fr|ltri|a(ngrt|r(s(igma|u(psetneq(q)?|bsetneq(q)?))|nothing|t(heta|riangle(left|right))|p(hi|i|ropto)|epsilon|kappa|r(ho)?))|rtri|Arr)|V(scr|cy|opf|dash(l)?|e(e|r(yThinSpace|t(ical(Bar|Separator|Tilde|Line))?|bar))|Dash|vdash|fr|bar))|(w(scr|circ|opf|p|e(ierp|d(ge(q)?|bar))|fr|r(eath)?)|W(scr|circ|opf|edge|fr))|(X(scr|i|opf|fr)|x(s(cr|qcup)|h(arr|Arr)|nis|c(irc|up|ap)|i|o(time|dot|p(f|lus))|dtri|u(tri|plus)|vee|fr|wedge|l(arr|Arr)|r(arr|Arr)|map))|(y(scr|c(y|irc)|icy|opf|u(cy|ml)|en|fr|ac(y|ute))|Y(scr|c(y|irc)|opf|uml|Icy|Ucy|fr|acute|Acy))|(z(scr|hcy|c(y|aron)|igrarr|opf|dot|e(ta|etrf)|fr|w(nj|j)|acute)|Z(scr|c(y|aron)|Hcy|opf|dot|e(ta|roWidthSpace)|fr|acute)))(;)","name":"constant.character.entity.named.$2.html"},{"captures":{"1":{"name":"punctuation.definition.entity.html"},"3":{"name":"punctuation.definition.entity.html"}},"match":"(&)#\\\\d+(;)","name":"constant.character.entity.numeric.decimal.html"},{"captures":{"1":{"name":"punctuation.definition.entity.html"},"3":{"name":"punctuation.definition.entity.html"}},"match":"(&)#[xX][0-9a-fA-F]+(;)","name":"constant.character.entity.numeric.hexadecimal.html"},{"match":"&(?=[a-zA-Z0-9]+;)","name":"invalid.illegal.ambiguous-ampersand.html"}]},"math":{"patterns":[{"begin":"(?i)(<)(math)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.structure.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)","endCaptures":{"0":{"name":"meta.tag.structure.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.structure.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]}],"repository":{"attribute":{"patterns":[{"begin":"(s(hift|ymmetric|cript(sizemultiplier|level|minsize)|t(ackalign|retchy)|ide|u(pscriptshift|bscriptshift)|e(parator(s)?|lection)|rc)|h(eight|ref)|n(otation|umalign)|c(haralign|olumn(spa(n|cing)|width|lines|align)|lose|rossout)|i(n(dent(shift(first|last)?|target|align(first|last)?)|fixlinebreakstyle)|d)|o(pen|verflow)|d(i(splay(style)?|r)|e(nomalign|cimalpoint|pth))|position|e(dge|qual(columns|rows))|voffset|f(orm|ence|rame(spacing)?)|width|l(space|ine(thickness|leading|break(style|multchar)?)|o(ngdivstyle|cation)|ength|quote|argeop)|a(c(cent(under)?|tiontype)|l(t(text|img(-(height|valign|width))?)|ign(mentscope)?))|r(space|ow(spa(n|cing)|lines|align)|quote)|groupalign|x(link:href|mlns)|m(in(size|labelspacing)|ovablelimits|a(th(size|color|variant|background)|xsize))|bevelled)(?![\\\\w:-])","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.$1.html","patterns":[{"include":"#attribute-interior"}]},{"begin":"([^\\\\x{0020}\\"'<>/=\\\\x{0000}-\\\\x{001F}\\\\x{007F}-\\\\x{009F}\\\\x{FDD0}-\\\\x{FDEF}\\\\x{FFFE}\\\\x{FFFF}\\\\x{1FFFE}\\\\x{1FFFF}\\\\x{2FFFE}\\\\x{2FFFF}\\\\x{3FFFE}\\\\x{3FFFF}\\\\x{4FFFE}\\\\x{4FFFF}\\\\x{5FFFE}\\\\x{5FFFF}\\\\x{6FFFE}\\\\x{6FFFF}\\\\x{7FFFE}\\\\x{7FFFF}\\\\x{8FFFE}\\\\x{8FFFF}\\\\x{9FFFE}\\\\x{9FFFF}\\\\x{AFFFE}\\\\x{AFFFF}\\\\x{BFFFE}\\\\x{BFFFF}\\\\x{CFFFE}\\\\x{CFFFF}\\\\x{DFFFE}\\\\x{DFFFF}\\\\x{EFFFE}\\\\x{EFFFF}\\\\x{FFFFE}\\\\x{FFFFF}\\\\x{10FFFE}\\\\x{10FFFF}]+)","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"comment":"Anything else that is valid","end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.unrecognized.$1.html","patterns":[{"include":"#attribute-interior"}]},{"match":"[^\\\\s>]+","name":"invalid.illegal.character-not-allowed-here.html"}]},"tags":{"patterns":[{"include":"#comment"},{"include":"#cdata"},{"captures":{"0":{"name":"meta.tag.structure.math.$2.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(annotation|annotation-xml|semantics|menclose|merror|mfenced|mfrac|mpadded|mphantom|mroot|mrow|msqrt|mstyle|mmultiscripts|mover|mprescripts|msub|msubsup|msup|munder|munderover|none|mlabeledtr|mtable|mtd|mtr|mlongdiv|mscarries|mscarry|msgroup|msline|msrow|mstack|maction)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.structure.math.$2.html"},{"begin":"(?i)(<)(annotation|annotation-xml|semantics|menclose|merror|mfenced|mfrac|mpadded|mphantom|mroot|mrow|msqrt|mstyle|mmultiscripts|mover|mprescripts|msub|msubsup|msup|munder|munderover|none|mlabeledtr|mtable|mtd|mtr|mlongdiv|mscarries|mscarry|msgroup|msline|msrow|mstack|maction)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.structure.math.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.structure.math.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"},"4":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.structure.math.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"captures":{"0":{"name":"meta.tag.inline.math.$2.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(mi|mn|mo|ms|mspace|mtext|maligngroup|malignmark)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.inline.math.$2.html"},{"begin":"(?i)(<)(mi|mn|mo|ms|mspace|mtext|maligngroup|malignmark)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.inline.math.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.inline.math.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"},"4":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.inline.math.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.inline.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"captures":{"0":{"name":"meta.tag.object.math.$2.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(mglyph)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.object.math.$2.html"},{"begin":"(?i)(<)(mglyph)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.object.math.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.object.math.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"},"4":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.object.math.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.object.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"captures":{"0":{"name":"meta.tag.other.invalid.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.unrecognized-tag.html"},"4":{"patterns":[{"include":"#attribute"}]},"6":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(([\\\\w:]+))(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.other.invalid.html"},{"begin":"(?i)(<)((\\\\w[^\\\\s>]*))(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.other.invalid.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.unrecognized-tag.html"},"4":{"patterns":[{"include":"#attribute"}]},"6":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)((\\\\2))\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.other.invalid.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.unrecognized-tag.html"},"4":{"name":"punctuation.definition.tag.end.html"},"5":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.other.invalid.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.other.invalid.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"include":"#tags-invalid"}]}}},"svg":{"patterns":[{"begin":"(?i)(<)(svg)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.structure.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)","endCaptures":{"0":{"name":"meta.tag.structure.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.structure.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]}],"repository":{"attribute":{"patterns":[{"begin":"(s(hape-rendering|ystemLanguage|cale|t(yle|itchTiles|op-(color|opacity)|dDeviation|em(h|v)|artOffset|r(i(ng|kethrough-(thickness|position))|oke(-(opacity|dash(offset|array)|width|line(cap|join)|miterlimit))?))|urfaceScale|p(e(cular(Constant|Exponent)|ed)|acing|readMethod)|eed|lope)|h(oriz-(origin-x|adv-x)|eight|anging|ref(lang)?)|y(1|2|ChannelSelector)?|n(umOctaves|ame)|c(y|o(ntentS(criptType|tyleType)|lor(-(interpolation(-filters)?|profile|rendering))?)|ursor|l(ip(-(path|rule)|PathUnits)?|ass)|a(p-height|lcMode)|x)|t(ype|o|ext(-(decoration|anchor|rendering)|Length)|a(rget(X|Y)?|b(index|leValues))|ransform)|i(n(tercept|2)?|d(eographic)?|mage-rendering)|z(oomAndPan)?|o(p(erator|acity)|ver(flow|line-(thickness|position))|ffset|r(i(ent(ation)?|gin)|der))|d(y|i(splay|visor|ffuseConstant|rection)|ominant-baseline|ur|e(scent|celerate)|x)?|u(1|n(i(code(-(range|bidi))?|ts-per-em)|derline-(thickness|position))|2)|p(ing|oint(s(At(X|Y|Z))?|er-events)|a(nose-1|t(h(Length)?|tern(ContentUnits|Transform|Units))|int-order)|r(imitiveUnits|eserveA(spectRatio|lpha)))|e(n(d|able-background)|dgeMode|levation|x(ternalResourcesRequired|ponent))|v(i(sibility|ew(Box|Target))|-(hanging|ideographic|alphabetic|mathematical)|e(ctor-effect|r(sion|t-(origin-(y|x)|adv-y)))|alues)|k(1|2|3|e(y(Splines|Times|Points)|rn(ing|el(Matrix|UnitLength)))|4)?|f(y|il(ter(Res|Units)?|l(-(opacity|rule))?)|o(nt-(s(t(yle|retch)|ize(-adjust)?)|variant|family|weight)|rmat)|lood-(color|opacity)|r(om)?|x)|w(idth(s)?|ord-spacing|riting-mode)|l(i(ghting-color|mitingConeAngle)|ocal|e(ngthAdjust|tter-spacing)|ang)|a(scent|cc(umulate|ent-height)|ttribute(Name|Type)|zimuth|dditive|utoReverse|l(ignment-baseline|phabetic|lowReorder)|rabic-form|mplitude)|r(y|otate|e(s(tart|ult)|ndering-intent|peat(Count|Dur)|quired(Extensions|Features)|f(X|Y|errerPolicy)|l)|adius|x)?|g(1|2|lyph(Ref|-(name|orientation-(horizontal|vertical)))|radient(Transform|Units))|x(1|2|ChannelSelector|-height|link:(show|href|t(ype|itle)|a(ctuate|rcrole)|role)|ml:(space|lang|base))?|m(in|ode|e(thod|dia)|a(sk(ContentUnits|Units)?|thematical|rker(Height|-(start|end|mid)|Units|Width)|x))|b(y|ias|egin|ase(Profile|line-shift|Frequency)|box))(?![\\\\w:-])","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.$1.html","patterns":[{"include":"#attribute-interior"}]},{"begin":"([^\\\\x{0020}\\"'<>/=\\\\x{0000}-\\\\x{001F}\\\\x{007F}-\\\\x{009F}\\\\x{FDD0}-\\\\x{FDEF}\\\\x{FFFE}\\\\x{FFFF}\\\\x{1FFFE}\\\\x{1FFFF}\\\\x{2FFFE}\\\\x{2FFFF}\\\\x{3FFFE}\\\\x{3FFFF}\\\\x{4FFFE}\\\\x{4FFFF}\\\\x{5FFFE}\\\\x{5FFFF}\\\\x{6FFFE}\\\\x{6FFFF}\\\\x{7FFFE}\\\\x{7FFFF}\\\\x{8FFFE}\\\\x{8FFFF}\\\\x{9FFFE}\\\\x{9FFFF}\\\\x{AFFFE}\\\\x{AFFFF}\\\\x{BFFFE}\\\\x{BFFFF}\\\\x{CFFFE}\\\\x{CFFFF}\\\\x{DFFFE}\\\\x{DFFFF}\\\\x{EFFFE}\\\\x{EFFFF}\\\\x{FFFFE}\\\\x{FFFFF}\\\\x{10FFFE}\\\\x{10FFFF}]+)","beginCaptures":{"0":{"name":"entity.other.attribute-name.html"}},"comment":"Anything else that is valid","end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.unrecognized.$1.html","patterns":[{"include":"#attribute-interior"}]},{"match":"[^\\\\s>]+","name":"invalid.illegal.character-not-allowed-here.html"}]},"tags":{"patterns":[{"include":"#comment"},{"include":"#cdata"},{"captures":{"0":{"name":"meta.tag.metadata.svg.$2.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(color-profile|desc|metadata|script|style|title)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.metadata.svg.$2.html"},{"begin":"(?i)(<)(color-profile|desc|metadata|script|style|title)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.metadata.svg.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.metadata.svg.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"},"4":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.metadata.svg.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"captures":{"0":{"name":"meta.tag.structure.svg.$2.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(animateMotion|clipPath|defs|feComponentTransfer|feDiffuseLighting|feMerge|feSpecularLighting|filter|g|hatch|linearGradient|marker|mask|mesh|meshgradient|meshpatch|meshrow|pattern|radialGradient|switch|text|textPath)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.structure.svg.$2.html"},{"begin":"(?i)(<)(animateMotion|clipPath|defs|feComponentTransfer|feDiffuseLighting|feMerge|feSpecularLighting|filter|g|hatch|linearGradient|marker|mask|mesh|meshgradient|meshpatch|meshrow|pattern|radialGradient|switch|text|textPath)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.structure.svg.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.structure.svg.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"},"4":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.structure.svg.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"captures":{"0":{"name":"meta.tag.inline.svg.$2.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(a|animate|discard|feBlend|feColorMatrix|feComposite|feConvolveMatrix|feDisplacementMap|feDistantLight|feDropShadow|feFlood|feFuncA|feFuncB|feFuncG|feFuncR|feGaussianBlur|feMergeNode|feMorphology|feOffset|fePointLight|feSpotLight|feTile|feTurbulence|hatchPath|mpath|set|solidcolor|stop|tspan)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.inline.svg.$2.html"},{"begin":"(?i)(<)(a|animate|discard|feBlend|feColorMatrix|feComposite|feConvolveMatrix|feDisplacementMap|feDistantLight|feDropShadow|feFlood|feFuncA|feFuncB|feFuncG|feFuncR|feGaussianBlur|feMergeNode|feMorphology|feOffset|fePointLight|feSpotLight|feTile|feTurbulence|hatchPath|mpath|set|solidcolor|stop|tspan)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.inline.svg.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.inline.svg.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"},"4":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.inline.svg.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.inline.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"captures":{"0":{"name":"meta.tag.object.svg.$2.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(circle|ellipse|feImage|foreignObject|image|line|path|polygon|polyline|rect|symbol|use|view)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.object.svg.$2.html"},{"begin":"(?i)(<)(a|circle|ellipse|feImage|foreignObject|image|line|path|polygon|polyline|rect|symbol|use|view)(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.object.svg.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"patterns":[{"include":"#attribute"}]},"5":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)(\\\\2)\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.object.svg.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"},"4":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.object.svg.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.object.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"captures":{"0":{"name":"meta.tag.other.svg.$2.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"},"4":{"patterns":[{"include":"#attribute"}]},"6":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)((altGlyph|altGlyphDef|altGlyphItem|animateColor|animateTransform|cursor|font|font-face|font-face-format|font-face-name|font-face-src|font-face-uri|glyph|glyphRef|hkern|missing-glyph|tref|vkern))(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.other.svg.$2.html"},{"begin":"(?i)(<)((altGlyph|altGlyphDef|altGlyphItem|animateColor|animateTransform|cursor|font|font-face|font-face-format|font-face-name|font-face-src|font-face-uri|glyph|glyphRef|hkern|missing-glyph|tref|vkern))(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.other.svg.$2.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"},"4":{"patterns":[{"include":"#attribute"}]},"6":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)((\\\\2))\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.other.svg.$2.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"},"4":{"name":"punctuation.definition.tag.end.html"},"5":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.other.svg.$2.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.other.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"captures":{"0":{"name":"meta.tag.other.invalid.void.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.unrecognized-tag.html"},"4":{"patterns":[{"include":"#attribute"}]},"6":{"name":"punctuation.definition.tag.end.html"}},"match":"(?i)(<)(([\\\\w:]+))(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(/>))","name":"meta.element.other.invalid.html"},{"begin":"(?i)(<)((\\\\w[^\\\\s>]*))(?=\\\\s|/?>)(?:(([^\\"'>]|\\"[^\\"]*\\"|'[^']*')*)(>))?","beginCaptures":{"0":{"name":"meta.tag.other.invalid.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.unrecognized-tag.html"},"4":{"patterns":[{"include":"#attribute"}]},"6":{"name":"punctuation.definition.tag.end.html"}},"end":"(?i)(</)((\\\\2))\\\\s*(>)|(/>)|(?=</\\\\w+)","endCaptures":{"0":{"name":"meta.tag.other.invalid.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.unrecognized-tag.html"},"4":{"name":"punctuation.definition.tag.end.html"},"5":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.element.other.invalid.html","patterns":[{"begin":"(?<!>)\\\\G","end":"(?=/>)|>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.other.invalid.start.html","patterns":[{"include":"#attribute"}]},{"include":"#tags"}]},{"include":"#tags-invalid"}]}}},"tags-invalid":{"patterns":[{"begin":"(</?)((\\\\w[^\\\\s>]*))(?<!/)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.unrecognized-tag.html"}},"end":"((?: ?/)?>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.other.$2.html","patterns":[{"include":"#attribute"}]}]},"tags-valid":{"patterns":[{"begin":"(^[ \\\\t]+)?(?=<(?i:style)\\\\b(?!-))","beginCaptures":{"1":{"name":"punctuation.whitespace.embedded.leading.html"}},"end":"(?!\\\\G)([ \\\\t]*$\\\\n?)?","endCaptures":{"1":{"name":"punctuation.whitespace.embedded.trailing.html"}},"patterns":[{"begin":"(?i)(<)(style)(?=\\\\s|/?>)","beginCaptures":{"0":{"name":"meta.tag.metadata.style.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":"(?i)((<)/)(style)\\\\s*(>)","endCaptures":{"0":{"name":"meta.tag.metadata.style.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"source.css-ignored-vscode"},"3":{"name":"entity.name.tag.html"},"4":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.embedded.block.html","patterns":[{"begin":"\\\\G","captures":{"1":{"name":"punctuation.definition.tag.end.html"}},"end":"(>)","name":"meta.tag.metadata.style.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?!\\\\G)","end":"(?=</(?i:style))","name":"source.css","patterns":[{"include":"source.css"}]}]}]},{"begin":"(^[ \\\\t]+)?(?=<(?i:script)\\\\b(?!-))","beginCaptures":{"1":{"name":"punctuation.whitespace.embedded.leading.html"}},"end":"(?!\\\\G)([ \\\\t]*$\\\\n?)?","endCaptures":{"1":{"name":"punctuation.whitespace.embedded.trailing.html"}},"patterns":[{"begin":"(<)((?i:script))\\\\b","beginCaptures":{"0":{"name":"meta.tag.metadata.script.start.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":"(/)((?i:script))(>)","endCaptures":{"0":{"name":"meta.tag.metadata.script.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.embedded.block.html","patterns":[{"begin":"\\\\G","end":"(?=/)","patterns":[{"begin":"(>)","beginCaptures":{"0":{"name":"meta.tag.metadata.script.start.html"},"1":{"name":"punctuation.definition.tag.end.html"}},"end":"((<))(?=/(?i:script))","endCaptures":{"0":{"name":"meta.tag.metadata.script.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"source.js-ignored-vscode"}},"patterns":[{"begin":"\\\\G","end":"(?=</(?i:script))","name":"source.js","patterns":[{"begin":"(^[ \\\\t]+)?(?=//)","beginCaptures":{"1":{"name":"punctuation.whitespace.comment.leading.js"}},"end":"(?!\\\\G)","patterns":[{"begin":"//","beginCaptures":{"0":{"name":"punctuation.definition.comment.js"}},"end":"(?=<\/script)|\\\\n","name":"comment.line.double-slash.js"}]},{"begin":"/\\\\*","captures":{"0":{"name":"punctuation.definition.comment.js"}},"end":"\\\\*/|(?=<\/script)","name":"comment.block.js"},{"include":"source.js"}]}]},{"begin":"\\\\G","end":"(?i:(?=>|type(?=[\\\\s=])(?!\\\\s*=\\\\s*(''|\\"\\"|('|\\"|)(text/(javascript(1\\\\.[0-5])?|x-javascript|jscript|livescript|(x-)?ecmascript|babel)|application/((x-)?javascript|(x-)?ecmascript)|module)[\\\\s\\"'>]))))","name":"meta.tag.metadata.script.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i:(?=type\\\\s*=\\\\s*('|\\"|)text/(x-handlebars|(x-(handlebars-)?|ng-)?template|html)[\\\\s\\"'>]))","end":"((<))(?=/(?i:script))","endCaptures":{"0":{"name":"meta.tag.metadata.script.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"text.html.basic"}},"patterns":[{"begin":"\\\\G","end":"(>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.script.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?!\\\\G)","end":"(?=</(?i:script))","name":"text.html.basic","patterns":[{"include":"text.html.basic"}]}]},{"begin":"(?=(?i:type))","end":"(<)(?=/(?i:script))","endCaptures":{"0":{"name":"meta.tag.metadata.script.end.html"},"1":{"name":"punctuation.definition.tag.begin.html"}},"patterns":[{"begin":"\\\\G","end":"(>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.script.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?!\\\\G)","end":"(?=</(?i:script))","name":"source.unknown"}]}]}]}]},{"begin":"(?i)(<)(base|link|meta)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":"/?>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.$2.void.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)(noscript|title)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.$2.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(</)(noscript|title)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.$2.end.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)(col|hr|input)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":"/?>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.$2.void.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)(address|article|aside|blockquote|body|button|caption|colgroup|datalist|dd|details|dialog|div|dl|dt|fieldset|figcaption|figure|footer|form|head|header|hgroup|html|h[1-6]|label|legend|li|main|map|menu|meter|nav|ol|optgroup|option|output|p|pre|progress|section|select|slot|summary|table|tbody|td|template|textarea|tfoot|th|thead|tr|ul)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.$2.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(</)(address|article|aside|blockquote|body|button|caption|colgroup|datalist|dd|details|dialog|div|dl|dt|fieldset|figcaption|figure|footer|form|head|header|hgroup|html|h[1-6]|label|legend|li|main|map|menu|meter|nav|ol|optgroup|option|output|p|pre|progress|section|select|slot|summary|table|tbody|td|template|textarea|tfoot|th|thead|tr|ul)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.$2.end.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)(area|br|wbr)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":"/?>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.inline.$2.void.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)(a|abbr|b|bdi|bdo|cite|code|data|del|dfn|em|i|ins|kbd|mark|q|rp|rt|ruby|s|samp|small|span|strong|sub|sup|time|u|var)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.inline.$2.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(</)(a|abbr|b|bdi|bdo|cite|code|data|del|dfn|em|i|ins|kbd|mark|q|rp|rt|ruby|s|samp|small|span|strong|sub|sup|time|u|var)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.inline.$2.end.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)(embed|img|param|source|track)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":"/?>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.object.$2.void.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)(audio|canvas|iframe|object|picture|video)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.object.$2.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(</)(audio|canvas|iframe|object|picture|video)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.object.$2.end.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)((basefont|isindex))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"}},"end":"/?>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.metadata.$2.void.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)((center|frameset|noembed|noframes))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.$2.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(</)((center|frameset|noembed|noframes))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.structure.$2.end.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)((acronym|big|blink|font|strike|tt|xmp))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.inline.$2.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(</)((acronym|big|blink|font|strike|tt|xmp))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.inline.$2.end.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)((frame))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"}},"end":"/?>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.object.$2.void.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)((applet))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.object.$2.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(</)((applet))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.deprecated.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.object.$2.end.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(<)((dir|keygen|listing|menuitem|plaintext|spacer))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.no-longer-supported.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.other.$2.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(?i)(</)((dir|keygen|listing|menuitem|plaintext|spacer))(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"},"3":{"name":"invalid.illegal.no-longer-supported.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.other.$2.end.html","patterns":[{"include":"#attribute"}]},{"include":"#math"},{"include":"#svg"},{"begin":"(<)([a-zA-Z][.0-9_a-zA-Z\\\\x{00B7}\\\\x{00C0}-\\\\x{00D6}\\\\x{00D8}-\\\\x{00F6}\\\\x{00F8}-\\\\x{037D}\\\\x{037F}-\\\\x{1FFF}\\\\x{200C}-\\\\x{200D}\\\\x{203F}-\\\\x{2040}\\\\x{2070}-\\\\x{218F}\\\\x{2C00}-\\\\x{2FEF}\\\\x{3001}-\\\\x{D7FF}\\\\x{F900}-\\\\x{FDCF}\\\\x{FDF0}-\\\\x{FFFD}\\\\x{10000}-\\\\x{EFFFF}]*-[\\\\-.0-9_a-zA-Z\\\\x{00B7}\\\\x{00C0}-\\\\x{00D6}\\\\x{00D8}-\\\\x{00F6}\\\\x{00F8}-\\\\x{037D}\\\\x{037F}-\\\\x{1FFF}\\\\x{200C}-\\\\x{200D}\\\\x{203F}-\\\\x{2040}\\\\x{2070}-\\\\x{218F}\\\\x{2C00}-\\\\x{2FEF}\\\\x{3001}-\\\\x{D7FF}\\\\x{F900}-\\\\x{FDCF}\\\\x{FDF0}-\\\\x{FFFD}\\\\x{10000}-\\\\x{EFFFF}]*)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":"/?>","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.custom.start.html","patterns":[{"include":"#attribute"}]},{"begin":"(</)([a-zA-Z][.0-9_a-zA-Z\\\\x{00B7}\\\\x{00C0}-\\\\x{00D6}\\\\x{00D8}-\\\\x{00F6}\\\\x{00F8}-\\\\x{037D}\\\\x{037F}-\\\\x{1FFF}\\\\x{200C}-\\\\x{200D}\\\\x{203F}-\\\\x{2040}\\\\x{2070}-\\\\x{218F}\\\\x{2C00}-\\\\x{2FEF}\\\\x{3001}-\\\\x{D7FF}\\\\x{F900}-\\\\x{FDCF}\\\\x{FDF0}-\\\\x{FFFD}\\\\x{10000}-\\\\x{EFFFF}]*-[\\\\-.0-9_a-zA-Z\\\\x{00B7}\\\\x{00C0}-\\\\x{00D6}\\\\x{00D8}-\\\\x{00F6}\\\\x{00F8}-\\\\x{037D}\\\\x{037F}-\\\\x{1FFF}\\\\x{200C}-\\\\x{200D}\\\\x{203F}-\\\\x{2040}\\\\x{2070}-\\\\x{218F}\\\\x{2C00}-\\\\x{2FEF}\\\\x{3001}-\\\\x{D7FF}\\\\x{F900}-\\\\x{FDCF}\\\\x{FDF0}-\\\\x{FFFD}\\\\x{10000}-\\\\x{EFFFF}]*)(?=\\\\s|/?>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.custom.end.html","patterns":[{"include":"#attribute"}]}]},"xml-processing":{"begin":"(<\\\\?)(xml)","captures":{"1":{"name":"punctuation.definition.tag.html"},"2":{"name":"entity.name.tag.html"}},"end":"(\\\\?>)","name":"meta.tag.metadata.processing.xml.html","patterns":[{"include":"#attribute"}]}},"scopeName":"text.html.basic","embeddedLangs":["javascript","css"]}`)),yt=[...Ye,...kt,ai],Pi=Object.freeze(Object.defineProperty({__proto__:null,default:yt},Symbol.toStringTag,{value:"Module"})),si=Object.freeze(JSON.parse('{"displayName":"TypeScript","name":"typescript","patterns":[{"include":"#directives"},{"include":"#statements"},{"include":"#shebang"}],"repository":{"access-modifier":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(abstract|declare|override|public|protected|private|readonly|static)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.ts"},"after-operator-block-as-object-literal":{"begin":"(?<!\\\\+\\\\+|--)(?<=[:=(,\\\\[?+!>]|^await|[^\\\\._$0-9A-Za-z]await|^return|[^\\\\._$0-9A-Za-z]return|^yield|[^\\\\._$0-9A-Za-z]yield|^throw|[^\\\\._$0-9A-Za-z]throw|^in|[^\\\\._$0-9A-Za-z]in|^of|[^\\\\._$0-9A-Za-z]of|^typeof|[^\\\\._$0-9A-Za-z]typeof|&&|\\\\|\\\\||\\\\*)\\\\s*(\\\\{)","beginCaptures":{"1":{"name":"punctuation.definition.block.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"name":"meta.objectliteral.ts","patterns":[{"include":"#object-member"}]},"array-binding-pattern":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\[)","beginCaptures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"punctuation.definition.binding-pattern.array.ts"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.array.ts"}},"patterns":[{"include":"#binding-element"},{"include":"#punctuation-comma"}]},"array-binding-pattern-const":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\[)","beginCaptures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"punctuation.definition.binding-pattern.array.ts"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.array.ts"}},"patterns":[{"include":"#binding-element-const"},{"include":"#punctuation-comma"}]},"array-literal":{"begin":"\\\\s*(\\\\[)","beginCaptures":{"1":{"name":"meta.brace.square.ts"}},"end":"\\\\]","endCaptures":{"0":{"name":"meta.brace.square.ts"}},"name":"meta.array.literal.ts","patterns":[{"include":"#expression"},{"include":"#punctuation-comma"}]},"arrow-function":{"patterns":[{"captures":{"1":{"name":"storage.modifier.async.ts"},"2":{"name":"variable.parameter.ts"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(\\\\basync)\\\\s+)?([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?==>)","name":"meta.arrow.ts"},{"begin":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(\\\\basync))?((?<![})!\\\\]])\\\\s*(?=((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))","beginCaptures":{"1":{"name":"storage.modifier.async.ts"}},"end":"(?==>|\\\\{|(^\\\\s*(export|function|class|interface|let|var|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|const|import|enum|namespace|module|type|abstract|declare)\\\\s+))","name":"meta.arrow.ts","patterns":[{"include":"#comment"},{"include":"#type-parameters"},{"include":"#function-parameters"},{"include":"#arrow-return-type"},{"include":"#possibly-arrow-return-type"}]},{"begin":"=>","beginCaptures":{"0":{"name":"storage.type.function.arrow.ts"}},"end":"((?<=\\\\}|\\\\S)(?<!=>)|((?!\\\\{)(?=\\\\S)))(?!\\\\/[\\\\/\\\\*])","name":"meta.arrow.ts","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#decl-block"},{"include":"#expression"}]}]},"arrow-return-type":{"begin":"(?<=\\\\))\\\\s*(:)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.ts"}},"end":"(?==>|\\\\{|(^\\\\s*(export|function|class|interface|let|var|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|const|import|enum|namespace|module|type|abstract|declare)\\\\s+))","name":"meta.return.type.arrow.ts","patterns":[{"include":"#arrow-return-type-body"}]},"arrow-return-type-body":{"patterns":[{"begin":"(?<=[:])(?=\\\\s*\\\\{)","end":"(?<=\\\\})","patterns":[{"include":"#type-object"}]},{"include":"#type-predicate-operator"},{"include":"#type"}]},"async-modifier":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(async)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.async.ts"},"binding-element":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#numeric-literal"},{"include":"#regex"},{"include":"#object-binding-pattern"},{"include":"#array-binding-pattern"},{"include":"#destructuring-variable-rest"},{"include":"#variable-initializer"}]},"binding-element-const":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#numeric-literal"},{"include":"#regex"},{"include":"#object-binding-pattern-const"},{"include":"#array-binding-pattern-const"},{"include":"#destructuring-variable-rest-const"},{"include":"#variable-initializer"}]},"boolean-literal":{"patterns":[{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))true(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.boolean.true.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))false(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.boolean.false.ts"}]},"brackets":{"patterns":[{"begin":"{","end":"}|(?=\\\\*/)","patterns":[{"include":"#brackets"}]},{"begin":"\\\\[","end":"\\\\]|(?=\\\\*/)","patterns":[{"include":"#brackets"}]}]},"cast":{"patterns":[{"captures":{"1":{"name":"meta.brace.angle.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"meta.brace.angle.ts"}},"match":"\\\\s*(<)\\\\s*(const)\\\\s*(>)","name":"cast.expr.ts"},{"begin":"(?:(?<!\\\\+\\\\+|--)(?<=^return|[^\\\\._$0-9A-Za-z]return|^throw|[^\\\\._$0-9A-Za-z]throw|^yield|[^\\\\._$0-9A-Za-z]yield|^await|[^\\\\._$0-9A-Za-z]await|^default|[^\\\\._$0-9A-Za-z]default|[=(,:>*?\\\\&\\\\|\\\\^]|[^_$0-9A-Za-z](?:\\\\+\\\\+|--)|[^+]\\\\+|[^\\\\-]-))\\\\s*(<)(?!<?=)(?!\\\\s*$)","beginCaptures":{"1":{"name":"meta.brace.angle.ts"}},"end":"(>)","endCaptures":{"1":{"name":"meta.brace.angle.ts"}},"name":"cast.expr.ts","patterns":[{"include":"#type"}]},{"begin":"(?:(?<=^))\\\\s*(<)(?=[_$A-Za-z][_$0-9A-Za-z]*\\\\s*>)","beginCaptures":{"1":{"name":"meta.brace.angle.ts"}},"end":"(>)","endCaptures":{"1":{"name":"meta.brace.angle.ts"}},"name":"cast.expr.ts","patterns":[{"include":"#type"}]}]},"class-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(?:(abstract)\\\\s+)?\\\\b(class)\\\\b(?=\\\\s+|/[/*])","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.modifier.ts"},"4":{"name":"storage.type.class.ts"}},"end":"(?<=\\\\})","name":"meta.class.ts","patterns":[{"include":"#class-declaration-or-expression-patterns"}]},"class-declaration-or-expression-patterns":{"patterns":[{"include":"#comment"},{"include":"#class-or-interface-heritage"},{"captures":{"0":{"name":"entity.name.type.class.ts"}},"match":"[_$A-Za-z][_$0-9A-Za-z]*"},{"include":"#type-parameters"},{"include":"#class-or-interface-body"}]},"class-expression":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(abstract)\\\\s+)?(class)\\\\b(?=\\\\s+|[<{]|\\\\/[\\\\/*])","beginCaptures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"storage.type.class.ts"}},"end":"(?<=\\\\})","name":"meta.class.ts","patterns":[{"include":"#class-declaration-or-expression-patterns"}]},"class-or-interface-body":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"patterns":[{"include":"#comment"},{"include":"#decorator"},{"begin":"(?<=:)\\\\s*","end":"(?=\\\\s|[;),}\\\\]:\\\\-+]|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#expression"}]},{"include":"#method-declaration"},{"include":"#indexer-declaration"},{"include":"#field-declaration"},{"include":"#string"},{"include":"#type-annotation"},{"include":"#variable-initializer"},{"include":"#access-modifier"},{"include":"#property-accessor"},{"include":"#async-modifier"},{"include":"#after-operator-block-as-object-literal"},{"include":"#decl-block"},{"include":"#expression"},{"include":"#punctuation-comma"},{"include":"#punctuation-semicolon"}]},"class-or-interface-heritage":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(extends|implements)\\\\b)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"storage.modifier.ts"}},"end":"(?=\\\\{)","patterns":[{"include":"#comment"},{"include":"#class-or-interface-heritage"},{"include":"#type-parameters"},{"include":"#expressionWithoutIdentifiers"},{"captures":{"1":{"name":"entity.name.type.module.ts"},"2":{"name":"punctuation.accessor.ts"},"3":{"name":"punctuation.accessor.optional.ts"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))(?=\\\\s*[_$A-Za-z][_$0-9A-Za-z]*(\\\\s*\\\\??\\\\.\\\\s*[_$A-Za-z][_$0-9A-Za-z]*)*\\\\s*)"},{"captures":{"1":{"name":"entity.other.inherited-class.ts"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)"},{"include":"#expressionPunctuations"}]},"comment":{"patterns":[{"begin":"/\\\\*\\\\*(?!/)","beginCaptures":{"0":{"name":"punctuation.definition.comment.ts"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.ts"}},"name":"comment.block.documentation.ts","patterns":[{"include":"#docblock"}]},{"begin":"(/\\\\*)(?:\\\\s*((@)internal)(?=\\\\s|(\\\\*/)))?","beginCaptures":{"1":{"name":"punctuation.definition.comment.ts"},"2":{"name":"storage.type.internaldeclaration.ts"},"3":{"name":"punctuation.decorator.internaldeclaration.ts"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.ts"}},"name":"comment.block.ts"},{"begin":"(^[ \\\\t]+)?((//)(?:\\\\s*((@)internal)(?=\\\\s|$))?)","beginCaptures":{"1":{"name":"punctuation.whitespace.comment.leading.ts"},"2":{"name":"comment.line.double-slash.ts"},"3":{"name":"punctuation.definition.comment.ts"},"4":{"name":"storage.type.internaldeclaration.ts"},"5":{"name":"punctuation.decorator.internaldeclaration.ts"}},"contentName":"comment.line.double-slash.ts","end":"(?=$)"}]},"control-statement":{"patterns":[{"include":"#switch-statement"},{"include":"#for-loop"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(catch|finally|throw|try)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.trycatch.ts"},{"captures":{"1":{"name":"keyword.control.loop.ts"},"2":{"name":"entity.name.label.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(break|continue|goto)\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(break|continue|do|goto|while)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.loop.ts"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(return)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"0":{"name":"keyword.control.flow.ts"}},"end":"(?=[;}]|$|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#expression"}]},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(case|default|switch)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.switch.ts"},{"include":"#if-statement"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(else|if)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.conditional.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(with)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.with.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(package)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(debugger)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.other.debugger.ts"}]},"decl-block":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"name":"meta.block.ts","patterns":[{"include":"#statements"}]},"declaration":{"patterns":[{"include":"#decorator"},{"include":"#var-expr"},{"include":"#function-declaration"},{"include":"#class-declaration"},{"include":"#interface-declaration"},{"include":"#enum-declaration"},{"include":"#namespace-declaration"},{"include":"#type-alias-declaration"},{"include":"#import-equals-declaration"},{"include":"#import-declaration"},{"include":"#export-declaration"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(declare|export)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.ts"}]},"decorator":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))\\\\@","beginCaptures":{"0":{"name":"punctuation.decorator.ts"}},"end":"(?=\\\\s)","name":"meta.decorator.ts","patterns":[{"include":"#expression"}]},"destructuring-const":{"patterns":[{"begin":"(?<!=|:|^of|[^\\\\._$0-9A-Za-z]of|^in|[^\\\\._$0-9A-Za-z]in)\\\\s*(?=\\\\{)","end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","name":"meta.object-binding-pattern-variable.ts","patterns":[{"include":"#object-binding-pattern-const"},{"include":"#type-annotation"},{"include":"#comment"}]},{"begin":"(?<!=|:|^of|[^\\\\._$0-9A-Za-z]of|^in|[^\\\\._$0-9A-Za-z]in)\\\\s*(?=\\\\[)","end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","name":"meta.array-binding-pattern-variable.ts","patterns":[{"include":"#array-binding-pattern-const"},{"include":"#type-annotation"},{"include":"#comment"}]}]},"destructuring-parameter":{"patterns":[{"begin":"(?<!=|:)\\\\s*(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\{)","beginCaptures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"punctuation.definition.binding-pattern.object.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.object.ts"}},"name":"meta.parameter.object-binding-pattern.ts","patterns":[{"include":"#parameter-object-binding-element"}]},{"begin":"(?<!=|:)\\\\s*(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\[)","beginCaptures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"punctuation.definition.binding-pattern.array.ts"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.array.ts"}},"name":"meta.paramter.array-binding-pattern.ts","patterns":[{"include":"#parameter-binding-element"},{"include":"#punctuation-comma"}]}]},"destructuring-parameter-rest":{"captures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"variable.parameter.ts"}},"match":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?([_$A-Za-z][_$0-9A-Za-z]*)"},"destructuring-variable":{"patterns":[{"begin":"(?<!=|:|^of|[^\\\\._$0-9A-Za-z]of|^in|[^\\\\._$0-9A-Za-z]in)\\\\s*(?=\\\\{)","end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","name":"meta.object-binding-pattern-variable.ts","patterns":[{"include":"#object-binding-pattern"},{"include":"#type-annotation"},{"include":"#comment"}]},{"begin":"(?<!=|:|^of|[^\\\\._$0-9A-Za-z]of|^in|[^\\\\._$0-9A-Za-z]in)\\\\s*(?=\\\\[)","end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","name":"meta.array-binding-pattern-variable.ts","patterns":[{"include":"#array-binding-pattern"},{"include":"#type-annotation"},{"include":"#comment"}]}]},"destructuring-variable-rest":{"captures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"meta.definition.variable.ts variable.other.readwrite.ts"}},"match":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?([_$A-Za-z][_$0-9A-Za-z]*)"},"destructuring-variable-rest-const":{"captures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"meta.definition.variable.ts variable.other.constant.ts"}},"match":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?([_$A-Za-z][_$0-9A-Za-z]*)"},"directives":{"begin":"^(///)\\\\s*(?=<(reference|amd-dependency|amd-module)(\\\\s+(path|types|no-default-lib|lib|name|resolution-mode)\\\\s*=\\\\s*((\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)))+\\\\s*/>\\\\s*$)","beginCaptures":{"1":{"name":"punctuation.definition.comment.ts"}},"end":"(?=$)","name":"comment.line.triple-slash.directive.ts","patterns":[{"begin":"(<)(reference|amd-dependency|amd-module)","beginCaptures":{"1":{"name":"punctuation.definition.tag.directive.ts"},"2":{"name":"entity.name.tag.directive.ts"}},"end":"/>","endCaptures":{"0":{"name":"punctuation.definition.tag.directive.ts"}},"name":"meta.tag.ts","patterns":[{"match":"path|types|no-default-lib|lib|name|resolution-mode","name":"entity.other.attribute-name.directive.ts"},{"match":"=","name":"keyword.operator.assignment.ts"},{"include":"#string"}]}]},"docblock":{"patterns":[{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"constant.language.access-type.jsdoc"}},"match":"((@)(?:access|api))\\\\s+(private|protected|public)\\\\b"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"entity.name.type.instance.jsdoc"},"4":{"name":"punctuation.definition.bracket.angle.begin.jsdoc"},"5":{"name":"constant.other.email.link.underline.jsdoc"},"6":{"name":"punctuation.definition.bracket.angle.end.jsdoc"}},"match":"((@)author)\\\\s+([^@\\\\s<>*/](?:[^@<>*/]|\\\\*[^/])*)(?:\\\\s*(<)([^>\\\\s]+)(>))?"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"entity.name.type.instance.jsdoc"},"4":{"name":"keyword.operator.control.jsdoc"},"5":{"name":"entity.name.type.instance.jsdoc"}},"match":"((@)borrows)\\\\s+((?:[^@\\\\s*/]|\\\\*[^/])+)\\\\s+(as)\\\\s+((?:[^@\\\\s*/]|\\\\*[^/])+)"},{"begin":"((@)example)\\\\s+","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=@|\\\\*/)","name":"meta.example.jsdoc","patterns":[{"match":"^\\\\s\\\\*\\\\s+"},{"begin":"\\\\G(<)caption(>)","beginCaptures":{"0":{"name":"entity.name.tag.inline.jsdoc"},"1":{"name":"punctuation.definition.bracket.angle.begin.jsdoc"},"2":{"name":"punctuation.definition.bracket.angle.end.jsdoc"}},"contentName":"constant.other.description.jsdoc","end":"(</)caption(>)|(?=\\\\*/)","endCaptures":{"0":{"name":"entity.name.tag.inline.jsdoc"},"1":{"name":"punctuation.definition.bracket.angle.begin.jsdoc"},"2":{"name":"punctuation.definition.bracket.angle.end.jsdoc"}}},{"captures":{"0":{"name":"source.embedded.ts"}},"match":"[^\\\\s@*](?:[^*]|\\\\*[^/])*"}]},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"constant.language.symbol-type.jsdoc"}},"match":"((@)kind)\\\\s+(class|constant|event|external|file|function|member|mixin|module|namespace|typedef)\\\\b"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.link.underline.jsdoc"},"4":{"name":"entity.name.type.instance.jsdoc"}},"match":"((@)see)\\\\s+(?:((?=https?://)(?:[^\\\\s*]|\\\\*[^/])+)|((?!https?://|(?:\\\\[[^\\\\[\\\\]]*\\\\])?{@(?:link|linkcode|linkplain|tutorial)\\\\b)(?:[^@\\\\s*/]|\\\\*[^/])+))"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.jsdoc"}},"match":"((@)template)\\\\s+([A-Za-z_$][\\\\w$.\\\\[\\\\]]*(?:\\\\s*,\\\\s*[A-Za-z_$][\\\\w$.\\\\[\\\\]]*)*)"},{"begin":"((@)template)\\\\s+(?={)","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=\\\\s|\\\\*/|[^{}\\\\[\\\\]A-Za-z_$])","patterns":[{"include":"#jsdoctype"},{"match":"([A-Za-z_$][\\\\w$.\\\\[\\\\]]*)","name":"variable.other.jsdoc"}]},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.jsdoc"}},"match":"((@)(?:arg|argument|const|constant|member|namespace|param|var))\\\\s+([A-Za-z_$][\\\\w$.\\\\[\\\\]]*)"},{"begin":"((@)typedef)\\\\s+(?={)","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=\\\\s|\\\\*/|[^{}\\\\[\\\\]A-Za-z_$])","patterns":[{"include":"#jsdoctype"},{"match":"(?:[^@\\\\s*/]|\\\\*[^/])+","name":"entity.name.type.instance.jsdoc"}]},{"begin":"((@)(?:arg|argument|const|constant|member|namespace|param|prop|property|var))\\\\s+(?={)","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=\\\\s|\\\\*/|[^{}\\\\[\\\\]A-Za-z_$])","patterns":[{"include":"#jsdoctype"},{"match":"([A-Za-z_$][\\\\w$.\\\\[\\\\]]*)","name":"variable.other.jsdoc"},{"captures":{"1":{"name":"punctuation.definition.optional-value.begin.bracket.square.jsdoc"},"2":{"name":"keyword.operator.assignment.jsdoc"},"3":{"name":"source.embedded.ts"},"4":{"name":"punctuation.definition.optional-value.end.bracket.square.jsdoc"},"5":{"name":"invalid.illegal.syntax.jsdoc"}},"match":"(\\\\[)\\\\s*[\\\\w$]+(?:(?:\\\\[\\\\])?\\\\.[\\\\w$]+)*(?:\\\\s*(=)\\\\s*((?>\\"(?:(?:\\\\*(?!/))|(?:\\\\\\\\(?!\\"))|[^*\\\\\\\\])*?\\"|\'(?:(?:\\\\*(?!/))|(?:\\\\\\\\(?!\'))|[^*\\\\\\\\])*?\'|\\\\[(?:(?:\\\\*(?!/))|[^*])*?\\\\]|(?:(?:\\\\*(?!/))|\\\\s(?!\\\\s*\\\\])|\\\\[.*?(?:\\\\]|(?=\\\\*/))|[^*\\\\s\\\\[\\\\]])*)*))?\\\\s*(?:(\\\\])((?:[^*\\\\s]|\\\\*[^\\\\s/])+)?|(?=\\\\*/))","name":"variable.other.jsdoc"}]},{"begin":"((@)(?:define|enum|exception|export|extends|lends|implements|modifies|namespace|private|protected|returns?|satisfies|suppress|this|throws|type|yields?))\\\\s+(?={)","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"end":"(?=\\\\s|\\\\*/|[^{}\\\\[\\\\]A-Za-z_$])","patterns":[{"include":"#jsdoctype"}]},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"entity.name.type.instance.jsdoc"}},"match":"((@)(?:alias|augments|callback|constructs|emits|event|fires|exports?|extends|external|function|func|host|lends|listens|interface|memberof!?|method|module|mixes|mixin|name|requires|see|this|typedef|uses))\\\\s+((?:[^{}@\\\\s*]|\\\\*[^/])+)"},{"begin":"((@)(?:default(?:value)?|license|version))\\\\s+(([\'\'\\"]))","beginCaptures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.jsdoc"},"4":{"name":"punctuation.definition.string.begin.jsdoc"}},"contentName":"variable.other.jsdoc","end":"(\\\\3)|(?=$|\\\\*/)","endCaptures":{"0":{"name":"variable.other.jsdoc"},"1":{"name":"punctuation.definition.string.end.jsdoc"}}},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"},"3":{"name":"variable.other.jsdoc"}},"match":"((@)(?:default(?:value)?|license|tutorial|variation|version))\\\\s+([^\\\\s*]+)"},{"captures":{"1":{"name":"punctuation.definition.block.tag.jsdoc"}},"match":"(@)(?:abstract|access|alias|api|arg|argument|async|attribute|augments|author|beta|borrows|bubbles|callback|chainable|class|classdesc|code|config|const|constant|constructor|constructs|copyright|default|defaultvalue|define|deprecated|desc|description|dict|emits|enum|event|example|exception|exports?|extends|extension(?:_?for)?|external|externs|file|fileoverview|final|fires|for|func|function|generator|global|hideconstructor|host|ignore|implements|implicitCast|inherit[Dd]oc|inner|instance|interface|internal|kind|lends|license|listens|main|member|memberof!?|method|mixes|mixins?|modifies|module|name|namespace|noalias|nocollapse|nocompile|nosideeffects|override|overview|package|param|polymer(?:Behavior)?|preserve|private|prop|property|protected|public|read[Oo]nly|record|require[ds]|returns?|see|since|static|struct|submodule|summary|suppress|template|this|throws|todo|tutorial|type|typedef|unrestricted|uses|var|variation|version|virtual|writeOnce|yields?)\\\\b","name":"storage.type.class.jsdoc"},{"include":"#inline-tags"},{"captures":{"1":{"name":"storage.type.class.jsdoc"},"2":{"name":"punctuation.definition.block.tag.jsdoc"}},"match":"((@)(?:[_$A-Za-z][_$0-9A-Za-z]*))(?=\\\\s+)"}]},"enum-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?(?:\\\\b(const)\\\\s+)?\\\\b(enum)\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.modifier.ts"},"4":{"name":"storage.type.enum.ts"},"5":{"name":"entity.name.type.enum.ts"}},"end":"(?<=\\\\})","name":"meta.enum.declaration.ts","patterns":[{"include":"#comment"},{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"patterns":[{"include":"#comment"},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)","beginCaptures":{"0":{"name":"variable.other.enummember.ts"}},"end":"(?=,|\\\\}|$)","patterns":[{"include":"#comment"},{"include":"#variable-initializer"}]},{"begin":"(?=((\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\])))","end":"(?=,|\\\\}|$)","patterns":[{"include":"#string"},{"include":"#array-literal"},{"include":"#comment"},{"include":"#variable-initializer"}]},{"include":"#punctuation-comma"}]}]},"export-declaration":{"patterns":[{"captures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"keyword.control.as.ts"},"3":{"name":"storage.type.namespace.ts"},"4":{"name":"entity.name.type.module.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(export)\\\\s+(as)\\\\s+(namespace)\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(export)(?:\\\\s+(type))?(?:(?:\\\\s*(=))|(?:\\\\s+(default)(?=\\\\s+)))","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"keyword.control.type.ts"},"3":{"name":"keyword.operator.assignment.ts"},"4":{"name":"keyword.control.default.ts"}},"end":"(?=$|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","name":"meta.export.default.ts","patterns":[{"include":"#interface-declaration"},{"include":"#expression"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(export)(?:\\\\s+(type))?\\\\b(?!(\\\\$)|(\\\\s*:))((?=\\\\s*[{*])|((?=\\\\s*[_$A-Za-z][_$0-9A-Za-z]*(\\\\s|,))(?!\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"keyword.control.type.ts"}},"end":"(?=$|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","name":"meta.export.ts","patterns":[{"include":"#import-export-declaration"}]}]},"expression":{"patterns":[{"include":"#expressionWithoutIdentifiers"},{"include":"#identifiers"},{"include":"#expressionPunctuations"}]},"expression-inside-possibly-arrow-parens":{"patterns":[{"include":"#expressionWithoutIdentifiers"},{"include":"#comment"},{"include":"#string"},{"include":"#decorator"},{"include":"#destructuring-parameter"},{"captures":{"1":{"name":"storage.modifier.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|protected|private|readonly)\\\\s+(?=(override|public|protected|private|readonly)\\\\s+)"},{"captures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"keyword.operator.rest.ts"},"3":{"name":"entity.name.function.ts variable.language.this.ts"},"4":{"name":"entity.name.function.ts"},"5":{"name":"keyword.operator.optional.ts"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*(\\\\??)(?=\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))"},{"captures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"keyword.operator.rest.ts"},"3":{"name":"variable.parameter.ts variable.language.this.ts"},"4":{"name":"variable.parameter.ts"},"5":{"name":"keyword.operator.optional.ts"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*(\\\\??)(?=\\\\s*[:,]|$)"},{"include":"#type-annotation"},{"include":"#variable-initializer"},{"match":",","name":"punctuation.separator.parameter.ts"},{"include":"#identifiers"},{"include":"#expressionPunctuations"}]},"expression-operators":{"patterns":[{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(await)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.control.flow.ts"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(yield)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?=\\\\s*\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*\\\\*)","beginCaptures":{"1":{"name":"keyword.control.flow.ts"}},"end":"\\\\*","endCaptures":{"0":{"name":"keyword.generator.asterisk.ts"}},"patterns":[{"include":"#comment"}]},{"captures":{"1":{"name":"keyword.control.flow.ts"},"2":{"name":"keyword.generator.asterisk.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(yield)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?:\\\\s*(\\\\*))?"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))delete(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.delete.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))in(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?!\\\\()","name":"keyword.operator.expression.in.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))of(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?!\\\\()","name":"keyword.operator.expression.of.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))instanceof(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.instanceof.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))new(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.new.ts"},{"include":"#typeof-operator"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))void(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.void.ts"},{"captures":{"1":{"name":"keyword.control.as.ts"},"2":{"name":"storage.modifier.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as)\\\\s+(const)(?=\\\\s*($|[;,:})\\\\]]))"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(as)|(satisfies))\\\\s+","beginCaptures":{"1":{"name":"keyword.control.as.ts"},"2":{"name":"keyword.control.satisfies.ts"}},"end":"(?=^|[;),}\\\\]:?\\\\-+>]|\\\\|\\\\||\\\\&\\\\&|!==|$|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as|satisfies)\\\\s+)|(\\\\s+<))","patterns":[{"include":"#type"}]},{"match":"\\\\.\\\\.\\\\.","name":"keyword.operator.spread.ts"},{"match":"\\\\*=|(?<!\\\\()/=|%=|\\\\+=|-=","name":"keyword.operator.assignment.compound.ts"},{"match":"\\\\&=|\\\\^=|<<=|>>=|>>>=|\\\\|=","name":"keyword.operator.assignment.compound.bitwise.ts"},{"match":"<<|>>>|>>","name":"keyword.operator.bitwise.shift.ts"},{"match":"===|!==|==|!=","name":"keyword.operator.comparison.ts"},{"match":"<=|>=|<>|<|>","name":"keyword.operator.relational.ts"},{"captures":{"1":{"name":"keyword.operator.logical.ts"},"2":{"name":"keyword.operator.assignment.compound.ts"},"3":{"name":"keyword.operator.arithmetic.ts"}},"match":"(?<=[_$0-9A-Za-z])(!)\\\\s*(?:(/=)|(?:(/)(?![/*])))"},{"match":"!|&&|\\\\|\\\\||\\\\?\\\\?","name":"keyword.operator.logical.ts"},{"match":"\\\\&|~|\\\\^|\\\\|","name":"keyword.operator.bitwise.ts"},{"match":"=","name":"keyword.operator.assignment.ts"},{"match":"--","name":"keyword.operator.decrement.ts"},{"match":"\\\\+\\\\+","name":"keyword.operator.increment.ts"},{"match":"%|\\\\*|/|-|\\\\+","name":"keyword.operator.arithmetic.ts"},{"begin":"(?<=[_$0-9A-Za-z)\\\\]])\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)+(?:(/=)|(?:(/)(?![/*]))))","end":"(?:(/=)|(?:(/)(?!\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/)))","endCaptures":{"1":{"name":"keyword.operator.assignment.compound.ts"},"2":{"name":"keyword.operator.arithmetic.ts"}},"patterns":[{"include":"#comment"}]},{"captures":{"1":{"name":"keyword.operator.assignment.compound.ts"},"2":{"name":"keyword.operator.arithmetic.ts"}},"match":"(?<=[_$0-9A-Za-z)\\\\]])\\\\s*(?:(/=)|(?:(/)(?![/*])))"}]},"expressionPunctuations":{"patterns":[{"include":"#punctuation-comma"},{"include":"#punctuation-accessor"}]},"expressionWithoutIdentifiers":{"patterns":[{"include":"#string"},{"include":"#regex"},{"include":"#comment"},{"include":"#function-expression"},{"include":"#class-expression"},{"include":"#arrow-function"},{"include":"#paren-expression-possibly-arrow"},{"include":"#cast"},{"include":"#ternary-expression"},{"include":"#new-expr"},{"include":"#instanceof-expr"},{"include":"#object-literal"},{"include":"#expression-operators"},{"include":"#function-call"},{"include":"#literal"},{"include":"#support-objects"},{"include":"#paren-expression"}]},"field-declaration":{"begin":"(?<!\\\\()(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(readonly)\\\\s+)?(?=\\\\s*((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(?:(?:(\\\\?)|(!))\\\\s*)?(=|:|;|,|\\\\}|$))","beginCaptures":{"1":{"name":"storage.modifier.ts"}},"end":"(?=\\\\}|;|,|$|(^(?!\\\\s*((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(?:(?:(\\\\?)|(!))\\\\s*)?(=|:|;|,|$))))|(?<=\\\\})","name":"meta.field.declaration.ts","patterns":[{"include":"#variable-initializer"},{"include":"#type-annotation"},{"include":"#string"},{"include":"#array-literal"},{"include":"#numeric-literal"},{"include":"#comment"},{"captures":{"1":{"name":"meta.definition.property.ts entity.name.function.ts"},"2":{"name":"keyword.operator.optional.ts"},"3":{"name":"keyword.operator.definiteassignment.ts"}},"match":"(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)(?:(\\\\?)|(!))?(?=\\\\s*\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))"},{"match":"\\\\#?[_$A-Za-z][_$0-9A-Za-z]*","name":"meta.definition.property.ts variable.object.property.ts"},{"match":"\\\\?","name":"keyword.operator.optional.ts"},{"match":"!","name":"keyword.operator.definiteassignment.ts"}]},"for-loop":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))for(?=((\\\\s+|(\\\\s*\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*))await)?\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)?(\\\\())","beginCaptures":{"0":{"name":"keyword.control.loop.ts"}},"end":"(?<=\\\\))","patterns":[{"include":"#comment"},{"match":"await","name":"keyword.control.loop.ts"},{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"patterns":[{"include":"#var-expr"},{"include":"#expression"},{"include":"#punctuation-semicolon"}]}]},"function-body":{"patterns":[{"include":"#comment"},{"include":"#type-parameters"},{"include":"#function-parameters"},{"include":"#return-type"},{"include":"#type-function-return-type"},{"include":"#decl-block"},{"match":"\\\\*","name":"keyword.generator.asterisk.ts"}]},"function-call":{"patterns":[{"begin":"(?=(((([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))|(?<=[)]))\\\\s*(?:(\\\\?\\\\.\\\\s*)|(!))?((<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?\\\\())","end":"(?<=\\\\))(?!(((([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))|(?<=[)]))\\\\s*(?:(\\\\?\\\\.\\\\s*)|(!))?((<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?\\\\())","patterns":[{"begin":"(?=(([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))","end":"(?=\\\\s*(?:(\\\\?\\\\.\\\\s*)|(!))?((<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?\\\\())","name":"meta.function-call.ts","patterns":[{"include":"#function-call-target"}]},{"include":"#comment"},{"include":"#function-call-optionals"},{"include":"#type-arguments"},{"include":"#paren-expression"}]},{"begin":"(?=(((([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))|(?<=[)]))(<\\\\s*[{\\\\[(]\\\\s*$))","end":"(?<=>)(?!(((([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))|(?<=[)]))(<\\\\s*[{\\\\[(]\\\\s*$))","patterns":[{"begin":"(?=(([_$A-Za-z][_$0-9A-Za-z]*)(\\\\s*\\\\??\\\\.\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))*)|(\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))","end":"(?=(<\\\\s*[{\\\\[(]\\\\s*$))","name":"meta.function-call.ts","patterns":[{"include":"#function-call-target"}]},{"include":"#comment"},{"include":"#function-call-optionals"},{"include":"#type-arguments"}]}]},"function-call-optionals":{"patterns":[{"match":"\\\\?\\\\.","name":"meta.function-call.ts punctuation.accessor.optional.ts"},{"match":"!","name":"meta.function-call.ts keyword.operator.definiteassignment.ts"}]},"function-call-target":{"patterns":[{"include":"#support-function-call-identifiers"},{"match":"(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)","name":"entity.name.function.ts"}]},"function-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?(?:(async)\\\\s+)?(function\\\\b)(?:\\\\s*(\\\\*))?(?:(?:\\\\s+|(?<=\\\\*))([_$A-Za-z][_$0-9A-Za-z]*))?\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.modifier.async.ts"},"4":{"name":"storage.type.function.ts"},"5":{"name":"keyword.generator.asterisk.ts"},"6":{"name":"meta.definition.function.ts entity.name.function.ts"}},"end":"(?=;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))|(?<=\\\\})","name":"meta.function.ts","patterns":[{"include":"#function-name"},{"include":"#function-body"}]},"function-expression":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(async)\\\\s+)?(function\\\\b)(?:\\\\s*(\\\\*))?(?:(?:\\\\s+|(?<=\\\\*))([_$A-Za-z][_$0-9A-Za-z]*))?\\\\s*","beginCaptures":{"1":{"name":"storage.modifier.async.ts"},"2":{"name":"storage.type.function.ts"},"3":{"name":"keyword.generator.asterisk.ts"},"4":{"name":"meta.definition.function.ts entity.name.function.ts"}},"end":"(?=;)|(?<=\\\\})","name":"meta.function.expression.ts","patterns":[{"include":"#function-name"},{"include":"#single-line-comment-consuming-line-ending"},{"include":"#function-body"}]},"function-name":{"match":"[_$A-Za-z][_$0-9A-Za-z]*","name":"meta.definition.function.ts entity.name.function.ts"},"function-parameters":{"begin":"\\\\(","beginCaptures":{"0":{"name":"punctuation.definition.parameters.begin.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.definition.parameters.end.ts"}},"name":"meta.parameters.ts","patterns":[{"include":"#function-parameters-body"}]},"function-parameters-body":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#decorator"},{"include":"#destructuring-parameter"},{"include":"#parameter-name"},{"include":"#parameter-type-annotation"},{"include":"#variable-initializer"},{"match":",","name":"punctuation.separator.parameter.ts"}]},"identifiers":{"patterns":[{"include":"#object-identifiers"},{"captures":{"1":{"name":"punctuation.accessor.ts"},"2":{"name":"punctuation.accessor.optional.ts"},"3":{"name":"entity.name.function.ts"}},"match":"(?:(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*)?([_$A-Za-z][_$0-9A-Za-z]*)(?=\\\\s*=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))"},{"captures":{"1":{"name":"punctuation.accessor.ts"},"2":{"name":"punctuation.accessor.optional.ts"},"3":{"name":"variable.other.constant.property.ts"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(\\\\#?[A-Z][_$\\\\dA-Z]*)(?![_$0-9A-Za-z])"},{"captures":{"1":{"name":"punctuation.accessor.ts"},"2":{"name":"punctuation.accessor.optional.ts"},"3":{"name":"variable.other.property.ts"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)"},{"match":"([A-Z][_$\\\\dA-Z]*)(?![_$0-9A-Za-z])","name":"variable.other.constant.ts"},{"match":"[_$A-Za-z][_$0-9A-Za-z]*","name":"variable.other.readwrite.ts"}]},"if-statement":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?=\\\\bif\\\\s*(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))\\\\s*(?!\\\\{))","end":"(?=;|$|\\\\})","patterns":[{"include":"#comment"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(if)\\\\s*(\\\\()","beginCaptures":{"1":{"name":"keyword.control.conditional.ts"},"2":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"patterns":[{"include":"#expression"}]},{"begin":"(?<=\\\\))\\\\s*\\\\/(?![\\\\/*])(?=(?:[^\\\\/\\\\\\\\\\\\[]|\\\\\\\\.|\\\\[([^\\\\]\\\\\\\\]|\\\\\\\\.)*\\\\])+\\\\/([dgimsuvy]+|(?![\\\\/\\\\*])|(?=\\\\/\\\\*))(?!\\\\s*[a-zA-Z0-9_$]))","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.ts"}},"end":"(/)([dgimsuvy]*)","endCaptures":{"1":{"name":"punctuation.definition.string.end.ts"},"2":{"name":"keyword.other.ts"}},"name":"string.regexp.ts","patterns":[{"include":"#regexp"}]},{"include":"#statements"}]}]},"import-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(import)(?:\\\\s+(type)(?!\\\\s+from))?(?!\\\\s*[:(])(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"keyword.control.import.ts"},"4":{"name":"keyword.control.type.ts"}},"end":"(?<!^import|[^\\\\._$0-9A-Za-z]import)(?=;|$|^)","name":"meta.import.ts","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"include":"#string"},{"begin":"(?<=^import|[^\\\\._$0-9A-Za-z]import)(?!\\\\s*[\\"\'])","end":"\\\\bfrom\\\\b","endCaptures":{"0":{"name":"keyword.control.from.ts"}},"patterns":[{"include":"#import-export-declaration"}]},{"include":"#import-export-declaration"}]},"import-equals-declaration":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(import)(?:\\\\s+(type))?\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(=)\\\\s*(require)\\\\s*(\\\\()","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"keyword.control.import.ts"},"4":{"name":"keyword.control.type.ts"},"5":{"name":"variable.other.readwrite.alias.ts"},"6":{"name":"keyword.operator.assignment.ts"},"7":{"name":"keyword.control.require.ts"},"8":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"name":"meta.import-equals.external.ts","patterns":[{"include":"#comment"},{"include":"#string"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(import)(?:\\\\s+(type))?\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(=)\\\\s*(?!require\\\\b)","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"keyword.control.import.ts"},"4":{"name":"keyword.control.type.ts"},"5":{"name":"variable.other.readwrite.alias.ts"},"6":{"name":"keyword.operator.assignment.ts"}},"end":"(?=;|$|^)","name":"meta.import-equals.internal.ts","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"captures":{"1":{"name":"entity.name.type.module.ts"},"2":{"name":"punctuation.accessor.ts"},"3":{"name":"punctuation.accessor.optional.ts"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))"},{"match":"([_$A-Za-z][_$0-9A-Za-z]*)","name":"variable.other.readwrite.ts"}]}]},"import-export-assert-clause":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(with)|(assert))\\\\s*(\\\\{)","beginCaptures":{"1":{"name":"keyword.control.with.ts"},"2":{"name":"keyword.control.assert.ts"},"3":{"name":"punctuation.definition.block.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"patterns":[{"include":"#comment"},{"include":"#string"},{"match":"(?:[_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*:)","name":"meta.object-literal.key.ts"},{"match":":","name":"punctuation.separator.key-value.ts"}]},"import-export-block":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"name":"meta.block.ts","patterns":[{"include":"#import-export-clause"}]},"import-export-clause":{"patterns":[{"include":"#comment"},{"captures":{"1":{"name":"keyword.control.type.ts"},"2":{"name":"keyword.control.default.ts"},"3":{"name":"constant.language.import-export-all.ts"},"4":{"name":"variable.other.readwrite.ts"},"5":{"name":"string.quoted.alias.ts"},"12":{"name":"keyword.control.as.ts"},"13":{"name":"keyword.control.default.ts"},"14":{"name":"variable.other.readwrite.alias.ts"},"15":{"name":"string.quoted.alias.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(?:(\\\\btype)\\\\s+)?(?:(\\\\bdefault)|(\\\\*)|(\\\\b[_$A-Za-z][_$0-9A-Za-z]*)|((\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))))\\\\s+(as)\\\\s+(?:(default(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|([_$A-Za-z][_$0-9A-Za-z]*)|((\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)))"},{"include":"#punctuation-comma"},{"match":"\\\\*","name":"constant.language.import-export-all.ts"},{"match":"\\\\b(default)\\\\b","name":"keyword.control.default.ts"},{"captures":{"1":{"name":"keyword.control.type.ts"},"2":{"name":"variable.other.readwrite.alias.ts"},"3":{"name":"string.quoted.alias.ts"}},"match":"(?:(\\\\btype)\\\\s+)?(?:([_$A-Za-z][_$0-9A-Za-z]*)|((\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)))"}]},"import-export-declaration":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#import-export-block"},{"match":"\\\\bfrom\\\\b","name":"keyword.control.from.ts"},{"include":"#import-export-assert-clause"},{"include":"#import-export-clause"}]},"indexer-declaration":{"begin":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(readonly)\\\\s*)?\\\\s*(\\\\[)\\\\s*([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=:)","beginCaptures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"meta.brace.square.ts"},"3":{"name":"variable.parameter.ts"}},"end":"(\\\\])\\\\s*(\\\\?\\\\s*)?|$","endCaptures":{"1":{"name":"meta.brace.square.ts"},"2":{"name":"keyword.operator.optional.ts"}},"name":"meta.indexer.declaration.ts","patterns":[{"include":"#type-annotation"}]},"indexer-mapped-type-declaration":{"begin":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))([+-])?(readonly)\\\\s*)?\\\\s*(\\\\[)\\\\s*([_$A-Za-z][_$0-9A-Za-z]*)\\\\s+(in)\\\\s+","beginCaptures":{"1":{"name":"keyword.operator.type.modifier.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"meta.brace.square.ts"},"4":{"name":"entity.name.type.ts"},"5":{"name":"keyword.operator.expression.in.ts"}},"end":"(\\\\])([+-])?\\\\s*(\\\\?\\\\s*)?|$","endCaptures":{"1":{"name":"meta.brace.square.ts"},"2":{"name":"keyword.operator.type.modifier.ts"},"3":{"name":"keyword.operator.optional.ts"}},"name":"meta.indexer.mappedtype.declaration.ts","patterns":[{"captures":{"1":{"name":"keyword.control.as.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as)\\\\s+"},{"include":"#type"}]},"inline-tags":{"patterns":[{"captures":{"1":{"name":"punctuation.definition.bracket.square.begin.jsdoc"},"2":{"name":"punctuation.definition.bracket.square.end.jsdoc"}},"match":"(\\\\[)[^\\\\]]+(\\\\])(?={@(?:link|linkcode|linkplain|tutorial))","name":"constant.other.description.jsdoc"},{"begin":"({)((@)(?:link(?:code|plain)?|tutorial))\\\\s*","beginCaptures":{"1":{"name":"punctuation.definition.bracket.curly.begin.jsdoc"},"2":{"name":"storage.type.class.jsdoc"},"3":{"name":"punctuation.definition.inline.tag.jsdoc"}},"end":"}|(?=\\\\*/)","endCaptures":{"0":{"name":"punctuation.definition.bracket.curly.end.jsdoc"}},"name":"entity.name.type.instance.jsdoc","patterns":[{"captures":{"1":{"name":"variable.other.link.underline.jsdoc"},"2":{"name":"punctuation.separator.pipe.jsdoc"}},"match":"\\\\G((?=https?://)(?:[^|}\\\\s*]|\\\\*[/])+)(\\\\|)?"},{"captures":{"1":{"name":"variable.other.description.jsdoc"},"2":{"name":"punctuation.separator.pipe.jsdoc"}},"match":"\\\\G((?:[^{}@\\\\s|*]|\\\\*[^/])+)(\\\\|)?"}]}]},"instanceof-expr":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(instanceof)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.operator.expression.instanceof.ts"}},"end":"(?<=\\\\))|(?=[;),}\\\\]:?\\\\-+>]|\\\\|\\\\||\\\\&\\\\&|!==|$|(===|!==|==|!=)|(([\\\\&\\\\~\\\\^\\\\|]\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s+instanceof(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))function((\\\\s+[_$A-Za-z][_$0-9A-Za-z]*)|(\\\\s*[(]))))","patterns":[{"include":"#type"}]},"interface-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(?:(abstract)\\\\s+)?\\\\b(interface)\\\\b(?=\\\\s+|/[/*])","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.modifier.ts"},"4":{"name":"storage.type.interface.ts"}},"end":"(?<=\\\\})","name":"meta.interface.ts","patterns":[{"include":"#comment"},{"include":"#class-or-interface-heritage"},{"captures":{"0":{"name":"entity.name.type.interface.ts"}},"match":"[_$A-Za-z][_$0-9A-Za-z]*"},{"include":"#type-parameters"},{"include":"#class-or-interface-body"}]},"jsdoctype":{"patterns":[{"begin":"\\\\G({)","beginCaptures":{"0":{"name":"entity.name.type.instance.jsdoc"},"1":{"name":"punctuation.definition.bracket.curly.begin.jsdoc"}},"contentName":"entity.name.type.instance.jsdoc","end":"((}))\\\\s*|(?=\\\\*/)","endCaptures":{"1":{"name":"entity.name.type.instance.jsdoc"},"2":{"name":"punctuation.definition.bracket.curly.end.jsdoc"}},"patterns":[{"include":"#brackets"}]}]},"label":{"patterns":[{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(:)(?=\\\\s*\\\\{)","beginCaptures":{"1":{"name":"entity.name.label.ts"},"2":{"name":"punctuation.separator.label.ts"}},"end":"(?<=\\\\})","patterns":[{"include":"#decl-block"}]},{"captures":{"1":{"name":"entity.name.label.ts"},"2":{"name":"punctuation.separator.label.ts"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(:)"}]},"literal":{"patterns":[{"include":"#numeric-literal"},{"include":"#boolean-literal"},{"include":"#null-literal"},{"include":"#undefined-literal"},{"include":"#numericConstant-literal"},{"include":"#array-literal"},{"include":"#this-literal"},{"include":"#super-literal"}]},"method-declaration":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(override)\\\\s+)?(?:\\\\b(public|private|protected)\\\\s+)?(?:\\\\b(abstract)\\\\s+)?(?:\\\\b(async)\\\\s+)?\\\\s*\\\\b(constructor)\\\\b(?!:)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.modifier.ts"},"4":{"name":"storage.modifier.async.ts"},"5":{"name":"storage.type.ts"}},"end":"(?=\\\\}|;|,|$)|(?<=\\\\})","name":"meta.method.declaration.ts","patterns":[{"include":"#method-declaration-name"},{"include":"#function-body"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(override)\\\\s+)?(?:\\\\b(public|private|protected)\\\\s+)?(?:\\\\b(abstract)\\\\s+)?(?:\\\\b(async)\\\\s+)?(?:(?:\\\\s*\\\\b(new)\\\\b(?!:)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(?:(\\\\*)\\\\s*)?)(?=\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?[(])","beginCaptures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.modifier.ts"},"4":{"name":"storage.modifier.async.ts"},"5":{"name":"keyword.operator.new.ts"},"6":{"name":"keyword.generator.asterisk.ts"}},"end":"(?=\\\\}|;|,|$)|(?<=\\\\})","name":"meta.method.declaration.ts","patterns":[{"include":"#method-declaration-name"},{"include":"#function-body"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(override)\\\\s+)?(?:\\\\b(public|private|protected)\\\\s+)?(?:\\\\b(abstract)\\\\s+)?(?:\\\\b(async)\\\\s+)?(?:\\\\b(get|set)\\\\s+)?(?:(\\\\*)\\\\s*)?(?=\\\\s*(((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(\\\\??))\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?[(])","beginCaptures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.modifier.ts"},"4":{"name":"storage.modifier.async.ts"},"5":{"name":"storage.type.property.ts"},"6":{"name":"keyword.generator.asterisk.ts"}},"end":"(?=\\\\}|;|,|$)|(?<=\\\\})","name":"meta.method.declaration.ts","patterns":[{"include":"#method-declaration-name"},{"include":"#function-body"}]}]},"method-declaration-name":{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(\\\\??)\\\\s*[(<])","end":"(?=\\\\(|<)","patterns":[{"include":"#string"},{"include":"#array-literal"},{"include":"#numeric-literal"},{"match":"[_$A-Za-z][_$0-9A-Za-z]*","name":"meta.definition.method.ts entity.name.function.ts"},{"match":"\\\\?","name":"keyword.operator.optional.ts"}]},"namespace-declaration":{"begin":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(namespace|module)\\\\s+(?=[_$A-Za-z\\"\'`]))","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.type.namespace.ts"}},"end":"(?<=\\\\})|(?=;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","name":"meta.namespace.declaration.ts","patterns":[{"include":"#comment"},{"include":"#string"},{"match":"([_$A-Za-z][_$0-9A-Za-z]*)","name":"entity.name.type.module.ts"},{"include":"#punctuation-accessor"},{"include":"#decl-block"}]},"new-expr":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(new)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.operator.new.ts"}},"end":"(?<=\\\\))|(?=[;),}\\\\]:?\\\\-+>]|\\\\|\\\\||\\\\&\\\\&|!==|$|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))new(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))function((\\\\s+[_$A-Za-z][_$0-9A-Za-z]*)|(\\\\s*[(]))))","name":"new.expr.ts","patterns":[{"include":"#expression"}]},"null-literal":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))null(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.null.ts"},"numeric-literal":{"patterns":[{"captures":{"1":{"name":"storage.type.numeric.bigint.ts"}},"match":"\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$)","name":"constant.numeric.hex.ts"},{"captures":{"1":{"name":"storage.type.numeric.bigint.ts"}},"match":"\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$)","name":"constant.numeric.binary.ts"},{"captures":{"1":{"name":"storage.type.numeric.bigint.ts"}},"match":"\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$)","name":"constant.numeric.octal.ts"},{"captures":{"0":{"name":"constant.numeric.decimal.ts"},"1":{"name":"meta.delimiter.decimal.period.ts"},"2":{"name":"storage.type.numeric.bigint.ts"},"3":{"name":"meta.delimiter.decimal.period.ts"},"4":{"name":"storage.type.numeric.bigint.ts"},"5":{"name":"meta.delimiter.decimal.period.ts"},"6":{"name":"storage.type.numeric.bigint.ts"},"7":{"name":"storage.type.numeric.bigint.ts"},"8":{"name":"meta.delimiter.decimal.period.ts"},"9":{"name":"storage.type.numeric.bigint.ts"},"10":{"name":"meta.delimiter.decimal.period.ts"},"11":{"name":"storage.type.numeric.bigint.ts"},"12":{"name":"meta.delimiter.decimal.period.ts"},"13":{"name":"storage.type.numeric.bigint.ts"},"14":{"name":"storage.type.numeric.bigint.ts"}},"match":"(?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$)"}]},"numericConstant-literal":{"patterns":[{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))NaN(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.nan.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Infinity(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.infinity.ts"}]},"object-binding-element":{"patterns":[{"include":"#comment"},{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(:))","end":"(?=,|\\\\})","patterns":[{"include":"#object-binding-element-propertyName"},{"include":"#binding-element"}]},{"include":"#object-binding-pattern"},{"include":"#destructuring-variable-rest"},{"include":"#variable-initializer"},{"include":"#punctuation-comma"}]},"object-binding-element-const":{"patterns":[{"include":"#comment"},{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(:))","end":"(?=,|\\\\})","patterns":[{"include":"#object-binding-element-propertyName"},{"include":"#binding-element-const"}]},{"include":"#object-binding-pattern-const"},{"include":"#destructuring-variable-rest-const"},{"include":"#variable-initializer"},{"include":"#punctuation-comma"}]},"object-binding-element-propertyName":{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(:))","end":"(:)","endCaptures":{"0":{"name":"punctuation.destructuring.ts"}},"patterns":[{"include":"#string"},{"include":"#array-literal"},{"include":"#numeric-literal"},{"match":"([_$A-Za-z][_$0-9A-Za-z]*)","name":"variable.object.property.ts"}]},"object-binding-pattern":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\{)","beginCaptures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"punctuation.definition.binding-pattern.object.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.object.ts"}},"patterns":[{"include":"#object-binding-element"}]},"object-binding-pattern-const":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\{)","beginCaptures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"punctuation.definition.binding-pattern.object.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.object.ts"}},"patterns":[{"include":"#object-binding-element-const"}]},"object-identifiers":{"patterns":[{"match":"([_$A-Za-z][_$0-9A-Za-z]*)(?=\\\\s*\\\\??\\\\.\\\\s*prototype\\\\b(?!\\\\$))","name":"support.class.ts"},{"captures":{"1":{"name":"punctuation.accessor.ts"},"2":{"name":"punctuation.accessor.optional.ts"},"3":{"name":"variable.other.constant.object.property.ts"},"4":{"name":"variable.other.object.property.ts"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(?:(\\\\#?[A-Z][_$\\\\dA-Z]*)|(\\\\#?[_$A-Za-z][_$0-9A-Za-z]*))(?=\\\\s*\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)"},{"captures":{"1":{"name":"variable.other.constant.object.ts"},"2":{"name":"variable.other.object.ts"}},"match":"(?:([A-Z][_$\\\\dA-Z]*)|([_$A-Za-z][_$0-9A-Za-z]*))(?=\\\\s*\\\\??\\\\.\\\\s*\\\\#?[_$A-Za-z][_$0-9A-Za-z]*)"}]},"object-literal":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"name":"meta.objectliteral.ts","patterns":[{"include":"#object-member"}]},"object-literal-method-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(async)\\\\s+)?(?:\\\\b(get|set)\\\\s+)?(?:(\\\\*)\\\\s*)?(?=\\\\s*(((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(\\\\??))\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?[(])","beginCaptures":{"1":{"name":"storage.modifier.async.ts"},"2":{"name":"storage.type.property.ts"},"3":{"name":"keyword.generator.asterisk.ts"}},"end":"(?=\\\\}|;|,)|(?<=\\\\})","name":"meta.method.declaration.ts","patterns":[{"include":"#method-declaration-name"},{"include":"#function-body"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:\\\\b(async)\\\\s+)?(?:\\\\b(get|set)\\\\s+)?(?:(\\\\*)\\\\s*)?(?=\\\\s*(((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(\\\\??))\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?[(])","beginCaptures":{"1":{"name":"storage.modifier.async.ts"},"2":{"name":"storage.type.property.ts"},"3":{"name":"keyword.generator.asterisk.ts"}},"end":"(?=\\\\(|<)","patterns":[{"include":"#method-declaration-name"}]}]},"object-member":{"patterns":[{"include":"#comment"},{"include":"#object-literal-method-declaration"},{"begin":"(?=\\\\[)","end":"(?=:)|((?<=[\\\\]])(?=\\\\s*[(<]))","name":"meta.object.member.ts meta.object-literal.key.ts","patterns":[{"include":"#comment"},{"include":"#array-literal"}]},{"begin":"(?=[\\\\\'\\\\\\"\\\\`])","end":"(?=:)|((?<=[\\\\\'\\\\\\"\\\\`])(?=((\\\\s*[(<,}])|(\\\\s+(as|satisifies)\\\\s+))))","name":"meta.object.member.ts meta.object-literal.key.ts","patterns":[{"include":"#comment"},{"include":"#string"}]},{"begin":"(?=(\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$)))","end":"(?=:)|(?=\\\\s*([(<,}])|(\\\\s+as|satisifies\\\\s+))","name":"meta.object.member.ts meta.object-literal.key.ts","patterns":[{"include":"#comment"},{"include":"#numeric-literal"}]},{"begin":"(?<=[\\\\]\\\\\'\\\\\\"\\\\`])(?=\\\\s*[(<])","end":"(?=\\\\}|;|,)|(?<=\\\\})","name":"meta.method.declaration.ts","patterns":[{"include":"#function-body"}]},{"captures":{"0":{"name":"meta.object-literal.key.ts"},"1":{"name":"constant.numeric.decimal.ts"}},"match":"(?![_$A-Za-z])([\\\\d]+)\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*:)","name":"meta.object.member.ts"},{"captures":{"0":{"name":"meta.object-literal.key.ts"},"1":{"name":"entity.name.function.ts"}},"match":"(?:([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*:(\\\\s*\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/)*\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))","name":"meta.object.member.ts"},{"captures":{"0":{"name":"meta.object-literal.key.ts"}},"match":"(?:[_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*:)","name":"meta.object.member.ts"},{"begin":"\\\\.\\\\.\\\\.","beginCaptures":{"0":{"name":"keyword.operator.spread.ts"}},"end":"(?=,|\\\\})","name":"meta.object.member.ts","patterns":[{"include":"#expression"}]},{"captures":{"1":{"name":"variable.other.readwrite.ts"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?=,|\\\\}|$|\\\\/\\\\/|\\\\/\\\\*)","name":"meta.object.member.ts"},{"captures":{"1":{"name":"keyword.control.as.ts"},"2":{"name":"storage.modifier.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as)\\\\s+(const)(?=\\\\s*([,}]|$))","name":"meta.object.member.ts"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(as)|(satisfies))\\\\s+","beginCaptures":{"1":{"name":"keyword.control.as.ts"},"2":{"name":"keyword.control.satisfies.ts"}},"end":"(?=[;),}\\\\]:?\\\\-+>]|\\\\|\\\\||\\\\&\\\\&|!==|$|^|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(as|satisifies)\\\\s+))","name":"meta.object.member.ts","patterns":[{"include":"#type"}]},{"begin":"(?=[_$A-Za-z][_$0-9A-Za-z]*\\\\s*=)","end":"(?=,|\\\\}|$|\\\\/\\\\/|\\\\/\\\\*)","name":"meta.object.member.ts","patterns":[{"include":"#expression"}]},{"begin":":","beginCaptures":{"0":{"name":"meta.object-literal.key.ts punctuation.separator.key-value.ts"}},"end":"(?=,|\\\\})","name":"meta.object.member.ts","patterns":[{"begin":"(?<=:)\\\\s*(async)?(?=\\\\s*(<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)\\\\(\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))","beginCaptures":{"1":{"name":"storage.modifier.async.ts"}},"end":"(?<=\\\\))","patterns":[{"include":"#type-parameters"},{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"patterns":[{"include":"#expression-inside-possibly-arrow-parens"}]}]},{"begin":"(?<=:)\\\\s*(async)?\\\\s*(\\\\()(?=\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))","beginCaptures":{"1":{"name":"storage.modifier.async.ts"},"2":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"patterns":[{"include":"#expression-inside-possibly-arrow-parens"}]},{"begin":"(?<=:)\\\\s*(async)?\\\\s*(?=<\\\\s*$)","beginCaptures":{"1":{"name":"storage.modifier.async.ts"}},"end":"(?<=>)","patterns":[{"include":"#type-parameters"}]},{"begin":"(?<=>)\\\\s*(\\\\()(?=\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))","beginCaptures":{"1":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"patterns":[{"include":"#expression-inside-possibly-arrow-parens"}]},{"include":"#possibly-arrow-return-type"},{"include":"#expression"}]},{"include":"#punctuation-comma"},{"include":"#decl-block"}]},"parameter-array-binding-pattern":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\[)","beginCaptures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"punctuation.definition.binding-pattern.array.ts"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.array.ts"}},"patterns":[{"include":"#parameter-binding-element"},{"include":"#punctuation-comma"}]},"parameter-binding-element":{"patterns":[{"include":"#comment"},{"include":"#string"},{"include":"#numeric-literal"},{"include":"#regex"},{"include":"#parameter-object-binding-pattern"},{"include":"#parameter-array-binding-pattern"},{"include":"#destructuring-parameter-rest"},{"include":"#variable-initializer"}]},"parameter-name":{"patterns":[{"captures":{"1":{"name":"storage.modifier.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|protected|private|readonly)\\\\s+(?=(override|public|protected|private|readonly)\\\\s+)"},{"captures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"keyword.operator.rest.ts"},"3":{"name":"entity.name.function.ts variable.language.this.ts"},"4":{"name":"entity.name.function.ts"},"5":{"name":"keyword.operator.optional.ts"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*(\\\\??)(?=\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))"},{"captures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"keyword.operator.rest.ts"},"3":{"name":"variable.parameter.ts variable.language.this.ts"},"4":{"name":"variable.parameter.ts"},"5":{"name":"keyword.operator.optional.ts"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(override|public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*(\\\\??)"}]},"parameter-object-binding-element":{"patterns":[{"include":"#comment"},{"begin":"(?=((\\\\b(?<!\\\\$)0(?:x|X)[0-9a-fA-F][0-9a-fA-F_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:b|B)[01][01_]*(n)?\\\\b(?!\\\\$))|(\\\\b(?<!\\\\$)0(?:o|O)?[0-7][0-7_]*(n)?\\\\b(?!\\\\$))|((?<!\\\\$)(?:(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\B(\\\\.)\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*[eE][+-]?\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(\\\\.)(n)?\\\\B)|(?:\\\\B(\\\\.)\\\\d[0-9_]*(n)?\\\\b)|(?:\\\\b\\\\d[0-9_]*(n)?\\\\b(?!\\\\.)))(?!\\\\$))|([_$A-Za-z][_$0-9A-Za-z]*)|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`)|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])+\\\\]))\\\\s*(:))","end":"(?=,|\\\\})","patterns":[{"include":"#object-binding-element-propertyName"},{"include":"#parameter-binding-element"},{"include":"#paren-expression"}]},{"include":"#parameter-object-binding-pattern"},{"include":"#destructuring-parameter-rest"},{"include":"#variable-initializer"},{"include":"#punctuation-comma"}]},"parameter-object-binding-pattern":{"begin":"(?:(\\\\.\\\\.\\\\.)\\\\s*)?(\\\\{)","beginCaptures":{"1":{"name":"keyword.operator.rest.ts"},"2":{"name":"punctuation.definition.binding-pattern.object.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.binding-pattern.object.ts"}},"patterns":[{"include":"#parameter-object-binding-element"}]},"parameter-type-annotation":{"patterns":[{"begin":"(:)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.ts"}},"end":"(?=[,)])|(?==[^>])","name":"meta.type.annotation.ts","patterns":[{"include":"#type"}]}]},"paren-expression":{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"patterns":[{"include":"#expression"}]},"paren-expression-possibly-arrow":{"patterns":[{"begin":"(?<=[(=,])\\\\s*(async)?(?=\\\\s*((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?\\\\(\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))","beginCaptures":{"1":{"name":"storage.modifier.async.ts"}},"end":"(?<=\\\\))","patterns":[{"include":"#paren-expression-possibly-arrow-with-typeparameters"}]},{"begin":"(?<=[(=,]|=>|^return|[^\\\\._$0-9A-Za-z]return)\\\\s*(async)?(?=\\\\s*((((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*))?\\\\()|(<)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)))\\\\s*$)","beginCaptures":{"1":{"name":"storage.modifier.async.ts"}},"end":"(?<=\\\\))","patterns":[{"include":"#paren-expression-possibly-arrow-with-typeparameters"}]},{"include":"#possibly-arrow-return-type"}]},"paren-expression-possibly-arrow-with-typeparameters":{"patterns":[{"include":"#type-parameters"},{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"patterns":[{"include":"#expression-inside-possibly-arrow-parens"}]}]},"possibly-arrow-return-type":{"begin":"(?<=\\\\)|^)\\\\s*(:)(?=\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*=>)","beginCaptures":{"1":{"name":"meta.arrow.ts meta.return.type.arrow.ts keyword.operator.type.annotation.ts"}},"contentName":"meta.arrow.ts meta.return.type.arrow.ts","end":"(?==>|\\\\{|(^\\\\s*(export|function|class|interface|let|var|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|const|import|enum|namespace|module|type|abstract|declare)\\\\s+))","patterns":[{"include":"#arrow-return-type-body"}]},"property-accessor":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(accessor|get|set)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.type.property.ts"},"punctuation-accessor":{"captures":{"1":{"name":"punctuation.accessor.ts"},"2":{"name":"punctuation.accessor.optional.ts"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))"},"punctuation-comma":{"match":",","name":"punctuation.separator.comma.ts"},"punctuation-semicolon":{"match":";","name":"punctuation.terminator.statement.ts"},"qstring-double":{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.ts"}},"end":"(\\")|((?:[^\\\\\\\\\\\\n])$)","endCaptures":{"1":{"name":"punctuation.definition.string.end.ts"},"2":{"name":"invalid.illegal.newline.ts"}},"name":"string.quoted.double.ts","patterns":[{"include":"#string-character-escape"}]},"qstring-single":{"begin":"\'","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.ts"}},"end":"(\\\\\')|((?:[^\\\\\\\\\\\\n])$)","endCaptures":{"1":{"name":"punctuation.definition.string.end.ts"},"2":{"name":"invalid.illegal.newline.ts"}},"name":"string.quoted.single.ts","patterns":[{"include":"#string-character-escape"}]},"regex":{"patterns":[{"begin":"(?<!\\\\+\\\\+|--|})(?<=[=(:,\\\\[?+!]|^return|[^\\\\._$0-9A-Za-z]return|^case|[^\\\\._$0-9A-Za-z]case|=>|&&|\\\\|\\\\||\\\\*\\\\/)\\\\s*(\\\\/)(?![\\\\/*])(?=(?:[^\\\\/\\\\\\\\\\\\[()]|\\\\\\\\.|\\\\[([^\\\\]\\\\\\\\]|\\\\\\\\.)+\\\\]|\\\\(([^)\\\\\\\\]|\\\\\\\\.)+\\\\))+\\\\/([dgimsuvy]+|(?![\\\\/\\\\*])|(?=\\\\/\\\\*))(?!\\\\s*[a-zA-Z0-9_$]))","beginCaptures":{"1":{"name":"punctuation.definition.string.begin.ts"}},"end":"(/)([dgimsuvy]*)","endCaptures":{"1":{"name":"punctuation.definition.string.end.ts"},"2":{"name":"keyword.other.ts"}},"name":"string.regexp.ts","patterns":[{"include":"#regexp"}]},{"begin":"((?<![_$0-9A-Za-z)\\\\]]|\\\\+\\\\+|--|}|\\\\*\\\\/)|((?<=^return|[^\\\\._$0-9A-Za-z]return|^case|[^\\\\._$0-9A-Za-z]case))\\\\s*)\\\\/(?![\\\\/*])(?=(?:[^\\\\/\\\\\\\\\\\\[]|\\\\\\\\.|\\\\[([^\\\\]\\\\\\\\]|\\\\\\\\.)*\\\\])+\\\\/([dgimsuvy]+|(?![\\\\/\\\\*])|(?=\\\\/\\\\*))(?!\\\\s*[a-zA-Z0-9_$]))","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.ts"}},"end":"(/)([dgimsuvy]*)","endCaptures":{"1":{"name":"punctuation.definition.string.end.ts"},"2":{"name":"keyword.other.ts"}},"name":"string.regexp.ts","patterns":[{"include":"#regexp"}]}]},"regex-character-class":{"patterns":[{"match":"\\\\\\\\[wWsSdDtrnvf]|\\\\.","name":"constant.other.character-class.regexp"},{"match":"\\\\\\\\([0-7]{3}|x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4})","name":"constant.character.numeric.regexp"},{"match":"\\\\\\\\c[A-Z]","name":"constant.character.control.regexp"},{"match":"\\\\\\\\.","name":"constant.character.escape.backslash.regexp"}]},"regexp":{"patterns":[{"match":"\\\\\\\\[bB]|\\\\^|\\\\$","name":"keyword.control.anchor.regexp"},{"captures":{"0":{"name":"keyword.other.back-reference.regexp"},"1":{"name":"variable.other.regexp"}},"match":"\\\\\\\\[1-9]\\\\d*|\\\\\\\\k<([a-zA-Z_$][\\\\w$]*)>"},{"match":"[?+*]|\\\\{(\\\\d+,\\\\d+|\\\\d+,|,\\\\d+|\\\\d+)\\\\}\\\\??","name":"keyword.operator.quantifier.regexp"},{"match":"\\\\|","name":"keyword.operator.or.regexp"},{"begin":"(\\\\()((\\\\?=)|(\\\\?!)|(\\\\?<=)|(\\\\?<!))","beginCaptures":{"1":{"name":"punctuation.definition.group.regexp"},"2":{"name":"punctuation.definition.group.assertion.regexp"},"3":{"name":"meta.assertion.look-ahead.regexp"},"4":{"name":"meta.assertion.negative-look-ahead.regexp"},"5":{"name":"meta.assertion.look-behind.regexp"},"6":{"name":"meta.assertion.negative-look-behind.regexp"}},"end":"(\\\\))","endCaptures":{"1":{"name":"punctuation.definition.group.regexp"}},"name":"meta.group.assertion.regexp","patterns":[{"include":"#regexp"}]},{"begin":"\\\\((?:(\\\\?:)|(?:\\\\?<([a-zA-Z_$][\\\\w$]*)>))?","beginCaptures":{"0":{"name":"punctuation.definition.group.regexp"},"1":{"name":"punctuation.definition.group.no-capture.regexp"},"2":{"name":"variable.other.regexp"}},"end":"\\\\)","endCaptures":{"0":{"name":"punctuation.definition.group.regexp"}},"name":"meta.group.regexp","patterns":[{"include":"#regexp"}]},{"begin":"(\\\\[)(\\\\^)?","beginCaptures":{"1":{"name":"punctuation.definition.character-class.regexp"},"2":{"name":"keyword.operator.negation.regexp"}},"end":"(\\\\])","endCaptures":{"1":{"name":"punctuation.definition.character-class.regexp"}},"name":"constant.other.character-class.set.regexp","patterns":[{"captures":{"1":{"name":"constant.character.numeric.regexp"},"2":{"name":"constant.character.control.regexp"},"3":{"name":"constant.character.escape.backslash.regexp"},"4":{"name":"constant.character.numeric.regexp"},"5":{"name":"constant.character.control.regexp"},"6":{"name":"constant.character.escape.backslash.regexp"}},"match":"(?:.|(\\\\\\\\(?:[0-7]{3}|x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4}))|(\\\\\\\\c[A-Z])|(\\\\\\\\.))-(?:[^\\\\]\\\\\\\\]|(\\\\\\\\(?:[0-7]{3}|x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4}))|(\\\\\\\\c[A-Z])|(\\\\\\\\.))","name":"constant.other.character-class.range.regexp"},{"include":"#regex-character-class"}]},{"include":"#regex-character-class"}]},"return-type":{"patterns":[{"begin":"(?<=\\\\))\\\\s*(:)(?=\\\\s*\\\\S)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.ts"}},"end":"(?<![:|&])(?=$|^|[{};,]|//)","name":"meta.return.type.ts","patterns":[{"include":"#return-type-core"}]},{"begin":"(?<=\\\\))\\\\s*(:)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.ts"}},"end":"(?<![:|&])((?=[{};,]|//|^\\\\s*$)|((?<=\\\\S)(?=\\\\s*$)))","name":"meta.return.type.ts","patterns":[{"include":"#return-type-core"}]}]},"return-type-core":{"patterns":[{"include":"#comment"},{"begin":"(?<=[:|&])(?=\\\\s*\\\\{)","end":"(?<=\\\\})","patterns":[{"include":"#type-object"}]},{"include":"#type-predicate-operator"},{"include":"#type"}]},"shebang":{"captures":{"1":{"name":"punctuation.definition.comment.ts"}},"match":"\\\\A(#!).*(?=$)","name":"comment.line.shebang.ts"},"single-line-comment-consuming-line-ending":{"begin":"(^[ \\\\t]+)?((//)(?:\\\\s*((@)internal)(?=\\\\s|$))?)","beginCaptures":{"1":{"name":"punctuation.whitespace.comment.leading.ts"},"2":{"name":"comment.line.double-slash.ts"},"3":{"name":"punctuation.definition.comment.ts"},"4":{"name":"storage.type.internaldeclaration.ts"},"5":{"name":"punctuation.decorator.internaldeclaration.ts"}},"contentName":"comment.line.double-slash.ts","end":"(?=^)"},"statements":{"patterns":[{"include":"#declaration"},{"include":"#control-statement"},{"include":"#after-operator-block-as-object-literal"},{"include":"#decl-block"},{"include":"#label"},{"include":"#expression"},{"include":"#punctuation-semicolon"},{"include":"#string"},{"include":"#comment"}]},"string":{"patterns":[{"include":"#qstring-single"},{"include":"#qstring-double"},{"include":"#template"}]},"string-character-escape":{"match":"\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4}|u\\\\{[0-9A-Fa-f]+\\\\}|[0-2][0-7]{0,2}|3[0-6][0-7]?|37[0-7]?|[4-7][0-7]?|.|$)","name":"constant.character.escape.ts"},"super-literal":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))super\\\\b(?!\\\\$)","name":"variable.language.super.ts"},"support-function-call-identifiers":{"patterns":[{"include":"#literal"},{"include":"#support-objects"},{"include":"#object-identifiers"},{"include":"#punctuation-accessor"},{"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))import(?=\\\\s*[(]\\\\s*[\\\\\\"\\\\\'\\\\`]))","name":"keyword.operator.expression.import.ts"}]},"support-objects":{"patterns":[{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(arguments)\\\\b(?!\\\\$)","name":"variable.language.arguments.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(Promise)\\\\b(?!\\\\$)","name":"support.class.promise.ts"},{"captures":{"1":{"name":"keyword.control.import.ts"},"2":{"name":"punctuation.accessor.ts"},"3":{"name":"punctuation.accessor.optional.ts"},"4":{"name":"support.variable.property.importmeta.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(import)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(meta)\\\\b(?!\\\\$)"},{"captures":{"1":{"name":"keyword.operator.new.ts"},"2":{"name":"punctuation.accessor.ts"},"3":{"name":"punctuation.accessor.optional.ts"},"4":{"name":"support.variable.property.target.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(new)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(target)\\\\b(?!\\\\$)"},{"captures":{"1":{"name":"punctuation.accessor.ts"},"2":{"name":"punctuation.accessor.optional.ts"},"3":{"name":"support.variable.property.ts"},"4":{"name":"support.constant.ts"}},"match":"(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(?:(?:(constructor|length|prototype|__proto__)\\\\b(?!\\\\$|\\\\s*(<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\())|(?:(EPSILON|MAX_SAFE_INTEGER|MAX_VALUE|MIN_SAFE_INTEGER|MIN_VALUE|NEGATIVE_INFINITY|POSITIVE_INFINITY)\\\\b(?!\\\\$)))"},{"captures":{"1":{"name":"support.type.object.module.ts"},"2":{"name":"support.type.object.module.ts"},"3":{"name":"punctuation.accessor.ts"},"4":{"name":"punctuation.accessor.optional.ts"},"5":{"name":"support.type.object.module.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(exports)|(module)(?:(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))(exports|id|filename|loaded|parent|children))?)\\\\b(?!\\\\$)"}]},"switch-statement":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?=\\\\bswitch\\\\s*\\\\()","end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"name":"switch-statement.expr.ts","patterns":[{"include":"#comment"},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(switch)\\\\s*(\\\\()","beginCaptures":{"1":{"name":"keyword.control.switch.ts"},"2":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"name":"switch-expression.expr.ts","patterns":[{"include":"#expression"}]},{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"end":"(?=\\\\})","name":"switch-block.expr.ts","patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(case|default(?=:))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.control.switch.ts"}},"end":"(?=:)","name":"case-clause.expr.ts","patterns":[{"include":"#expression"}]},{"begin":"(:)\\\\s*(\\\\{)","beginCaptures":{"1":{"name":"case-clause.expr.ts punctuation.definition.section.case-statement.ts"},"2":{"name":"meta.block.ts punctuation.definition.block.ts"}},"contentName":"meta.block.ts","end":"\\\\}","endCaptures":{"0":{"name":"meta.block.ts punctuation.definition.block.ts"}},"patterns":[{"include":"#statements"}]},{"captures":{"0":{"name":"case-clause.expr.ts punctuation.definition.section.case-statement.ts"}},"match":"(:)"},{"include":"#statements"}]}]},"template":{"patterns":[{"include":"#template-call"},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)?(`)","beginCaptures":{"1":{"name":"entity.name.function.tagged-template.ts"},"2":{"name":"string.template.ts punctuation.definition.string.template.begin.ts"}},"contentName":"string.template.ts","end":"`","endCaptures":{"0":{"name":"string.template.ts punctuation.definition.string.template.end.ts"}},"patterns":[{"include":"#template-substitution-element"},{"include":"#string-character-escape"}]}]},"template-call":{"patterns":[{"begin":"(?=(([_$A-Za-z][_$0-9A-Za-z]*\\\\s*\\\\??\\\\.\\\\s*)*|(\\\\??\\\\.\\\\s*)?)([_$A-Za-z][_$0-9A-Za-z]*)(<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?`)","end":"(?=`)","patterns":[{"begin":"(?=(([_$A-Za-z][_$0-9A-Za-z]*\\\\s*\\\\??\\\\.\\\\s*)*|(\\\\??\\\\.\\\\s*)?)([_$A-Za-z][_$0-9A-Za-z]*))","end":"(?=(<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)?`)","patterns":[{"include":"#support-function-call-identifiers"},{"match":"([_$A-Za-z][_$0-9A-Za-z]*)","name":"entity.name.function.tagged-template.ts"}]},{"include":"#type-arguments"}]},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)?\\\\s*(?=(<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))(([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>|<\\\\s*(((keyof|infer|typeof|readonly)\\\\s+)|(([_$A-Za-z][_$0-9A-Za-z]*|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))(?=\\\\s*([<>\\\\,\\\\.\\\\[]|=>|&(?!&)|\\\\|(?!\\\\|)))))([^<>(]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(?<==)>)*(?<!=)>))*(?<!=)>)*(?<!=)>\\\\s*)`)","beginCaptures":{"1":{"name":"entity.name.function.tagged-template.ts"}},"end":"(?=`)","patterns":[{"include":"#type-arguments"}]}]},"template-substitution-element":{"begin":"\\\\$\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.template-expression.begin.ts"}},"contentName":"meta.embedded.line.ts","end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.template-expression.end.ts"}},"name":"meta.template.expression.ts","patterns":[{"include":"#expression"}]},"template-type":{"patterns":[{"include":"#template-call"},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)?(`)","beginCaptures":{"1":{"name":"entity.name.function.tagged-template.ts"},"2":{"name":"string.template.ts punctuation.definition.string.template.begin.ts"}},"contentName":"string.template.ts","end":"`","endCaptures":{"0":{"name":"string.template.ts punctuation.definition.string.template.end.ts"}},"patterns":[{"include":"#template-type-substitution-element"},{"include":"#string-character-escape"}]}]},"template-type-substitution-element":{"begin":"\\\\$\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.template-expression.begin.ts"}},"contentName":"meta.embedded.line.ts","end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.template-expression.end.ts"}},"name":"meta.template.expression.ts","patterns":[{"include":"#type"}]},"ternary-expression":{"begin":"(?!\\\\?\\\\.\\\\s*[^\\\\d])(\\\\?)(?!\\\\?)","beginCaptures":{"1":{"name":"keyword.operator.ternary.ts"}},"end":"\\\\s*(:)","endCaptures":{"1":{"name":"keyword.operator.ternary.ts"}},"patterns":[{"include":"#expression"}]},"this-literal":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))this\\\\b(?!\\\\$)","name":"variable.language.this.ts"},"type":{"patterns":[{"include":"#comment"},{"include":"#type-string"},{"include":"#numeric-literal"},{"include":"#type-primitive"},{"include":"#type-builtin-literals"},{"include":"#type-parameters"},{"include":"#type-tuple"},{"include":"#type-object"},{"include":"#type-operators"},{"include":"#type-conditional"},{"include":"#type-fn-type-parameters"},{"include":"#type-paren-or-function-parameters"},{"include":"#type-function-return-type"},{"captures":{"1":{"name":"storage.modifier.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(readonly)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*"},{"include":"#type-name"}]},"type-alias-declaration":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(type)\\\\b\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.type.type.ts"},"4":{"name":"entity.name.type.alias.ts"}},"end":"(?=\\\\}|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","name":"meta.type.declaration.ts","patterns":[{"include":"#comment"},{"include":"#type-parameters"},{"begin":"(=)\\\\s*(intrinsic)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"1":{"name":"keyword.operator.assignment.ts"},"2":{"name":"keyword.control.intrinsic.ts"}},"end":"(?=\\\\}|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#type"}]},{"begin":"(=)\\\\s*","beginCaptures":{"1":{"name":"keyword.operator.assignment.ts"}},"end":"(?=\\\\}|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#type"}]}]},"type-annotation":{"patterns":[{"begin":"(:)(?=\\\\s*\\\\S)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.ts"}},"end":"(?<![:|&])(?!\\\\s*[|&]\\\\s+)((?=^|[,);}\\\\]]|//)|(?==[^>])|((?<=[}>\\\\])]|[_$A-Za-z])\\\\s*(?=\\\\{)))","name":"meta.type.annotation.ts","patterns":[{"include":"#type"}]},{"begin":"(:)","beginCaptures":{"1":{"name":"keyword.operator.type.annotation.ts"}},"end":"(?<![:|&])((?=[,);}\\\\]]|\\\\/\\\\/)|(?==[^>])|(?=^\\\\s*$)|((?<=[}>\\\\])]|[_$A-Za-z])\\\\s*(?=\\\\{)))","name":"meta.type.annotation.ts","patterns":[{"include":"#type"}]}]},"type-arguments":{"begin":"<","beginCaptures":{"0":{"name":"punctuation.definition.typeparameters.begin.ts"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.typeparameters.end.ts"}},"name":"meta.type.parameters.ts","patterns":[{"include":"#type-arguments-body"}]},"type-arguments-body":{"patterns":[{"captures":{"0":{"name":"keyword.operator.type.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(_)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))"},{"include":"#type"},{"include":"#punctuation-comma"}]},"type-builtin-literals":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(this|true|false|undefined|null|object)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"support.type.builtin.ts"},"type-conditional":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(extends)\\\\s+","beginCaptures":{"1":{"name":"storage.modifier.ts"}},"end":"(?<=:)","patterns":[{"begin":"\\\\?","beginCaptures":{"0":{"name":"keyword.operator.ternary.ts"}},"end":":","endCaptures":{"0":{"name":"keyword.operator.ternary.ts"}},"patterns":[{"include":"#type"}]},{"include":"#type"}]}]},"type-fn-type-parameters":{"patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(abstract)\\\\s+)?(new)\\\\b(?=\\\\s*<)","beginCaptures":{"1":{"name":"meta.type.constructor.ts storage.modifier.ts"},"2":{"name":"meta.type.constructor.ts keyword.control.new.ts"}},"end":"(?<=>)","patterns":[{"include":"#comment"},{"include":"#type-parameters"}]},{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(abstract)\\\\s+)?(new)\\\\b\\\\s*(?=\\\\()","beginCaptures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"keyword.control.new.ts"}},"end":"(?<=\\\\))","name":"meta.type.constructor.ts","patterns":[{"include":"#function-parameters"}]},{"begin":"((?=[(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>))))))","end":"(?<=\\\\))","name":"meta.type.function.ts","patterns":[{"include":"#function-parameters"}]}]},"type-function-return-type":{"patterns":[{"begin":"(=>)(?=\\\\s*\\\\S)","beginCaptures":{"1":{"name":"storage.type.function.arrow.ts"}},"end":"(?<!=>)(?<![|&])(?=[,\\\\]){}=;>:?]|//|$)","name":"meta.type.function.return.ts","patterns":[{"include":"#type-function-return-type-core"}]},{"begin":"=>","beginCaptures":{"0":{"name":"storage.type.function.arrow.ts"}},"end":"(?<!=>)(?<![|&])((?=[,\\\\]){}=;:?>]|//|^\\\\s*$)|((?<=\\\\S)(?=\\\\s*$)))","name":"meta.type.function.return.ts","patterns":[{"include":"#type-function-return-type-core"}]}]},"type-function-return-type-core":{"patterns":[{"include":"#comment"},{"begin":"(?<==>)(?=\\\\s*\\\\{)","end":"(?<=\\\\})","patterns":[{"include":"#type-object"}]},{"include":"#type-predicate-operator"},{"include":"#type"}]},"type-infer":{"patterns":[{"captures":{"1":{"name":"keyword.operator.expression.infer.ts"},"2":{"name":"entity.name.type.ts"},"3":{"name":"keyword.operator.expression.extends.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(infer)\\\\s+([_$A-Za-z][_$0-9A-Za-z]*)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))(?:\\\\s+(extends)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))?","name":"meta.type.infer.ts"}]},"type-name":{"patterns":[{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))\\\\s*(<)","captures":{"1":{"name":"entity.name.type.module.ts"},"2":{"name":"punctuation.accessor.ts"},"3":{"name":"punctuation.accessor.optional.ts"},"4":{"name":"meta.type.parameters.ts punctuation.definition.typeparameters.begin.ts"}},"contentName":"meta.type.parameters.ts","end":"(>)","endCaptures":{"1":{"name":"meta.type.parameters.ts punctuation.definition.typeparameters.end.ts"}},"patterns":[{"include":"#type-arguments-body"}]},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(<)","beginCaptures":{"1":{"name":"entity.name.type.ts"},"2":{"name":"meta.type.parameters.ts punctuation.definition.typeparameters.begin.ts"}},"contentName":"meta.type.parameters.ts","end":"(>)","endCaptures":{"1":{"name":"meta.type.parameters.ts punctuation.definition.typeparameters.end.ts"}},"patterns":[{"include":"#type-arguments-body"}]},{"captures":{"1":{"name":"entity.name.type.module.ts"},"2":{"name":"punctuation.accessor.ts"},"3":{"name":"punctuation.accessor.optional.ts"}},"match":"([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(?:(\\\\.)|(\\\\?\\\\.(?!\\\\s*[\\\\d])))"},{"match":"[_$A-Za-z][_$0-9A-Za-z]*","name":"entity.name.type.ts"}]},"type-object":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.block.ts"}},"name":"meta.object.type.ts","patterns":[{"include":"#comment"},{"include":"#method-declaration"},{"include":"#indexer-declaration"},{"include":"#indexer-mapped-type-declaration"},{"include":"#field-declaration"},{"include":"#type-annotation"},{"begin":"\\\\.\\\\.\\\\.","beginCaptures":{"0":{"name":"keyword.operator.spread.ts"}},"end":"(?=\\\\}|;|,|$)|(?<=\\\\})","patterns":[{"include":"#type"}]},{"include":"#punctuation-comma"},{"include":"#punctuation-semicolon"},{"include":"#type"}]},"type-operators":{"patterns":[{"include":"#typeof-operator"},{"include":"#type-infer"},{"begin":"([&|])(?=\\\\s*\\\\{)","beginCaptures":{"0":{"name":"keyword.operator.type.ts"}},"end":"(?<=\\\\})","patterns":[{"include":"#type-object"}]},{"begin":"[&|]","beginCaptures":{"0":{"name":"keyword.operator.type.ts"}},"end":"(?=\\\\S)"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))keyof(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.keyof.ts"},{"match":"(\\\\?|:)","name":"keyword.operator.ternary.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))import(?=\\\\s*\\\\()","name":"keyword.operator.expression.import.ts"}]},"type-parameters":{"begin":"(<)","beginCaptures":{"1":{"name":"punctuation.definition.typeparameters.begin.ts"}},"end":"(>)","endCaptures":{"1":{"name":"punctuation.definition.typeparameters.end.ts"}},"name":"meta.type.parameters.ts","patterns":[{"include":"#comment"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(extends|in|out|const)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.ts"},{"include":"#type"},{"include":"#punctuation-comma"},{"match":"(=)(?!>)","name":"keyword.operator.assignment.ts"}]},"type-paren-or-function-parameters":{"begin":"\\\\(","beginCaptures":{"0":{"name":"meta.brace.round.ts"}},"end":"\\\\)","endCaptures":{"0":{"name":"meta.brace.round.ts"}},"name":"meta.type.paren.cover.ts","patterns":[{"captures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"keyword.operator.rest.ts"},"3":{"name":"entity.name.function.ts variable.language.this.ts"},"4":{"name":"entity.name.function.ts"},"5":{"name":"keyword.operator.optional.ts"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))\\\\s*(\\\\??)(?=\\\\s*(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))))"},{"captures":{"1":{"name":"storage.modifier.ts"},"2":{"name":"keyword.operator.rest.ts"},"3":{"name":"variable.parameter.ts variable.language.this.ts"},"4":{"name":"variable.parameter.ts"},"5":{"name":"keyword.operator.optional.ts"}},"match":"(?:(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(public|private|protected|readonly)\\\\s+)?(?:(\\\\.\\\\.\\\\.)\\\\s*)?(?<!=|:)(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))\\\\s*(\\\\??)(?=:)"},{"include":"#type-annotation"},{"match":",","name":"punctuation.separator.parameter.ts"},{"include":"#type"}]},"type-predicate-operator":{"patterns":[{"captures":{"1":{"name":"keyword.operator.type.asserts.ts"},"2":{"name":"variable.parameter.ts variable.language.this.ts"},"3":{"name":"variable.parameter.ts"},"4":{"name":"keyword.operator.expression.is.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(asserts)\\\\s+)?(?!asserts)(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))\\\\s(is)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))"},{"captures":{"1":{"name":"keyword.operator.type.asserts.ts"},"2":{"name":"variable.parameter.ts variable.language.this.ts"},"3":{"name":"variable.parameter.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(asserts)\\\\s+(?!is)(?:(this)|([_$A-Za-z][_$0-9A-Za-z]*))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))asserts(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.type.asserts.ts"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))is(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"keyword.operator.expression.is.ts"}]},"type-primitive":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(string|number|bigint|boolean|symbol|any|void|never|unknown)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"support.type.primitive.ts"},"type-string":{"patterns":[{"include":"#qstring-single"},{"include":"#qstring-double"},{"include":"#template-type"}]},"type-tuple":{"begin":"\\\\[","beginCaptures":{"0":{"name":"meta.brace.square.ts"}},"end":"\\\\]","endCaptures":{"0":{"name":"meta.brace.square.ts"}},"name":"meta.type.tuple.ts","patterns":[{"match":"\\\\.\\\\.\\\\.","name":"keyword.operator.rest.ts"},{"captures":{"1":{"name":"entity.name.label.ts"},"2":{"name":"keyword.operator.optional.ts"},"3":{"name":"punctuation.separator.label.ts"}},"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))([_$A-Za-z][_$0-9A-Za-z]*)\\\\s*(\\\\?)?\\\\s*(:)"},{"include":"#type"},{"include":"#punctuation-comma"}]},"typeof-operator":{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))typeof(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","beginCaptures":{"0":{"name":"keyword.operator.expression.typeof.ts"}},"end":"(?=[,);}\\\\]=>:&|{?]|(extends\\\\s+)|$|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))","patterns":[{"include":"#type-arguments"},{"include":"#expression"}]},"undefined-literal":{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))undefined(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"constant.language.undefined.ts"},"var-expr":{"patterns":[{"begin":"(?=(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(var|let)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))","end":"(?!(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(var|let)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))((?=^|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))|((?<!^let|[^\\\\._$0-9A-Za-z]let|^var|[^\\\\._$0-9A-Za-z]var)(?=\\\\s*$)))","name":"meta.var.expr.ts","patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(var|let)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.type.ts"}},"end":"(?=\\\\S)"},{"include":"#destructuring-variable"},{"include":"#var-single-variable"},{"include":"#variable-initializer"},{"include":"#comment"},{"begin":"(,)\\\\s*(?=$|\\\\/\\\\/)","beginCaptures":{"1":{"name":"punctuation.separator.comma.ts"}},"end":"(?<!,)(((?==|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|^\\\\s*$))|((?<=\\\\S)(?=\\\\s*$)))","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"include":"#destructuring-variable"},{"include":"#var-single-variable"},{"include":"#punctuation-comma"}]},{"include":"#punctuation-comma"}]},{"begin":"(?=(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(const(?!\\\\s+enum\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.type.ts"}},"end":"(?!(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(const(?!\\\\s+enum\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))((?=^|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))|((?<!^const|[^\\\\._$0-9A-Za-z]const)(?=\\\\s*$)))","name":"meta.var.expr.ts","patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b(const(?!\\\\s+enum\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.type.ts"}},"end":"(?=\\\\S)"},{"include":"#destructuring-const"},{"include":"#var-single-const"},{"include":"#variable-initializer"},{"include":"#comment"},{"begin":"(,)\\\\s*(?=$|\\\\/\\\\/)","beginCaptures":{"1":{"name":"punctuation.separator.comma.ts"}},"end":"(?<!,)(((?==|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|^\\\\s*$))|((?<=\\\\S)(?=\\\\s*$)))","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"include":"#destructuring-const"},{"include":"#var-single-const"},{"include":"#punctuation-comma"}]},{"include":"#punctuation-comma"}]},{"begin":"(?=(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b((?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.type.ts"}},"end":"(?!(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b((?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))((?=;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b))|((?<!^using|[^\\\\._$0-9A-Za-z]using|^await\\\\s+using|[^\\\\._$0-9A-Za-z]await\\\\s+using)(?=\\\\s*$)))","name":"meta.var.expr.ts","patterns":[{"begin":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(?:(\\\\bexport)\\\\s+)?(?:(\\\\bdeclare)\\\\s+)?\\\\b((?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b))(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))\\\\s*","beginCaptures":{"1":{"name":"keyword.control.export.ts"},"2":{"name":"storage.modifier.ts"},"3":{"name":"storage.type.ts"}},"end":"(?=\\\\S)"},{"include":"#var-single-const"},{"include":"#variable-initializer"},{"include":"#comment"},{"begin":"(,)\\\\s*((?!\\\\S)|(?=\\\\/\\\\/))","beginCaptures":{"1":{"name":"punctuation.separator.comma.ts"}},"end":"(?<!,)(((?==|;|}|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|^\\\\s*$))|((?<=\\\\S)(?=\\\\s*$)))","patterns":[{"include":"#single-line-comment-consuming-line-ending"},{"include":"#comment"},{"include":"#var-single-const"},{"include":"#punctuation-comma"}]},{"include":"#punctuation-comma"}]}]},"var-single-const":{"patterns":[{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)(?=\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))","beginCaptures":{"1":{"name":"meta.definition.variable.ts variable.other.constant.ts entity.name.function.ts"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.ts","patterns":[{"include":"#var-single-variable-type-annotation"}]},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)","beginCaptures":{"1":{"name":"meta.definition.variable.ts variable.other.constant.ts"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.ts","patterns":[{"include":"#var-single-variable-type-annotation"}]}]},"var-single-variable":{"patterns":[{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)(!)?(?=\\\\s*(=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>)))))|(:\\\\s*((<)|([(]\\\\s*(([)])|(\\\\.\\\\.\\\\.)|([_$0-9A-Za-z]+\\\\s*(([:,?=])|([)]\\\\s*=>)))))))|(:\\\\s*(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))Function(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.)))|(:\\\\s*((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))))))|(:\\\\s*(=>|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(<[^<>]*>)|[^<>(),=])+=\\\\s*(((async\\\\s+)?((function\\\\s*[(<*])|(function\\\\s+)|([_$A-Za-z][_$0-9A-Za-z]*\\\\s*=>)))|((async\\\\s*)?(((<\\\\s*$)|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*((([{\\\\[]\\\\s*)?$)|((\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})\\\\s*((:\\\\s*\\\\{?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*)))|((\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])\\\\s*((:\\\\s*\\\\[?$)|((\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+\\\\s*)?=\\\\s*))))))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?[(]\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([)]\\\\s*:)|((\\\\.\\\\.\\\\.\\\\s*)?[_$A-Za-z][_$0-9A-Za-z]*\\\\s*:)))|((<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<]|<\\\\s*(((const\\\\s+)?[_$A-Za-z])|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\]))([^=<>]|=[^<])*>)*>)*>\\\\s*)?\\\\(\\\\s*(\\\\/\\\\*([^\\\\*]|(\\\\*[^\\\\/]))*\\\\*\\\\/\\\\s*)*(([_$A-Za-z]|(\\\\{([^{}]|(\\\\{([^{}]|\\\\{[^{}]*\\\\})*\\\\}))*\\\\})|(\\\\[([^\\\\[\\\\]]|(\\\\[([^\\\\[\\\\]]|\\\\[[^\\\\[\\\\]]*\\\\])*\\\\]))*\\\\])|(\\\\.\\\\.\\\\.\\\\s*[_$A-Za-z]))([^()\\\\\'\\\\\\"\\\\`]|(\\\\(([^()]|(\\\\(([^()]|\\\\([^()]*\\\\))*\\\\)))*\\\\))|(\\\\\'([^\\\\\'\\\\\\\\]|\\\\\\\\.)*\\\\\')|(\\\\\\"([^\\\\\\"\\\\\\\\]|\\\\\\\\.)*\\\\\\")|(\\\\`([^\\\\`\\\\\\\\]|\\\\\\\\.)*\\\\`))*)?\\\\)(\\\\s*:\\\\s*([^<>(){}]|<([^<>]|<([^<>]|<[^<>]+>)+>)+>|\\\\([^()]+\\\\)|\\\\{[^{}]+\\\\})+)?\\\\s*=>))))))","beginCaptures":{"1":{"name":"meta.definition.variable.ts entity.name.function.ts"},"2":{"name":"keyword.operator.definiteassignment.ts"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.ts","patterns":[{"include":"#var-single-variable-type-annotation"}]},{"begin":"([A-Z][_$\\\\dA-Z]*)(?![_$0-9A-Za-z])(!)?","beginCaptures":{"1":{"name":"meta.definition.variable.ts variable.other.constant.ts"},"2":{"name":"keyword.operator.definiteassignment.ts"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.ts","patterns":[{"include":"#var-single-variable-type-annotation"}]},{"begin":"([_$A-Za-z][_$0-9A-Za-z]*)(!)?","beginCaptures":{"1":{"name":"meta.definition.variable.ts variable.other.readwrite.ts"},"2":{"name":"keyword.operator.definiteassignment.ts"}},"end":"(?=$|^|[;,=}]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+)|(;|^\\\\s*$|(?:^\\\\s*(?:abstract|async|(?:\\\\bawait\\\\s+(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)\\\\b)|break|case|catch|class|const|continue|declare|do|else|enum|export|finally|function|for|goto|if|import|interface|let|module|namespace|switch|return|throw|try|type|(?:\\\\busing(?=\\\\s+(?!in\\\\b|of\\\\b(?!\\\\s*(?:of\\\\b|=)))[_$A-Za-z])\\\\b)|var|while)\\\\b)))","name":"meta.var-single-variable.expr.ts","patterns":[{"include":"#var-single-variable-type-annotation"}]}]},"var-single-variable-type-annotation":{"patterns":[{"include":"#type-annotation"},{"include":"#string"},{"include":"#comment"}]},"variable-initializer":{"patterns":[{"begin":"(?<!=|!)(=)(?!=)(?=\\\\s*\\\\S)(?!\\\\s*.*=>\\\\s*$)","beginCaptures":{"1":{"name":"keyword.operator.assignment.ts"}},"end":"(?=$|^|[,);}\\\\]]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))","patterns":[{"include":"#expression"}]},{"begin":"(?<!=|!)(=)(?!=)","beginCaptures":{"1":{"name":"keyword.operator.assignment.ts"}},"end":"(?=[,);}\\\\]]|((?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(of|in)\\\\s+))|(?=^\\\\s*$)|(?<![\\\\|\\\\&+\\\\-\\\\*\\\\/])(?<=\\\\S)(?<!=)(?=\\\\s*$)","patterns":[{"include":"#expression"}]}]}},"scopeName":"source.ts","aliases":["ts"]}')),Hn=[si],Si=Object.freeze(Object.defineProperty({__proto__:null,default:Hn},Symbol.toStringTag,{value:"Module"})),ii=Object.freeze(JSON.parse('{"displayName":"JSON","name":"json","patterns":[{"include":"#value"}],"repository":{"array":{"begin":"\\\\[","beginCaptures":{"0":{"name":"punctuation.definition.array.begin.json"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.definition.array.end.json"}},"name":"meta.structure.array.json","patterns":[{"include":"#value"},{"match":",","name":"punctuation.separator.array.json"},{"match":"[^\\\\s\\\\]]","name":"invalid.illegal.expected-array-separator.json"}]},"comments":{"patterns":[{"begin":"/\\\\*\\\\*(?!/)","captures":{"0":{"name":"punctuation.definition.comment.json"}},"end":"\\\\*/","name":"comment.block.documentation.json"},{"begin":"/\\\\*","captures":{"0":{"name":"punctuation.definition.comment.json"}},"end":"\\\\*/","name":"comment.block.json"},{"captures":{"1":{"name":"punctuation.definition.comment.json"}},"match":"(//).*$\\\\n?","name":"comment.line.double-slash.js"}]},"constant":{"match":"\\\\b(?:true|false|null)\\\\b","name":"constant.language.json"},"number":{"match":"-?(?:0|[1-9]\\\\d*)(?:(?:\\\\.\\\\d+)?(?:[eE][+-]?\\\\d+)?)?","name":"constant.numeric.json"},"object":{"begin":"\\\\{","beginCaptures":{"0":{"name":"punctuation.definition.dictionary.begin.json"}},"end":"\\\\}","endCaptures":{"0":{"name":"punctuation.definition.dictionary.end.json"}},"name":"meta.structure.dictionary.json","patterns":[{"comment":"the JSON object key","include":"#objectkey"},{"include":"#comments"},{"begin":":","beginCaptures":{"0":{"name":"punctuation.separator.dictionary.key-value.json"}},"end":"(,)|(?=\\\\})","endCaptures":{"1":{"name":"punctuation.separator.dictionary.pair.json"}},"name":"meta.structure.dictionary.value.json","patterns":[{"comment":"the JSON object value","include":"#value"},{"match":"[^\\\\s,]","name":"invalid.illegal.expected-dictionary-separator.json"}]},{"match":"[^\\\\s}]","name":"invalid.illegal.expected-dictionary-separator.json"}]},"objectkey":{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.support.type.property-name.begin.json"}},"end":"\\"","endCaptures":{"0":{"name":"punctuation.support.type.property-name.end.json"}},"name":"string.json support.type.property-name.json","patterns":[{"include":"#stringcontent"}]},"string":{"begin":"\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.json"}},"end":"\\"","endCaptures":{"0":{"name":"punctuation.definition.string.end.json"}},"name":"string.quoted.double.json","patterns":[{"include":"#stringcontent"}]},"stringcontent":{"patterns":[{"match":"\\\\\\\\(?:[\\"\\\\\\\\/bfnrt]|u[0-9a-fA-F]{4})","name":"constant.character.escape.json"},{"match":"\\\\\\\\.","name":"invalid.illegal.unrecognized-string-escape.json"}]},"value":{"patterns":[{"include":"#constant"},{"include":"#number"},{"include":"#string"},{"include":"#array"},{"include":"#object"},{"include":"#comments"}]}},"scopeName":"source.json"}')),oi=[ii],Ei=Object.freeze(JSON.parse('{"displayName":"HTML (Derivative)","injections":{"R:text.html - (comment.block, text.html meta.embedded, meta.tag.*.*.html, meta.tag.*.*.*.html, meta.tag.*.*.*.*.html)":{"comment":"Uses R: to ensure this matches after any other injections.","patterns":[{"match":"<","name":"invalid.illegal.bad-angle-bracket.html"}]}},"name":"html-derivative","patterns":[{"include":"text.html.basic#core-minus-invalid"},{"begin":"(</?)(\\\\w[^\\\\s>]*)(?<!/)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"},"2":{"name":"entity.name.tag.html"}},"end":"((?: ?/)?>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html"}},"name":"meta.tag.other.unrecognized.html.derivative","patterns":[{"include":"text.html.basic#attribute"}]}],"scopeName":"text.html.derivative","embeddedLangs":["html"]}')),Ci=[...yt,Ei],ri=Object.freeze(JSON.parse('{"fileTypes":[],"injectTo":["text.html.markdown"],"injectionSelector":"L:text.html.markdown","name":"markdown-vue","patterns":[{"include":"#vue-code-block"}],"repository":{"vue-code-block":{"begin":"(^|\\\\G)(\\\\s*)(`{3,}|~{3,})\\\\s*(?i:(vue)((\\\\s+|:|,|\\\\{|\\\\?)[^`~]*)?$)","beginCaptures":{"3":{"name":"punctuation.definition.markdown"},"4":{"name":"fenced_code.block.language.markdown"},"5":{"name":"fenced_code.block.language.attributes.markdown","patterns":[]}},"end":"(^|\\\\G)(\\\\2|\\\\s{0,3})(\\\\3)\\\\s*$","endCaptures":{"3":{"name":"punctuation.definition.markdown"}},"name":"markup.fenced_code.block.markdown","patterns":[{"include":"source.vue"}]}},"scopeName":"markdown.vue.codeblock"}')),Ii=[ri],ci=Object.freeze(JSON.parse('{"fileTypes":[],"injectTo":["source.vue","text.html.markdown","text.html.derivative","text.pug"],"injectionSelector":"L:meta.tag -meta.attribute -meta.ng-binding -entity.name.tag.pug -attribute_value -source.tsx -source.js.jsx, L:meta.element -meta.attribute","name":"vue-directives","patterns":[{"include":"source.vue#vue-directives"}],"scopeName":"vue.directives"}')),wi=[ci],Di=Object.freeze(JSON.parse('{"fileTypes":[],"injectTo":["source.vue","text.html.markdown","text.html.derivative","text.pug"],"injectionSelector":"L:text.pug -comment -string.comment, L:text.html.derivative -comment.block, L:text.html.markdown -comment.block","name":"vue-interpolations","patterns":[{"include":"source.vue#vue-interpolations"}],"scopeName":"vue.interpolations"}')),li=[Di],di=Object.freeze(JSON.parse(`{"fileTypes":[],"injectTo":["source.vue"],"injectionSelector":"L:source.css -comment, L:source.postcss -comment, L:source.sass -comment, L:source.stylus -comment","name":"vue-sfc-style-variable-injection","patterns":[{"include":"#vue-sfc-style-variable-injection"}],"repository":{"vue-sfc-style-variable-injection":{"begin":"\\\\b(v-bind)\\\\s*\\\\(","beginCaptures":{"1":{"name":"entity.name.function"}},"end":"\\\\)","name":"vue.sfc.style.variable.injection.v-bind","patterns":[{"begin":"('|\\")","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html"}},"end":"(\\\\1)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html"}},"name":"source.ts.embedded.html.vue","patterns":[{"include":"source.js"}]},{"include":"source.js"}]}},"scopeName":"vue.sfc.style.variable.injection","embeddedLangs":["javascript"]}`)),ui=[...Ye,di],pi=Object.freeze(JSON.parse(`{"displayName":"Vue","name":"vue","patterns":[{"include":"text.html.basic#comment"},{"include":"#self-closing-tag"},{"begin":"(<)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"}},"end":"(>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html.vue"}},"patterns":[{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)md\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"text.html.markdown","patterns":[{"include":"text.html.markdown"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)html\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"text.html.derivative","patterns":[{"include":"#html-stuff"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)pug\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"text.pug","patterns":[{"include":"text.pug"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)stylus\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.stylus","patterns":[{"include":"source.stylus"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)postcss\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.postcss","patterns":[{"include":"source.postcss"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)sass\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.sass","patterns":[{"include":"source.sass"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)css\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.css","patterns":[{"include":"source.css"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)scss\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.css.scss","patterns":[{"include":"source.css.scss"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)less\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.css.less","patterns":[{"include":"source.css.less"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)js\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.js","patterns":[{"include":"source.js"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)ts\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.ts","patterns":[{"include":"source.ts"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)jsx\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.js.jsx","patterns":[{"include":"source.js.jsx"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)tsx\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.tsx","patterns":[{"include":"source.tsx"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)coffee\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.coffee","patterns":[{"include":"source.coffee"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)json\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.json","patterns":[{"include":"source.json"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)jsonc\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.json.comments","patterns":[{"include":"source.json.comments"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)json5\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.json5","patterns":[{"include":"source.json5"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)yaml\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.yaml","patterns":[{"include":"source.yaml"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)toml\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.toml","patterns":[{"include":"source.toml"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)(gql|graphql)\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.graphql","patterns":[{"include":"source.graphql"}]}]},{"begin":"([a-zA-Z0-9:-]+)\\\\b(?=[^>]*\\\\blang\\\\s*=\\\\s*(['\\"]?)vue\\\\b\\\\2)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"source.vue","patterns":[{"include":"source.vue"}]}]},{"begin":"(template)\\\\b","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/template\\\\b)","name":"text.html.derivative","patterns":[{"include":"#html-stuff"}]}]},{"begin":"(script)\\\\b","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/script\\\\b)","name":"source.js","patterns":[{"include":"source.js"}]}]},{"begin":"(style)\\\\b","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/style\\\\b)","name":"source.css","patterns":[{"include":"source.css"}]}]},{"begin":"([a-zA-Z0-9:-]+)","beginCaptures":{"1":{"name":"entity.name.tag.$1.html.vue"}},"end":"(</)(\\\\1)\\\\s*(?=>)","endCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"patterns":[{"include":"#tag-stuff"},{"begin":"(?<=>)","end":"(?=<\\\\/)","name":"text"}]}]}],"repository":{"html-stuff":{"patterns":[{"include":"#template-tag"},{"include":"text.html.derivative"},{"include":"text.html.basic"}]},"self-closing-tag":{"begin":"(<)([a-zA-Z0-9:-]+)(?=([^>]+/>))","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"end":"(/>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html.vue"}},"name":"self-closing-tag","patterns":[{"include":"#tag-stuff"}]},"tag-stuff":{"begin":"\\\\G","end":"(?=/>)|(>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html.vue"}},"name":"meta.tag-stuff","patterns":[{"include":"#vue-directives"},{"include":"text.html.basic#attribute"}]},"template-tag":{"patterns":[{"include":"#template-tag-1"},{"include":"#template-tag-2"}]},"template-tag-1":{"begin":"(<)(template)\\\\b(>)","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"},"3":{"name":"punctuation.definition.tag.end.html.vue"}},"end":"(/?>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html.vue"}},"name":"meta.template-tag.start","patterns":[{"begin":"\\\\G","end":"(?=/>)|((</)(template)\\\\b)","endCaptures":{"2":{"name":"punctuation.definition.tag.begin.html.vue"},"3":{"name":"entity.name.tag.$3.html.vue"}},"name":"meta.template-tag.end","patterns":[{"include":"#html-stuff"}]}]},"template-tag-2":{"begin":"(<)(template)\\\\b","beginCaptures":{"1":{"name":"punctuation.definition.tag.begin.html.vue"},"2":{"name":"entity.name.tag.$2.html.vue"}},"end":"(/?>)","endCaptures":{"1":{"name":"punctuation.definition.tag.end.html.vue"}},"name":"meta.template-tag.start","patterns":[{"begin":"\\\\G","end":"(?=/>)|((</)(template)\\\\b)","endCaptures":{"2":{"name":"punctuation.definition.tag.begin.html.vue"},"3":{"name":"entity.name.tag.$3.html.vue"}},"name":"meta.template-tag.end","patterns":[{"include":"#tag-stuff"},{"include":"#html-stuff"}]}]},"vue-directives":{"patterns":[{"include":"#vue-directives-control"},{"include":"#vue-directives-style-attr"},{"include":"#vue-directives-original"},{"include":"#vue-directives-generic-attr"}]},"vue-directives-control":{"begin":"(v-for)|(v-if|v-else-if|v-else)","captures":{"1":{"name":"keyword.control.loop.vue"},"2":{"name":"keyword.control.conditional.vue"}},"end":"(?=\\\\s*+[^=\\\\s])","name":"meta.attribute.directive.control.vue","patterns":[{"include":"#vue-directives-expression"}]},"vue-directives-expression":{"patterns":[{"begin":"(=)\\\\s*('|\\"|\`)","beginCaptures":{"1":{"name":"punctuation.separator.key-value.html.vue"},"2":{"name":"punctuation.definition.string.begin.html.vue"}},"end":"(\\\\2)","endCaptures":{"1":{"name":"punctuation.definition.string.end.html.vue"}},"patterns":[{"begin":"(?<=('|\\"|\`))","end":"(?=\\\\1)","name":"source.ts.embedded.html.vue","patterns":[{"include":"source.ts#expression"}]}]},{"begin":"(=)\\\\s*(?=[^'\\"\`])","beginCaptures":{"1":{"name":"punctuation.separator.key-value.html.vue"}},"end":"(?=(\\\\s|>|\\\\/>))","patterns":[{"begin":"(?=[^'\\"\`])","end":"(?=(\\\\s|>|\\\\/>))","name":"source.ts.embedded.html.vue","patterns":[{"include":"source.ts#expression"}]}]}]},"vue-directives-generic-attr":{"begin":"\\\\b(generic)\\\\s*(=)","captures":{"1":{"name":"entity.other.attribute-name.html.vue"},"2":{"name":"punctuation.separator.key-value.html.vue"}},"end":"(?<='|\\")","name":"meta.attribute.generic.vue","patterns":[{"begin":"('|\\")","beginCaptures":{"1":{"name":"punctuation.definition.string.begin.html.vue"}},"comment":"https://github.com/microsoft/vscode/blob/fd4346210f59135fad81a8b8c4cea7bf5a9ca6b4/extensions/typescript-basics/syntaxes/TypeScript.tmLanguage.json#L4002-L4020","end":"(\\\\1)","endCaptures":{"1":{"name":"punctuation.definition.string.end.html.vue"}},"name":"meta.type.parameters.vue","patterns":[{"include":"source.ts#comment"},{"match":"(?<![_$0-9A-Za-z])(?:(?<=\\\\.\\\\.\\\\.)|(?<!\\\\.))(extends|in|out)(?![_$0-9A-Za-z])(?:(?=\\\\.\\\\.\\\\.)|(?!\\\\.))","name":"storage.modifier.ts"},{"include":"source.ts#type"},{"include":"source.ts#punctuation-comma"},{"match":"(=)(?!>)","name":"keyword.operator.assignment.ts"}]}]},"vue-directives-original":{"begin":"(?:(?:(v-[\\\\w-]+)(:)?)|([:\\\\.])|(@)|(#))(?:(?:(\\\\[)([^\\\\]]*)(\\\\]))|([\\\\w-]+))?","beginCaptures":{"1":{"name":"entity.other.attribute-name.html.vue"},"2":{"name":"punctuation.separator.key-value.html.vue"},"3":{"name":"punctuation.attribute-shorthand.bind.html.vue"},"4":{"name":"punctuation.attribute-shorthand.event.html.vue"},"5":{"name":"punctuation.attribute-shorthand.slot.html.vue"},"6":{"name":"punctuation.separator.key-value.html.vue"},"7":{"name":"source.ts.embedded.html.vue","patterns":[{"include":"source.ts#expression"}]},"8":{"name":"punctuation.separator.key-value.html.vue"},"9":{"name":"entity.other.attribute-name.html.vue"}},"end":"(?=\\\\s*[^=\\\\s])","endCaptures":{"1":{"name":"punctuation.definition.string.end.html.vue"}},"name":"meta.attribute.directive.vue","patterns":[{"1":{"name":"punctuation.separator.key-value.html.vue"},"2":{"name":"entity.other.attribute-name.html.vue"},"match":"(\\\\.)([\\\\w-]*)"},{"include":"#vue-directives-expression"}]},"vue-directives-style-attr":{"begin":"\\\\b(style)\\\\s*(=)","captures":{"1":{"name":"entity.other.attribute-name.html.vue"},"2":{"name":"punctuation.separator.key-value.html.vue"}},"end":"(?<='|\\")","name":"meta.attribute.style.vue","patterns":[{"begin":"('|\\")","beginCaptures":{"1":{"name":"punctuation.definition.string.begin.html.vue"}},"comment":"Copy from source.css#rule-list-innards","end":"(\\\\1)","endCaptures":{"1":{"name":"punctuation.definition.string.end.html.vue"}},"name":"source.css.embedded.html.vue","patterns":[{"include":"source.css#comment-block"},{"include":"source.css#escapes"},{"include":"source.css#font-features"},{"match":"(?<![\\\\w-])--(?:[-a-zA-Z_]|[^\\\\x00-\\\\x7F])(?:[-a-zA-Z0-9_]|[^\\\\x00-\\\\x7F]|\\\\\\\\(?:[0-9a-fA-F]{1,6}|.))*","name":"variable.css"},{"begin":"(?<![-a-zA-Z])(?=[-a-zA-Z])","end":"$|(?![-a-zA-Z])","name":"meta.property-name.css","patterns":[{"include":"source.css#property-names"}]},{"begin":"(:)\\\\s*","beginCaptures":{"1":{"name":"punctuation.separator.key-value.css"}},"comment":"Modify end to fix #199. TODO: handle ' character.","contentName":"meta.property-value.css","end":"\\\\s*(;)|\\\\s*(?='|\\")","endCaptures":{"1":{"name":"punctuation.terminator.rule.css"}},"patterns":[{"include":"source.css#comment-block"},{"include":"source.css#property-values"}]},{"match":";","name":"punctuation.terminator.rule.css"}]}]},"vue-interpolations":{"patterns":[{"begin":"(\\\\{\\\\{)","beginCaptures":{"1":{"name":"punctuation.definition.interpolation.begin.html.vue"}},"end":"(\\\\}\\\\})","endCaptures":{"1":{"name":"punctuation.definition.interpolation.end.html.vue"}},"name":"expression.embedded.vue","patterns":[{"begin":"\\\\G","end":"(?=\\\\}\\\\})","name":"source.ts.embedded.html.vue","patterns":[{"include":"source.ts#expression"}]}]}]}},"scopeName":"source.vue","embeddedLangs":["html","css","javascript","typescript","json","html-derivative","markdown-vue","vue-directives","vue-interpolations","vue-sfc-style-variable-injection"],"embeddedLangsLazy":["markdown","pug","stylus","sass","scss","less","jsx","tsx","coffee","jsonc","json5","yaml","toml","graphql"]}`)),mi=[...yt,...kt,...Ye,...Hn,...oi,...Ci,...Ii,...wi,...li,...ui,pi],xi=Object.freeze(Object.defineProperty({__proto__:null,default:mi},Symbol.toStringTag,{value:"Module"}));var hi=Uint8Array.from(atob("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"),e=>e.charCodeAt(0));const Rn=hi,dg=async e=>WebAssembly.instantiate(Rn,e).then(A=>A.instance.exports),Ji=Object.freeze(Object.defineProperty({__proto__:null,default:dg,getWasmInstance:dg,wasmBinary:Rn},Symbol.toStringTag,{value:"Module"}));export{ki as L,yi as T,Ri as a,Ki as b,Ni as c,Si as d,xi as e,Pi as h,Yi as j,Ui as m,Li as s,Pg as t,Hi as v,Ji as w};
diff --git a/docs/assets/modules/shiki-Bxv373Z5.css b/docs/assets/modules/shiki-Bxv373Z5.css
new file mode 100644
index 0000000..1de2077
--- /dev/null
+++ b/docs/assets/modules/shiki-Bxv373Z5.css
@@ -0,0 +1 @@
+.resize-observer[data-v-b329ee4c]{position:absolute;top:0;left:0;z-index:-1;width:100%;height:100%;border:none;background-color:transparent;pointer-events:none;display:block;overflow:hidden;opacity:0}.resize-observer[data-v-b329ee4c] object{display:block;position:absolute;top:0;left:0;height:100%;width:100%;overflow:hidden;pointer-events:none;z-index:-1}.v-popper__popper{z-index:10000;top:0;left:0;outline:none}.v-popper__popper.v-popper__popper--hidden{visibility:hidden;opacity:0;transition:opacity .15s,visibility .15s;pointer-events:none}.v-popper__popper.v-popper__popper--shown{visibility:visible;opacity:1;transition:opacity .15s}.v-popper__popper.v-popper__popper--skip-transition,.v-popper__popper.v-popper__popper--skip-transition>.v-popper__wrapper{transition:none!important}.v-popper__backdrop{position:absolute;top:0;left:0;width:100%;height:100%;display:none}.v-popper__inner{position:relative;box-sizing:border-box;overflow-y:auto}.v-popper__inner>div{position:relative;z-index:1;max-width:inherit;max-height:inherit}.v-popper__arrow-container{position:absolute;width:10px;height:10px}.v-popper__popper--arrow-overflow .v-popper__arrow-container,.v-popper__popper--no-positioning .v-popper__arrow-container{display:none}.v-popper__arrow-inner,.v-popper__arrow-outer{border-style:solid;position:absolute;top:0;left:0;width:0;height:0}.v-popper__arrow-inner{visibility:hidden;border-width:7px}.v-popper__arrow-outer{border-width:6px}.v-popper__popper[data-popper-placement^=top] .v-popper__arrow-inner,.v-popper__popper[data-popper-placement^=bottom] .v-popper__arrow-inner{left:-2px}.v-popper__popper[data-popper-placement^=top] .v-popper__arrow-outer,.v-popper__popper[data-popper-placement^=bottom] .v-popper__arrow-outer{left:-1px}.v-popper__popper[data-popper-placement^=top] .v-popper__arrow-inner,.v-popper__popper[data-popper-placement^=top] .v-popper__arrow-outer{border-bottom-width:0;border-left-color:transparent!important;border-right-color:transparent!important;border-bottom-color:transparent!important}.v-popper__popper[data-popper-placement^=top] .v-popper__arrow-inner{top:-2px}.v-popper__popper[data-popper-placement^=bottom] .v-popper__arrow-container{top:0}.v-popper__popper[data-popper-placement^=bottom] .v-popper__arrow-inner,.v-popper__popper[data-popper-placement^=bottom] .v-popper__arrow-outer{border-top-width:0;border-left-color:transparent!important;border-right-color:transparent!important;border-top-color:transparent!important}.v-popper__popper[data-popper-placement^=bottom] .v-popper__arrow-inner{top:-4px}.v-popper__popper[data-popper-placement^=bottom] .v-popper__arrow-outer{top:-6px}.v-popper__popper[data-popper-placement^=left] .v-popper__arrow-inner,.v-popper__popper[data-popper-placement^=right] .v-popper__arrow-inner{top:-2px}.v-popper__popper[data-popper-placement^=left] .v-popper__arrow-outer,.v-popper__popper[data-popper-placement^=right] .v-popper__arrow-outer{top:-1px}.v-popper__popper[data-popper-placement^=right] .v-popper__arrow-inner,.v-popper__popper[data-popper-placement^=right] .v-popper__arrow-outer{border-left-width:0;border-left-color:transparent!important;border-top-color:transparent!important;border-bottom-color:transparent!important}.v-popper__popper[data-popper-placement^=right] .v-popper__arrow-inner{left:-4px}.v-popper__popper[data-popper-placement^=right] .v-popper__arrow-outer{left:-6px}.v-popper__popper[data-popper-placement^=left] .v-popper__arrow-container{right:-10px}.v-popper__popper[data-popper-placement^=left] .v-popper__arrow-inner,.v-popper__popper[data-popper-placement^=left] .v-popper__arrow-outer{border-right-width:0;border-top-color:transparent!important;border-right-color:transparent!important;border-bottom-color:transparent!important}.v-popper__popper[data-popper-placement^=left] .v-popper__arrow-inner{left:-2px}.v-popper--theme-tooltip .v-popper__inner{background:#000c;color:#fff;border-radius:6px;padding:7px 12px 6px}.v-popper--theme-tooltip .v-popper__arrow-outer{border-color:#000c}.v-popper--theme-dropdown .v-popper__inner{background:#fff;color:#000;border-radius:6px;border:1px solid #ddd;box-shadow:0 6px 30px #0000001a}.v-popper--theme-dropdown .v-popper__arrow-inner{visibility:visible;border-color:#fff}.v-popper--theme-dropdown .v-popper__arrow-outer{border-color:#ddd}:root{--twoslash-border-color: #8888;--twoslash-underline-color: currentColor;--twoslash-highlighted-border: #c37d0d50;--twoslash-highlighted-bg: #c37d0d20;--twoslash-popup-bg: #f8f8f8;--twoslash-popup-color: inherit;--twoslash-popup-shadow: rgba(0, 0, 0, .08) 0px 1px 4px;--twoslash-docs-color: #888;--twoslash-docs-font: sans-serif;--twoslash-code-font: inherit;--twoslash-code-font-size: 1em;--twoslash-matched-color: inherit;--twoslash-unmatched-color: #888;--twoslash-cursor-color: #8888;--twoslash-error-color: #d45656;--twoslash-error-bg: #d4565620;--twoslash-warn-color: #c37d0d;--twoslash-warn-bg: #c37d0d20;--twoslash-tag-color: #3772cf;--twoslash-tag-bg: #3772cf20;--twoslash-tag-warn-color: var(--twoslash-warn-color);--twoslash-tag-warn-bg: var(--twoslash-warn-bg);--twoslash-tag-annotate-color: #1ba673;--twoslash-tag-annotate-bg: #1ba67320}@media (prefers-reduced-motion: reduce){.twoslash *{transition:none!important}}.twoslash:hover .twoslash-hover{border-color:var(--twoslash-underline-color)}.twoslash .twoslash-hover{border-bottom:1px dotted transparent;transition-timing-function:ease;transition:border-color .3s;position:relative}.twoslash .twoslash-popup-container{position:absolute;opacity:0;display:inline-flex;flex-direction:column;transform:translateY(1.1em);background:var(--twoslash-popup-bg);color:var(--twoslash-popup-color);border:1px solid var(--twoslash-border-color);transition:opacity .3s;border-radius:4px;pointer-events:none;z-index:10;-webkit-user-select:none;user-select:none;text-align:left;box-shadow:var(--twoslash-popup-shadow)}.twoslash .twoslash-query-presisted .twoslash-popup-container{z-index:9;transform:translateY(1.5em)}.twoslash .twoslash-hover:hover .twoslash-popup-container,.twoslash .twoslash-error-hover:hover .twoslash-popup-container,.twoslash .twoslash-query-presisted .twoslash-popup-container,.twoslash .twoslash-query-line .twoslash-popup-container{opacity:1;pointer-events:auto}.twoslash .twoslash-popup-container:hover{-webkit-user-select:auto;user-select:auto}.twoslash .twoslash-popup-arrow{position:absolute;top:-4px;left:1em;border-top:1px solid var(--twoslash-border-color);border-right:1px solid var(--twoslash-border-color);background:var(--twoslash-popup-bg);transform:rotate(-45deg);width:6px;height:6px;pointer-events:none}.twoslash .twoslash-popup-code,.twoslash .twoslash-popup-error,.twoslash .twoslash-popup-docs{padding:6px 8px!important}.twoslash .twoslash-popup-code{font-family:var(--twoslash-code-font);font-size:var(--twoslash-code-font-size)}.twoslash .twoslash-popup-docs{color:var(--twoslash-docs-color);font-family:var(--twoslash-docs-font);font-size:.8em;border-top:1px solid var(--twoslash-border-color)}.twoslash .twoslash-popup-error{color:var(--twoslash-error-color);background-color:var(--twoslash-error-bg);font-family:var(--twoslash-docs-font);font-size:.8em}.twoslash .twoslash-popup-docs-tags{display:flex;flex-direction:column;font-family:var(--twoslash-docs-font)}.twoslash .twoslash-popup-docs-tags,.twoslash .twoslash-popup-docs-tag-name{margin-right:.5em}.twoslash .twoslash-popup-docs-tag-name{font-family:var(--twoslash-code-font)}.twoslash .twoslash-query-line .twoslash-popup-container{position:relative;margin-bottom:1.4em;transform:translateY(.6em)}.twoslash .twoslash-error-line{position:relative;background-color:var(--twoslash-error-bg);border-left:3px solid var(--twoslash-error-color);color:var(--twoslash-error-color);padding:6px 12px;margin:.2em 0;min-width:100%;width:max-content}.twoslash .twoslash-error-line.twoslash-error-level-warning{background-color:var(--twoslash-warn-bg);border-left:3px solid var(--twoslash-warn-color);color:var(--twoslash-warn-color)}.twoslash .twoslash-error{background:url("data:image/svg+xml,%3Csvg%20xmlns%3D'http%3A%2F%2Fwww.w3.org%2F2000%2Fsvg'%20viewBox%3D'0%200%206%203'%20enable-background%3D'new%200%200%206%203'%20height%3D'3'%20width%3D'6'%3E%3Cg%20fill%3D'%23c94824'%3E%3Cpolygon%20points%3D'5.5%2C0%202.5%2C3%201.1%2C3%204.1%2C0'%2F%3E%3Cpolygon%20points%3D'4%2C0%206%2C2%206%2C0.6%205.4%2C0'%2F%3E%3Cpolygon%20points%3D'0%2C2%201%2C3%202.4%2C3%200%2C0.6'%2F%3E%3C%2Fg%3E%3C%2Fsvg%3E") repeat-x bottom left;padding-bottom:2px}.twoslash .twoslash-error.twoslash-error-level-warning{background:url("data:image/svg+xml,%3Csvg%20xmlns%3D'http%3A%2F%2Fwww.w3.org%2F2000%2Fsvg'%20viewBox%3D'0%200%206%203'%20enable-background%3D'new%200%200%206%203'%20height%3D'3'%20width%3D'6'%3E%3Cg%20fill%3D'%23c37d0d'%3E%3Cpolygon%20points%3D'5.5%2C0%202.5%2C3%201.1%2C3%204.1%2C0'%2F%3E%3Cpolygon%20points%3D'4%2C0%206%2C2%206%2C0.6%205.4%2C0'%2F%3E%3Cpolygon%20points%3D'0%2C2%201%2C3%202.4%2C3%200%2C0.6'%2F%3E%3C%2Fg%3E%3C%2Fsvg%3E") repeat-x bottom left;padding-bottom:2px}.twoslash .twoslash-completion-cursor{position:relative}.twoslash .twoslash-completion-cursor .twoslash-completion-list{-webkit-user-select:none;user-select:none;position:absolute;top:0;left:0;transform:translateY(1.2em);margin:3px 0 0 -1px;display:inline-block;z-index:8;box-shadow:var(--twoslash-popup-shadow);background:var(--twoslash-popup-bg);border:1px solid var(--twoslash-border-color)}.twoslash-completion-list{width:240px;font-size:.8rem;padding:4px;display:flex;flex-direction:column;gap:4px}.twoslash-completion-list:hover{-webkit-user-select:auto;user-select:auto}.twoslash-completion-list:before{background-color:var(--twoslash-cursor-color);width:2px;position:absolute;top:-1.6em;height:1.4em;left:-1px;content:" "}.twoslash-completion-list li{overflow:hidden;display:flex;align-items:center;gap:.25em;line-height:1em}.twoslash-completion-list li span.twoslash-completions-unmatched{color:var(--twoslash-unmatched-color)}.twoslash-completion-list .deprecated{text-decoration:line-through;opacity:.5}.twoslash-completion-list li span.twoslash-completions-matched{color:var(--twoslash-matched-color)}.twoslash-highlighted{background-color:var(--twoslash-highlighted-bg);border:1px solid var(--twoslash-highlighted-border);padding:1px 2px;margin:-1px -3px;border-radius:4px}.twoslash-completion-list .twoslash-completions-icon{color:var(--twoslash-unmatched-color);width:1em;flex:none}.twoslash .twoslash-tag-line{position:relative;background-color:var(--twoslash-tag-bg);border-left:3px solid var(--twoslash-tag-color);color:var(--twoslash-tag-color);padding:6px 10px;margin:.2em 0;display:flex;align-items:center;gap:.3em;min-width:100%;width:max-content}.twoslash .twoslash-tag-line .twoslash-tag-icon{width:1.1em;color:inherit}.twoslash .twoslash-tag-line.twoslash-tag-error-line{background-color:var(--twoslash-error-bg);border-left:3px solid var(--twoslash-error-color);color:var(--twoslash-error-color)}.twoslash .twoslash-tag-line.twoslash-tag-warn-line{background-color:var(--twoslash-tag-warn-bg);border-left:3px solid var(--twoslash-tag-warn-color);color:var(--twoslash-tag-warn-color)}.twoslash .twoslash-tag-line.twoslash-tag-annotate-line{background-color:var(--twoslash-tag-annotate-bg);border-left:3px solid var(--twoslash-tag-annotate-color);color:var(--twoslash-tag-annotate-color)}:root{--twoslash-popup-bg: var(--vp-c-bg, inherit);--twoslash-popup-color: var(--vp-c-text-1);--twoslash-docs-color: var(--vp-c-text-1);--twoslash-docs-font: var(--vp-font-family-base);--twoslash-code-font: var(--vp-font-family-mono);--twoslash-code-size: var(--vp-code-font-size);--twoslash-underline-color: #8888;--twoslash-border-color: var(--vp-c-border);--twoslash-cursor-color: var(--vp-c-brand);--twoslash-matched-color: var(--vp-c-brand);--twoslash-unmatched-color: var(--vp-c-text-2)}.v-popper--theme-twoslash{z-index:calc(var(--vp-z-index-local-nav) - 1)}.v-popper--theme-twoslash .v-popper__inner{background:var(--twoslash-popup-bg);color:var(--twoslash-popup-color);border-color:var(--twoslash-border-color)}.v-popper--theme-twoslash .v-popper__arrow-outer{border-color:var(--twoslash-border-color)}.v-popper--theme-twoslash .v-popper__arrow-inner{border-color:var(--twoslash-popup-bg)}.twoslash-popup-container{transform:translateY(1.5em)}.twoslash-query-presisted .twoslash-popup-container{transform:translateY(1.8em)}.twoslash .v-popper{display:inline-block}.twoslash-completion-list .twoslash-completions-icon{color:var(--twoslash-unmatched-color)!important}.twoslash-floating .twoslash-popup-code{max-width:600px;display:block;width:fit-content;min-width:100%;padding:6px 12px;line-height:var(--vp-code-line-height);font-size:var(--twoslash-code-size);transition:color .5s;white-space:pre-wrap}.twoslash-floating .twoslash-popup-docs,.twoslash-floating .twoslash-popup-error{padding:12px!important;font-family:var(--twoslash-docs-font);font-size:.9em;max-height:500px;max-width:700px;overflow-y:auto;overflow-x:hidden;text-wrap:balance}.twoslash-floating .twoslash-popup-docs p:first-child,.twoslash-floating .twoslash-popup-error p:first-child{margin-top:0}.twoslash-floating .twoslash-popup-docs p:last-child,.twoslash-floating .twoslash-popup-error p:last-child{margin-bottom:0}.twoslash-floating .twoslash-popup-docs{border-top:1px solid var(--twoslash-border-color);color:var(--twoslash-docs-color)}.twoslash-floating .twoslash-popup-error{color:var(--twoslash-error-color)}.twoslash-floating .twoslash-popup-error.twoslash-error-level-warning{color:var(--twoslash-warn-color)}.twoslash-floating .twoslash-popup-docs p,.twoslash-floating .twoslash-popup-error p{margin:6px 0;text-wrap:balance}.twoslash-floating .twoslash-popup-docs pre .twoslash-floating .twoslash-popup-error pre{background-color:var(--vp-code-block-bg);border-radius:8px;padding:12px;margin:6px -2px;overflow-x:auto}.twoslash-floating .twoslash-popup-docs-tags{display:flex;flex-direction:column;padding:8px 12px!important}.twoslash-floating .twoslash-popup-docs-tags .twoslash-popup-docs-tag-name{font-family:var(--twoslash-code-font);color:var(--twoslash-unmatched-color);margin-right:.5em}.twoslash-completion-cursor{height:1.2em;width:2px;margin-bottom:-.2em;background:var(--twoslash-cursor-color);display:inline-block;-webkit-user-select:none;user-select:none}.twoslash-floating.twoslash-completion .v-popper__arrow-container{display:none}.twoslash-floating.twoslash-completion .twoslash-completion-list{padding:6px;font-family:var(--twoslash-code-font);font-size:var(--twoslash-code-size)!important}.twoslash-floating.twoslash-completion .twoslash-completion-list li{padding:3px 0}
diff --git a/docs/assets/modules/unplugin-icons-DdQIwbVj.js b/docs/assets/modules/unplugin-icons-DdQIwbVj.js
new file mode 100644
index 0000000..1460a5c
--- /dev/null
+++ b/docs/assets/modules/unplugin-icons-DdQIwbVj.js
@@ -0,0 +1 @@
+import{y as l,o,b as t,e}from"./vue-DPv8DyWv.js";const i={class:"slidev-icon",viewBox:"0 0 256 256",width:"1.2em",height:"1.2em"};function s(r,n){return o(),t("svg",i,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M200 32h-36.26a47.92 47.92 0 0 0-71.48 0H56a16 16 0 0 0-16 16v168a16 16 0 0 0 16 16h144a16 16 0 0 0 16-16V48a16 16 0 0 0-16-16m-72 0a32 32 0 0 1 32 32H96a32 32 0 0 1 32-32m72 184H56V48h26.75A47.9 47.9 0 0 0 80 64v8a8 8 0 0 0 8 8h80a8 8 0 0 0 8-8v-8a47.9 47.9 0 0 0-2.75-16H200Z"},null,-1)]))}const N1=l({name:"ph-clipboard",render:s}),c={class:"slidev-icon",viewBox:"0 0 256 256",width:"1.2em",height:"1.2em"};function a(r,n){return o(),t("svg",c,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M173.66 98.34a8 8 0 0 1 0 11.32l-56 56a8 8 0 0 1-11.32 0l-24-24a8 8 0 0 1 11.32-11.32L112 148.69l50.34-50.35a8 8 0 0 1 11.32 0M232 128A104 104 0 1 1 128 24a104.11 104.11 0 0 1 104 104m-16 0a88 88 0 1 0-88 88a88.1 88.1 0 0 0 88-88"},null,-1)]))}const S1=l({name:"ph-check-circle",render:a}),h={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function u(r,n){return o(),t("svg",h,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M16 8h14v2H16zm0 14h14v2H16zm-6-8H4a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h6a2 2 0 0 1 2 2v6a2 2 0 0 1-2 2M4 6v6h6.001L10 6zm6 22H4a2 2 0 0 1-2-2v-6a2 2 0 0 1 2-2h6a2 2 0 0 1 2 2v6a2 2 0 0 1-2 2m-6-8v6h6.001L10 20z"},null,-1)]))}const J1=l({name:"carbon-list-boxes",render:u}),d={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function v(r,n){return o(),t("svg",d,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M26 2H8a2 2 0 0 0-2 2v4H4v2h2v5H4v2h2v5H4v2h2v4a2 2 0 0 0 2 2h18a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2m0 26H8v-4h2v-2H8v-5h2v-2H8v-5h2V8H8V4h18Z"},null,-1),e("path",{fill:"currentColor",d:"M14 8h8v2h-8zm0 7h8v2h-8zm0 7h8v2h-8z"},null,-1)]))}const K1=l({name:"carbon-catalog",render:v}),m={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function _(r,n){return o(),t("svg",m,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M29.415 19L27.7 17.285A3 3 0 0 0 28 16a3 3 0 1 0-3 3a3 3 0 0 0 1.286-.3L28 20.414V28h-6v-3a7.01 7.01 0 0 0-7-7H9a7.01 7.01 0 0 0-7 7v5h28v-9.586A2 2 0 0 0 29.415 19M4 25a5.006 5.006 0 0 1 5-5h6a5.006 5.006 0 0 1 5 5v3H4Z"},null,-1),e("path",{fill:"currentColor",d:"M12 4a5 5 0 1 1-5 5a5 5 0 0 1 5-5m0-2a7 7 0 1 0 7 7a7 7 0 0 0-7-7"},null,-1)]))}const O1=l({name:"carbon-user-speaker",render:_}),p={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function g(r,n){return o(),t("svg",p,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M15 10h2v8h-2zm5 4h2v4h-2zm-10-2h2v6h-2z"},null,-1),e("path",{fill:"currentColor",d:"M25 4h-8V2h-2v2H7a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h8v6h-4v2h10v-2h-4v-6h8a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2m0 16H7V6h18Z"},null,-1)]))}const R1=l({name:"carbon-presentation-file",render:g}),f={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function L(r,n){return o(),t("svg",f,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M27.307 6.107L30 3.414L28.586 2l-2.693 2.693L24.8 3.6a1.933 1.933 0 0 0-2.8 0l-18 18V28h6.4l18-18a1.933 1.933 0 0 0 0-2.8ZM9.6 26H6v-3.6L23.4 5L27 8.6ZM9 11.586L16.586 4L18 5.414L10.414 13z"},null,-1)]))}const U1=l({name:"carbon-pen",render:L}),$={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function w(r,n){return o(),t("svg",$,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"m20.17 19l-2.59 2.59L19 23l4-4l-4-4l-1.42 1.41zm-8.34 0l2.59-2.59L13 15l-4 4l4 4l1.42-1.41z"},null,-1),e("circle",{cx:"9",cy:"8",r:"1",fill:"currentColor"},null,-1),e("circle",{cx:"6",cy:"8",r:"1",fill:"currentColor"},null,-1),e("path",{fill:"currentColor",d:"M28 4H4c-1.103 0-2 .898-2 2v20c0 1.103.897 2 2 2h24c1.103 0 2-.897 2-2V6c0-1.102-.897-2-2-2m0 2v4H4V6zM4 26V12h24v14z"},null,-1)]))}l({name:"carbon-cics-program",render:w});const x={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function M(r,n){return o(),t("svg",x,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M16 12.005a4 4 0 1 1-4 4a4.005 4.005 0 0 1 4-4m0-2a6 6 0 1 0 6 6a6 6 0 0 0-6-6M5.394 6.813L6.81 5.399l3.505 3.506L8.9 10.319zM2 15.005h5v2H2zm3.394 10.193L8.9 21.692l1.414 1.414l-3.505 3.506zM15 25.005h2v5h-2zm6.687-1.9l1.414-1.414l3.506 3.506l-1.414 1.414zm3.313-8.1h5v2h-5zm-3.313-6.101l3.506-3.506l1.414 1.414l-3.506 3.506zM15 2.005h2v5h-2z"},null,-1)]))}const P1=l({name:"carbon-sun",render:M}),z={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function H(r,n){return o(),t("svg",z,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M13.503 5.414a15.076 15.076 0 0 0 11.593 18.194a11.1 11.1 0 0 1-7.975 3.39c-.138 0-.278.005-.418 0a11.094 11.094 0 0 1-3.2-21.584M14.98 3a1 1 0 0 0-.175.016a13.096 13.096 0 0 0 1.825 25.981c.164.006.328 0 .49 0a13.07 13.07 0 0 0 10.703-5.555a1.01 1.01 0 0 0-.783-1.565A13.08 13.08 0 0 1 15.89 4.38A1.015 1.015 0 0 0 14.98 3"},null,-1)]))}const Q1=l({name:"carbon-moon",render:H}),C={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function V(r,n){return o(),t("svg",C,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M23 28a1 1 0 0 1-.71-.29l-6.13-6.14l-3.33 5a1 1 0 0 1-1 .44a1 1 0 0 1-.81-.7l-6-20A1 1 0 0 1 6.29 5l20 6a1 1 0 0 1 .7.81a1 1 0 0 1-.44 1l-5 3.33l6.14 6.13a1 1 0 0 1 0 1.42l-4 4A1 1 0 0 1 23 28m0-2.41L25.59 23l-7.16-7.15l5.25-3.5L7.49 7.49l4.86 16.19l3.5-5.25Z"},null,-1)]))}const T1=l({name:"carbon-cursor1",render:V}),B={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function b(r,n){return o(),t("svg",B,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M8 12h10v2H8z"},null,-1),e("path",{fill:"currentColor",d:"M21.448 20A10.86 10.86 0 0 0 24 13a11 11 0 1 0-11 11a10.86 10.86 0 0 0 7-2.552L27.586 29L29 27.586ZM13 22a9 9 0 1 1 9-9a9.01 9.01 0 0 1-9 9"},null,-1)]))}const W1=l({name:"carbon-zoom-out",render:b}),Z={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function A(r,n){return o(),t("svg",Z,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M18 12h-4V8h-2v4H8v2h4v4h2v-4h4z"},null,-1),e("path",{fill:"currentColor",d:"M21.448 20A10.86 10.86 0 0 0 24 13a11 11 0 1 0-11 11a10.86 10.86 0 0 0 7-2.552L27.586 29L29 27.586ZM13 22a9 9 0 1 1 9-9a9.01 9.01 0 0 1-9 9"},null,-1)]))}const X1=l({name:"carbon-zoom-in",render:A}),k={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function y(r,n){return o(),t("svg",k,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M20 2v2h6.586L18 12.582L19.414 14L28 5.414V12h2V2zm-6 17.416L12.592 18L4 26.586V20H2v10h10v-2H5.414z"},null,-1)]))}const Y1=l({name:"carbon-maximize",render:y}),j={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function D(r,n){return o(),t("svg",j,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M4 18v2h6.586L2 28.582L3.414 30L12 21.414V28h2V18zM30 3.416L28.592 2L20 10.586V4h-2v10h10v-2h-6.586z"},null,-1)]))}const n2=l({name:"carbon-minimize",render:D}),E={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function q(r,n){return o(),t("svg",E,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M12 10H6.78A11 11 0 0 1 27 16h2A13 13 0 0 0 6 7.68V4H4v8h8zm8 12h5.22A11 11 0 0 1 5 16H3a13 13 0 0 0 23 8.32V28h2v-8h-8z"},null,-1)]))}const e2=l({name:"carbon-renew",render:q}),F={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function G(r,n){return o(),t("svg",F,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M16 30a14 14 0 1 1 14-14a14 14 0 0 1-14 14m0-26a12 12 0 1 0 12 12A12 12 0 0 0 16 4"},null,-1),e("path",{fill:"currentColor",d:"M20.59 22L15 16.41V7h2v8.58l5 5.01z"},null,-1)]))}const l2=l({name:"carbon-time",render:G}),I={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function N(r,n){return o(),t("svg",I,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M2 26h28v2H2zM25.4 9c.8-.8.8-2 0-2.8l-3.6-3.6c-.8-.8-2-.8-2.8 0l-15 15V24h6.4zm-5-5L24 7.6l-3 3L17.4 7zM6 22v-3.6l10-10l3.6 3.6l-10 10z"},null,-1)]))}l({name:"carbon-edit",render:N});const S={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function J(r,n){return o(),t("svg",S,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M8 4v4H4V4Zm2-2H2v8h8Zm8 2v4h-4V4Zm2-2h-8v8h8Zm8 2v4h-4V4Zm2-2h-8v8h8ZM8 14v4H4v-4Zm2-2H2v8h8Zm8 2v4h-4v-4Zm2-2h-8v8h8Zm8 2v4h-4v-4Zm2-2h-8v8h8ZM8 24v4H4v-4Zm2-2H2v8h8Zm8 2v4h-4v-4Zm2-2h-8v8h8Zm8 2v4h-4v-4Zm2-2h-8v8h8Z"},null,-1)]))}const o2=l({name:"carbon-apps",render:J}),K={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function O(r,n){return o(),t("svg",K,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M24.59 16.59L17 24.17V4h-2v20.17l-7.59-7.58L6 18l10 10l10-10z"},null,-1)]))}const t2=l({name:"carbon-arrow-down",render:O}),R={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function U(r,n){return o(),t("svg",R,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"m14 26l1.41-1.41L7.83 17H28v-2H7.83l7.58-7.59L14 6L4 16z"},null,-1)]))}const r2=l({name:"carbon-arrow-left",render:U}),P={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function Q(r,n){return o(),t("svg",P,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"m18 6l-1.43 1.393L24.15 15H4v2h20.15l-7.58 7.573L18 26l10-10z"},null,-1)]))}const i2=l({name:"carbon-arrow-right",render:Q}),T={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function W(r,n){return o(),t("svg",T,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M16 4L6 14l1.41 1.41L15 7.83V28h2V7.83l7.59 7.58L26 14z"},null,-1)]))}const s2=l({name:"carbon-arrow-up",render:W}),X={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function Y(r,n){return o(),t("svg",X,n[0]||(n[0]=[e("path",{d:"M29.537 13.76l-3.297-3.297a1.586 1.586 0 0 0-2.24 0L10 24.467V30h5.533l14.004-14a1.586 1.586 0 0 0 0-2.24zM14.704 28H12v-2.704l9.44-9.441l2.705 2.704zM25.56 17.145l-2.704-2.704l2.267-2.267l2.704 2.704z",fill:"currentColor"},null,-1),e("path",{d:"M11 17h2v-7h3V8H8v2h3v7z",fill:"currentColor"},null,-1),e("path",{d:"M8 20H4V4h16v4h2V4a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h4z",fill:"currentColor"},null,-1)]))}const c2=l({name:"carbon-text-annotation-toggle",render:Y}),n1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function e1(r,n){return o(),t("svg",n1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M16 2C8.2 2 2 8.2 2 16s6.2 14 14 14s14-6.2 14-14S23.8 2 16 2m0 26C9.4 28 4 22.6 4 16S9.4 4 16 4s12 5.4 12 12s-5.4 12-12 12"},null,-1),e("path",{fill:"currentColor",d:"M21.4 23L16 17.6L10.6 23L9 21.4l5.4-5.4L9 10.6L10.6 9l5.4 5.4L21.4 9l1.6 1.6l-5.4 5.4l5.4 5.4z"},null,-1)]))}const a2=l({name:"carbon-close-outline",render:e1}),l1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function o1(r,n){return o(),t("svg",l1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M2 16A14 14 0 1 0 16 2A14 14 0 0 0 2 16m23.15 7.75L8.25 6.85a12 12 0 0 1 16.9 16.9M8.24 25.16a12 12 0 0 1-1.4-16.89l16.89 16.89a12 12 0 0 1-15.49 0"},null,-1)]))}const h2=l({name:"carbon-error",render:o1}),t1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function r1(r,n){return o(),t("svg",t1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M28.59 13.31L30 11.9L20 2l-1.31 1.42l1.18 1.18l-11.49 9.72l-1.72-1.71L5.25 14l5.66 5.68L2 28.58L3.41 30l8.91-8.91L18 26.75l1.39-1.42l-1.71-1.71l9.72-11.49ZM16.26 22.2L9.8 15.74L21.29 6L26 10.71Z"},null,-1)]))}const u2=l({name:"carbon-pin",render:r1}),i1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function s1(r,n){return o(),t("svg",i1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M28.586 13.314L30 11.9L20 2l-1.314 1.415l1.186 1.186L8.38 14.322l-1.716-1.715L5.25 14l5.657 5.677L2 28.583L3.41 30l8.911-8.909L18 26.748l1.393-1.414l-1.716-1.716l9.724-11.49Z"},null,-1)]))}const d2=l({name:"carbon-pin-filled",render:s1}),c1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function a1(r,n){return o(),t("svg",c1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M12 12h2v12h-2zm6 0h2v12h-2z"},null,-1),e("path",{fill:"currentColor",d:"M4 6v2h2v20a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V8h2V6zm4 22V8h16v20zm4-26h8v2h-8z"},null,-1)]))}const v2=l({name:"carbon-trash-can",render:a1}),h1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function u1(r,n){return o(),t("svg",h1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M12 10h12.185l-3.587-3.586L22 5l6 6l-6 6l-1.402-1.415L24.182 12H12a6 6 0 0 0 0 12h8v2h-8a8 8 0 0 1 0-16"},null,-1)]))}const m2=l({name:"carbon-redo",render:u1}),d1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function v1(r,n){return o(),t("svg",d1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M20 10H7.815l3.587-3.586L10 5l-6 6l6 6l1.402-1.415L7.818 12H20a6 6 0 0 1 0 12h-8v2h8a8 8 0 0 0 0-16"},null,-1)]))}const _2=l({name:"carbon-undo",render:v1}),m1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function _1(r,n){return o(),t("svg",m1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M7 27h23v2H7zm20.38-16.49l-7.93-7.92a2 2 0 0 0-2.83 0l-14 14a2 2 0 0 0 0 2.83L7.13 24h9.59l10.66-10.66a2 2 0 0 0 0-2.83M15.89 22H8l-4-4l6.31-6.31l7.93 7.92zm3.76-3.76l-7.92-7.93L18 4l8 7.93z"},null,-1)]))}const p2=l({name:"carbon-erase",render:_1}),p1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function g1(r,n){return o(),t("svg",p1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M26 4H6a2 2 0 0 0-2 2v20a2 2 0 0 0 2 2h20a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2M6 26V6h20v20Z"},null,-1)]))}const g2=l({name:"carbon-checkbox",render:g1}),f1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function L1(r,n){return o(),t("svg",f1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M16 2a14 14 0 1 0 14 14A14 14 0 0 0 16 2m0 26a12 12 0 1 1 12-12a12 12 0 0 1-12 12"},null,-1)]))}const f2=l({name:"carbon-radio-button",render:L1}),$1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function w1(r,n){return o(),t("svg",$1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M10 6v2h12.59L6 24.59L7.41 26L24 9.41V22h2V6z"},null,-1)]))}const L2=l({name:"carbon-arrow-up-right",render:w1}),x1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function M1(r,n){return o(),t("svg",x1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M30 8h-4.1c-.5-2.3-2.5-4-4.9-4s-4.4 1.7-4.9 4H2v2h14.1c.5 2.3 2.5 4 4.9 4s4.4-1.7 4.9-4H30zm-9 4c-1.7 0-3-1.3-3-3s1.3-3 3-3s3 1.3 3 3s-1.3 3-3 3M2 24h4.1c.5 2.3 2.5 4 4.9 4s4.4-1.7 4.9-4H30v-2H15.9c-.5-2.3-2.5-4-4.9-4s-4.4 1.7-4.9 4H2zm9-4c1.7 0 3 1.3 3 3s-1.3 3-3 3s-3-1.3-3-3s1.3-3 3-3"},null,-1)]))}const $2=l({name:"carbon-settings-adjust",render:M1}),z1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function H1(r,n){return o(),t("svg",z1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M17 22v-8h-4v2h2v6h-3v2h8v-2zM16 8a1.5 1.5 0 1 0 1.5 1.5A1.5 1.5 0 0 0 16 8"},null,-1),e("path",{fill:"currentColor",d:"M16 30a14 14 0 1 1 14-14a14 14 0 0 1-14 14m0-26a12 12 0 1 0 12 12A12 12 0 0 0 16 4"},null,-1)]))}const w2=l({name:"carbon-information",render:H1}),C1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function V1(r,n){return o(),t("svg",C1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M26 24v4H6v-4H4v4a2 2 0 0 0 2 2h20a2 2 0 0 0 2-2v-4zm0-10l-1.41-1.41L17 20.17V2h-2v18.17l-7.59-7.58L6 14l10 10z"},null,-1)]))}const x2=l({name:"carbon-download",render:V1}),B1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function b1(r,n){return o(),t("svg",B1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M26 6v4H6V6zm0-2H6a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h20a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2M10 16v10H6V16zm0-2H6a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h4a2 2 0 0 0 2-2V16a2 2 0 0 0-2-2m16 2v10H16V16zm0-2H16a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2V16a2 2 0 0 0-2-2"},null,-1)]))}const M2=l({name:"carbon-template",render:b1}),Z1={class:"slidev-icon",viewBox:"0 0 256 256",width:"1.2em",height:"1.2em"};function A1(r,n){return o(),t("svg",Z1,n[0]||(n[0]=[e("g",{fill:"currentColor"},[e("path",{d:"M213.66 201L201 213.66a8 8 0 0 1-11.31 0l-51.31-51.31a8 8 0 0 0-13 2.46l-17.82 46.41a8 8 0 0 1-14.85-.71L40.41 50.44a8 8 0 0 1 10-10l160.1 52.24a8 8 0 0 1 .71 14.85l-46.41 17.82a8 8 0 0 0-2.46 13l51.31 51.31a8 8 0 0 1 0 11.34",opacity:".2"}),e("path",{d:"M168 132.69L214.08 115l.33-.13a16 16 0 0 0-1.41-29.8L52.92 32.8A15.95 15.95 0 0 0 32.8 52.92L85.07 213a15.82 15.82 0 0 0 14.41 11h.78a15.84 15.84 0 0 0 14.61-9.59l.13-.33L132.69 168L184 219.31a16 16 0 0 0 22.63 0l12.68-12.68a16 16 0 0 0 0-22.63ZM195.31 208L144 156.69a16 16 0 0 0-26 4.93c0 .11-.09.22-.13.32l-17.65 46L48 48l159.85 52.2l-45.95 17.64l-.32.13a16 16 0 0 0-4.93 26L208 195.31Z"})],-1)]))}const z2=l({name:"ph-cursor-duotone",render:A1}),k1={class:"slidev-icon",viewBox:"0 0 256 256",width:"1.2em",height:"1.2em"};function y1(r,n){return o(),t("svg",k1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"m220.49 207.8l-12.69 12.69a12 12 0 0 1-17 0l-56.57-56.57L115 214.08l-.13.33a15.84 15.84 0 0 1-14.61 9.59h-.78a15.82 15.82 0 0 1-14.41-11L32.8 52.92A15.95 15.95 0 0 1 52.92 32.8L213 85.07a16 16 0 0 1 1.41 29.8l-.33.13l-50.16 19.27l56.57 56.56a12 12 0 0 1 0 16.97"},null,-1)]))}const H2=l({name:"ph-cursor-fill",render:y1}),j1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function D1(r,n){return o(),t("svg",j1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"m13 24l-9-9l1.414-1.414L13 21.171L26.586 7.586L28 9z"},null,-1)]))}const C2=l({name:"carbon-checkmark",render:D1}),E1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function q1(r,n){return o(),t("svg",E1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M17.414 16L24 9.414L22.586 8L16 14.586L9.414 8L8 9.414L14.586 16L8 22.586L9.414 24L16 17.414L22.586 24L24 22.586z"},null,-1)]))}const V2=l({name:"carbon-close",render:q1}),F1={class:"slidev-icon",viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"};function G1(r,n){return o(),t("svg",F1,n[0]||(n[0]=[e("path",{fill:"currentColor",d:"M7 28a1 1 0 0 1-1-1V5a1 1 0 0 1 1.482-.876l20 11a1 1 0 0 1 0 1.752l-20 11A1 1 0 0 1 7 28M8 6.69v18.62L24.925 16Z"},null,-1)]))}const B2=l({name:"carbon-play",render:G1});export{L2 as A,f2 as B,g2 as C,p2 as D,_2 as E,m2 as F,v2 as G,d2 as H,s2 as I,u2 as J,h2 as K,a2 as L,S1 as M,N1 as N,B2 as O,R1 as _,O1 as a,K1 as b,J1 as c,Q1 as d,P1 as e,U1 as f,X1 as g,W1 as h,n2 as i,Y1 as j,l2 as k,e2 as l,T1 as m,H2 as n,r2 as o,i2 as p,t2 as q,c2 as r,o2 as s,C2 as t,z2 as u,M2 as v,x2 as w,w2 as x,$2 as y,V2 as z};
diff --git a/docs/assets/modules/vue-DPv8DyWv.js b/docs/assets/modules/vue-DPv8DyWv.js
new file mode 100644
index 0000000..cbeb90a
--- /dev/null
+++ b/docs/assets/modules/vue-DPv8DyWv.js
@@ -0,0 +1,40 @@
+/**
+* @vue/shared v3.5.12
+* (c) 2018-present Yuxi (Evan) You and Vue contributors
+* @license MIT
+**//*! #__NO_SIDE_EFFECTS__ */function vt(e){const t=Object.create(null);for(const n of e.split(","))t[n]=1;return n=>n in t}const le={},ns=[],Ye=()=>{},Rs=()=>!1,jn=e=>e.charCodeAt(0)===111&&e.charCodeAt(1)===110&&(e.charCodeAt(2)>122||e.charCodeAt(2)<97),pl=e=>e.startsWith("onUpdate:"),ce=Object.assign,ml=(e,t)=>{const n=e.indexOf(t);n>-1&&e.splice(n,1)},ap=Object.prototype.hasOwnProperty,pe=(e,t)=>ap.call(e,t),j=Array.isArray,ss=e=>Es(e)==="[object Map]",Un=e=>Es(e)==="[object Set]",Ac=e=>Es(e)==="[object Date]",up=e=>Es(e)==="[object RegExp]",Q=e=>typeof e=="function",ne=e=>typeof e=="string",ut=e=>typeof e=="symbol",be=e=>e!==null&&typeof e=="object",gl=e=>(be(e)||Q(e))&&Q(e.then)&&Q(e.catch),fu=Object.prototype.toString,Es=e=>fu.call(e),fp=e=>Es(e).slice(8,-1),Oi=e=>Es(e)==="[object Object]",yl=e=>ne(e)&&e!=="NaN"&&e[0]!=="-"&&""+parseInt(e,10)===e,fn=vt(",key,ref,ref_for,ref_key,onVnodeBeforeMount,onVnodeMounted,onVnodeBeforeUpdate,onVnodeUpdated,onVnodeBeforeUnmount,onVnodeUnmounted"),hp=vt("bind,cloak,else-if,else,for,html,if,model,on,once,pre,show,slot,text,memo"),Ni=e=>{const t=Object.create(null);return n=>t[n]||(t[n]=e(n))},dp=/-(\w)/g,we=Ni(e=>e.replace(dp,(t,n)=>n?n.toUpperCase():"")),pp=/\B([A-Z])/g,ct=Ni(e=>e.replace(pp,"-$1").toLowerCase()),Wn=Ni(e=>e.charAt(0).toUpperCase()+e.slice(1)),rs=Ni(e=>e?`on${Wn(e)}`:""),Qe=(e,t)=>!Object.is(e,t),is=(e,...t)=>{for(let n=0;n<e.length;n++)e[n](...t)},hu=(e,t,n,s=!1)=>{Object.defineProperty(e,t,{configurable:!0,enumerable:!1,writable:s,value:n})},Qr=e=>{const t=parseFloat(e);return isNaN(t)?e:t},ei=e=>{const t=ne(e)?Number(e):NaN;return isNaN(t)?e:t};let xc;const Ri=()=>xc||(xc=typeof globalThis<"u"?globalThis:typeof self<"u"?self:typeof window<"u"?window:typeof global<"u"?global:{});function mp(e,t){return e+JSON.stringify(t,(n,s)=>typeof s=="function"?s.toString():s)}const gp="Infinity,undefined,NaN,isFinite,isNaN,parseFloat,parseInt,decodeURI,decodeURIComponent,encodeURI,encodeURIComponent,Math,Number,Date,Array,Object,Boolean,String,RegExp,Map,Set,JSON,Intl,BigInt,console,Error,Symbol",yp=vt(gp);function ur(e){if(j(e)){const t={};for(let n=0;n<e.length;n++){const s=e[n],r=ne(s)?du(s):ur(s);if(r)for(const i in r)t[i]=r[i]}return t}else if(ne(e)||be(e))return e}const vp=/;(?![^(]*\))/g,bp=/:([^]+)/,Sp=/\/\*[^]*?\*\//g;function du(e){const t={};return e.replace(Sp,"").split(vp).forEach(n=>{if(n){const s=n.split(bp);s.length>1&&(t[s[0].trim()]=s[1].trim())}}),t}function fr(e){let t="";if(ne(e))t=e;else if(j(e))for(let n=0;n<e.length;n++){const s=fr(e[n]);s&&(t+=s+" ")}else if(be(e))for(const n in e)e[n]&&(t+=n+" ");return t.trim()}function _p(e){if(!e)return null;let{class:t,style:n}=e;return t&&!ne(t)&&(e.class=fr(t)),n&&(e.style=ur(n)),e}const Ep="html,body,base,head,link,meta,style,title,address,article,aside,footer,header,hgroup,h1,h2,h3,h4,h5,h6,nav,section,div,dd,dl,dt,figcaption,figure,picture,hr,img,li,main,ol,p,pre,ul,a,b,abbr,bdi,bdo,br,cite,code,data,dfn,em,i,kbd,mark,q,rp,rt,ruby,s,samp,small,span,strong,sub,sup,time,u,var,wbr,area,audio,map,track,video,embed,object,param,source,canvas,script,noscript,del,ins,caption,col,colgroup,table,thead,tbody,td,th,tr,button,datalist,fieldset,form,input,label,legend,meter,optgroup,option,output,progress,select,textarea,details,dialog,menu,summary,template,blockquote,iframe,tfoot",wp="svg,animate,animateMotion,animateTransform,circle,clipPath,color-profile,defs,desc,discard,ellipse,feBlend,feColorMatrix,feComponentTransfer,feComposite,feConvolveMatrix,feDiffuseLighting,feDisplacementMap,feDistantLight,feDropShadow,feFlood,feFuncA,feFuncB,feFuncG,feFuncR,feGaussianBlur,feImage,feMerge,feMergeNode,feMorphology,feOffset,fePointLight,feSpecularLighting,feSpotLight,feTile,feTurbulence,filter,foreignObject,g,hatch,hatchpath,image,line,linearGradient,marker,mask,mesh,meshgradient,meshpatch,meshrow,metadata,mpath,path,pattern,polygon,polyline,radialGradient,rect,set,solidcolor,stop,switch,symbol,text,textPath,title,tspan,unknown,use,view",Tp="annotation,annotation-xml,maction,maligngroup,malignmark,math,menclose,merror,mfenced,mfrac,mfraction,mglyph,mi,mlabeledtr,mlongdiv,mmultiscripts,mn,mo,mover,mpadded,mphantom,mprescripts,mroot,mrow,ms,mscarries,mscarry,msgroup,msline,mspace,msqrt,msrow,mstack,mstyle,msub,msubsup,msup,mtable,mtd,mtext,mtr,munder,munderover,none,semantics",Cp="area,base,br,col,embed,hr,img,input,link,meta,param,source,track,wbr",Ap=vt(Ep),xp=vt(wp),Op=vt(Tp),Np=vt(Cp),Rp="itemscope,allowfullscreen,formnovalidate,ismap,nomodule,novalidate,readonly",Ip=vt(Rp);function pu(e){return!!e||e===""}function Pp(e,t){if(e.length!==t.length)return!1;let n=!0;for(let s=0;n&&s<e.length;s++)n=gn(e[s],t[s]);return n}function gn(e,t){if(e===t)return!0;let n=Ac(e),s=Ac(t);if(n||s)return n&&s?e.getTime()===t.getTime():!1;if(n=ut(e),s=ut(t),n||s)return e===t;if(n=j(e),s=j(t),n||s)return n&&s?Pp(e,t):!1;if(n=be(e),s=be(t),n||s){if(!n||!s)return!1;const r=Object.keys(e).length,i=Object.keys(t).length;if(r!==i)return!1;for(const o in e){const l=e.hasOwnProperty(o),c=t.hasOwnProperty(o);if(l&&!c||!l&&c||!gn(e[o],t[o]))return!1}}return String(e)===String(t)}function Ii(e,t){return e.findIndex(n=>gn(n,t))}const mu=e=>!!(e&&e.__v_isRef===!0),gu=e=>ne(e)?e:e==null?"":j(e)||be(e)&&(e.toString===fu||!Q(e.toString))?mu(e)?gu(e.value):JSON.stringify(e,yu,2):String(e),yu=(e,t)=>mu(t)?yu(e,t.value):ss(t)?{[`Map(${t.size})`]:[...t.entries()].reduce((n,[s,r],i)=>(n[no(s,i)+" =>"]=r,n),{})}:Un(t)?{[`Set(${t.size})`]:[...t.values()].map(n=>no(n))}:ut(t)?no(t):be(t)&&!j(t)&&!Oi(t)?String(t):t,no=(e,t="")=>{var n;return ut(e)?`Symbol(${(n=e.description)!=null?n:t})`:e};/**
+* @vue/reactivity v3.5.12
+* (c) 2018-present Yuxi (Evan) You and Vue contributors
+* @license MIT
+**/let Ze;class vl{constructor(t=!1){this.detached=t,this._active=!0,this.effects=[],this.cleanups=[],this._isPaused=!1,this.parent=Ze,!t&&Ze&&(this.index=(Ze.scopes||(Ze.scopes=[])).push(this)-1)}get active(){return this._active}pause(){if(this._active){this._isPaused=!0;let t,n;if(this.scopes)for(t=0,n=this.scopes.length;t<n;t++)this.scopes[t].pause();for(t=0,n=this.effects.length;t<n;t++)this.effects[t].pause()}}resume(){if(this._active&&this._isPaused){this._isPaused=!1;let t,n;if(this.scopes)for(t=0,n=this.scopes.length;t<n;t++)this.scopes[t].resume();for(t=0,n=this.effects.length;t<n;t++)this.effects[t].resume()}}run(t){if(this._active){const n=Ze;try{return Ze=this,t()}finally{Ze=n}}}on(){Ze=this}off(){Ze=this.parent}stop(t){if(this._active){let n,s;for(n=0,s=this.effects.length;n<s;n++)this.effects[n].stop();for(n=0,s=this.cleanups.length;n<s;n++)this.cleanups[n]();if(this.scopes)for(n=0,s=this.scopes.length;n<s;n++)this.scopes[n].stop(!0);if(!this.detached&&this.parent&&!t){const r=this.parent.scopes.pop();r&&r!==this&&(this.parent.scopes[this.index]=r,r.index=this.index)}this.parent=void 0,this._active=!1}}}function vu(e){return new vl(e)}function Pi(){return Ze}function bl(e,t=!1){Ze&&Ze.cleanups.push(e)}let _e;const so=new WeakSet;class qs{constructor(t){this.fn=t,this.deps=void 0,this.depsTail=void 0,this.flags=5,this.next=void 0,this.cleanup=void 0,this.scheduler=void 0,Ze&&Ze.active&&Ze.effects.push(this)}pause(){this.flags|=64}resume(){this.flags&64&&(this.flags&=-65,so.has(this)&&(so.delete(this),this.trigger()))}notify(){this.flags&2&&!(this.flags&32)||this.flags&8||Su(this)}run(){if(!(this.flags&1))return this.fn();this.flags|=2,Oc(this),_u(this);const t=_e,n=Rt;_e=this,Rt=!0;try{return this.fn()}finally{Eu(this),_e=t,Rt=n,this.flags&=-3}}stop(){if(this.flags&1){for(let t=this.deps;t;t=t.nextDep)El(t);this.deps=this.depsTail=void 0,Oc(this),this.onStop&&this.onStop(),this.flags&=-2}}trigger(){this.flags&64?so.add(this):this.scheduler?this.scheduler():this.runIfDirty()}runIfDirty(){xo(this)&&this.run()}get dirty(){return xo(this)}}let bu=0,Ms,ks;function Su(e,t=!1){if(e.flags|=8,t){e.next=ks,ks=e;return}e.next=Ms,Ms=e}function Sl(){bu++}function _l(){if(--bu>0)return;if(ks){let t=ks;for(ks=void 0;t;){const n=t.next;t.next=void 0,t.flags&=-9,t=n}}let e;for(;Ms;){let t=Ms;for(Ms=void 0;t;){const n=t.next;if(t.next=void 0,t.flags&=-9,t.flags&1)try{t.trigger()}catch(s){e||(e=s)}t=n}}if(e)throw e}function _u(e){for(let t=e.deps;t;t=t.nextDep)t.version=-1,t.prevActiveLink=t.dep.activeLink,t.dep.activeLink=t}function Eu(e){let t,n=e.depsTail,s=n;for(;s;){const r=s.prevDep;s.version===-1?(s===n&&(n=r),El(s),Mp(s)):t=s,s.dep.activeLink=s.prevActiveLink,s.prevActiveLink=void 0,s=r}e.deps=t,e.depsTail=n}function xo(e){for(let t=e.deps;t;t=t.nextDep)if(t.dep.version!==t.version||t.dep.computed&&(wu(t.dep.computed)||t.dep.version!==t.version))return!0;return!!e._dirty}function wu(e){if(e.flags&4&&!(e.flags&16)||(e.flags&=-17,e.globalVersion===Gs))return;e.globalVersion=Gs;const t=e.dep;if(e.flags|=2,t.version>0&&!e.isSSR&&e.deps&&!xo(e)){e.flags&=-3;return}const n=_e,s=Rt;_e=e,Rt=!0;try{_u(e);const r=e.fn(e._value);(t.version===0||Qe(r,e._value))&&(e._value=r,t.version++)}catch(r){throw t.version++,r}finally{_e=n,Rt=s,Eu(e),e.flags&=-3}}function El(e,t=!1){const{dep:n,prevSub:s,nextSub:r}=e;if(s&&(s.nextSub=r,e.prevSub=void 0),r&&(r.prevSub=s,e.nextSub=void 0),n.subs===e&&(n.subs=s,!s&&n.computed)){n.computed.flags&=-5;for(let i=n.computed.deps;i;i=i.nextDep)El(i,!0)}!t&&!--n.sc&&n.map&&n.map.delete(n.key)}function Mp(e){const{prevDep:t,nextDep:n}=e;t&&(t.nextDep=n,e.prevDep=void 0),n&&(n.prevDep=t,e.nextDep=void 0)}function kp(e,t){e.effect instanceof qs&&(e=e.effect.fn);const n=new qs(e);t&&ce(n,t);try{n.run()}catch(r){throw n.stop(),r}const s=n.run.bind(n);return s.effect=n,s}function Fp(e){e.effect.stop()}let Rt=!0;const Tu=[];function Sn(){Tu.push(Rt),Rt=!1}function _n(){const e=Tu.pop();Rt=e===void 0?!0:e}function Oc(e){const{cleanup:t}=e;if(e.cleanup=void 0,t){const n=_e;_e=void 0;try{t()}finally{_e=n}}}let Gs=0;class Lp{constructor(t,n){this.sub=t,this.dep=n,this.version=n.version,this.nextDep=this.prevDep=this.nextSub=this.prevSub=this.prevActiveLink=void 0}}class Mi{constructor(t){this.computed=t,this.version=0,this.activeLink=void 0,this.subs=void 0,this.map=void 0,this.key=void 0,this.sc=0}track(t){if(!_e||!Rt||_e===this.computed)return;let n=this.activeLink;if(n===void 0||n.sub!==_e)n=this.activeLink=new Lp(_e,this),_e.deps?(n.prevDep=_e.depsTail,_e.depsTail.nextDep=n,_e.depsTail=n):_e.deps=_e.depsTail=n,Cu(n);else if(n.version===-1&&(n.version=this.version,n.nextDep)){const s=n.nextDep;s.prevDep=n.prevDep,n.prevDep&&(n.prevDep.nextDep=s),n.prevDep=_e.depsTail,n.nextDep=void 0,_e.depsTail.nextDep=n,_e.depsTail=n,_e.deps===n&&(_e.deps=s)}return n}trigger(t){this.version++,Gs++,this.notify(t)}notify(t){Sl();try{for(let n=this.subs;n;n=n.prevSub)n.sub.notify()&&n.sub.dep.notify()}finally{_l()}}}function Cu(e){if(e.dep.sc++,e.sub.flags&4){const t=e.dep.computed;if(t&&!e.dep.subs){t.flags|=20;for(let s=t.deps;s;s=s.nextDep)Cu(s)}const n=e.dep.subs;n!==e&&(e.prevSub=n,n&&(n.nextSub=e)),e.dep.subs=e}}const ti=new WeakMap,Mn=Symbol(""),Oo=Symbol(""),zs=Symbol("");function Ke(e,t,n){if(Rt&&_e){let s=ti.get(e);s||ti.set(e,s=new Map);let r=s.get(n);r||(s.set(n,r=new Mi),r.map=s,r.key=n),r.track()}}function qt(e,t,n,s,r,i){const o=ti.get(e);if(!o){Gs++;return}const l=c=>{c&&c.trigger()};if(Sl(),t==="clear")o.forEach(l);else{const c=j(e),f=c&&yl(n);if(c&&n==="length"){const u=Number(s);o.forEach((a,h)=>{(h==="length"||h===zs||!ut(h)&&h>=u)&&l(a)})}else switch((n!==void 0||o.has(void 0))&&l(o.get(n)),f&&l(o.get(zs)),t){case"add":c?f&&l(o.get("length")):(l(o.get(Mn)),ss(e)&&l(o.get(Oo)));break;case"delete":c||(l(o.get(Mn)),ss(e)&&l(o.get(Oo)));break;case"set":ss(e)&&l(o.get(Mn));break}}_l()}function Dp(e,t){const n=ti.get(e);return n&&n.get(t)}function Yn(e){const t=oe(e);return t===e?t:(Ke(t,"iterate",zs),yt(e)?t:t.map(qe))}function ki(e){return Ke(e=oe(e),"iterate",zs),e}const Vp={__proto__:null,[Symbol.iterator](){return ro(this,Symbol.iterator,qe)},concat(...e){return Yn(this).concat(...e.map(t=>j(t)?Yn(t):t))},entries(){return ro(this,"entries",e=>(e[1]=qe(e[1]),e))},every(e,t){return Bt(this,"every",e,t,void 0,arguments)},filter(e,t){return Bt(this,"filter",e,t,n=>n.map(qe),arguments)},find(e,t){return Bt(this,"find",e,t,qe,arguments)},findIndex(e,t){return Bt(this,"findIndex",e,t,void 0,arguments)},findLast(e,t){return Bt(this,"findLast",e,t,qe,arguments)},findLastIndex(e,t){return Bt(this,"findLastIndex",e,t,void 0,arguments)},forEach(e,t){return Bt(this,"forEach",e,t,void 0,arguments)},includes(...e){return io(this,"includes",e)},indexOf(...e){return io(this,"indexOf",e)},join(e){return Yn(this).join(e)},lastIndexOf(...e){return io(this,"lastIndexOf",e)},map(e,t){return Bt(this,"map",e,t,void 0,arguments)},pop(){return As(this,"pop")},push(...e){return As(this,"push",e)},reduce(e,...t){return Nc(this,"reduce",e,t)},reduceRight(e,...t){return Nc(this,"reduceRight",e,t)},shift(){return As(this,"shift")},some(e,t){return Bt(this,"some",e,t,void 0,arguments)},splice(...e){return As(this,"splice",e)},toReversed(){return Yn(this).toReversed()},toSorted(e){return Yn(this).toSorted(e)},toSpliced(...e){return Yn(this).toSpliced(...e)},unshift(...e){return As(this,"unshift",e)},values(){return ro(this,"values",qe)}};function ro(e,t,n){const s=ki(e),r=s[t]();return s!==e&&!yt(e)&&(r._next=r.next,r.next=()=>{const i=r._next();return i.value&&(i.value=n(i.value)),i}),r}const $p=Array.prototype;function Bt(e,t,n,s,r,i){const o=ki(e),l=o!==e&&!yt(e),c=o[t];if(c!==$p[t]){const a=c.apply(e,i);return l?qe(a):a}let f=n;o!==e&&(l?f=function(a,h){return n.call(this,qe(a),h,e)}:n.length>2&&(f=function(a,h){return n.call(this,a,h,e)}));const u=c.call(o,f,s);return l&&r?r(u):u}function Nc(e,t,n,s){const r=ki(e);let i=n;return r!==e&&(yt(e)?n.length>3&&(i=function(o,l,c){return n.call(this,o,l,c,e)}):i=function(o,l,c){return n.call(this,o,qe(l),c,e)}),r[t](i,...s)}function io(e,t,n){const s=oe(e);Ke(s,"iterate",zs);const r=s[t](...n);return(r===-1||r===!1)&&Di(n[0])?(n[0]=oe(n[0]),s[t](...n)):r}function As(e,t,n=[]){Sn(),Sl();const s=oe(e)[t].apply(e,n);return _l(),_n(),s}const Bp=vt("__proto__,__v_isRef,__isVue"),Au=new Set(Object.getOwnPropertyNames(Symbol).filter(e=>e!=="arguments"&&e!=="caller").map(e=>Symbol[e]).filter(ut));function Hp(e){ut(e)||(e=String(e));const t=oe(this);return Ke(t,"has",e),t.hasOwnProperty(e)}class xu{constructor(t=!1,n=!1){this._isReadonly=t,this._isShallow=n}get(t,n,s){const r=this._isReadonly,i=this._isShallow;if(n==="__v_isReactive")return!r;if(n==="__v_isReadonly")return r;if(n==="__v_isShallow")return i;if(n==="__v_raw")return s===(r?i?Mu:Pu:i?Iu:Ru).get(t)||Object.getPrototypeOf(t)===Object.getPrototypeOf(s)?t:void 0;const o=j(t);if(!r){let c;if(o&&(c=Vp[n]))return c;if(n==="hasOwnProperty")return Hp}const l=Reflect.get(t,n,Te(t)?t:s);return(ut(n)?Au.has(n):Bp(n))||(r||Ke(t,"get",n),i)?l:Te(l)?o&&yl(n)?l:l.value:be(l)?r?wt(l):ft(l):l}}class Ou extends xu{constructor(t=!1){super(!1,t)}set(t,n,s,r){let i=t[n];if(!this._isShallow){const c=yn(i);if(!yt(s)&&!yn(s)&&(i=oe(i),s=oe(s)),!j(t)&&Te(i)&&!Te(s))return c?!1:(i.value=s,!0)}const o=j(t)&&yl(n)?Number(n)<t.length:pe(t,n),l=Reflect.set(t,n,s,Te(t)?t:r);return t===oe(r)&&(o?Qe(s,i)&&qt(t,"set",n,s):qt(t,"add",n,s)),l}deleteProperty(t,n){const s=pe(t,n);t[n];const r=Reflect.deleteProperty(t,n);return r&&s&&qt(t,"delete",n,void 0),r}has(t,n){const s=Reflect.has(t,n);return(!ut(n)||!Au.has(n))&&Ke(t,"has",n),s}ownKeys(t){return Ke(t,"iterate",j(t)?"length":Mn),Reflect.ownKeys(t)}}class Nu extends xu{constructor(t=!1){super(!0,t)}set(t,n){return!0}deleteProperty(t,n){return!0}}const jp=new Ou,Up=new Nu,Wp=new Ou(!0),Kp=new Nu(!0),No=e=>e,Cr=e=>Reflect.getPrototypeOf(e);function qp(e,t,n){return function(...s){const r=this.__v_raw,i=oe(r),o=ss(i),l=e==="entries"||e===Symbol.iterator&&o,c=e==="keys"&&o,f=r[e](...s),u=n?No:t?Ro:qe;return!t&&Ke(i,"iterate",c?Oo:Mn),{next(){const{value:a,done:h}=f.next();return h?{value:a,done:h}:{value:l?[u(a[0]),u(a[1])]:u(a),done:h}},[Symbol.iterator](){return this}}}}function Ar(e){return function(...t){return e==="delete"?!1:e==="clear"?void 0:this}}function Gp(e,t){const n={get(r){const i=this.__v_raw,o=oe(i),l=oe(r);e||(Qe(r,l)&&Ke(o,"get",r),Ke(o,"get",l));const{has:c}=Cr(o),f=t?No:e?Ro:qe;if(c.call(o,r))return f(i.get(r));if(c.call(o,l))return f(i.get(l));i!==o&&i.get(r)},get size(){const r=this.__v_raw;return!e&&Ke(oe(r),"iterate",Mn),Reflect.get(r,"size",r)},has(r){const i=this.__v_raw,o=oe(i),l=oe(r);return e||(Qe(r,l)&&Ke(o,"has",r),Ke(o,"has",l)),r===l?i.has(r):i.has(r)||i.has(l)},forEach(r,i){const o=this,l=o.__v_raw,c=oe(l),f=t?No:e?Ro:qe;return!e&&Ke(c,"iterate",Mn),l.forEach((u,a)=>r.call(i,f(u),f(a),o))}};return ce(n,e?{add:Ar("add"),set:Ar("set"),delete:Ar("delete"),clear:Ar("clear")}:{add(r){!t&&!yt(r)&&!yn(r)&&(r=oe(r));const i=oe(this);return Cr(i).has.call(i,r)||(i.add(r),qt(i,"add",r,r)),this},set(r,i){!t&&!yt(i)&&!yn(i)&&(i=oe(i));const o=oe(this),{has:l,get:c}=Cr(o);let f=l.call(o,r);f||(r=oe(r),f=l.call(o,r));const u=c.call(o,r);return o.set(r,i),f?Qe(i,u)&&qt(o,"set",r,i):qt(o,"add",r,i),this},delete(r){const i=oe(this),{has:o,get:l}=Cr(i);let c=o.call(i,r);c||(r=oe(r),c=o.call(i,r)),l&&l.call(i,r);const f=i.delete(r);return c&&qt(i,"delete",r,void 0),f},clear(){const r=oe(this),i=r.size!==0,o=r.clear();return i&&qt(r,"clear",void 0,void 0),o}}),["keys","values","entries",Symbol.iterator].forEach(r=>{n[r]=qp(r,e,t)}),n}function Fi(e,t){const n=Gp(e,t);return(s,r,i)=>r==="__v_isReactive"?!e:r==="__v_isReadonly"?e:r==="__v_raw"?s:Reflect.get(pe(n,r)&&r in s?n:s,r,i)}const zp={get:Fi(!1,!1)},Yp={get:Fi(!1,!0)},Jp={get:Fi(!0,!1)},Xp={get:Fi(!0,!0)},Ru=new WeakMap,Iu=new WeakMap,Pu=new WeakMap,Mu=new WeakMap;function Zp(e){switch(e){case"Object":case"Array":return 1;case"Map":case"Set":case"WeakMap":case"WeakSet":return 2;default:return 0}}function Qp(e){return e.__v_skip||!Object.isExtensible(e)?0:Zp(fp(e))}function ft(e){return yn(e)?e:Li(e,!1,jp,zp,Ru)}function wl(e){return Li(e,!1,Wp,Yp,Iu)}function wt(e){return Li(e,!0,Up,Jp,Pu)}function em(e){return Li(e,!0,Kp,Xp,Mu)}function Li(e,t,n,s,r){if(!be(e)||e.__v_raw&&!(t&&e.__v_isReactive))return e;const i=r.get(e);if(i)return i;const o=Qp(e);if(o===0)return e;const l=new Proxy(e,o===2?s:n);return r.set(e,l),l}function hn(e){return yn(e)?hn(e.__v_raw):!!(e&&e.__v_isReactive)}function yn(e){return!!(e&&e.__v_isReadonly)}function yt(e){return!!(e&&e.__v_isShallow)}function Di(e){return e?!!e.__v_raw:!1}function oe(e){const t=e&&e.__v_raw;return t?oe(t):e}function ku(e){return!pe(e,"__v_skip")&&Object.isExtensible(e)&&hu(e,"__v_skip",!0),e}const qe=e=>be(e)?ft(e):e,Ro=e=>be(e)?wt(e):e;function Te(e){return e?e.__v_isRef===!0:!1}function z(e){return Fu(e,!1)}function Vn(e){return Fu(e,!0)}function Fu(e,t){return Te(e)?e:new tm(e,t)}class tm{constructor(t,n){this.dep=new Mi,this.__v_isRef=!0,this.__v_isShallow=!1,this._rawValue=n?t:oe(t),this._value=n?t:qe(t),this.__v_isShallow=n}get value(){return this.dep.track(),this._value}set value(t){const n=this._rawValue,s=this.__v_isShallow||yt(t)||yn(t);t=s?t:oe(t),Qe(t,n)&&(this._rawValue=t,this._value=s?t:qe(t),this.dep.trigger())}}function nm(e){e.dep&&e.dep.trigger()}function $e(e){return Te(e)?e.value:e}function sm(e){return Q(e)?e():$e(e)}const rm={get:(e,t,n)=>t==="__v_raw"?e:$e(Reflect.get(e,t,n)),set:(e,t,n,s)=>{const r=e[t];return Te(r)&&!Te(n)?(r.value=n,!0):Reflect.set(e,t,n,s)}};function Tl(e){return hn(e)?e:new Proxy(e,rm)}class im{constructor(t){this.__v_isRef=!0,this._value=void 0;const n=this.dep=new Mi,{get:s,set:r}=t(n.track.bind(n),n.trigger.bind(n));this._get=s,this._set=r}get value(){return this._value=this._get()}set value(t){this._set(t)}}function Vi(e){return new im(e)}function Lu(e){const t=j(e)?new Array(e.length):{};for(const n in e)t[n]=Vu(e,n);return t}class om{constructor(t,n,s){this._object=t,this._key=n,this._defaultValue=s,this.__v_isRef=!0,this._value=void 0}get value(){const t=this._object[this._key];return this._value=t===void 0?this._defaultValue:t}set value(t){this._object[this._key]=t}get dep(){return Dp(oe(this._object),this._key)}}class lm{constructor(t){this._getter=t,this.__v_isRef=!0,this.__v_isReadonly=!0,this._value=void 0}get value(){return this._value=this._getter()}}function Du(e,t,n){return Te(e)?e:Q(e)?new lm(e):be(e)&&arguments.length>1?Vu(e,t,n):z(e)}function Vu(e,t,n){const s=e[t];return Te(s)?s:new om(e,t,n)}class cm{constructor(t,n,s){this.fn=t,this.setter=n,this._value=void 0,this.dep=new Mi(this),this.__v_isRef=!0,this.deps=void 0,this.depsTail=void 0,this.flags=16,this.globalVersion=Gs-1,this.next=void 0,this.effect=this,this.__v_isReadonly=!n,this.isSSR=s}notify(){if(this.flags|=16,!(this.flags&8)&&_e!==this)return Su(this,!0),!0}get value(){const t=this.dep.track();return wu(this),t&&(t.version=this.dep.version),this._value}set value(t){this.setter&&this.setter(t)}}function am(e,t,n=!1){let s,r;return Q(e)?s=e:(s=e.get,r=e.set),new cm(s,r,n)}const um={GET:"get",HAS:"has",ITERATE:"iterate"},fm={SET:"set",ADD:"add",DELETE:"delete",CLEAR:"clear"},xr={},ni=new WeakMap;let sn;function hm(){return sn}function $u(e,t=!1,n=sn){if(n){let s=ni.get(n);s||ni.set(n,s=[]),s.push(e)}}function dm(e,t,n=le){const{immediate:s,deep:r,once:i,scheduler:o,augmentJob:l,call:c}=n,f=v=>r?v:yt(v)||r===!1||r===0?Gt(v,1):Gt(v);let u,a,h,d,m=!1,g=!1;if(Te(e)?(a=()=>e.value,m=yt(e)):hn(e)?(a=()=>f(e),m=!0):j(e)?(g=!0,m=e.some(v=>hn(v)||yt(v)),a=()=>e.map(v=>{if(Te(v))return v.value;if(hn(v))return f(v);if(Q(v))return c?c(v,2):v()})):Q(e)?t?a=c?()=>c(e,2):e:a=()=>{if(h){Sn();try{h()}finally{_n()}}const v=sn;sn=u;try{return c?c(e,3,[d]):e(d)}finally{sn=v}}:a=Ye,t&&r){const v=a,E=r===!0?1/0:r;a=()=>Gt(v(),E)}const w=Pi(),_=()=>{u.stop(),w&&ml(w.effects,u)};if(i&&t){const v=t;t=(...E)=>{v(...E),_()}}let S=g?new Array(e.length).fill(xr):xr;const p=v=>{if(!(!(u.flags&1)||!u.dirty&&!v))if(t){const E=u.run();if(r||m||(g?E.some((x,R)=>Qe(x,S[R])):Qe(E,S))){h&&h();const x=sn;sn=u;try{const R=[E,S===xr?void 0:g&&S[0]===xr?[]:S,d];c?c(t,3,R):t(...R),S=E}finally{sn=x}}}else u.run()};return l&&l(p),u=new qs(a),u.scheduler=o?()=>o(p,!1):p,d=v=>$u(v,!1,u),h=u.onStop=()=>{const v=ni.get(u);if(v){if(c)c(v,4);else for(const E of v)E();ni.delete(u)}},t?s?p(!0):S=u.run():o?o(p.bind(null,!0),!0):u.run(),_.pause=u.pause.bind(u),_.resume=u.resume.bind(u),_.stop=_,_}function Gt(e,t=1/0,n){if(t<=0||!be(e)||e.__v_skip||(n=n||new Set,n.has(e)))return e;if(n.add(e),t--,Te(e))Gt(e.value,t,n);else if(j(e))for(let s=0;s<e.length;s++)Gt(e[s],t,n);else if(Un(e)||ss(e))e.forEach(s=>{Gt(s,t,n)});else if(Oi(e)){for(const s in e)Gt(e[s],t,n);for(const s of Object.getOwnPropertySymbols(e))Object.prototype.propertyIsEnumerable.call(e,s)&&Gt(e[s],t,n)}return e}/**
+* @vue/runtime-core v3.5.12
+* (c) 2018-present Yuxi (Evan) You and Vue contributors
+* @license MIT
+**/const Bu=[];function pm(e){Bu.push(e)}function mm(){Bu.pop()}function gm(e,t){}const ym={SETUP_FUNCTION:0,0:"SETUP_FUNCTION",RENDER_FUNCTION:1,1:"RENDER_FUNCTION",NATIVE_EVENT_HANDLER:5,5:"NATIVE_EVENT_HANDLER",COMPONENT_EVENT_HANDLER:6,6:"COMPONENT_EVENT_HANDLER",VNODE_HOOK:7,7:"VNODE_HOOK",DIRECTIVE_HOOK:8,8:"DIRECTIVE_HOOK",TRANSITION_HOOK:9,9:"TRANSITION_HOOK",APP_ERROR_HANDLER:10,10:"APP_ERROR_HANDLER",APP_WARN_HANDLER:11,11:"APP_WARN_HANDLER",FUNCTION_REF:12,12:"FUNCTION_REF",ASYNC_COMPONENT_LOADER:13,13:"ASYNC_COMPONENT_LOADER",SCHEDULER:14,14:"SCHEDULER",COMPONENT_UPDATE:15,15:"COMPONENT_UPDATE",APP_UNMOUNT_CLEANUP:16,16:"APP_UNMOUNT_CLEANUP"},vm={sp:"serverPrefetch hook",bc:"beforeCreate hook",c:"created hook",bm:"beforeMount hook",m:"mounted hook",bu:"beforeUpdate hook",u:"updated",bum:"beforeUnmount hook",um:"unmounted hook",a:"activated hook",da:"deactivated hook",ec:"errorCaptured hook",rtc:"renderTracked hook",rtg:"renderTriggered hook",0:"setup function",1:"render function",2:"watcher getter",3:"watcher callback",4:"watcher cleanup function",5:"native event handler",6:"component event handler",7:"vnode hook",8:"directive hook",9:"transition hook",10:"app errorHandler",11:"app warnHandler",12:"ref function",13:"async component loader",14:"scheduler flush",15:"component update",16:"app unmount cleanup function"};function ws(e,t,n,s){try{return s?e(...s):e()}catch(r){Kn(r,t,n)}}function xt(e,t,n,s){if(Q(e)){const r=ws(e,t,n,s);return r&&gl(r)&&r.catch(i=>{Kn(i,t,n)}),r}if(j(e)){const r=[];for(let i=0;i<e.length;i++)r.push(xt(e[i],t,n,s));return r}}function Kn(e,t,n,s=!0){const r=t?t.vnode:null,{errorHandler:i,throwUnhandledErrorInProduction:o}=t&&t.appContext.config||le;if(t){let l=t.parent;const c=t.proxy,f=`https://vuejs.org/error-reference/#runtime-${n}`;for(;l;){const u=l.ec;if(u){for(let a=0;a<u.length;a++)if(u[a](e,c,f)===!1)return}l=l.parent}if(i){Sn(),ws(i,null,10,[e,c,f]),_n();return}}bm(e,n,r,s,o)}function bm(e,t,n,s=!0,r=!1){if(r)throw e;console.error(e)}const et=[];let Dt=-1;const os=[];let rn=null,Zn=0;const Hu=Promise.resolve();let si=null;function En(e){const t=si||Hu;return e?t.then(this?e.bind(this):e):t}function Sm(e){let t=Dt+1,n=et.length;for(;t<n;){const s=t+n>>>1,r=et[s],i=Ys(r);i<e||i===e&&r.flags&2?t=s+1:n=s}return t}function Cl(e){if(!(e.flags&1)){const t=Ys(e),n=et[et.length-1];!n||!(e.flags&2)&&t>=Ys(n)?et.push(e):et.splice(Sm(t),0,e),e.flags|=1,ju()}}function ju(){si||(si=Hu.then(Uu))}function ri(e){j(e)?os.push(...e):rn&&e.id===-1?rn.splice(Zn+1,0,e):e.flags&1||(os.push(e),e.flags|=1),ju()}function Rc(e,t,n=Dt+1){for(;n<et.length;n++){const s=et[n];if(s&&s.flags&2){if(e&&s.id!==e.uid)continue;et.splice(n,1),n--,s.flags&4&&(s.flags&=-2),s(),s.flags&4||(s.flags&=-2)}}}function ii(e){if(os.length){const t=[...new Set(os)].sort((n,s)=>Ys(n)-Ys(s));if(os.length=0,rn){rn.push(...t);return}for(rn=t,Zn=0;Zn<rn.length;Zn++){const n=rn[Zn];n.flags&4&&(n.flags&=-2),n.flags&8||n(),n.flags&=-2}rn=null,Zn=0}}const Ys=e=>e.id==null?e.flags&2?-1:1/0:e.id;function Uu(e){try{for(Dt=0;Dt<et.length;Dt++){const t=et[Dt];t&&!(t.flags&8)&&(t.flags&4&&(t.flags&=-2),ws(t,t.i,t.i?15:14),t.flags&4||(t.flags&=-2))}}finally{for(;Dt<et.length;Dt++){const t=et[Dt];t&&(t.flags&=-2)}Dt=-1,et.length=0,ii(),si=null,(et.length||os.length)&&Uu()}}let Qn,Or=[];function Wu(e,t){var n,s;Qn=e,Qn?(Qn.enabled=!0,Or.forEach(({event:r,args:i})=>Qn.emit(r,...i)),Or=[]):typeof window<"u"&&window.HTMLElement&&!((s=(n=window.navigator)==null?void 0:n.userAgent)!=null&&s.includes("jsdom"))?((t.__VUE_DEVTOOLS_HOOK_REPLAY__=t.__VUE_DEVTOOLS_HOOK_REPLAY__||[]).push(i=>{Wu(i,t)}),setTimeout(()=>{Qn||(t.__VUE_DEVTOOLS_HOOK_REPLAY__=null,Or=[])},3e3)):Or=[]}let Fe=null,$i=null;function Js(e){const t=Fe;return Fe=e,$i=e&&e.type.__scopeId||null,t}function _m(e){$i=e}function Em(){$i=null}const wm=e=>Al;function Al(e,t=Fe,n){if(!t||e._n)return e;const s=(...r)=>{s._d&&Vo(-1);const i=Js(t);let o;try{o=e(...r)}finally{Js(i),s._d&&Vo(1)}return o};return s._n=!0,s._c=!0,s._d=!0,s}function Tm(e,t){if(Fe===null)return e;const n=gr(Fe),s=e.dirs||(e.dirs=[]);for(let r=0;r<t.length;r++){let[i,o,l,c=le]=t[r];i&&(Q(i)&&(i={mounted:i,updated:i}),i.deep&&Gt(o),s.push({dir:i,instance:n,value:o,oldValue:void 0,arg:l,modifiers:c}))}return e}function Vt(e,t,n,s){const r=e.dirs,i=t&&t.dirs;for(let o=0;o<r.length;o++){const l=r[o];i&&(l.oldValue=i[o].value);let c=l.dir[s];c&&(Sn(),xt(c,n,8,[e.el,l,e,t]),_n())}}const Ku=Symbol("_vte"),qu=e=>e.__isTeleport,Fs=e=>e&&(e.disabled||e.disabled===""),Cm=e=>e&&(e.defer||e.defer===""),Ic=e=>typeof SVGElement<"u"&&e instanceof SVGElement,Pc=e=>typeof MathMLElement=="function"&&e instanceof MathMLElement,Io=(e,t)=>{const n=e&&e.to;return ne(n)?t?t(n):null:n},Am={name:"Teleport",__isTeleport:!0,process(e,t,n,s,r,i,o,l,c,f){const{mc:u,pc:a,pbc:h,o:{insert:d,querySelector:m,createText:g,createComment:w}}=f,_=Fs(t.props);let{shapeFlag:S,children:p,dynamicChildren:v}=t;if(e==null){const E=t.el=g(""),x=t.anchor=g("");d(E,n,s),d(x,n,s);const R=(C,T)=>{S&16&&(r&&r.isCE&&(r.ce._teleportTarget=C),u(p,C,T,r,i,o,l,c))},O=()=>{const C=t.target=Io(t.props,m),T=Gu(C,t,g,d);C&&(o!=="svg"&&Ic(C)?o="svg":o!=="mathml"&&Pc(C)&&(o="mathml"),_||(R(C,T),jr(t,!1)))};_&&(R(n,x),jr(t,!0)),Cm(t.props)?De(O,i):O()}else{t.el=e.el,t.targetStart=e.targetStart;const E=t.anchor=e.anchor,x=t.target=e.target,R=t.targetAnchor=e.targetAnchor,O=Fs(e.props),C=O?n:x,T=O?E:R;if(o==="svg"||Ic(x)?o="svg":(o==="mathml"||Pc(x))&&(o="mathml"),v?(h(e.dynamicChildren,v,C,r,i,o,l),Ll(e,t,!0)):c||a(e,t,C,T,r,i,o,l,!1),_)O?t.props&&e.props&&t.props.to!==e.props.to&&(t.props.to=e.props.to):Nr(t,n,E,f,1);else if((t.props&&t.props.to)!==(e.props&&e.props.to)){const I=t.target=Io(t.props,m);I&&Nr(t,I,null,f,0)}else O&&Nr(t,x,R,f,1);jr(t,_)}},remove(e,t,n,{um:s,o:{remove:r}},i){const{shapeFlag:o,children:l,anchor:c,targetStart:f,targetAnchor:u,target:a,props:h}=e;if(a&&(r(f),r(u)),i&&r(c),o&16){const d=i||!Fs(h);for(let m=0;m<l.length;m++){const g=l[m];s(g,t,n,d,!!g.dynamicChildren)}}},move:Nr,hydrate:xm};function Nr(e,t,n,{o:{insert:s},m:r},i=2){i===0&&s(e.targetAnchor,t,n);const{el:o,anchor:l,shapeFlag:c,children:f,props:u}=e,a=i===2;if(a&&s(o,t,n),(!a||Fs(u))&&c&16)for(let h=0;h<f.length;h++)r(f[h],t,n,2);a&&s(l,t,n)}function xm(e,t,n,s,r,i,{o:{nextSibling:o,parentNode:l,querySelector:c,insert:f,createText:u}},a){const h=t.target=Io(t.props,c);if(h){const d=Fs(t.props),m=h._lpa||h.firstChild;if(t.shapeFlag&16)if(d)t.anchor=a(o(e),t,l(e),n,s,r,i),t.targetStart=m,t.targetAnchor=m&&o(m);else{t.anchor=o(e);let g=m;for(;g;){if(g&&g.nodeType===8){if(g.data==="teleport start anchor")t.targetStart=g;else if(g.data==="teleport anchor"){t.targetAnchor=g,h._lpa=t.targetAnchor&&o(t.targetAnchor);break}}g=o(g)}t.targetAnchor||Gu(h,t,u,f),a(m&&o(m),t,h,n,s,r,i)}jr(t,d)}return t.anchor&&o(t.anchor)}const Om=Am;function jr(e,t){const n=e.ctx;if(n&&n.ut){let s,r;for(t?(s=e.el,r=e.anchor):(s=e.targetStart,r=e.targetAnchor);s&&s!==r;)s.nodeType===1&&s.setAttribute("data-v-owner",n.uid),s=s.nextSibling;n.ut()}}function Gu(e,t,n,s){const r=t.targetStart=n(""),i=t.targetAnchor=n("");return r[Ku]=i,e&&(s(r,e),s(i,e)),i}const on=Symbol("_leaveCb"),Rr=Symbol("_enterCb");function xl(){const e={isMounted:!1,isLeaving:!1,isUnmounting:!1,leavingVNodes:new Map};return wn(()=>{e.isMounted=!0}),Ui(()=>{e.isUnmounting=!0}),e}const _t=[Function,Array],Ol={mode:String,appear:Boolean,persisted:Boolean,onBeforeEnter:_t,onEnter:_t,onAfterEnter:_t,onEnterCancelled:_t,onBeforeLeave:_t,onLeave:_t,onAfterLeave:_t,onLeaveCancelled:_t,onBeforeAppear:_t,onAppear:_t,onAfterAppear:_t,onAppearCancelled:_t},zu=e=>{const t=e.subTree;return t.component?zu(t.component):t},Nm={name:"BaseTransition",props:Ol,setup(e,{slots:t}){const n=Le(),s=xl();return()=>{const r=t.default&&Bi(t.default(),!0);if(!r||!r.length)return;const i=Yu(r),o=oe(e),{mode:l}=o;if(s.isLeaving)return oo(i);const c=Mc(i);if(!c)return oo(i);let f=hs(c,o,s,n,h=>f=h);c.type!==Pe&&Jt(c,f);const u=n.subTree,a=u&&Mc(u);if(a&&a.type!==Pe&&!Nt(c,a)&&zu(n).type!==Pe){const h=hs(a,o,s,n);if(Jt(a,h),l==="out-in"&&c.type!==Pe)return s.isLeaving=!0,h.afterLeave=()=>{s.isLeaving=!1,n.job.flags&8||n.update(),delete h.afterLeave},oo(i);l==="in-out"&&c.type!==Pe&&(h.delayLeave=(d,m,g)=>{const w=Xu(s,a);w[String(a.key)]=a,d[on]=()=>{m(),d[on]=void 0,delete f.delayedLeave},f.delayedLeave=g})}return i}}};function Yu(e){let t=e[0];if(e.length>1){for(const n of e)if(n.type!==Pe){t=n;break}}return t}const Ju=Nm;function Xu(e,t){const{leavingVNodes:n}=e;let s=n.get(t.type);return s||(s=Object.create(null),n.set(t.type,s)),s}function hs(e,t,n,s,r){const{appear:i,mode:o,persisted:l=!1,onBeforeEnter:c,onEnter:f,onAfterEnter:u,onEnterCancelled:a,onBeforeLeave:h,onLeave:d,onAfterLeave:m,onLeaveCancelled:g,onBeforeAppear:w,onAppear:_,onAfterAppear:S,onAppearCancelled:p}=t,v=String(e.key),E=Xu(n,e),x=(C,T)=>{C&&xt(C,s,9,T)},R=(C,T)=>{const I=T[1];x(C,T),j(C)?C.every(A=>A.length<=1)&&I():C.length<=1&&I()},O={mode:o,persisted:l,beforeEnter(C){let T=c;if(!n.isMounted)if(i)T=w||c;else return;C[on]&&C[on](!0);const I=E[v];I&&Nt(e,I)&&I.el[on]&&I.el[on](),x(T,[C])},enter(C){let T=f,I=u,A=a;if(!n.isMounted)if(i)T=_||f,I=S||u,A=p||a;else return;let L=!1;const G=C[Rr]=X=>{L||(L=!0,X?x(A,[C]):x(I,[C]),O.delayedLeave&&O.delayedLeave(),C[Rr]=void 0)};T?R(T,[C,G]):G()},leave(C,T){const I=String(e.key);if(C[Rr]&&C[Rr](!0),n.isUnmounting)return T();x(h,[C]);let A=!1;const L=C[on]=G=>{A||(A=!0,T(),G?x(g,[C]):x(m,[C]),C[on]=void 0,E[I]===e&&delete E[I])};E[I]=e,d?R(d,[C,L]):L()},clone(C){const T=hs(C,t,n,s,r);return r&&r(T),T}};return O}function oo(e){if(dr(e))return e=$t(e),e.children=null,e}function Mc(e){if(!dr(e))return qu(e.type)&&e.children?Yu(e.children):e;const{shapeFlag:t,children:n}=e;if(n){if(t&16)return n[0];if(t&32&&Q(n.default))return n.default()}}function Jt(e,t){e.shapeFlag&6&&e.component?(e.transition=t,Jt(e.component.subTree,t)):e.shapeFlag&128?(e.ssContent.transition=t.clone(e.ssContent),e.ssFallback.transition=t.clone(e.ssFallback)):e.transition=t}function Bi(e,t=!1,n){let s=[],r=0;for(let i=0;i<e.length;i++){let o=e[i];const l=n==null?o.key:String(n)+String(o.key!=null?o.key:i);o.type===Ve?(o.patchFlag&128&&r++,s=s.concat(Bi(o.children,t,l))):(t||o.type!==Pe)&&s.push(l!=null?$t(o,{key:l}):o)}if(r>1)for(let i=0;i<s.length;i++)s[i].patchFlag=-2;return s}/*! #__NO_SIDE_EFFECTS__ */function hr(e,t){return Q(e)?ce({name:e.name},t,{setup:e}):e}function Rm(){const e=Le();return e?(e.appContext.config.idPrefix||"v")+"-"+e.ids[0]+e.ids[1]++:""}function Nl(e){e.ids=[e.ids[0]+e.ids[2]+++"-",0,0]}function Im(e){const t=Le(),n=Vn(null);if(t){const r=t.refs===le?t.refs={}:t.refs;Object.defineProperty(r,e,{enumerable:!0,get:()=>n.value,set:i=>n.value=i})}return n}function oi(e,t,n,s,r=!1){if(j(e)){e.forEach((m,g)=>oi(m,t&&(j(t)?t[g]:t),n,s,r));return}if(dn(s)&&!r)return;const i=s.shapeFlag&4?gr(s.component):s.el,o=r?null:i,{i:l,r:c}=e,f=t&&t.r,u=l.refs===le?l.refs={}:l.refs,a=l.setupState,h=oe(a),d=a===le?()=>!1:m=>pe(h,m);if(f!=null&&f!==c&&(ne(f)?(u[f]=null,d(f)&&(a[f]=null)):Te(f)&&(f.value=null)),Q(c))ws(c,l,12,[o,u]);else{const m=ne(c),g=Te(c);if(m||g){const w=()=>{if(e.f){const _=m?d(c)?a[c]:u[c]:c.value;r?j(_)&&ml(_,i):j(_)?_.includes(i)||_.push(i):m?(u[c]=[i],d(c)&&(a[c]=u[c])):(c.value=[i],e.k&&(u[e.k]=c.value))}else m?(u[c]=o,d(c)&&(a[c]=o)):g&&(c.value=o,e.k&&(u[e.k]=o))};o?(w.id=-1,De(w,n)):w()}}}let kc=!1;const Jn=()=>{kc||(console.error("Hydration completed but contains mismatches."),kc=!0)},Pm=e=>e.namespaceURI.includes("svg")&&e.tagName!=="foreignObject",Mm=e=>e.namespaceURI.includes("MathML"),Ir=e=>{if(e.nodeType===1){if(Pm(e))return"svg";if(Mm(e))return"mathml"}},ts=e=>e.nodeType===8;function km(e){const{mt:t,p:n,o:{patchProp:s,createText:r,nextSibling:i,parentNode:o,remove:l,insert:c,createComment:f}}=e,u=(p,v)=>{if(!v.hasChildNodes()){n(null,p,v),ii(),v._vnode=p;return}a(v.firstChild,p,null,null,null),ii(),v._vnode=p},a=(p,v,E,x,R,O=!1)=>{O=O||!!v.dynamicChildren;const C=ts(p)&&p.data==="[",T=()=>g(p,v,E,x,R,C),{type:I,ref:A,shapeFlag:L,patchFlag:G}=v;let X=p.nodeType;v.el=p,G===-2&&(O=!1,v.dynamicChildren=null);let U=null;switch(I){case pn:X!==3?v.children===""?(c(v.el=r(""),o(p),p),U=p):U=T():(p.data!==v.children&&(Jn(),p.data=v.children),U=i(p));break;case Pe:S(p)?(U=i(p),_(v.el=p.content.firstChild,p,E)):X!==8||C?U=T():U=i(p);break;case Fn:if(C&&(p=i(p),X=p.nodeType),X===1||X===3){U=p;const Z=!v.children.length;for(let q=0;q<v.staticCount;q++)Z&&(v.children+=U.nodeType===1?U.outerHTML:U.data),q===v.staticCount-1&&(v.anchor=U),U=i(U);return C?i(U):U}else T();break;case Ve:C?U=m(p,v,E,x,R,O):U=T();break;default:if(L&1)(X!==1||v.type.toLowerCase()!==p.tagName.toLowerCase())&&!S(p)?U=T():U=h(p,v,E,x,R,O);else if(L&6){v.slotScopeIds=R;const Z=o(p);if(C?U=w(p):ts(p)&&p.data==="teleport start"?U=w(p,p.data,"teleport end"):U=i(p),t(v,Z,null,E,x,Ir(Z),O),dn(v)){let q;C?(q=Ee(Ve),q.anchor=U?U.previousSibling:Z.lastChild):q=p.nodeType===3?$l(""):Ee("div"),q.el=p,v.component.subTree=q}}else L&64?X!==8?U=T():U=v.type.hydrate(p,v,E,x,R,O,e,d):L&128&&(U=v.type.hydrate(p,v,E,x,Ir(o(p)),R,O,e,a))}return A!=null&&oi(A,null,x,v),U},h=(p,v,E,x,R,O)=>{O=O||!!v.dynamicChildren;const{type:C,props:T,patchFlag:I,shapeFlag:A,dirs:L,transition:G}=v,X=C==="input"||C==="option";if(X||I!==-1){L&&Vt(v,null,E,"created");let U=!1;if(S(p)){U=wf(null,G)&&E&&E.vnode.props&&E.vnode.props.appear;const q=p.content.firstChild;U&&G.beforeEnter(q),_(q,p,E),v.el=p=q}if(A&16&&!(T&&(T.innerHTML||T.textContent))){let q=d(p.firstChild,v,p,E,x,R,O);for(;q;){Pr(p,1)||Jn();const Oe=q;q=q.nextSibling,l(Oe)}}else if(A&8){let q=v.children;q[0]===`
+`&&(p.tagName==="PRE"||p.tagName==="TEXTAREA")&&(q=q.slice(1)),p.textContent!==q&&(Pr(p,0)||Jn(),p.textContent=v.children)}if(T){if(X||!O||I&48){const q=p.tagName.includes("-");for(const Oe in T)(X&&(Oe.endsWith("value")||Oe==="indeterminate")||jn(Oe)&&!fn(Oe)||Oe[0]==="."||q)&&s(p,Oe,null,T[Oe],void 0,E)}else if(T.onClick)s(p,"onClick",null,T.onClick,void 0,E);else if(I&4&&hn(T.style))for(const q in T.style)T.style[q]}let Z;(Z=T&&T.onVnodeBeforeMount)&&it(Z,E,v),L&&Vt(v,null,E,"beforeMount"),((Z=T&&T.onVnodeMounted)||L||U)&&kf(()=>{Z&&it(Z,E,v),U&&G.enter(p),L&&Vt(v,null,E,"mounted")},x)}return p.nextSibling},d=(p,v,E,x,R,O,C)=>{C=C||!!v.dynamicChildren;const T=v.children,I=T.length;for(let A=0;A<I;A++){const L=C?T[A]:T[A]=lt(T[A]),G=L.type===pn;p?(G&&!C&&A+1<I&&lt(T[A+1]).type===pn&&(c(r(p.data.slice(L.children.length)),E,i(p)),p.data=L.children),p=a(p,L,x,R,O,C)):G&&!L.children?c(L.el=r(""),E):(Pr(E,1)||Jn(),n(null,L,E,null,x,R,Ir(E),O))}return p},m=(p,v,E,x,R,O)=>{const{slotScopeIds:C}=v;C&&(R=R?R.concat(C):C);const T=o(p),I=d(i(p),v,T,E,x,R,O);return I&&ts(I)&&I.data==="]"?i(v.anchor=I):(Jn(),c(v.anchor=f("]"),T,I),I)},g=(p,v,E,x,R,O)=>{if(Pr(p.parentElement,1)||Jn(),v.el=null,O){const I=w(p);for(;;){const A=i(p);if(A&&A!==I)l(A);else break}}const C=i(p),T=o(p);return l(p),n(null,v,T,C,E,x,Ir(T),R),C},w=(p,v="[",E="]")=>{let x=0;for(;p;)if(p=i(p),p&&ts(p)&&(p.data===v&&x++,p.data===E)){if(x===0)return i(p);x--}return p},_=(p,v,E)=>{const x=v.parentNode;x&&x.replaceChild(p,v);let R=E;for(;R;)R.vnode.el===v&&(R.vnode.el=R.subTree.el=p),R=R.parent},S=p=>p.nodeType===1&&p.tagName==="TEMPLATE";return[u,a]}const Fc="data-allow-mismatch",Fm={0:"text",1:"children",2:"class",3:"style",4:"attribute"};function Pr(e,t){if(t===0||t===1)for(;e&&!e.hasAttribute(Fc);)e=e.parentElement;const n=e&&e.getAttribute(Fc);if(n==null)return!1;if(n==="")return!0;{const s=n.split(",");return t===0&&s.includes("children")?!0:n.split(",").includes(Fm[t])}}const Lm=Ri().requestIdleCallback||(e=>setTimeout(e,1)),Dm=Ri().cancelIdleCallback||(e=>clearTimeout(e)),Vm=(e=1e4)=>t=>{const n=Lm(t,{timeout:e});return()=>Dm(n)};function $m(e){const{top:t,left:n,bottom:s,right:r}=e.getBoundingClientRect(),{innerHeight:i,innerWidth:o}=window;return(t>0&&t<i||s>0&&s<i)&&(n>0&&n<o||r>0&&r<o)}const Bm=e=>(t,n)=>{const s=new IntersectionObserver(r=>{for(const i of r)if(i.isIntersecting){s.disconnect(),t();break}},e);return n(r=>{if(r instanceof Element){if($m(r))return t(),s.disconnect(),!1;s.observe(r)}}),()=>s.disconnect()},Hm=e=>t=>{if(e){const n=matchMedia(e);if(n.matches)t();else return n.addEventListener("change",t,{once:!0}),()=>n.removeEventListener("change",t)}},jm=(e=[])=>(t,n)=>{ne(e)&&(e=[e]);let s=!1;const r=o=>{s||(s=!0,i(),t(),o.target.dispatchEvent(new o.constructor(o.type,o)))},i=()=>{n(o=>{for(const l of e)o.removeEventListener(l,r)})};return n(o=>{for(const l of e)o.addEventListener(l,r,{once:!0})}),i};function Um(e,t){if(ts(e)&&e.data==="["){let n=1,s=e.nextSibling;for(;s;){if(s.nodeType===1){if(t(s)===!1)break}else if(ts(s))if(s.data==="]"){if(--n===0)break}else s.data==="["&&n++;s=s.nextSibling}}else t(e)}const dn=e=>!!e.type.__asyncLoader;/*! #__NO_SIDE_EFFECTS__ */function Wm(e){Q(e)&&(e={loader:e});const{loader:t,loadingComponent:n,errorComponent:s,delay:r=200,hydrate:i,timeout:o,suspensible:l=!0,onError:c}=e;let f=null,u,a=0;const h=()=>(a++,f=null,d()),d=()=>{let m;return f||(m=f=t().catch(g=>{if(g=g instanceof Error?g:new Error(String(g)),c)return new Promise((w,_)=>{c(g,()=>w(h()),()=>_(g),a+1)});throw g}).then(g=>m!==f&&f?f:(g&&(g.__esModule||g[Symbol.toStringTag]==="Module")&&(g=g.default),u=g,g)))};return hr({name:"AsyncComponentWrapper",__asyncLoader:d,__asyncHydrate(m,g,w){const _=i?()=>{const S=i(w,p=>Um(m,p));S&&(g.bum||(g.bum=[])).push(S)}:w;u?_():d().then(()=>!g.isUnmounted&&_())},get __asyncResolved(){return u},setup(){const m=ke;if(Nl(m),u)return()=>lo(u,m);const g=p=>{f=null,Kn(p,m,13,!s)};if(l&&m.suspense||ds)return d().then(p=>()=>lo(p,m)).catch(p=>(g(p),()=>s?Ee(s,{error:p}):null));const w=z(!1),_=z(),S=z(!!r);return r&&setTimeout(()=>{S.value=!1},r),o!=null&&setTimeout(()=>{if(!w.value&&!_.value){const p=new Error(`Async component timed out after ${o}ms.`);g(p),_.value=p}},o),d().then(()=>{w.value=!0,m.parent&&dr(m.parent.vnode)&&m.parent.update()}).catch(p=>{g(p),_.value=p}),()=>{if(w.value&&u)return lo(u,m);if(_.value&&s)return Ee(s,{error:_.value});if(n&&!S.value)return Ee(n)}}})}function lo(e,t){const{ref:n,props:s,children:r,ce:i}=t.vnode,o=Ee(e,s,r);return o.ref=n,o.ce=i,delete t.vnode.ce,o}const dr=e=>e.type.__isKeepAlive,Km={name:"KeepAlive",__isKeepAlive:!0,props:{include:[String,RegExp,Array],exclude:[String,RegExp,Array],max:[String,Number]},setup(e,{slots:t}){const n=Le(),s=n.ctx;if(!s.renderer)return()=>{const S=t.default&&t.default();return S&&S.length===1?S[0]:S};const r=new Map,i=new Set;let o=null;const l=n.suspense,{renderer:{p:c,m:f,um:u,o:{createElement:a}}}=s,h=a("div");s.activate=(S,p,v,E,x)=>{const R=S.component;f(S,p,v,0,l),c(R.vnode,S,p,v,R,l,E,S.slotScopeIds,x),De(()=>{R.isDeactivated=!1,R.a&&is(R.a);const O=S.props&&S.props.onVnodeMounted;O&&it(O,R.parent,S)},l)},s.deactivate=S=>{const p=S.component;ci(p.m),ci(p.a),f(S,h,null,1,l),De(()=>{p.da&&is(p.da);const v=S.props&&S.props.onVnodeUnmounted;v&&it(v,p.parent,S),p.isDeactivated=!0},l)};function d(S){co(S),u(S,n,l,!0)}function m(S){r.forEach((p,v)=>{const E=Uo(p.type);E&&!S(E)&&g(v)})}function g(S){const p=r.get(S);p&&(!o||!Nt(p,o))?d(p):o&&co(o),r.delete(S),i.delete(S)}de(()=>[e.include,e.exclude],([S,p])=>{S&&m(v=>Is(S,v)),p&&m(v=>!Is(p,v))},{flush:"post",deep:!0});let w=null;const _=()=>{w!=null&&(ai(n.subTree.type)?De(()=>{r.set(w,Mr(n.subTree))},n.subTree.suspense):r.set(w,Mr(n.subTree)))};return wn(_),ji(_),Ui(()=>{r.forEach(S=>{const{subTree:p,suspense:v}=n,E=Mr(p);if(S.type===E.type&&S.key===E.key){co(E);const x=E.component.da;x&&De(x,v);return}d(S)})}),()=>{if(w=null,!t.default)return o=null;const S=t.default(),p=S[0];if(S.length>1)return o=null,S;if(!Xt(p)||!(p.shapeFlag&4)&&!(p.shapeFlag&128))return o=null,p;let v=Mr(p);if(v.type===Pe)return o=null,v;const E=v.type,x=Uo(dn(v)?v.type.__asyncResolved||{}:E),{include:R,exclude:O,max:C}=e;if(R&&(!x||!Is(R,x))||O&&x&&Is(O,x))return v.shapeFlag&=-257,o=v,p;const T=v.key==null?E:v.key,I=r.get(T);return v.el&&(v=$t(v),p.shapeFlag&128&&(p.ssContent=v)),w=T,I?(v.el=I.el,v.component=I.component,v.transition&&Jt(v,v.transition),v.shapeFlag|=512,i.delete(T),i.add(T)):(i.add(T),C&&i.size>parseInt(C,10)&&g(i.values().next().value)),v.shapeFlag|=256,o=v,ai(p.type)?p:v}}},qm=Km;function Is(e,t){return j(e)?e.some(n=>Is(n,t)):ne(e)?e.split(",").includes(t):up(e)?(e.lastIndex=0,e.test(t)):!1}function Zu(e,t){ef(e,"a",t)}function Qu(e,t){ef(e,"da",t)}function ef(e,t,n=ke){const s=e.__wdc||(e.__wdc=()=>{let r=n;for(;r;){if(r.isDeactivated)return;r=r.parent}return e()});if(Hi(t,s,n),n){let r=n.parent;for(;r&&r.parent;)dr(r.parent.vnode)&&Gm(s,t,n,r),r=r.parent}}function Gm(e,t,n,s){const r=Hi(t,e,s,!0);pr(()=>{ml(s[t],r)},n)}function co(e){e.shapeFlag&=-257,e.shapeFlag&=-513}function Mr(e){return e.shapeFlag&128?e.ssContent:e}function Hi(e,t,n=ke,s=!1){if(n){const r=n[e]||(n[e]=[]),i=t.__weh||(t.__weh=(...o)=>{Sn();const l=Bn(n),c=xt(t,n,e,o);return l(),_n(),c});return s?r.unshift(i):r.push(i),i}}const Zt=e=>(t,n=ke)=>{(!ds||e==="sp")&&Hi(e,(...s)=>t(...s),n)},Rl=Zt("bm"),wn=Zt("m"),tf=Zt("bu"),ji=Zt("u"),Ui=Zt("bum"),pr=Zt("um"),nf=Zt("sp"),sf=Zt("rtg"),rf=Zt("rtc");function of(e,t=ke){Hi("ec",e,t)}const Il="components",zm="directives";function Ym(e,t){return Pl(Il,e,!0,t)||e}const lf=Symbol.for("v-ndc");function Jm(e){return ne(e)?Pl(Il,e,!1)||e:e||lf}function Xm(e){return Pl(zm,e)}function Pl(e,t,n=!0,s=!1){const r=Fe||ke;if(r){const i=r.type;if(e===Il){const l=Uo(i,!1);if(l&&(l===t||l===we(t)||l===Wn(we(t))))return i}const o=Lc(r[e]||i[e],t)||Lc(r.appContext[e],t);return!o&&s?i:o}}function Lc(e,t){return e&&(e[t]||e[we(t)]||e[Wn(we(t))])}function Zm(e,t,n,s){let r;const i=n&&n[s],o=j(e);if(o||ne(e)){const l=o&&hn(e);let c=!1;l&&(c=!yt(e),e=ki(e)),r=new Array(e.length);for(let f=0,u=e.length;f<u;f++)r[f]=t(c?qe(e[f]):e[f],f,void 0,i&&i[f])}else if(typeof e=="number"){r=new Array(e);for(let l=0;l<e;l++)r[l]=t(l+1,l,void 0,i&&i[l])}else if(be(e))if(e[Symbol.iterator])r=Array.from(e,(l,c)=>t(l,c,void 0,i&&i[c]));else{const l=Object.keys(e);r=new Array(l.length);for(let c=0,f=l.length;c<f;c++){const u=l[c];r[c]=t(e[u],u,c,i&&i[c])}}else r=[];return n&&(n[s]=r),r}function Qm(e,t){for(let n=0;n<t.length;n++){const s=t[n];if(j(s))for(let r=0;r<s.length;r++)e[s[r].name]=s[r].fn;else s&&(e[s.name]=s.key?(...r)=>{const i=s.fn(...r);return i&&(i.key=s.key),i}:s.fn)}return e}function eg(e,t,n={},s,r){if(Fe.ce||Fe.parent&&dn(Fe.parent)&&Fe.parent.ce)return t!=="default"&&(n.name=t),Qs(),ui(Ve,null,[Ee("slot",n,s&&s())],64);let i=e[t];i&&i._c&&(i._d=!1),Qs();const o=i&&Ml(i(n)),l=n.key||o&&o.key,c=ui(Ve,{key:(l&&!ut(l)?l:`_${t}`)+(!o&&s?"_fb":"")},o||(s?s():[]),o&&e._===1?64:-2);return!r&&c.scopeId&&(c.slotScopeIds=[c.scopeId+"-s"]),i&&i._c&&(i._d=!0),c}function Ml(e){return e.some(t=>Xt(t)?!(t.type===Pe||t.type===Ve&&!Ml(t.children)):!0)?e:null}function tg(e,t){const n={};for(const s in e)n[t&&/[A-Z]/.test(s)?`on:${s}`:rs(s)]=e[s];return n}const Po=e=>e?Hf(e)?gr(e):Po(e.parent):null,Ls=ce(Object.create(null),{$:e=>e,$el:e=>e.vnode.el,$data:e=>e.data,$props:e=>e.props,$attrs:e=>e.attrs,$slots:e=>e.slots,$refs:e=>e.refs,$parent:e=>Po(e.parent),$root:e=>Po(e.root),$host:e=>e.ce,$emit:e=>e.emit,$options:e=>kl(e),$forceUpdate:e=>e.f||(e.f=()=>{Cl(e.update)}),$nextTick:e=>e.n||(e.n=En.bind(e.proxy)),$watch:e=>Ig.bind(e)}),ao=(e,t)=>e!==le&&!e.__isScriptSetup&&pe(e,t),Mo={get({_:e},t){if(t==="__v_skip")return!0;const{ctx:n,setupState:s,data:r,props:i,accessCache:o,type:l,appContext:c}=e;let f;if(t[0]!=="$"){const d=o[t];if(d!==void 0)switch(d){case 1:return s[t];case 2:return r[t];case 4:return n[t];case 3:return i[t]}else{if(ao(s,t))return o[t]=1,s[t];if(r!==le&&pe(r,t))return o[t]=2,r[t];if((f=e.propsOptions[0])&&pe(f,t))return o[t]=3,i[t];if(n!==le&&pe(n,t))return o[t]=4,n[t];ko&&(o[t]=0)}}const u=Ls[t];let a,h;if(u)return t==="$attrs"&&Ke(e.attrs,"get",""),u(e);if((a=l.__cssModules)&&(a=a[t]))return a;if(n!==le&&pe(n,t))return o[t]=4,n[t];if(h=c.config.globalProperties,pe(h,t))return h[t]},set({_:e},t,n){const{data:s,setupState:r,ctx:i}=e;return ao(r,t)?(r[t]=n,!0):s!==le&&pe(s,t)?(s[t]=n,!0):pe(e.props,t)||t[0]==="$"&&t.slice(1)in e?!1:(i[t]=n,!0)},has({_:{data:e,setupState:t,accessCache:n,ctx:s,appContext:r,propsOptions:i}},o){let l;return!!n[o]||e!==le&&pe(e,o)||ao(t,o)||(l=i[0])&&pe(l,o)||pe(s,o)||pe(Ls,o)||pe(r.config.globalProperties,o)},defineProperty(e,t,n){return n.get!=null?e._.accessCache[t]=0:pe(n,"value")&&this.set(e,t,n.value,null),Reflect.defineProperty(e,t,n)}},ng=ce({},Mo,{get(e,t){if(t!==Symbol.unscopables)return Mo.get(e,t,e)},has(e,t){return t[0]!=="_"&&!yp(t)}});function sg(){return null}function rg(){return null}function ig(e){}function og(e){}function lg(){return null}function cg(){}function ag(e,t){return null}function ug(){return cf().slots}function fg(){return cf().attrs}function cf(){const e=Le();return e.setupContext||(e.setupContext=Kf(e))}function Xs(e){return j(e)?e.reduce((t,n)=>(t[n]=null,t),{}):e}function hg(e,t){const n=Xs(e);for(const s in t){if(s.startsWith("__skip"))continue;let r=n[s];r?j(r)||Q(r)?r=n[s]={type:r,default:t[s]}:r.default=t[s]:r===null&&(r=n[s]={default:t[s]}),r&&t[`__skip_${s}`]&&(r.skipFactory=!0)}return n}function dg(e,t){return!e||!t?e||t:j(e)&&j(t)?e.concat(t):ce({},Xs(e),Xs(t))}function pg(e,t){const n={};for(const s in e)t.includes(s)||Object.defineProperty(n,s,{enumerable:!0,get:()=>e[s]});return n}function mg(e){const t=Le();let n=e();return Bo(),gl(n)&&(n=n.catch(s=>{throw Bn(t),s})),[n,()=>Bn(t)]}let ko=!0;function gg(e){const t=kl(e),n=e.proxy,s=e.ctx;ko=!1,t.beforeCreate&&Dc(t.beforeCreate,e,"bc");const{data:r,computed:i,methods:o,watch:l,provide:c,inject:f,created:u,beforeMount:a,mounted:h,beforeUpdate:d,updated:m,activated:g,deactivated:w,beforeDestroy:_,beforeUnmount:S,destroyed:p,unmounted:v,render:E,renderTracked:x,renderTriggered:R,errorCaptured:O,serverPrefetch:C,expose:T,inheritAttrs:I,components:A,directives:L,filters:G}=t;if(f&&yg(f,s,null),o)for(const Z in o){const q=o[Z];Q(q)&&(s[Z]=q.bind(n))}if(r){const Z=r.call(n,n);be(Z)&&(e.data=ft(Z))}if(ko=!0,i)for(const Z in i){const q=i[Z],Oe=Q(q)?q.bind(n,n):Q(q.get)?q.get.bind(n,n):Ye,tt=!Q(q)&&Q(q.set)?q.set.bind(n):Ye,nt=ie({get:Oe,set:tt});Object.defineProperty(s,Z,{enumerable:!0,configurable:!0,get:()=>nt.value,set:st=>nt.value=st})}if(l)for(const Z in l)af(l[Z],s,n,Z);if(c){const Z=Q(c)?c.call(n):c;Reflect.ownKeys(Z).forEach(q=>{ls(q,Z[q])})}u&&Dc(u,e,"c");function U(Z,q){j(q)?q.forEach(Oe=>Z(Oe.bind(n))):q&&Z(q.bind(n))}if(U(Rl,a),U(wn,h),U(tf,d),U(ji,m),U(Zu,g),U(Qu,w),U(of,O),U(rf,x),U(sf,R),U(Ui,S),U(pr,v),U(nf,C),j(T))if(T.length){const Z=e.exposed||(e.exposed={});T.forEach(q=>{Object.defineProperty(Z,q,{get:()=>n[q],set:Oe=>n[q]=Oe})})}else e.exposed||(e.exposed={});E&&e.render===Ye&&(e.render=E),I!=null&&(e.inheritAttrs=I),A&&(e.components=A),L&&(e.directives=L),C&&Nl(e)}function yg(e,t,n=Ye){j(e)&&(e=Fo(e));for(const s in e){const r=e[s];let i;be(r)?"default"in r?i=Ct(r.from||s,r.default,!0):i=Ct(r.from||s):i=Ct(r),Te(i)?Object.defineProperty(t,s,{enumerable:!0,configurable:!0,get:()=>i.value,set:o=>i.value=o}):t[s]=i}}function Dc(e,t,n){xt(j(e)?e.map(s=>s.bind(t.proxy)):e.bind(t.proxy),t,n)}function af(e,t,n,s){let r=s.includes(".")?Rf(n,s):()=>n[s];if(ne(e)){const i=t[e];Q(i)&&de(r,i)}else if(Q(e))de(r,e.bind(n));else if(be(e))if(j(e))e.forEach(i=>af(i,t,n,s));else{const i=Q(e.handler)?e.handler.bind(n):t[e.handler];Q(i)&&de(r,i,e)}}function kl(e){const t=e.type,{mixins:n,extends:s}=t,{mixins:r,optionsCache:i,config:{optionMergeStrategies:o}}=e.appContext,l=i.get(t);let c;return l?c=l:!r.length&&!n&&!s?c=t:(c={},r.length&&r.forEach(f=>li(c,f,o,!0)),li(c,t,o)),be(t)&&i.set(t,c),c}function li(e,t,n,s=!1){const{mixins:r,extends:i}=t;i&&li(e,i,n,!0),r&&r.forEach(o=>li(e,o,n,!0));for(const o in t)if(!(s&&o==="expose")){const l=vg[o]||n&&n[o];e[o]=l?l(e[o],t[o]):t[o]}return e}const vg={data:Vc,props:$c,emits:$c,methods:Ps,computed:Ps,beforeCreate:Xe,created:Xe,beforeMount:Xe,mounted:Xe,beforeUpdate:Xe,updated:Xe,beforeDestroy:Xe,beforeUnmount:Xe,destroyed:Xe,unmounted:Xe,activated:Xe,deactivated:Xe,errorCaptured:Xe,serverPrefetch:Xe,components:Ps,directives:Ps,watch:Sg,provide:Vc,inject:bg};function Vc(e,t){return t?e?function(){return ce(Q(e)?e.call(this,this):e,Q(t)?t.call(this,this):t)}:t:e}function bg(e,t){return Ps(Fo(e),Fo(t))}function Fo(e){if(j(e)){const t={};for(let n=0;n<e.length;n++)t[e[n]]=e[n];return t}return e}function Xe(e,t){return e?[...new Set([].concat(e,t))]:t}function Ps(e,t){return e?ce(Object.create(null),e,t):t}function $c(e,t){return e?j(e)&&j(t)?[...new Set([...e,...t])]:ce(Object.create(null),Xs(e),Xs(t??{})):t}function Sg(e,t){if(!e)return t;if(!t)return e;const n=ce(Object.create(null),e);for(const s in t)n[s]=Xe(e[s],t[s]);return n}function uf(){return{app:null,config:{isNativeTag:Rs,performance:!1,globalProperties:{},optionMergeStrategies:{},errorHandler:void 0,warnHandler:void 0,compilerOptions:{}},mixins:[],components:{},directives:{},provides:Object.create(null),optionsCache:new WeakMap,propsCache:new WeakMap,emitsCache:new WeakMap}}let _g=0;function Eg(e,t){return function(s,r=null){Q(s)||(s=ce({},s)),r!=null&&!be(r)&&(r=null);const i=uf(),o=new WeakSet,l=[];let c=!1;const f=i.app={_uid:_g++,_component:s,_props:r,_container:null,_context:i,_instance:null,version:Gf,get config(){return i.config},set config(u){},use(u,...a){return o.has(u)||(u&&Q(u.install)?(o.add(u),u.install(f,...a)):Q(u)&&(o.add(u),u(f,...a))),f},mixin(u){return i.mixins.includes(u)||i.mixins.push(u),f},component(u,a){return a?(i.components[u]=a,f):i.components[u]},directive(u,a){return a?(i.directives[u]=a,f):i.directives[u]},mount(u,a,h){if(!c){const d=f._ceVNode||Ee(s,r);return d.appContext=i,h===!0?h="svg":h===!1&&(h=void 0),a&&t?t(d,u):e(d,u,h),c=!0,f._container=u,u.__vue_app__=f,gr(d.component)}},onUnmount(u){l.push(u)},unmount(){c&&(xt(l,f._instance,16),e(null,f._container),delete f._container.__vue_app__)},provide(u,a){return i.provides[u]=a,f},runWithContext(u){const a=kn;kn=f;try{return u()}finally{kn=a}}};return f}}let kn=null;function ls(e,t){if(ke){let n=ke.provides;const s=ke.parent&&ke.parent.provides;s===n&&(n=ke.provides=Object.create(s)),n[e]=t}}function Ct(e,t,n=!1){const s=ke||Fe;if(s||kn){const r=kn?kn._context.provides:s?s.parent==null?s.vnode.appContext&&s.vnode.appContext.provides:s.parent.provides:void 0;if(r&&e in r)return r[e];if(arguments.length>1)return n&&Q(t)?t.call(s&&s.proxy):t}}function wg(){return!!(ke||Fe||kn)}const ff={},hf=()=>Object.create(ff),df=e=>Object.getPrototypeOf(e)===ff;function Tg(e,t,n,s=!1){const r={},i=hf();e.propsDefaults=Object.create(null),pf(e,t,r,i);for(const o in e.propsOptions[0])o in r||(r[o]=void 0);n?e.props=s?r:wl(r):e.type.props?e.props=r:e.props=i,e.attrs=i}function Cg(e,t,n,s){const{props:r,attrs:i,vnode:{patchFlag:o}}=e,l=oe(r),[c]=e.propsOptions;let f=!1;if((s||o>0)&&!(o&16)){if(o&8){const u=e.vnode.dynamicProps;for(let a=0;a<u.length;a++){let h=u[a];if(Wi(e.emitsOptions,h))continue;const d=t[h];if(c)if(pe(i,h))d!==i[h]&&(i[h]=d,f=!0);else{const m=we(h);r[m]=Lo(c,l,m,d,e,!1)}else d!==i[h]&&(i[h]=d,f=!0)}}}else{pf(e,t,r,i)&&(f=!0);let u;for(const a in l)(!t||!pe(t,a)&&((u=ct(a))===a||!pe(t,u)))&&(c?n&&(n[a]!==void 0||n[u]!==void 0)&&(r[a]=Lo(c,l,a,void 0,e,!0)):delete r[a]);if(i!==l)for(const a in i)(!t||!pe(t,a))&&(delete i[a],f=!0)}f&&qt(e.attrs,"set","")}function pf(e,t,n,s){const[r,i]=e.propsOptions;let o=!1,l;if(t)for(let c in t){if(fn(c))continue;const f=t[c];let u;r&&pe(r,u=we(c))?!i||!i.includes(u)?n[u]=f:(l||(l={}))[u]=f:Wi(e.emitsOptions,c)||(!(c in s)||f!==s[c])&&(s[c]=f,o=!0)}if(i){const c=oe(n),f=l||le;for(let u=0;u<i.length;u++){const a=i[u];n[a]=Lo(r,c,a,f[a],e,!pe(f,a))}}return o}function Lo(e,t,n,s,r,i){const o=e[n];if(o!=null){const l=pe(o,"default");if(l&&s===void 0){const c=o.default;if(o.type!==Function&&!o.skipFactory&&Q(c)){const{propsDefaults:f}=r;if(n in f)s=f[n];else{const u=Bn(r);s=f[n]=c.call(null,t),u()}}else s=c;r.ce&&r.ce._setProp(n,s)}o[0]&&(i&&!l?s=!1:o[1]&&(s===""||s===ct(n))&&(s=!0))}return s}const Ag=new WeakMap;function mf(e,t,n=!1){const s=n?Ag:t.propsCache,r=s.get(e);if(r)return r;const i=e.props,o={},l=[];let c=!1;if(!Q(e)){const u=a=>{c=!0;const[h,d]=mf(a,t,!0);ce(o,h),d&&l.push(...d)};!n&&t.mixins.length&&t.mixins.forEach(u),e.extends&&u(e.extends),e.mixins&&e.mixins.forEach(u)}if(!i&&!c)return be(e)&&s.set(e,ns),ns;if(j(i))for(let u=0;u<i.length;u++){const a=we(i[u]);Bc(a)&&(o[a]=le)}else if(i)for(const u in i){const a=we(u);if(Bc(a)){const h=i[u],d=o[a]=j(h)||Q(h)?{type:h}:ce({},h),m=d.type;let g=!1,w=!0;if(j(m))for(let _=0;_<m.length;++_){const S=m[_],p=Q(S)&&S.name;if(p==="Boolean"){g=!0;break}else p==="String"&&(w=!1)}else g=Q(m)&&m.name==="Boolean";d[0]=g,d[1]=w,(g||pe(d,"default"))&&l.push(a)}}const f=[o,l];return be(e)&&s.set(e,f),f}function Bc(e){return e[0]!=="$"&&!fn(e)}const gf=e=>e[0]==="_"||e==="$stable",Fl=e=>j(e)?e.map(lt):[lt(e)],xg=(e,t,n)=>{if(t._n)return t;const s=Al((...r)=>Fl(t(...r)),n);return s._c=!1,s},yf=(e,t,n)=>{const s=e._ctx;for(const r in e){if(gf(r))continue;const i=e[r];if(Q(i))t[r]=xg(r,i,s);else if(i!=null){const o=Fl(i);t[r]=()=>o}}},vf=(e,t)=>{const n=Fl(t);e.slots.default=()=>n},bf=(e,t,n)=>{for(const s in t)(n||s!=="_")&&(e[s]=t[s])},Og=(e,t,n)=>{const s=e.slots=hf();if(e.vnode.shapeFlag&32){const r=t._;r?(bf(s,t,n),n&&hu(s,"_",r,!0)):yf(t,s)}else t&&vf(e,t)},Ng=(e,t,n)=>{const{vnode:s,slots:r}=e;let i=!0,o=le;if(s.shapeFlag&32){const l=t._;l?n&&l===1?i=!1:bf(r,t,n):(i=!t.$stable,yf(t,r)),o=t}else t&&(vf(e,t),o={default:1});if(i)for(const l in r)!gf(l)&&o[l]==null&&delete r[l]},De=kf;function Sf(e){return Ef(e)}function _f(e){return Ef(e,km)}function Ef(e,t){const n=Ri();n.__VUE__=!0;const{insert:s,remove:r,patchProp:i,createElement:o,createText:l,createComment:c,setText:f,setElementText:u,parentNode:a,nextSibling:h,setScopeId:d=Ye,insertStaticContent:m}=e,g=(y,b,N,k=null,P=null,F=null,B=void 0,$=null,V=!!b.dynamicChildren)=>{if(y===b)return;y&&!Nt(y,b)&&(k=M(y),st(y,P,F,!0),y=null),b.patchFlag===-2&&(V=!1,b.dynamicChildren=null);const{type:D,ref:ee,shapeFlag:W}=b;switch(D){case pn:w(y,b,N,k);break;case Pe:_(y,b,N,k);break;case Fn:y==null&&S(b,N,k,B);break;case Ve:A(y,b,N,k,P,F,B,$,V);break;default:W&1?E(y,b,N,k,P,F,B,$,V):W&6?L(y,b,N,k,P,F,B,$,V):(W&64||W&128)&&D.process(y,b,N,k,P,F,B,$,V,Y)}ee!=null&&P&&oi(ee,y&&y.ref,F,b||y,!b)},w=(y,b,N,k)=>{if(y==null)s(b.el=l(b.children),N,k);else{const P=b.el=y.el;b.children!==y.children&&f(P,b.children)}},_=(y,b,N,k)=>{y==null?s(b.el=c(b.children||""),N,k):b.el=y.el},S=(y,b,N,k)=>{[y.el,y.anchor]=m(y.children,b,N,k,y.el,y.anchor)},p=({el:y,anchor:b},N,k)=>{let P;for(;y&&y!==b;)P=h(y),s(y,N,k),y=P;s(b,N,k)},v=({el:y,anchor:b})=>{let N;for(;y&&y!==b;)N=h(y),r(y),y=N;r(b)},E=(y,b,N,k,P,F,B,$,V)=>{b.type==="svg"?B="svg":b.type==="math"&&(B="mathml"),y==null?x(b,N,k,P,F,B,$,V):C(y,b,P,F,B,$,V)},x=(y,b,N,k,P,F,B,$)=>{let V,D;const{props:ee,shapeFlag:W,transition:J,dirs:te}=y;if(V=y.el=o(y.type,F,ee&&ee.is,ee),W&8?u(V,y.children):W&16&&O(y.children,V,null,k,P,uo(y,F),B,$),te&&Vt(y,null,k,"created"),R(V,y,y.scopeId,B,k),ee){for(const Se in ee)Se!=="value"&&!fn(Se)&&i(V,Se,null,ee[Se],F,k);"value"in ee&&i(V,"value",null,ee.value,F),(D=ee.onVnodeBeforeMount)&&it(D,k,y)}te&&Vt(y,null,k,"beforeMount");const ae=wf(P,J);ae&&J.beforeEnter(V),s(V,b,N),((D=ee&&ee.onVnodeMounted)||ae||te)&&De(()=>{D&&it(D,k,y),ae&&J.enter(V),te&&Vt(y,null,k,"mounted")},P)},R=(y,b,N,k,P)=>{if(N&&d(y,N),k)for(let F=0;F<k.length;F++)d(y,k[F]);if(P){let F=P.subTree;if(b===F||ai(F.type)&&(F.ssContent===b||F.ssFallback===b)){const B=P.vnode;R(y,B,B.scopeId,B.slotScopeIds,P.parent)}}},O=(y,b,N,k,P,F,B,$,V=0)=>{for(let D=V;D<y.length;D++){const ee=y[D]=$?ln(y[D]):lt(y[D]);g(null,ee,b,N,k,P,F,B,$)}},C=(y,b,N,k,P,F,B)=>{const $=b.el=y.el;let{patchFlag:V,dynamicChildren:D,dirs:ee}=b;V|=y.patchFlag&16;const W=y.props||le,J=b.props||le;let te;if(N&&Tn(N,!1),(te=J.onVnodeBeforeUpdate)&&it(te,N,b,y),ee&&Vt(b,y,N,"beforeUpdate"),N&&Tn(N,!0),(W.innerHTML&&J.innerHTML==null||W.textContent&&J.textContent==null)&&u($,""),D?T(y.dynamicChildren,D,$,N,k,uo(b,P),F):B||q(y,b,$,null,N,k,uo(b,P),F,!1),V>0){if(V&16)I($,W,J,N,P);else if(V&2&&W.class!==J.class&&i($,"class",null,J.class,P),V&4&&i($,"style",W.style,J.style,P),V&8){const ae=b.dynamicProps;for(let Se=0;Se<ae.length;Se++){const ye=ae[Se],ht=W[ye],je=J[ye];(je!==ht||ye==="value")&&i($,ye,ht,je,P,N)}}V&1&&y.children!==b.children&&u($,b.children)}else!B&&D==null&&I($,W,J,N,P);((te=J.onVnodeUpdated)||ee)&&De(()=>{te&&it(te,N,b,y),ee&&Vt(b,y,N,"updated")},k)},T=(y,b,N,k,P,F,B)=>{for(let $=0;$<b.length;$++){const V=y[$],D=b[$],ee=V.el&&(V.type===Ve||!Nt(V,D)||V.shapeFlag&70)?a(V.el):N;g(V,D,ee,null,k,P,F,B,!0)}},I=(y,b,N,k,P)=>{if(b!==N){if(b!==le)for(const F in b)!fn(F)&&!(F in N)&&i(y,F,b[F],null,P,k);for(const F in N){if(fn(F))continue;const B=N[F],$=b[F];B!==$&&F!=="value"&&i(y,F,$,B,P,k)}"value"in N&&i(y,"value",b.value,N.value,P)}},A=(y,b,N,k,P,F,B,$,V)=>{const D=b.el=y?y.el:l(""),ee=b.anchor=y?y.anchor:l("");let{patchFlag:W,dynamicChildren:J,slotScopeIds:te}=b;te&&($=$?$.concat(te):te),y==null?(s(D,N,k),s(ee,N,k),O(b.children||[],N,ee,P,F,B,$,V)):W>0&&W&64&&J&&y.dynamicChildren?(T(y.dynamicChildren,J,N,P,F,B,$),(b.key!=null||P&&b===P.subTree)&&Ll(y,b,!0)):q(y,b,N,ee,P,F,B,$,V)},L=(y,b,N,k,P,F,B,$,V)=>{b.slotScopeIds=$,y==null?b.shapeFlag&512?P.ctx.activate(b,N,k,B,V):G(b,N,k,P,F,B,V):X(y,b,V)},G=(y,b,N,k,P,F,B)=>{const $=y.component=Bf(y,k,P);if(dr(y)&&($.ctx.renderer=Y),jf($,!1,B),$.asyncDep){if(P&&P.registerDep($,U,B),!y.el){const V=$.subTree=Ee(Pe);_(null,V,b,N)}}else U($,y,b,N,P,F,B)},X=(y,b,N)=>{const k=b.component=y.component;if(Dg(y,b,N))if(k.asyncDep&&!k.asyncResolved){Z(k,b,N);return}else k.next=b,k.update();else b.el=y.el,k.vnode=b},U=(y,b,N,k,P,F,B)=>{const $=()=>{if(y.isMounted){let{next:W,bu:J,u:te,parent:ae,vnode:Se}=y;{const dt=Tf(y);if(dt){W&&(W.el=Se.el,Z(y,W,B)),dt.asyncDep.then(()=>{y.isUnmounted||$()});return}}let ye=W,ht;Tn(y,!1),W?(W.el=Se.el,Z(y,W,B)):W=Se,J&&is(J),(ht=W.props&&W.props.onVnodeBeforeUpdate)&&it(ht,ae,W,Se),Tn(y,!0);const je=Ur(y),Ot=y.subTree;y.subTree=je,g(Ot,je,a(Ot.el),M(Ot),y,P,F),W.el=je.el,ye===null&&Dl(y,je.el),te&&De(te,P),(ht=W.props&&W.props.onVnodeUpdated)&&De(()=>it(ht,ae,W,Se),P)}else{let W;const{el:J,props:te}=b,{bm:ae,m:Se,parent:ye,root:ht,type:je}=y,Ot=dn(b);if(Tn(y,!1),ae&&is(ae),!Ot&&(W=te&&te.onVnodeBeforeMount)&&it(W,ye,b),Tn(y,!0),J&&Ce){const dt=()=>{y.subTree=Ur(y),Ce(J,y.subTree,y,P,null)};Ot&&je.__asyncHydrate?je.__asyncHydrate(J,y,dt):dt()}else{ht.ce&&ht.ce._injectChildStyle(je);const dt=y.subTree=Ur(y);g(null,dt,N,k,y,P,F),b.el=dt.el}if(Se&&De(Se,P),!Ot&&(W=te&&te.onVnodeMounted)){const dt=b;De(()=>it(W,ye,dt),P)}(b.shapeFlag&256||ye&&dn(ye.vnode)&&ye.vnode.shapeFlag&256)&&y.a&&De(y.a,P),y.isMounted=!0,b=N=k=null}};y.scope.on();const V=y.effect=new qs($);y.scope.off();const D=y.update=V.run.bind(V),ee=y.job=V.runIfDirty.bind(V);ee.i=y,ee.id=y.uid,V.scheduler=()=>Cl(ee),Tn(y,!0),D()},Z=(y,b,N)=>{b.component=y;const k=y.vnode.props;y.vnode=b,y.next=null,Cg(y,b.props,k,N),Ng(y,b.children,N),Sn(),Rc(y),_n()},q=(y,b,N,k,P,F,B,$,V=!1)=>{const D=y&&y.children,ee=y?y.shapeFlag:0,W=b.children,{patchFlag:J,shapeFlag:te}=b;if(J>0){if(J&128){tt(D,W,N,k,P,F,B,$,V);return}else if(J&256){Oe(D,W,N,k,P,F,B,$,V);return}}te&8?(ee&16&&St(D,P,F),W!==D&&u(N,W)):ee&16?te&16?tt(D,W,N,k,P,F,B,$,V):St(D,P,F,!0):(ee&8&&u(N,""),te&16&&O(W,N,k,P,F,B,$,V))},Oe=(y,b,N,k,P,F,B,$,V)=>{y=y||ns,b=b||ns;const D=y.length,ee=b.length,W=Math.min(D,ee);let J;for(J=0;J<W;J++){const te=b[J]=V?ln(b[J]):lt(b[J]);g(y[J],te,N,null,P,F,B,$,V)}D>ee?St(y,P,F,!0,!1,W):O(b,N,k,P,F,B,$,V,W)},tt=(y,b,N,k,P,F,B,$,V)=>{let D=0;const ee=b.length;let W=y.length-1,J=ee-1;for(;D<=W&&D<=J;){const te=y[D],ae=b[D]=V?ln(b[D]):lt(b[D]);if(Nt(te,ae))g(te,ae,N,null,P,F,B,$,V);else break;D++}for(;D<=W&&D<=J;){const te=y[W],ae=b[J]=V?ln(b[J]):lt(b[J]);if(Nt(te,ae))g(te,ae,N,null,P,F,B,$,V);else break;W--,J--}if(D>W){if(D<=J){const te=J+1,ae=te<ee?b[te].el:k;for(;D<=J;)g(null,b[D]=V?ln(b[D]):lt(b[D]),N,ae,P,F,B,$,V),D++}}else if(D>J)for(;D<=W;)st(y[D],P,F,!0),D++;else{const te=D,ae=D,Se=new Map;for(D=ae;D<=J;D++){const pt=b[D]=V?ln(b[D]):lt(b[D]);pt.key!=null&&Se.set(pt.key,D)}let ye,ht=0;const je=J-ae+1;let Ot=!1,dt=0;const Cs=new Array(je);for(D=0;D<je;D++)Cs[D]=0;for(D=te;D<=W;D++){const pt=y[D];if(ht>=je){st(pt,P,F,!0);continue}let kt;if(pt.key!=null)kt=Se.get(pt.key);else for(ye=ae;ye<=J;ye++)if(Cs[ye-ae]===0&&Nt(pt,b[ye])){kt=ye;break}kt===void 0?st(pt,P,F,!0):(Cs[kt-ae]=D+1,kt>=dt?dt=kt:Ot=!0,g(pt,b[kt],N,null,P,F,B,$,V),ht++)}const Tc=Ot?Rg(Cs):ns;for(ye=Tc.length-1,D=je-1;D>=0;D--){const pt=ae+D,kt=b[pt],Cc=pt+1<ee?b[pt+1].el:k;Cs[D]===0?g(null,kt,N,Cc,P,F,B,$,V):Ot&&(ye<0||D!==Tc[ye]?nt(kt,N,Cc,2):ye--)}}},nt=(y,b,N,k,P=null)=>{const{el:F,type:B,transition:$,children:V,shapeFlag:D}=y;if(D&6){nt(y.component.subTree,b,N,k);return}if(D&128){y.suspense.move(b,N,k);return}if(D&64){B.move(y,b,N,Y);return}if(B===Ve){s(F,b,N);for(let W=0;W<V.length;W++)nt(V[W],b,N,k);s(y.anchor,b,N);return}if(B===Fn){p(y,b,N);return}if(k!==2&&D&1&&$)if(k===0)$.beforeEnter(F),s(F,b,N),De(()=>$.enter(F),P);else{const{leave:W,delayLeave:J,afterLeave:te}=$,ae=()=>s(F,b,N),Se=()=>{W(F,()=>{ae(),te&&te()})};J?J(F,ae,Se):Se()}else s(F,b,N)},st=(y,b,N,k=!1,P=!1)=>{const{type:F,props:B,ref:$,children:V,dynamicChildren:D,shapeFlag:ee,patchFlag:W,dirs:J,cacheIndex:te}=y;if(W===-2&&(P=!1),$!=null&&oi($,null,N,y,!0),te!=null&&(b.renderCache[te]=void 0),ee&256){b.ctx.deactivate(y);return}const ae=ee&1&&J,Se=!dn(y);let ye;if(Se&&(ye=B&&B.onVnodeBeforeUnmount)&&it(ye,b,y),ee&6)Tr(y.component,N,k);else{if(ee&128){y.suspense.unmount(N,k);return}ae&&Vt(y,null,b,"beforeUnmount"),ee&64?y.type.remove(y,b,N,Y,k):D&&!D.hasOnce&&(F!==Ve||W>0&&W&64)?St(D,b,N,!1,!0):(F===Ve&&W&384||!P&&ee&16)&&St(V,b,N),k&&Gn(y)}(Se&&(ye=B&&B.onVnodeUnmounted)||ae)&&De(()=>{ye&&it(ye,b,y),ae&&Vt(y,null,b,"unmounted")},N)},Gn=y=>{const{type:b,el:N,anchor:k,transition:P}=y;if(b===Ve){zn(N,k);return}if(b===Fn){v(y);return}const F=()=>{r(N),P&&!P.persisted&&P.afterLeave&&P.afterLeave()};if(y.shapeFlag&1&&P&&!P.persisted){const{leave:B,delayLeave:$}=P,V=()=>B(N,F);$?$(y.el,F,V):V()}else F()},zn=(y,b)=>{let N;for(;y!==b;)N=h(y),r(y),y=N;r(b)},Tr=(y,b,N)=>{const{bum:k,scope:P,job:F,subTree:B,um:$,m:V,a:D}=y;ci(V),ci(D),k&&is(k),P.stop(),F&&(F.flags|=8,st(B,y,b,N)),$&&De($,b),De(()=>{y.isUnmounted=!0},b),b&&b.pendingBranch&&!b.isUnmounted&&y.asyncDep&&!y.asyncResolved&&y.suspenseId===b.pendingId&&(b.deps--,b.deps===0&&b.resolve())},St=(y,b,N,k=!1,P=!1,F=0)=>{for(let B=F;B<y.length;B++)st(y[B],b,N,k,P)},M=y=>{if(y.shapeFlag&6)return M(y.component.subTree);if(y.shapeFlag&128)return y.suspense.next();const b=h(y.anchor||y.el),N=b&&b[Ku];return N?h(N):b};let K=!1;const H=(y,b,N)=>{y==null?b._vnode&&st(b._vnode,null,null,!0):g(b._vnode||null,y,b,null,null,null,N),b._vnode=y,K||(K=!0,Rc(),ii(),K=!1)},Y={p:g,um:st,m:nt,r:Gn,mt:G,mc:O,pc:q,pbc:T,n:M,o:e};let ge,Ce;return t&&([ge,Ce]=t(Y)),{render:H,hydrate:ge,createApp:Eg(H,ge)}}function uo({type:e,props:t},n){return n==="svg"&&e==="foreignObject"||n==="mathml"&&e==="annotation-xml"&&t&&t.encoding&&t.encoding.includes("html")?void 0:n}function Tn({effect:e,job:t},n){n?(e.flags|=32,t.flags|=4):(e.flags&=-33,t.flags&=-5)}function wf(e,t){return(!e||e&&!e.pendingBranch)&&t&&!t.persisted}function Ll(e,t,n=!1){const s=e.children,r=t.children;if(j(s)&&j(r))for(let i=0;i<s.length;i++){const o=s[i];let l=r[i];l.shapeFlag&1&&!l.dynamicChildren&&((l.patchFlag<=0||l.patchFlag===32)&&(l=r[i]=ln(r[i]),l.el=o.el),!n&&l.patchFlag!==-2&&Ll(o,l)),l.type===pn&&(l.el=o.el)}}function Rg(e){const t=e.slice(),n=[0];let s,r,i,o,l;const c=e.length;for(s=0;s<c;s++){const f=e[s];if(f!==0){if(r=n[n.length-1],e[r]<f){t[s]=r,n.push(s);continue}for(i=0,o=n.length-1;i<o;)l=i+o>>1,e[n[l]]<f?i=l+1:o=l;f<e[n[i]]&&(i>0&&(t[s]=n[i-1]),n[i]=s)}}for(i=n.length,o=n[i-1];i-- >0;)n[i]=o,o=t[o];return n}function Tf(e){const t=e.subTree.component;if(t)return t.asyncDep&&!t.asyncResolved?t:Tf(t)}function ci(e){if(e)for(let t=0;t<e.length;t++)e[t].flags|=8}const Cf=Symbol.for("v-scx"),Af=()=>Ct(Cf);function xf(e,t){return mr(e,null,t)}function Of(e,t){return mr(e,null,{flush:"post"})}function Nf(e,t){return mr(e,null,{flush:"sync"})}function de(e,t,n){return mr(e,t,n)}function mr(e,t,n=le){const{immediate:s,deep:r,flush:i,once:o}=n,l=ce({},n),c=t&&s||!t&&i!=="post";let f;if(ds){if(i==="sync"){const d=Af();f=d.__watcherHandles||(d.__watcherHandles=[])}else if(!c){const d=()=>{};return d.stop=Ye,d.resume=Ye,d.pause=Ye,d}}const u=ke;l.call=(d,m,g)=>xt(d,u,m,g);let a=!1;i==="post"?l.scheduler=d=>{De(d,u&&u.suspense)}:i!=="sync"&&(a=!0,l.scheduler=(d,m)=>{m?d():Cl(d)}),l.augmentJob=d=>{t&&(d.flags|=4),a&&(d.flags|=2,u&&(d.id=u.uid,d.i=u))};const h=dm(e,t,l);return ds&&(f?f.push(h):c&&h()),h}function Ig(e,t,n){const s=this.proxy,r=ne(e)?e.includes(".")?Rf(s,e):()=>s[e]:e.bind(s,s);let i;Q(t)?i=t:(i=t.handler,n=t);const o=Bn(this),l=mr(r,i.bind(s),n);return o(),l}function Rf(e,t){const n=t.split(".");return()=>{let s=e;for(let r=0;r<n.length&&s;r++)s=s[n[r]];return s}}function Pg(e,t,n=le){const s=Le(),r=we(t),i=ct(t),o=If(e,r),l=Vi((c,f)=>{let u,a=le,h;return Nf(()=>{const d=e[r];Qe(u,d)&&(u=d,f())}),{get(){return c(),n.get?n.get(u):u},set(d){const m=n.set?n.set(d):d;if(!Qe(m,u)&&!(a!==le&&Qe(d,a)))return;const g=s.vnode.props;g&&(t in g||r in g||i in g)&&(`onUpdate:${t}`in g||`onUpdate:${r}`in g||`onUpdate:${i}`in g)||(u=d,f()),s.emit(`update:${t}`,m),Qe(d,m)&&Qe(d,a)&&!Qe(m,h)&&f(),a=d,h=m}}});return l[Symbol.iterator]=()=>{let c=0;return{next(){return c<2?{value:c++?o||le:l,done:!1}:{done:!0}}}},l}const If=(e,t)=>t==="modelValue"||t==="model-value"?e.modelModifiers:e[`${t}Modifiers`]||e[`${we(t)}Modifiers`]||e[`${ct(t)}Modifiers`];function Mg(e,t,...n){if(e.isUnmounted)return;const s=e.vnode.props||le;let r=n;const i=t.startsWith("update:"),o=i&&If(s,t.slice(7));o&&(o.trim&&(r=n.map(u=>ne(u)?u.trim():u)),o.number&&(r=n.map(Qr)));let l,c=s[l=rs(t)]||s[l=rs(we(t))];!c&&i&&(c=s[l=rs(ct(t))]),c&&xt(c,e,6,r);const f=s[l+"Once"];if(f){if(!e.emitted)e.emitted={};else if(e.emitted[l])return;e.emitted[l]=!0,xt(f,e,6,r)}}function Pf(e,t,n=!1){const s=t.emitsCache,r=s.get(e);if(r!==void 0)return r;const i=e.emits;let o={},l=!1;if(!Q(e)){const c=f=>{const u=Pf(f,t,!0);u&&(l=!0,ce(o,u))};!n&&t.mixins.length&&t.mixins.forEach(c),e.extends&&c(e.extends),e.mixins&&e.mixins.forEach(c)}return!i&&!l?(be(e)&&s.set(e,null),null):(j(i)?i.forEach(c=>o[c]=null):ce(o,i),be(e)&&s.set(e,o),o)}function Wi(e,t){return!e||!jn(t)?!1:(t=t.slice(2).replace(/Once$/,""),pe(e,t[0].toLowerCase()+t.slice(1))||pe(e,ct(t))||pe(e,t))}function Ur(e){const{type:t,vnode:n,proxy:s,withProxy:r,propsOptions:[i],slots:o,attrs:l,emit:c,render:f,renderCache:u,props:a,data:h,setupState:d,ctx:m,inheritAttrs:g}=e,w=Js(e);let _,S;try{if(n.shapeFlag&4){const v=r||s,E=v;_=lt(f.call(E,v,u,a,d,h,m)),S=l}else{const v=t;_=lt(v.length>1?v(a,{attrs:l,slots:o,emit:c}):v(a,null)),S=t.props?l:Fg(l)}}catch(v){Ds.length=0,Kn(v,e,1),_=Ee(Pe)}let p=_;if(S&&g!==!1){const v=Object.keys(S),{shapeFlag:E}=p;v.length&&E&7&&(i&&v.some(pl)&&(S=Lg(S,i)),p=$t(p,S,!1,!0))}return n.dirs&&(p=$t(p,null,!1,!0),p.dirs=p.dirs?p.dirs.concat(n.dirs):n.dirs),n.transition&&Jt(p,n.transition),_=p,Js(w),_}function kg(e,t=!0){let n;for(let s=0;s<e.length;s++){const r=e[s];if(Xt(r)){if(r.type!==Pe||r.children==="v-if"){if(n)return;n=r}}else return}return n}const Fg=e=>{let t;for(const n in e)(n==="class"||n==="style"||jn(n))&&((t||(t={}))[n]=e[n]);return t},Lg=(e,t)=>{const n={};for(const s in e)(!pl(s)||!(s.slice(9)in t))&&(n[s]=e[s]);return n};function Dg(e,t,n){const{props:s,children:r,component:i}=e,{props:o,children:l,patchFlag:c}=t,f=i.emitsOptions;if(t.dirs||t.transition)return!0;if(n&&c>=0){if(c&1024)return!0;if(c&16)return s?Hc(s,o,f):!!o;if(c&8){const u=t.dynamicProps;for(let a=0;a<u.length;a++){const h=u[a];if(o[h]!==s[h]&&!Wi(f,h))return!0}}}else return(r||l)&&(!l||!l.$stable)?!0:s===o?!1:s?o?Hc(s,o,f):!0:!!o;return!1}function Hc(e,t,n){const s=Object.keys(t);if(s.length!==Object.keys(e).length)return!0;for(let r=0;r<s.length;r++){const i=s[r];if(t[i]!==e[i]&&!Wi(n,i))return!0}return!1}function Dl({vnode:e,parent:t},n){for(;t;){const s=t.subTree;if(s.suspense&&s.suspense.activeBranch===e&&(s.el=e.el),s===e)(e=t.vnode).el=n,t=t.parent;else break}}const ai=e=>e.__isSuspense;let Do=0;const Vg={name:"Suspense",__isSuspense:!0,process(e,t,n,s,r,i,o,l,c,f){if(e==null)Bg(t,n,s,r,i,o,l,c,f);else{if(i&&i.deps>0&&!e.suspense.isInFallback){t.suspense=e.suspense,t.suspense.vnode=t,t.el=e.el;return}Hg(e,t,n,s,r,o,l,c,f)}},hydrate:jg,normalize:Ug},$g=Vg;function Zs(e,t){const n=e.props&&e.props[t];Q(n)&&n()}function Bg(e,t,n,s,r,i,o,l,c){const{p:f,o:{createElement:u}}=c,a=u("div"),h=e.suspense=Mf(e,r,s,t,a,n,i,o,l,c);f(null,h.pendingBranch=e.ssContent,a,null,s,h,i,o),h.deps>0?(Zs(e,"onPending"),Zs(e,"onFallback"),f(null,e.ssFallback,t,n,s,null,i,o),cs(h,e.ssFallback)):h.resolve(!1,!0)}function Hg(e,t,n,s,r,i,o,l,{p:c,um:f,o:{createElement:u}}){const a=t.suspense=e.suspense;a.vnode=t,t.el=e.el;const h=t.ssContent,d=t.ssFallback,{activeBranch:m,pendingBranch:g,isInFallback:w,isHydrating:_}=a;if(g)a.pendingBranch=h,Nt(h,g)?(c(g,h,a.hiddenContainer,null,r,a,i,o,l),a.deps<=0?a.resolve():w&&(_||(c(m,d,n,s,r,null,i,o,l),cs(a,d)))):(a.pendingId=Do++,_?(a.isHydrating=!1,a.activeBranch=g):f(g,r,a),a.deps=0,a.effects.length=0,a.hiddenContainer=u("div"),w?(c(null,h,a.hiddenContainer,null,r,a,i,o,l),a.deps<=0?a.resolve():(c(m,d,n,s,r,null,i,o,l),cs(a,d))):m&&Nt(h,m)?(c(m,h,n,s,r,a,i,o,l),a.resolve(!0)):(c(null,h,a.hiddenContainer,null,r,a,i,o,l),a.deps<=0&&a.resolve()));else if(m&&Nt(h,m))c(m,h,n,s,r,a,i,o,l),cs(a,h);else if(Zs(t,"onPending"),a.pendingBranch=h,h.shapeFlag&512?a.pendingId=h.component.suspenseId:a.pendingId=Do++,c(null,h,a.hiddenContainer,null,r,a,i,o,l),a.deps<=0)a.resolve();else{const{timeout:S,pendingId:p}=a;S>0?setTimeout(()=>{a.pendingId===p&&a.fallback(d)},S):S===0&&a.fallback(d)}}function Mf(e,t,n,s,r,i,o,l,c,f,u=!1){const{p:a,m:h,um:d,n:m,o:{parentNode:g,remove:w}}=f;let _;const S=Wg(e);S&&t&&t.pendingBranch&&(_=t.pendingId,t.deps++);const p=e.props?ei(e.props.timeout):void 0,v=i,E={vnode:e,parent:t,parentComponent:n,namespace:o,container:s,hiddenContainer:r,deps:0,pendingId:Do++,timeout:typeof p=="number"?p:-1,activeBranch:null,pendingBranch:null,isInFallback:!u,isHydrating:u,isUnmounted:!1,effects:[],resolve(x=!1,R=!1){const{vnode:O,activeBranch:C,pendingBranch:T,pendingId:I,effects:A,parentComponent:L,container:G}=E;let X=!1;E.isHydrating?E.isHydrating=!1:x||(X=C&&T.transition&&T.transition.mode==="out-in",X&&(C.transition.afterLeave=()=>{I===E.pendingId&&(h(T,G,i===v?m(C):i,0),ri(A))}),C&&(g(C.el)===G&&(i=m(C)),d(C,L,E,!0)),X||h(T,G,i,0)),cs(E,T),E.pendingBranch=null,E.isInFallback=!1;let U=E.parent,Z=!1;for(;U;){if(U.pendingBranch){U.effects.push(...A),Z=!0;break}U=U.parent}!Z&&!X&&ri(A),E.effects=[],S&&t&&t.pendingBranch&&_===t.pendingId&&(t.deps--,t.deps===0&&!R&&t.resolve()),Zs(O,"onResolve")},fallback(x){if(!E.pendingBranch)return;const{vnode:R,activeBranch:O,parentComponent:C,container:T,namespace:I}=E;Zs(R,"onFallback");const A=m(O),L=()=>{E.isInFallback&&(a(null,x,T,A,C,null,I,l,c),cs(E,x))},G=x.transition&&x.transition.mode==="out-in";G&&(O.transition.afterLeave=L),E.isInFallback=!0,d(O,C,null,!0),G||L()},move(x,R,O){E.activeBranch&&h(E.activeBranch,x,R,O),E.container=x},next(){return E.activeBranch&&m(E.activeBranch)},registerDep(x,R,O){const C=!!E.pendingBranch;C&&E.deps++;const T=x.vnode.el;x.asyncDep.catch(I=>{Kn(I,x,0)}).then(I=>{if(x.isUnmounted||E.isUnmounted||E.pendingId!==x.suspenseId)return;x.asyncResolved=!0;const{vnode:A}=x;Ho(x,I,!1),T&&(A.el=T);const L=!T&&x.subTree.el;R(x,A,g(T||x.subTree.el),T?null:m(x.subTree),E,o,O),L&&w(L),Dl(x,A.el),C&&--E.deps===0&&E.resolve()})},unmount(x,R){E.isUnmounted=!0,E.activeBranch&&d(E.activeBranch,n,x,R),E.pendingBranch&&d(E.pendingBranch,n,x,R)}};return E}function jg(e,t,n,s,r,i,o,l,c){const f=t.suspense=Mf(t,s,n,e.parentNode,document.createElement("div"),null,r,i,o,l,!0),u=c(e,f.pendingBranch=t.ssContent,n,f,i,o);return f.deps===0&&f.resolve(!1,!0),u}function Ug(e){const{shapeFlag:t,children:n}=e,s=t&32;e.ssContent=jc(s?n.default:n),e.ssFallback=s?jc(n.fallback):Ee(Pe)}function jc(e){let t;if(Q(e)){const n=$n&&e._c;n&&(e._d=!1,Qs()),e=e(),n&&(e._d=!0,t=Ge,Ff())}return j(e)&&(e=kg(e)),e=lt(e),t&&!e.dynamicChildren&&(e.dynamicChildren=t.filter(n=>n!==e)),e}function kf(e,t){t&&t.pendingBranch?j(e)?t.effects.push(...e):t.effects.push(e):ri(e)}function cs(e,t){e.activeBranch=t;const{vnode:n,parentComponent:s}=e;let r=t.el;for(;!r&&t.component;)t=t.component.subTree,r=t.el;n.el=r,s&&s.subTree===n&&(s.vnode.el=r,Dl(s,r))}function Wg(e){const t=e.props&&e.props.suspensible;return t!=null&&t!==!1}const Ve=Symbol.for("v-fgt"),pn=Symbol.for("v-txt"),Pe=Symbol.for("v-cmt"),Fn=Symbol.for("v-stc"),Ds=[];let Ge=null;function Qs(e=!1){Ds.push(Ge=e?null:[])}function Ff(){Ds.pop(),Ge=Ds[Ds.length-1]||null}let $n=1;function Vo(e){$n+=e,e<0&&Ge&&(Ge.hasOnce=!0)}function Lf(e){return e.dynamicChildren=$n>0?Ge||ns:null,Ff(),$n>0&&Ge&&Ge.push(e),e}function Kg(e,t,n,s,r,i){return Lf(Vl(e,t,n,s,r,i,!0))}function ui(e,t,n,s,r){return Lf(Ee(e,t,n,s,r,!0))}function Xt(e){return e?e.__v_isVNode===!0:!1}function Nt(e,t){return e.type===t.type&&e.key===t.key}function qg(e){}const Df=({key:e})=>e??null,Wr=({ref:e,ref_key:t,ref_for:n})=>(typeof e=="number"&&(e=""+e),e!=null?ne(e)||Te(e)||Q(e)?{i:Fe,r:e,k:t,f:!!n}:e:null);function Vl(e,t=null,n=null,s=0,r=null,i=e===Ve?0:1,o=!1,l=!1){const c={__v_isVNode:!0,__v_skip:!0,type:e,props:t,key:t&&Df(t),ref:t&&Wr(t),scopeId:$i,slotScopeIds:null,children:n,component:null,suspense:null,ssContent:null,ssFallback:null,dirs:null,transition:null,el:null,anchor:null,target:null,targetStart:null,targetAnchor:null,staticCount:0,shapeFlag:i,patchFlag:s,dynamicProps:r,dynamicChildren:null,appContext:null,ctx:Fe};return l?(Bl(c,n),i&128&&e.normalize(c)):n&&(c.shapeFlag|=ne(n)?8:16),$n>0&&!o&&Ge&&(c.patchFlag>0||i&6)&&c.patchFlag!==32&&Ge.push(c),c}const Ee=Gg;function Gg(e,t=null,n=null,s=0,r=null,i=!1){if((!e||e===lf)&&(e=Pe),Xt(e)){const l=$t(e,t,!0);return n&&Bl(l,n),$n>0&&!i&&Ge&&(l.shapeFlag&6?Ge[Ge.indexOf(e)]=l:Ge.push(l)),l.patchFlag=-2,l}if(ty(e)&&(e=e.__vccOpts),t){t=Vf(t);let{class:l,style:c}=t;l&&!ne(l)&&(t.class=fr(l)),be(c)&&(Di(c)&&!j(c)&&(c=ce({},c)),t.style=ur(c))}const o=ne(e)?1:ai(e)?128:qu(e)?64:be(e)?4:Q(e)?2:0;return Vl(e,t,n,s,r,o,i,!0)}function Vf(e){return e?Di(e)||df(e)?ce({},e):e:null}function $t(e,t,n=!1,s=!1){const{props:r,ref:i,patchFlag:o,children:l,transition:c}=e,f=t?$f(r||{},t):r,u={__v_isVNode:!0,__v_skip:!0,type:e.type,props:f,key:f&&Df(f),ref:t&&t.ref?n&&i?j(i)?i.concat(Wr(t)):[i,Wr(t)]:Wr(t):i,scopeId:e.scopeId,slotScopeIds:e.slotScopeIds,children:l,target:e.target,targetStart:e.targetStart,targetAnchor:e.targetAnchor,staticCount:e.staticCount,shapeFlag:e.shapeFlag,patchFlag:t&&e.type!==Ve?o===-1?16:o|16:o,dynamicProps:e.dynamicProps,dynamicChildren:e.dynamicChildren,appContext:e.appContext,dirs:e.dirs,transition:c,component:e.component,suspense:e.suspense,ssContent:e.ssContent&&$t(e.ssContent),ssFallback:e.ssFallback&&$t(e.ssFallback),el:e.el,anchor:e.anchor,ctx:e.ctx,ce:e.ce};return c&&s&&Jt(u,c.clone(u)),u}function $l(e=" ",t=0){return Ee(pn,null,e,t)}function zg(e,t){const n=Ee(Fn,null,e);return n.staticCount=t,n}function Yg(e="",t=!1){return t?(Qs(),ui(Pe,null,e)):Ee(Pe,null,e)}function lt(e){return e==null||typeof e=="boolean"?Ee(Pe):j(e)?Ee(Ve,null,e.slice()):Xt(e)?ln(e):Ee(pn,null,String(e))}function ln(e){return e.el===null&&e.patchFlag!==-1||e.memo?e:$t(e)}function Bl(e,t){let n=0;const{shapeFlag:s}=e;if(t==null)t=null;else if(j(t))n=16;else if(typeof t=="object")if(s&65){const r=t.default;r&&(r._c&&(r._d=!1),Bl(e,r()),r._c&&(r._d=!0));return}else{n=32;const r=t._;!r&&!df(t)?t._ctx=Fe:r===3&&Fe&&(Fe.slots._===1?t._=1:(t._=2,e.patchFlag|=1024))}else Q(t)?(t={default:t,_ctx:Fe},n=32):(t=String(t),s&64?(n=16,t=[$l(t)]):n=8);e.children=t,e.shapeFlag|=n}function $f(...e){const t={};for(let n=0;n<e.length;n++){const s=e[n];for(const r in s)if(r==="class")t.class!==s.class&&(t.class=fr([t.class,s.class]));else if(r==="style")t.style=ur([t.style,s.style]);else if(jn(r)){const i=t[r],o=s[r];o&&i!==o&&!(j(i)&&i.includes(o))&&(t[r]=i?[].concat(i,o):o)}else r!==""&&(t[r]=s[r])}return t}function it(e,t,n,s=null){xt(e,t,7,[n,s])}const Jg=uf();let Xg=0;function Bf(e,t,n){const s=e.type,r=(t?t.appContext:e.appContext)||Jg,i={uid:Xg++,vnode:e,type:s,parent:t,appContext:r,root:null,next:null,subTree:null,effect:null,update:null,job:null,scope:new vl(!0),render:null,proxy:null,exposed:null,exposeProxy:null,withProxy:null,provides:t?t.provides:Object.create(r.provides),ids:t?t.ids:["",0,0],accessCache:null,renderCache:[],components:null,directives:null,propsOptions:mf(s,r),emitsOptions:Pf(s,r),emit:null,emitted:null,propsDefaults:le,inheritAttrs:s.inheritAttrs,ctx:le,data:le,props:le,attrs:le,slots:le,refs:le,setupState:le,setupContext:null,suspense:n,suspenseId:n?n.pendingId:0,asyncDep:null,asyncResolved:!1,isMounted:!1,isUnmounted:!1,isDeactivated:!1,bc:null,c:null,bm:null,m:null,bu:null,u:null,um:null,bum:null,da:null,a:null,rtg:null,rtc:null,ec:null,sp:null};return i.ctx={_:i},i.root=t?t.root:i,i.emit=Mg.bind(null,i),e.ce&&e.ce(i),i}let ke=null;const Le=()=>ke||Fe;let fi,$o;{const e=Ri(),t=(n,s)=>{let r;return(r=e[n])||(r=e[n]=[]),r.push(s),i=>{r.length>1?r.forEach(o=>o(i)):r[0](i)}};fi=t("__VUE_INSTANCE_SETTERS__",n=>ke=n),$o=t("__VUE_SSR_SETTERS__",n=>ds=n)}const Bn=e=>{const t=ke;return fi(e),e.scope.on(),()=>{e.scope.off(),fi(t)}},Bo=()=>{ke&&ke.scope.off(),fi(null)};function Hf(e){return e.vnode.shapeFlag&4}let ds=!1;function jf(e,t=!1,n=!1){t&&$o(t);const{props:s,children:r}=e.vnode,i=Hf(e);Tg(e,s,i,t),Og(e,r,n);const o=i?Zg(e,t):void 0;return t&&$o(!1),o}function Zg(e,t){const n=e.type;e.accessCache=Object.create(null),e.proxy=new Proxy(e.ctx,Mo);const{setup:s}=n;if(s){Sn();const r=e.setupContext=s.length>1?Kf(e):null,i=Bn(e),o=ws(s,e,0,[e.props,r]),l=gl(o);if(_n(),i(),(l||e.sp)&&!dn(e)&&Nl(e),l){if(o.then(Bo,Bo),t)return o.then(c=>{Ho(e,c,t)}).catch(c=>{Kn(c,e,0)});e.asyncDep=o}else Ho(e,o,t)}else Wf(e,t)}function Ho(e,t,n){Q(t)?e.type.__ssrInlineRender?e.ssrRender=t:e.render=t:be(t)&&(e.setupState=Tl(t)),Wf(e,n)}let hi,jo;function Uf(e){hi=e,jo=t=>{t.render._rc&&(t.withProxy=new Proxy(t.ctx,ng))}}const Qg=()=>!hi;function Wf(e,t,n){const s=e.type;if(!e.render){if(!t&&hi&&!s.render){const r=s.template||kl(e).template;if(r){const{isCustomElement:i,compilerOptions:o}=e.appContext.config,{delimiters:l,compilerOptions:c}=s,f=ce(ce({isCustomElement:i,delimiters:l},o),c);s.render=hi(r,f)}}e.render=s.render||Ye,jo&&jo(e)}{const r=Bn(e);Sn();try{gg(e)}finally{_n(),r()}}}const ey={get(e,t){return Ke(e,"get",""),e[t]}};function Kf(e){const t=n=>{e.exposed=n||{}};return{attrs:new Proxy(e.attrs,ey),slots:e.slots,emit:e.emit,expose:t}}function gr(e){return e.exposed?e.exposeProxy||(e.exposeProxy=new Proxy(Tl(ku(e.exposed)),{get(t,n){if(n in t)return t[n];if(n in Ls)return Ls[n](e)},has(t,n){return n in t||n in Ls}})):e.proxy}function Uo(e,t=!0){return Q(e)?e.displayName||e.name:e.name||t&&e.__name}function ty(e){return Q(e)&&"__vccOpts"in e}const ie=(e,t)=>am(e,t,ds);function Ki(e,t,n){const s=arguments.length;return s===2?be(t)&&!j(t)?Xt(t)?Ee(e,null,[t]):Ee(e,t):Ee(e,null,t):(s>3?n=Array.prototype.slice.call(arguments,2):s===3&&Xt(n)&&(n=[n]),Ee(e,t,n))}function ny(){}function sy(e,t,n,s){const r=n[s];if(r&&qf(r,e))return r;const i=t();return i.memo=e.slice(),i.cacheIndex=s,n[s]=i}function qf(e,t){const n=e.memo;if(n.length!=t.length)return!1;for(let s=0;s<n.length;s++)if(Qe(n[s],t[s]))return!1;return $n>0&&Ge&&Ge.push(e),!0}const Gf="3.5.12",ry=Ye,iy=vm,oy=Qn,ly=Wu,cy={createComponentInstance:Bf,setupComponent:jf,renderComponentRoot:Ur,setCurrentRenderingInstance:Js,isVNode:Xt,normalizeVNode:lt,getComponentPublicInstance:gr,ensureValidVNode:Ml,pushWarningContext:pm,popWarningContext:mm},ay=cy,uy=null,fy=null,hy=null;/**
+* @vue/runtime-dom v3.5.12
+* (c) 2018-present Yuxi (Evan) You and Vue contributors
+* @license MIT
+**/let Wo;const Uc=typeof window<"u"&&window.trustedTypes;if(Uc)try{Wo=Uc.createPolicy("vue",{createHTML:e=>e})}catch{}const zf=Wo?e=>Wo.createHTML(e):e=>e,dy="http://www.w3.org/2000/svg",py="http://www.w3.org/1998/Math/MathML",Kt=typeof document<"u"?document:null,Wc=Kt&&Kt.createElement("template"),my={insert:(e,t,n)=>{t.insertBefore(e,n||null)},remove:e=>{const t=e.parentNode;t&&t.removeChild(e)},createElement:(e,t,n,s)=>{const r=t==="svg"?Kt.createElementNS(dy,e):t==="mathml"?Kt.createElementNS(py,e):n?Kt.createElement(e,{is:n}):Kt.createElement(e);return e==="select"&&s&&s.multiple!=null&&r.setAttribute("multiple",s.multiple),r},createText:e=>Kt.createTextNode(e),createComment:e=>Kt.createComment(e),setText:(e,t)=>{e.nodeValue=t},setElementText:(e,t)=>{e.textContent=t},parentNode:e=>e.parentNode,nextSibling:e=>e.nextSibling,querySelector:e=>Kt.querySelector(e),setScopeId(e,t){e.setAttribute(t,"")},insertStaticContent(e,t,n,s,r,i){const o=n?n.previousSibling:t.lastChild;if(r&&(r===i||r.nextSibling))for(;t.insertBefore(r.cloneNode(!0),n),!(r===i||!(r=r.nextSibling)););else{Wc.innerHTML=zf(s==="svg"?`<svg>${e}</svg>`:s==="mathml"?`<math>${e}</math>`:e);const l=Wc.content;if(s==="svg"||s==="mathml"){const c=l.firstChild;for(;c.firstChild;)l.appendChild(c.firstChild);l.removeChild(c)}t.insertBefore(l,n)}return[o?o.nextSibling:t.firstChild,n?n.previousSibling:t.lastChild]}},Qt="transition",xs="animation",ps=Symbol("_vtc"),Yf={name:String,type:String,css:{type:Boolean,default:!0},duration:[String,Number,Object],enterFromClass:String,enterActiveClass:String,enterToClass:String,appearFromClass:String,appearActiveClass:String,appearToClass:String,leaveFromClass:String,leaveActiveClass:String,leaveToClass:String},Jf=ce({},Ol,Yf),gy=e=>(e.displayName="Transition",e.props=Jf,e),yy=gy((e,{slots:t})=>Ki(Ju,Xf(e),t)),Cn=(e,t=[])=>{j(e)?e.forEach(n=>n(...t)):e&&e(...t)},Kc=e=>e?j(e)?e.some(t=>t.length>1):e.length>1:!1;function Xf(e){const t={};for(const A in e)A in Yf||(t[A]=e[A]);if(e.css===!1)return t;const{name:n="v",type:s,duration:r,enterFromClass:i=`${n}-enter-from`,enterActiveClass:o=`${n}-enter-active`,enterToClass:l=`${n}-enter-to`,appearFromClass:c=i,appearActiveClass:f=o,appearToClass:u=l,leaveFromClass:a=`${n}-leave-from`,leaveActiveClass:h=`${n}-leave-active`,leaveToClass:d=`${n}-leave-to`}=e,m=vy(r),g=m&&m[0],w=m&&m[1],{onBeforeEnter:_,onEnter:S,onEnterCancelled:p,onLeave:v,onLeaveCancelled:E,onBeforeAppear:x=_,onAppear:R=S,onAppearCancelled:O=p}=t,C=(A,L,G)=>{nn(A,L?u:l),nn(A,L?f:o),G&&G()},T=(A,L)=>{A._isLeaving=!1,nn(A,a),nn(A,d),nn(A,h),L&&L()},I=A=>(L,G)=>{const X=A?R:S,U=()=>C(L,A,G);Cn(X,[L,U]),qc(()=>{nn(L,A?c:i),Ut(L,A?u:l),Kc(X)||Gc(L,s,g,U)})};return ce(t,{onBeforeEnter(A){Cn(_,[A]),Ut(A,i),Ut(A,o)},onBeforeAppear(A){Cn(x,[A]),Ut(A,c),Ut(A,f)},onEnter:I(!1),onAppear:I(!0),onLeave(A,L){A._isLeaving=!0;const G=()=>T(A,L);Ut(A,a),Ut(A,h),Qf(),qc(()=>{A._isLeaving&&(nn(A,a),Ut(A,d),Kc(v)||Gc(A,s,w,G))}),Cn(v,[A,G])},onEnterCancelled(A){C(A,!1),Cn(p,[A])},onAppearCancelled(A){C(A,!0),Cn(O,[A])},onLeaveCancelled(A){T(A),Cn(E,[A])}})}function vy(e){if(e==null)return null;if(be(e))return[fo(e.enter),fo(e.leave)];{const t=fo(e);return[t,t]}}function fo(e){return ei(e)}function Ut(e,t){t.split(/\s+/).forEach(n=>n&&e.classList.add(n)),(e[ps]||(e[ps]=new Set)).add(t)}function nn(e,t){t.split(/\s+/).forEach(s=>s&&e.classList.remove(s));const n=e[ps];n&&(n.delete(t),n.size||(e[ps]=void 0))}function qc(e){requestAnimationFrame(()=>{requestAnimationFrame(e)})}let by=0;function Gc(e,t,n,s){const r=e._endId=++by,i=()=>{r===e._endId&&s()};if(n!=null)return setTimeout(i,n);const{type:o,timeout:l,propCount:c}=Zf(e,t);if(!o)return s();const f=o+"end";let u=0;const a=()=>{e.removeEventListener(f,h),i()},h=d=>{d.target===e&&++u>=c&&a()};setTimeout(()=>{u<c&&a()},l+1),e.addEventListener(f,h)}function Zf(e,t){const n=window.getComputedStyle(e),s=m=>(n[m]||"").split(", "),r=s(`${Qt}Delay`),i=s(`${Qt}Duration`),o=zc(r,i),l=s(`${xs}Delay`),c=s(`${xs}Duration`),f=zc(l,c);let u=null,a=0,h=0;t===Qt?o>0&&(u=Qt,a=o,h=i.length):t===xs?f>0&&(u=xs,a=f,h=c.length):(a=Math.max(o,f),u=a>0?o>f?Qt:xs:null,h=u?u===Qt?i.length:c.length:0);const d=u===Qt&&/\b(transform|all)(,|$)/.test(s(`${Qt}Property`).toString());return{type:u,timeout:a,propCount:h,hasTransform:d}}function zc(e,t){for(;e.length<t.length;)e=e.concat(e);return Math.max(...t.map((n,s)=>Yc(n)+Yc(e[s])))}function Yc(e){return e==="auto"?0:Number(e.slice(0,-1).replace(",","."))*1e3}function Qf(){return document.body.offsetHeight}function Sy(e,t,n){const s=e[ps];s&&(t=(t?[t,...s]:[...s]).join(" ")),t==null?e.removeAttribute("class"):n?e.setAttribute("class",t):e.className=t}const di=Symbol("_vod"),eh=Symbol("_vsh"),th={beforeMount(e,{value:t},{transition:n}){e[di]=e.style.display==="none"?"":e.style.display,n&&t?n.beforeEnter(e):Os(e,t)},mounted(e,{value:t},{transition:n}){n&&t&&n.enter(e)},updated(e,{value:t,oldValue:n},{transition:s}){!t!=!n&&(s?t?(s.beforeEnter(e),Os(e,!0),s.enter(e)):s.leave(e,()=>{Os(e,!1)}):Os(e,t))},beforeUnmount(e,{value:t}){Os(e,t)}};function Os(e,t){e.style.display=t?e[di]:"none",e[eh]=!t}function _y(){th.getSSRProps=({value:e})=>{if(!e)return{style:{display:"none"}}}}const nh=Symbol("");function Ey(e){const t=Le();if(!t)return;const n=t.ut=(r=e(t.proxy))=>{Array.from(document.querySelectorAll(`[data-v-owner="${t.uid}"]`)).forEach(i=>pi(i,r))},s=()=>{const r=e(t.proxy);t.ce?pi(t.ce,r):Ko(t.subTree,r),n(r)};Rl(()=>{Of(s)}),wn(()=>{const r=new MutationObserver(s);r.observe(t.subTree.el.parentNode,{childList:!0}),pr(()=>r.disconnect())})}function Ko(e,t){if(e.shapeFlag&128){const n=e.suspense;e=n.activeBranch,n.pendingBranch&&!n.isHydrating&&n.effects.push(()=>{Ko(n.activeBranch,t)})}for(;e.component;)e=e.component.subTree;if(e.shapeFlag&1&&e.el)pi(e.el,t);else if(e.type===Ve)e.children.forEach(n=>Ko(n,t));else if(e.type===Fn){let{el:n,anchor:s}=e;for(;n&&(pi(n,t),n!==s);)n=n.nextSibling}}function pi(e,t){if(e.nodeType===1){const n=e.style;let s="";for(const r in t)n.setProperty(`--${r}`,t[r]),s+=`--${r}: ${t[r]};`;n[nh]=s}}const wy=/(^|;)\s*display\s*:/;function Ty(e,t,n){const s=e.style,r=ne(n);let i=!1;if(n&&!r){if(t)if(ne(t))for(const o of t.split(";")){const l=o.slice(0,o.indexOf(":")).trim();n[l]==null&&Kr(s,l,"")}else for(const o in t)n[o]==null&&Kr(s,o,"");for(const o in n)o==="display"&&(i=!0),Kr(s,o,n[o])}else if(r){if(t!==n){const o=s[nh];o&&(n+=";"+o),s.cssText=n,i=wy.test(n)}}else t&&e.removeAttribute("style");di in e&&(e[di]=i?s.display:"",e[eh]&&(s.display="none"))}const Jc=/\s*!important$/;function Kr(e,t,n){if(j(n))n.forEach(s=>Kr(e,t,s));else if(n==null&&(n=""),t.startsWith("--"))e.setProperty(t,n);else{const s=Cy(e,t);Jc.test(n)?e.setProperty(ct(s),n.replace(Jc,""),"important"):e[s]=n}}const Xc=["Webkit","Moz","ms"],ho={};function Cy(e,t){const n=ho[t];if(n)return n;let s=we(t);if(s!=="filter"&&s in e)return ho[t]=s;s=Wn(s);for(let r=0;r<Xc.length;r++){const i=Xc[r]+s;if(i in e)return ho[t]=i}return t}const Zc="http://www.w3.org/1999/xlink";function Qc(e,t,n,s,r,i=Ip(t)){s&&t.startsWith("xlink:")?n==null?e.removeAttributeNS(Zc,t.slice(6,t.length)):e.setAttributeNS(Zc,t,n):n==null||i&&!pu(n)?e.removeAttribute(t):e.setAttribute(t,i?"":ut(n)?String(n):n)}function ea(e,t,n,s,r){if(t==="innerHTML"||t==="textContent"){n!=null&&(e[t]=t==="innerHTML"?zf(n):n);return}const i=e.tagName;if(t==="value"&&i!=="PROGRESS"&&!i.includes("-")){const l=i==="OPTION"?e.getAttribute("value")||"":e.value,c=n==null?e.type==="checkbox"?"on":"":String(n);(l!==c||!("_value"in e))&&(e.value=c),n==null&&e.removeAttribute(t),e._value=n;return}let o=!1;if(n===""||n==null){const l=typeof e[t];l==="boolean"?n=pu(n):n==null&&l==="string"?(n="",o=!0):l==="number"&&(n=0,o=!0)}try{e[t]=n}catch{}o&&e.removeAttribute(r||t)}function zt(e,t,n,s){e.addEventListener(t,n,s)}function Ay(e,t,n,s){e.removeEventListener(t,n,s)}const ta=Symbol("_vei");function xy(e,t,n,s,r=null){const i=e[ta]||(e[ta]={}),o=i[t];if(s&&o)o.value=s;else{const[l,c]=Oy(t);if(s){const f=i[t]=Iy(s,r);zt(e,l,f,c)}else o&&(Ay(e,l,o,c),i[t]=void 0)}}const na=/(?:Once|Passive|Capture)$/;function Oy(e){let t;if(na.test(e)){t={};let s;for(;s=e.match(na);)e=e.slice(0,e.length-s[0].length),t[s[0].toLowerCase()]=!0}return[e[2]===":"?e.slice(3):ct(e.slice(2)),t]}let po=0;const Ny=Promise.resolve(),Ry=()=>po||(Ny.then(()=>po=0),po=Date.now());function Iy(e,t){const n=s=>{if(!s._vts)s._vts=Date.now();else if(s._vts<=n.attached)return;xt(Py(s,n.value),t,5,[s])};return n.value=e,n.attached=Ry(),n}function Py(e,t){if(j(t)){const n=e.stopImmediatePropagation;return e.stopImmediatePropagation=()=>{n.call(e),e._stopped=!0},t.map(s=>r=>!r._stopped&&s&&s(r))}else return t}const sa=e=>e.charCodeAt(0)===111&&e.charCodeAt(1)===110&&e.charCodeAt(2)>96&&e.charCodeAt(2)<123,My=(e,t,n,s,r,i)=>{const o=r==="svg";t==="class"?Sy(e,s,o):t==="style"?Ty(e,n,s):jn(t)?pl(t)||xy(e,t,n,s,i):(t[0]==="."?(t=t.slice(1),!0):t[0]==="^"?(t=t.slice(1),!1):ky(e,t,s,o))?(ea(e,t,s),!e.tagName.includes("-")&&(t==="value"||t==="checked"||t==="selected")&&Qc(e,t,s,o,i,t!=="value")):e._isVueCE&&(/[A-Z]/.test(t)||!ne(s))?ea(e,we(t),s,i,t):(t==="true-value"?e._trueValue=s:t==="false-value"&&(e._falseValue=s),Qc(e,t,s,o))};function ky(e,t,n,s){if(s)return!!(t==="innerHTML"||t==="textContent"||t in e&&sa(t)&&Q(n));if(t==="spellcheck"||t==="draggable"||t==="translate"||t==="form"||t==="list"&&e.tagName==="INPUT"||t==="type"&&e.tagName==="TEXTAREA")return!1;if(t==="width"||t==="height"){const r=e.tagName;if(r==="IMG"||r==="VIDEO"||r==="CANVAS"||r==="SOURCE")return!1}return sa(t)&&ne(n)?!1:t in e}const ra={};/*! #__NO_SIDE_EFFECTS__ */function sh(e,t,n){const s=hr(e,t);Oi(s)&&ce(s,t);class r extends qi{constructor(o){super(s,o,n)}}return r.def=s,r}/*! #__NO_SIDE_EFFECTS__ */const Fy=(e,t)=>sh(e,t,mh),Ly=typeof HTMLElement<"u"?HTMLElement:class{};class qi extends Ly{constructor(t,n={},s=qo){super(),this._def=t,this._props=n,this._createApp=s,this._isVueCE=!0,this._instance=null,this._app=null,this._nonce=this._def.nonce,this._connected=!1,this._resolved=!1,this._numberProps=null,this._styleChildren=new WeakSet,this._ob=null,this.shadowRoot&&s!==qo?this._root=this.shadowRoot:t.shadowRoot!==!1?(this.attachShadow({mode:"open"}),this._root=this.shadowRoot):this._root=this,this._def.__asyncLoader||this._resolveProps(this._def)}connectedCallback(){if(!this.isConnected)return;this.shadowRoot||this._parseSlots(),this._connected=!0;let t=this;for(;t=t&&(t.parentNode||t.host);)if(t instanceof qi){this._parent=t;break}this._instance||(this._resolved?(this._setParent(),this._update()):t&&t._pendingResolve?this._pendingResolve=t._pendingResolve.then(()=>{this._pendingResolve=void 0,this._resolveDef()}):this._resolveDef())}_setParent(t=this._parent){t&&(this._instance.parent=t._instance,this._instance.provides=t._instance.provides)}disconnectedCallback(){this._connected=!1,En(()=>{this._connected||(this._ob&&(this._ob.disconnect(),this._ob=null),this._app&&this._app.unmount(),this._instance&&(this._instance.ce=void 0),this._app=this._instance=null)})}_resolveDef(){if(this._pendingResolve)return;for(let s=0;s<this.attributes.length;s++)this._setAttr(this.attributes[s].name);this._ob=new MutationObserver(s=>{for(const r of s)this._setAttr(r.attributeName)}),this._ob.observe(this,{attributes:!0});const t=(s,r=!1)=>{this._resolved=!0,this._pendingResolve=void 0;const{props:i,styles:o}=s;let l;if(i&&!j(i))for(const c in i){const f=i[c];(f===Number||f&&f.type===Number)&&(c in this._props&&(this._props[c]=ei(this._props[c])),(l||(l=Object.create(null)))[we(c)]=!0)}this._numberProps=l,r&&this._resolveProps(s),this.shadowRoot&&this._applyStyles(o),this._mount(s)},n=this._def.__asyncLoader;n?this._pendingResolve=n().then(s=>t(this._def=s,!0)):t(this._def)}_mount(t){this._app=this._createApp(t),t.configureApp&&t.configureApp(this._app),this._app._ceVNode=this._createVNode(),this._app.mount(this._root);const n=this._instance&&this._instance.exposed;if(n)for(const s in n)pe(this,s)||Object.defineProperty(this,s,{get:()=>$e(n[s])})}_resolveProps(t){const{props:n}=t,s=j(n)?n:Object.keys(n||{});for(const r of Object.keys(this))r[0]!=="_"&&s.includes(r)&&this._setProp(r,this[r]);for(const r of s.map(we))Object.defineProperty(this,r,{get(){return this._getProp(r)},set(i){this._setProp(r,i,!0,!0)}})}_setAttr(t){if(t.startsWith("data-v-"))return;const n=this.hasAttribute(t);let s=n?this.getAttribute(t):ra;const r=we(t);n&&this._numberProps&&this._numberProps[r]&&(s=ei(s)),this._setProp(r,s,!1,!0)}_getProp(t){return this._props[t]}_setProp(t,n,s=!0,r=!1){n!==this._props[t]&&(n===ra?delete this._props[t]:(this._props[t]=n,t==="key"&&this._app&&(this._app._ceVNode.key=n)),r&&this._instance&&this._update(),s&&(n===!0?this.setAttribute(ct(t),""):typeof n=="string"||typeof n=="number"?this.setAttribute(ct(t),n+""):n||this.removeAttribute(ct(t))))}_update(){ph(this._createVNode(),this._root)}_createVNode(){const t={};this.shadowRoot||(t.onVnodeMounted=t.onVnodeUpdated=this._renderSlots.bind(this));const n=Ee(this._def,ce(t,this._props));return this._instance||(n.ce=s=>{this._instance=s,s.ce=this,s.isCE=!0;const r=(i,o)=>{this.dispatchEvent(new CustomEvent(i,Oi(o[0])?ce({detail:o},o[0]):{detail:o}))};s.emit=(i,...o)=>{r(i,o),ct(i)!==i&&r(ct(i),o)},this._setParent()}),n}_applyStyles(t,n){if(!t)return;if(n){if(n===this._def||this._styleChildren.has(n))return;this._styleChildren.add(n)}const s=this._nonce;for(let r=t.length-1;r>=0;r--){const i=document.createElement("style");s&&i.setAttribute("nonce",s),i.textContent=t[r],this.shadowRoot.prepend(i)}}_parseSlots(){const t=this._slots={};let n;for(;n=this.firstChild;){const s=n.nodeType===1&&n.getAttribute("slot")||"default";(t[s]||(t[s]=[])).push(n),this.removeChild(n)}}_renderSlots(){const t=(this._teleportTarget||this).querySelectorAll("slot"),n=this._instance.type.__scopeId;for(let s=0;s<t.length;s++){const r=t[s],i=r.getAttribute("name")||"default",o=this._slots[i],l=r.parentNode;if(o)for(const c of o){if(n&&c.nodeType===1){const f=n+"-s",u=document.createTreeWalker(c,1);c.setAttribute(f,"");let a;for(;a=u.nextNode();)a.setAttribute(f,"")}l.insertBefore(c,r)}else for(;r.firstChild;)l.insertBefore(r.firstChild,r);l.removeChild(r)}}_injectChildStyle(t){this._applyStyles(t.styles,t)}_removeChildStyle(t){}}function rh(e){const t=Le(),n=t&&t.ce;return n||null}function Dy(){const e=rh();return e&&e.shadowRoot}function Vy(e="$style"){{const t=Le();if(!t)return le;const n=t.type.__cssModules;if(!n)return le;const s=n[e];return s||le}}const ih=new WeakMap,oh=new WeakMap,mi=Symbol("_moveCb"),ia=Symbol("_enterCb"),$y=e=>(delete e.props.mode,e),By=$y({name:"TransitionGroup",props:ce({},Jf,{tag:String,moveClass:String}),setup(e,{slots:t}){const n=Le(),s=xl();let r,i;return ji(()=>{if(!r.length)return;const o=e.moveClass||`${e.name||"v"}-move`;if(!Ky(r[0].el,n.vnode.el,o))return;r.forEach(jy),r.forEach(Uy);const l=r.filter(Wy);Qf(),l.forEach(c=>{const f=c.el,u=f.style;Ut(f,o),u.transform=u.webkitTransform=u.transitionDuration="";const a=f[mi]=h=>{h&&h.target!==f||(!h||/transform$/.test(h.propertyName))&&(f.removeEventListener("transitionend",a),f[mi]=null,nn(f,o))};f.addEventListener("transitionend",a)})}),()=>{const o=oe(e),l=Xf(o);let c=o.tag||Ve;if(r=[],i)for(let f=0;f<i.length;f++){const u=i[f];u.el&&u.el instanceof Element&&(r.push(u),Jt(u,hs(u,l,s,n)),ih.set(u,u.el.getBoundingClientRect()))}i=t.default?Bi(t.default()):[];for(let f=0;f<i.length;f++){const u=i[f];u.key!=null&&Jt(u,hs(u,l,s,n))}return Ee(c,null,i)}}}),Hy=By;function jy(e){const t=e.el;t[mi]&&t[mi](),t[ia]&&t[ia]()}function Uy(e){oh.set(e,e.el.getBoundingClientRect())}function Wy(e){const t=ih.get(e),n=oh.get(e),s=t.left-n.left,r=t.top-n.top;if(s||r){const i=e.el.style;return i.transform=i.webkitTransform=`translate(${s}px,${r}px)`,i.transitionDuration="0s",e}}function Ky(e,t,n){const s=e.cloneNode(),r=e[ps];r&&r.forEach(l=>{l.split(/\s+/).forEach(c=>c&&s.classList.remove(c))}),n.split(/\s+/).forEach(l=>l&&s.classList.add(l)),s.style.display="none";const i=t.nodeType===1?t:t.parentNode;i.appendChild(s);const{hasTransform:o}=Zf(s);return i.removeChild(s),o}const vn=e=>{const t=e.props["onUpdate:modelValue"]||!1;return j(t)?n=>is(t,n):t};function qy(e){e.target.composing=!0}function oa(e){const t=e.target;t.composing&&(t.composing=!1,t.dispatchEvent(new Event("input")))}const At=Symbol("_assign"),gi={created(e,{modifiers:{lazy:t,trim:n,number:s}},r){e[At]=vn(r);const i=s||r.props&&r.props.type==="number";zt(e,t?"change":"input",o=>{if(o.target.composing)return;let l=e.value;n&&(l=l.trim()),i&&(l=Qr(l)),e[At](l)}),n&&zt(e,"change",()=>{e.value=e.value.trim()}),t||(zt(e,"compositionstart",qy),zt(e,"compositionend",oa),zt(e,"change",oa))},mounted(e,{value:t}){e.value=t??""},beforeUpdate(e,{value:t,oldValue:n,modifiers:{lazy:s,trim:r,number:i}},o){if(e[At]=vn(o),e.composing)return;const l=(i||e.type==="number")&&!/^0\d/.test(e.value)?Qr(e.value):e.value,c=t??"";l!==c&&(document.activeElement===e&&e.type!=="range"&&(s&&t===n||r&&e.value.trim()===c)||(e.value=c))}},Hl={deep:!0,created(e,t,n){e[At]=vn(n),zt(e,"change",()=>{const s=e._modelValue,r=ms(e),i=e.checked,o=e[At];if(j(s)){const l=Ii(s,r),c=l!==-1;if(i&&!c)o(s.concat(r));else if(!i&&c){const f=[...s];f.splice(l,1),o(f)}}else if(Un(s)){const l=new Set(s);i?l.add(r):l.delete(r),o(l)}else o(ch(e,i))})},mounted:la,beforeUpdate(e,t,n){e[At]=vn(n),la(e,t,n)}};function la(e,{value:t,oldValue:n},s){e._modelValue=t;let r;if(j(t))r=Ii(t,s.props.value)>-1;else if(Un(t))r=t.has(s.props.value);else{if(t===n)return;r=gn(t,ch(e,!0))}e.checked!==r&&(e.checked=r)}const jl={created(e,{value:t},n){e.checked=gn(t,n.props.value),e[At]=vn(n),zt(e,"change",()=>{e[At](ms(e))})},beforeUpdate(e,{value:t,oldValue:n},s){e[At]=vn(s),t!==n&&(e.checked=gn(t,s.props.value))}},lh={deep:!0,created(e,{value:t,modifiers:{number:n}},s){const r=Un(t);zt(e,"change",()=>{const i=Array.prototype.filter.call(e.options,o=>o.selected).map(o=>n?Qr(ms(o)):ms(o));e[At](e.multiple?r?new Set(i):i:i[0]),e._assigning=!0,En(()=>{e._assigning=!1})}),e[At]=vn(s)},mounted(e,{value:t}){ca(e,t)},beforeUpdate(e,t,n){e[At]=vn(n)},updated(e,{value:t}){e._assigning||ca(e,t)}};function ca(e,t){const n=e.multiple,s=j(t);if(!(n&&!s&&!Un(t))){for(let r=0,i=e.options.length;r<i;r++){const o=e.options[r],l=ms(o);if(n)if(s){const c=typeof l;c==="string"||c==="number"?o.selected=t.some(f=>String(f)===String(l)):o.selected=Ii(t,l)>-1}else o.selected=t.has(l);else if(gn(ms(o),t)){e.selectedIndex!==r&&(e.selectedIndex=r);return}}!n&&e.selectedIndex!==-1&&(e.selectedIndex=-1)}}function ms(e){return"_value"in e?e._value:e.value}function ch(e,t){const n=t?"_trueValue":"_falseValue";return n in e?e[n]:t}const ah={created(e,t,n){kr(e,t,n,null,"created")},mounted(e,t,n){kr(e,t,n,null,"mounted")},beforeUpdate(e,t,n,s){kr(e,t,n,s,"beforeUpdate")},updated(e,t,n,s){kr(e,t,n,s,"updated")}};function uh(e,t){switch(e){case"SELECT":return lh;case"TEXTAREA":return gi;default:switch(t){case"checkbox":return Hl;case"radio":return jl;default:return gi}}}function kr(e,t,n,s,r){const o=uh(e.tagName,n.props&&n.props.type)[r];o&&o(e,t,n,s)}function Gy(){gi.getSSRProps=({value:e})=>({value:e}),jl.getSSRProps=({value:e},t)=>{if(t.props&&gn(t.props.value,e))return{checked:!0}},Hl.getSSRProps=({value:e},t)=>{if(j(e)){if(t.props&&Ii(e,t.props.value)>-1)return{checked:!0}}else if(Un(e)){if(t.props&&e.has(t.props.value))return{checked:!0}}else if(e)return{checked:!0}},ah.getSSRProps=(e,t)=>{if(typeof t.type!="string")return;const n=uh(t.type.toUpperCase(),t.props&&t.props.type);if(n.getSSRProps)return n.getSSRProps(e,t)}}const zy=["ctrl","shift","alt","meta"],Yy={stop:e=>e.stopPropagation(),prevent:e=>e.preventDefault(),self:e=>e.target!==e.currentTarget,ctrl:e=>!e.ctrlKey,shift:e=>!e.shiftKey,alt:e=>!e.altKey,meta:e=>!e.metaKey,left:e=>"button"in e&&e.button!==0,middle:e=>"button"in e&&e.button!==1,right:e=>"button"in e&&e.button!==2,exact:(e,t)=>zy.some(n=>e[`${n}Key`]&&!t.includes(n))},Jy=(e,t)=>{const n=e._withMods||(e._withMods={}),s=t.join(".");return n[s]||(n[s]=(r,...i)=>{for(let o=0;o<t.length;o++){const l=Yy[t[o]];if(l&&l(r,t))return}return e(r,...i)})},Xy={esc:"escape",space:" ",up:"arrow-up",left:"arrow-left",right:"arrow-right",down:"arrow-down",delete:"backspace"},Zy=(e,t)=>{const n=e._withKeys||(e._withKeys={}),s=t.join(".");return n[s]||(n[s]=r=>{if(!("key"in r))return;const i=ct(r.key);if(t.some(o=>o===i||Xy[o]===i))return e(r)})},fh=ce({patchProp:My},my);let Vs,aa=!1;function hh(){return Vs||(Vs=Sf(fh))}function dh(){return Vs=aa?Vs:_f(fh),aa=!0,Vs}const ph=(...e)=>{hh().render(...e)},Qy=(...e)=>{dh().hydrate(...e)},qo=(...e)=>{const t=hh().createApp(...e),{mount:n}=t;return t.mount=s=>{const r=yh(s);if(!r)return;const i=t._component;!Q(i)&&!i.render&&!i.template&&(i.template=r.innerHTML),r.nodeType===1&&(r.textContent="");const o=n(r,!1,gh(r));return r instanceof Element&&(r.removeAttribute("v-cloak"),r.setAttribute("data-v-app","")),o},t},mh=(...e)=>{const t=dh().createApp(...e),{mount:n}=t;return t.mount=s=>{const r=yh(s);if(r)return n(r,!0,gh(r))},t};function gh(e){if(e instanceof SVGElement)return"svg";if(typeof MathMLElement=="function"&&e instanceof MathMLElement)return"mathml"}function yh(e){return ne(e)?document.querySelector(e):e}let ua=!1;const ev=()=>{ua||(ua=!0,Gy(),_y())},tv=Object.freeze(Object.defineProperty({__proto__:null,BaseTransition:Ju,BaseTransitionPropsValidators:Ol,Comment:Pe,DeprecationTypes:hy,EffectScope:vl,ErrorCodes:ym,ErrorTypeStrings:iy,Fragment:Ve,KeepAlive:qm,ReactiveEffect:qs,Static:Fn,Suspense:$g,Teleport:Om,Text:pn,TrackOpTypes:um,Transition:yy,TransitionGroup:Hy,TriggerOpTypes:fm,VueElement:qi,assertNumber:gm,callWithAsyncErrorHandling:xt,callWithErrorHandling:ws,camelize:we,capitalize:Wn,cloneVNode:$t,compatUtils:fy,computed:ie,createApp:qo,createBlock:ui,createCommentVNode:Yg,createElementBlock:Kg,createElementVNode:Vl,createHydrationRenderer:_f,createPropsRestProxy:pg,createRenderer:Sf,createSSRApp:mh,createSlots:Qm,createStaticVNode:zg,createTextVNode:$l,createVNode:Ee,customRef:Vi,defineAsyncComponent:Wm,defineComponent:hr,defineCustomElement:sh,defineEmits:rg,defineExpose:ig,defineModel:cg,defineOptions:og,defineProps:sg,defineSSRCustomElement:Fy,defineSlots:lg,devtools:oy,effect:kp,effectScope:vu,getCurrentInstance:Le,getCurrentScope:Pi,getCurrentWatcher:hm,getTransitionRawChildren:Bi,guardReactiveProps:Vf,h:Ki,handleError:Kn,hasInjectionContext:wg,hydrate:Qy,hydrateOnIdle:Vm,hydrateOnInteraction:jm,hydrateOnMediaQuery:Hm,hydrateOnVisible:Bm,initCustomFormatter:ny,initDirectivesForSSR:ev,inject:Ct,isMemoSame:qf,isProxy:Di,isReactive:hn,isReadonly:yn,isRef:Te,isRuntimeOnly:Qg,isShallow:yt,isVNode:Xt,markRaw:ku,mergeDefaults:hg,mergeModels:dg,mergeProps:$f,nextTick:En,normalizeClass:fr,normalizeProps:_p,normalizeStyle:ur,onActivated:Zu,onBeforeMount:Rl,onBeforeUnmount:Ui,onBeforeUpdate:tf,onDeactivated:Qu,onErrorCaptured:of,onMounted:wn,onRenderTracked:rf,onRenderTriggered:sf,onScopeDispose:bl,onServerPrefetch:nf,onUnmounted:pr,onUpdated:ji,onWatcherCleanup:$u,openBlock:Qs,popScopeId:Em,provide:ls,proxyRefs:Tl,pushScopeId:_m,queuePostFlushCb:ri,reactive:ft,readonly:wt,ref:z,registerRuntimeCompiler:Uf,render:ph,renderList:Zm,renderSlot:eg,resolveComponent:Ym,resolveDirective:Xm,resolveDynamicComponent:Jm,resolveFilter:uy,resolveTransitionHooks:hs,setBlockTracking:Vo,setDevtoolsHook:ly,setTransitionHooks:Jt,shallowReactive:wl,shallowReadonly:em,shallowRef:Vn,ssrContextKey:Cf,ssrUtils:ay,stop:Fp,toDisplayString:gu,toHandlerKey:rs,toHandlers:tg,toRaw:oe,toRef:Du,toRefs:Lu,toValue:sm,transformVNodeArgs:qg,triggerRef:nm,unref:$e,useAttrs:fg,useCssModule:Vy,useCssVars:Ey,useHost:rh,useId:Rm,useModel:Pg,useSSRContext:Af,useShadowRoot:Dy,useSlots:ug,useTemplateRef:Im,useTransitionState:xl,vModelCheckbox:Hl,vModelDynamic:ah,vModelRadio:jl,vModelSelect:lh,vModelText:gi,vShow:th,version:Gf,warn:ry,watch:de,watchEffect:xf,watchPostEffect:Of,watchSyncEffect:Nf,withAsyncContext:mg,withCtx:Al,withDefaults:ag,withDirectives:Tm,withKeys:Zy,withMemo:sy,withModifiers:Jy,withScopeId:wm},Symbol.toStringTag,{value:"Module"}));/**
+* @vue/compiler-core v3.5.12
+* (c) 2018-present Yuxi (Evan) You and Vue contributors
+* @license MIT
+**/const er=Symbol(""),$s=Symbol(""),Ul=Symbol(""),yi=Symbol(""),vh=Symbol(""),Hn=Symbol(""),bh=Symbol(""),Sh=Symbol(""),Wl=Symbol(""),Kl=Symbol(""),yr=Symbol(""),ql=Symbol(""),_h=Symbol(""),Gl=Symbol(""),zl=Symbol(""),Yl=Symbol(""),Jl=Symbol(""),Xl=Symbol(""),Zl=Symbol(""),Eh=Symbol(""),wh=Symbol(""),Gi=Symbol(""),vi=Symbol(""),Ql=Symbol(""),ec=Symbol(""),tr=Symbol(""),vr=Symbol(""),tc=Symbol(""),Go=Symbol(""),nv=Symbol(""),zo=Symbol(""),bi=Symbol(""),sv=Symbol(""),rv=Symbol(""),nc=Symbol(""),iv=Symbol(""),ov=Symbol(""),sc=Symbol(""),Th=Symbol(""),gs={[er]:"Fragment",[$s]:"Teleport",[Ul]:"Suspense",[yi]:"KeepAlive",[vh]:"BaseTransition",[Hn]:"openBlock",[bh]:"createBlock",[Sh]:"createElementBlock",[Wl]:"createVNode",[Kl]:"createElementVNode",[yr]:"createCommentVNode",[ql]:"createTextVNode",[_h]:"createStaticVNode",[Gl]:"resolveComponent",[zl]:"resolveDynamicComponent",[Yl]:"resolveDirective",[Jl]:"resolveFilter",[Xl]:"withDirectives",[Zl]:"renderList",[Eh]:"renderSlot",[wh]:"createSlots",[Gi]:"toDisplayString",[vi]:"mergeProps",[Ql]:"normalizeClass",[ec]:"normalizeStyle",[tr]:"normalizeProps",[vr]:"guardReactiveProps",[tc]:"toHandlers",[Go]:"camelize",[nv]:"capitalize",[zo]:"toHandlerKey",[bi]:"setBlockTracking",[sv]:"pushScopeId",[rv]:"popScopeId",[nc]:"withCtx",[iv]:"unref",[ov]:"isRef",[sc]:"withMemo",[Th]:"isMemoSame"};function lv(e){Object.getOwnPropertySymbols(e).forEach(t=>{gs[t]=e[t]})}const bt={start:{line:1,column:1,offset:0},end:{line:1,column:1,offset:0},source:""};function cv(e,t=""){return{type:0,source:t,children:e,helpers:new Set,components:[],directives:[],hoists:[],imports:[],cached:[],temps:0,codegenNode:void 0,loc:bt}}function nr(e,t,n,s,r,i,o,l=!1,c=!1,f=!1,u=bt){return e&&(l?(e.helper(Hn),e.helper(bs(e.inSSR,f))):e.helper(vs(e.inSSR,f)),o&&e.helper(Xl)),{type:13,tag:t,props:n,children:s,patchFlag:r,dynamicProps:i,directives:o,isBlock:l,disableTracking:c,isComponent:f,loc:u}}function Ln(e,t=bt){return{type:17,loc:t,elements:e}}function Tt(e,t=bt){return{type:15,loc:t,properties:e}}function Ie(e,t){return{type:16,loc:bt,key:ne(e)?se(e,!0):e,value:t}}function se(e,t=!1,n=bt,s=0){return{type:4,loc:n,content:e,isStatic:t,constType:t?3:s}}function It(e,t=bt){return{type:8,loc:t,children:e}}function Me(e,t=[],n=bt){return{type:14,loc:n,callee:e,arguments:t}}function ys(e,t=void 0,n=!1,s=!1,r=bt){return{type:18,params:e,returns:t,newline:n,isSlot:s,loc:r}}function Yo(e,t,n,s=!0){return{type:19,test:e,consequent:t,alternate:n,newline:s,loc:bt}}function av(e,t,n=!1){return{type:20,index:e,value:t,needPauseTracking:n,needArraySpread:!1,loc:bt}}function uv(e){return{type:21,body:e,loc:bt}}function vs(e,t){return e||t?Wl:Kl}function bs(e,t){return e||t?bh:Sh}function rc(e,{helper:t,removeHelper:n,inSSR:s}){e.isBlock||(e.isBlock=!0,n(vs(s,e.isComponent)),t(Hn),t(bs(s,e.isComponent)))}const fa=new Uint8Array([123,123]),ha=new Uint8Array([125,125]);function da(e){return e>=97&&e<=122||e>=65&&e<=90}function mt(e){return e===32||e===10||e===9||e===12||e===13}function en(e){return e===47||e===62||mt(e)}function Si(e){const t=new Uint8Array(e.length);for(let n=0;n<e.length;n++)t[n]=e.charCodeAt(n);return t}const Ue={Cdata:new Uint8Array([67,68,65,84,65,91]),CdataEnd:new Uint8Array([93,93,62]),CommentEnd:new Uint8Array([45,45,62]),ScriptEnd:new Uint8Array([60,47,115,99,114,105,112,116]),StyleEnd:new Uint8Array([60,47,115,116,121,108,101]),TitleEnd:new Uint8Array([60,47,116,105,116,108,101]),TextareaEnd:new Uint8Array([60,47,116,101,120,116,97,114,101,97])};class fv{constructor(t,n){this.stack=t,this.cbs=n,this.state=1,this.buffer="",this.sectionStart=0,this.index=0,this.entityStart=0,this.baseState=1,this.inRCDATA=!1,this.inXML=!1,this.inVPre=!1,this.newlines=[],this.mode=0,this.delimiterOpen=fa,this.delimiterClose=ha,this.delimiterIndex=-1,this.currentSequence=void 0,this.sequenceIndex=0}get inSFCRoot(){return this.mode===2&&this.stack.length===0}reset(){this.state=1,this.mode=0,this.buffer="",this.sectionStart=0,this.index=0,this.baseState=1,this.inRCDATA=!1,this.currentSequence=void 0,this.newlines.length=0,this.delimiterOpen=fa,this.delimiterClose=ha}getPos(t){let n=1,s=t+1;for(let r=this.newlines.length-1;r>=0;r--){const i=this.newlines[r];if(t>i){n=r+2,s=t-i;break}}return{column:s,line:n,offset:t}}peek(){return this.buffer.charCodeAt(this.index+1)}stateText(t){t===60?(this.index>this.sectionStart&&this.cbs.ontext(this.sectionStart,this.index),this.state=5,this.sectionStart=this.index):!this.inVPre&&t===this.delimiterOpen[0]&&(this.state=2,this.delimiterIndex=0,this.stateInterpolationOpen(t))}stateInterpolationOpen(t){if(t===this.delimiterOpen[this.delimiterIndex])if(this.delimiterIndex===this.delimiterOpen.length-1){const n=this.index+1-this.delimiterOpen.length;n>this.sectionStart&&this.cbs.ontext(this.sectionStart,n),this.state=3,this.sectionStart=n}else this.delimiterIndex++;else this.inRCDATA?(this.state=32,this.stateInRCDATA(t)):(this.state=1,this.stateText(t))}stateInterpolation(t){t===this.delimiterClose[0]&&(this.state=4,this.delimiterIndex=0,this.stateInterpolationClose(t))}stateInterpolationClose(t){t===this.delimiterClose[this.delimiterIndex]?this.delimiterIndex===this.delimiterClose.length-1?(this.cbs.oninterpolation(this.sectionStart,this.index+1),this.inRCDATA?this.state=32:this.state=1,this.sectionStart=this.index+1):this.delimiterIndex++:(this.state=3,this.stateInterpolation(t))}stateSpecialStartSequence(t){const n=this.sequenceIndex===this.currentSequence.length;if(!(n?en(t):(t|32)===this.currentSequence[this.sequenceIndex]))this.inRCDATA=!1;else if(!n){this.sequenceIndex++;return}this.sequenceIndex=0,this.state=6,this.stateInTagName(t)}stateInRCDATA(t){if(this.sequenceIndex===this.currentSequence.length){if(t===62||mt(t)){const n=this.index-this.currentSequence.length;if(this.sectionStart<n){const s=this.index;this.index=n,this.cbs.ontext(this.sectionStart,n),this.index=s}this.sectionStart=n+2,this.stateInClosingTagName(t),this.inRCDATA=!1;return}this.sequenceIndex=0}(t|32)===this.currentSequence[this.sequenceIndex]?this.sequenceIndex+=1:this.sequenceIndex===0?this.currentSequence===Ue.TitleEnd||this.currentSequence===Ue.TextareaEnd&&!this.inSFCRoot?!this.inVPre&&t===this.delimiterOpen[0]&&(this.state=2,this.delimiterIndex=0,this.stateInterpolationOpen(t)):this.fastForwardTo(60)&&(this.sequenceIndex=1):this.sequenceIndex=+(t===60)}stateCDATASequence(t){t===Ue.Cdata[this.sequenceIndex]?++this.sequenceIndex===Ue.Cdata.length&&(this.state=28,this.currentSequence=Ue.CdataEnd,this.sequenceIndex=0,this.sectionStart=this.index+1):(this.sequenceIndex=0,this.state=23,this.stateInDeclaration(t))}fastForwardTo(t){for(;++this.index<this.buffer.length;){const n=this.buffer.charCodeAt(this.index);if(n===10&&this.newlines.push(this.index),n===t)return!0}return this.index=this.buffer.length-1,!1}stateInCommentLike(t){t===this.currentSequence[this.sequenceIndex]?++this.sequenceIndex===this.currentSequence.length&&(this.currentSequence===Ue.CdataEnd?this.cbs.oncdata(this.sectionStart,this.index-2):this.cbs.oncomment(this.sectionStart,this.index-2),this.sequenceIndex=0,this.sectionStart=this.index+1,this.state=1):this.sequenceIndex===0?this.fastForwardTo(this.currentSequence[0])&&(this.sequenceIndex=1):t!==this.currentSequence[this.sequenceIndex-1]&&(this.sequenceIndex=0)}startSpecial(t,n){this.enterRCDATA(t,n),this.state=31}enterRCDATA(t,n){this.inRCDATA=!0,this.currentSequence=t,this.sequenceIndex=n}stateBeforeTagName(t){t===33?(this.state=22,this.sectionStart=this.index+1):t===63?(this.state=24,this.sectionStart=this.index+1):da(t)?(this.sectionStart=this.index,this.mode===0?this.state=6:this.inSFCRoot?this.state=34:this.inXML?this.state=6:t===116?this.state=30:this.state=t===115?29:6):t===47?this.state=8:(this.state=1,this.stateText(t))}stateInTagName(t){en(t)&&this.handleTagName(t)}stateInSFCRootTagName(t){if(en(t)){const n=this.buffer.slice(this.sectionStart,this.index);n!=="template"&&this.enterRCDATA(Si("</"+n),0),this.handleTagName(t)}}handleTagName(t){this.cbs.onopentagname(this.sectionStart,this.index),this.sectionStart=-1,this.state=11,this.stateBeforeAttrName(t)}stateBeforeClosingTagName(t){mt(t)||(t===62?(this.state=1,this.sectionStart=this.index+1):(this.state=da(t)?9:27,this.sectionStart=this.index))}stateInClosingTagName(t){(t===62||mt(t))&&(this.cbs.onclosetag(this.sectionStart,this.index),this.sectionStart=-1,this.state=10,this.stateAfterClosingTagName(t))}stateAfterClosingTagName(t){t===62&&(this.state=1,this.sectionStart=this.index+1)}stateBeforeAttrName(t){t===62?(this.cbs.onopentagend(this.index),this.inRCDATA?this.state=32:this.state=1,this.sectionStart=this.index+1):t===47?this.state=7:t===60&&this.peek()===47?(this.cbs.onopentagend(this.index),this.state=5,this.sectionStart=this.index):mt(t)||this.handleAttrStart(t)}handleAttrStart(t){t===118&&this.peek()===45?(this.state=13,this.sectionStart=this.index):t===46||t===58||t===64||t===35?(this.cbs.ondirname(this.index,this.index+1),this.state=14,this.sectionStart=this.index+1):(this.state=12,this.sectionStart=this.index)}stateInSelfClosingTag(t){t===62?(this.cbs.onselfclosingtag(this.index),this.state=1,this.sectionStart=this.index+1,this.inRCDATA=!1):mt(t)||(this.state=11,this.stateBeforeAttrName(t))}stateInAttrName(t){(t===61||en(t))&&(this.cbs.onattribname(this.sectionStart,this.index),this.handleAttrNameEnd(t))}stateInDirName(t){t===61||en(t)?(this.cbs.ondirname(this.sectionStart,this.index),this.handleAttrNameEnd(t)):t===58?(this.cbs.ondirname(this.sectionStart,this.index),this.state=14,this.sectionStart=this.index+1):t===46&&(this.cbs.ondirname(this.sectionStart,this.index),this.state=16,this.sectionStart=this.index+1)}stateInDirArg(t){t===61||en(t)?(this.cbs.ondirarg(this.sectionStart,this.index),this.handleAttrNameEnd(t)):t===91?this.state=15:t===46&&(this.cbs.ondirarg(this.sectionStart,this.index),this.state=16,this.sectionStart=this.index+1)}stateInDynamicDirArg(t){t===93?this.state=14:(t===61||en(t))&&(this.cbs.ondirarg(this.sectionStart,this.index+1),this.handleAttrNameEnd(t))}stateInDirModifier(t){t===61||en(t)?(this.cbs.ondirmodifier(this.sectionStart,this.index),this.handleAttrNameEnd(t)):t===46&&(this.cbs.ondirmodifier(this.sectionStart,this.index),this.sectionStart=this.index+1)}handleAttrNameEnd(t){this.sectionStart=this.index,this.state=17,this.cbs.onattribnameend(this.index),this.stateAfterAttrName(t)}stateAfterAttrName(t){t===61?this.state=18:t===47||t===62?(this.cbs.onattribend(0,this.sectionStart),this.sectionStart=-1,this.state=11,this.stateBeforeAttrName(t)):mt(t)||(this.cbs.onattribend(0,this.sectionStart),this.handleAttrStart(t))}stateBeforeAttrValue(t){t===34?(this.state=19,this.sectionStart=this.index+1):t===39?(this.state=20,this.sectionStart=this.index+1):mt(t)||(this.sectionStart=this.index,this.state=21,this.stateInAttrValueNoQuotes(t))}handleInAttrValue(t,n){(t===n||this.fastForwardTo(n))&&(this.cbs.onattribdata(this.sectionStart,this.index),this.sectionStart=-1,this.cbs.onattribend(n===34?3:2,this.index+1),this.state=11)}stateInAttrValueDoubleQuotes(t){this.handleInAttrValue(t,34)}stateInAttrValueSingleQuotes(t){this.handleInAttrValue(t,39)}stateInAttrValueNoQuotes(t){mt(t)||t===62?(this.cbs.onattribdata(this.sectionStart,this.index),this.sectionStart=-1,this.cbs.onattribend(1,this.index),this.state=11,this.stateBeforeAttrName(t)):(t===39||t===60||t===61||t===96)&&this.cbs.onerr(18,this.index)}stateBeforeDeclaration(t){t===91?(this.state=26,this.sequenceIndex=0):this.state=t===45?25:23}stateInDeclaration(t){(t===62||this.fastForwardTo(62))&&(this.state=1,this.sectionStart=this.index+1)}stateInProcessingInstruction(t){(t===62||this.fastForwardTo(62))&&(this.cbs.onprocessinginstruction(this.sectionStart,this.index),this.state=1,this.sectionStart=this.index+1)}stateBeforeComment(t){t===45?(this.state=28,this.currentSequence=Ue.CommentEnd,this.sequenceIndex=2,this.sectionStart=this.index+1):this.state=23}stateInSpecialComment(t){(t===62||this.fastForwardTo(62))&&(this.cbs.oncomment(this.sectionStart,this.index),this.state=1,this.sectionStart=this.index+1)}stateBeforeSpecialS(t){t===Ue.ScriptEnd[3]?this.startSpecial(Ue.ScriptEnd,4):t===Ue.StyleEnd[3]?this.startSpecial(Ue.StyleEnd,4):(this.state=6,this.stateInTagName(t))}stateBeforeSpecialT(t){t===Ue.TitleEnd[3]?this.startSpecial(Ue.TitleEnd,4):t===Ue.TextareaEnd[3]?this.startSpecial(Ue.TextareaEnd,4):(this.state=6,this.stateInTagName(t))}startEntity(){}stateInEntity(){}parse(t){for(this.buffer=t;this.index<this.buffer.length;){const n=this.buffer.charCodeAt(this.index);switch(n===10&&this.newlines.push(this.index),this.state){case 1:{this.stateText(n);break}case 2:{this.stateInterpolationOpen(n);break}case 3:{this.stateInterpolation(n);break}case 4:{this.stateInterpolationClose(n);break}case 31:{this.stateSpecialStartSequence(n);break}case 32:{this.stateInRCDATA(n);break}case 26:{this.stateCDATASequence(n);break}case 19:{this.stateInAttrValueDoubleQuotes(n);break}case 12:{this.stateInAttrName(n);break}case 13:{this.stateInDirName(n);break}case 14:{this.stateInDirArg(n);break}case 15:{this.stateInDynamicDirArg(n);break}case 16:{this.stateInDirModifier(n);break}case 28:{this.stateInCommentLike(n);break}case 27:{this.stateInSpecialComment(n);break}case 11:{this.stateBeforeAttrName(n);break}case 6:{this.stateInTagName(n);break}case 34:{this.stateInSFCRootTagName(n);break}case 9:{this.stateInClosingTagName(n);break}case 5:{this.stateBeforeTagName(n);break}case 17:{this.stateAfterAttrName(n);break}case 20:{this.stateInAttrValueSingleQuotes(n);break}case 18:{this.stateBeforeAttrValue(n);break}case 8:{this.stateBeforeClosingTagName(n);break}case 10:{this.stateAfterClosingTagName(n);break}case 29:{this.stateBeforeSpecialS(n);break}case 30:{this.stateBeforeSpecialT(n);break}case 21:{this.stateInAttrValueNoQuotes(n);break}case 7:{this.stateInSelfClosingTag(n);break}case 23:{this.stateInDeclaration(n);break}case 22:{this.stateBeforeDeclaration(n);break}case 25:{this.stateBeforeComment(n);break}case 24:{this.stateInProcessingInstruction(n);break}case 33:{this.stateInEntity();break}}this.index++}this.cleanup(),this.finish()}cleanup(){this.sectionStart!==this.index&&(this.state===1||this.state===32&&this.sequenceIndex===0?(this.cbs.ontext(this.sectionStart,this.index),this.sectionStart=this.index):(this.state===19||this.state===20||this.state===21)&&(this.cbs.onattribdata(this.sectionStart,this.index),this.sectionStart=this.index))}finish(){this.handleTrailingData(),this.cbs.onend()}handleTrailingData(){const t=this.buffer.length;this.sectionStart>=t||(this.state===28?this.currentSequence===Ue.CdataEnd?this.cbs.oncdata(this.sectionStart,t):this.cbs.oncomment(this.sectionStart,t):this.state===6||this.state===11||this.state===18||this.state===17||this.state===12||this.state===13||this.state===14||this.state===15||this.state===16||this.state===20||this.state===19||this.state===21||this.state===9||this.cbs.ontext(this.sectionStart,t))}emitCodePoint(t,n){}}function pa(e,{compatConfig:t}){const n=t&&t[e];return e==="MODE"?n||3:n}function Dn(e,t){const n=pa("MODE",t),s=pa(e,t);return n===3?s===!0:s!==!1}function sr(e,t,n,...s){return Dn(e,t)}function ic(e){throw e}function Ch(e){}function xe(e,t,n,s){const r=`https://vuejs.org/error-reference/#compiler-${e}`,i=new SyntaxError(String(r));return i.code=e,i.loc=t,i}const at=e=>e.type===4&&e.isStatic;function Ah(e){switch(e){case"Teleport":case"teleport":return $s;case"Suspense":case"suspense":return Ul;case"KeepAlive":case"keep-alive":return yi;case"BaseTransition":case"base-transition":return vh}}const hv=/^\d|[^\$\w\xA0-\uFFFF]/,oc=e=>!hv.test(e),dv=/[A-Za-z_$\xA0-\uFFFF]/,pv=/[\.\?\w$\xA0-\uFFFF]/,mv=/\s+[.[]\s*|\s*[.[]\s+/g,xh=e=>e.type===4?e.content:e.loc.source,gv=e=>{const t=xh(e).trim().replace(mv,l=>l.trim());let n=0,s=[],r=0,i=0,o=null;for(let l=0;l<t.length;l++){const c=t.charAt(l);switch(n){case 0:if(c==="[")s.push(n),n=1,r++;else if(c==="(")s.push(n),n=2,i++;else if(!(l===0?dv:pv).test(c))return!1;break;case 1:c==="'"||c==='"'||c==="`"?(s.push(n),n=3,o=c):c==="["?r++:c==="]"&&(--r||(n=s.pop()));break;case 2:if(c==="'"||c==='"'||c==="`")s.push(n),n=3,o=c;else if(c==="(")i++;else if(c===")"){if(l===t.length-1)return!1;--i||(n=s.pop())}break;case 3:c===o&&(n=s.pop(),o=null);break}}return!r&&!i},Oh=gv,yv=/^\s*(async\s*)?(\([^)]*?\)|[\w$_]+)\s*(:[^=]+)?=>|^\s*(async\s+)?function(?:\s+[\w$]+)?\s*\(/,vv=e=>yv.test(xh(e)),bv=vv;function Et(e,t,n=!1){for(let s=0;s<e.props.length;s++){const r=e.props[s];if(r.type===7&&(n||r.exp)&&(ne(t)?r.name===t:t.test(r.name)))return r}}function zi(e,t,n=!1,s=!1){for(let r=0;r<e.props.length;r++){const i=e.props[r];if(i.type===6){if(n)continue;if(i.name===t&&(i.value||s))return i}else if(i.name==="bind"&&(i.exp||s)&&Rn(i.arg,t))return i}}function Rn(e,t){return!!(e&&at(e)&&e.content===t)}function Sv(e){return e.props.some(t=>t.type===7&&t.name==="bind"&&(!t.arg||t.arg.type!==4||!t.arg.isStatic))}function mo(e){return e.type===5||e.type===2}function _v(e){return e.type===7&&e.name==="slot"}function _i(e){return e.type===1&&e.tagType===3}function Ei(e){return e.type===1&&e.tagType===2}const Ev=new Set([tr,vr]);function Nh(e,t=[]){if(e&&!ne(e)&&e.type===14){const n=e.callee;if(!ne(n)&&Ev.has(n))return Nh(e.arguments[0],t.concat(e))}return[e,t]}function wi(e,t,n){let s,r=e.type===13?e.props:e.arguments[2],i=[],o;if(r&&!ne(r)&&r.type===14){const l=Nh(r);r=l[0],i=l[1],o=i[i.length-1]}if(r==null||ne(r))s=Tt([t]);else if(r.type===14){const l=r.arguments[0];!ne(l)&&l.type===15?ma(t,l)||l.properties.unshift(t):r.callee===tc?s=Me(n.helper(vi),[Tt([t]),r]):r.arguments.unshift(Tt([t])),!s&&(s=r)}else r.type===15?(ma(t,r)||r.properties.unshift(t),s=r):(s=Me(n.helper(vi),[Tt([t]),r]),o&&o.callee===vr&&(o=i[i.length-2]));e.type===13?o?o.arguments[0]=s:e.props=s:o?o.arguments[0]=s:e.arguments[2]=s}function ma(e,t){let n=!1;if(e.key.type===4){const s=e.key.content;n=t.properties.some(r=>r.key.type===4&&r.key.content===s)}return n}function rr(e,t){return`_${t}_${e.replace(/[^\w]/g,(n,s)=>n==="-"?"_":e.charCodeAt(s).toString())}`}function wv(e){return e.type===14&&e.callee===sc?e.arguments[1].returns:e}const Tv=/([\s\S]*?)\s+(?:in|of)\s+(\S[\s\S]*)/,Rh={parseMode:"base",ns:0,delimiters:["{{","}}"],getNamespace:()=>0,isVoidTag:Rs,isPreTag:Rs,isIgnoreNewlineTag:Rs,isCustomElement:Rs,onError:ic,onWarn:Ch,comments:!1,prefixIdentifiers:!1};let me=Rh,ir=null,Yt="",We=null,ue=null,rt="",Wt=-1,On=-1,lc=0,cn=!1,Jo=null;const Ae=[],Ne=new fv(Ae,{onerr:Ht,ontext(e,t){Fr(He(e,t),e,t)},ontextentity(e,t,n){Fr(e,t,n)},oninterpolation(e,t){if(cn)return Fr(He(e,t),e,t);let n=e+Ne.delimiterOpen.length,s=t-Ne.delimiterClose.length;for(;mt(Yt.charCodeAt(n));)n++;for(;mt(Yt.charCodeAt(s-1));)s--;let r=He(n,s);r.includes("&")&&(r=me.decodeEntities(r,!1)),Xo({type:5,content:Gr(r,!1,Re(n,s)),loc:Re(e,t)})},onopentagname(e,t){const n=He(e,t);We={type:1,tag:n,ns:me.getNamespace(n,Ae[0],me.ns),tagType:0,props:[],children:[],loc:Re(e-1,t),codegenNode:void 0}},onopentagend(e){ya(e)},onclosetag(e,t){const n=He(e,t);if(!me.isVoidTag(n)){let s=!1;for(let r=0;r<Ae.length;r++)if(Ae[r].tag.toLowerCase()===n.toLowerCase()){s=!0,r>0&&Ht(24,Ae[0].loc.start.offset);for(let o=0;o<=r;o++){const l=Ae.shift();qr(l,t,o<r)}break}s||Ht(23,Ih(e,60))}},onselfclosingtag(e){const t=We.tag;We.isSelfClosing=!0,ya(e),Ae[0]&&Ae[0].tag===t&&qr(Ae.shift(),e)},onattribname(e,t){ue={type:6,name:He(e,t),nameLoc:Re(e,t),value:void 0,loc:Re(e)}},ondirname(e,t){const n=He(e,t),s=n==="."||n===":"?"bind":n==="@"?"on":n==="#"?"slot":n.slice(2);if(!cn&&s===""&&Ht(26,e),cn||s==="")ue={type:6,name:n,nameLoc:Re(e,t),value:void 0,loc:Re(e)};else if(ue={type:7,name:s,rawName:n,exp:void 0,arg:void 0,modifiers:n==="."?[se("prop")]:[],loc:Re(e)},s==="pre"){cn=Ne.inVPre=!0,Jo=We;const r=We.props;for(let i=0;i<r.length;i++)r[i].type===7&&(r[i]=Fv(r[i]))}},ondirarg(e,t){if(e===t)return;const n=He(e,t);if(cn)ue.name+=n,In(ue.nameLoc,t);else{const s=n[0]!=="[";ue.arg=Gr(s?n:n.slice(1,-1),s,Re(e,t),s?3:0)}},ondirmodifier(e,t){const n=He(e,t);if(cn)ue.name+="."+n,In(ue.nameLoc,t);else if(ue.name==="slot"){const s=ue.arg;s&&(s.content+="."+n,In(s.loc,t))}else{const s=se(n,!0,Re(e,t));ue.modifiers.push(s)}},onattribdata(e,t){rt+=He(e,t),Wt<0&&(Wt=e),On=t},onattribentity(e,t,n){rt+=e,Wt<0&&(Wt=t),On=n},onattribnameend(e){const t=ue.loc.start.offset,n=He(t,e);ue.type===7&&(ue.rawName=n),We.props.some(s=>(s.type===7?s.rawName:s.name)===n)&&Ht(2,t)},onattribend(e,t){if(We&&ue){if(In(ue.loc,t),e!==0)if(rt.includes("&")&&(rt=me.decodeEntities(rt,!0)),ue.type===6)ue.name==="class"&&(rt=Mh(rt).trim()),e===1&&!rt&&Ht(13,t),ue.value={type:2,content:rt,loc:e===1?Re(Wt,On):Re(Wt-1,On+1)},Ne.inSFCRoot&&We.tag==="template"&&ue.name==="lang"&&rt&&rt!=="html"&&Ne.enterRCDATA(Si("</template"),0);else{let n=0;ue.exp=Gr(rt,!1,Re(Wt,On),0,n),ue.name==="for"&&(ue.forParseResult=Av(ue.exp));let s=-1;ue.name==="bind"&&(s=ue.modifiers.findIndex(r=>r.content==="sync"))>-1&&sr("COMPILER_V_BIND_SYNC",me,ue.loc,ue.rawName)&&(ue.name="model",ue.modifiers.splice(s,1))}(ue.type!==7||ue.name!=="pre")&&We.props.push(ue)}rt="",Wt=On=-1},oncomment(e,t){me.comments&&Xo({type:3,content:He(e,t),loc:Re(e-4,t+3)})},onend(){const e=Yt.length;for(let t=0;t<Ae.length;t++)qr(Ae[t],e-1),Ht(24,Ae[t].loc.start.offset)},oncdata(e,t){Ae[0].ns!==0?Fr(He(e,t),e,t):Ht(1,e-9)},onprocessinginstruction(e){(Ae[0]?Ae[0].ns:me.ns)===0&&Ht(21,e-1)}}),ga=/,([^,\}\]]*)(?:,([^,\}\]]*))?$/,Cv=/^\(|\)$/g;function Av(e){const t=e.loc,n=e.content,s=n.match(Tv);if(!s)return;const[,r,i]=s,o=(a,h,d=!1)=>{const m=t.start.offset+h,g=m+a.length;return Gr(a,!1,Re(m,g),0,d?1:0)},l={source:o(i.trim(),n.indexOf(i,r.length)),value:void 0,key:void 0,index:void 0,finalized:!1};let c=r.trim().replace(Cv,"").trim();const f=r.indexOf(c),u=c.match(ga);if(u){c=c.replace(ga,"").trim();const a=u[1].trim();let h;if(a&&(h=n.indexOf(a,f+c.length),l.key=o(a,h,!0)),u[2]){const d=u[2].trim();d&&(l.index=o(d,n.indexOf(d,l.key?h+a.length:f+c.length),!0))}}return c&&(l.value=o(c,f,!0)),l}function He(e,t){return Yt.slice(e,t)}function ya(e){Ne.inSFCRoot&&(We.innerLoc=Re(e+1,e+1)),Xo(We);const{tag:t,ns:n}=We;n===0&&me.isPreTag(t)&&lc++,me.isVoidTag(t)?qr(We,e):(Ae.unshift(We),(n===1||n===2)&&(Ne.inXML=!0)),We=null}function Fr(e,t,n){{const i=Ae[0]&&Ae[0].tag;i!=="script"&&i!=="style"&&e.includes("&")&&(e=me.decodeEntities(e,!1))}const s=Ae[0]||ir,r=s.children[s.children.length-1];r&&r.type===2?(r.content+=e,In(r.loc,n)):s.children.push({type:2,content:e,loc:Re(t,n)})}function qr(e,t,n=!1){n?In(e.loc,Ih(t,60)):In(e.loc,xv(t,62)+1),Ne.inSFCRoot&&(e.children.length?e.innerLoc.end=ce({},e.children[e.children.length-1].loc.end):e.innerLoc.end=ce({},e.innerLoc.start),e.innerLoc.source=He(e.innerLoc.start.offset,e.innerLoc.end.offset));const{tag:s,ns:r,children:i}=e;if(cn||(s==="slot"?e.tagType=2:va(e)?e.tagType=3:Nv(e)&&(e.tagType=1)),Ne.inRCDATA||(e.children=Ph(i)),r===0&&me.isIgnoreNewlineTag(s)){const o=i[0];o&&o.type===2&&(o.content=o.content.replace(/^\r?\n/,""))}r===0&&me.isPreTag(s)&&lc--,Jo===e&&(cn=Ne.inVPre=!1,Jo=null),Ne.inXML&&(Ae[0]?Ae[0].ns:me.ns)===0&&(Ne.inXML=!1);{const o=e.props;if(!Ne.inSFCRoot&&Dn("COMPILER_NATIVE_TEMPLATE",me)&&e.tag==="template"&&!va(e)){const c=Ae[0]||ir,f=c.children.indexOf(e);c.children.splice(f,1,...e.children)}const l=o.find(c=>c.type===6&&c.name==="inline-template");l&&sr("COMPILER_INLINE_TEMPLATE",me,l.loc)&&e.children.length&&(l.value={type:2,content:He(e.children[0].loc.start.offset,e.children[e.children.length-1].loc.end.offset),loc:l.loc})}}function xv(e,t){let n=e;for(;Yt.charCodeAt(n)!==t&&n<Yt.length-1;)n++;return n}function Ih(e,t){let n=e;for(;Yt.charCodeAt(n)!==t&&n>=0;)n--;return n}const Ov=new Set(["if","else","else-if","for","slot"]);function va({tag:e,props:t}){if(e==="template"){for(let n=0;n<t.length;n++)if(t[n].type===7&&Ov.has(t[n].name))return!0}return!1}function Nv({tag:e,props:t}){if(me.isCustomElement(e))return!1;if(e==="component"||Rv(e.charCodeAt(0))||Ah(e)||me.isBuiltInComponent&&me.isBuiltInComponent(e)||me.isNativeTag&&!me.isNativeTag(e))return!0;for(let n=0;n<t.length;n++){const s=t[n];if(s.type===6){if(s.name==="is"&&s.value){if(s.value.content.startsWith("vue:"))return!0;if(sr("COMPILER_IS_ON_ELEMENT",me,s.loc))return!0}}else if(s.name==="bind"&&Rn(s.arg,"is")&&sr("COMPILER_IS_ON_ELEMENT",me,s.loc))return!0}return!1}function Rv(e){return e>64&&e<91}const Iv=/\r\n/g;function Ph(e,t){const n=me.whitespace!=="preserve";let s=!1;for(let r=0;r<e.length;r++){const i=e[r];if(i.type===2)if(lc)i.content=i.content.replace(Iv,`
+`);else if(Pv(i.content)){const o=e[r-1]&&e[r-1].type,l=e[r+1]&&e[r+1].type;!o||!l||n&&(o===3&&(l===3||l===1)||o===1&&(l===3||l===1&&Mv(i.content)))?(s=!0,e[r]=null):i.content=" "}else n&&(i.content=Mh(i.content))}return s?e.filter(Boolean):e}function Pv(e){for(let t=0;t<e.length;t++)if(!mt(e.charCodeAt(t)))return!1;return!0}function Mv(e){for(let t=0;t<e.length;t++){const n=e.charCodeAt(t);if(n===10||n===13)return!0}return!1}function Mh(e){let t="",n=!1;for(let s=0;s<e.length;s++)mt(e.charCodeAt(s))?n||(t+=" ",n=!0):(t+=e[s],n=!1);return t}function Xo(e){(Ae[0]||ir).children.push(e)}function Re(e,t){return{start:Ne.getPos(e),end:t==null?t:Ne.getPos(t),source:t==null?t:He(e,t)}}function kv(e){return Re(e.start.offset,e.end.offset)}function In(e,t){e.end=Ne.getPos(t),e.source=He(e.start.offset,t)}function Fv(e){const t={type:6,name:e.rawName,nameLoc:Re(e.loc.start.offset,e.loc.start.offset+e.rawName.length),value:void 0,loc:e.loc};if(e.exp){const n=e.exp.loc;n.end.offset<e.loc.end.offset&&(n.start.offset--,n.start.column--,n.end.offset++,n.end.column++),t.value={type:2,content:e.exp.content,loc:n}}return t}function Gr(e,t=!1,n,s=0,r=0){return se(e,t,n,s)}function Ht(e,t,n){me.onError(xe(e,Re(t,t)))}function Lv(){Ne.reset(),We=null,ue=null,rt="",Wt=-1,On=-1,Ae.length=0}function Dv(e,t){if(Lv(),Yt=e,me=ce({},Rh),t){let r;for(r in t)t[r]!=null&&(me[r]=t[r])}Ne.mode=me.parseMode==="html"?1:me.parseMode==="sfc"?2:0,Ne.inXML=me.ns===1||me.ns===2;const n=t&&t.delimiters;n&&(Ne.delimiterOpen=Si(n[0]),Ne.delimiterClose=Si(n[1]));const s=ir=cv([],e);return Ne.parse(Yt),s.loc=Re(0,e.length),s.children=Ph(s.children),ir=null,s}function Vv(e,t){zr(e,void 0,t,kh(e,e.children[0]))}function kh(e,t){const{children:n}=e;return n.length===1&&t.type===1&&!Ei(t)}function zr(e,t,n,s=!1,r=!1){const{children:i}=e,o=[];for(let u=0;u<i.length;u++){const a=i[u];if(a.type===1&&a.tagType===0){const h=s?0:gt(a,n);if(h>0){if(h>=2){a.codegenNode.patchFlag=-1,o.push(a);continue}}else{const d=a.codegenNode;if(d.type===13){const m=d.patchFlag;if((m===void 0||m===512||m===1)&&Lh(a,n)>=2){const g=Dh(a);g&&(d.props=n.hoist(g))}d.dynamicProps&&(d.dynamicProps=n.hoist(d.dynamicProps))}}}else if(a.type===12&&(s?0:gt(a,n))>=2){o.push(a);continue}if(a.type===1){const h=a.tagType===1;h&&n.scopes.vSlot++,zr(a,e,n,!1,r),h&&n.scopes.vSlot--}else if(a.type===11)zr(a,e,n,a.children.length===1,!0);else if(a.type===9)for(let h=0;h<a.branches.length;h++)zr(a.branches[h],e,n,a.branches[h].children.length===1,r)}let l=!1;if(o.length===i.length&&e.type===1){if(e.tagType===0&&e.codegenNode&&e.codegenNode.type===13&&j(e.codegenNode.children))e.codegenNode.children=c(Ln(e.codegenNode.children)),l=!0;else if(e.tagType===1&&e.codegenNode&&e.codegenNode.type===13&&e.codegenNode.children&&!j(e.codegenNode.children)&&e.codegenNode.children.type===15){const u=f(e.codegenNode,"default");u&&(u.returns=c(Ln(u.returns)),l=!0)}else if(e.tagType===3&&t&&t.type===1&&t.tagType===1&&t.codegenNode&&t.codegenNode.type===13&&t.codegenNode.children&&!j(t.codegenNode.children)&&t.codegenNode.children.type===15){const u=Et(e,"slot",!0),a=u&&u.arg&&f(t.codegenNode,u.arg);a&&(a.returns=c(Ln(a.returns)),l=!0)}}if(!l)for(const u of o)u.codegenNode=n.cache(u.codegenNode);function c(u){const a=n.cache(u);return r&&n.hmr&&(a.needArraySpread=!0),a}function f(u,a){if(u.children&&!j(u.children)&&u.children.type===15){const h=u.children.properties.find(d=>d.key===a||d.key.content===a);return h&&h.value}}o.length&&n.transformHoist&&n.transformHoist(i,n,e)}function gt(e,t){const{constantCache:n}=t;switch(e.type){case 1:if(e.tagType!==0)return 0;const s=n.get(e);if(s!==void 0)return s;const r=e.codegenNode;if(r.type!==13||r.isBlock&&e.tag!=="svg"&&e.tag!=="foreignObject"&&e.tag!=="math")return 0;if(r.patchFlag===void 0){let o=3;const l=Lh(e,t);if(l===0)return n.set(e,0),0;l<o&&(o=l);for(let c=0;c<e.children.length;c++){const f=gt(e.children[c],t);if(f===0)return n.set(e,0),0;f<o&&(o=f)}if(o>1)for(let c=0;c<e.props.length;c++){const f=e.props[c];if(f.type===7&&f.name==="bind"&&f.exp){const u=gt(f.exp,t);if(u===0)return n.set(e,0),0;u<o&&(o=u)}}if(r.isBlock){for(let c=0;c<e.props.length;c++)if(e.props[c].type===7)return n.set(e,0),0;t.removeHelper(Hn),t.removeHelper(bs(t.inSSR,r.isComponent)),r.isBlock=!1,t.helper(vs(t.inSSR,r.isComponent))}return n.set(e,o),o}else return n.set(e,0),0;case 2:case 3:return 3;case 9:case 11:case 10:return 0;case 5:case 12:return gt(e.content,t);case 4:return e.constType;case 8:let i=3;for(let o=0;o<e.children.length;o++){const l=e.children[o];if(ne(l)||ut(l))continue;const c=gt(l,t);if(c===0)return 0;c<i&&(i=c)}return i;case 20:return 2;default:return 0}}const $v=new Set([Ql,ec,tr,vr]);function Fh(e,t){if(e.type===14&&!ne(e.callee)&&$v.has(e.callee)){const n=e.arguments[0];if(n.type===4)return gt(n,t);if(n.type===14)return Fh(n,t)}return 0}function Lh(e,t){let n=3;const s=Dh(e);if(s&&s.type===15){const{properties:r}=s;for(let i=0;i<r.length;i++){const{key:o,value:l}=r[i],c=gt(o,t);if(c===0)return c;c<n&&(n=c);let f;if(l.type===4?f=gt(l,t):l.type===14?f=Fh(l,t):f=0,f===0)return f;f<n&&(n=f)}}return n}function Dh(e){const t=e.codegenNode;if(t.type===13)return t.props}function Bv(e,{filename:t="",prefixIdentifiers:n=!1,hoistStatic:s=!1,hmr:r=!1,cacheHandlers:i=!1,nodeTransforms:o=[],directiveTransforms:l={},transformHoist:c=null,isBuiltInComponent:f=Ye,isCustomElement:u=Ye,expressionPlugins:a=[],scopeId:h=null,slotted:d=!0,ssr:m=!1,inSSR:g=!1,ssrCssVars:w="",bindingMetadata:_=le,inline:S=!1,isTS:p=!1,onError:v=ic,onWarn:E=Ch,compatConfig:x}){const R=t.replace(/\?.*$/,"").match(/([^/\\]+)\.\w+$/),O={filename:t,selfName:R&&Wn(we(R[1])),prefixIdentifiers:n,hoistStatic:s,hmr:r,cacheHandlers:i,nodeTransforms:o,directiveTransforms:l,transformHoist:c,isBuiltInComponent:f,isCustomElement:u,expressionPlugins:a,scopeId:h,slotted:d,ssr:m,inSSR:g,ssrCssVars:w,bindingMetadata:_,inline:S,isTS:p,onError:v,onWarn:E,compatConfig:x,root:e,helpers:new Map,components:new Set,directives:new Set,hoists:[],imports:[],cached:[],constantCache:new WeakMap,temps:0,identifiers:Object.create(null),scopes:{vFor:0,vSlot:0,vPre:0,vOnce:0},parent:null,grandParent:null,currentNode:e,childIndex:0,inVOnce:!1,helper(C){const T=O.helpers.get(C)||0;return O.helpers.set(C,T+1),C},removeHelper(C){const T=O.helpers.get(C);if(T){const I=T-1;I?O.helpers.set(C,I):O.helpers.delete(C)}},helperString(C){return`_${gs[O.helper(C)]}`},replaceNode(C){O.parent.children[O.childIndex]=O.currentNode=C},removeNode(C){const T=O.parent.children,I=C?T.indexOf(C):O.currentNode?O.childIndex:-1;!C||C===O.currentNode?(O.currentNode=null,O.onNodeRemoved()):O.childIndex>I&&(O.childIndex--,O.onNodeRemoved()),O.parent.children.splice(I,1)},onNodeRemoved:Ye,addIdentifiers(C){},removeIdentifiers(C){},hoist(C){ne(C)&&(C=se(C)),O.hoists.push(C);const T=se(`_hoisted_${O.hoists.length}`,!1,C.loc,2);return T.hoisted=C,T},cache(C,T=!1){const I=av(O.cached.length,C,T);return O.cached.push(I),I}};return O.filters=new Set,O}function Hv(e,t){const n=Bv(e,t);Yi(e,n),t.hoistStatic&&Vv(e,n),t.ssr||jv(e,n),e.helpers=new Set([...n.helpers.keys()]),e.components=[...n.components],e.directives=[...n.directives],e.imports=n.imports,e.hoists=n.hoists,e.temps=n.temps,e.cached=n.cached,e.transformed=!0,e.filters=[...n.filters]}function jv(e,t){const{helper:n}=t,{children:s}=e;if(s.length===1){const r=s[0];if(kh(e,r)&&r.codegenNode){const i=r.codegenNode;i.type===13&&rc(i,t),e.codegenNode=i}else e.codegenNode=r}else if(s.length>1){let r=64;e.codegenNode=nr(t,n(er),void 0,e.children,r,void 0,void 0,!0,void 0,!1)}}function Uv(e,t){let n=0;const s=()=>{n--};for(;n<e.children.length;n++){const r=e.children[n];ne(r)||(t.grandParent=t.parent,t.parent=e,t.childIndex=n,t.onNodeRemoved=s,Yi(r,t))}}function Yi(e,t){t.currentNode=e;const{nodeTransforms:n}=t,s=[];for(let i=0;i<n.length;i++){const o=n[i](e,t);if(o&&(j(o)?s.push(...o):s.push(o)),t.currentNode)e=t.currentNode;else return}switch(e.type){case 3:t.ssr||t.helper(yr);break;case 5:t.ssr||t.helper(Gi);break;case 9:for(let i=0;i<e.branches.length;i++)Yi(e.branches[i],t);break;case 10:case 11:case 1:case 0:Uv(e,t);break}t.currentNode=e;let r=s.length;for(;r--;)s[r]()}function Vh(e,t){const n=ne(e)?s=>s===e:s=>e.test(s);return(s,r)=>{if(s.type===1){const{props:i}=s;if(s.tagType===3&&i.some(_v))return;const o=[];for(let l=0;l<i.length;l++){const c=i[l];if(c.type===7&&n(c.name)){i.splice(l,1),l--;const f=t(s,c,r);f&&o.push(f)}}return o}}}const Ji="/*@__PURE__*/",$h=e=>`${gs[e]}: _${gs[e]}`;function Wv(e,{mode:t="function",prefixIdentifiers:n=t==="module",sourceMap:s=!1,filename:r="template.vue.html",scopeId:i=null,optimizeImports:o=!1,runtimeGlobalName:l="Vue",runtimeModuleName:c="vue",ssrRuntimeModuleName:f="vue/server-renderer",ssr:u=!1,isTS:a=!1,inSSR:h=!1}){const d={mode:t,prefixIdentifiers:n,sourceMap:s,filename:r,scopeId:i,optimizeImports:o,runtimeGlobalName:l,runtimeModuleName:c,ssrRuntimeModuleName:f,ssr:u,isTS:a,inSSR:h,source:e.source,code:"",column:1,line:1,offset:0,indentLevel:0,pure:!1,map:void 0,helper(g){return`_${gs[g]}`},push(g,w=-2,_){d.code+=g},indent(){m(++d.indentLevel)},deindent(g=!1){g?--d.indentLevel:m(--d.indentLevel)},newline(){m(d.indentLevel)}};function m(g){d.push(`
+`+" ".repeat(g),0)}return d}function Kv(e,t={}){const n=Wv(e,t);t.onContextCreated&&t.onContextCreated(n);const{mode:s,push:r,prefixIdentifiers:i,indent:o,deindent:l,newline:c,scopeId:f,ssr:u}=n,a=Array.from(e.helpers),h=a.length>0,d=!i&&s!=="module";qv(e,n);const g=u?"ssrRender":"render",_=(u?["_ctx","_push","_parent","_attrs"]:["_ctx","_cache"]).join(", ");if(r(`function ${g}(${_}) {`),o(),d&&(r("with (_ctx) {"),o(),h&&(r(`const { ${a.map($h).join(", ")} } = _Vue
+`,-1),c())),e.components.length&&(go(e.components,"component",n),(e.directives.length||e.temps>0)&&c()),e.directives.length&&(go(e.directives,"directive",n),e.temps>0&&c()),e.filters&&e.filters.length&&(c(),go(e.filters,"filter",n),c()),e.temps>0){r("let ");for(let S=0;S<e.temps;S++)r(`${S>0?", ":""}_temp${S}`)}return(e.components.length||e.directives.length||e.temps)&&(r(`
+`,0),c()),u||r("return "),e.codegenNode?Je(e.codegenNode,n):r("null"),d&&(l(),r("}")),l(),r("}"),{ast:e,code:n.code,preamble:"",map:n.map?n.map.toJSON():void 0}}function qv(e,t){const{ssr:n,prefixIdentifiers:s,push:r,newline:i,runtimeModuleName:o,runtimeGlobalName:l,ssrRuntimeModuleName:c}=t,f=l,u=Array.from(e.helpers);if(u.length>0&&(r(`const _Vue = ${f}
+`,-1),e.hoists.length)){const a=[Wl,Kl,yr,ql,_h].filter(h=>u.includes(h)).map($h).join(", ");r(`const { ${a} } = _Vue
+`,-1)}Gv(e.hoists,t),i(),r("return ")}function go(e,t,{helper:n,push:s,newline:r,isTS:i}){const o=n(t==="filter"?Jl:t==="component"?Gl:Yl);for(let l=0;l<e.length;l++){let c=e[l];const f=c.endsWith("__self");f&&(c=c.slice(0,-6)),s(`const ${rr(c,t)} = ${o}(${JSON.stringify(c)}${f?", true":""})${i?"!":""}`),l<e.length-1&&r()}}function Gv(e,t){if(!e.length)return;t.pure=!0;const{push:n,newline:s}=t;s();for(let r=0;r<e.length;r++){const i=e[r];i&&(n(`const _hoisted_${r+1} = `),Je(i,t),s())}t.pure=!1}function cc(e,t){const n=e.length>3||!1;t.push("["),n&&t.indent(),br(e,t,n),n&&t.deindent(),t.push("]")}function br(e,t,n=!1,s=!0){const{push:r,newline:i}=t;for(let o=0;o<e.length;o++){const l=e[o];ne(l)?r(l,-3):j(l)?cc(l,t):Je(l,t),o<e.length-1&&(n?(s&&r(","),i()):s&&r(", "))}}function Je(e,t){if(ne(e)){t.push(e,-3);return}if(ut(e)){t.push(t.helper(e));return}switch(e.type){case 1:case 9:case 11:Je(e.codegenNode,t);break;case 2:zv(e,t);break;case 4:Bh(e,t);break;case 5:Yv(e,t);break;case 12:Je(e.codegenNode,t);break;case 8:Hh(e,t);break;case 3:Xv(e,t);break;case 13:Zv(e,t);break;case 14:e0(e,t);break;case 15:t0(e,t);break;case 17:n0(e,t);break;case 18:s0(e,t);break;case 19:r0(e,t);break;case 20:i0(e,t);break;case 21:br(e.body,t,!0,!1);break}}function zv(e,t){t.push(JSON.stringify(e.content),-3,e)}function Bh(e,t){const{content:n,isStatic:s}=e;t.push(s?JSON.stringify(n):n,-3,e)}function Yv(e,t){const{push:n,helper:s,pure:r}=t;r&&n(Ji),n(`${s(Gi)}(`),Je(e.content,t),n(")")}function Hh(e,t){for(let n=0;n<e.children.length;n++){const s=e.children[n];ne(s)?t.push(s,-3):Je(s,t)}}function Jv(e,t){const{push:n}=t;if(e.type===8)n("["),Hh(e,t),n("]");else if(e.isStatic){const s=oc(e.content)?e.content:JSON.stringify(e.content);n(s,-2,e)}else n(`[${e.content}]`,-3,e)}function Xv(e,t){const{push:n,helper:s,pure:r}=t;r&&n(Ji),n(`${s(yr)}(${JSON.stringify(e.content)})`,-3,e)}function Zv(e,t){const{push:n,helper:s,pure:r}=t,{tag:i,props:o,children:l,patchFlag:c,dynamicProps:f,directives:u,isBlock:a,disableTracking:h,isComponent:d}=e;let m;c&&(m=String(c)),u&&n(s(Xl)+"("),a&&n(`(${s(Hn)}(${h?"true":""}), `),r&&n(Ji);const g=a?bs(t.inSSR,d):vs(t.inSSR,d);n(s(g)+"(",-2,e),br(Qv([i,o,l,m,f]),t),n(")"),a&&n(")"),u&&(n(", "),Je(u,t),n(")"))}function Qv(e){let t=e.length;for(;t--&&e[t]==null;);return e.slice(0,t+1).map(n=>n||"null")}function e0(e,t){const{push:n,helper:s,pure:r}=t,i=ne(e.callee)?e.callee:s(e.callee);r&&n(Ji),n(i+"(",-2,e),br(e.arguments,t),n(")")}function t0(e,t){const{push:n,indent:s,deindent:r,newline:i}=t,{properties:o}=e;if(!o.length){n("{}",-2,e);return}const l=o.length>1||!1;n(l?"{":"{ "),l&&s();for(let c=0;c<o.length;c++){const{key:f,value:u}=o[c];Jv(f,t),n(": "),Je(u,t),c<o.length-1&&(n(","),i())}l&&r(),n(l?"}":" }")}function n0(e,t){cc(e.elements,t)}function s0(e,t){const{push:n,indent:s,deindent:r}=t,{params:i,returns:o,body:l,newline:c,isSlot:f}=e;f&&n(`_${gs[nc]}(`),n("(",-2,e),j(i)?br(i,t):i&&Je(i,t),n(") => "),(c||l)&&(n("{"),s()),o?(c&&n("return "),j(o)?cc(o,t):Je(o,t)):l&&Je(l,t),(c||l)&&(r(),n("}")),f&&(e.isNonScopedSlot&&n(", undefined, true"),n(")"))}function r0(e,t){const{test:n,consequent:s,alternate:r,newline:i}=e,{push:o,indent:l,deindent:c,newline:f}=t;if(n.type===4){const a=!oc(n.content);a&&o("("),Bh(n,t),a&&o(")")}else o("("),Je(n,t),o(")");i&&l(),t.indentLevel++,i||o(" "),o("? "),Je(s,t),t.indentLevel--,i&&f(),i||o(" "),o(": ");const u=r.type===19;u||t.indentLevel++,Je(r,t),u||t.indentLevel--,i&&c(!0)}function i0(e,t){const{push:n,helper:s,indent:r,deindent:i,newline:o}=t,{needPauseTracking:l,needArraySpread:c}=e;c&&n("[...("),n(`_cache[${e.index}] || (`),l&&(r(),n(`${s(bi)}(-1),`),o(),n("(")),n(`_cache[${e.index}] = `),Je(e.value,t),l&&(n(`).cacheIndex = ${e.index},`),o(),n(`${s(bi)}(1),`),o(),n(`_cache[${e.index}]`),i()),n(")"),c&&n(")]")}new RegExp("\\b"+"arguments,await,break,case,catch,class,const,continue,debugger,default,delete,do,else,export,extends,finally,for,function,if,import,let,new,return,super,switch,throw,try,var,void,while,with,yield".split(",").join("\\b|\\b")+"\\b");const o0=Vh(/^(if|else|else-if)$/,(e,t,n)=>l0(e,t,n,(s,r,i)=>{const o=n.parent.children;let l=o.indexOf(s),c=0;for(;l-->=0;){const f=o[l];f&&f.type===9&&(c+=f.branches.length)}return()=>{if(i)s.codegenNode=Sa(r,c,n);else{const f=c0(s.codegenNode);f.alternate=Sa(r,c+s.branches.length-1,n)}}}));function l0(e,t,n,s){if(t.name!=="else"&&(!t.exp||!t.exp.content.trim())){const r=t.exp?t.exp.loc:e.loc;n.onError(xe(28,t.loc)),t.exp=se("true",!1,r)}if(t.name==="if"){const r=ba(e,t),i={type:9,loc:kv(e.loc),branches:[r]};if(n.replaceNode(i),s)return s(i,r,!0)}else{const r=n.parent.children;let i=r.indexOf(e);for(;i-->=-1;){const o=r[i];if(o&&o.type===3){n.removeNode(o);continue}if(o&&o.type===2&&!o.content.trim().length){n.removeNode(o);continue}if(o&&o.type===9){t.name==="else-if"&&o.branches[o.branches.length-1].condition===void 0&&n.onError(xe(30,e.loc)),n.removeNode();const l=ba(e,t);o.branches.push(l);const c=s&&s(o,l,!1);Yi(l,n),c&&c(),n.currentNode=null}else n.onError(xe(30,e.loc));break}}}function ba(e,t){const n=e.tagType===3;return{type:10,loc:e.loc,condition:t.name==="else"?void 0:t.exp,children:n&&!Et(e,"for")?e.children:[e],userKey:zi(e,"key"),isTemplateIf:n}}function Sa(e,t,n){return e.condition?Yo(e.condition,_a(e,t,n),Me(n.helper(yr),['""',"true"])):_a(e,t,n)}function _a(e,t,n){const{helper:s}=n,r=Ie("key",se(`${t}`,!1,bt,2)),{children:i}=e,o=i[0];if(i.length!==1||o.type!==1)if(i.length===1&&o.type===11){const c=o.codegenNode;return wi(c,r,n),c}else return nr(n,s(er),Tt([r]),i,64,void 0,void 0,!0,!1,!1,e.loc);else{const c=o.codegenNode,f=wv(c);return f.type===13&&rc(f,n),wi(f,r,n),c}}function c0(e){for(;;)if(e.type===19)if(e.alternate.type===19)e=e.alternate;else return e;else e.type===20&&(e=e.value)}const a0=(e,t,n)=>{const{modifiers:s,loc:r}=e,i=e.arg;let{exp:o}=e;if(o&&o.type===4&&!o.content.trim()&&(o=void 0),!o){if(i.type!==4||!i.isStatic)return n.onError(xe(52,i.loc)),{props:[Ie(i,se("",!0,r))]};jh(e),o=e.exp}return i.type!==4?(i.children.unshift("("),i.children.push(') || ""')):i.isStatic||(i.content=`${i.content} || ""`),s.some(l=>l.content==="camel")&&(i.type===4?i.isStatic?i.content=we(i.content):i.content=`${n.helperString(Go)}(${i.content})`:(i.children.unshift(`${n.helperString(Go)}(`),i.children.push(")"))),n.inSSR||(s.some(l=>l.content==="prop")&&Ea(i,"."),s.some(l=>l.content==="attr")&&Ea(i,"^")),{props:[Ie(i,o)]}},jh=(e,t)=>{const n=e.arg,s=we(n.content);e.exp=se(s,!1,n.loc)},Ea=(e,t)=>{e.type===4?e.isStatic?e.content=t+e.content:e.content=`\`${t}\${${e.content}}\``:(e.children.unshift(`'${t}' + (`),e.children.push(")"))},u0=Vh("for",(e,t,n)=>{const{helper:s,removeHelper:r}=n;return f0(e,t,n,i=>{const o=Me(s(Zl),[i.source]),l=_i(e),c=Et(e,"memo"),f=zi(e,"key",!1,!0);f&&f.type===7&&!f.exp&&jh(f);const u=f&&(f.type===6?f.value?se(f.value.content,!0):void 0:f.exp),a=f&&u?Ie("key",u):null,h=i.source.type===4&&i.source.constType>0,d=h?64:f?128:256;return i.codegenNode=nr(n,s(er),void 0,o,d,void 0,void 0,!0,!h,!1,e.loc),()=>{let m;const{children:g}=i,w=g.length!==1||g[0].type!==1,_=Ei(e)?e:l&&e.children.length===1&&Ei(e.children[0])?e.children[0]:null;if(_?(m=_.codegenNode,l&&a&&wi(m,a,n)):w?m=nr(n,s(er),a?Tt([a]):void 0,e.children,64,void 0,void 0,!0,void 0,!1):(m=g[0].codegenNode,l&&a&&wi(m,a,n),m.isBlock!==!h&&(m.isBlock?(r(Hn),r(bs(n.inSSR,m.isComponent))):r(vs(n.inSSR,m.isComponent))),m.isBlock=!h,m.isBlock?(s(Hn),s(bs(n.inSSR,m.isComponent))):s(vs(n.inSSR,m.isComponent))),c){const S=ys(Zo(i.parseResult,[se("_cached")]));S.body=uv([It(["const _memo = (",c.exp,")"]),It(["if (_cached",...u?[" && _cached.key === ",u]:[],` && ${n.helperString(Th)}(_cached, _memo)) return _cached`]),It(["const _item = ",m]),se("_item.memo = _memo"),se("return _item")]),o.arguments.push(S,se("_cache"),se(String(n.cached.length))),n.cached.push(null)}else o.arguments.push(ys(Zo(i.parseResult),m,!0))}})});function f0(e,t,n,s){if(!t.exp){n.onError(xe(31,t.loc));return}const r=t.forParseResult;if(!r){n.onError(xe(32,t.loc));return}Uh(r);const{addIdentifiers:i,removeIdentifiers:o,scopes:l}=n,{source:c,value:f,key:u,index:a}=r,h={type:11,loc:t.loc,source:c,valueAlias:f,keyAlias:u,objectIndexAlias:a,parseResult:r,children:_i(e)?e.children:[e]};n.replaceNode(h),l.vFor++;const d=s&&s(h);return()=>{l.vFor--,d&&d()}}function Uh(e,t){e.finalized||(e.finalized=!0)}function Zo({value:e,key:t,index:n},s=[]){return h0([e,t,n,...s])}function h0(e){let t=e.length;for(;t--&&!e[t];);return e.slice(0,t+1).map((n,s)=>n||se("_".repeat(s+1),!1))}const wa=se("undefined",!1),d0=(e,t)=>{if(e.type===1&&(e.tagType===1||e.tagType===3)){const n=Et(e,"slot");if(n)return n.exp,t.scopes.vSlot++,()=>{t.scopes.vSlot--}}},p0=(e,t,n,s)=>ys(e,n,!1,!0,n.length?n[0].loc:s);function m0(e,t,n=p0){t.helper(nc);const{children:s,loc:r}=e,i=[],o=[];let l=t.scopes.vSlot>0||t.scopes.vFor>0;const c=Et(e,"slot",!0);if(c){const{arg:w,exp:_}=c;w&&!at(w)&&(l=!0),i.push(Ie(w||se("default",!0),n(_,void 0,s,r)))}let f=!1,u=!1;const a=[],h=new Set;let d=0;for(let w=0;w<s.length;w++){const _=s[w];let S;if(!_i(_)||!(S=Et(_,"slot",!0))){_.type!==3&&a.push(_);continue}if(c){t.onError(xe(37,S.loc));break}f=!0;const{children:p,loc:v}=_,{arg:E=se("default",!0),exp:x,loc:R}=S;let O;at(E)?O=E?E.content:"default":l=!0;const C=Et(_,"for"),T=n(x,C,p,v);let I,A;if(I=Et(_,"if"))l=!0,o.push(Yo(I.exp,Lr(E,T,d++),wa));else if(A=Et(_,/^else(-if)?$/,!0)){let L=w,G;for(;L--&&(G=s[L],G.type===3););if(G&&_i(G)&&Et(G,/^(else-)?if$/)){let X=o[o.length-1];for(;X.alternate.type===19;)X=X.alternate;X.alternate=A.exp?Yo(A.exp,Lr(E,T,d++),wa):Lr(E,T,d++)}else t.onError(xe(30,A.loc))}else if(C){l=!0;const L=C.forParseResult;L?(Uh(L),o.push(Me(t.helper(Zl),[L.source,ys(Zo(L),Lr(E,T),!0)]))):t.onError(xe(32,C.loc))}else{if(O){if(h.has(O)){t.onError(xe(38,R));continue}h.add(O),O==="default"&&(u=!0)}i.push(Ie(E,T))}}if(!c){const w=(_,S)=>{const p=n(_,void 0,S,r);return t.compatConfig&&(p.isNonScopedSlot=!0),Ie("default",p)};f?a.length&&a.some(_=>Wh(_))&&(u?t.onError(xe(39,a[0].loc)):i.push(w(void 0,a))):i.push(w(void 0,s))}const m=l?2:Yr(e.children)?3:1;let g=Tt(i.concat(Ie("_",se(m+"",!1))),r);return o.length&&(g=Me(t.helper(wh),[g,Ln(o)])),{slots:g,hasDynamicSlots:l}}function Lr(e,t,n){const s=[Ie("name",e),Ie("fn",t)];return n!=null&&s.push(Ie("key",se(String(n),!0))),Tt(s)}function Yr(e){for(let t=0;t<e.length;t++){const n=e[t];switch(n.type){case 1:if(n.tagType===2||Yr(n.children))return!0;break;case 9:if(Yr(n.branches))return!0;break;case 10:case 11:if(Yr(n.children))return!0;break}}return!1}function Wh(e){return e.type!==2&&e.type!==12?!0:e.type===2?!!e.content.trim():Wh(e.content)}const Kh=new WeakMap,g0=(e,t)=>function(){if(e=t.currentNode,!(e.type===1&&(e.tagType===0||e.tagType===1)))return;const{tag:s,props:r}=e,i=e.tagType===1;let o=i?y0(e,t):`"${s}"`;const l=be(o)&&o.callee===zl;let c,f,u=0,a,h,d,m=l||o===$s||o===Ul||!i&&(s==="svg"||s==="foreignObject"||s==="math");if(r.length>0){const g=qh(e,t,void 0,i,l);c=g.props,u=g.patchFlag,h=g.dynamicPropNames;const w=g.directives;d=w&&w.length?Ln(w.map(_=>b0(_,t))):void 0,g.shouldUseBlock&&(m=!0)}if(e.children.length>0)if(o===yi&&(m=!0,u|=1024),i&&o!==$s&&o!==yi){const{slots:w,hasDynamicSlots:_}=m0(e,t);f=w,_&&(u|=1024)}else if(e.children.length===1&&o!==$s){const w=e.children[0],_=w.type,S=_===5||_===8;S&&gt(w,t)===0&&(u|=1),S||_===2?f=w:f=e.children}else f=e.children;h&&h.length&&(a=S0(h)),e.codegenNode=nr(t,o,c,f,u===0?void 0:u,a,d,!!m,!1,i,e.loc)};function y0(e,t,n=!1){let{tag:s}=e;const r=Qo(s),i=zi(e,"is",!1,!0);if(i)if(r||Dn("COMPILER_IS_ON_ELEMENT",t)){let l;if(i.type===6?l=i.value&&se(i.value.content,!0):(l=i.exp,l||(l=se("is",!1,i.arg.loc))),l)return Me(t.helper(zl),[l])}else i.type===6&&i.value.content.startsWith("vue:")&&(s=i.value.content.slice(4));const o=Ah(s)||t.isBuiltInComponent(s);return o?(n||t.helper(o),o):(t.helper(Gl),t.components.add(s),rr(s,"component"))}function qh(e,t,n=e.props,s,r,i=!1){const{tag:o,loc:l,children:c}=e;let f=[];const u=[],a=[],h=c.length>0;let d=!1,m=0,g=!1,w=!1,_=!1,S=!1,p=!1,v=!1;const E=[],x=T=>{f.length&&(u.push(Tt(Ta(f),l)),f=[]),T&&u.push(T)},R=()=>{t.scopes.vFor>0&&f.push(Ie(se("ref_for",!0),se("true")))},O=({key:T,value:I})=>{if(at(T)){const A=T.content,L=jn(A);if(L&&(!s||r)&&A.toLowerCase()!=="onclick"&&A!=="onUpdate:modelValue"&&!fn(A)&&(S=!0),L&&fn(A)&&(v=!0),L&&I.type===14&&(I=I.arguments[0]),I.type===20||(I.type===4||I.type===8)&&gt(I,t)>0)return;A==="ref"?g=!0:A==="class"?w=!0:A==="style"?_=!0:A!=="key"&&!E.includes(A)&&E.push(A),s&&(A==="class"||A==="style")&&!E.includes(A)&&E.push(A)}else p=!0};for(let T=0;T<n.length;T++){const I=n[T];if(I.type===6){const{loc:A,name:L,nameLoc:G,value:X}=I;let U=!0;if(L==="ref"&&(g=!0,R()),L==="is"&&(Qo(o)||X&&X.content.startsWith("vue:")||Dn("COMPILER_IS_ON_ELEMENT",t)))continue;f.push(Ie(se(L,!0,G),se(X?X.content:"",U,X?X.loc:A)))}else{const{name:A,arg:L,exp:G,loc:X,modifiers:U}=I,Z=A==="bind",q=A==="on";if(A==="slot"){s||t.onError(xe(40,X));continue}if(A==="once"||A==="memo"||A==="is"||Z&&Rn(L,"is")&&(Qo(o)||Dn("COMPILER_IS_ON_ELEMENT",t))||q&&i)continue;if((Z&&Rn(L,"key")||q&&h&&Rn(L,"vue:before-update"))&&(d=!0),Z&&Rn(L,"ref")&&R(),!L&&(Z||q)){if(p=!0,G)if(Z){if(R(),x(),Dn("COMPILER_V_BIND_OBJECT_ORDER",t)){u.unshift(G);continue}u.push(G)}else x({type:14,loc:X,callee:t.helper(tc),arguments:s?[G]:[G,"true"]});else t.onError(xe(Z?34:35,X));continue}Z&&U.some(tt=>tt.content==="prop")&&(m|=32);const Oe=t.directiveTransforms[A];if(Oe){const{props:tt,needRuntime:nt}=Oe(I,e,t);!i&&tt.forEach(O),q&&L&&!at(L)?x(Tt(tt,l)):f.push(...tt),nt&&(a.push(I),ut(nt)&&Kh.set(I,nt))}else hp(A)||(a.push(I),h&&(d=!0))}}let C;if(u.length?(x(),u.length>1?C=Me(t.helper(vi),u,l):C=u[0]):f.length&&(C=Tt(Ta(f),l)),p?m|=16:(w&&!s&&(m|=2),_&&!s&&(m|=4),E.length&&(m|=8),S&&(m|=32)),!d&&(m===0||m===32)&&(g||v||a.length>0)&&(m|=512),!t.inSSR&&C)switch(C.type){case 15:let T=-1,I=-1,A=!1;for(let X=0;X<C.properties.length;X++){const U=C.properties[X].key;at(U)?U.content==="class"?T=X:U.content==="style"&&(I=X):U.isHandlerKey||(A=!0)}const L=C.properties[T],G=C.properties[I];A?C=Me(t.helper(tr),[C]):(L&&!at(L.value)&&(L.value=Me(t.helper(Ql),[L.value])),G&&(_||G.value.type===4&&G.value.content.trim()[0]==="["||G.value.type===17)&&(G.value=Me(t.helper(ec),[G.value])));break;case 14:break;default:C=Me(t.helper(tr),[Me(t.helper(vr),[C])]);break}return{props:C,directives:a,patchFlag:m,dynamicPropNames:E,shouldUseBlock:d}}function Ta(e){const t=new Map,n=[];for(let s=0;s<e.length;s++){const r=e[s];if(r.key.type===8||!r.key.isStatic){n.push(r);continue}const i=r.key.content,o=t.get(i);o?(i==="style"||i==="class"||jn(i))&&v0(o,r):(t.set(i,r),n.push(r))}return n}function v0(e,t){e.value.type===17?e.value.elements.push(t.value):e.value=Ln([e.value,t.value],e.loc)}function b0(e,t){const n=[],s=Kh.get(e);s?n.push(t.helperString(s)):(t.helper(Yl),t.directives.add(e.name),n.push(rr(e.name,"directive")));const{loc:r}=e;if(e.exp&&n.push(e.exp),e.arg&&(e.exp||n.push("void 0"),n.push(e.arg)),Object.keys(e.modifiers).length){e.arg||(e.exp||n.push("void 0"),n.push("void 0"));const i=se("true",!1,r);n.push(Tt(e.modifiers.map(o=>Ie(o,i)),r))}return Ln(n,e.loc)}function S0(e){let t="[";for(let n=0,s=e.length;n<s;n++)t+=JSON.stringify(e[n]),n<s-1&&(t+=", ");return t+"]"}function Qo(e){return e==="component"||e==="Component"}const _0=(e,t)=>{if(Ei(e)){const{children:n,loc:s}=e,{slotName:r,slotProps:i}=E0(e,t),o=[t.prefixIdentifiers?"_ctx.$slots":"$slots",r,"{}","undefined","true"];let l=2;i&&(o[2]=i,l=3),n.length&&(o[3]=ys([],n,!1,!1,s),l=4),t.scopeId&&!t.slotted&&(l=5),o.splice(l),e.codegenNode=Me(t.helper(Eh),o,s)}};function E0(e,t){let n='"default"',s;const r=[];for(let i=0;i<e.props.length;i++){const o=e.props[i];if(o.type===6)o.value&&(o.name==="name"?n=JSON.stringify(o.value.content):(o.name=we(o.name),r.push(o)));else if(o.name==="bind"&&Rn(o.arg,"name")){if(o.exp)n=o.exp;else if(o.arg&&o.arg.type===4){const l=we(o.arg.content);n=o.exp=se(l,!1,o.arg.loc)}}else o.name==="bind"&&o.arg&&at(o.arg)&&(o.arg.content=we(o.arg.content)),r.push(o)}if(r.length>0){const{props:i,directives:o}=qh(e,t,r,!1,!1);s=i,o.length&&t.onError(xe(36,o[0].loc))}return{slotName:n,slotProps:s}}const Gh=(e,t,n,s)=>{const{loc:r,modifiers:i,arg:o}=e;!e.exp&&!i.length&&n.onError(xe(35,r));let l;if(o.type===4)if(o.isStatic){let a=o.content;a.startsWith("vue:")&&(a=`vnode-${a.slice(4)}`);const h=t.tagType!==0||a.startsWith("vnode")||!/[A-Z]/.test(a)?rs(we(a)):`on:${a}`;l=se(h,!0,o.loc)}else l=It([`${n.helperString(zo)}(`,o,")"]);else l=o,l.children.unshift(`${n.helperString(zo)}(`),l.children.push(")");let c=e.exp;c&&!c.content.trim()&&(c=void 0);let f=n.cacheHandlers&&!c&&!n.inVOnce;if(c){const a=Oh(c),h=!(a||bv(c)),d=c.content.includes(";");(h||f&&a)&&(c=It([`${h?"$event":"(...args)"} => ${d?"{":"("}`,c,d?"}":")"]))}let u={props:[Ie(l,c||se("() => {}",!1,r))]};return s&&(u=s(u)),f&&(u.props[0].value=n.cache(u.props[0].value)),u.props.forEach(a=>a.key.isHandlerKey=!0),u},w0=(e,t)=>{if(e.type===0||e.type===1||e.type===11||e.type===10)return()=>{const n=e.children;let s,r=!1;for(let i=0;i<n.length;i++){const o=n[i];if(mo(o)){r=!0;for(let l=i+1;l<n.length;l++){const c=n[l];if(mo(c))s||(s=n[i]=It([o],o.loc)),s.children.push(" + ",c),n.splice(l,1),l--;else{s=void 0;break}}}}if(!(!r||n.length===1&&(e.type===0||e.type===1&&e.tagType===0&&!e.props.find(i=>i.type===7&&!t.directiveTransforms[i.name])&&e.tag!=="template")))for(let i=0;i<n.length;i++){const o=n[i];if(mo(o)||o.type===8){const l=[];(o.type!==2||o.content!==" ")&&l.push(o),!t.ssr&&gt(o,t)===0&&l.push("1"),n[i]={type:12,content:o,loc:o.loc,codegenNode:Me(t.helper(ql),l)}}}}},Ca=new WeakSet,T0=(e,t)=>{if(e.type===1&&Et(e,"once",!0))return Ca.has(e)||t.inVOnce||t.inSSR?void 0:(Ca.add(e),t.inVOnce=!0,t.helper(bi),()=>{t.inVOnce=!1;const n=t.currentNode;n.codegenNode&&(n.codegenNode=t.cache(n.codegenNode,!0))})},zh=(e,t,n)=>{const{exp:s,arg:r}=e;if(!s)return n.onError(xe(41,e.loc)),Dr();const i=s.loc.source.trim(),o=s.type===4?s.content:i,l=n.bindingMetadata[i];if(l==="props"||l==="props-aliased")return n.onError(xe(44,s.loc)),Dr();if(!o.trim()||!Oh(s)&&!!1)return n.onError(xe(42,s.loc)),Dr();const f=r||se("modelValue",!0),u=r?at(r)?`onUpdate:${we(r.content)}`:It(['"onUpdate:" + ',r]):"onUpdate:modelValue";let a;const h=n.isTS?"($event: any)":"$event";a=It([`${h} => ((`,s,") = $event)"]);const d=[Ie(f,e.exp),Ie(u,a)];if(e.modifiers.length&&t.tagType===1){const m=e.modifiers.map(w=>w.content).map(w=>(oc(w)?w:JSON.stringify(w))+": true").join(", "),g=r?at(r)?`${r.content}Modifiers`:It([r,' + "Modifiers"']):"modelModifiers";d.push(Ie(g,se(`{ ${m} }`,!1,e.loc,2)))}return Dr(d)};function Dr(e=[]){return{props:e}}const C0=/[\w).+\-_$\]]/,A0=(e,t)=>{Dn("COMPILER_FILTERS",t)&&(e.type===5?Ti(e.content,t):e.type===1&&e.props.forEach(n=>{n.type===7&&n.name!=="for"&&n.exp&&Ti(n.exp,t)}))};function Ti(e,t){if(e.type===4)Aa(e,t);else for(let n=0;n<e.children.length;n++){const s=e.children[n];typeof s=="object"&&(s.type===4?Aa(s,t):s.type===8?Ti(e,t):s.type===5&&Ti(s.content,t))}}function Aa(e,t){const n=e.content;let s=!1,r=!1,i=!1,o=!1,l=0,c=0,f=0,u=0,a,h,d,m,g=[];for(d=0;d<n.length;d++)if(h=a,a=n.charCodeAt(d),s)a===39&&h!==92&&(s=!1);else if(r)a===34&&h!==92&&(r=!1);else if(i)a===96&&h!==92&&(i=!1);else if(o)a===47&&h!==92&&(o=!1);else if(a===124&&n.charCodeAt(d+1)!==124&&n.charCodeAt(d-1)!==124&&!l&&!c&&!f)m===void 0?(u=d+1,m=n.slice(0,d).trim()):w();else{switch(a){case 34:r=!0;break;case 39:s=!0;break;case 96:i=!0;break;case 40:f++;break;case 41:f--;break;case 91:c++;break;case 93:c--;break;case 123:l++;break;case 125:l--;break}if(a===47){let _=d-1,S;for(;_>=0&&(S=n.charAt(_),S===" ");_--);(!S||!C0.test(S))&&(o=!0)}}m===void 0?m=n.slice(0,d).trim():u!==0&&w();function w(){g.push(n.slice(u,d).trim()),u=d+1}if(g.length){for(d=0;d<g.length;d++)m=x0(m,g[d],t);e.content=m,e.ast=void 0}}function x0(e,t,n){n.helper(Jl);const s=t.indexOf("(");if(s<0)return n.filters.add(t),`${rr(t,"filter")}(${e})`;{const r=t.slice(0,s),i=t.slice(s+1);return n.filters.add(r),`${rr(r,"filter")}(${e}${i!==")"?","+i:i}`}}const xa=new WeakSet,O0=(e,t)=>{if(e.type===1){const n=Et(e,"memo");return!n||xa.has(e)?void 0:(xa.add(e),()=>{const s=e.codegenNode||t.currentNode.codegenNode;s&&s.type===13&&(e.tagType!==1&&rc(s,t),e.codegenNode=Me(t.helper(sc),[n.exp,ys(void 0,s),"_cache",String(t.cached.length)]),t.cached.push(null))})}};function N0(e){return[[T0,o0,O0,u0,A0,_0,g0,d0,w0],{on:Gh,bind:a0,model:zh}]}function R0(e,t={}){const n=t.onError||ic,s=t.mode==="module";t.prefixIdentifiers===!0?n(xe(47)):s&&n(xe(48));const r=!1;t.cacheHandlers&&n(xe(49)),t.scopeId&&!s&&n(xe(50));const i=ce({},t,{prefixIdentifiers:r}),o=ne(e)?Dv(e,i):e,[l,c]=N0();return Hv(o,ce({},i,{nodeTransforms:[...l,...t.nodeTransforms||[]],directiveTransforms:ce({},c,t.directiveTransforms||{})})),Kv(o,i)}const I0=()=>({props:[]});/**
+* @vue/compiler-dom v3.5.12
+* (c) 2018-present Yuxi (Evan) You and Vue contributors
+* @license MIT
+**/const Yh=Symbol(""),Jh=Symbol(""),Xh=Symbol(""),Zh=Symbol(""),el=Symbol(""),Qh=Symbol(""),ed=Symbol(""),td=Symbol(""),nd=Symbol(""),sd=Symbol("");lv({[Yh]:"vModelRadio",[Jh]:"vModelCheckbox",[Xh]:"vModelText",[Zh]:"vModelSelect",[el]:"vModelDynamic",[Qh]:"withModifiers",[ed]:"withKeys",[td]:"vShow",[nd]:"Transition",[sd]:"TransitionGroup"});let Xn;function P0(e,t=!1){return Xn||(Xn=document.createElement("div")),t?(Xn.innerHTML=`<div foo="${e.replace(/"/g,"&quot;")}">`,Xn.children[0].getAttribute("foo")):(Xn.innerHTML=e,Xn.textContent)}const M0={parseMode:"html",isVoidTag:Np,isNativeTag:e=>Ap(e)||xp(e)||Op(e),isPreTag:e=>e==="pre",isIgnoreNewlineTag:e=>e==="pre"||e==="textarea",decodeEntities:P0,isBuiltInComponent:e=>{if(e==="Transition"||e==="transition")return nd;if(e==="TransitionGroup"||e==="transition-group")return sd},getNamespace(e,t,n){let s=t?t.ns:n;if(t&&s===2)if(t.tag==="annotation-xml"){if(e==="svg")return 1;t.props.some(r=>r.type===6&&r.name==="encoding"&&r.value!=null&&(r.value.content==="text/html"||r.value.content==="application/xhtml+xml"))&&(s=0)}else/^m(?:[ions]|text)$/.test(t.tag)&&e!=="mglyph"&&e!=="malignmark"&&(s=0);else t&&s===1&&(t.tag==="foreignObject"||t.tag==="desc"||t.tag==="title")&&(s=0);if(s===0){if(e==="svg")return 1;if(e==="math")return 2}return s}},k0=e=>{e.type===1&&e.props.forEach((t,n)=>{t.type===6&&t.name==="style"&&t.value&&(e.props[n]={type:7,name:"bind",arg:se("style",!0,t.loc),exp:F0(t.value.content,t.loc),modifiers:[],loc:t.loc})})},F0=(e,t)=>{const n=du(e);return se(JSON.stringify(n),!1,t,3)};function mn(e,t){return xe(e,t)}const L0=(e,t,n)=>{const{exp:s,loc:r}=e;return s||n.onError(mn(53,r)),t.children.length&&(n.onError(mn(54,r)),t.children.length=0),{props:[Ie(se("innerHTML",!0,r),s||se("",!0))]}},D0=(e,t,n)=>{const{exp:s,loc:r}=e;return s||n.onError(mn(55,r)),t.children.length&&(n.onError(mn(56,r)),t.children.length=0),{props:[Ie(se("textContent",!0),s?gt(s,n)>0?s:Me(n.helperString(Gi),[s],r):se("",!0))]}},V0=(e,t,n)=>{const s=zh(e,t,n);if(!s.props.length||t.tagType===1)return s;e.arg&&n.onError(mn(58,e.arg.loc));const{tag:r}=t,i=n.isCustomElement(r);if(r==="input"||r==="textarea"||r==="select"||i){let o=Xh,l=!1;if(r==="input"||i){const c=zi(t,"type");if(c){if(c.type===7)o=el;else if(c.value)switch(c.value.content){case"radio":o=Yh;break;case"checkbox":o=Jh;break;case"file":l=!0,n.onError(mn(59,e.loc));break}}else Sv(t)&&(o=el)}else r==="select"&&(o=Zh);l||(s.needRuntime=n.helper(o))}else n.onError(mn(57,e.loc));return s.props=s.props.filter(o=>!(o.key.type===4&&o.key.content==="modelValue")),s},$0=vt("passive,once,capture"),B0=vt("stop,prevent,self,ctrl,shift,alt,meta,exact,middle"),H0=vt("left,right"),rd=vt("onkeyup,onkeydown,onkeypress"),j0=(e,t,n,s)=>{const r=[],i=[],o=[];for(let l=0;l<t.length;l++){const c=t[l].content;c==="native"&&sr("COMPILER_V_ON_NATIVE",n)||$0(c)?o.push(c):H0(c)?at(e)?rd(e.content.toLowerCase())?r.push(c):i.push(c):(r.push(c),i.push(c)):B0(c)?i.push(c):r.push(c)}return{keyModifiers:r,nonKeyModifiers:i,eventOptionModifiers:o}},Oa=(e,t)=>at(e)&&e.content.toLowerCase()==="onclick"?se(t,!0):e.type!==4?It(["(",e,`) === "onClick" ? "${t}" : (`,e,")"]):e,U0=(e,t,n)=>Gh(e,t,n,s=>{const{modifiers:r}=e;if(!r.length)return s;let{key:i,value:o}=s.props[0];const{keyModifiers:l,nonKeyModifiers:c,eventOptionModifiers:f}=j0(i,r,n,e.loc);if(c.includes("right")&&(i=Oa(i,"onContextmenu")),c.includes("middle")&&(i=Oa(i,"onMouseup")),c.length&&(o=Me(n.helper(Qh),[o,JSON.stringify(c)])),l.length&&(!at(i)||rd(i.content.toLowerCase()))&&(o=Me(n.helper(ed),[o,JSON.stringify(l)])),f.length){const u=f.map(Wn).join("");i=at(i)?se(`${i.content}${u}`,!0):It(["(",i,`) + "${u}"`])}return{props:[Ie(i,o)]}}),W0=(e,t,n)=>{const{exp:s,loc:r}=e;return s||n.onError(mn(61,r)),{props:[],needRuntime:n.helper(td)}},K0=(e,t)=>{e.type===1&&e.tagType===0&&(e.tag==="script"||e.tag==="style")&&t.removeNode()},q0=[k0],G0={cloak:I0,html:L0,text:D0,model:V0,on:U0,show:W0};function z0(e,t={}){return R0(e,ce({},M0,t,{nodeTransforms:[K0,...q0,...t.nodeTransforms||[]],directiveTransforms:ce({},G0,t.directiveTransforms||{}),transformHoist:null}))}/**
+* vue v3.5.12
+* (c) 2018-present Yuxi (Evan) You and Vue contributors
+* @license MIT
+**/const Na=Object.create(null);function Y0(e,t){if(!ne(e))if(e.nodeType)e=e.innerHTML;else return Ye;const n=mp(e,t),s=Na[n];if(s)return s;if(e[0]==="#"){const l=document.querySelector(e);e=l?l.innerHTML:""}const r=ce({hoistStatic:!0,onError:void 0,onWarn:Ye},t);!r.isCustomElement&&typeof customElements<"u"&&(r.isCustomElement=l=>!!customElements.get(l));const{code:i}=z0(e,r),o=new Function("Vue",i)(tv);return o._rc=!0,Na[n]=o}Uf(Y0);/*!
+ * vue-router v4.4.5
+ * (c) 2024 Eduardo San Martin Morote
+ * @license MIT
+ */const es=typeof document<"u";function id(e){return typeof e=="object"||"displayName"in e||"props"in e||"__vccOpts"in e}function J0(e){return e.__esModule||e[Symbol.toStringTag]==="Module"||e.default&&id(e.default)}const ve=Object.assign;function yo(e,t){const n={};for(const s in t){const r=t[s];n[s]=Pt(r)?r.map(e):e(r)}return n}const Bs=()=>{},Pt=Array.isArray,od=/#/g,X0=/&/g,Z0=/\//g,Q0=/=/g,eb=/\?/g,ld=/\+/g,tb=/%5B/g,nb=/%5D/g,cd=/%5E/g,sb=/%60/g,ad=/%7B/g,rb=/%7C/g,ud=/%7D/g,ib=/%20/g;function ac(e){return encodeURI(""+e).replace(rb,"|").replace(tb,"[").replace(nb,"]")}function ob(e){return ac(e).replace(ad,"{").replace(ud,"}").replace(cd,"^")}function tl(e){return ac(e).replace(ld,"%2B").replace(ib,"+").replace(od,"%23").replace(X0,"%26").replace(sb,"`").replace(ad,"{").replace(ud,"}").replace(cd,"^")}function lb(e){return tl(e).replace(Q0,"%3D")}function cb(e){return ac(e).replace(od,"%23").replace(eb,"%3F")}function ab(e){return e==null?"":cb(e).replace(Z0,"%2F")}function or(e){try{return decodeURIComponent(""+e)}catch{}return""+e}const ub=/\/$/,fb=e=>e.replace(ub,"");function vo(e,t,n="/"){let s,r={},i="",o="";const l=t.indexOf("#");let c=t.indexOf("?");return l<c&&l>=0&&(c=-1),c>-1&&(s=t.slice(0,c),i=t.slice(c+1,l>-1?l:t.length),r=e(i)),l>-1&&(s=s||t.slice(0,l),o=t.slice(l,t.length)),s=mb(s??t,n),{fullPath:s+(i&&"?")+i+o,path:s,query:r,hash:or(o)}}function hb(e,t){const n=t.query?e(t.query):"";return t.path+(n&&"?")+n+(t.hash||"")}function Ra(e,t){return!t||!e.toLowerCase().startsWith(t.toLowerCase())?e:e.slice(t.length)||"/"}function db(e,t,n){const s=t.matched.length-1,r=n.matched.length-1;return s>-1&&s===r&&Ss(t.matched[s],n.matched[r])&&fd(t.params,n.params)&&e(t.query)===e(n.query)&&t.hash===n.hash}function Ss(e,t){return(e.aliasOf||e)===(t.aliasOf||t)}function fd(e,t){if(Object.keys(e).length!==Object.keys(t).length)return!1;for(const n in e)if(!pb(e[n],t[n]))return!1;return!0}function pb(e,t){return Pt(e)?Ia(e,t):Pt(t)?Ia(t,e):e===t}function Ia(e,t){return Pt(t)?e.length===t.length&&e.every((n,s)=>n===t[s]):e.length===1&&e[0]===t}function mb(e,t){if(e.startsWith("/"))return e;if(!e)return t;const n=t.split("/"),s=e.split("/"),r=s[s.length-1];(r===".."||r===".")&&s.push("");let i=n.length-1,o,l;for(o=0;o<s.length;o++)if(l=s[o],l!==".")if(l==="..")i>1&&i--;else break;return n.slice(0,i).join("/")+"/"+s.slice(o).join("/")}const tn={path:"/",name:void 0,params:{},query:{},hash:"",fullPath:"/",matched:[],meta:{},redirectedFrom:void 0};var lr;(function(e){e.pop="pop",e.push="push"})(lr||(lr={}));var Hs;(function(e){e.back="back",e.forward="forward",e.unknown=""})(Hs||(Hs={}));function gb(e){if(!e)if(es){const t=document.querySelector("base");e=t&&t.getAttribute("href")||"/",e=e.replace(/^\w+:\/\/[^\/]+/,"")}else e="/";return e[0]!=="/"&&e[0]!=="#"&&(e="/"+e),fb(e)}const yb=/^[^#]+#/;function vb(e,t){return e.replace(yb,"#")+t}function bb(e,t){const n=document.documentElement.getBoundingClientRect(),s=e.getBoundingClientRect();return{behavior:t.behavior,left:s.left-n.left-(t.left||0),top:s.top-n.top-(t.top||0)}}const Xi=()=>({left:window.scrollX,top:window.scrollY});function Sb(e){let t;if("el"in e){const n=e.el,s=typeof n=="string"&&n.startsWith("#"),r=typeof n=="string"?s?document.getElementById(n.slice(1)):document.querySelector(n):n;if(!r)return;t=bb(r,e)}else t=e;"scrollBehavior"in document.documentElement.style?window.scrollTo(t):window.scrollTo(t.left!=null?t.left:window.scrollX,t.top!=null?t.top:window.scrollY)}function Pa(e,t){return(history.state?history.state.position-t:-1)+e}const nl=new Map;function _b(e,t){nl.set(e,t)}function Eb(e){const t=nl.get(e);return nl.delete(e),t}let wb=()=>location.protocol+"//"+location.host;function hd(e,t){const{pathname:n,search:s,hash:r}=t,i=e.indexOf("#");if(i>-1){let l=r.includes(e.slice(i))?e.slice(i).length:1,c=r.slice(l);return c[0]!=="/"&&(c="/"+c),Ra(c,"")}return Ra(n,e)+s+r}function Tb(e,t,n,s){let r=[],i=[],o=null;const l=({state:h})=>{const d=hd(e,location),m=n.value,g=t.value;let w=0;if(h){if(n.value=d,t.value=h,o&&o===m){o=null;return}w=g?h.position-g.position:0}else s(d);r.forEach(_=>{_(n.value,m,{delta:w,type:lr.pop,direction:w?w>0?Hs.forward:Hs.back:Hs.unknown})})};function c(){o=n.value}function f(h){r.push(h);const d=()=>{const m=r.indexOf(h);m>-1&&r.splice(m,1)};return i.push(d),d}function u(){const{history:h}=window;h.state&&h.replaceState(ve({},h.state,{scroll:Xi()}),"")}function a(){for(const h of i)h();i=[],window.removeEventListener("popstate",l),window.removeEventListener("beforeunload",u)}return window.addEventListener("popstate",l),window.addEventListener("beforeunload",u,{passive:!0}),{pauseListeners:c,listen:f,destroy:a}}function Ma(e,t,n,s=!1,r=!1){return{back:e,current:t,forward:n,replaced:s,position:window.history.length,scroll:r?Xi():null}}function Cb(e){const{history:t,location:n}=window,s={value:hd(e,n)},r={value:t.state};r.value||i(s.value,{back:null,current:s.value,forward:null,position:t.length-1,replaced:!0,scroll:null},!0);function i(c,f,u){const a=e.indexOf("#"),h=a>-1?(n.host&&document.querySelector("base")?e:e.slice(a))+c:wb()+e+c;try{t[u?"replaceState":"pushState"](f,"",h),r.value=f}catch(d){console.error(d),n[u?"replace":"assign"](h)}}function o(c,f){const u=ve({},t.state,Ma(r.value.back,c,r.value.forward,!0),f,{position:r.value.position});i(c,u,!0),s.value=c}function l(c,f){const u=ve({},r.value,t.state,{forward:c,scroll:Xi()});i(u.current,u,!0);const a=ve({},Ma(s.value,c,null),{position:u.position+1},f);i(c,a,!1),s.value=c}return{location:s,state:r,push:l,replace:o}}function W1(e){e=gb(e);const t=Cb(e),n=Tb(e,t.state,t.location,t.replace);function s(i,o=!0){o||n.pauseListeners(),history.go(i)}const r=ve({location:"",base:e,go:s,createHref:vb.bind(null,e)},t,n);return Object.defineProperty(r,"location",{enumerable:!0,get:()=>t.location.value}),Object.defineProperty(r,"state",{enumerable:!0,get:()=>t.state.value}),r}function Ab(e){return typeof e=="string"||e&&typeof e=="object"}function dd(e){return typeof e=="string"||typeof e=="symbol"}const pd=Symbol("");var ka;(function(e){e[e.aborted=4]="aborted",e[e.cancelled=8]="cancelled",e[e.duplicated=16]="duplicated"})(ka||(ka={}));function _s(e,t){return ve(new Error,{type:e,[pd]:!0},t)}function jt(e,t){return e instanceof Error&&pd in e&&(t==null||!!(e.type&t))}const Fa="[^/]+?",xb={sensitive:!1,strict:!1,start:!0,end:!0},Ob=/[.+*?^${}()[\]/\\]/g;function Nb(e,t){const n=ve({},xb,t),s=[];let r=n.start?"^":"";const i=[];for(const f of e){const u=f.length?[]:[90];n.strict&&!f.length&&(r+="/");for(let a=0;a<f.length;a++){const h=f[a];let d=40+(n.sensitive?.25:0);if(h.type===0)a||(r+="/"),r+=h.value.replace(Ob,"\\$&"),d+=40;else if(h.type===1){const{value:m,repeatable:g,optional:w,regexp:_}=h;i.push({name:m,repeatable:g,optional:w});const S=_||Fa;if(S!==Fa){d+=10;try{new RegExp(`(${S})`)}catch(v){throw new Error(`Invalid custom RegExp for param "${m}" (${S}): `+v.message)}}let p=g?`((?:${S})(?:/(?:${S}))*)`:`(${S})`;a||(p=w&&f.length<2?`(?:/${p})`:"/"+p),w&&(p+="?"),r+=p,d+=20,w&&(d+=-8),g&&(d+=-20),S===".*"&&(d+=-50)}u.push(d)}s.push(u)}if(n.strict&&n.end){const f=s.length-1;s[f][s[f].length-1]+=.7000000000000001}n.strict||(r+="/?"),n.end?r+="$":n.strict&&(r+="(?:/|$)");const o=new RegExp(r,n.sensitive?"":"i");function l(f){const u=f.match(o),a={};if(!u)return null;for(let h=1;h<u.length;h++){const d=u[h]||"",m=i[h-1];a[m.name]=d&&m.repeatable?d.split("/"):d}return a}function c(f){let u="",a=!1;for(const h of e){(!a||!u.endsWith("/"))&&(u+="/"),a=!1;for(const d of h)if(d.type===0)u+=d.value;else if(d.type===1){const{value:m,repeatable:g,optional:w}=d,_=m in f?f[m]:"";if(Pt(_)&&!g)throw new Error(`Provided param "${m}" is an array but it is not repeatable (* or + modifiers)`);const S=Pt(_)?_.join("/"):_;if(!S)if(w)h.length<2&&(u.endsWith("/")?u=u.slice(0,-1):a=!0);else throw new Error(`Missing required param "${m}"`);u+=S}}return u||"/"}return{re:o,score:s,keys:i,parse:l,stringify:c}}function Rb(e,t){let n=0;for(;n<e.length&&n<t.length;){const s=t[n]-e[n];if(s)return s;n++}return e.length<t.length?e.length===1&&e[0]===80?-1:1:e.length>t.length?t.length===1&&t[0]===80?1:-1:0}function md(e,t){let n=0;const s=e.score,r=t.score;for(;n<s.length&&n<r.length;){const i=Rb(s[n],r[n]);if(i)return i;n++}if(Math.abs(r.length-s.length)===1){if(La(s))return 1;if(La(r))return-1}return r.length-s.length}function La(e){const t=e[e.length-1];return e.length>0&&t[t.length-1]<0}const Ib={type:0,value:""},Pb=/[a-zA-Z0-9_]/;function Mb(e){if(!e)return[[]];if(e==="/")return[[Ib]];if(!e.startsWith("/"))throw new Error(`Invalid path "${e}"`);function t(d){throw new Error(`ERR (${n})/"${f}": ${d}`)}let n=0,s=n;const r=[];let i;function o(){i&&r.push(i),i=[]}let l=0,c,f="",u="";function a(){f&&(n===0?i.push({type:0,value:f}):n===1||n===2||n===3?(i.length>1&&(c==="*"||c==="+")&&t(`A repeatable param (${f}) must be alone in its segment. eg: '/:ids+.`),i.push({type:1,value:f,regexp:u,repeatable:c==="*"||c==="+",optional:c==="*"||c==="?"})):t("Invalid state to consume buffer"),f="")}function h(){f+=c}for(;l<e.length;){if(c=e[l++],c==="\\"&&n!==2){s=n,n=4;continue}switch(n){case 0:c==="/"?(f&&a(),o()):c===":"?(a(),n=1):h();break;case 4:h(),n=s;break;case 1:c==="("?n=2:Pb.test(c)?h():(a(),n=0,c!=="*"&&c!=="?"&&c!=="+"&&l--);break;case 2:c===")"?u[u.length-1]=="\\"?u=u.slice(0,-1)+c:n=3:u+=c;break;case 3:a(),n=0,c!=="*"&&c!=="?"&&c!=="+"&&l--,u="";break;default:t("Unknown state");break}}return n===2&&t(`Unfinished custom RegExp for param "${f}"`),a(),o(),r}function kb(e,t,n){const s=Nb(Mb(e.path),n),r=ve(s,{record:e,parent:t,children:[],alias:[]});return t&&!r.record.aliasOf==!t.record.aliasOf&&t.children.push(r),r}function Fb(e,t){const n=[],s=new Map;t=Ba({strict:!1,end:!0,sensitive:!1},t);function r(a){return s.get(a)}function i(a,h,d){const m=!d,g=Va(a);g.aliasOf=d&&d.record;const w=Ba(t,a),_=[g];if("alias"in a){const v=typeof a.alias=="string"?[a.alias]:a.alias;for(const E of v)_.push(Va(ve({},g,{components:d?d.record.components:g.components,path:E,aliasOf:d?d.record:g})))}let S,p;for(const v of _){const{path:E}=v;if(h&&E[0]!=="/"){const x=h.record.path,R=x[x.length-1]==="/"?"":"/";v.path=h.record.path+(E&&R+E)}if(S=kb(v,h,w),d?d.alias.push(S):(p=p||S,p!==S&&p.alias.push(S),m&&a.name&&!$a(S)&&o(a.name)),gd(S)&&c(S),g.children){const x=g.children;for(let R=0;R<x.length;R++)i(x[R],S,d&&d.children[R])}d=d||S}return p?()=>{o(p)}:Bs}function o(a){if(dd(a)){const h=s.get(a);h&&(s.delete(a),n.splice(n.indexOf(h),1),h.children.forEach(o),h.alias.forEach(o))}else{const h=n.indexOf(a);h>-1&&(n.splice(h,1),a.record.name&&s.delete(a.record.name),a.children.forEach(o),a.alias.forEach(o))}}function l(){return n}function c(a){const h=Vb(a,n);n.splice(h,0,a),a.record.name&&!$a(a)&&s.set(a.record.name,a)}function f(a,h){let d,m={},g,w;if("name"in a&&a.name){if(d=s.get(a.name),!d)throw _s(1,{location:a});w=d.record.name,m=ve(Da(h.params,d.keys.filter(p=>!p.optional).concat(d.parent?d.parent.keys.filter(p=>p.optional):[]).map(p=>p.name)),a.params&&Da(a.params,d.keys.map(p=>p.name))),g=d.stringify(m)}else if(a.path!=null)g=a.path,d=n.find(p=>p.re.test(g)),d&&(m=d.parse(g),w=d.record.name);else{if(d=h.name?s.get(h.name):n.find(p=>p.re.test(h.path)),!d)throw _s(1,{location:a,currentLocation:h});w=d.record.name,m=ve({},h.params,a.params),g=d.stringify(m)}const _=[];let S=d;for(;S;)_.unshift(S.record),S=S.parent;return{name:w,path:g,params:m,matched:_,meta:Db(_)}}e.forEach(a=>i(a));function u(){n.length=0,s.clear()}return{addRoute:i,resolve:f,removeRoute:o,clearRoutes:u,getRoutes:l,getRecordMatcher:r}}function Da(e,t){const n={};for(const s of t)s in e&&(n[s]=e[s]);return n}function Va(e){const t={path:e.path,redirect:e.redirect,name:e.name,meta:e.meta||{},aliasOf:e.aliasOf,beforeEnter:e.beforeEnter,props:Lb(e),children:e.children||[],instances:{},leaveGuards:new Set,updateGuards:new Set,enterCallbacks:{},components:"components"in e?e.components||null:e.component&&{default:e.component}};return Object.defineProperty(t,"mods",{value:{}}),t}function Lb(e){const t={},n=e.props||!1;if("component"in e)t.default=n;else for(const s in e.components)t[s]=typeof n=="object"?n[s]:n;return t}function $a(e){for(;e;){if(e.record.aliasOf)return!0;e=e.parent}return!1}function Db(e){return e.reduce((t,n)=>ve(t,n.meta),{})}function Ba(e,t){const n={};for(const s in e)n[s]=s in t?t[s]:e[s];return n}function Vb(e,t){let n=0,s=t.length;for(;n!==s;){const i=n+s>>1;md(e,t[i])<0?s=i:n=i+1}const r=$b(e);return r&&(s=t.lastIndexOf(r,s-1)),s}function $b(e){let t=e;for(;t=t.parent;)if(gd(t)&&md(e,t)===0)return t}function gd({record:e}){return!!(e.name||e.components&&Object.keys(e.components).length||e.redirect)}function Bb(e){const t={};if(e===""||e==="?")return t;const s=(e[0]==="?"?e.slice(1):e).split("&");for(let r=0;r<s.length;++r){const i=s[r].replace(ld," "),o=i.indexOf("="),l=or(o<0?i:i.slice(0,o)),c=o<0?null:or(i.slice(o+1));if(l in t){let f=t[l];Pt(f)||(f=t[l]=[f]),f.push(c)}else t[l]=c}return t}function Ha(e){let t="";for(let n in e){const s=e[n];if(n=lb(n),s==null){s!==void 0&&(t+=(t.length?"&":"")+n);continue}(Pt(s)?s.map(i=>i&&tl(i)):[s&&tl(s)]).forEach(i=>{i!==void 0&&(t+=(t.length?"&":"")+n,i!=null&&(t+="="+i))})}return t}function Hb(e){const t={};for(const n in e){const s=e[n];s!==void 0&&(t[n]=Pt(s)?s.map(r=>r==null?null:""+r):s==null?s:""+s)}return t}const jb=Symbol(""),ja=Symbol(""),Zi=Symbol(""),yd=Symbol(""),sl=Symbol("");function Ns(){let e=[];function t(s){return e.push(s),()=>{const r=e.indexOf(s);r>-1&&e.splice(r,1)}}function n(){e=[]}return{add:t,list:()=>e.slice(),reset:n}}function an(e,t,n,s,r,i=o=>o()){const o=s&&(s.enterCallbacks[r]=s.enterCallbacks[r]||[]);return()=>new Promise((l,c)=>{const f=h=>{h===!1?c(_s(4,{from:n,to:t})):h instanceof Error?c(h):Ab(h)?c(_s(2,{from:t,to:h})):(o&&s.enterCallbacks[r]===o&&typeof h=="function"&&o.push(h),l())},u=i(()=>e.call(s&&s.instances[r],t,n,f));let a=Promise.resolve(u);e.length<3&&(a=a.then(f)),a.catch(h=>c(h))})}function bo(e,t,n,s,r=i=>i()){const i=[];for(const o of e)for(const l in o.components){let c=o.components[l];if(!(t!=="beforeRouteEnter"&&!o.instances[l]))if(id(c)){const u=(c.__vccOpts||c)[t];u&&i.push(an(u,n,s,o,l,r))}else{let f=c();i.push(()=>f.then(u=>{if(!u)throw new Error(`Couldn't resolve component "${l}" at "${o.path}"`);const a=J0(u)?u.default:u;o.mods[l]=u,o.components[l]=a;const d=(a.__vccOpts||a)[t];return d&&an(d,n,s,o,l,r)()}))}}return i}function Ua(e){const t=Ct(Zi),n=Ct(yd),s=ie(()=>{const c=$e(e.to);return t.resolve(c)}),r=ie(()=>{const{matched:c}=s.value,{length:f}=c,u=c[f-1],a=n.matched;if(!u||!a.length)return-1;const h=a.findIndex(Ss.bind(null,u));if(h>-1)return h;const d=Wa(c[f-2]);return f>1&&Wa(u)===d&&a[a.length-1].path!==d?a.findIndex(Ss.bind(null,c[f-2])):h}),i=ie(()=>r.value>-1&&qb(n.params,s.value.params)),o=ie(()=>r.value>-1&&r.value===n.matched.length-1&&fd(n.params,s.value.params));function l(c={}){return Kb(c)?t[$e(e.replace)?"replace":"push"]($e(e.to)).catch(Bs):Promise.resolve()}return{route:s,href:ie(()=>s.value.href),isActive:i,isExactActive:o,navigate:l}}const Ub=hr({name:"RouterLink",compatConfig:{MODE:3},props:{to:{type:[String,Object],required:!0},replace:Boolean,activeClass:String,exactActiveClass:String,custom:Boolean,ariaCurrentValue:{type:String,default:"page"}},useLink:Ua,setup(e,{slots:t}){const n=ft(Ua(e)),{options:s}=Ct(Zi),r=ie(()=>({[Ka(e.activeClass,s.linkActiveClass,"router-link-active")]:n.isActive,[Ka(e.exactActiveClass,s.linkExactActiveClass,"router-link-exact-active")]:n.isExactActive}));return()=>{const i=t.default&&t.default(n);return e.custom?i:Ki("a",{"aria-current":n.isExactActive?e.ariaCurrentValue:null,href:n.href,onClick:n.navigate,class:r.value},i)}}}),Wb=Ub;function Kb(e){if(!(e.metaKey||e.altKey||e.ctrlKey||e.shiftKey)&&!e.defaultPrevented&&!(e.button!==void 0&&e.button!==0)){if(e.currentTarget&&e.currentTarget.getAttribute){const t=e.currentTarget.getAttribute("target");if(/\b_blank\b/i.test(t))return}return e.preventDefault&&e.preventDefault(),!0}}function qb(e,t){for(const n in t){const s=t[n],r=e[n];if(typeof s=="string"){if(s!==r)return!1}else if(!Pt(r)||r.length!==s.length||s.some((i,o)=>i!==r[o]))return!1}return!0}function Wa(e){return e?e.aliasOf?e.aliasOf.path:e.path:""}const Ka=(e,t,n)=>e??t??n,Gb=hr({name:"RouterView",inheritAttrs:!1,props:{name:{type:String,default:"default"},route:Object},compatConfig:{MODE:3},setup(e,{attrs:t,slots:n}){const s=Ct(sl),r=ie(()=>e.route||s.value),i=Ct(ja,0),o=ie(()=>{let f=$e(i);const{matched:u}=r.value;let a;for(;(a=u[f])&&!a.components;)f++;return f}),l=ie(()=>r.value.matched[o.value]);ls(ja,ie(()=>o.value+1)),ls(jb,l),ls(sl,r);const c=z();return de(()=>[c.value,l.value,e.name],([f,u,a],[h,d,m])=>{u&&(u.instances[a]=f,d&&d!==u&&f&&f===h&&(u.leaveGuards.size||(u.leaveGuards=d.leaveGuards),u.updateGuards.size||(u.updateGuards=d.updateGuards))),f&&u&&(!d||!Ss(u,d)||!h)&&(u.enterCallbacks[a]||[]).forEach(g=>g(f))},{flush:"post"}),()=>{const f=r.value,u=e.name,a=l.value,h=a&&a.components[u];if(!h)return qa(n.default,{Component:h,route:f});const d=a.props[u],m=d?d===!0?f.params:typeof d=="function"?d(f):d:null,w=Ki(h,ve({},m,t,{onVnodeUnmounted:_=>{_.component.isUnmounted&&(a.instances[u]=null)},ref:c}));return qa(n.default,{Component:w,route:f})||w}}});function qa(e,t){if(!e)return null;const n=e(t);return n.length===1?n[0]:n}const zb=Gb;function K1(e){const t=Fb(e.routes,e),n=e.parseQuery||Bb,s=e.stringifyQuery||Ha,r=e.history,i=Ns(),o=Ns(),l=Ns(),c=Vn(tn);let f=tn;es&&e.scrollBehavior&&"scrollRestoration"in history&&(history.scrollRestoration="manual");const u=yo.bind(null,M=>""+M),a=yo.bind(null,ab),h=yo.bind(null,or);function d(M,K){let H,Y;return dd(M)?(H=t.getRecordMatcher(M),Y=K):Y=M,t.addRoute(Y,H)}function m(M){const K=t.getRecordMatcher(M);K&&t.removeRoute(K)}function g(){return t.getRoutes().map(M=>M.record)}function w(M){return!!t.getRecordMatcher(M)}function _(M,K){if(K=ve({},K||c.value),typeof M=="string"){const b=vo(n,M,K.path),N=t.resolve({path:b.path},K),k=r.createHref(b.fullPath);return ve(b,N,{params:h(N.params),hash:or(b.hash),redirectedFrom:void 0,href:k})}let H;if(M.path!=null)H=ve({},M,{path:vo(n,M.path,K.path).path});else{const b=ve({},M.params);for(const N in b)b[N]==null&&delete b[N];H=ve({},M,{params:a(b)}),K.params=a(K.params)}const Y=t.resolve(H,K),ge=M.hash||"";Y.params=u(h(Y.params));const Ce=hb(s,ve({},M,{hash:ob(ge),path:Y.path})),y=r.createHref(Ce);return ve({fullPath:Ce,hash:ge,query:s===Ha?Hb(M.query):M.query||{}},Y,{redirectedFrom:void 0,href:y})}function S(M){return typeof M=="string"?vo(n,M,c.value.path):ve({},M)}function p(M,K){if(f!==M)return _s(8,{from:K,to:M})}function v(M){return R(M)}function E(M){return v(ve(S(M),{replace:!0}))}function x(M){const K=M.matched[M.matched.length-1];if(K&&K.redirect){const{redirect:H}=K;let Y=typeof H=="function"?H(M):H;return typeof Y=="string"&&(Y=Y.includes("?")||Y.includes("#")?Y=S(Y):{path:Y},Y.params={}),ve({query:M.query,hash:M.hash,params:Y.path!=null?{}:M.params},Y)}}function R(M,K){const H=f=_(M),Y=c.value,ge=M.state,Ce=M.force,y=M.replace===!0,b=x(H);if(b)return R(ve(S(b),{state:typeof b=="object"?ve({},ge,b.state):ge,force:Ce,replace:y}),K||H);const N=H;N.redirectedFrom=K;let k;return!Ce&&db(s,Y,H)&&(k=_s(16,{to:N,from:Y}),nt(Y,Y,!0,!1)),(k?Promise.resolve(k):T(N,Y)).catch(P=>jt(P)?jt(P,2)?P:tt(P):q(P,N,Y)).then(P=>{if(P){if(jt(P,2))return R(ve({replace:y},S(P.to),{state:typeof P.to=="object"?ve({},ge,P.to.state):ge,force:Ce}),K||N)}else P=A(N,Y,!0,y,ge);return I(N,Y,P),P})}function O(M,K){const H=p(M,K);return H?Promise.reject(H):Promise.resolve()}function C(M){const K=zn.values().next().value;return K&&typeof K.runWithContext=="function"?K.runWithContext(M):M()}function T(M,K){let H;const[Y,ge,Ce]=Yb(M,K);H=bo(Y.reverse(),"beforeRouteLeave",M,K);for(const b of Y)b.leaveGuards.forEach(N=>{H.push(an(N,M,K))});const y=O.bind(null,M,K);return H.push(y),St(H).then(()=>{H=[];for(const b of i.list())H.push(an(b,M,K));return H.push(y),St(H)}).then(()=>{H=bo(ge,"beforeRouteUpdate",M,K);for(const b of ge)b.updateGuards.forEach(N=>{H.push(an(N,M,K))});return H.push(y),St(H)}).then(()=>{H=[];for(const b of Ce)if(b.beforeEnter)if(Pt(b.beforeEnter))for(const N of b.beforeEnter)H.push(an(N,M,K));else H.push(an(b.beforeEnter,M,K));return H.push(y),St(H)}).then(()=>(M.matched.forEach(b=>b.enterCallbacks={}),H=bo(Ce,"beforeRouteEnter",M,K,C),H.push(y),St(H))).then(()=>{H=[];for(const b of o.list())H.push(an(b,M,K));return H.push(y),St(H)}).catch(b=>jt(b,8)?b:Promise.reject(b))}function I(M,K,H){l.list().forEach(Y=>C(()=>Y(M,K,H)))}function A(M,K,H,Y,ge){const Ce=p(M,K);if(Ce)return Ce;const y=K===tn,b=es?history.state:{};H&&(Y||y?r.replace(M.fullPath,ve({scroll:y&&b&&b.scroll},ge)):r.push(M.fullPath,ge)),c.value=M,nt(M,K,H,y),tt()}let L;function G(){L||(L=r.listen((M,K,H)=>{if(!Tr.listening)return;const Y=_(M),ge=x(Y);if(ge){R(ve(ge,{replace:!0}),Y).catch(Bs);return}f=Y;const Ce=c.value;es&&_b(Pa(Ce.fullPath,H.delta),Xi()),T(Y,Ce).catch(y=>jt(y,12)?y:jt(y,2)?(R(y.to,Y).then(b=>{jt(b,20)&&!H.delta&&H.type===lr.pop&&r.go(-1,!1)}).catch(Bs),Promise.reject()):(H.delta&&r.go(-H.delta,!1),q(y,Y,Ce))).then(y=>{y=y||A(Y,Ce,!1),y&&(H.delta&&!jt(y,8)?r.go(-H.delta,!1):H.type===lr.pop&&jt(y,20)&&r.go(-1,!1)),I(Y,Ce,y)}).catch(Bs)}))}let X=Ns(),U=Ns(),Z;function q(M,K,H){tt(M);const Y=U.list();return Y.length?Y.forEach(ge=>ge(M,K,H)):console.error(M),Promise.reject(M)}function Oe(){return Z&&c.value!==tn?Promise.resolve():new Promise((M,K)=>{X.add([M,K])})}function tt(M){return Z||(Z=!M,G(),X.list().forEach(([K,H])=>M?H(M):K()),X.reset()),M}function nt(M,K,H,Y){const{scrollBehavior:ge}=e;if(!es||!ge)return Promise.resolve();const Ce=!H&&Eb(Pa(M.fullPath,0))||(Y||!H)&&history.state&&history.state.scroll||null;return En().then(()=>ge(M,K,Ce)).then(y=>y&&Sb(y)).catch(y=>q(y,M,K))}const st=M=>r.go(M);let Gn;const zn=new Set,Tr={currentRoute:c,listening:!0,addRoute:d,removeRoute:m,clearRoutes:t.clearRoutes,hasRoute:w,getRoutes:g,resolve:_,options:e,push:v,replace:E,go:st,back:()=>st(-1),forward:()=>st(1),beforeEach:i.add,beforeResolve:o.add,afterEach:l.add,onError:U.add,isReady:Oe,install(M){const K=this;M.component("RouterLink",Wb),M.component("RouterView",zb),M.config.globalProperties.$router=K,Object.defineProperty(M.config.globalProperties,"$route",{enumerable:!0,get:()=>$e(c)}),es&&!Gn&&c.value===tn&&(Gn=!0,v(r.location).catch(ge=>{}));const H={};for(const ge in tn)Object.defineProperty(H,ge,{get:()=>c.value[ge],enumerable:!0});M.provide(Zi,K),M.provide(yd,wl(H)),M.provide(sl,c);const Y=M.unmount;zn.add(M),M.unmount=function(){zn.delete(M),zn.size<1&&(f=tn,L&&L(),L=null,c.value=tn,Gn=!1,Z=!1),Y()}}};function St(M){return M.reduce((K,H)=>K.then(()=>C(H)),Promise.resolve())}return Tr}function Yb(e,t){const n=[],s=[],r=[],i=Math.max(t.matched.length,e.matched.length);for(let o=0;o<i;o++){const l=t.matched[o];l&&(e.matched.find(f=>Ss(f,l))?s.push(l):n.push(l));const c=e.matched[o];c&&(t.matched.find(f=>Ss(f,c))||r.push(c))}return[n,s,r]}function q1(){return Ct(Zi)}function Mt(e){return Pi()?(bl(e),!0):!1}const as=new WeakMap,G1=(...e)=>{var t;const n=e[0],s=(t=Le())==null?void 0:t.proxy;if(s==null)throw new Error("injectLocal must be called in setup");return as.has(s)&&n in as.get(s)?as.get(s)[n]:Ct(...e)},z1=(e,t)=>{var n;const s=(n=Le())==null?void 0:n.proxy;if(s==null)throw new Error("provideLocal must be called in setup");as.has(s)||as.set(s,Object.create(null));const r=as.get(s);r[e]=t,ls(e,t)};function Y1(e){let t=0,n,s;const r=()=>{t-=1,s&&t<=0&&(s.stop(),n=void 0,s=void 0)};return(...i)=>(t+=1,s||(s=vu(!0),n=s.run(()=>e(...i))),Mt(r),n)}function fe(e){return typeof e=="function"?e():$e(e)}function J1(e){if(!Te(e))return ft(e);const t=new Proxy({},{get(n,s,r){return $e(Reflect.get(e.value,s,r))},set(n,s,r){return Te(e.value[s])&&!Te(r)?e.value[s].value=r:e.value[s]=r,!0},deleteProperty(n,s){return Reflect.deleteProperty(e.value,s)},has(n,s){return Reflect.has(e.value,s)},ownKeys(){return Object.keys(e.value)},getOwnPropertyDescriptor(){return{enumerable:!0,configurable:!0}}});return ft(t)}const bn=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const Jb=e=>typeof e<"u",Xb=e=>e!=null,Zb=Object.prototype.toString,Qb=e=>Zb.call(e)==="[object Object]",Ga=()=>+Date.now(),us=()=>{},eS=tS();function tS(){var e,t;return bn&&((e=window==null?void 0:window.navigator)==null?void 0:e.userAgent)&&(/iP(?:ad|hone|od)/.test(window.navigator.userAgent)||((t=window==null?void 0:window.navigator)==null?void 0:t.maxTouchPoints)>2&&/iPad|Macintosh/.test(window==null?void 0:window.navigator.userAgent))}function vd(e,t){function n(...s){return new Promise((r,i)=>{Promise.resolve(e(()=>t.apply(this,s),{fn:t,thisArg:this,args:s})).then(r).catch(i)})}return n}const uc=e=>e();function nS(e=uc){const t=z(!0);function n(){t.value=!1}function s(){t.value=!0}const r=(...i)=>{t.value&&e(...i)};return{isActive:wt(t),pause:n,resume:s,eventFilter:r}}function sS(e){let t;function n(){return t||(t=e()),t}return n.reset=async()=>{const s=t;t=void 0,s&&await s},n}function rS(e,t){var n;if(typeof e=="number")return e+t;const s=((n=e.match(/^-?\d+\.?\d*/))==null?void 0:n[0])||"",r=e.slice(s.length),i=Number.parseFloat(s)+t;return Number.isNaN(i)?e:i+r}function X1(e,t,n=!1){return Object.fromEntries(Object.entries(e).filter(([s,r])=>(!n||r!==void 0)&&!t.includes(s)))}function iS(e){return Le()}function oS(...e){if(e.length!==1)return Du(...e);const t=e[0];return typeof t=="function"?wt(Vi(()=>({get:t,set:us}))):z(t)}function lS(e,t,n={}){const{eventFilter:s=uc,...r}=n;return de(e,vd(s,t),r)}function cS(e,t,n={}){const{eventFilter:s,...r}=n,{eventFilter:i,pause:o,resume:l,isActive:c}=nS(s);return{stop:lS(e,t,{...r,eventFilter:i}),pause:o,resume:l,isActive:c}}function aS(e,t={}){if(!Te(e))return Lu(e);const n=Array.isArray(e.value)?Array.from({length:e.value.length}):{};for(const s in e.value)n[s]=Vi(()=>({get(){return e.value[s]},set(r){var i;if((i=fe(t.replaceRef))!=null?i:!0)if(Array.isArray(e.value)){const l=[...e.value];l[s]=r,e.value=l}else{const l={...e.value,[s]:r};Object.setPrototypeOf(l,Object.getPrototypeOf(e.value)),e.value=l}else e.value[s]=r}}));return n}function Ts(e,t=!0,n){iS()?wn(e,n):t?e():En(e)}function uS(e,t=1e3,n={}){const{immediate:s=!0,immediateCallback:r=!1}=n;let i=null;const o=z(!1);function l(){i&&(clearInterval(i),i=null)}function c(){o.value=!1,l()}function f(){const u=fe(t);u<=0||(o.value=!0,r&&e(),l(),o.value&&(i=setInterval(e,u)))}if(s&&bn&&f(),Te(t)||typeof t=="function"){const u=de(t,()=>{o.value&&bn&&f()});Mt(u)}return Mt(c),{isActive:o,pause:c,resume:f}}function fS(e,t,n={}){const{immediate:s=!0}=n,r=z(!1);let i=null;function o(){i&&(clearTimeout(i),i=null)}function l(){r.value=!1,o()}function c(...f){o(),r.value=!0,i=setTimeout(()=>{r.value=!1,i=null,e(...f)},fe(t))}return s&&(r.value=!0,bn&&c()),Mt(l),{isPending:wt(r),start:c,stop:l}}function Z1(e=!1,t={}){const{truthyValue:n=!0,falsyValue:s=!1}=t,r=Te(e),i=z(e);function o(l){if(arguments.length)return i.value=l,i.value;{const c=fe(n);return i.value=i.value===c?fe(s):c,i.value}}return r?o:[i,o]}function Q1(e,t,n={}){const{eventFilter:s=uc,...r}=n,i=vd(s,t);let o,l,c;if(r.flush==="sync"){const f=z(!1);l=()=>{},o=u=>{f.value=!0,u(),f.value=!1},c=de(e,(...u)=>{f.value||i(...u)},r)}else{const f=[],u=z(0),a=z(0);l=()=>{u.value=a.value},f.push(de(e,()=>{a.value++},{...r,flush:"sync"})),o=h=>{const d=a.value;h(),u.value+=a.value-d},f.push(de(e,(...h)=>{const d=u.value>0&&u.value===a.value;u.value=0,a.value=0,!d&&i(...h)},r)),c=()=>{f.forEach(h=>h())}}return{stop:c,ignoreUpdates:o,ignorePrevAsyncUpdates:l}}function hS(e,t,n){return de(e,(r,i,o)=>{r&&t(r,i,o)},{...n,once:!1})}const Be=bn?window:void 0,Qi=bn?window.document:void 0,fc=bn?window.navigator:void 0;function ze(e){var t;const n=fe(e);return(t=n==null?void 0:n.$el)!=null?t:n}function he(...e){let t,n,s,r;if(typeof e[0]=="string"||Array.isArray(e[0])?([n,s,r]=e,t=Be):[t,n,s,r]=e,!t)return us;Array.isArray(n)||(n=[n]),Array.isArray(s)||(s=[s]);const i=[],o=()=>{i.forEach(u=>u()),i.length=0},l=(u,a,h,d)=>(u.addEventListener(a,h,d),()=>u.removeEventListener(a,h,d)),c=de(()=>[ze(t),fe(r)],([u,a])=>{if(o(),!u)return;const h=Qb(a)?{...a}:a;i.push(...n.flatMap(d=>s.map(m=>l(u,d,m,h))))},{immediate:!0,flush:"post"}),f=()=>{c(),o()};return Mt(f),f}let za=!1;function eE(e,t,n={}){const{window:s=Be,ignore:r=[],capture:i=!0,detectIframe:o=!1}=n;if(!s)return us;eS&&!za&&(za=!0,Array.from(s.document.body.children).forEach(d=>d.addEventListener("click",us)),s.document.documentElement.addEventListener("click",us));let l=!0;const c=d=>fe(r).some(m=>{if(typeof m=="string")return Array.from(s.document.querySelectorAll(m)).some(g=>g===d.target||d.composedPath().includes(g));{const g=ze(m);return g&&(d.target===g||d.composedPath().includes(g))}}),f=d=>{const m=ze(e);if(!(!m||m===d.target||d.composedPath().includes(m))){if(d.detail===0&&(l=!c(d)),!l){l=!0;return}t(d)}};let u=!1;const a=[he(s,"click",d=>{u||(u=!0,setTimeout(()=>{u=!1},0),f(d))},{passive:!0,capture:i}),he(s,"pointerdown",d=>{const m=ze(e);l=!c(d)&&!!(m&&!d.composedPath().includes(m))},{passive:!0}),o&&he(s,"blur",d=>{setTimeout(()=>{var m;const g=ze(e);((m=s.document.activeElement)==null?void 0:m.tagName)==="IFRAME"&&!(g!=null&&g.contains(s.document.activeElement))&&t(d)},0)})].filter(Boolean);return()=>a.forEach(d=>d())}function dS(e){return typeof e=="function"?e:typeof e=="string"?t=>t.key===e:Array.isArray(e)?t=>e.includes(t.key):()=>!0}function tE(...e){let t,n,s={};e.length===3?(t=e[0],n=e[1],s=e[2]):e.length===2?typeof e[1]=="object"?(t=!0,n=e[0],s=e[1]):(t=e[0],n=e[1]):(t=!0,n=e[0]);const{target:r=Be,eventName:i="keydown",passive:o=!1,dedupe:l=!1}=s,c=dS(t);return he(r,i,u=>{u.repeat&&fe(l)||c(u)&&n(u)},o)}function pS(){const e=z(!1),t=Le();return t&&wn(()=>{e.value=!0},t),e}function qn(e){const t=pS();return ie(()=>(t.value,!!e()))}function bd(e,t,n={}){const{window:s=Be,...r}=n;let i;const o=qn(()=>s&&"MutationObserver"in s),l=()=>{i&&(i.disconnect(),i=void 0)},c=ie(()=>{const h=fe(e),d=(Array.isArray(h)?h:[h]).map(ze).filter(Xb);return new Set(d)}),f=de(()=>c.value,h=>{l(),o.value&&h.size&&(i=new MutationObserver(t),h.forEach(d=>i.observe(d,r)))},{immediate:!0,flush:"post"}),u=()=>i==null?void 0:i.takeRecords(),a=()=>{f(),l()};return Mt(a),{isSupported:o,stop:a,takeRecords:u}}function nE(e={}){var t;const{window:n=Be,deep:s=!0,triggerOnRemoval:r=!1}=e,i=(t=e.document)!=null?t:n==null?void 0:n.document,o=()=>{var f;let u=i==null?void 0:i.activeElement;if(s)for(;u!=null&&u.shadowRoot;)u=(f=u==null?void 0:u.shadowRoot)==null?void 0:f.activeElement;return u},l=z(),c=()=>{l.value=o()};return n&&(he(n,"blur",f=>{f.relatedTarget===null&&c()},!0),he(n,"focus",c,!0)),r&&bd(i,f=>{f.filter(u=>u.removedNodes.length).map(u=>Array.from(u.removedNodes)).flat().forEach(u=>{u===l.value&&c()})},{childList:!0,subtree:!0}),c(),l}function mS(e,t={}){const{immediate:n=!0,fpsLimit:s=void 0,window:r=Be}=t,i=z(!1),o=s?1e3/s:null;let l=0,c=null;function f(h){if(!i.value||!r)return;l||(l=h);const d=h-l;if(o&&d<o){c=r.requestAnimationFrame(f);return}l=h,e({delta:d,timestamp:h}),c=r.requestAnimationFrame(f)}function u(){!i.value&&r&&(i.value=!0,l=0,c=r.requestAnimationFrame(f))}function a(){i.value=!1,c!=null&&r&&(r.cancelAnimationFrame(c),c=null)}return n&&u(),Mt(a),{isActive:wt(i),pause:a,resume:u}}function Nn(e,t={}){const{window:n=Be}=t,s=qn(()=>n&&"matchMedia"in n&&typeof n.matchMedia=="function");let r;const i=z(!1),o=f=>{i.value=f.matches},l=()=>{r&&("removeEventListener"in r?r.removeEventListener("change",o):r.removeListener(o))},c=xf(()=>{s.value&&(l(),r=n.matchMedia(fe(e)),"addEventListener"in r?r.addEventListener("change",o):r.addListener(o),i.value=r.matches)});return Mt(()=>{c(),l(),r=void 0}),i}const sE={sm:640,md:768,lg:1024,xl:1280,"2xl":1536};function rE(e,t={}){function n(u,a){let h=fe(e[fe(u)]);return a!=null&&(h=rS(h,a)),typeof h=="number"&&(h=`${h}px`),h}const{window:s=Be,strategy:r="min-width"}=t;function i(u){return s?s.matchMedia(u).matches:!1}const o=u=>Nn(()=>`(min-width: ${n(u)})`,t),l=u=>Nn(()=>`(max-width: ${n(u)})`,t),c=Object.keys(e).reduce((u,a)=>(Object.defineProperty(u,a,{get:()=>r==="min-width"?o(a):l(a),enumerable:!0,configurable:!0}),u),{});function f(){const u=Object.keys(e).map(a=>[a,o(a)]);return ie(()=>u.filter(([,a])=>a.value).map(([a])=>a))}return Object.assign(c,{greaterOrEqual:o,smallerOrEqual:l,greater(u){return Nn(()=>`(min-width: ${n(u,.1)})`,t)},smaller(u){return Nn(()=>`(max-width: ${n(u,-.1)})`,t)},between(u,a){return Nn(()=>`(min-width: ${n(u)}) and (max-width: ${n(a,-.1)})`,t)},isGreater(u){return i(`(min-width: ${n(u,.1)})`)},isGreaterOrEqual(u){return i(`(min-width: ${n(u)})`)},isSmaller(u){return i(`(max-width: ${n(u,-.1)})`)},isSmallerOrEqual(u){return i(`(max-width: ${n(u)})`)},isInBetween(u,a){return i(`(min-width: ${n(u)}) and (max-width: ${n(a,-.1)})`)},current:f,active(){const u=f();return ie(()=>u.value.length===0?"":u.value.at(-1))}})}function Ya(e,t={}){const{controls:n=!1,navigator:s=fc}=t,r=qn(()=>s&&"permissions"in s),i=Vn(),o=typeof e=="string"?{name:e}:e,l=Vn(),c=()=>{var u,a;l.value=(a=(u=i.value)==null?void 0:u.state)!=null?a:"prompt"};he(i,"change",c);const f=sS(async()=>{if(r.value){if(!i.value)try{i.value=await s.permissions.query(o)}catch{i.value=void 0}finally{c()}if(n)return oe(i.value)}});return f(),n?{state:l,isSupported:r,query:f}:l}function iE(e={}){const{navigator:t=fc,read:n=!1,source:s,copiedDuring:r=1500,legacy:i=!1}=e,o=qn(()=>t&&"clipboard"in t),l=Ya("clipboard-read"),c=Ya("clipboard-write"),f=ie(()=>o.value||i),u=z(""),a=z(!1),h=fS(()=>a.value=!1,r);function d(){o.value&&_(l.value)?t.clipboard.readText().then(S=>{u.value=S}):u.value=w()}f.value&&n&&he(["copy","cut"],d);async function m(S=fe(s)){f.value&&S!=null&&(o.value&&_(c.value)?await t.clipboard.writeText(S):g(S),u.value=S,a.value=!0,h.start())}function g(S){const p=document.createElement("textarea");p.value=S??"",p.style.position="absolute",p.style.opacity="0",document.body.appendChild(p),p.select(),document.execCommand("copy"),p.remove()}function w(){var S,p,v;return(v=(p=(S=document==null?void 0:document.getSelection)==null?void 0:S.call(document))==null?void 0:p.toString())!=null?v:""}function _(S){return S==="granted"||S==="prompt"}return{isSupported:f,text:u,copied:a,copy:m}}function gS(e){return JSON.parse(JSON.stringify(e))}const Vr=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global<"u"?global:typeof self<"u"?self:{},$r="__vueuse_ssr_handlers__",yS=vS();function vS(){return $r in Vr||(Vr[$r]=Vr[$r]||{}),Vr[$r]}function bS(e,t){return yS[e]||t}function oE(e){return Nn("(prefers-color-scheme: dark)",e)}function SS(e){return e==null?"any":e instanceof Set?"set":e instanceof Map?"map":e instanceof Date?"date":typeof e=="boolean"?"boolean":typeof e=="string"?"string":typeof e=="object"?"object":Number.isNaN(e)?"any":"number"}const _S={boolean:{read:e=>e==="true",write:e=>String(e)},object:{read:e=>JSON.parse(e),write:e=>JSON.stringify(e)},number:{read:e=>Number.parseFloat(e),write:e=>String(e)},any:{read:e=>e,write:e=>String(e)},string:{read:e=>e,write:e=>String(e)},map:{read:e=>new Map(JSON.parse(e)),write:e=>JSON.stringify(Array.from(e.entries()))},set:{read:e=>new Set(JSON.parse(e)),write:e=>JSON.stringify(Array.from(e))},date:{read:e=>new Date(e),write:e=>e.toISOString()}},Ja="vueuse-storage";function ES(e,t,n,s={}){var r;const{flush:i="pre",deep:o=!0,listenToStorageChanges:l=!0,writeDefaults:c=!0,mergeDefaults:f=!1,shallow:u,window:a=Be,eventFilter:h,onError:d=T=>{console.error(T)},initOnMounted:m}=s,g=(u?Vn:z)(typeof t=="function"?t():t);if(!n)try{n=bS("getDefaultStorage",()=>{var T;return(T=Be)==null?void 0:T.localStorage})()}catch(T){d(T)}if(!n)return g;const w=fe(t),_=SS(w),S=(r=s.serializer)!=null?r:_S[_],{pause:p,resume:v}=cS(g,()=>x(g.value),{flush:i,deep:o,eventFilter:h});a&&l&&Ts(()=>{n instanceof Storage?he(a,"storage",O):he(a,Ja,C),m&&O()}),m||O();function E(T,I){if(a){const A={key:e,oldValue:T,newValue:I,storageArea:n};a.dispatchEvent(n instanceof Storage?new StorageEvent("storage",A):new CustomEvent(Ja,{detail:A}))}}function x(T){try{const I=n.getItem(e);if(T==null)E(I,null),n.removeItem(e);else{const A=S.write(T);I!==A&&(n.setItem(e,A),E(I,A))}}catch(I){d(I)}}function R(T){const I=T?T.newValue:n.getItem(e);if(I==null)return c&&w!=null&&n.setItem(e,S.write(w)),w;if(!T&&f){const A=S.read(I);return typeof f=="function"?f(A,w):_==="object"&&!Array.isArray(A)?{...w,...A}:A}else return typeof I!="string"?I:S.read(I)}function O(T){if(!(T&&T.storageArea!==n)){if(T&&T.key==null){g.value=w;return}if(!(T&&T.key!==e)){p();try{(T==null?void 0:T.newValue)!==S.write(g.value)&&(g.value=R(T))}catch(I){d(I)}finally{T?En(v):v()}}}}function C(T){O(T.detail)}return g}function wS(e={}){const{document:t=Qi}=e;if(!t)return z("visible");const n=z(t.visibilityState);return he(t,"visibilitychange",()=>{n.value=t.visibilityState}),n}function lE(e,t={}){var n,s;const{pointerTypes:r,preventDefault:i,stopPropagation:o,exact:l,onMove:c,onEnd:f,onStart:u,initialValue:a,axis:h="both",draggingElement:d=Be,containerElement:m,handle:g=e,buttons:w=[0]}=t,_=z((n=fe(a))!=null?n:{x:0,y:0}),S=z(),p=O=>r?r.includes(O.pointerType):!0,v=O=>{fe(i)&&O.preventDefault(),fe(o)&&O.stopPropagation()},E=O=>{var C;if(!fe(w).includes(O.button)||fe(t.disabled)||!p(O)||fe(l)&&O.target!==fe(e))return;const T=fe(m),I=(C=T==null?void 0:T.getBoundingClientRect)==null?void 0:C.call(T),A=fe(e).getBoundingClientRect(),L={x:O.clientX-(T?A.left-I.left+T.scrollLeft:A.left),y:O.clientY-(T?A.top-I.top+T.scrollTop:A.top)};(u==null?void 0:u(L,O))!==!1&&(S.value=L,v(O))},x=O=>{if(fe(t.disabled)||!p(O)||!S.value)return;const C=fe(m),T=fe(e).getBoundingClientRect();let{x:I,y:A}=_.value;(h==="x"||h==="both")&&(I=O.clientX-S.value.x,C&&(I=Math.min(Math.max(0,I),C.scrollWidth-T.width))),(h==="y"||h==="both")&&(A=O.clientY-S.value.y,C&&(A=Math.min(Math.max(0,A),C.scrollHeight-T.height))),_.value={x:I,y:A},c==null||c(_.value,O),v(O)},R=O=>{fe(t.disabled)||!p(O)||S.value&&(S.value=void 0,f==null||f(_.value,O),v(O))};if(bn){const O={capture:(s=t.capture)!=null?s:!0};he(g,"pointerdown",E,O),he(d,"pointermove",x,O),he(d,"pointerup",R,O)}return{...aS(_),position:_,isDragging:ie(()=>!!S.value),style:ie(()=>`left:${_.value.x}px;top:${_.value.y}px;`)}}function Sd(e,t,n={}){const{window:s=Be,...r}=n;let i;const o=qn(()=>s&&"ResizeObserver"in s),l=()=>{i&&(i.disconnect(),i=void 0)},c=ie(()=>{const a=fe(e);return Array.isArray(a)?a.map(h=>ze(h)):[ze(a)]}),f=de(c,a=>{if(l(),o.value&&s){i=new ResizeObserver(t);for(const h of a)h&&i.observe(h,r)}},{immediate:!0,flush:"post"}),u=()=>{l(),f()};return Mt(u),{isSupported:o,stop:u}}function cE(e,t={}){const{reset:n=!0,windowResize:s=!0,windowScroll:r=!0,immediate:i=!0,updateTiming:o="sync"}=t,l=z(0),c=z(0),f=z(0),u=z(0),a=z(0),h=z(0),d=z(0),m=z(0);function g(){const _=ze(e);if(!_){n&&(l.value=0,c.value=0,f.value=0,u.value=0,a.value=0,h.value=0,d.value=0,m.value=0);return}const S=_.getBoundingClientRect();l.value=S.height,c.value=S.bottom,f.value=S.left,u.value=S.right,a.value=S.top,h.value=S.width,d.value=S.x,m.value=S.y}function w(){o==="sync"?g():o==="next-frame"&&requestAnimationFrame(()=>g())}return Sd(e,w),de(()=>ze(e),_=>!_&&w()),bd(e,w,{attributeFilter:["style","class"]}),r&&he("scroll",w,{capture:!0,passive:!0}),s&&he("resize",w,{passive:!0}),Ts(()=>{i&&w()}),{height:l,bottom:c,left:f,right:u,top:a,width:h,x:d,y:m,update:w}}function aE(e,t={width:0,height:0},n={}){const{window:s=Be,box:r="content-box"}=n,i=ie(()=>{var a,h;return(h=(a=ze(e))==null?void 0:a.namespaceURI)==null?void 0:h.includes("svg")}),o=z(t.width),l=z(t.height),{stop:c}=Sd(e,([a])=>{const h=r==="border-box"?a.borderBoxSize:r==="content-box"?a.contentBoxSize:a.devicePixelContentBoxSize;if(s&&i.value){const d=ze(e);if(d){const m=d.getBoundingClientRect();o.value=m.width,l.value=m.height}}else if(h){const d=Array.isArray(h)?h:[h];o.value=d.reduce((m,{inlineSize:g})=>m+g,0),l.value=d.reduce((m,{blockSize:g})=>m+g,0)}else o.value=a.contentRect.width,l.value=a.contentRect.height},n);Ts(()=>{const a=ze(e);a&&(o.value="offsetWidth"in a?a.offsetWidth:t.width,l.value="offsetHeight"in a?a.offsetHeight:t.height)});const f=de(()=>ze(e),a=>{o.value=a?t.width:0,l.value=a?t.height:0});function u(){c(),f()}return{width:o,height:l,stop:u}}const Xa=["fullscreenchange","webkitfullscreenchange","webkitendfullscreen","mozfullscreenchange","MSFullscreenChange"];function uE(e,t={}){const{document:n=Qi,autoExit:s=!1}=t,r=ie(()=>{var _;return(_=ze(e))!=null?_:n==null?void 0:n.querySelector("html")}),i=z(!1),o=ie(()=>["requestFullscreen","webkitRequestFullscreen","webkitEnterFullscreen","webkitEnterFullScreen","webkitRequestFullScreen","mozRequestFullScreen","msRequestFullscreen"].find(_=>n&&_ in n||r.value&&_ in r.value)),l=ie(()=>["exitFullscreen","webkitExitFullscreen","webkitExitFullScreen","webkitCancelFullScreen","mozCancelFullScreen","msExitFullscreen"].find(_=>n&&_ in n||r.value&&_ in r.value)),c=ie(()=>["fullScreen","webkitIsFullScreen","webkitDisplayingFullscreen","mozFullScreen","msFullscreenElement"].find(_=>n&&_ in n||r.value&&_ in r.value)),f=["fullscreenElement","webkitFullscreenElement","mozFullScreenElement","msFullscreenElement"].find(_=>n&&_ in n),u=qn(()=>r.value&&n&&o.value!==void 0&&l.value!==void 0&&c.value!==void 0),a=()=>f?(n==null?void 0:n[f])===r.value:!1,h=()=>{if(c.value){if(n&&n[c.value]!=null)return n[c.value];{const _=r.value;if((_==null?void 0:_[c.value])!=null)return!!_[c.value]}}return!1};async function d(){if(!(!u.value||!i.value)){if(l.value)if((n==null?void 0:n[l.value])!=null)await n[l.value]();else{const _=r.value;(_==null?void 0:_[l.value])!=null&&await _[l.value]()}i.value=!1}}async function m(){if(!u.value||i.value)return;h()&&await d();const _=r.value;o.value&&(_==null?void 0:_[o.value])!=null&&(await _[o.value](),i.value=!0)}async function g(){await(i.value?d():m())}const w=()=>{const _=h();(!_||_&&a())&&(i.value=_)};return he(n,Xa,w,!1),he(()=>ze(r),Xa,w,!1),s&&Mt(d),{isSupported:u,isFullscreen:i,enter:m,exit:d,toggle:g}}function fE(e,t,n={}){const{window:s=Be}=n;return ES(e,t,s==null?void 0:s.localStorage,n)}const TS={ctrl:"control",command:"meta",cmd:"meta",option:"alt",up:"arrowup",down:"arrowdown",left:"arrowleft",right:"arrowright"};function hE(e={}){const{reactive:t=!1,target:n=Be,aliasMap:s=TS,passive:r=!0,onEventFired:i=us}=e,o=ft(new Set),l={toJSON(){return{}},current:o},c=t?ft(l):l,f=new Set,u=new Set;function a(g,w){g in c&&(t?c[g]=w:c[g].value=w)}function h(){o.clear();for(const g of u)a(g,!1)}function d(g,w){var _,S;const p=(_=g.key)==null?void 0:_.toLowerCase(),E=[(S=g.code)==null?void 0:S.toLowerCase(),p].filter(Boolean);p&&(w?o.add(p):o.delete(p));for(const x of E)u.add(x),a(x,w);p==="meta"&&!w?(f.forEach(x=>{o.delete(x),a(x,!1)}),f.clear()):typeof g.getModifierState=="function"&&g.getModifierState("Meta")&&w&&[...o,...E].forEach(x=>f.add(x))}he(n,"keydown",g=>(d(g,!0),i(g)),{passive:r}),he(n,"keyup",g=>(d(g,!1),i(g)),{passive:r}),he("blur",h,{passive:!0}),he("focus",h,{passive:!0});const m=new Proxy(c,{get(g,w,_){if(typeof w!="string")return Reflect.get(g,w,_);if(w=w.toLowerCase(),w in s&&(w=s[w]),!(w in c))if(/[+_-]/.test(w)){const p=w.split(/[+_-]/g).map(v=>v.trim());c[w]=ie(()=>p.every(v=>fe(m[v])))}else c[w]=z(!1);const S=Reflect.get(g,w,_);return t?fe(S):S}});return m}const CS={page:e=>[e.pageX,e.pageY],client:e=>[e.clientX,e.clientY],screen:e=>[e.screenX,e.screenY],movement:e=>e instanceof Touch?null:[e.movementX,e.movementY]};function dE(e={}){const{type:t="page",touch:n=!0,resetOnTouchEnds:s=!1,initialValue:r={x:0,y:0},window:i=Be,target:o=i,scroll:l=!0,eventFilter:c}=e;let f=null;const u=z(r.x),a=z(r.y),h=z(null),d=typeof t=="function"?t:CS[t],m=E=>{const x=d(E);f=E,x&&([u.value,a.value]=x,h.value="mouse")},g=E=>{if(E.touches.length>0){const x=d(E.touches[0]);x&&([u.value,a.value]=x,h.value="touch")}},w=()=>{if(!f||!i)return;const E=d(f);f instanceof MouseEvent&&E&&(u.value=E[0]+i.scrollX,a.value=E[1]+i.scrollY)},_=()=>{u.value=r.x,a.value=r.y},S=c?E=>c(()=>m(E),{}):E=>m(E),p=c?E=>c(()=>g(E),{}):E=>g(E),v=c?()=>c(()=>w(),{}):()=>w();if(o){const E={passive:!0};he(o,["mousemove","dragover"],S,E),n&&t!=="movement"&&(he(o,["touchstart","touchmove"],p,E),s&&he(o,"touchend",_,E)),l&&t==="page"&&he(i,"scroll",v,{passive:!0})}return{x:u,y:a,sourceType:h}}function pE(e,t={}){const n=oS(e),{threshold:s=50,onSwipe:r,onSwipeEnd:i,onSwipeStart:o,disableTextSelect:l=!1}=t,c=ft({x:0,y:0}),f=(R,O)=>{c.x=R,c.y=O},u=ft({x:0,y:0}),a=(R,O)=>{u.x=R,u.y=O},h=ie(()=>c.x-u.x),d=ie(()=>c.y-u.y),{max:m,abs:g}=Math,w=ie(()=>m(g(h.value),g(d.value))>=s),_=z(!1),S=z(!1),p=ie(()=>w.value?g(h.value)>g(d.value)?h.value>0?"left":"right":d.value>0?"up":"down":"none"),v=R=>{var O,C,T;const I=R.buttons===0,A=R.buttons===1;return(T=(C=(O=t.pointerTypes)==null?void 0:O.includes(R.pointerType))!=null?C:I||A)!=null?T:!0},E=[he(e,"pointerdown",R=>{if(!v(R))return;S.value=!0;const O=R.target;O==null||O.setPointerCapture(R.pointerId);const{clientX:C,clientY:T}=R;f(C,T),a(C,T),o==null||o(R)}),he(e,"pointermove",R=>{if(!v(R)||!S.value)return;const{clientX:O,clientY:C}=R;a(O,C),!_.value&&w.value&&(_.value=!0),_.value&&(r==null||r(R))}),he(e,"pointerup",R=>{v(R)&&(_.value&&(i==null||i(R,p.value)),S.value=!1,_.value=!1)})];Ts(()=>{var R,O,C,T,I,A,L,G;(O=(R=n.value)==null?void 0:R.style)==null||O.setProperty("touch-action","none"),l&&((T=(C=n.value)==null?void 0:C.style)==null||T.setProperty("-webkit-user-select","none"),(A=(I=n.value)==null?void 0:I.style)==null||A.setProperty("-ms-user-select","none"),(G=(L=n.value)==null?void 0:L.style)==null||G.setProperty("user-select","none"))});const x=()=>E.forEach(R=>R());return{isSwiping:wt(_),direction:wt(p),posStart:wt(c),posEnd:wt(u),distanceX:h,distanceY:d,stop:x}}let AS=0;function mE(e,t={}){const n=z(!1),{document:s=Qi,immediate:r=!0,manual:i=!1,id:o=`vueuse_styletag_${++AS}`}=t,l=z(e);let c=()=>{};const f=()=>{if(!s)return;const a=s.getElementById(o)||s.createElement("style");a.isConnected||(a.id=o,t.media&&(a.media=t.media),s.head.appendChild(a)),!n.value&&(c=de(l,h=>{a.textContent=h},{immediate:!0}),n.value=!0)},u=()=>{!s||!n.value||(c(),s.head.removeChild(s.getElementById(o)),n.value=!1)};return r&&!i&&Ts(f),i||Mt(u),{id:o,css:l,unload:u,load:f,isLoaded:wt(n)}}function gE(e={}){const{controls:t=!1,offset:n=0,immediate:s=!0,interval:r="requestAnimationFrame",callback:i}=e,o=z(Ga()+n),l=()=>o.value=Ga()+n,c=i?()=>{l(),i(o.value)}:l,f=r==="requestAnimationFrame"?mS(c,{immediate:s}):uS(c,r,{immediate:s});return t?{timestamp:o,...f}:o}function yE(e,t,n,s={}){var r,i,o;const{clone:l=!1,passive:c=!1,eventName:f,deep:u=!1,defaultValue:a,shouldEmit:h}=s,d=Le(),m=n||(d==null?void 0:d.emit)||((r=d==null?void 0:d.$emit)==null?void 0:r.bind(d))||((o=(i=d==null?void 0:d.proxy)==null?void 0:i.$emit)==null?void 0:o.bind(d==null?void 0:d.proxy));let g=f;t||(t="modelValue"),g=g||`update:${t.toString()}`;const w=p=>l?typeof l=="function"?l(p):gS(p):p,_=()=>Jb(e[t])?w(e[t]):a,S=p=>{h?h(p)&&m(g,p):m(g,p)};if(c){const p=_(),v=z(p);let E=!1;return de(()=>e[t],x=>{E||(E=!0,v.value=w(x),En(()=>E=!1))}),de(v,x=>{!E&&(x!==e[t]||u)&&S(x)},{deep:u}),v}else return ie({get(){return _()},set(p){S(p)}})}function vE(e={}){const{navigator:t=fc,document:n=Qi}=e,s=z(!1),r=Vn(null),i=wS({document:n}),o=qn(()=>t&&"wakeLock"in t),l=ie(()=>!!r.value&&i.value==="visible");o.value&&(he(r,"release",()=>{var a,h;s.value=(h=(a=r.value)==null?void 0:a.type)!=null?h:!1}),hS(()=>i.value==="visible"&&(n==null?void 0:n.visibilityState)==="visible"&&s.value,a=>{s.value=!1,c(a)}));async function c(a){var h;await((h=r.value)==null?void 0:h.release()),r.value=o.value?await t.wakeLock.request(a):null}async function f(a){i.value==="visible"?await c(a):s.value=a}async function u(){s.value=!1;const a=r.value;r.value=null,await(a==null?void 0:a.release())}return{sentinel:r,isSupported:o,isActive:l,request:f,forceRequest:c,release:u}}function bE(e={}){const{window:t=Be}=e;if(!t)return z(!1);const n=z(t.document.hasFocus());return he(t,"blur",()=>{n.value=!1}),he(t,"focus",()=>{n.value=!0}),n}function SE(e={}){const{window:t=Be,initialWidth:n=Number.POSITIVE_INFINITY,initialHeight:s=Number.POSITIVE_INFINITY,listenOrientation:r=!0,includeScrollbar:i=!0,type:o="inner"}=e,l=z(n),c=z(s),f=()=>{t&&(o==="outer"?(l.value=t.outerWidth,c.value=t.outerHeight):i?(l.value=t.innerWidth,c.value=t.innerHeight):(l.value=t.document.documentElement.clientWidth,c.value=t.document.documentElement.clientHeight))};if(f(),Ts(f),he("resize",f,{passive:!0}),r){const u=Nn("(orientation: portrait)");de(u,()=>f())}return{width:l,height:c}}function _E(...e){return ie(()=>e.every(t=>fe(t)))}function EE(e){return ie(()=>!fe(e))}function wE(...e){return ie(()=>e.some(t=>fe(t)))}function So(e){if(e===null||typeof e!="object")return!1;const t=Object.getPrototypeOf(e);return t!==null&&t!==Object.prototype&&Object.getPrototypeOf(t)!==null||Symbol.iterator in e?!1:Symbol.toStringTag in e?Object.prototype.toString.call(e)==="[object Module]":!0}function rl(e,t,n=".",s){if(!So(t))return rl(e,{},n,s);const r=Object.assign({},t);for(const i in e){if(i==="__proto__"||i==="constructor")continue;const o=e[i];o!=null&&(s&&s(r,i,o,n)||(Array.isArray(o)&&Array.isArray(r[i])?r[i]=[...o,...r[i]]:So(o)&&So(r[i])?r[i]=rl(o,r[i],(n?`${n}.`:"")+i.toString(),s):r[i]=o))}return r}function xS(e){return(...t)=>t.reduce((n,s)=>rl(n,s,"",e),{})}const OS=xS();function _d(e){return Pi()?(bl(e),!0):!1}function hc(e){return typeof e=="function"?e():$e(e)}const NS=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const RS=e=>e!=null,IS=Object.prototype.toString,Ci=e=>IS.call(e)==="[object Object]",Jr=()=>{};function PS(e){return Le()}function MS(e,t){PS()&&pr(e,t)}function js(e){var t;const n=hc(e);return(t=n==null?void 0:n.$el)!=null?t:n}const Ed=NS?window:void 0;function Ft(...e){let t,n,s,r;if(typeof e[0]=="string"||Array.isArray(e[0])?([n,s,r]=e,t=Ed):[t,n,s,r]=e,!t)return Jr;Array.isArray(n)||(n=[n]),Array.isArray(s)||(s=[s]);const i=[],o=()=>{i.forEach(u=>u()),i.length=0},l=(u,a,h,d)=>(u.addEventListener(a,h,d),()=>u.removeEventListener(a,h,d)),c=de(()=>[js(t),hc(r)],([u,a])=>{if(o(),!u)return;const h=Ci(a)?{...a}:a;i.push(...n.flatMap(d=>s.map(m=>l(u,d,m,h))))},{immediate:!0,flush:"post"}),f=()=>{c(),o()};return _d(f),f}function kS(){const e=z(!1),t=Le();return t&&wn(()=>{e.value=!0},t),e}function FS(e){const t=kS();return ie(()=>(t.value,!!e()))}function LS(e,t,n={}){const{root:s,rootMargin:r="0px",threshold:i=.1,window:o=Ed,immediate:l=!0}=n,c=FS(()=>o&&"IntersectionObserver"in o),f=ie(()=>{const m=hc(e);return(Array.isArray(m)?m:[m]).map(js).filter(RS)});let u=Jr;const a=z(l),h=c.value?de(()=>[f.value,js(s),a.value],([m,g])=>{if(u(),!a.value||!m.length)return;const w=new IntersectionObserver(t,{root:js(g),rootMargin:r,threshold:i});m.forEach(_=>_&&w.observe(_)),u=()=>{w.disconnect(),u=Jr}},{immediate:l,flush:"post"}):Jr,d=()=>{u(),h(),a.value=!1};return _d(d),{isSupported:c,isActive:a,pause(){u(),a.value=!1},resume(){a.value=!0},stop:d}}const wd=1/60*1e3,DS=typeof performance<"u"?()=>performance.now():()=>Date.now(),Td=typeof window<"u"?e=>window.requestAnimationFrame(e):e=>setTimeout(()=>e(DS()),wd);function VS(e){let t=[],n=[],s=0,r=!1,i=!1;const o=new WeakSet,l={schedule:(c,f=!1,u=!1)=>{const a=u&&r,h=a?t:n;return f&&o.add(c),h.indexOf(c)===-1&&(h.push(c),a&&r&&(s=t.length)),c},cancel:c=>{const f=n.indexOf(c);f!==-1&&n.splice(f,1),o.delete(c)},process:c=>{if(r){i=!0;return}if(r=!0,[t,n]=[n,t],n.length=0,s=t.length,s)for(let f=0;f<s;f++){const u=t[f];u(c),o.has(u)&&(l.schedule(u),e())}r=!1,i&&(i=!1,l.process(c))}};return l}const $S=40;let il=!0,cr=!1,ol=!1;const fs={delta:0,timestamp:0},Sr=["read","update","preRender","render","postRender"],eo=Sr.reduce((e,t)=>(e[t]=VS(()=>cr=!0),e),{}),ll=Sr.reduce((e,t)=>{const n=eo[t];return e[t]=(s,r=!1,i=!1)=>(cr||jS(),n.schedule(s,r,i)),e},{}),BS=Sr.reduce((e,t)=>(e[t]=eo[t].cancel,e),{});Sr.reduce((e,t)=>(e[t]=()=>eo[t].process(fs),e),{});const HS=e=>eo[e].process(fs),Cd=e=>{cr=!1,fs.delta=il?wd:Math.max(Math.min(e-fs.timestamp,$S),1),fs.timestamp=e,ol=!0,Sr.forEach(HS),ol=!1,cr&&(il=!1,Td(Cd))},jS=()=>{cr=!0,il=!0,ol||Td(Cd)},Ad=()=>fs;function xd(e,t){var n={};for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&t.indexOf(s)<0&&(n[s]=e[s]);if(e!=null&&typeof Object.getOwnPropertySymbols=="function")for(var r=0,s=Object.getOwnPropertySymbols(e);r<s.length;r++)t.indexOf(s[r])<0&&Object.prototype.propertyIsEnumerable.call(e,s[r])&&(n[s[r]]=e[s[r]]);return n}var Za=function(){};const cl=(e,t,n)=>Math.min(Math.max(n,e),t),_o=.001,US=.01,WS=10,KS=.05,qS=1;function GS({duration:e=800,bounce:t=.25,velocity:n=0,mass:s=1}){let r,i,o=1-t;o=cl(KS,qS,o),e=cl(US,WS,e/1e3),o<1?(r=f=>{const u=f*o,a=u*e,h=u-n,d=al(f,o),m=Math.exp(-a);return _o-h/d*m},i=f=>{const a=f*o*e,h=a*n+n,d=Math.pow(o,2)*Math.pow(f,2)*e,m=Math.exp(-a),g=al(Math.pow(f,2),o);return(-r(f)+_o>0?-1:1)*((h-d)*m)/g}):(r=f=>{const u=Math.exp(-f*e),a=(f-n)*e+1;return-_o+u*a},i=f=>{const u=Math.exp(-f*e),a=(n-f)*(e*e);return u*a});const l=5/e,c=YS(r,i,l);if(e=e*1e3,isNaN(c))return{stiffness:100,damping:10,duration:e};{const f=Math.pow(c,2)*s;return{stiffness:f,damping:o*2*Math.sqrt(s*f),duration:e}}}const zS=12;function YS(e,t,n){let s=n;for(let r=1;r<zS;r++)s=s-e(s)/t(s);return s}function al(e,t){return e*Math.sqrt(1-t*t)}const JS=["duration","bounce"],XS=["stiffness","damping","mass"];function Qa(e,t){return t.some(n=>e[n]!==void 0)}function ZS(e){let t=Object.assign({velocity:0,stiffness:100,damping:10,mass:1,isResolvedFromDuration:!1},e);if(!Qa(e,XS)&&Qa(e,JS)){const n=GS(e);t=Object.assign(Object.assign(Object.assign({},t),n),{velocity:0,mass:1}),t.isResolvedFromDuration=!0}return t}function dc(e){var{from:t=0,to:n=1,restSpeed:s=2,restDelta:r}=e,i=xd(e,["from","to","restSpeed","restDelta"]);const o={done:!1,value:t};let{stiffness:l,damping:c,mass:f,velocity:u,duration:a,isResolvedFromDuration:h}=ZS(i),d=eu,m=eu;function g(){const w=u?-(u/1e3):0,_=n-t,S=c/(2*Math.sqrt(l*f)),p=Math.sqrt(l/f)/1e3;if(r===void 0&&(r=Math.min(Math.abs(n-t)/100,.4)),S<1){const v=al(p,S);d=E=>{const x=Math.exp(-S*p*E);return n-x*((w+S*p*_)/v*Math.sin(v*E)+_*Math.cos(v*E))},m=E=>{const x=Math.exp(-S*p*E);return S*p*x*(Math.sin(v*E)*(w+S*p*_)/v+_*Math.cos(v*E))-x*(Math.cos(v*E)*(w+S*p*_)-v*_*Math.sin(v*E))}}else if(S===1)d=v=>n-Math.exp(-p*v)*(_+(w+p*_)*v);else{const v=p*Math.sqrt(S*S-1);d=E=>{const x=Math.exp(-S*p*E),R=Math.min(v*E,300);return n-x*((w+S*p*_)*Math.sinh(R)+v*_*Math.cosh(R))/v}}}return g(),{next:w=>{const _=d(w);if(h)o.done=w>=a;else{const S=m(w)*1e3,p=Math.abs(S)<=s,v=Math.abs(n-_)<=r;o.done=p&&v}return o.value=o.done?n:_,o},flipTarget:()=>{u=-u,[t,n]=[n,t],g()}}}dc.needsInterpolation=(e,t)=>typeof e=="string"||typeof t=="string";const eu=e=>0,Od=(e,t,n)=>{const s=t-e;return s===0?1:(n-e)/s},pc=(e,t,n)=>-n*e+n*t+e,Nd=(e,t)=>n=>Math.max(Math.min(n,t),e),Us=e=>e%1?Number(e.toFixed(5)):e,ar=/(-)?([\d]*\.?[\d])+/g,ul=/(#[0-9a-f]{6}|#[0-9a-f]{3}|#(?:[0-9a-f]{2}){2,4}|(rgb|hsl)a?\((-?[\d\.]+%?[,\s]+){2}(-?[\d\.]+%?)\s*[\,\/]?\s*[\d\.]*%?\))/gi,QS=/^(#[0-9a-f]{3}|#(?:[0-9a-f]{2}){2,4}|(rgb|hsl)a?\((-?[\d\.]+%?[,\s]+){2}(-?[\d\.]+%?)\s*[\,\/]?\s*[\d\.]*%?\))$/i;function _r(e){return typeof e=="string"}const Er={test:e=>typeof e=="number",parse:parseFloat,transform:e=>e},Ws=Object.assign(Object.assign({},Er),{transform:Nd(0,1)}),Br=Object.assign(Object.assign({},Er),{default:1}),mc=e=>({test:t=>_r(t)&&t.endsWith(e)&&t.split(" ").length===1,parse:parseFloat,transform:t=>`${t}${e}`}),An=mc("deg"),Ks=mc("%"),re=mc("px"),tu=Object.assign(Object.assign({},Ks),{parse:e=>Ks.parse(e)/100,transform:e=>Ks.transform(e*100)}),gc=(e,t)=>n=>!!(_r(n)&&QS.test(n)&&n.startsWith(e)||t&&Object.prototype.hasOwnProperty.call(n,t)),Rd=(e,t,n)=>s=>{if(!_r(s))return s;const[r,i,o,l]=s.match(ar);return{[e]:parseFloat(r),[t]:parseFloat(i),[n]:parseFloat(o),alpha:l!==void 0?parseFloat(l):1}},Pn={test:gc("hsl","hue"),parse:Rd("hue","saturation","lightness"),transform:({hue:e,saturation:t,lightness:n,alpha:s=1})=>"hsla("+Math.round(e)+", "+Ks.transform(Us(t))+", "+Ks.transform(Us(n))+", "+Us(Ws.transform(s))+")"},e_=Nd(0,255),Eo=Object.assign(Object.assign({},Er),{transform:e=>Math.round(e_(e))}),un={test:gc("rgb","red"),parse:Rd("red","green","blue"),transform:({red:e,green:t,blue:n,alpha:s=1})=>"rgba("+Eo.transform(e)+", "+Eo.transform(t)+", "+Eo.transform(n)+", "+Us(Ws.transform(s))+")"};function t_(e){let t="",n="",s="",r="";return e.length>5?(t=e.substr(1,2),n=e.substr(3,2),s=e.substr(5,2),r=e.substr(7,2)):(t=e.substr(1,1),n=e.substr(2,1),s=e.substr(3,1),r=e.substr(4,1),t+=t,n+=n,s+=s,r+=r),{red:parseInt(t,16),green:parseInt(n,16),blue:parseInt(s,16),alpha:r?parseInt(r,16)/255:1}}const fl={test:gc("#"),parse:t_,transform:un.transform},ot={test:e=>un.test(e)||fl.test(e)||Pn.test(e),parse:e=>un.test(e)?un.parse(e):Pn.test(e)?Pn.parse(e):fl.parse(e),transform:e=>_r(e)?e:e.hasOwnProperty("red")?un.transform(e):Pn.transform(e)},Id="${c}",Pd="${n}";function n_(e){var t,n,s,r;return isNaN(e)&&_r(e)&&((n=(t=e.match(ar))===null||t===void 0?void 0:t.length)!==null&&n!==void 0?n:0)+((r=(s=e.match(ul))===null||s===void 0?void 0:s.length)!==null&&r!==void 0?r:0)>0}function Md(e){typeof e=="number"&&(e=`${e}`);const t=[];let n=0;const s=e.match(ul);s&&(n=s.length,e=e.replace(ul,Id),t.push(...s.map(ot.parse)));const r=e.match(ar);return r&&(e=e.replace(ar,Pd),t.push(...r.map(Er.parse))),{values:t,numColors:n,tokenised:e}}function kd(e){return Md(e).values}function Fd(e){const{values:t,numColors:n,tokenised:s}=Md(e),r=t.length;return i=>{let o=s;for(let l=0;l<r;l++)o=o.replace(l<n?Id:Pd,l<n?ot.transform(i[l]):Us(i[l]));return o}}const s_=e=>typeof e=="number"?0:e;function r_(e){const t=kd(e);return Fd(e)(t.map(s_))}const wr={test:n_,parse:kd,createTransformer:Fd,getAnimatableNone:r_},i_=new Set(["brightness","contrast","saturate","opacity"]);function o_(e){let[t,n]=e.slice(0,-1).split("(");if(t==="drop-shadow")return e;const[s]=n.match(ar)||[];if(!s)return e;const r=n.replace(s,"");let i=i_.has(t)?1:0;return s!==n&&(i*=100),t+"("+i+r+")"}const l_=/([a-z-]*)\(.*?\)/g,hl=Object.assign(Object.assign({},wr),{getAnimatableNone:e=>{const t=e.match(l_);return t?t.map(o_).join(" "):e}});function wo(e,t,n){return n<0&&(n+=1),n>1&&(n-=1),n<1/6?e+(t-e)*6*n:n<1/2?t:n<2/3?e+(t-e)*(2/3-n)*6:e}function nu({hue:e,saturation:t,lightness:n,alpha:s}){e/=360,t/=100,n/=100;let r=0,i=0,o=0;if(!t)r=i=o=n;else{const l=n<.5?n*(1+t):n+t-n*t,c=2*n-l;r=wo(c,l,e+1/3),i=wo(c,l,e),o=wo(c,l,e-1/3)}return{red:Math.round(r*255),green:Math.round(i*255),blue:Math.round(o*255),alpha:s}}const c_=(e,t,n)=>{const s=e*e,r=t*t;return Math.sqrt(Math.max(0,n*(r-s)+s))},a_=[fl,un,Pn],su=e=>a_.find(t=>t.test(e)),Ld=(e,t)=>{let n=su(e),s=su(t),r=n.parse(e),i=s.parse(t);n===Pn&&(r=nu(r),n=un),s===Pn&&(i=nu(i),s=un);const o=Object.assign({},r);return l=>{for(const c in o)c!=="alpha"&&(o[c]=c_(r[c],i[c],l));return o.alpha=pc(r.alpha,i.alpha,l),n.transform(o)}},u_=e=>typeof e=="number",f_=(e,t)=>n=>t(e(n)),Dd=(...e)=>e.reduce(f_);function Vd(e,t){return u_(e)?n=>pc(e,t,n):ot.test(e)?Ld(e,t):Bd(e,t)}const $d=(e,t)=>{const n=[...e],s=n.length,r=e.map((i,o)=>Vd(i,t[o]));return i=>{for(let o=0;o<s;o++)n[o]=r[o](i);return n}},h_=(e,t)=>{const n=Object.assign(Object.assign({},e),t),s={};for(const r in n)e[r]!==void 0&&t[r]!==void 0&&(s[r]=Vd(e[r],t[r]));return r=>{for(const i in s)n[i]=s[i](r);return n}};function ru(e){const t=wr.parse(e),n=t.length;let s=0,r=0,i=0;for(let o=0;o<n;o++)s||typeof t[o]=="number"?s++:t[o].hue!==void 0?i++:r++;return{parsed:t,numNumbers:s,numRGB:r,numHSL:i}}const Bd=(e,t)=>{const n=wr.createTransformer(t),s=ru(e),r=ru(t);return s.numHSL===r.numHSL&&s.numRGB===r.numRGB&&s.numNumbers>=r.numNumbers?Dd($d(s.parsed,r.parsed),n):o=>`${o>0?t:e}`},d_=(e,t)=>n=>pc(e,t,n);function p_(e){if(typeof e=="number")return d_;if(typeof e=="string")return ot.test(e)?Ld:Bd;if(Array.isArray(e))return $d;if(typeof e=="object")return h_}function m_(e,t,n){const s=[],r=n||p_(e[0]),i=e.length-1;for(let o=0;o<i;o++){let l=r(e[o],e[o+1]);if(t){const c=Array.isArray(t)?t[o]:t;l=Dd(c,l)}s.push(l)}return s}function g_([e,t],[n]){return s=>n(Od(e,t,s))}function y_(e,t){const n=e.length,s=n-1;return r=>{let i=0,o=!1;if(r<=e[0]?o=!0:r>=e[s]&&(i=s-1,o=!0),!o){let c=1;for(;c<n&&!(e[c]>r||c===s);c++);i=c-1}const l=Od(e[i],e[i+1],r);return t[i](l)}}function Hd(e,t,{clamp:n=!0,ease:s,mixer:r}={}){const i=e.length;Za(i===t.length),Za(!s||!Array.isArray(s)||s.length===i-1),e[0]>e[i-1]&&(e=[].concat(e),t=[].concat(t),e.reverse(),t.reverse());const o=m_(t,s,r),l=i===2?g_(e,o):y_(e,o);return n?c=>l(cl(e[0],e[i-1],c)):l}const to=e=>t=>1-e(1-t),yc=e=>t=>t<=.5?e(2*t)/2:(2-e(2*(1-t)))/2,v_=e=>t=>Math.pow(t,e),jd=e=>t=>t*t*((e+1)*t-e),b_=e=>{const t=jd(e);return n=>(n*=2)<1?.5*t(n):.5*(2-Math.pow(2,-10*(n-1)))},Ud=1.525,S_=4/11,__=8/11,E_=9/10,Wd=e=>e,vc=v_(2),w_=to(vc),Kd=yc(vc),qd=e=>1-Math.sin(Math.acos(e)),Gd=to(qd),T_=yc(Gd),bc=jd(Ud),C_=to(bc),A_=yc(bc),x_=b_(Ud),O_=4356/361,N_=35442/1805,R_=16061/1805,Ai=e=>{if(e===1||e===0)return e;const t=e*e;return e<S_?7.5625*t:e<__?9.075*t-9.9*e+3.4:e<E_?O_*t-N_*e+R_:10.8*e*e-20.52*e+10.72},I_=to(Ai),P_=e=>e<.5?.5*(1-Ai(1-e*2)):.5*Ai(e*2-1)+.5;function M_(e,t){return e.map(()=>t||Kd).splice(0,e.length-1)}function k_(e){const t=e.length;return e.map((n,s)=>s!==0?s/(t-1):0)}function F_(e,t){return e.map(n=>n*t)}function Xr({from:e=0,to:t=1,ease:n,offset:s,duration:r=300}){const i={done:!1,value:e},o=Array.isArray(t)?t:[e,t],l=F_(s&&s.length===o.length?s:k_(o),r);function c(){return Hd(l,o,{ease:Array.isArray(n)?n:M_(o,n)})}let f=c();return{next:u=>(i.value=f(u),i.done=u>=r,i),flipTarget:()=>{o.reverse(),f=c()}}}function L_({velocity:e=0,from:t=0,power:n=.8,timeConstant:s=350,restDelta:r=.5,modifyTarget:i}){const o={done:!1,value:t};let l=n*e;const c=t+l,f=i===void 0?c:i(c);return f!==c&&(l=f-t),{next:u=>{const a=-l*Math.exp(-u/s);return o.done=!(a>r||a<-r),o.value=o.done?f:f+a,o},flipTarget:()=>{}}}const iu={keyframes:Xr,spring:dc,decay:L_};function D_(e){if(Array.isArray(e.to))return Xr;if(iu[e.type])return iu[e.type];const t=new Set(Object.keys(e));return t.has("ease")||t.has("duration")&&!t.has("dampingRatio")?Xr:t.has("dampingRatio")||t.has("stiffness")||t.has("mass")||t.has("damping")||t.has("restSpeed")||t.has("restDelta")?dc:Xr}function zd(e,t,n=0){return e-t-n}function V_(e,t,n=0,s=!0){return s?zd(t+-e,t,n):t-(e-t)+n}function $_(e,t,n,s){return s?e>=t+n:e<=-n}const B_=e=>{const t=({delta:n})=>e(n);return{start:()=>ll.update(t,!0),stop:()=>BS.update(t)}};function Yd(e){var t,n,{from:s,autoplay:r=!0,driver:i=B_,elapsed:o=0,repeat:l=0,repeatType:c="loop",repeatDelay:f=0,onPlay:u,onStop:a,onComplete:h,onRepeat:d,onUpdate:m}=e,g=xd(e,["from","autoplay","driver","elapsed","repeat","repeatType","repeatDelay","onPlay","onStop","onComplete","onRepeat","onUpdate"]);let{to:w}=g,_,S=0,p=g.duration,v,E=!1,x=!0,R;const O=D_(g);!((n=(t=O).needsInterpolation)===null||n===void 0)&&n.call(t,s,w)&&(R=Hd([0,100],[s,w],{clamp:!1}),s=0,w=100);const C=O(Object.assign(Object.assign({},g),{from:s,to:w}));function T(){S++,c==="reverse"?(x=S%2===0,o=V_(o,p,f,x)):(o=zd(o,p,f),c==="mirror"&&C.flipTarget()),E=!1,d&&d()}function I(){_.stop(),h&&h()}function A(G){if(x||(G=-G),o+=G,!E){const X=C.next(Math.max(0,o));v=X.value,R&&(v=R(v)),E=x?X.done:o<=0}m==null||m(v),E&&(S===0&&(p??(p=o)),S<l?$_(o,p,f,x)&&T():I())}function L(){u==null||u(),_=i(A),_.start()}return r&&L(),{stop:()=>{a==null||a(),_.stop()}}}function Jd(e,t){return t?e*(1e3/t):0}function H_({from:e=0,velocity:t=0,min:n,max:s,power:r=.8,timeConstant:i=750,bounceStiffness:o=500,bounceDamping:l=10,restDelta:c=1,modifyTarget:f,driver:u,onUpdate:a,onComplete:h,onStop:d}){let m;function g(p){return n!==void 0&&p<n||s!==void 0&&p>s}function w(p){return n===void 0?s:s===void 0||Math.abs(n-p)<Math.abs(s-p)?n:s}function _(p){m==null||m.stop(),m=Yd(Object.assign(Object.assign({},p),{driver:u,onUpdate:v=>{var E;a==null||a(v),(E=p.onUpdate)===null||E===void 0||E.call(p,v)},onComplete:h,onStop:d}))}function S(p){_(Object.assign({type:"spring",stiffness:o,damping:l,restDelta:c},p))}if(g(e))S({from:e,velocity:t,to:w(e)});else{let p=r*t+e;typeof f<"u"&&(p=f(p));const v=w(p),E=v===n?-1:1;let x,R;const O=C=>{x=R,R=C,t=Jd(C-x,Ad().delta),(E===1&&C>v||E===-1&&C<v)&&S({from:C,to:v,velocity:t})};_({type:"decay",from:e,velocity:t,timeConstant:i,power:r,restDelta:c,modifyTarget:f,onUpdate:g(p)?O:void 0})}return{stop:()=>m==null?void 0:m.stop()}}const Xd=(e,t)=>1-3*t+3*e,Zd=(e,t)=>3*t-6*e,Qd=e=>3*e,xi=(e,t,n)=>((Xd(t,n)*e+Zd(t,n))*e+Qd(t))*e,ep=(e,t,n)=>3*Xd(t,n)*e*e+2*Zd(t,n)*e+Qd(t),j_=1e-7,U_=10;function W_(e,t,n,s,r){let i,o,l=0;do o=t+(n-t)/2,i=xi(o,s,r)-e,i>0?n=o:t=o;while(Math.abs(i)>j_&&++l<U_);return o}const K_=8,q_=.001;function G_(e,t,n,s){for(let r=0;r<K_;++r){const i=ep(t,n,s);if(i===0)return t;const o=xi(t,n,s)-e;t-=o/i}return t}const Zr=11,Hr=1/(Zr-1);function z_(e,t,n,s){if(e===t&&n===s)return Wd;const r=new Float32Array(Zr);for(let o=0;o<Zr;++o)r[o]=xi(o*Hr,e,n);function i(o){let l=0,c=1;const f=Zr-1;for(;c!==f&&r[c]<=o;++c)l+=Hr;--c;const u=(o-r[c])/(r[c+1]-r[c]),a=l+u*Hr,h=ep(a,e,n);return h>=q_?G_(o,a,e,n):h===0?a:W_(o,l,l+Hr,e,n)}return o=>o===0||o===1?o:xi(i(o),t,s)}const To={};var Y_=Object.defineProperty,J_=(e,t,n)=>t in e?Y_(e,t,{enumerable:!0,configurable:!0,writable:!0,value:n}):e[t]=n,X_=(e,t,n)=>(J_(e,t+"",n),n);class Z_{constructor(){X_(this,"subscriptions",new Set)}add(t){return this.subscriptions.add(t),()=>this.subscriptions.delete(t)}notify(t,n,s){if(this.subscriptions.size)for(const r of this.subscriptions)r(t,n,s)}clear(){this.subscriptions.clear()}}var Q_=Object.defineProperty,e1=(e,t,n)=>t in e?Q_(e,t,{enumerable:!0,configurable:!0,writable:!0,value:n}):e[t]=n,Lt=(e,t,n)=>(e1(e,typeof t!="symbol"?t+"":t,n),n);function ou(e){return!Number.isNaN(Number.parseFloat(e))}class t1{constructor(t){Lt(this,"current"),Lt(this,"prev"),Lt(this,"timeDelta",0),Lt(this,"lastUpdated",0),Lt(this,"updateSubscribers",new Z_),Lt(this,"stopAnimation"),Lt(this,"canTrackVelocity",!1),Lt(this,"updateAndNotify",n=>{this.prev=this.current,this.current=n;const{delta:s,timestamp:r}=Ad();this.lastUpdated!==r&&(this.timeDelta=s,this.lastUpdated=r),ll.postRender(this.scheduleVelocityCheck),this.updateSubscribers.notify(this.current)}),Lt(this,"scheduleVelocityCheck",()=>ll.postRender(this.velocityCheck)),Lt(this,"velocityCheck",({timestamp:n})=>{this.canTrackVelocity||(this.canTrackVelocity=ou(this.current)),n!==this.lastUpdated&&(this.prev=this.current)}),this.prev=this.current=t,this.canTrackVelocity=ou(this.current)}onChange(t){return this.updateSubscribers.add(t)}clearListeners(){this.updateSubscribers.clear()}set(t){this.updateAndNotify(t)}get(){return this.current}getPrevious(){return this.prev}getVelocity(){return this.canTrackVelocity?Jd(Number.parseFloat(this.current)-Number.parseFloat(this.prev),this.timeDelta):0}start(t){return this.stop(),new Promise(n=>{const{stop:s}=t(n);this.stopAnimation=s}).then(()=>this.clearAnimation())}stop(){this.stopAnimation&&this.stopAnimation(),this.clearAnimation()}isAnimating(){return!!this.stopAnimation}clearAnimation(){this.stopAnimation=null}destroy(){this.updateSubscribers.clear(),this.stop()}}function n1(e){return new t1(e)}const{isArray:s1}=Array;function r1(){const e=z({}),t=s=>{const r=i=>{e.value[i]&&(e.value[i].stop(),e.value[i].destroy(),delete e.value[i])};s?s1(s)?s.forEach(r):r(s):Object.keys(e.value).forEach(r)},n=(s,r,i)=>{if(e.value[s])return e.value[s];const o=n1(r);return o.onChange(l=>i[s]=l),e.value[s]=o,o};return MS(t),{motionValues:e,get:n,stop:t}}function i1(e){return Array.isArray(e)}function xn(){return{type:"spring",stiffness:500,damping:25,restDelta:.5,restSpeed:10}}function Co(e){return{type:"spring",stiffness:550,damping:e===0?2*Math.sqrt(550):30,restDelta:.01,restSpeed:10}}function o1(e){return{type:"spring",stiffness:550,damping:e===0?100:30,restDelta:.01,restSpeed:10}}function Ao(){return{type:"keyframes",ease:"linear",duration:300}}function l1(e){return{type:"keyframes",duration:800,values:e}}const lu={default:o1,x:xn,y:xn,z:xn,rotate:xn,rotateX:xn,rotateY:xn,rotateZ:xn,scaleX:Co,scaleY:Co,scale:Co,backgroundColor:Ao,color:Ao,opacity:Ao};function tp(e,t){let n;return i1(t)?n=l1:n=lu[e]||lu.default,{to:t,...n(t)}}const cu={...Er,transform:Math.round},np={color:ot,backgroundColor:ot,outlineColor:ot,fill:ot,stroke:ot,borderColor:ot,borderTopColor:ot,borderRightColor:ot,borderBottomColor:ot,borderLeftColor:ot,borderWidth:re,borderTopWidth:re,borderRightWidth:re,borderBottomWidth:re,borderLeftWidth:re,borderRadius:re,radius:re,borderTopLeftRadius:re,borderTopRightRadius:re,borderBottomRightRadius:re,borderBottomLeftRadius:re,width:re,maxWidth:re,height:re,maxHeight:re,size:re,top:re,right:re,bottom:re,left:re,padding:re,paddingTop:re,paddingRight:re,paddingBottom:re,paddingLeft:re,margin:re,marginTop:re,marginRight:re,marginBottom:re,marginLeft:re,rotate:An,rotateX:An,rotateY:An,rotateZ:An,scale:Br,scaleX:Br,scaleY:Br,scaleZ:Br,skew:An,skewX:An,skewY:An,distance:re,translateX:re,translateY:re,translateZ:re,x:re,y:re,z:re,perspective:re,transformPerspective:re,opacity:Ws,originX:tu,originY:tu,originZ:re,zIndex:cu,filter:hl,WebkitFilter:hl,fillOpacity:Ws,strokeOpacity:Ws,numOctaves:cu},Sc=e=>np[e];function dl(e,t){return t&&typeof e=="number"&&t.transform?t.transform(e):e}function c1(e,t){let n=Sc(e);return n!==hl&&(n=wr),n.getAnimatableNone?n.getAnimatableNone(t):void 0}const a1={linear:Wd,easeIn:vc,easeInOut:Kd,easeOut:w_,circIn:qd,circInOut:T_,circOut:Gd,backIn:bc,backInOut:A_,backOut:C_,anticipate:x_,bounceIn:I_,bounceInOut:P_,bounceOut:Ai};function au(e){if(Array.isArray(e)){const[t,n,s,r]=e;return z_(t,n,s,r)}else if(typeof e=="string")return a1[e];return e}function u1(e){return Array.isArray(e)&&typeof e[0]!="number"}function uu(e,t){return e==="zIndex"?!1:!!(typeof t=="number"||Array.isArray(t)||typeof t=="string"&&wr.test(t)&&!t.startsWith("url("))}function f1(e){return Array.isArray(e.to)&&e.to[0]===null&&(e.to=[...e.to],e.to[0]=e.from),e}function h1({ease:e,times:t,delay:n,...s}){const r={...s};return t&&(r.offset=t),e&&(r.ease=u1(e)?e.map(au):au(e)),n&&(r.elapsed=-n),r}function d1(e,t,n){return Array.isArray(t.to)&&(e.duration||(e.duration=800)),f1(t),p1(e)||(e={...e,...tp(n,t.to)}),{...t,...h1(e)}}function p1({delay:e,repeat:t,repeatType:n,repeatDelay:s,from:r,...i}){return!!Object.keys(i).length}function m1(e,t){return e[t]||e.default||e}function g1(e,t,n,s,r){const i=m1(s,e);let o=i.from===null||i.from===void 0?t.get():i.from;const l=uu(e,n);o==="none"&&l&&typeof n=="string"&&(o=c1(e,n));const c=uu(e,o);function f(a){const h={from:o,to:n,velocity:s.velocity?s.velocity:t.getVelocity(),onUpdate:d=>t.set(d)};return i.type==="inertia"||i.type==="decay"?H_({...h,...i}):Yd({...d1(i,h,e),onUpdate:d=>{h.onUpdate(d),i.onUpdate&&i.onUpdate(d)},onComplete:()=>{r&&r(),a&&a()}})}function u(a){return t.set(n),r&&r(),a&&a(),{stop:()=>{}}}return!c||!l||i.type===!1?u:f}function y1(){const{motionValues:e,stop:t,get:n}=r1();return{motionValues:e,stop:t,push:(r,i,o,l={},c)=>{const f=o[r],u=n(r,f,o);if(l&&l.immediate){u.set(i);return}const a=g1(r,u,i,l,c);u.start(a)}}}function v1(e,t={},{motionValues:n,push:s,stop:r}=y1()){const i=$e(t),o=z(!1);de(n,a=>{o.value=Object.values(a).filter(h=>h.isAnimating()).length>0},{immediate:!0,deep:!0});const l=a=>{if(!i||!i[a])throw new Error(`The variant ${a} does not exist.`);return i[a]},c=a=>{typeof a=="string"&&(a=l(a));const h=Object.entries(a).map(([m,g])=>{if(m!=="transition")return new Promise(w=>s(m,g,e,a.transition||tp(m,a[m]),w))}).filter(Boolean);async function d(){var m,g;await Promise.all(h),(g=(m=a.transition)==null?void 0:m.onComplete)==null||g.call(m)}return Promise.all([d()])};return{isAnimating:o,apply:c,set:a=>{const h=Ci(a)?a:l(a);Object.entries(h).forEach(([d,m])=>{d!=="transition"&&s(d,m,e,{immediate:!0})})},leave:async a=>{let h;if(i&&(i.leave&&(h=i.leave),!i.leave&&i.initial&&(h=i.initial)),!h){a();return}await c(h),a()},stop:r}}const _c=typeof window<"u",b1=()=>_c&&(window.onpointerdown===null||void 0),S1=()=>_c&&(window.ontouchstart===null||void 0),_1=()=>_c&&(window.onmousedown===null||void 0);function E1({target:e,state:t,variants:n,apply:s}){const r=$e(n),i=z(!1),o=z(!1),l=z(!1),c=ie(()=>{let u=[...Object.keys(t.value||{})];return r&&(r.hovered&&(u=[...u,...Object.keys(r.hovered)]),r.tapped&&(u=[...u,...Object.keys(r.tapped)]),r.focused&&(u=[...u,...Object.keys(r.focused)])),u}),f=ie(()=>{const u={};Object.assign(u,t.value),i.value&&r.hovered&&Object.assign(u,r.hovered),o.value&&r.tapped&&Object.assign(u,r.tapped),l.value&&r.focused&&Object.assign(u,r.focused);for(const a in u)c.value.includes(a)||delete u[a];return u});r.hovered&&(Ft(e,"mouseenter",()=>i.value=!0),Ft(e,"mouseleave",()=>{i.value=!1,o.value=!1})),r.tapped&&(_1()&&(Ft(e,"mousedown",()=>o.value=!0),Ft(e,"mouseup",()=>o.value=!1)),b1()&&(Ft(e,"pointerdown",()=>o.value=!0),Ft(e,"pointerup",()=>o.value=!1)),S1()&&(Ft(e,"touchstart",()=>o.value=!0),Ft(e,"touchend",()=>o.value=!1))),r.focused&&(Ft(e,"focus",()=>l.value=!0),Ft(e,"blur",()=>l.value=!1)),de([i,o,l],()=>{s(f.value)})}function w1({set:e,target:t,variants:n,variant:s}){const r=$e(n);de(()=>t,()=>{r&&(r.initial&&(e("initial"),s.value="initial"),r.enter&&(s.value="enter"))},{immediate:!0,flush:"pre"})}function T1({state:e,apply:t}){de(e,n=>{n&&t(n)},{immediate:!0})}function sp({target:e,variants:t,variant:n}){const s=$e(t);s&&(s.visible||s.visibleOnce)&&LS(e,([{isIntersecting:r}])=>{s.visible?r?n.value="visible":n.value="initial":s.visibleOnce&&(r&&n.value!=="visibleOnce"?n.value="visibleOnce":n.value||(n.value="initial"))})}function C1(e,t={syncVariants:!0,lifeCycleHooks:!0,visibilityHooks:!0,eventListeners:!0}){t.lifeCycleHooks&&w1(e),t.syncVariants&&T1(e),t.visibilityHooks&&sp(e),t.eventListeners&&E1(e)}function rp(e={}){const t=ft({...e}),n=z({});return de(t,()=>{const s={};for(const[r,i]of Object.entries(t)){const o=Sc(r),l=dl(i,o);s[r]=l}n.value=s},{immediate:!0,deep:!0}),{state:t,style:n}}function Ec(e,t){de(()=>js(e),n=>{n&&t(n)},{immediate:!0})}const A1={x:"translateX",y:"translateY",z:"translateZ"};function ip(e={},t=!0){const n=ft({...e}),s=z("");return de(n,r=>{let i="",o=!1;if(t&&(r.x||r.y||r.z)){const l=[r.x||0,r.y||0,r.z||0].map(c=>dl(c,re)).join(",");i+=`translate3d(${l}) `,o=!0}for(const[l,c]of Object.entries(r)){if(t&&(l==="x"||l==="y"||l==="z"))continue;const f=Sc(l),u=dl(c,f);i+=`${A1[l]||l}(${u}) `}t&&!o&&(i+="translateZ(0px) "),s.value=i.trim()},{immediate:!0,deep:!0}),{state:n,transform:s}}const x1=["","X","Y","Z"],O1=["perspective","translate","scale","rotate","skew"],op=["transformPerspective","x","y","z"];O1.forEach(e=>{x1.forEach(t=>{const n=e+t;op.push(n)})});const N1=new Set(op);function wc(e){return N1.has(e)}const R1=new Set(["originX","originY","originZ"]);function lp(e){return R1.has(e)}function I1(e){const t={},n={};return Object.entries(e).forEach(([s,r])=>{wc(s)||lp(s)?t[s]=r:n[s]=r}),{transform:t,style:n}}function P1(e){const{transform:t,style:n}=I1(e),{transform:s}=ip(t),{style:r}=rp(n);return s.value&&(r.value.transform=s.value),r.value}function M1(e,t){let n,s;const{state:r,style:i}=rp();return Ec(e,o=>{s=o;for(const l of Object.keys(np))o.style[l]===null||o.style[l]===""||wc(l)||lp(l)||(r[l]=o.style[l]);n&&Object.entries(n).forEach(([l,c])=>o.style[l]=c),t&&t(r)}),de(i,o=>{if(!s){n=o;return}for(const l in o)s.style[l]=o[l]},{immediate:!0}),{style:r}}function k1(e){const t=e.trim().split(/\) |\)/);if(t.length===1)return{};const n=s=>s.endsWith("px")||s.endsWith("deg")?Number.parseFloat(s):Number.isNaN(Number(s))?Number(s):s;return t.reduce((s,r)=>{if(!r)return s;const[i,o]=r.split("("),c=o.split(",").map(u=>n(u.endsWith(")")?u.replace(")",""):u.trim())),f=c.length===1?c[0]:c;return{...s,[i]:f}},{})}function F1(e,t){Object.entries(k1(t)).forEach(([n,s])=>{const r=["x","y","z"];if(n==="translate3d"){if(s===0){r.forEach(i=>e[i]=0);return}s.forEach((i,o)=>e[r[o]]=i);return}if(s=Number.parseFloat(`${s}`),n==="translateX"){e.x=s;return}if(n==="translateY"){e.y=s;return}if(n==="translateZ"){e.z=s;return}e[n]=s})}function L1(e,t){let n,s;const{state:r,transform:i}=ip();return Ec(e,o=>{s=o,o.style.transform&&F1(r,o.style.transform),n&&(o.style.transform=n),t&&t(r)}),de(i,o=>{if(!s){n=o;return}s.style.transform=o},{immediate:!0}),{transform:r}}function D1(e){return Object.entries(e)}function V1(e,t){const n=ft({}),s=o=>Object.entries(o).forEach(([l,c])=>n[l]=c),{style:r}=M1(e,s),{transform:i}=L1(e,s);return de(n,o=>{D1(o).forEach(([l,c])=>{const f=wc(l)?i:r;f[l]&&f[l]===c||(f[l]=c)})},{immediate:!0,deep:!0}),Ec(e,()=>t),{motionProperties:n,style:r,transform:i}}function $1(e={}){const t=$e(e),n=z();return{state:ie(()=>{if(n.value)return t[n.value]}),variant:n}}function B1(e,t={},n){const{motionProperties:s}=V1(e),{variant:r,state:i}=$1(t),o=v1(s,t),l={target:e,variant:r,variants:t,state:i,motionProperties:s,...o};return C1(l,n),l}const cp=["delay","duration"],H1=["initial","enter","leave","visible","visible-once","visibleOnce","hovered","tapped","focused",...cp];function j1(e){return cp.includes(e)}function U1(e,t){const n=e.props?e.props:e.data&&e.data.attrs?e.data.attrs:{};if(n){n.variants&&Ci(n.variants)&&(t.value={...t.value,...n.variants});for(let s of H1)if(!(!n||!n[s])){if(j1(s)&&typeof n[s]=="number"){for(const r of["enter","visible","visibleOnce"]){const i=t.value[r];i!=null&&(i.transition??(i.transition={}),i.transition[s]=n[s])}continue}if(Ci(n[s])){const r=n[s];s==="visible-once"&&(s="visibleOnce"),t.value[s]=r}}}}function TE(e,t=!1){return{created:(r,i,o)=>{const l=i.value&&typeof i.value=="string"?i.value:o.key;l&&To[l]&&To[l].stop();const c=t?structuredClone(oe(e)||{}):{},f=z(c);typeof i.value=="object"&&(f.value=i.value),U1(o,f);const a=B1(r,f,{eventListeners:!0,lifeCycleHooks:!0,syncVariants:!0,visibilityHooks:!1});r.motionInstance=a,l&&(To[l]=a)},mounted:(r,i,o)=>{r.motionInstance&&sp(r.motionInstance)},getSSRProps(r,i){let{initial:o}=r.value||i&&(i==null?void 0:i.props)||{};o=$e(o);const l=OS({},{},o||{});return!l||Object.keys(l).length===0?void 0:{style:P1(l)}}}}export{hE as $,oE as A,fE as B,Z1 as C,bn as D,de as E,Ve as F,xf as G,Gf as H,$e as I,Ct as J,Ui as K,Qu as L,Zu as M,Le as N,wn as O,wl as P,pr as Q,ft as R,Vn as S,Wm as T,q1 as U,Y1 as V,wE as W,gE as X,oe as Y,rE as Z,SE as _,Em as a,uE as a0,nE as a1,sE as a2,cE as a3,eE as a4,bE as a5,G1 as a6,TE as a7,K1 as a8,W1 as a9,z1 as aA,Xm as aB,lE as aC,X1 as aD,Xt as aE,Pe as aF,hS as aG,iE as aH,Nf as aI,sm as aJ,$l as aa,yE as ab,Du as ac,Q1 as ad,Tm as ae,gi as af,Zm as ag,dE as ah,qm as ai,Te as aj,pE as ak,Ga as al,vE as am,he as an,Jy as ao,Jm as ap,yy as aq,uS as ar,Hy as as,th as at,_E as au,EE as av,tE as aw,J1 as ax,aE as ay,mE as az,Kg as b,ui as c,hr as d,Vl as e,eg as f,Yg as g,ur as h,fr as i,Zy as j,Al as k,Ee as l,$f as m,En as n,Qs as o,_m as p,_p as q,Ym as r,Vf as s,z as t,qo as u,Ki as v,wm as w,gu as x,ku as y,ie as z};
diff --git a/docs/assets/monaco/abap-Dwu-KH2x.js b/docs/assets/monaco/abap-Dwu-KH2x.js
new file mode 100644
index 0000000..a5cd5fe
--- /dev/null
+++ b/docs/assets/monaco/abap-Dwu-KH2x.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"*"},brackets:[["[","]"],["(",")"]]},t={defaultToken:"invalid",ignoreCase:!0,tokenPostfix:".abap",keywords:["abap-source","abbreviated","abstract","accept","accepting","according","activation","actual","add","add-corresponding","adjacent","after","alias","aliases","align","all","allocate","alpha","analysis","analyzer","and","append","appendage","appending","application","archive","area","arithmetic","as","ascending","aspect","assert","assign","assigned","assigning","association","asynchronous","at","attributes","authority","authority-check","avg","back","background","backup","backward","badi","base","before","begin","between","big","binary","bintohex","bit","black","blank","blanks","blob","block","blocks","blue","bound","boundaries","bounds","boxed","break-point","buffer","by","bypassing","byte","byte-order","call","calling","case","cast","casting","catch","center","centered","chain","chain-input","chain-request","change","changing","channels","character","char-to-hex","check","checkbox","ci_","circular","class","class-coding","class-data","class-events","class-methods","class-pool","cleanup","clear","client","clob","clock","close","coalesce","code","coding","col_background","col_group","col_heading","col_key","col_negative","col_normal","col_positive","col_total","collect","color","column","columns","comment","comments","commit","common","communication","comparing","component","components","compression","compute","concat","concat_with_space","concatenate","cond","condense","condition","connect","connection","constants","context","contexts","continue","control","controls","conv","conversion","convert","copies","copy","corresponding","country","cover","cpi","create","creating","critical","currency","currency_conversion","current","cursor","cursor-selection","customer","customer-function","dangerous","data","database","datainfo","dataset","date","dats_add_days","dats_add_months","dats_days_between","dats_is_valid","daylight","dd/mm/yy","dd/mm/yyyy","ddmmyy","deallocate","decimal_shift","decimals","declarations","deep","default","deferred","define","defining","definition","delete","deleting","demand","department","descending","describe","destination","detail","dialog","directory","disconnect","display","display-mode","distinct","divide","divide-corresponding","division","do","dummy","duplicate","duplicates","duration","during","dynamic","dynpro","edit","editor-call","else","elseif","empty","enabled","enabling","encoding","end","endat","endcase","endcatch","endchain","endclass","enddo","endenhancement","end-enhancement-section","endexec","endform","endfunction","endian","endif","ending","endinterface","end-lines","endloop","endmethod","endmodule","end-of-definition","end-of-editing","end-of-file","end-of-page","end-of-selection","endon","endprovide","endselect","end-test-injection","end-test-seam","endtry","endwhile","endwith","engineering","enhancement","enhancement-point","enhancements","enhancement-section","entries","entry","enum","environment","equiv","errormessage","errors","escaping","event","events","exact","except","exception","exceptions","exception-table","exclude","excluding","exec","execute","exists","exit","exit-command","expand","expanding","expiration","explicit","exponent","export","exporting","extend","extended","extension","extract","fail","fetch","field","field-groups","fields","field-symbol","field-symbols","file","filter","filters","filter-table","final","find","first","first-line","fixed-point","fkeq","fkge","flush","font","for","form","format","forward","found","frame","frames","free","friends","from","function","functionality","function-pool","further","gaps","generate","get","giving","gkeq","gkge","global","grant","green","group","groups","handle","handler","harmless","hashed","having","hdb","header","headers","heading","head-lines","help-id","help-request","hextobin","hide","high","hint","hold","hotspot","icon","id","identification","identifier","ids","if","ignore","ignoring","immediately","implementation","implementations","implemented","implicit","import","importing","in","inactive","incl","include","includes","including","increment","index","index-line","infotypes","inheriting","init","initial","initialization","inner","inout","input","insert","instance","instances","instr","intensified","interface","interface-pool","interfaces","internal","intervals","into","inverse","inverted-date","is","iso","job","join","keep","keeping","kernel","key","keys","keywords","kind","language","last","late","layout","leading","leave","left","left-justified","leftplus","leftspace","legacy","length","let","level","levels","like","line","lines","line-count","linefeed","line-selection","line-size","list","listbox","list-processing","little","llang","load","load-of-program","lob","local","locale","locator","logfile","logical","log-point","long","loop","low","lower","lpad","lpi","ltrim","mail","main","major-id","mapping","margin","mark","mask","match","matchcode","max","maximum","medium","members","memory","mesh","message","message-id","messages","messaging","method","methods","min","minimum","minor-id","mm/dd/yy","mm/dd/yyyy","mmddyy","mode","modif","modifier","modify","module","move","move-corresponding","multiply","multiply-corresponding","name","nametab","native","nested","nesting","new","new-line","new-page","new-section","next","no","no-display","no-extension","no-gap","no-gaps","no-grouping","no-heading","no-scrolling","no-sign","no-title","no-topofpage","no-zero","node","nodes","non-unicode","non-unique","not","null","number","object","objects","obligatory","occurrence","occurrences","occurs","of","off","offset","ole","on","only","open","option","optional","options","or","order","other","others","out","outer","output","output-length","overflow","overlay","pack","package","pad","padding","page","pages","parameter","parameters","parameter-table","part","partially","pattern","percentage","perform","performing","person","pf1","pf10","pf11","pf12","pf13","pf14","pf15","pf2","pf3","pf4","pf5","pf6","pf7","pf8","pf9","pf-status","pink","places","pool","pos_high","pos_low","position","pragmas","precompiled","preferred","preserving","primary","print","print-control","priority","private","procedure","process","program","property","protected","provide","public","push","pushbutton","put","queue-only","quickinfo","radiobutton","raise","raising","range","ranges","read","reader","read-only","receive","received","receiver","receiving","red","redefinition","reduce","reduced","ref","reference","refresh","regex","reject","remote","renaming","replace","replacement","replacing","report","request","requested","reserve","reset","resolution","respecting","responsible","result","results","resumable","resume","retry","return","returncode","returning","returns","right","right-justified","rightplus","rightspace","risk","rmc_communication_failure","rmc_invalid_status","rmc_system_failure","role","rollback","rows","rpad","rtrim","run","sap","sap-spool","saving","scale_preserving","scale_preserving_scientific","scan","scientific","scientific_with_leading_zero","scroll","scroll-boundary","scrolling","search","secondary","seconds","section","select","selection","selections","selection-screen","selection-set","selection-sets","selection-table","select-options","send","separate","separated","set","shared","shift","short","shortdump-id","sign_as_postfix","single","size","skip","skipping","smart","some","sort","sortable","sorted","source","specified","split","spool","spots","sql","sqlscript","stable","stamp","standard","starting","start-of-editing","start-of-selection","state","statement","statements","static","statics","statusinfo","step-loop","stop","structure","structures","style","subkey","submatches","submit","subroutine","subscreen","subtract","subtract-corresponding","suffix","sum","summary","summing","supplied","supply","suppress","switch","switchstates","symbol","syncpoints","syntax","syntax-check","syntax-trace","system-call","system-exceptions","system-exit","tab","tabbed","table","tables","tableview","tabstrip","target","task","tasks","test","testing","test-injection","test-seam","text","textpool","then","throw","time","times","timestamp","timezone","tims_is_valid","title","titlebar","title-lines","to","tokenization","tokens","top-lines","top-of-page","trace-file","trace-table","trailing","transaction","transfer","transformation","translate","transporting","trmac","truncate","truncation","try","tstmp_add_seconds","tstmp_current_utctimestamp","tstmp_is_valid","tstmp_seconds_between","type","type-pool","type-pools","types","uline","unassign","under","unicode","union","unique","unit_conversion","unix","unpack","until","unwind","up","update","upper","user","user-command","using","utf-8","valid","value","value-request","values","vary","varying","verification-message","version","via","view","visible","wait","warning","when","whenever","where","while","width","window","windows","with","with-heading","without","with-title","word","work","write","writer","xml","xsd","yellow","yes","yymmdd","zero","zone","abap_system_timezone","abap_user_timezone","access","action","adabas","adjust_numbers","allow_precision_loss","allowed","amdp","applicationuser","as_geo_json","as400","associations","balance","behavior","breakup","bulk","cds","cds_client","check_before_save","child","clients","corr","corr_spearman","cross","cycles","datn_add_days","datn_add_months","datn_days_between","dats_from_datn","dats_tims_to_tstmp","dats_to_datn","db2","db6","ddl","dense_rank","depth","deterministic","discarding","entities","entity","error","failed","finalize","first_value","fltp_to_dec","following","fractional","full","graph","grouping","hierarchy","hierarchy_ancestors","hierarchy_ancestors_aggregate","hierarchy_descendants","hierarchy_descendants_aggregate","hierarchy_siblings","incremental","indicators","lag","last_value","lead","leaves","like_regexpr","link","locale_sap","lock","locks","many","mapped","matched","measures","median","mssqlnt","multiple","nodetype","ntile","nulls","occurrences_regexpr","one","operations","oracle","orphans","over","parent","parents","partition","pcre","period","pfcg_mapping","preceding","privileged","product","projection","rank","redirected","replace_regexpr","reported","response","responses","root","row","row_number","sap_system_date","save","schema","session","sets","shortdump","siblings","spantree","start","stddev","string_agg","subtotal","sybase","tims_from_timn","tims_to_timn","to_blob","to_clob","total","trace-entry","tstmp_to_dats","tstmp_to_dst","tstmp_to_tims","tstmpl_from_utcl","tstmpl_to_utcl","unbounded","utcl_add_seconds","utcl_current","utcl_seconds_between","uuid","var","verbatim"],builtinFunctions:["abs","acos","asin","atan","bit-set","boolc","boolx","ceil","char_off","charlen","cmax","cmin","concat_lines_of","contains","contains_any_not_of","contains_any_of","cos","cosh","count","count_any_not_of","count_any_of","dbmaxlen","distance","escape","exp","find_any_not_of","find_any_of","find_end","floor","frac","from_mixed","ipow","line_exists","line_index","log","log10","matches","nmax","nmin","numofchar","repeat","rescale","reverse","round","segment","shift_left","shift_right","sign","sin","sinh","sqrt","strlen","substring","substring_after","substring_before","substring_from","substring_to","tan","tanh","to_lower","to_mixed","to_upper","trunc","utclong_add","utclong_current","utclong_diff","xsdbool","xstrlen"],typeKeywords:["b","c","d","decfloat16","decfloat34","f","i","int8","n","p","s","string","t","utclong","x","xstring","any","clike","csequence","decfloat","numeric","simple","xsequence","accp","char","clnt","cuky","curr","datn","dats","d16d","d16n","d16r","d34d","d34n","d34r","dec","df16_dec","df16_raw","df34_dec","df34_raw","fltp","geom_ewkb","int1","int2","int4","lang","lchr","lraw","numc","quan","raw","rawstring","sstring","timn","tims","unit","utcl","df16_scl","df34_scl","prec","varc","abap_bool","abap_false","abap_true","abap_undefined","me","screen","space","super","sy","syst","table_line","*sys*"],builtinMethods:["class_constructor","constructor"],derivedTypes:["%CID","%CID_REF","%CONTROL","%DATA","%ELEMENT","%FAIL","%KEY","%MSG","%PARAM","%PID","%PID_ASSOC","%PID_PARENT","%_HINTS"],cdsLanguage:["@AbapAnnotation","@AbapCatalog","@AccessControl","@API","@ClientDependent","@ClientHandling","@CompatibilityContract","@DataAging","@EndUserText","@Environment","@LanguageDependency","@MappingRole","@Metadata","@MetadataExtension","@ObjectModel","@Scope","@Semantics","$EXTENSION","$SELF"],selectors:["->","->*","=>","~","~*"],operators:[" +"," -","/","*","**","div","mod","=","#","@","+=","-=","*=","/=","**=","&&=","?=","&","&&","bit-and","bit-not","bit-or","bit-xor","m","o","z","<"," >","<=",">=","<>","><","=<","=>","bt","byte-ca","byte-cn","byte-co","byte-cs","byte-na","byte-ns","ca","cn","co","cp","cs","eq","ge","gt","le","lt","na","nb","ne","np","ns","*/","*:","--","/*","//"],symbols:/[=><!~?&+\-*\/\^%#@]+/,tokenizer:{root:[[/[a-z_\/$%@]([\w\/$%]|-(?!>))*/,{cases:{"@typeKeywords":"type","@keywords":"keyword","@cdsLanguage":"annotation","@derivedTypes":"type","@builtinFunctions":"type","@builtinMethods":"type","@operators":"key","@default":"identifier"}}],[/<[\w]+>/,"identifier"],[/##[\w|_]+/,"comment"],{include:"@whitespace"},[/[:,.]/,"delimiter"],[/[{}()\[\]]/,"@brackets"],[/@symbols/,{cases:{"@selectors":"tag","@operators":"key","@default":""}}],[/'/,{token:"string",bracket:"@open",next:"@stringquote"}],[/`/,{token:"string",bracket:"@open",next:"@stringping"}],[/\|/,{token:"string",bracket:"@open",next:"@stringtemplate"}],[/\d+/,"number"]],stringtemplate:[[/[^\\\|]+/,"string"],[/\\\|/,"string"],[/\|/,{token:"string",bracket:"@close",next:"@pop"}]],stringping:[[/[^\\`]+/,"string"],[/`/,{token:"string",bracket:"@close",next:"@pop"}]],stringquote:[[/[^\\']+/,"string"],[/'/,{token:"string",bracket:"@close",next:"@pop"}]],whitespace:[[/[ \t\r\n]+/,""],[/^\*.*$/,"comment"],[/\".*$/,"comment"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/apex-Dn-XaljK.js b/docs/assets/monaco/apex-Dn-XaljK.js
new file mode 100644
index 0000000..20b61bb
--- /dev/null
+++ b/docs/assets/monaco/apex-Dn-XaljK.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var n={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\#\%\^\&\*\(\)\-\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"<",close:">"}],folding:{markers:{start:new RegExp("^\\s*//\\s*(?:(?:#?region\\b)|(?:<editor-fold\\b))"),end:new RegExp("^\\s*//\\s*(?:(?:#?endregion\\b)|(?:</editor-fold>))")}}},s=["abstract","activate","and","any","array","as","asc","assert","autonomous","begin","bigdecimal","blob","boolean","break","bulk","by","case","cast","catch","char","class","collect","commit","const","continue","convertcurrency","decimal","default","delete","desc","do","double","else","end","enum","exception","exit","export","extends","false","final","finally","float","for","from","future","get","global","goto","group","having","hint","if","implements","import","in","inner","insert","instanceof","int","interface","into","join","last_90_days","last_month","last_n_days","last_week","like","limit","list","long","loop","map","merge","native","new","next_90_days","next_month","next_n_days","next_week","not","null","nulls","number","object","of","on","or","outer","override","package","parallel","pragma","private","protected","public","retrieve","return","returning","rollback","savepoint","search","select","set","short","sort","stat","static","strictfp","super","switch","synchronized","system","testmethod","then","this","this_month","this_week","throw","throws","today","tolabel","tomorrow","transaction","transient","trigger","true","try","type","undelete","update","upsert","using","virtual","void","volatile","webservice","when","where","while","yesterday"],o=e=>e.charAt(0).toUpperCase()+e.substr(1),t=[];s.forEach(e=>{t.push(e),t.push(e.toUpperCase()),t.push(o(e))});var i={defaultToken:"",tokenPostfix:".apex",keywords:t,operators:["=",">","<","!","~","?",":","==","<=",">=","!=","&&","||","++","--","+","-","*","/","&","|","^","%","<<",">>",">>>","+=","-=","*=","/=","&=","|=","^=","%=","<<=",">>=",">>>="],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,digits:/\d+(_+\d+)*/,octaldigits:/[0-7]+(_+[0-7]+)*/,binarydigits:/[0-1]+(_+[0-1]+)*/,hexdigits:/[[0-9a-fA-F]+(_+[0-9a-fA-F]+)*/,tokenizer:{root:[[/[a-z_$][\w$]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],[/[A-Z][\w\$]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"type.identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/@\s*[a-zA-Z_\$][\w\$]*/,"annotation"],[/(@digits)[eE]([\-+]?(@digits))?[fFdD]?/,"number.float"],[/(@digits)\.(@digits)([eE][\-+]?(@digits))?[fFdD]?/,"number.float"],[/(@digits)[fFdD]/,"number.float"],[/(@digits)[lL]?/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/"/,"string",'@string."'],[/'/,"string","@string.'"],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@apexdoc"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],apexdoc:[[/[^\/*]+/,"comment.doc"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],string:[[/[^\\"']+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/["']/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":"string"}}]]}};export{n as conf,i as language};
diff --git a/docs/assets/monaco/azcli-C9RgAbUI.js b/docs/assets/monaco/azcli-C9RgAbUI.js
new file mode 100644
index 0000000..1686b31
--- /dev/null
+++ b/docs/assets/monaco/azcli-C9RgAbUI.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"}},t={defaultToken:"keyword",ignoreCase:!0,tokenPostfix:".azcli",str:/[^#\s]/,tokenizer:{root:[{include:"@comment"},[/\s-+@str*\s*/,{cases:{"@eos":{token:"key.identifier",next:"@popall"},"@default":{token:"key.identifier",next:"@type"}}}],[/^-+@str*\s*/,{cases:{"@eos":{token:"key.identifier",next:"@popall"},"@default":{token:"key.identifier",next:"@type"}}}]],type:[{include:"@comment"},[/-+@str*\s*/,{cases:{"@eos":{token:"key.identifier",next:"@popall"},"@default":"key.identifier"}}],[/@str+\s*/,{cases:{"@eos":{token:"string",next:"@popall"},"@default":"string"}}]],comment:[[/#.*$/,{cases:{"@eos":{token:"comment",next:"@popall"}}}]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/bat-CoZ5qQQA.js b/docs/assets/monaco/bat-CoZ5qQQA.js
new file mode 100644
index 0000000..7b1d893
--- /dev/null
+++ b/docs/assets/monaco/bat-CoZ5qQQA.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"REM"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}],surroundingPairs:[{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}],folding:{markers:{start:new RegExp("^\\s*(::\\s*|REM\\s+)#region"),end:new RegExp("^\\s*(::\\s*|REM\\s+)#endregion")}}},s={defaultToken:"",ignoreCase:!0,tokenPostfix:".bat",brackets:[{token:"delimiter.bracket",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"}],keywords:/call|defined|echo|errorlevel|exist|for|goto|if|pause|set|shift|start|title|not|pushd|popd/,symbols:/[=><!~?&|+\-*\/\^;\.,]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/^(\s*)(rem(?:\s.*|))$/,["","comment"]],[/(\@?)(@keywords)(?!\w)/,[{token:"keyword"},{token:"keyword.$2"}]],[/[ \t\r\n]+/,""],[/setlocal(?!\w)/,"keyword.tag-setlocal"],[/endlocal(?!\w)/,"keyword.tag-setlocal"],[/[a-zA-Z_]\w*/,""],[/:\w*/,"metatag"],[/%[^%]+%/,"variable"],[/%%[\w]+(?!\w)/,"variable"],[/[{}()\[\]]/,"@brackets"],[/@symbols/,"delimiter"],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F_]*[0-9a-fA-F]/,"number.hex"],[/\d+/,"number"],[/[;,.]/,"delimiter"],[/"/,"string",'@string."'],[/'/,"string","@string.'"]],string:[[/[^\\"'%]+/,{cases:{"@eos":{token:"string",next:"@popall"},"@default":"string"}}],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/%[\w ]+%/,"variable"],[/%%[\w]+(?!\w)/,"variable"],[/["']/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":"string"}}],[/$/,"string","@popall"]]}};export{e as conf,s as language};
diff --git a/docs/assets/monaco/bicep-BTXPn4uT.js b/docs/assets/monaco/bicep-BTXPn4uT.js
new file mode 100644
index 0000000..4aebe72
--- /dev/null
+++ b/docs/assets/monaco/bicep-BTXPn4uT.js
@@ -0,0 +1,7 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var n=e=>`\\b${e}\\b`,t="[_a-zA-Z]",o="[_a-zA-Z0-9]",r=n(`${t}${o}*`),i=["targetScope","resource","module","param","var","output","for","in","if","existing"],a=["true","false","null"],s="[ \\t\\r\\n]",c="[0-9]+",g={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"'",close:"'"},{open:"'''",close:"'''"}],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"'",close:"'",notIn:["string","comment"]},{open:"'''",close:"'''",notIn:["string","comment"]}],autoCloseBefore:`:.,=}])'
+ `,indentationRules:{increaseIndentPattern:new RegExp("^((?!\\/\\/).)*(\\{[^}\"'`]*|\\([^)\"'`]*|\\[[^\\]\"'`]*)$"),decreaseIndentPattern:new RegExp("^((?!.*?\\/\\*).*\\*/)?\\s*[\\}\\]].*$")}},l={defaultToken:"",tokenPostfix:".bicep",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"}],symbols:/[=><!~?:&|+\-*/^%]+/,keywords:i,namedLiterals:a,escapes:"\\\\(u{[0-9A-Fa-f]+}|n|r|t|\\\\|'|\\${)",tokenizer:{root:[{include:"@expression"},{include:"@whitespace"}],stringVerbatim:[{regex:"(|'|'')[^']",action:{token:"string"}},{regex:"'''",action:{token:"string.quote",next:"@pop"}}],stringLiteral:[{regex:"\\${",action:{token:"delimiter.bracket",next:"@bracketCounting"}},{regex:"[^\\\\'$]+",action:{token:"string"}},{regex:"@escapes",action:{token:"string.escape"}},{regex:"\\\\.",action:{token:"string.escape.invalid"}},{regex:"'",action:{token:"string",next:"@pop"}}],bracketCounting:[{regex:"{",action:{token:"delimiter.bracket",next:"@bracketCounting"}},{regex:"}",action:{token:"delimiter.bracket",next:"@pop"}},{include:"expression"}],comment:[{regex:"[^\\*]+",action:{token:"comment"}},{regex:"\\*\\/",action:{token:"comment",next:"@pop"}},{regex:"[\\/*]",action:{token:"comment"}}],whitespace:[{regex:s},{regex:"\\/\\*",action:{token:"comment",next:"@comment"}},{regex:"\\/\\/.*$",action:{token:"comment"}}],expression:[{regex:"'''",action:{token:"string.quote",next:"@stringVerbatim"}},{regex:"'",action:{token:"string.quote",next:"@stringLiteral"}},{regex:c,action:{token:"number"}},{regex:r,action:{cases:{"@keywords":{token:"keyword"},"@namedLiterals":{token:"keyword"},"@default":{token:"identifier"}}}}]}};export{g as conf,l as language};
diff --git a/docs/assets/monaco/bundled-types-B0l6HWZX.js b/docs/assets/monaco/bundled-types-B0l6HWZX.js
new file mode 100644
index 0000000..93d6bd8
--- /dev/null
+++ b/docs/assets/monaco/bundled-types-B0l6HWZX.js
@@ -0,0 +1,1191 @@
+const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/monaco/freemarker2-OnI5Gveq.js","assets/modules/file-saver-igGfcqei.js","assets/modules/vue-DPv8DyWv.js","assets/monaco/handlebars-BHvBDF_T.js","assets/monaco/html-DfZYg3qg.js","assets/monaco/javascript-DbX-vxQa.js","assets/monaco/typescript-DSer1JU9.js","assets/monaco/liquid-fLVojwb4.js","assets/monaco/mdx-gI0abYvb.js","assets/monaco/python-D975luWu.js","assets/monaco/razor-BSJ28aAe.js","assets/monaco/xml-Bfx2yygV.js","assets/monaco/yaml-BZGo8wj-.js","assets/monaco/cssMode-8LFZwXV3.js","assets/monaco/htmlMode-c_yfuTN3.js","assets/monaco/jsonMode-BkHO8n_X.js","assets/monaco/tsMode-CSjQzO28.js","assets/slidev/shiki-Bsl5RiOy.js","assets/modules/shiki-BNMsYMPq.js","assets/modules/shiki-Bxv373Z5.css"])))=>i.map(i=>d[i]);
+var Yoi=Object.defineProperty;var eai=(l,e,i)=>e in l?Yoi(l,e,{enumerable:!0,configurable:!0,writable:!0,value:i}):l[e]=i;var fp=(l,e,i)=>eai(l,typeof e!="symbol"?e+"":e,i);import{g as tai,c as Pyt,a as iai}from"../modules/file-saver-igGfcqei.js";import{z as uJ,A as nai,B as rai,C as sai,D as oai,E as aai,G as cai}from"../modules/vue-DPv8DyWv.js";function lai(l,e){for(var i=0;i<e.length;i++){const n=e[i];if(typeof n!="string"&&!Array.isArray(n)){for(const s in n)if(s!=="default"&&!(s in l)){const c=Object.getOwnPropertyDescriptor(n,s);c&&Object.defineProperty(l,s,c.get?c:{enumerable:!0,get:()=>n[s]})}}}return Object.freeze(Object.defineProperty(l,Symbol.toStringTag,{value:"Module"}))}const KB={theme:"default",title:"Really Functional Data Structures",titleTemplate:"%s - Slidev",addons:[],remoteAssets:!1,monaco:!0,monacoTypesSource:"local",monacoTypesAdditionalPackages:[],monacoTypesIgnorePackages:[],monacoRunAdditionalDeps:[],download:!1,export:{},info:!1,highlighter:"shiki",twoslash:!0,lineNumbers:!1,colorSchema:"light",routerMode:"history",aspectRatio:1.7777777777777777,canvasWidth:750,exportFilename:"",selectable:!1,themeConfig:{},fonts:{sans:['"Avenir Next"','"Nunito Sans"',"ui-sans-serif","system-ui","-apple-system","BlinkMacSystemFont",'"Segoe UI"',"Roboto",'"Helvetica Neue"',"Arial",'"Noto Sans"',"sans-serif",'"Apple Color Emoji"','"Segoe UI Emoji"','"Segoe UI Symbol"','"Noto Color Emoji"'],serif:["ui-serif","Georgia","Cambria",'"Times New Roman"',"Times","serif"],mono:['"Fira Code"',"ui-monospace","SFMono-Regular","Menlo","Monaco","Consolas",'"Liberation Mono"','"Courier New"',"monospace"],webfonts:["Avenir Next","Nunito Sans","Fira Code"],provider:"google",local:["Avenir Next"],italic:!1,weights:["200","400","600"]},favicon:"https://cdn.jsdelivr.net/gh/slidevjs/slidev/assets/favicon.png",drawings:{enabled:!0,persist:!1,presenterOnly:!1,syncAll:!0},plantUmlServer:"https://www.plantuml.com/plantuml",codeCopy:!0,record:"dev",css:"unocss",presenter:!0,htmlAttrs:{},transition:"instant",editor:!0,contextMenu:null,overviewSnapshots:!1,wakeLock:!0,mdc:!0,author:"Marvin Borner",class:"text-center",slidesTitle:"Really Functional Data Structures - Slidev"};function Q8i(l,e,i){return Math.min(i,Math.max(e,l))}function Z8i(...l){return dai(l).reduce((e,i)=>e+i,0)}function uai(l){return l=l??[],Array.isArray(l)?l:[l]}function dai(l){return uai(l).flat(1)}function Y8i(l){return Array.from(new Set(l))}function e7i(...l){let e,i,n;l.length===1?(e=0,n=1,[i]=l):[e,i,n=1]=l;const s=[];let c=e;for(;c<i;)s.push(c),c+=n||1;return s}function fai(l){return l!=null}function hai(l,e){return Object.fromEntries(Object.entries(l).map(([i,n])=>e(i,n)).filter(fai))}function _ai(l){let e;function i(){return e||(e=l()),e}return i.reset=async()=>{const n=e;e=void 0,n&&await n},i}function pai(l,e,i){var n=i||{},s=n.noTrailing,c=s===void 0?!1:s,d=n.noLeading,f=d===void 0?!1:d,m=n.debounceMode,v=m===void 0?void 0:m,x,w=!1,I=0;function P(){x&&clearTimeout(x)}function O(J){var Y=J||{},ae=Y.upcomingOnly,me=ae===void 0?!1:ae;P(),w=!me}function z(){for(var J=arguments.length,Y=new Array(J),ae=0;ae<J;ae++)Y[ae]=arguments[ae];var me=this,ye=Date.now()-I;if(w)return;function Ce(){I=Date.now(),e.apply(me,Y)}function Fe(){x=void 0}!f&&v&&!x&&Ce(),P(),v===void 0&&ye>l?f?(I=Date.now(),c||(x=setTimeout(v?Fe:Ce,l))):Ce():c!==!0&&(x=setTimeout(v?Fe:Ce,v===void 0?l-ye:l))}return z.cancel=O,z}function t7i(l,e,i){var n={},s=n.atBegin,c=s===void 0?!1:s;return pai(l,e,{debounceMode:c!==!1})}const i7i="build",mai=uJ(()=>KB.aspectRatio),gai=uJ(()=>KB.canvasWidth),n7i=uJ(()=>Math.ceil(gai.value/mai.value)),r7i=uJ(()=>hai(KB.themeConfig||{},(l,e)=>[`--slidev-theme-${l}`,e])),s7i=KB.slidesTitle,o7i="/tuela24",yai="modulepreload",vai=function(l){return"/tuela24/"+l},Ayt={},_o=function(e,i,n){let s=Promise.resolve();if(i&&i.length>0){document.getElementsByTagName("link");const d=document.querySelector("meta[property=csp-nonce]"),f=(d==null?void 0:d.nonce)||(d==null?void 0:d.getAttribute("nonce"));s=Promise.allSettled(i.map(m=>{if(m=vai(m),m in Ayt)return;Ayt[m]=!0;const v=m.endsWith(".css"),x=v?'[rel="stylesheet"]':"";if(document.querySelector(`link[href="${m}"]${x}`))return;const w=document.createElement("link");if(w.rel=v?"stylesheet":yai,v||(w.as="script"),w.crossOrigin="",w.href=m,f&&w.setAttribute("nonce",f),document.head.appendChild(w),v)return new Promise((I,P)=>{w.addEventListener("load",I),w.addEventListener("error",()=>P(new Error(`Unable to preload CSS for ${m}`)))})}))}function c(d){const f=new Event("vite:preloadError",{cancelable:!0});if(f.payload=d,window.dispatchEvent(f),!f.defaultPrevented)throw d}return s.then(d=>{for(const f of d||[])f.status==="rejected"&&c(f.reason);return e().catch(c)})},Oyt=nai(),K5e=rai("slidev-color-schema","auto"),Myt=uJ(()=>KB.colorSchema!=="auto"),Mqe=uJ({get(){return Myt.value?KB.colorSchema==="dark":K5e.value==="auto"?Oyt.value:K5e.value==="dark"},set(l){Myt.value||(K5e.value=l===Oyt.value?"auto":l?"dark":"light")}}),a7i=sai(Mqe);oai&&aai(Mqe,l=>{const e=document.querySelector("html");e.classList.toggle("dark",l),e.classList.toggle("light",!l)},{immediate:!0});const bai=[];var Cai=Object.defineProperty,Sai=Object.defineProperties,wai=Object.getOwnPropertyDescriptors,Ryt=Object.getOwnPropertySymbols,xai=Object.prototype.hasOwnProperty,kai=Object.prototype.propertyIsEnumerable,Fyt=(l,e,i)=>e in l?Cai(l,e,{enumerable:!0,configurable:!0,writable:!0,value:i}):l[e]=i,pkt=(l,e)=>{for(var i in e||(e={}))xai.call(e,i)&&Fyt(l,i,e[i]);if(Ryt)for(var i of Ryt(e))kai.call(e,i)&&Fyt(l,i,e[i]);return l},mkt=(l,e)=>Sai(l,wai(e)),Tne=(l,e,i)=>new Promise((n,s)=>{var c=m=>{try{f(i.next(m))}catch(v){s(v)}},d=m=>{try{f(i.throw(m))}catch(v){s(v)}},f=m=>m.done?n(m.value):Promise.resolve(m.value).then(c,d);f((i=i.apply(l,e)).next())}),Tai=(l,e)=>{const i=`https://data.jsdelivr.com/v1/package/npm/${e}`;return Rqe(l,i,{cache:"no-store"})},Dai=(l,e,i)=>{const n=`https://data.jsdelivr.com/v1/package/resolve/npm/${e}@${i}`;return Rqe(l,n)},Eai=(l,e,i)=>Tne(void 0,null,function*(){const n=`https://data.jsdelivr.com/v1/package/npm/${e}@${i}/flat`,s=yield Rqe(l,n);return s instanceof Error?s:mkt(pkt({},s),{moduleName:e,version:i})}),Byt=(l,e,i,n)=>Tne(void 0,null,function*(){const s=`https://cdn.jsdelivr.net/npm/${e}@${i}${n}`,d=yield(l.fetcher||fetch)(s);return d.ok?d.text():new Error("OK")});function Rqe(l,e,i){return(l.fetcher||fetch)(e,i).then(s=>s.ok?s.json().then(c=>c):new Error("OK"))}var Iai=l=>{const e=["assert","assert/strict","async_hooks","buffer","child_process","cluster","console","constants","crypto","dgram","diagnostics_channel","dns","dns/promises","domain","events","fs","fs/promises","http","http2","https","inspector","inspector/promises","module","net","os","path","path/posix","path/win32","perf_hooks","process","punycode","querystring","readline","repl","stream","stream/promises","stream/consumers","stream/web","string_decoder","sys","timers","timers/promises","tls","trace_events","tty","url","util","util/types","v8","vm","wasi","worker_threads","zlib"];if(l.indexOf("node:")===0||e.includes(l))return"node";const[i="",n=""]=l.split("/");return i.startsWith("@")?`${i}/${n}`:i},Nai=l=>{const e=new Map,i=new Map;let n=0,s=0;return d=>(n=0,s=0,c(d,0).then(f=>{var m,v;s>0&&((v=(m=l.delegate).finished)==null||v.call(m,i))}));function c(d,f){return Tne(this,null,function*(){var m,v,x,w,I;const P=Pai(l,e,d);P.forEach(rt=>e.set(rt.module,{state:"loading"}));const z=(yield Promise.all(P.map(rt=>Vyt(l,rt.module,rt.version)))).filter(rt=>!("error"in rt)),J=z.filter(rt=>rt.files.find(ct=>Fqe(ct.name))),Y=J.map(rt=>Wyt(rt,`/node_modules/${rt.moduleName}`)),ae=z.filter(rt=>!J.includes(rt)),ye=(yield Promise.all(ae.map(rt=>Vyt(l,`@types/${X5e(rt.moduleName)}`,"latest")))).filter(rt=>!("error"in rt)),Ce=ye.map(rt=>Wyt(rt,`/node_modules/@types/${X5e(rt.moduleName).replace("types__","")}`)),Fe=Y.concat(Ce).reduce((rt,ct)=>rt.concat(ct),[]);n+=Fe.length,Fe.length&&f===0&&((v=(m=l.delegate).started)==null||v.call(m));for(const rt of z){let ct=`/node_modules/${rt.moduleName}`;ye.includes(rt)&&(ct=`/node_modules/@types/${X5e(rt.moduleName).replace("types__","")}`);const Mt=ct+"/package.json",Yt=yield Byt(l,rt.moduleName,rt.version,"/package.json");typeof Yt=="string"?(i.set(Mt,Yt),(w=(x=l.delegate).receivedFile)==null||w.call(x,Yt,Mt)):(I=l.logger)==null||I.error(`Could not download package.json for ${rt.moduleName}`)}yield Promise.all(Fe.map(rt=>Tne(this,null,function*(){var ct,Mt,Yt;const Bi=yield Byt(l,rt.moduleName,rt.moduleVersion,rt.path);s++,Bi instanceof Error?(ct=l.logger)==null||ct.error(`Had an issue getting ${rt.path} for ${rt.moduleName}`):(i.set(rt.vfsPath,Bi),(Yt=(Mt=l.delegate).receivedFile)==null||Yt.call(Mt,Bi,rt.vfsPath),l.delegate.progress&&s%5===0&&l.delegate.progress(s,n),yield c(Bi,f+1))})))})}};function Wyt(l,e){const i=[];for(const n of l.files)Fqe(n.name)&&i.push({moduleName:l.moduleName,moduleVersion:l.version,vfsPath:`${e}${n.name}`,path:n.name});return i}var Lai=(l,e)=>{const i=l.preProcessFile(e),n=l.libMap||new Map;return i.referencedFiles.concat(i.importedFiles).concat(i.libReferenceDirectives).filter(c=>!Fqe(c.fileName)).filter(c=>!n.has(c.fileName)).map(c=>{let d;if(!c.fileName.startsWith(".")){d="latest";const f=e.slice(c.end).split(`
+`)[0];f.includes("// types:")&&(d=f.split("// types: ")[1].trim())}return{module:c.fileName,version:d}})};function Pai(l,e,i){return Lai(l.typescript,i).map(c=>mkt(pkt({},c),{module:Iai(c.module)})).filter(c=>!c.module.startsWith(".")).filter(c=>!e.has(c.module))}var Vyt=(l,e,i)=>Tne(void 0,null,function*(){let n=i||"latest";if(n.split(".").length<2){const c=yield Dai(l,e,n);if(c instanceof Error)return{error:c,userFacingMessage:`Could not go from a tag to version on npm for ${e} - possible typo?`};const d=c.version;if(!d){const f=yield Tai(l,e);if(f instanceof Error)return{error:c,userFacingMessage:`Could not get versions on npm for ${e} - possible typo?`};const m=Object.entries(f.tags).join(", ");return{error:new Error("Could not find tag for module"),userFacingMessage:`Could not find a tag for ${e} called ${i}. Did find ${m}`}}n=d}const s=yield Eai(l,e,n);return s instanceof Error?{error:s,userFacingMessage:`Could not get the files for ${e}@${n}. Is it possibly a typo?`}:s});function X5e(l){return l.indexOf("@")===0&&l.indexOf("/")!==-1&&(l=l.substr(1).replace("/","__")),l}function Fqe(l){return/\.d\.([^\.]+\.)?[cm]?ts$/i.test(l)}function sk(l,e=0){return l[l.length-(1+e)]}function Aai(l){if(l.length===0)throw new Error("Invalid tail call");return[l.slice(0,l.length-1),l[l.length-1]]}function Lf(l,e,i=(n,s)=>n===s){if(l===e)return!0;if(!l||!e||l.length!==e.length)return!1;for(let n=0,s=l.length;n<s;n++)if(!i(l[n],e[n]))return!1;return!0}function Oai(l,e){const i=l.length-1;e<i&&(l[e]=l[i]),l.pop()}function Dne(l,e,i){return Mai(l.length,n=>i(l[n],e))}function Mai(l,e){let i=0,n=l-1;for(;i<=n;){const s=(i+n)/2|0,c=e(s);if(c<0)i=s+1;else if(c>0)n=s-1;else return s}return-(i+1)}function oBe(l,e,i){if(l=l|0,l>=e.length)throw new TypeError("invalid index");const n=e[Math.floor(e.length*Math.random())],s=[],c=[],d=[];for(const f of e){const m=i(f,n);m<0?s.push(f):m>0?c.push(f):d.push(f)}return l<s.length?oBe(l,s,i):l<s.length+d.length?d[0]:oBe(l-(s.length+d.length),c,i)}function Hyt(l,e){const i=[];let n;for(const s of l.slice(0).sort(e))!n||e(n[0],s)!==0?(n=[s],i.push(n)):n.push(s);return i}function*Bqe(l,e){let i,n;for(const s of l)n!==void 0&&e(n,s)?i.push(s):(i&&(yield i),i=[s]),n=s;i&&(yield i)}function gkt(l,e){for(let i=0;i<=l.length;i++)e(i===0?void 0:l[i-1],i===l.length?void 0:l[i])}function Rai(l,e){for(let i=0;i<l.length;i++)e(i===0?void 0:l[i-1],l[i],i+1===l.length?void 0:l[i+1])}function yT(l){return l.filter(e=>!!e)}function jyt(l){let e=0;for(let i=0;i<l.length;i++)l[i]&&(l[e]=l[i],e+=1);l.length=e}function ykt(l){return!Array.isArray(l)||l.length===0}function ky(l){return Array.isArray(l)&&l.length>0}function r6(l,e=i=>i){const i=new Set;return l.filter(n=>{const s=e(n);return i.has(s)?!1:(i.add(s),!0)})}function Wqe(l,e){return l.length>0?l[0]:e}function Sb(l,e){let i=typeof e=="number"?l:0;typeof e=="number"?i=l:(i=0,e=l);const n=[];if(i<=e)for(let s=i;s<e;s++)n.push(s);else for(let s=i;s>e;s--)n.push(s);return n}function kSe(l,e,i){const n=l.slice(0,e),s=l.slice(e);return n.concat(i,s)}function Q5e(l,e){const i=l.indexOf(e);i>-1&&(l.splice(i,1),l.unshift(e))}function Hge(l,e){const i=l.indexOf(e);i>-1&&(l.splice(i,1),l.push(e))}function aBe(l,e){for(const i of e)l.push(i)}function Vqe(l){return Array.isArray(l)?l:[l]}function Fai(l,e,i){const n=vkt(l,e),s=l.length,c=i.length;l.length=s+c;for(let d=s-1;d>=n;d--)l[d+c]=l[d];for(let d=0;d<c;d++)l[d+n]=i[d]}function zyt(l,e,i,n){const s=vkt(l,e);let c=l.splice(s,i);return c===void 0&&(c=[]),Fai(l,s,n),c}function vkt(l,e){return e<0?Math.max(e+l.length,0):Math.min(e,l.length)}var Ene;(function(l){function e(c){return c<0}l.isLessThan=e;function i(c){return c<=0}l.isLessThanOrEqual=i;function n(c){return c>0}l.isGreaterThan=n;function s(c){return c===0}l.isNeitherLessOrGreaterThan=s,l.greaterThan=1,l.lessThan=-1,l.neitherLessOrGreaterThan=0})(Ene||(Ene={}));function yv(l,e){return(i,n)=>e(l(i),l(n))}function Bai(...l){return(e,i)=>{for(const n of l){const s=n(e,i);if(!Ene.isNeitherLessOrGreaterThan(s))return s}return Ene.neitherLessOrGreaterThan}}const mT=(l,e)=>l-e,Wai=(l,e)=>mT(l?1:0,e?1:0);function bkt(l){return(e,i)=>-l(e,i)}class s6{constructor(e){this.items=e,this.firstIdx=0,this.lastIdx=this.items.length-1}get length(){return this.lastIdx-this.firstIdx+1}takeWhile(e){let i=this.firstIdx;for(;i<this.items.length&&e(this.items[i]);)i++;const n=i===this.firstIdx?null:this.items.slice(this.firstIdx,i);return this.firstIdx=i,n}takeFromEndWhile(e){let i=this.lastIdx;for(;i>=0&&e(this.items[i]);)i--;const n=i===this.lastIdx?null:this.items.slice(i+1,this.lastIdx+1);return this.lastIdx=i,n}peek(){if(this.length!==0)return this.items[this.firstIdx]}dequeue(){const e=this.items[this.firstIdx];return this.firstIdx++,e}takeCount(e){const i=this.items.slice(this.firstIdx,this.firstIdx+e);return this.firstIdx+=e,i}}const wq=class wq{constructor(e){this.iterate=e}toArray(){const e=[];return this.iterate(i=>(e.push(i),!0)),e}filter(e){return new wq(i=>this.iterate(n=>e(n)?i(n):!0))}map(e){return new wq(i=>this.iterate(n=>i(e(n))))}findLast(e){let i;return this.iterate(n=>(e(n)&&(i=n),!0)),i}findLastMaxBy(e){let i,n=!0;return this.iterate(s=>((n||Ene.isGreaterThan(e(s,i)))&&(n=!1,i=s),!0)),i}};wq.empty=new wq(e=>{});let u9=wq;class z1e{constructor(e){this._indexMap=e}static createSortPermutation(e,i){const n=Array.from(e.keys()).sort((s,c)=>i(e[s],e[c]));return new z1e(n)}apply(e){return e.map((i,n)=>e[this._indexMap[n]])}inverse(){const e=this._indexMap.slice();for(let i=0;i<this._indexMap.length;i++)e[this._indexMap[i]]=i;return new z1e(e)}}function Eb(l){return typeof l=="string"}function gg(l){return typeof l=="object"&&l!==null&&!Array.isArray(l)&&!(l instanceof RegExp)&&!(l instanceof Date)}function Vai(l){const e=Object.getPrototypeOf(Uint8Array);return typeof l=="object"&&l instanceof e}function pR(l){return typeof l=="number"&&!isNaN(l)}function Uyt(l){return!!l&&typeof l[Symbol.iterator]=="function"}function Ckt(l){return l===!0||l===!1}function xy(l){return typeof l>"u"}function xL(l){return!pw(l)}function pw(l){return xy(l)||l===null}function Fl(l,e){if(!l)throw new Error(e?`Unexpected type, expected '${e}'`:"Unexpected type")}function NM(l){if(pw(l))throw new Error("Assertion Failed: argument is undefined or null");return l}function Ine(l){return typeof l=="function"}function Hai(l,e){const i=Math.min(l.length,e.length);for(let n=0;n<i;n++)jai(l[n],e[n])}function jai(l,e){if(Eb(e)){if(typeof l!==e)throw new Error(`argument does not match constraint: typeof ${e}`)}else if(Ine(e)){try{if(l instanceof e)return}catch{}if(!pw(l)&&l.constructor===e||e.length===1&&e.call(void 0,l)===!0)return;throw new Error("argument does not match one of these constraints: arg instanceof constraint, arg.constructor === constraint, nor constraint(arg) === true")}}function D4(l){if(!l||typeof l!="object"||l instanceof RegExp)return l;const e=Array.isArray(l)?[]:{};return Object.entries(l).forEach(([i,n])=>{e[i]=n&&typeof n=="object"?D4(n):n}),e}function zai(l){if(!l||typeof l!="object")return l;const e=[l];for(;e.length>0;){const i=e.shift();Object.freeze(i);for(const n in i)if(Skt.call(i,n)){const s=i[n];typeof s=="object"&&!Object.isFrozen(s)&&!Vai(s)&&e.push(s)}}return l}const Skt=Object.prototype.hasOwnProperty;function wkt(l,e){return cBe(l,e,new Set)}function cBe(l,e,i){if(pw(l))return l;const n=e(l);if(typeof n<"u")return n;if(Array.isArray(l)){const s=[];for(const c of l)s.push(cBe(c,e,i));return s}if(gg(l)){if(i.has(l))throw new Error("Cannot clone recursive data-structure");i.add(l);const s={};for(const c in l)Skt.call(l,c)&&(s[c]=cBe(l[c],e,i));return i.delete(l),s}return l}function TSe(l,e,i=!0){return gg(l)?(gg(e)&&Object.keys(e).forEach(n=>{n in l?i&&(gg(l[n])&&gg(e[n])?TSe(l[n],e[n],i):l[n]=e[n]):l[n]=e[n]}),l):e}function qC(l,e){if(l===e)return!0;if(l==null||e===null||e===void 0||typeof l!=typeof e||typeof l!="object"||Array.isArray(l)!==Array.isArray(e))return!1;let i,n;if(Array.isArray(l)){if(l.length!==e.length)return!1;for(i=0;i<l.length;i++)if(!qC(l[i],e[i]))return!1}else{const s=[];for(n in l)s.push(n);s.sort();const c=[];for(n in e)c.push(n);if(c.sort(),!qC(s,c))return!1;for(i=0;i<s.length;i++)if(!qC(l[s[i]],e[s[i]]))return!1}return!0}function Uai(l){let e=[];for(;Object.prototype!==l;)e=e.concat(Object.getOwnPropertyNames(l)),l=Object.getPrototypeOf(l);return e}function lBe(l){const e=[];for(const i of Uai(l))typeof l[i]=="function"&&e.push(i);return e}function qai(l,e){const i=s=>function(){const c=Array.prototype.slice.call(arguments,0);return e(s,c)},n={};for(const s of l)n[s]=i(s);return n}function xkt(){return globalThis._VSCODE_NLS_MESSAGES}function Hqe(){return globalThis._VSCODE_NLS_LANGUAGE}const $ai=Hqe()==="pseudo"||typeof document<"u"&&document.location&&document.location.hash.indexOf("pseudo=true")>=0;function U1e(l,e){let i;return e.length===0?i=l:i=l.replace(/\{(\d+)\}/g,(n,s)=>{const c=s[0],d=e[c];let f=n;return typeof d=="string"?f=d:(typeof d=="number"||typeof d=="boolean"||d===void 0||d===null)&&(f=String(d)),f}),$ai&&(i="["+i.replace(/[aouei]/g,"$&$&")+"]"),i}function W(l,e,...i){return U1e(typeof l=="number"?kkt(l,e):e,i)}function kkt(l,e){var n;const i=(n=xkt())==null?void 0:n[l];if(typeof i!="string"){if(typeof e=="string")return e;throw new Error(`!!! NLS MISSING: ${l} !!!`)}return i}function aa(l,e,...i){let n;typeof l=="number"?n=kkt(l,e):n=e;const s=U1e(n,i);return{value:s,original:e===n?s:U1e(e,i)}}const ZU="en";let q1e=!1,$1e=!1,Y0e=!1,Tkt=!1,jqe=!1,zqe=!1,Dkt=!1,jge,e1e=ZU,qyt=ZU,Jai,lE;const Q4=globalThis;let lv;var dkt;typeof Q4.vscode<"u"&&typeof Q4.vscode.process<"u"?lv=Q4.vscode.process:typeof process<"u"&&typeof((dkt=process==null?void 0:process.versions)==null?void 0:dkt.node)=="string"&&(lv=process);var fkt;const Gai=typeof((fkt=lv==null?void 0:lv.versions)==null?void 0:fkt.electron)=="string",Kai=Gai&&(lv==null?void 0:lv.type)==="renderer";var hkt;if(typeof lv=="object"){q1e=lv.platform==="win32",$1e=lv.platform==="darwin",Y0e=lv.platform==="linux",Y0e&&lv.env.SNAP&&lv.env.SNAP_REVISION,lv.env.CI||lv.env.BUILD_ARTIFACTSTAGINGDIRECTORY,jge=ZU,e1e=ZU;const l=lv.env.VSCODE_NLS_CONFIG;if(l)try{const e=JSON.parse(l);jge=e.userLocale,qyt=e.osLocale,e1e=e.resolvedLanguage||ZU,Jai=(hkt=e.languagePack)==null?void 0:hkt.translationsConfigFile}catch{}Tkt=!0}else typeof navigator=="object"&&!Kai?(lE=navigator.userAgent,q1e=lE.indexOf("Windows")>=0,$1e=lE.indexOf("Macintosh")>=0,zqe=(lE.indexOf("Macintosh")>=0||lE.indexOf("iPad")>=0||lE.indexOf("iPhone")>=0)&&!!navigator.maxTouchPoints&&navigator.maxTouchPoints>0,Y0e=lE.indexOf("Linux")>=0,Dkt=(lE==null?void 0:lE.indexOf("Mobi"))>=0,jqe=!0,e1e=Hqe()||ZU,jge=navigator.language.toLowerCase(),qyt=jge):console.error("Unable to resolve platform.");const I0=q1e,Hc=$1e,Cv=Y0e,PE=Tkt,XB=jqe,Xai=jqe&&typeof Q4.importScripts=="function",Qai=Xai?Q4.origin:void 0,VE=zqe,Ekt=Dkt,EL=lE,Zai=e1e,Yai=typeof Q4.postMessage=="function"&&!Q4.importScripts,Ikt=(()=>{if(Yai){const l=[];Q4.addEventListener("message",i=>{if(i.data&&i.data.vscodeScheduleAsyncWork)for(let n=0,s=l.length;n<s;n++){const c=l[n];if(c.id===i.data.vscodeScheduleAsyncWork){l.splice(n,1),c.callback();return}}});let e=0;return i=>{const n=++e;l.push({id:n,callback:i}),Q4.postMessage({vscodeScheduleAsyncWork:n},"*")}}return l=>setTimeout(l)})(),UC=$1e||zqe?2:q1e?1:3;let $yt=!0,Jyt=!1;function Nkt(){if(!Jyt){Jyt=!0;const l=new Uint8Array(2);l[0]=1,l[1]=2,$yt=new Uint16Array(l.buffer)[0]===513}return $yt}const Lkt=!!(EL&&EL.indexOf("Chrome")>=0),eci=!!(EL&&EL.indexOf("Firefox")>=0),tci=!!(!Lkt&&EL&&EL.indexOf("Safari")>=0),ici=!!(EL&&EL.indexOf("Edg/")>=0),nci=!!(EL&&EL.indexOf("Android")>=0),p1={tabSize:4,indentSize:4,insertSpaces:!0,detectIndentation:!0,trimAutoWhitespace:!0,largeFileOptimizations:!0,bracketPairColorizationOptions:{enabled:!0,independentColorPoolPerBracketType:!1}};var dl;(function(l){function e(ye){return ye&&typeof ye=="object"&&typeof ye[Symbol.iterator]=="function"}l.is=e;const i=Object.freeze([]);function n(){return i}l.empty=n;function*s(ye){yield ye}l.single=s;function c(ye){return e(ye)?ye:s(ye)}l.wrap=c;function d(ye){return ye||i}l.from=d;function*f(ye){for(let Ce=ye.length-1;Ce>=0;Ce--)yield ye[Ce]}l.reverse=f;function m(ye){return!ye||ye[Symbol.iterator]().next().done===!0}l.isEmpty=m;function v(ye){return ye[Symbol.iterator]().next().value}l.first=v;function x(ye,Ce){let Fe=0;for(const rt of ye)if(Ce(rt,Fe++))return!0;return!1}l.some=x;function w(ye,Ce){for(const Fe of ye)if(Ce(Fe))return Fe}l.find=w;function*I(ye,Ce){for(const Fe of ye)Ce(Fe)&&(yield Fe)}l.filter=I;function*P(ye,Ce){let Fe=0;for(const rt of ye)yield Ce(rt,Fe++)}l.map=P;function*O(ye,Ce){let Fe=0;for(const rt of ye)yield*Ce(rt,Fe++)}l.flatMap=O;function*z(...ye){for(const Ce of ye)yield*Ce}l.concat=z;function J(ye,Ce,Fe){let rt=Fe;for(const ct of ye)rt=Ce(rt,ct);return rt}l.reduce=J;function*Y(ye,Ce,Fe=ye.length){for(Ce<0&&(Ce+=ye.length),Fe<0?Fe+=ye.length:Fe>ye.length&&(Fe=ye.length);Ce<Fe;Ce++)yield ye[Ce]}l.slice=Y;function ae(ye,Ce=Number.POSITIVE_INFINITY){const Fe=[];if(Ce===0)return[Fe,ye];const rt=ye[Symbol.iterator]();for(let ct=0;ct<Ce;ct++){const Mt=rt.next();if(Mt.done)return[Fe,l.empty()];Fe.push(Mt.value)}return[Fe,{[Symbol.iterator](){return rt}}]}l.consume=ae;async function me(ye){const Ce=[];for await(const Fe of ye)Ce.push(Fe);return Promise.resolve(Ce)}l.asyncToArray=me})(dl||(dl={}));var q4;let Nm=(q4=class{constructor(e){this.element=e,this.next=q4.Undefined,this.prev=q4.Undefined}},q4.Undefined=new q4(void 0),q4);class _v{constructor(){this._first=Nm.Undefined,this._last=Nm.Undefined,this._size=0}get size(){return this._size}isEmpty(){return this._first===Nm.Undefined}clear(){let e=this._first;for(;e!==Nm.Undefined;){const i=e.next;e.prev=Nm.Undefined,e.next=Nm.Undefined,e=i}this._first=Nm.Undefined,this._last=Nm.Undefined,this._size=0}unshift(e){return this._insert(e,!1)}push(e){return this._insert(e,!0)}_insert(e,i){const n=new Nm(e);if(this._first===Nm.Undefined)this._first=n,this._last=n;else if(i){const c=this._last;this._last=n,n.prev=c,c.next=n}else{const c=this._first;this._first=n,n.next=c,c.prev=n}this._size+=1;let s=!1;return()=>{s||(s=!0,this._remove(n))}}shift(){if(this._first!==Nm.Undefined){const e=this._first.element;return this._remove(this._first),e}}pop(){if(this._last!==Nm.Undefined){const e=this._last.element;return this._remove(this._last),e}}_remove(e){if(e.prev!==Nm.Undefined&&e.next!==Nm.Undefined){const i=e.prev;i.next=e.next,e.next.prev=i}else e.prev===Nm.Undefined&&e.next===Nm.Undefined?(this._first=Nm.Undefined,this._last=Nm.Undefined):e.next===Nm.Undefined?(this._last=this._last.prev,this._last.next=Nm.Undefined):e.prev===Nm.Undefined&&(this._first=this._first.next,this._first.prev=Nm.Undefined);this._size-=1}*[Symbol.iterator](){let e=this._first;for(;e!==Nm.Undefined;)yield e.element,e=e.next}}const J1e="`~!@#$%^&*()-=+[{]}\\|;:'\",.<>/?";function rci(l=""){let e="(-?\\d*\\.\\d\\w*)|([^";for(const i of J1e)l.indexOf(i)>=0||(e+="\\"+i);return e+="\\s]+)",new RegExp(e,"g")}const Uqe=rci();function qqe(l){let e=Uqe;if(l&&l instanceof RegExp)if(l.global)e=l;else{let i="g";l.ignoreCase&&(i+="i"),l.multiline&&(i+="m"),l.unicode&&(i+="u"),e=new RegExp(l.source,i)}return e.lastIndex=0,e}const Pkt=new _v;Pkt.unshift({maxLen:1e3,windowSize:15,timeBudget:150});function Nne(l,e,i,n,s){if(e=qqe(e),s||(s=dl.first(Pkt)),i.length>s.maxLen){let v=l-s.maxLen/2;return v<0?v=0:n+=v,i=i.substring(v,l+s.maxLen/2),Nne(l,e,i,n,s)}const c=Date.now(),d=l-1-n;let f=-1,m=null;for(let v=1;!(Date.now()-c>=s.timeBudget);v++){const x=d-s.windowSize*v;e.lastIndex=Math.max(0,x);const w=sci(e,i,d,f);if(!w&&m||(m=w,x<=0))break;f=x}if(m){const v={word:m[0],startColumn:n+1+m.index,endColumn:n+1+m.index+m[0].length};return e.lastIndex=0,v}return null}function sci(l,e,i,n){let s;for(;s=l.exec(e);){const c=s.index||0;if(c<=i&&l.lastIndex>=i)return s;if(n>0&&c>n)return null}return null}const QN=8;class Akt{constructor(e){this._values=e}hasChanged(e){return this._values[e]}}class Okt{constructor(){this.stableMinimapLayoutInput=null,this.stableFitMaxMinimapScale=0,this.stableFitRemainingWidth=0}}class bf{constructor(e,i,n,s){this.id=e,this.name=i,this.defaultValue=n,this.schema=s}applyUpdate(e,i){return DSe(e,i)}compute(e,i,n){return n}}class Sie{constructor(e,i){this.newValue=e,this.didChange=i}}function DSe(l,e){if(typeof l!="object"||typeof e!="object"||!l||!e)return new Sie(e,l!==e);if(Array.isArray(l)||Array.isArray(e)){const n=Array.isArray(l)&&Array.isArray(e)&&Lf(l,e);return new Sie(e,!n)}let i=!1;for(const n in e)if(e.hasOwnProperty(n)){const s=DSe(l[n],e[n]);s.didChange&&(l[n]=s.newValue,i=!0)}return new Sie(l,i)}class cse{constructor(e){this.schema=void 0,this.id=e,this.name="_never_",this.defaultValue=void 0}applyUpdate(e,i){return DSe(e,i)}validate(e){return this.defaultValue}}class dJ{constructor(e,i,n,s){this.id=e,this.name=i,this.defaultValue=n,this.schema=s}applyUpdate(e,i){return DSe(e,i)}validate(e){return typeof e>"u"?this.defaultValue:e}compute(e,i,n){return n}}function lo(l,e){return typeof l>"u"?e:l==="false"?!1:!!l}class Ml extends dJ{constructor(e,i,n,s=void 0){typeof s<"u"&&(s.type="boolean",s.default=n),super(e,i,n,s)}validate(e){return lo(e,this.defaultValue)}}function kF(l,e,i,n){if(typeof l>"u")return e;let s=parseInt(l,10);return isNaN(s)?e:(s=Math.max(i,s),s=Math.min(n,s),s|0)}class Ed extends dJ{static clampedInt(e,i,n,s){return kF(e,i,n,s)}constructor(e,i,n,s,c,d=void 0){typeof d<"u"&&(d.type="integer",d.default=n,d.minimum=s,d.maximum=c),super(e,i,n,d),this.minimum=s,this.maximum=c}validate(e){return Ed.clampedInt(e,this.defaultValue,this.minimum,this.maximum)}}function oci(l,e,i,n){if(typeof l>"u")return e;const s=vw.float(l,e);return vw.clamp(s,i,n)}class vw extends dJ{static clamp(e,i,n){return e<i?i:e>n?n:e}static float(e,i){if(typeof e=="number")return e;if(typeof e>"u")return i;const n=parseFloat(e);return isNaN(n)?i:n}constructor(e,i,n,s,c){typeof c<"u"&&(c.type="number",c.default=n),super(e,i,n,c),this.validationFn=s}validate(e){return this.validationFn(vw.float(e,this.defaultValue))}}class Sy extends dJ{static string(e,i){return typeof e!="string"?i:e}constructor(e,i,n,s=void 0){typeof s<"u"&&(s.type="string",s.default=n),super(e,i,n,s)}validate(e){return Sy.string(e,this.defaultValue)}}function Ah(l,e,i,n){return typeof l!="string"?e:n&&l in n?n[l]:i.indexOf(l)===-1?e:l}class Ph extends dJ{constructor(e,i,n,s,c=void 0){typeof c<"u"&&(c.type="string",c.enum=s,c.default=n),super(e,i,n,c),this._allowedValues=s}validate(e){return Ah(e,this.defaultValue,this._allowedValues)}}class zge extends bf{constructor(e,i,n,s,c,d,f=void 0){typeof f<"u"&&(f.type="string",f.enum=c,f.default=s),super(e,i,n,f),this._allowedValues=c,this._convert=d}validate(e){return typeof e!="string"?this.defaultValue:this._allowedValues.indexOf(e)===-1?this.defaultValue:this._convert(e)}}function aci(l){switch(l){case"none":return 0;case"keep":return 1;case"brackets":return 2;case"advanced":return 3;case"full":return 4}}class cci extends bf{constructor(){super(2,"accessibilitySupport",0,{type:"string",enum:["auto","on","off"],enumDescriptions:[W("accessibilitySupport.auto","Use platform APIs to detect when a Screen Reader is attached."),W("accessibilitySupport.on","Optimize for usage with a Screen Reader."),W("accessibilitySupport.off","Assume a screen reader is not attached.")],default:"auto",tags:["accessibility"],description:W("accessibilitySupport","Controls if the UI should run in a mode where it is optimized for screen readers.")})}validate(e){switch(e){case"auto":return 0;case"off":return 1;case"on":return 2}return this.defaultValue}compute(e,i,n){return n===0?e.accessibilitySupport:n}}class lci extends bf{constructor(){const e={insertSpace:!0,ignoreEmptyLines:!0};super(23,"comments",e,{"editor.comments.insertSpace":{type:"boolean",default:e.insertSpace,description:W("comments.insertSpace","Controls whether a space character is inserted when commenting.")},"editor.comments.ignoreEmptyLines":{type:"boolean",default:e.ignoreEmptyLines,description:W("comments.ignoreEmptyLines","Controls if empty lines should be ignored with toggle, add or remove actions for line comments.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{insertSpace:lo(i.insertSpace,this.defaultValue.insertSpace),ignoreEmptyLines:lo(i.ignoreEmptyLines,this.defaultValue.ignoreEmptyLines)}}}function uci(l){switch(l){case"blink":return 1;case"smooth":return 2;case"phase":return 3;case"expand":return 4;case"solid":return 5}}var n0;(function(l){l[l.Line=1]="Line",l[l.Block=2]="Block",l[l.Underline=3]="Underline",l[l.LineThin=4]="LineThin",l[l.BlockOutline=5]="BlockOutline",l[l.UnderlineThin=6]="UnderlineThin"})(n0||(n0={}));function dci(l){switch(l){case"line":return n0.Line;case"block":return n0.Block;case"underline":return n0.Underline;case"line-thin":return n0.LineThin;case"block-outline":return n0.BlockOutline;case"underline-thin":return n0.UnderlineThin}}class fci extends cse{constructor(){super(143)}compute(e,i,n){const s=["monaco-editor"];return i.get(39)&&s.push(i.get(39)),e.extraEditorClassName&&s.push(e.extraEditorClassName),i.get(74)==="default"?s.push("mouse-default"):i.get(74)==="copy"&&s.push("mouse-copy"),i.get(112)&&s.push("showUnused"),i.get(141)&&s.push("showDeprecated"),s.join(" ")}}class hci extends Ml{constructor(){super(37,"emptySelectionClipboard",!0,{description:W("emptySelectionClipboard","Controls whether copying without a selection copies the current line.")})}compute(e,i,n){return n&&e.emptySelectionClipboard}}class _ci extends bf{constructor(){const e={cursorMoveOnType:!0,seedSearchStringFromSelection:"always",autoFindInSelection:"never",globalFindClipboard:!1,addExtraSpaceOnTop:!0,loop:!0};super(41,"find",e,{"editor.find.cursorMoveOnType":{type:"boolean",default:e.cursorMoveOnType,description:W("find.cursorMoveOnType","Controls whether the cursor should jump to find matches while typing.")},"editor.find.seedSearchStringFromSelection":{type:"string",enum:["never","always","selection"],default:e.seedSearchStringFromSelection,enumDescriptions:[W("editor.find.seedSearchStringFromSelection.never","Never seed search string from the editor selection."),W("editor.find.seedSearchStringFromSelection.always","Always seed search string from the editor selection, including word at cursor position."),W("editor.find.seedSearchStringFromSelection.selection","Only seed search string from the editor selection.")],description:W("find.seedSearchStringFromSelection","Controls whether the search string in the Find Widget is seeded from the editor selection.")},"editor.find.autoFindInSelection":{type:"string",enum:["never","always","multiline"],default:e.autoFindInSelection,enumDescriptions:[W("editor.find.autoFindInSelection.never","Never turn on Find in Selection automatically (default)."),W("editor.find.autoFindInSelection.always","Always turn on Find in Selection automatically."),W("editor.find.autoFindInSelection.multiline","Turn on Find in Selection automatically when multiple lines of content are selected.")],description:W("find.autoFindInSelection","Controls the condition for turning on Find in Selection automatically.")},"editor.find.globalFindClipboard":{type:"boolean",default:e.globalFindClipboard,description:W("find.globalFindClipboard","Controls whether the Find Widget should read or modify the shared find clipboard on macOS."),included:Hc},"editor.find.addExtraSpaceOnTop":{type:"boolean",default:e.addExtraSpaceOnTop,description:W("find.addExtraSpaceOnTop","Controls whether the Find Widget should add extra lines on top of the editor. When true, you can scroll beyond the first line when the Find Widget is visible.")},"editor.find.loop":{type:"boolean",default:e.loop,description:W("find.loop","Controls whether the search automatically restarts from the beginning (or the end) when no further matches can be found.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{cursorMoveOnType:lo(i.cursorMoveOnType,this.defaultValue.cursorMoveOnType),seedSearchStringFromSelection:typeof e.seedSearchStringFromSelection=="boolean"?e.seedSearchStringFromSelection?"always":"never":Ah(i.seedSearchStringFromSelection,this.defaultValue.seedSearchStringFromSelection,["never","always","selection"]),autoFindInSelection:typeof e.autoFindInSelection=="boolean"?e.autoFindInSelection?"always":"never":Ah(i.autoFindInSelection,this.defaultValue.autoFindInSelection,["never","always","multiline"]),globalFindClipboard:lo(i.globalFindClipboard,this.defaultValue.globalFindClipboard),addExtraSpaceOnTop:lo(i.addExtraSpaceOnTop,this.defaultValue.addExtraSpaceOnTop),loop:lo(i.loop,this.defaultValue.loop)}}}const S4=class S4 extends bf{constructor(){super(51,"fontLigatures",S4.OFF,{anyOf:[{type:"boolean",description:W("fontLigatures","Enables/Disables font ligatures ('calt' and 'liga' font features). Change this to a string for fine-grained control of the 'font-feature-settings' CSS property.")},{type:"string",description:W("fontFeatureSettings","Explicit 'font-feature-settings' CSS property. A boolean can be passed instead if one only needs to turn on/off ligatures.")}],description:W("fontLigaturesGeneral","Configures font ligatures or font features. Can be either a boolean to enable/disable ligatures or a string for the value of the CSS 'font-feature-settings' property."),default:!1})}validate(e){return typeof e>"u"?this.defaultValue:typeof e=="string"?e==="false"||e.length===0?S4.OFF:e==="true"?S4.ON:e:e?S4.ON:S4.OFF}};S4.OFF='"liga" off, "calt" off',S4.ON='"liga" on, "calt" on';let mR=S4;const w4=class w4 extends bf{constructor(){super(54,"fontVariations",w4.OFF,{anyOf:[{type:"boolean",description:W("fontVariations","Enables/Disables the translation from font-weight to font-variation-settings. Change this to a string for fine-grained control of the 'font-variation-settings' CSS property.")},{type:"string",description:W("fontVariationSettings","Explicit 'font-variation-settings' CSS property. A boolean can be passed instead if one only needs to translate font-weight to font-variation-settings.")}],description:W("fontVariationsGeneral","Configures font variations. Can be either a boolean to enable/disable the translation from font-weight to font-variation-settings or a string for the value of the CSS 'font-variation-settings' property."),default:!1})}validate(e){return typeof e>"u"?this.defaultValue:typeof e=="string"?e==="false"?w4.OFF:e==="true"?w4.TRANSLATE:e:e?w4.TRANSLATE:w4.OFF}compute(e,i,n){return e.fontInfo.fontVariationSettings}};w4.OFF="normal",w4.TRANSLATE="translate";let Lne=w4;class pci extends cse{constructor(){super(50)}compute(e,i,n){return e.fontInfo}}class mci extends dJ{constructor(){super(52,"fontSize",vv.fontSize,{type:"number",minimum:6,maximum:100,default:vv.fontSize,description:W("fontSize","Controls the font size in pixels.")})}validate(e){const i=vw.float(e,this.defaultValue);return i===0?vv.fontSize:vw.clamp(i,6,100)}compute(e,i,n){return e.fontInfo.fontSize}}const nL=class nL extends bf{constructor(){super(53,"fontWeight",vv.fontWeight,{anyOf:[{type:"number",minimum:nL.MINIMUM_VALUE,maximum:nL.MAXIMUM_VALUE,errorMessage:W("fontWeightErrorMessage",'Only "normal" and "bold" keywords or numbers between 1 and 1000 are allowed.')},{type:"string",pattern:"^(normal|bold|1000|[1-9][0-9]{0,2})$"},{enum:nL.SUGGESTION_VALUES}],default:vv.fontWeight,description:W("fontWeight",'Controls the font weight. Accepts "normal" and "bold" keywords or numbers between 1 and 1000.')})}validate(e){return e==="normal"||e==="bold"?e:String(Ed.clampedInt(e,vv.fontWeight,nL.MINIMUM_VALUE,nL.MAXIMUM_VALUE))}};nL.SUGGESTION_VALUES=["normal","bold","100","200","300","400","500","600","700","800","900"],nL.MINIMUM_VALUE=1,nL.MAXIMUM_VALUE=1e3;let uBe=nL;class gci extends bf{constructor(){const e={multiple:"peek",multipleDefinitions:"peek",multipleTypeDefinitions:"peek",multipleDeclarations:"peek",multipleImplementations:"peek",multipleReferences:"peek",multipleTests:"peek",alternativeDefinitionCommand:"editor.action.goToReferences",alternativeTypeDefinitionCommand:"editor.action.goToReferences",alternativeDeclarationCommand:"editor.action.goToReferences",alternativeImplementationCommand:"",alternativeReferenceCommand:"",alternativeTestsCommand:""},i={type:"string",enum:["peek","gotoAndPeek","goto"],default:e.multiple,enumDescriptions:[W("editor.gotoLocation.multiple.peek","Show Peek view of the results (default)"),W("editor.gotoLocation.multiple.gotoAndPeek","Go to the primary result and show a Peek view"),W("editor.gotoLocation.multiple.goto","Go to the primary result and enable Peek-less navigation to others")]},n=["","editor.action.referenceSearch.trigger","editor.action.goToReferences","editor.action.peekImplementation","editor.action.goToImplementation","editor.action.peekTypeDefinition","editor.action.goToTypeDefinition","editor.action.peekDeclaration","editor.action.revealDeclaration","editor.action.peekDefinition","editor.action.revealDefinitionAside","editor.action.revealDefinition"];super(58,"gotoLocation",e,{"editor.gotoLocation.multiple":{deprecationMessage:W("editor.gotoLocation.multiple.deprecated","This setting is deprecated, please use separate settings like 'editor.editor.gotoLocation.multipleDefinitions' or 'editor.editor.gotoLocation.multipleImplementations' instead.")},"editor.gotoLocation.multipleDefinitions":{description:W("editor.editor.gotoLocation.multipleDefinitions","Controls the behavior the 'Go to Definition'-command when multiple target locations exist."),...i},"editor.gotoLocation.multipleTypeDefinitions":{description:W("editor.editor.gotoLocation.multipleTypeDefinitions","Controls the behavior the 'Go to Type Definition'-command when multiple target locations exist."),...i},"editor.gotoLocation.multipleDeclarations":{description:W("editor.editor.gotoLocation.multipleDeclarations","Controls the behavior the 'Go to Declaration'-command when multiple target locations exist."),...i},"editor.gotoLocation.multipleImplementations":{description:W("editor.editor.gotoLocation.multipleImplemenattions","Controls the behavior the 'Go to Implementations'-command when multiple target locations exist."),...i},"editor.gotoLocation.multipleReferences":{description:W("editor.editor.gotoLocation.multipleReferences","Controls the behavior the 'Go to References'-command when multiple target locations exist."),...i},"editor.gotoLocation.alternativeDefinitionCommand":{type:"string",default:e.alternativeDefinitionCommand,enum:n,description:W("alternativeDefinitionCommand","Alternative command id that is being executed when the result of 'Go to Definition' is the current location.")},"editor.gotoLocation.alternativeTypeDefinitionCommand":{type:"string",default:e.alternativeTypeDefinitionCommand,enum:n,description:W("alternativeTypeDefinitionCommand","Alternative command id that is being executed when the result of 'Go to Type Definition' is the current location.")},"editor.gotoLocation.alternativeDeclarationCommand":{type:"string",default:e.alternativeDeclarationCommand,enum:n,description:W("alternativeDeclarationCommand","Alternative command id that is being executed when the result of 'Go to Declaration' is the current location.")},"editor.gotoLocation.alternativeImplementationCommand":{type:"string",default:e.alternativeImplementationCommand,enum:n,description:W("alternativeImplementationCommand","Alternative command id that is being executed when the result of 'Go to Implementation' is the current location.")},"editor.gotoLocation.alternativeReferenceCommand":{type:"string",default:e.alternativeReferenceCommand,enum:n,description:W("alternativeReferenceCommand","Alternative command id that is being executed when the result of 'Go to Reference' is the current location.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{multiple:Ah(i.multiple,this.defaultValue.multiple,["peek","gotoAndPeek","goto"]),multipleDefinitions:i.multipleDefinitions??Ah(i.multipleDefinitions,"peek",["peek","gotoAndPeek","goto"]),multipleTypeDefinitions:i.multipleTypeDefinitions??Ah(i.multipleTypeDefinitions,"peek",["peek","gotoAndPeek","goto"]),multipleDeclarations:i.multipleDeclarations??Ah(i.multipleDeclarations,"peek",["peek","gotoAndPeek","goto"]),multipleImplementations:i.multipleImplementations??Ah(i.multipleImplementations,"peek",["peek","gotoAndPeek","goto"]),multipleReferences:i.multipleReferences??Ah(i.multipleReferences,"peek",["peek","gotoAndPeek","goto"]),multipleTests:i.multipleTests??Ah(i.multipleTests,"peek",["peek","gotoAndPeek","goto"]),alternativeDefinitionCommand:Sy.string(i.alternativeDefinitionCommand,this.defaultValue.alternativeDefinitionCommand),alternativeTypeDefinitionCommand:Sy.string(i.alternativeTypeDefinitionCommand,this.defaultValue.alternativeTypeDefinitionCommand),alternativeDeclarationCommand:Sy.string(i.alternativeDeclarationCommand,this.defaultValue.alternativeDeclarationCommand),alternativeImplementationCommand:Sy.string(i.alternativeImplementationCommand,this.defaultValue.alternativeImplementationCommand),alternativeReferenceCommand:Sy.string(i.alternativeReferenceCommand,this.defaultValue.alternativeReferenceCommand),alternativeTestsCommand:Sy.string(i.alternativeTestsCommand,this.defaultValue.alternativeTestsCommand)}}}class yci extends bf{constructor(){const e={enabled:!0,delay:300,hidingDelay:300,sticky:!0,above:!0};super(60,"hover",e,{"editor.hover.enabled":{type:"boolean",default:e.enabled,description:W("hover.enabled","Controls whether the hover is shown.")},"editor.hover.delay":{type:"number",default:e.delay,minimum:0,maximum:1e4,description:W("hover.delay","Controls the delay in milliseconds after which the hover is shown.")},"editor.hover.sticky":{type:"boolean",default:e.sticky,description:W("hover.sticky","Controls whether the hover should remain visible when mouse is moved over it.")},"editor.hover.hidingDelay":{type:"integer",minimum:0,default:e.hidingDelay,description:W("hover.hidingDelay","Controls the delay in milliseconds after which the hover is hidden. Requires `editor.hover.sticky` to be enabled.")},"editor.hover.above":{type:"boolean",default:e.above,description:W("hover.above","Prefer showing hovers above the line, if there's space.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),delay:Ed.clampedInt(i.delay,this.defaultValue.delay,0,1e4),sticky:lo(i.sticky,this.defaultValue.sticky),hidingDelay:Ed.clampedInt(i.hidingDelay,this.defaultValue.hidingDelay,0,6e5),above:lo(i.above,this.defaultValue.above)}}}class Wq extends cse{constructor(){super(146)}compute(e,i,n){return Wq.computeLayout(i,{memory:e.memory,outerWidth:e.outerWidth,outerHeight:e.outerHeight,isDominatedByLongLines:e.isDominatedByLongLines,lineHeight:e.fontInfo.lineHeight,viewLineCount:e.viewLineCount,lineNumbersDigitCount:e.lineNumbersDigitCount,typicalHalfwidthCharacterWidth:e.fontInfo.typicalHalfwidthCharacterWidth,maxDigitWidth:e.fontInfo.maxDigitWidth,pixelRatio:e.pixelRatio,glyphMarginDecorationLaneCount:e.glyphMarginDecorationLaneCount})}static computeContainedMinimapLineCount(e){const i=e.height/e.lineHeight,n=Math.floor(e.paddingTop/e.lineHeight);let s=Math.floor(e.paddingBottom/e.lineHeight);e.scrollBeyondLastLine&&(s=Math.max(s,i-1));const c=(n+e.viewLineCount+s)/(e.pixelRatio*e.height),d=Math.floor(e.viewLineCount/c);return{typicalViewportLineCount:i,extraLinesBeforeFirstLine:n,extraLinesBeyondLastLine:s,desiredRatio:c,minimapLineCount:d}}static _computeMinimapLayout(e,i){const n=e.outerWidth,s=e.outerHeight,c=e.pixelRatio;if(!e.minimap.enabled)return{renderMinimap:0,minimapLeft:0,minimapWidth:0,minimapHeightIsEditorHeight:!1,minimapIsSampling:!1,minimapScale:1,minimapLineHeight:1,minimapCanvasInnerWidth:0,minimapCanvasInnerHeight:Math.floor(c*s),minimapCanvasOuterWidth:0,minimapCanvasOuterHeight:s};const d=i.stableMinimapLayoutInput,f=d&&e.outerHeight===d.outerHeight&&e.lineHeight===d.lineHeight&&e.typicalHalfwidthCharacterWidth===d.typicalHalfwidthCharacterWidth&&e.pixelRatio===d.pixelRatio&&e.scrollBeyondLastLine===d.scrollBeyondLastLine&&e.paddingTop===d.paddingTop&&e.paddingBottom===d.paddingBottom&&e.minimap.enabled===d.minimap.enabled&&e.minimap.side===d.minimap.side&&e.minimap.size===d.minimap.size&&e.minimap.showSlider===d.minimap.showSlider&&e.minimap.renderCharacters===d.minimap.renderCharacters&&e.minimap.maxColumn===d.minimap.maxColumn&&e.minimap.scale===d.minimap.scale&&e.verticalScrollbarWidth===d.verticalScrollbarWidth&&e.isViewportWrapping===d.isViewportWrapping,m=e.lineHeight,v=e.typicalHalfwidthCharacterWidth,x=e.scrollBeyondLastLine,w=e.minimap.renderCharacters;let I=c>=2?Math.round(e.minimap.scale*2):e.minimap.scale;const P=e.minimap.maxColumn,O=e.minimap.size,z=e.minimap.side,J=e.verticalScrollbarWidth,Y=e.viewLineCount,ae=e.remainingWidth,me=e.isViewportWrapping,ye=w?2:3;let Ce=Math.floor(c*s);const Fe=Ce/c;let rt=!1,ct=!1,Mt=ye*I,Yt=I/c,Bi=1;if(O==="fill"||O==="fit"){const{typicalViewportLineCount:Wr,extraLinesBeforeFirstLine:Dr,extraLinesBeyondLastLine:Rr,desiredRatio:na,minimapLineCount:$d}=Wq.computeContainedMinimapLineCount({viewLineCount:Y,scrollBeyondLastLine:x,paddingTop:e.paddingTop,paddingBottom:e.paddingBottom,height:s,lineHeight:m,pixelRatio:c});if(Y/$d>1)rt=!0,ct=!0,I=1,Mt=1,Yt=I/c;else{let jl=!1,es=I+1;if(O==="fit"){const ld=Math.ceil((Dr+Y+Rr)*Mt);me&&f&&ae<=i.stableFitRemainingWidth?(jl=!0,es=i.stableFitMaxMinimapScale):jl=ld>Ce}if(O==="fill"||jl){rt=!0;const ld=I;Mt=Math.min(m*c,Math.max(1,Math.floor(1/na))),me&&f&&ae<=i.stableFitRemainingWidth&&(es=i.stableFitMaxMinimapScale),I=Math.min(es,Math.max(1,Math.floor(Mt/ye))),I>ld&&(Bi=Math.min(2,I/ld)),Yt=I/c/Bi,Ce=Math.ceil(Math.max(Wr,Dr+Y+Rr)*Mt),me?(i.stableMinimapLayoutInput=e,i.stableFitRemainingWidth=ae,i.stableFitMaxMinimapScale=I):(i.stableMinimapLayoutInput=null,i.stableFitRemainingWidth=0)}}}const $i=Math.floor(P*Yt),$t=Math.min($i,Math.max(0,Math.floor((ae-J-2)*Yt/(v+Yt)))+QN);let Hi=Math.floor(c*$t);const An=Hi/c;Hi=Math.floor(Hi*Bi);const qt=w?1:2,ms=z==="left"?0:n-$t-J;return{renderMinimap:qt,minimapLeft:ms,minimapWidth:$t,minimapHeightIsEditorHeight:rt,minimapIsSampling:ct,minimapScale:I,minimapLineHeight:Mt,minimapCanvasInnerWidth:Hi,minimapCanvasInnerHeight:Ce,minimapCanvasOuterWidth:An,minimapCanvasOuterHeight:Fe}}static computeLayout(e,i){const n=i.outerWidth|0,s=i.outerHeight|0,c=i.lineHeight|0,d=i.lineNumbersDigitCount|0,f=i.typicalHalfwidthCharacterWidth,m=i.maxDigitWidth,v=i.pixelRatio,x=i.viewLineCount,w=e.get(138),I=w==="inherit"?e.get(137):w,P=I==="inherit"?e.get(133):I,O=e.get(136),z=i.isDominatedByLongLines,J=e.get(57),Y=e.get(68).renderType!==0,ae=e.get(69),me=e.get(106),ye=e.get(84),Ce=e.get(73),Fe=e.get(104),rt=Fe.verticalScrollbarSize,ct=Fe.verticalHasArrows,Mt=Fe.arrowSize,Yt=Fe.horizontalScrollbarSize,Bi=e.get(43),$i=e.get(111)!=="never";let $t=e.get(66);Bi&&$i&&($t+=16);let Hi=0;if(Y){const of=Math.max(d,ae);Hi=Math.round(of*m)}let An=0;J&&(An=c*i.glyphMarginDecorationLaneCount);let qt=0,ms=qt+An,Wr=ms+Hi,Dr=Wr+$t;const Rr=n-An-Hi-$t;let na=!1,$d=!1,Ca=-1;I==="inherit"&&z?(na=!0,$d=!0):P==="on"||P==="bounded"?$d=!0:P==="wordWrapColumn"&&(Ca=O);const jl=Wq._computeMinimapLayout({outerWidth:n,outerHeight:s,lineHeight:c,typicalHalfwidthCharacterWidth:f,pixelRatio:v,scrollBeyondLastLine:me,paddingTop:ye.top,paddingBottom:ye.bottom,minimap:Ce,verticalScrollbarWidth:rt,viewLineCount:x,remainingWidth:Rr,isViewportWrapping:$d},i.memory||new Okt);jl.renderMinimap!==0&&jl.minimapLeft===0&&(qt+=jl.minimapWidth,ms+=jl.minimapWidth,Wr+=jl.minimapWidth,Dr+=jl.minimapWidth);const es=Rr-jl.minimapWidth,ld=Math.max(1,Math.floor((es-rt-2)/f)),mp=ct?Mt:0;return $d&&(Ca=Math.max(1,ld),P==="bounded"&&(Ca=Math.min(Ca,O))),{width:n,height:s,glyphMarginLeft:qt,glyphMarginWidth:An,glyphMarginDecorationLaneCount:i.glyphMarginDecorationLaneCount,lineNumbersLeft:ms,lineNumbersWidth:Hi,decorationsLeft:Wr,decorationsWidth:$t,contentLeft:Dr,contentWidth:es,minimap:jl,viewportColumn:ld,isWordWrapMinified:na,isViewportWrapping:$d,wrappingColumn:Ca,verticalScrollbarWidth:rt,horizontalScrollbarHeight:Yt,overviewRuler:{top:mp,width:rt,height:s-2*mp,right:0}}}}class vci extends bf{constructor(){super(140,"wrappingStrategy","simple",{"editor.wrappingStrategy":{enumDescriptions:[W("wrappingStrategy.simple","Assumes that all characters are of the same width. This is a fast algorithm that works correctly for monospace fonts and certain scripts (like Latin characters) where glyphs are of equal width."),W("wrappingStrategy.advanced","Delegates wrapping points computation to the browser. This is a slow algorithm, that might cause freezes for large files, but it works correctly in all cases.")],type:"string",enum:["simple","advanced"],default:"simple",description:W("wrappingStrategy","Controls the algorithm that computes wrapping points. Note that when in accessibility mode, advanced will be used for the best experience.")}})}validate(e){return Ah(e,"simple",["simple","advanced"])}compute(e,i,n){return i.get(2)===2?"advanced":n}}var cT;(function(l){l.Off="off",l.OnCode="onCode",l.On="on"})(cT||(cT={}));class bci extends bf{constructor(){const e={enabled:cT.OnCode};super(65,"lightbulb",e,{"editor.lightbulb.enabled":{type:"string",tags:["experimental"],enum:[cT.Off,cT.OnCode,cT.On],default:e.enabled,enumDescriptions:[W("editor.lightbulb.enabled.off","Disable the code action menu."),W("editor.lightbulb.enabled.onCode","Show the code action menu when the cursor is on lines with code."),W("editor.lightbulb.enabled.on","Show the code action menu when the cursor is on lines with code or on empty lines.")],description:W("enabled","Enables the Code Action lightbulb in the editor.")}})}validate(e){return!e||typeof e!="object"?this.defaultValue:{enabled:Ah(e.enabled,this.defaultValue.enabled,[cT.Off,cT.OnCode,cT.On])}}}class Cci extends bf{constructor(){const e={enabled:!0,maxLineCount:5,defaultModel:"outlineModel",scrollWithEditor:!0};super(116,"stickyScroll",e,{"editor.stickyScroll.enabled":{type:"boolean",default:e.enabled,description:W("editor.stickyScroll.enabled","Shows the nested current scopes during the scroll at the top of the editor."),tags:["experimental"]},"editor.stickyScroll.maxLineCount":{type:"number",default:e.maxLineCount,minimum:1,maximum:20,description:W("editor.stickyScroll.maxLineCount","Defines the maximum number of sticky lines to show.")},"editor.stickyScroll.defaultModel":{type:"string",enum:["outlineModel","foldingProviderModel","indentationModel"],default:e.defaultModel,description:W("editor.stickyScroll.defaultModel","Defines the model to use for determining which lines to stick. If the outline model does not exist, it will fall back on the folding provider model which falls back on the indentation model. This order is respected in all three cases.")},"editor.stickyScroll.scrollWithEditor":{type:"boolean",default:e.scrollWithEditor,description:W("editor.stickyScroll.scrollWithEditor","Enable scrolling of Sticky Scroll with the editor's horizontal scrollbar.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),maxLineCount:Ed.clampedInt(i.maxLineCount,this.defaultValue.maxLineCount,1,20),defaultModel:Ah(i.defaultModel,this.defaultValue.defaultModel,["outlineModel","foldingProviderModel","indentationModel"]),scrollWithEditor:lo(i.scrollWithEditor,this.defaultValue.scrollWithEditor)}}}class Sci extends bf{constructor(){const e={enabled:"on",fontSize:0,fontFamily:"",padding:!1};super(142,"inlayHints",e,{"editor.inlayHints.enabled":{type:"string",default:e.enabled,description:W("inlayHints.enable","Enables the inlay hints in the editor."),enum:["on","onUnlessPressed","offUnlessPressed","off"],markdownEnumDescriptions:[W("editor.inlayHints.on","Inlay hints are enabled"),W("editor.inlayHints.onUnlessPressed","Inlay hints are showing by default and hide when holding {0}",Hc?"Ctrl+Option":"Ctrl+Alt"),W("editor.inlayHints.offUnlessPressed","Inlay hints are hidden by default and show when holding {0}",Hc?"Ctrl+Option":"Ctrl+Alt"),W("editor.inlayHints.off","Inlay hints are disabled")]},"editor.inlayHints.fontSize":{type:"number",default:e.fontSize,markdownDescription:W("inlayHints.fontSize","Controls font size of inlay hints in the editor. As default the {0} is used when the configured value is less than {1} or greater than the editor font size.","`#editor.fontSize#`","`5`")},"editor.inlayHints.fontFamily":{type:"string",default:e.fontFamily,markdownDescription:W("inlayHints.fontFamily","Controls font family of inlay hints in the editor. When set to empty, the {0} is used.","`#editor.fontFamily#`")},"editor.inlayHints.padding":{type:"boolean",default:e.padding,description:W("inlayHints.padding","Enables the padding around the inlay hints in the editor.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return typeof i.enabled=="boolean"&&(i.enabled=i.enabled?"on":"off"),{enabled:Ah(i.enabled,this.defaultValue.enabled,["on","off","offUnlessPressed","onUnlessPressed"]),fontSize:Ed.clampedInt(i.fontSize,this.defaultValue.fontSize,0,100),fontFamily:Sy.string(i.fontFamily,this.defaultValue.fontFamily),padding:lo(i.padding,this.defaultValue.padding)}}}class wci extends bf{constructor(){super(66,"lineDecorationsWidth",10)}validate(e){return typeof e=="string"&&/^\d+(\.\d+)?ch$/.test(e)?-parseFloat(e.substring(0,e.length-2)):Ed.clampedInt(e,this.defaultValue,0,1e3)}compute(e,i,n){return n<0?Ed.clampedInt(-n*e.fontInfo.typicalHalfwidthCharacterWidth,this.defaultValue,0,1e3):n}}class xci extends vw{constructor(){super(67,"lineHeight",vv.lineHeight,e=>vw.clamp(e,0,150),{markdownDescription:W("lineHeight",`Controls the line height.
+ - Use 0 to automatically compute the line height from the font size.
+ - Values between 0 and 8 will be used as a multiplier with the font size.
+ - Values greater than or equal to 8 will be used as effective values.`)})}compute(e,i,n){return e.fontInfo.lineHeight}}class kci extends bf{constructor(){const e={enabled:!0,size:"proportional",side:"right",showSlider:"mouseover",autohide:!1,renderCharacters:!0,maxColumn:120,scale:1,showRegionSectionHeaders:!0,showMarkSectionHeaders:!0,sectionHeaderFontSize:9,sectionHeaderLetterSpacing:1};super(73,"minimap",e,{"editor.minimap.enabled":{type:"boolean",default:e.enabled,description:W("minimap.enabled","Controls whether the minimap is shown.")},"editor.minimap.autohide":{type:"boolean",default:e.autohide,description:W("minimap.autohide","Controls whether the minimap is hidden automatically.")},"editor.minimap.size":{type:"string",enum:["proportional","fill","fit"],enumDescriptions:[W("minimap.size.proportional","The minimap has the same size as the editor contents (and might scroll)."),W("minimap.size.fill","The minimap will stretch or shrink as necessary to fill the height of the editor (no scrolling)."),W("minimap.size.fit","The minimap will shrink as necessary to never be larger than the editor (no scrolling).")],default:e.size,description:W("minimap.size","Controls the size of the minimap.")},"editor.minimap.side":{type:"string",enum:["left","right"],default:e.side,description:W("minimap.side","Controls the side where to render the minimap.")},"editor.minimap.showSlider":{type:"string",enum:["always","mouseover"],default:e.showSlider,description:W("minimap.showSlider","Controls when the minimap slider is shown.")},"editor.minimap.scale":{type:"number",default:e.scale,minimum:1,maximum:3,enum:[1,2,3],description:W("minimap.scale","Scale of content drawn in the minimap: 1, 2 or 3.")},"editor.minimap.renderCharacters":{type:"boolean",default:e.renderCharacters,description:W("minimap.renderCharacters","Render the actual characters on a line as opposed to color blocks.")},"editor.minimap.maxColumn":{type:"number",default:e.maxColumn,description:W("minimap.maxColumn","Limit the width of the minimap to render at most a certain number of columns.")},"editor.minimap.showRegionSectionHeaders":{type:"boolean",default:e.showRegionSectionHeaders,description:W("minimap.showRegionSectionHeaders","Controls whether named regions are shown as section headers in the minimap.")},"editor.minimap.showMarkSectionHeaders":{type:"boolean",default:e.showMarkSectionHeaders,description:W("minimap.showMarkSectionHeaders","Controls whether MARK: comments are shown as section headers in the minimap.")},"editor.minimap.sectionHeaderFontSize":{type:"number",default:e.sectionHeaderFontSize,description:W("minimap.sectionHeaderFontSize","Controls the font size of section headers in the minimap.")},"editor.minimap.sectionHeaderLetterSpacing":{type:"number",default:e.sectionHeaderLetterSpacing,description:W("minimap.sectionHeaderLetterSpacing","Controls the amount of space (in pixels) between characters of section header. This helps the readability of the header in small font sizes.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),autohide:lo(i.autohide,this.defaultValue.autohide),size:Ah(i.size,this.defaultValue.size,["proportional","fill","fit"]),side:Ah(i.side,this.defaultValue.side,["right","left"]),showSlider:Ah(i.showSlider,this.defaultValue.showSlider,["always","mouseover"]),renderCharacters:lo(i.renderCharacters,this.defaultValue.renderCharacters),scale:Ed.clampedInt(i.scale,1,1,3),maxColumn:Ed.clampedInt(i.maxColumn,this.defaultValue.maxColumn,1,1e4),showRegionSectionHeaders:lo(i.showRegionSectionHeaders,this.defaultValue.showRegionSectionHeaders),showMarkSectionHeaders:lo(i.showMarkSectionHeaders,this.defaultValue.showMarkSectionHeaders),sectionHeaderFontSize:vw.clamp(i.sectionHeaderFontSize??this.defaultValue.sectionHeaderFontSize,4,32),sectionHeaderLetterSpacing:vw.clamp(i.sectionHeaderLetterSpacing??this.defaultValue.sectionHeaderLetterSpacing,0,5)}}}function Tci(l){return l==="ctrlCmd"?Hc?"metaKey":"ctrlKey":"altKey"}class Dci extends bf{constructor(){super(84,"padding",{top:0,bottom:0},{"editor.padding.top":{type:"number",default:0,minimum:0,maximum:1e3,description:W("padding.top","Controls the amount of space between the top edge of the editor and the first line.")},"editor.padding.bottom":{type:"number",default:0,minimum:0,maximum:1e3,description:W("padding.bottom","Controls the amount of space between the bottom edge of the editor and the last line.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{top:Ed.clampedInt(i.top,0,0,1e3),bottom:Ed.clampedInt(i.bottom,0,0,1e3)}}}class Eci extends bf{constructor(){const e={enabled:!0,cycle:!0};super(86,"parameterHints",e,{"editor.parameterHints.enabled":{type:"boolean",default:e.enabled,description:W("parameterHints.enabled","Enables a pop-up that shows parameter documentation and type information as you type.")},"editor.parameterHints.cycle":{type:"boolean",default:e.cycle,description:W("parameterHints.cycle","Controls whether the parameter hints menu cycles or closes when reaching the end of the list.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),cycle:lo(i.cycle,this.defaultValue.cycle)}}}class Ici extends cse{constructor(){super(144)}compute(e,i,n){return e.pixelRatio}}class Nci extends bf{constructor(){super(88,"placeholder",void 0)}validate(e){return typeof e>"u"?this.defaultValue:typeof e=="string"?e:this.defaultValue}}class Lci extends bf{constructor(){const e={other:"on",comments:"off",strings:"off"},i=[{type:"boolean"},{type:"string",enum:["on","inline","off"],enumDescriptions:[W("on","Quick suggestions show inside the suggest widget"),W("inline","Quick suggestions show as ghost text"),W("off","Quick suggestions are disabled")]}];super(90,"quickSuggestions",e,{type:"object",additionalProperties:!1,properties:{strings:{anyOf:i,default:e.strings,description:W("quickSuggestions.strings","Enable quick suggestions inside strings.")},comments:{anyOf:i,default:e.comments,description:W("quickSuggestions.comments","Enable quick suggestions inside comments.")},other:{anyOf:i,default:e.other,description:W("quickSuggestions.other","Enable quick suggestions outside of strings and comments.")}},default:e,markdownDescription:W("quickSuggestions","Controls whether suggestions should automatically show up while typing. This can be controlled for typing in comments, strings, and other code. Quick suggestion can be configured to show as ghost text or with the suggest widget. Also be aware of the {0}-setting which controls if suggestions are triggered by special characters.","`#editor.suggestOnTriggerCharacters#`")}),this.defaultValue=e}validate(e){if(typeof e=="boolean"){const v=e?"on":"off";return{comments:v,strings:v,other:v}}if(!e||typeof e!="object")return this.defaultValue;const{other:i,comments:n,strings:s}=e,c=["on","inline","off"];let d,f,m;return typeof i=="boolean"?d=i?"on":"off":d=Ah(i,this.defaultValue.other,c),typeof n=="boolean"?f=n?"on":"off":f=Ah(n,this.defaultValue.comments,c),typeof s=="boolean"?m=s?"on":"off":m=Ah(s,this.defaultValue.strings,c),{other:d,comments:f,strings:m}}}class Pci extends bf{constructor(){super(68,"lineNumbers",{renderType:1,renderFn:null},{type:"string",enum:["off","on","relative","interval"],enumDescriptions:[W("lineNumbers.off","Line numbers are not rendered."),W("lineNumbers.on","Line numbers are rendered as absolute number."),W("lineNumbers.relative","Line numbers are rendered as distance in lines to cursor position."),W("lineNumbers.interval","Line numbers are rendered every 10 lines.")],default:"on",description:W("lineNumbers","Controls the display of line numbers.")})}validate(e){let i=this.defaultValue.renderType,n=this.defaultValue.renderFn;return typeof e<"u"&&(typeof e=="function"?(i=4,n=e):e==="interval"?i=3:e==="relative"?i=2:e==="on"?i=1:i=0),{renderType:i,renderFn:n}}}function G1e(l){const e=l.get(99);return e==="editable"?l.get(92):e!=="on"}class Aci extends bf{constructor(){const e=[],i={type:"number",description:W("rulers.size","Number of monospace characters at which this editor ruler will render.")};super(103,"rulers",e,{type:"array",items:{anyOf:[i,{type:["object"],properties:{column:i,color:{type:"string",description:W("rulers.color","Color of this editor ruler."),format:"color-hex"}}}]},default:e,description:W("rulers","Render vertical rulers after a certain number of monospace characters. Use multiple values for multiple rulers. No rulers are drawn if array is empty.")})}validate(e){if(Array.isArray(e)){const i=[];for(const n of e)if(typeof n=="number")i.push({column:Ed.clampedInt(n,0,0,1e4),color:null});else if(n&&typeof n=="object"){const s=n;i.push({column:Ed.clampedInt(s.column,0,0,1e4),color:s.color})}return i.sort((n,s)=>n.column-s.column),i}return this.defaultValue}}class Oci extends bf{constructor(){super(93,"readOnlyMessage",void 0)}validate(e){return!e||typeof e!="object"?this.defaultValue:e}}function Gyt(l,e){if(typeof l!="string")return e;switch(l){case"hidden":return 2;case"visible":return 3;default:return 1}}let Mci=class extends bf{constructor(){const e={vertical:1,horizontal:1,arrowSize:11,useShadows:!0,verticalHasArrows:!1,horizontalHasArrows:!1,horizontalScrollbarSize:12,horizontalSliderSize:12,verticalScrollbarSize:14,verticalSliderSize:14,handleMouseWheel:!0,alwaysConsumeMouseWheel:!0,scrollByPage:!1,ignoreHorizontalScrollbarInContentHeight:!1};super(104,"scrollbar",e,{"editor.scrollbar.vertical":{type:"string",enum:["auto","visible","hidden"],enumDescriptions:[W("scrollbar.vertical.auto","The vertical scrollbar will be visible only when necessary."),W("scrollbar.vertical.visible","The vertical scrollbar will always be visible."),W("scrollbar.vertical.fit","The vertical scrollbar will always be hidden.")],default:"auto",description:W("scrollbar.vertical","Controls the visibility of the vertical scrollbar.")},"editor.scrollbar.horizontal":{type:"string",enum:["auto","visible","hidden"],enumDescriptions:[W("scrollbar.horizontal.auto","The horizontal scrollbar will be visible only when necessary."),W("scrollbar.horizontal.visible","The horizontal scrollbar will always be visible."),W("scrollbar.horizontal.fit","The horizontal scrollbar will always be hidden.")],default:"auto",description:W("scrollbar.horizontal","Controls the visibility of the horizontal scrollbar.")},"editor.scrollbar.verticalScrollbarSize":{type:"number",default:e.verticalScrollbarSize,description:W("scrollbar.verticalScrollbarSize","The width of the vertical scrollbar.")},"editor.scrollbar.horizontalScrollbarSize":{type:"number",default:e.horizontalScrollbarSize,description:W("scrollbar.horizontalScrollbarSize","The height of the horizontal scrollbar.")},"editor.scrollbar.scrollByPage":{type:"boolean",default:e.scrollByPage,description:W("scrollbar.scrollByPage","Controls whether clicks scroll by page or jump to click position.")},"editor.scrollbar.ignoreHorizontalScrollbarInContentHeight":{type:"boolean",default:e.ignoreHorizontalScrollbarInContentHeight,description:W("scrollbar.ignoreHorizontalScrollbarInContentHeight","When set, the horizontal scrollbar will not increase the size of the editor's content.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e,n=Ed.clampedInt(i.horizontalScrollbarSize,this.defaultValue.horizontalScrollbarSize,0,1e3),s=Ed.clampedInt(i.verticalScrollbarSize,this.defaultValue.verticalScrollbarSize,0,1e3);return{arrowSize:Ed.clampedInt(i.arrowSize,this.defaultValue.arrowSize,0,1e3),vertical:Gyt(i.vertical,this.defaultValue.vertical),horizontal:Gyt(i.horizontal,this.defaultValue.horizontal),useShadows:lo(i.useShadows,this.defaultValue.useShadows),verticalHasArrows:lo(i.verticalHasArrows,this.defaultValue.verticalHasArrows),horizontalHasArrows:lo(i.horizontalHasArrows,this.defaultValue.horizontalHasArrows),handleMouseWheel:lo(i.handleMouseWheel,this.defaultValue.handleMouseWheel),alwaysConsumeMouseWheel:lo(i.alwaysConsumeMouseWheel,this.defaultValue.alwaysConsumeMouseWheel),horizontalScrollbarSize:n,horizontalSliderSize:Ed.clampedInt(i.horizontalSliderSize,n,0,1e3),verticalScrollbarSize:s,verticalSliderSize:Ed.clampedInt(i.verticalSliderSize,s,0,1e3),scrollByPage:lo(i.scrollByPage,this.defaultValue.scrollByPage),ignoreHorizontalScrollbarInContentHeight:lo(i.ignoreHorizontalScrollbarInContentHeight,this.defaultValue.ignoreHorizontalScrollbarInContentHeight)}}};const cw="inUntrustedWorkspace",wb={allowedCharacters:"editor.unicodeHighlight.allowedCharacters",invisibleCharacters:"editor.unicodeHighlight.invisibleCharacters",nonBasicASCII:"editor.unicodeHighlight.nonBasicASCII",ambiguousCharacters:"editor.unicodeHighlight.ambiguousCharacters",includeComments:"editor.unicodeHighlight.includeComments",includeStrings:"editor.unicodeHighlight.includeStrings",allowedLocales:"editor.unicodeHighlight.allowedLocales"};class Rci extends bf{constructor(){const e={nonBasicASCII:cw,invisibleCharacters:!0,ambiguousCharacters:!0,includeComments:cw,includeStrings:!0,allowedCharacters:{},allowedLocales:{_os:!0,_vscode:!0}};super(126,"unicodeHighlight",e,{[wb.nonBasicASCII]:{restricted:!0,type:["boolean","string"],enum:[!0,!1,cw],default:e.nonBasicASCII,description:W("unicodeHighlight.nonBasicASCII","Controls whether all non-basic ASCII characters are highlighted. Only characters between U+0020 and U+007E, tab, line-feed and carriage-return are considered basic ASCII.")},[wb.invisibleCharacters]:{restricted:!0,type:"boolean",default:e.invisibleCharacters,description:W("unicodeHighlight.invisibleCharacters","Controls whether characters that just reserve space or have no width at all are highlighted.")},[wb.ambiguousCharacters]:{restricted:!0,type:"boolean",default:e.ambiguousCharacters,description:W("unicodeHighlight.ambiguousCharacters","Controls whether characters are highlighted that can be confused with basic ASCII characters, except those that are common in the current user locale.")},[wb.includeComments]:{restricted:!0,type:["boolean","string"],enum:[!0,!1,cw],default:e.includeComments,description:W("unicodeHighlight.includeComments","Controls whether characters in comments should also be subject to Unicode highlighting.")},[wb.includeStrings]:{restricted:!0,type:["boolean","string"],enum:[!0,!1,cw],default:e.includeStrings,description:W("unicodeHighlight.includeStrings","Controls whether characters in strings should also be subject to Unicode highlighting.")},[wb.allowedCharacters]:{restricted:!0,type:"object",default:e.allowedCharacters,description:W("unicodeHighlight.allowedCharacters","Defines allowed characters that are not being highlighted."),additionalProperties:{type:"boolean"}},[wb.allowedLocales]:{restricted:!0,type:"object",additionalProperties:{type:"boolean"},default:e.allowedLocales,description:W("unicodeHighlight.allowedLocales","Unicode characters that are common in allowed locales are not being highlighted.")}})}applyUpdate(e,i){let n=!1;i.allowedCharacters&&e&&(qC(e.allowedCharacters,i.allowedCharacters)||(e={...e,allowedCharacters:i.allowedCharacters},n=!0)),i.allowedLocales&&e&&(qC(e.allowedLocales,i.allowedLocales)||(e={...e,allowedLocales:i.allowedLocales},n=!0));const s=super.applyUpdate(e,i);return n?new Sie(s.newValue,!0):s}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{nonBasicASCII:Vq(i.nonBasicASCII,cw,[!0,!1,cw]),invisibleCharacters:lo(i.invisibleCharacters,this.defaultValue.invisibleCharacters),ambiguousCharacters:lo(i.ambiguousCharacters,this.defaultValue.ambiguousCharacters),includeComments:Vq(i.includeComments,cw,[!0,!1,cw]),includeStrings:Vq(i.includeStrings,cw,[!0,!1,cw]),allowedCharacters:this.validateBooleanMap(e.allowedCharacters,this.defaultValue.allowedCharacters),allowedLocales:this.validateBooleanMap(e.allowedLocales,this.defaultValue.allowedLocales)}}validateBooleanMap(e,i){if(typeof e!="object"||!e)return i;const n={};for(const[s,c]of Object.entries(e))c===!0&&(n[s]=!0);return n}}class Fci extends bf{constructor(){const e={enabled:!0,mode:"subwordSmart",showToolbar:"onHover",suppressSuggestions:!1,keepOnBlur:!1,fontFamily:"default"};super(62,"inlineSuggest",e,{"editor.inlineSuggest.enabled":{type:"boolean",default:e.enabled,description:W("inlineSuggest.enabled","Controls whether to automatically show inline suggestions in the editor.")},"editor.inlineSuggest.showToolbar":{type:"string",default:e.showToolbar,enum:["always","onHover","never"],enumDescriptions:[W("inlineSuggest.showToolbar.always","Show the inline suggestion toolbar whenever an inline suggestion is shown."),W("inlineSuggest.showToolbar.onHover","Show the inline suggestion toolbar when hovering over an inline suggestion."),W("inlineSuggest.showToolbar.never","Never show the inline suggestion toolbar.")],description:W("inlineSuggest.showToolbar","Controls when to show the inline suggestion toolbar.")},"editor.inlineSuggest.suppressSuggestions":{type:"boolean",default:e.suppressSuggestions,description:W("inlineSuggest.suppressSuggestions","Controls how inline suggestions interact with the suggest widget. If enabled, the suggest widget is not shown automatically when inline suggestions are available.")},"editor.inlineSuggest.fontFamily":{type:"string",default:e.fontFamily,description:W("inlineSuggest.fontFamily","Controls the font family of the inline suggestions.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),mode:Ah(i.mode,this.defaultValue.mode,["prefix","subword","subwordSmart"]),showToolbar:Ah(i.showToolbar,this.defaultValue.showToolbar,["always","onHover","never"]),suppressSuggestions:lo(i.suppressSuggestions,this.defaultValue.suppressSuggestions),keepOnBlur:lo(i.keepOnBlur,this.defaultValue.keepOnBlur),fontFamily:Sy.string(i.fontFamily,this.defaultValue.fontFamily)}}}class Bci extends bf{constructor(){const e={enabled:!1,showToolbar:"onHover",fontFamily:"default",keepOnBlur:!1};super(63,"experimentalInlineEdit",e,{"editor.experimentalInlineEdit.enabled":{type:"boolean",default:e.enabled,description:W("inlineEdit.enabled","Controls whether to show inline edits in the editor.")},"editor.experimentalInlineEdit.showToolbar":{type:"string",default:e.showToolbar,enum:["always","onHover","never"],enumDescriptions:[W("inlineEdit.showToolbar.always","Show the inline edit toolbar whenever an inline suggestion is shown."),W("inlineEdit.showToolbar.onHover","Show the inline edit toolbar when hovering over an inline suggestion."),W("inlineEdit.showToolbar.never","Never show the inline edit toolbar.")],description:W("inlineEdit.showToolbar","Controls when to show the inline edit toolbar.")},"editor.experimentalInlineEdit.fontFamily":{type:"string",default:e.fontFamily,description:W("inlineEdit.fontFamily","Controls the font family of the inline edit.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),showToolbar:Ah(i.showToolbar,this.defaultValue.showToolbar,["always","onHover","never"]),fontFamily:Sy.string(i.fontFamily,this.defaultValue.fontFamily),keepOnBlur:lo(i.keepOnBlur,this.defaultValue.keepOnBlur)}}}class Wci extends bf{constructor(){const e={enabled:p1.bracketPairColorizationOptions.enabled,independentColorPoolPerBracketType:p1.bracketPairColorizationOptions.independentColorPoolPerBracketType};super(15,"bracketPairColorization",e,{"editor.bracketPairColorization.enabled":{type:"boolean",default:e.enabled,markdownDescription:W("bracketPairColorization.enabled","Controls whether bracket pair colorization is enabled or not. Use {0} to override the bracket highlight colors.","`#workbench.colorCustomizations#`")},"editor.bracketPairColorization.independentColorPoolPerBracketType":{type:"boolean",default:e.independentColorPoolPerBracketType,description:W("bracketPairColorization.independentColorPoolPerBracketType","Controls whether each bracket type has its own independent color pool.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),independentColorPoolPerBracketType:lo(i.independentColorPoolPerBracketType,this.defaultValue.independentColorPoolPerBracketType)}}}class Vci extends bf{constructor(){const e={bracketPairs:!1,bracketPairsHorizontal:"active",highlightActiveBracketPair:!0,indentation:!0,highlightActiveIndentation:!0};super(16,"guides",e,{"editor.guides.bracketPairs":{type:["boolean","string"],enum:[!0,"active",!1],enumDescriptions:[W("editor.guides.bracketPairs.true","Enables bracket pair guides."),W("editor.guides.bracketPairs.active","Enables bracket pair guides only for the active bracket pair."),W("editor.guides.bracketPairs.false","Disables bracket pair guides.")],default:e.bracketPairs,description:W("editor.guides.bracketPairs","Controls whether bracket pair guides are enabled or not.")},"editor.guides.bracketPairsHorizontal":{type:["boolean","string"],enum:[!0,"active",!1],enumDescriptions:[W("editor.guides.bracketPairsHorizontal.true","Enables horizontal guides as addition to vertical bracket pair guides."),W("editor.guides.bracketPairsHorizontal.active","Enables horizontal guides only for the active bracket pair."),W("editor.guides.bracketPairsHorizontal.false","Disables horizontal bracket pair guides.")],default:e.bracketPairsHorizontal,description:W("editor.guides.bracketPairsHorizontal","Controls whether horizontal bracket pair guides are enabled or not.")},"editor.guides.highlightActiveBracketPair":{type:"boolean",default:e.highlightActiveBracketPair,description:W("editor.guides.highlightActiveBracketPair","Controls whether the editor should highlight the active bracket pair.")},"editor.guides.indentation":{type:"boolean",default:e.indentation,description:W("editor.guides.indentation","Controls whether the editor should render indent guides.")},"editor.guides.highlightActiveIndentation":{type:["boolean","string"],enum:[!0,"always",!1],enumDescriptions:[W("editor.guides.highlightActiveIndentation.true","Highlights the active indent guide."),W("editor.guides.highlightActiveIndentation.always","Highlights the active indent guide even if bracket guides are highlighted."),W("editor.guides.highlightActiveIndentation.false","Do not highlight the active indent guide.")],default:e.highlightActiveIndentation,description:W("editor.guides.highlightActiveIndentation","Controls whether the editor should highlight the active indent guide.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{bracketPairs:Vq(i.bracketPairs,this.defaultValue.bracketPairs,[!0,!1,"active"]),bracketPairsHorizontal:Vq(i.bracketPairsHorizontal,this.defaultValue.bracketPairsHorizontal,[!0,!1,"active"]),highlightActiveBracketPair:lo(i.highlightActiveBracketPair,this.defaultValue.highlightActiveBracketPair),indentation:lo(i.indentation,this.defaultValue.indentation),highlightActiveIndentation:Vq(i.highlightActiveIndentation,this.defaultValue.highlightActiveIndentation,[!0,!1,"always"])}}}function Vq(l,e,i){const n=i.indexOf(l);return n===-1?e:i[n]}class Hci extends bf{constructor(){const e={insertMode:"insert",filterGraceful:!0,snippetsPreventQuickSuggestions:!1,localityBonus:!1,shareSuggestSelections:!1,selectionMode:"always",showIcons:!0,showStatusBar:!1,preview:!1,previewMode:"subwordSmart",showInlineDetails:!0,showMethods:!0,showFunctions:!0,showConstructors:!0,showDeprecated:!0,matchOnWordStartOnly:!0,showFields:!0,showVariables:!0,showClasses:!0,showStructs:!0,showInterfaces:!0,showModules:!0,showProperties:!0,showEvents:!0,showOperators:!0,showUnits:!0,showValues:!0,showConstants:!0,showEnums:!0,showEnumMembers:!0,showKeywords:!0,showWords:!0,showColors:!0,showFiles:!0,showReferences:!0,showFolders:!0,showTypeParameters:!0,showSnippets:!0,showUsers:!0,showIssues:!0};super(119,"suggest",e,{"editor.suggest.insertMode":{type:"string",enum:["insert","replace"],enumDescriptions:[W("suggest.insertMode.insert","Insert suggestion without overwriting text right of the cursor."),W("suggest.insertMode.replace","Insert suggestion and overwrite text right of the cursor.")],default:e.insertMode,description:W("suggest.insertMode","Controls whether words are overwritten when accepting completions. Note that this depends on extensions opting into this feature.")},"editor.suggest.filterGraceful":{type:"boolean",default:e.filterGraceful,description:W("suggest.filterGraceful","Controls whether filtering and sorting suggestions accounts for small typos.")},"editor.suggest.localityBonus":{type:"boolean",default:e.localityBonus,description:W("suggest.localityBonus","Controls whether sorting favors words that appear close to the cursor.")},"editor.suggest.shareSuggestSelections":{type:"boolean",default:e.shareSuggestSelections,markdownDescription:W("suggest.shareSuggestSelections","Controls whether remembered suggestion selections are shared between multiple workspaces and windows (needs `#editor.suggestSelection#`).")},"editor.suggest.selectionMode":{type:"string",enum:["always","never","whenTriggerCharacter","whenQuickSuggestion"],enumDescriptions:[W("suggest.insertMode.always","Always select a suggestion when automatically triggering IntelliSense."),W("suggest.insertMode.never","Never select a suggestion when automatically triggering IntelliSense."),W("suggest.insertMode.whenTriggerCharacter","Select a suggestion only when triggering IntelliSense from a trigger character."),W("suggest.insertMode.whenQuickSuggestion","Select a suggestion only when triggering IntelliSense as you type.")],default:e.selectionMode,markdownDescription:W("suggest.selectionMode","Controls whether a suggestion is selected when the widget shows. Note that this only applies to automatically triggered suggestions ({0} and {1}) and that a suggestion is always selected when explicitly invoked, e.g via `Ctrl+Space`.","`#editor.quickSuggestions#`","`#editor.suggestOnTriggerCharacters#`")},"editor.suggest.snippetsPreventQuickSuggestions":{type:"boolean",default:e.snippetsPreventQuickSuggestions,description:W("suggest.snippetsPreventQuickSuggestions","Controls whether an active snippet prevents quick suggestions.")},"editor.suggest.showIcons":{type:"boolean",default:e.showIcons,description:W("suggest.showIcons","Controls whether to show or hide icons in suggestions.")},"editor.suggest.showStatusBar":{type:"boolean",default:e.showStatusBar,description:W("suggest.showStatusBar","Controls the visibility of the status bar at the bottom of the suggest widget.")},"editor.suggest.preview":{type:"boolean",default:e.preview,description:W("suggest.preview","Controls whether to preview the suggestion outcome in the editor.")},"editor.suggest.showInlineDetails":{type:"boolean",default:e.showInlineDetails,description:W("suggest.showInlineDetails","Controls whether suggest details show inline with the label or only in the details widget.")},"editor.suggest.maxVisibleSuggestions":{type:"number",deprecationMessage:W("suggest.maxVisibleSuggestions.dep","This setting is deprecated. The suggest widget can now be resized.")},"editor.suggest.filteredTypes":{type:"object",deprecationMessage:W("deprecated","This setting is deprecated, please use separate settings like 'editor.suggest.showKeywords' or 'editor.suggest.showSnippets' instead.")},"editor.suggest.showMethods":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showMethods","When enabled IntelliSense shows `method`-suggestions.")},"editor.suggest.showFunctions":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showFunctions","When enabled IntelliSense shows `function`-suggestions.")},"editor.suggest.showConstructors":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showConstructors","When enabled IntelliSense shows `constructor`-suggestions.")},"editor.suggest.showDeprecated":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showDeprecated","When enabled IntelliSense shows `deprecated`-suggestions.")},"editor.suggest.matchOnWordStartOnly":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.matchOnWordStartOnly","When enabled IntelliSense filtering requires that the first character matches on a word start. For example, `c` on `Console` or `WebContext` but _not_ on `description`. When disabled IntelliSense will show more results but still sorts them by match quality.")},"editor.suggest.showFields":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showFields","When enabled IntelliSense shows `field`-suggestions.")},"editor.suggest.showVariables":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showVariables","When enabled IntelliSense shows `variable`-suggestions.")},"editor.suggest.showClasses":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showClasss","When enabled IntelliSense shows `class`-suggestions.")},"editor.suggest.showStructs":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showStructs","When enabled IntelliSense shows `struct`-suggestions.")},"editor.suggest.showInterfaces":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showInterfaces","When enabled IntelliSense shows `interface`-suggestions.")},"editor.suggest.showModules":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showModules","When enabled IntelliSense shows `module`-suggestions.")},"editor.suggest.showProperties":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showPropertys","When enabled IntelliSense shows `property`-suggestions.")},"editor.suggest.showEvents":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showEvents","When enabled IntelliSense shows `event`-suggestions.")},"editor.suggest.showOperators":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showOperators","When enabled IntelliSense shows `operator`-suggestions.")},"editor.suggest.showUnits":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showUnits","When enabled IntelliSense shows `unit`-suggestions.")},"editor.suggest.showValues":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showValues","When enabled IntelliSense shows `value`-suggestions.")},"editor.suggest.showConstants":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showConstants","When enabled IntelliSense shows `constant`-suggestions.")},"editor.suggest.showEnums":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showEnums","When enabled IntelliSense shows `enum`-suggestions.")},"editor.suggest.showEnumMembers":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showEnumMembers","When enabled IntelliSense shows `enumMember`-suggestions.")},"editor.suggest.showKeywords":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showKeywords","When enabled IntelliSense shows `keyword`-suggestions.")},"editor.suggest.showWords":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showTexts","When enabled IntelliSense shows `text`-suggestions.")},"editor.suggest.showColors":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showColors","When enabled IntelliSense shows `color`-suggestions.")},"editor.suggest.showFiles":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showFiles","When enabled IntelliSense shows `file`-suggestions.")},"editor.suggest.showReferences":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showReferences","When enabled IntelliSense shows `reference`-suggestions.")},"editor.suggest.showCustomcolors":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showCustomcolors","When enabled IntelliSense shows `customcolor`-suggestions.")},"editor.suggest.showFolders":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showFolders","When enabled IntelliSense shows `folder`-suggestions.")},"editor.suggest.showTypeParameters":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showTypeParameters","When enabled IntelliSense shows `typeParameter`-suggestions.")},"editor.suggest.showSnippets":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showSnippets","When enabled IntelliSense shows `snippet`-suggestions.")},"editor.suggest.showUsers":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showUsers","When enabled IntelliSense shows `user`-suggestions.")},"editor.suggest.showIssues":{type:"boolean",default:!0,markdownDescription:W("editor.suggest.showIssues","When enabled IntelliSense shows `issues`-suggestions.")}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{insertMode:Ah(i.insertMode,this.defaultValue.insertMode,["insert","replace"]),filterGraceful:lo(i.filterGraceful,this.defaultValue.filterGraceful),snippetsPreventQuickSuggestions:lo(i.snippetsPreventQuickSuggestions,this.defaultValue.filterGraceful),localityBonus:lo(i.localityBonus,this.defaultValue.localityBonus),shareSuggestSelections:lo(i.shareSuggestSelections,this.defaultValue.shareSuggestSelections),selectionMode:Ah(i.selectionMode,this.defaultValue.selectionMode,["always","never","whenQuickSuggestion","whenTriggerCharacter"]),showIcons:lo(i.showIcons,this.defaultValue.showIcons),showStatusBar:lo(i.showStatusBar,this.defaultValue.showStatusBar),preview:lo(i.preview,this.defaultValue.preview),previewMode:Ah(i.previewMode,this.defaultValue.previewMode,["prefix","subword","subwordSmart"]),showInlineDetails:lo(i.showInlineDetails,this.defaultValue.showInlineDetails),showMethods:lo(i.showMethods,this.defaultValue.showMethods),showFunctions:lo(i.showFunctions,this.defaultValue.showFunctions),showConstructors:lo(i.showConstructors,this.defaultValue.showConstructors),showDeprecated:lo(i.showDeprecated,this.defaultValue.showDeprecated),matchOnWordStartOnly:lo(i.matchOnWordStartOnly,this.defaultValue.matchOnWordStartOnly),showFields:lo(i.showFields,this.defaultValue.showFields),showVariables:lo(i.showVariables,this.defaultValue.showVariables),showClasses:lo(i.showClasses,this.defaultValue.showClasses),showStructs:lo(i.showStructs,this.defaultValue.showStructs),showInterfaces:lo(i.showInterfaces,this.defaultValue.showInterfaces),showModules:lo(i.showModules,this.defaultValue.showModules),showProperties:lo(i.showProperties,this.defaultValue.showProperties),showEvents:lo(i.showEvents,this.defaultValue.showEvents),showOperators:lo(i.showOperators,this.defaultValue.showOperators),showUnits:lo(i.showUnits,this.defaultValue.showUnits),showValues:lo(i.showValues,this.defaultValue.showValues),showConstants:lo(i.showConstants,this.defaultValue.showConstants),showEnums:lo(i.showEnums,this.defaultValue.showEnums),showEnumMembers:lo(i.showEnumMembers,this.defaultValue.showEnumMembers),showKeywords:lo(i.showKeywords,this.defaultValue.showKeywords),showWords:lo(i.showWords,this.defaultValue.showWords),showColors:lo(i.showColors,this.defaultValue.showColors),showFiles:lo(i.showFiles,this.defaultValue.showFiles),showReferences:lo(i.showReferences,this.defaultValue.showReferences),showFolders:lo(i.showFolders,this.defaultValue.showFolders),showTypeParameters:lo(i.showTypeParameters,this.defaultValue.showTypeParameters),showSnippets:lo(i.showSnippets,this.defaultValue.showSnippets),showUsers:lo(i.showUsers,this.defaultValue.showUsers),showIssues:lo(i.showIssues,this.defaultValue.showIssues)}}}class jci extends bf{constructor(){super(114,"smartSelect",{selectLeadingAndTrailingWhitespace:!0,selectSubwords:!0},{"editor.smartSelect.selectLeadingAndTrailingWhitespace":{description:W("selectLeadingAndTrailingWhitespace","Whether leading and trailing whitespace should always be selected."),default:!0,type:"boolean"},"editor.smartSelect.selectSubwords":{description:W("selectSubwords","Whether subwords (like 'foo' in 'fooBar' or 'foo_bar') should be selected."),default:!0,type:"boolean"}})}validate(e){return!e||typeof e!="object"?this.defaultValue:{selectLeadingAndTrailingWhitespace:lo(e.selectLeadingAndTrailingWhitespace,this.defaultValue.selectLeadingAndTrailingWhitespace),selectSubwords:lo(e.selectSubwords,this.defaultValue.selectSubwords)}}}class zci extends bf{constructor(){const e=[];super(131,"wordSegmenterLocales",e,{anyOf:[{description:W("wordSegmenterLocales","Locales to be used for word segmentation when doing word related navigations or operations. Specify the BCP 47 language tag of the word you wish to recognize (e.g., ja, zh-CN, zh-Hant-TW, etc.)."),type:"string"},{description:W("wordSegmenterLocales","Locales to be used for word segmentation when doing word related navigations or operations. Specify the BCP 47 language tag of the word you wish to recognize (e.g., ja, zh-CN, zh-Hant-TW, etc.)."),type:"array",items:{type:"string"}}]})}validate(e){if(typeof e=="string"&&(e=[e]),Array.isArray(e)){const i=[];for(const n of e)if(typeof n=="string")try{Intl.Segmenter.supportedLocalesOf(n).length>0&&i.push(n)}catch{}return i}return this.defaultValue}}class Uci extends bf{constructor(){super(139,"wrappingIndent",1,{"editor.wrappingIndent":{type:"string",enum:["none","same","indent","deepIndent"],enumDescriptions:[W("wrappingIndent.none","No indentation. Wrapped lines begin at column 1."),W("wrappingIndent.same","Wrapped lines get the same indentation as the parent."),W("wrappingIndent.indent","Wrapped lines get +1 indentation toward the parent."),W("wrappingIndent.deepIndent","Wrapped lines get +2 indentation toward the parent.")],description:W("wrappingIndent","Controls the indentation of wrapped lines."),default:"same"}})}validate(e){switch(e){case"none":return 0;case"same":return 1;case"indent":return 2;case"deepIndent":return 3}return 1}compute(e,i,n){return i.get(2)===2?0:n}}class qci extends cse{constructor(){super(147)}compute(e,i,n){const s=i.get(146);return{isDominatedByLongLines:e.isDominatedByLongLines,isWordWrapMinified:s.isWordWrapMinified,isViewportWrapping:s.isViewportWrapping,wrappingColumn:s.wrappingColumn}}}class $ci extends bf{constructor(){const e={enabled:!0,showDropSelector:"afterDrop"};super(36,"dropIntoEditor",e,{"editor.dropIntoEditor.enabled":{type:"boolean",default:e.enabled,markdownDescription:W("dropIntoEditor.enabled","Controls whether you can drag and drop a file into a text editor by holding down the `Shift` key (instead of opening the file in an editor).")},"editor.dropIntoEditor.showDropSelector":{type:"string",markdownDescription:W("dropIntoEditor.showDropSelector","Controls if a widget is shown when dropping files into the editor. This widget lets you control how the file is dropped."),enum:["afterDrop","never"],enumDescriptions:[W("dropIntoEditor.showDropSelector.afterDrop","Show the drop selector widget after a file is dropped into the editor."),W("dropIntoEditor.showDropSelector.never","Never show the drop selector widget. Instead the default drop provider is always used.")],default:"afterDrop"}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),showDropSelector:Ah(i.showDropSelector,this.defaultValue.showDropSelector,["afterDrop","never"])}}}class Jci extends bf{constructor(){const e={enabled:!0,showPasteSelector:"afterPaste"};super(85,"pasteAs",e,{"editor.pasteAs.enabled":{type:"boolean",default:e.enabled,markdownDescription:W("pasteAs.enabled","Controls whether you can paste content in different ways.")},"editor.pasteAs.showPasteSelector":{type:"string",markdownDescription:W("pasteAs.showPasteSelector","Controls if a widget is shown when pasting content in to the editor. This widget lets you control how the file is pasted."),enum:["afterPaste","never"],enumDescriptions:[W("pasteAs.showPasteSelector.afterPaste","Show the paste selector widget after content is pasted into the editor."),W("pasteAs.showPasteSelector.never","Never show the paste selector widget. Instead the default pasting behavior is always used.")],default:"afterPaste"}})}validate(e){if(!e||typeof e!="object")return this.defaultValue;const i=e;return{enabled:lo(i.enabled,this.defaultValue.enabled),showPasteSelector:Ah(i.showPasteSelector,this.defaultValue.showPasteSelector,["afterPaste","never"])}}}const Gci="Consolas, 'Courier New', monospace",Kci="Menlo, Monaco, 'Courier New', monospace",Xci="'Droid Sans Mono', 'monospace', monospace",vv={fontFamily:Hc?Kci:Cv?Xci:Gci,fontWeight:"normal",fontSize:Hc?12:14,lineHeight:0,letterSpacing:0},YU=[];function kr(l){return YU[l.id]=l,l}const KE={acceptSuggestionOnCommitCharacter:kr(new Ml(0,"acceptSuggestionOnCommitCharacter",!0,{markdownDescription:W("acceptSuggestionOnCommitCharacter","Controls whether suggestions should be accepted on commit characters. For example, in JavaScript, the semi-colon (`;`) can be a commit character that accepts a suggestion and types that character.")})),acceptSuggestionOnEnter:kr(new Ph(1,"acceptSuggestionOnEnter","on",["on","smart","off"],{markdownEnumDescriptions:["",W("acceptSuggestionOnEnterSmart","Only accept a suggestion with `Enter` when it makes a textual change."),""],markdownDescription:W("acceptSuggestionOnEnter","Controls whether suggestions should be accepted on `Enter`, in addition to `Tab`. Helps to avoid ambiguity between inserting new lines or accepting suggestions.")})),accessibilitySupport:kr(new cci),accessibilityPageSize:kr(new Ed(3,"accessibilityPageSize",10,1,1073741824,{description:W("accessibilityPageSize","Controls the number of lines in the editor that can be read out by a screen reader at once. When we detect a screen reader we automatically set the default to be 500. Warning: this has a performance implication for numbers larger than the default."),tags:["accessibility"]})),ariaLabel:kr(new Sy(4,"ariaLabel",W("editorViewAccessibleLabel","Editor content"))),ariaRequired:kr(new Ml(5,"ariaRequired",!1,void 0)),screenReaderAnnounceInlineSuggestion:kr(new Ml(8,"screenReaderAnnounceInlineSuggestion",!0,{description:W("screenReaderAnnounceInlineSuggestion","Control whether inline suggestions are announced by a screen reader."),tags:["accessibility"]})),autoClosingBrackets:kr(new Ph(6,"autoClosingBrackets","languageDefined",["always","languageDefined","beforeWhitespace","never"],{enumDescriptions:["",W("editor.autoClosingBrackets.languageDefined","Use language configurations to determine when to autoclose brackets."),W("editor.autoClosingBrackets.beforeWhitespace","Autoclose brackets only when the cursor is to the left of whitespace."),""],description:W("autoClosingBrackets","Controls whether the editor should automatically close brackets after the user adds an opening bracket.")})),autoClosingComments:kr(new Ph(7,"autoClosingComments","languageDefined",["always","languageDefined","beforeWhitespace","never"],{enumDescriptions:["",W("editor.autoClosingComments.languageDefined","Use language configurations to determine when to autoclose comments."),W("editor.autoClosingComments.beforeWhitespace","Autoclose comments only when the cursor is to the left of whitespace."),""],description:W("autoClosingComments","Controls whether the editor should automatically close comments after the user adds an opening comment.")})),autoClosingDelete:kr(new Ph(9,"autoClosingDelete","auto",["always","auto","never"],{enumDescriptions:["",W("editor.autoClosingDelete.auto","Remove adjacent closing quotes or brackets only if they were automatically inserted."),""],description:W("autoClosingDelete","Controls whether the editor should remove adjacent closing quotes or brackets when deleting.")})),autoClosingOvertype:kr(new Ph(10,"autoClosingOvertype","auto",["always","auto","never"],{enumDescriptions:["",W("editor.autoClosingOvertype.auto","Type over closing quotes or brackets only if they were automatically inserted."),""],description:W("autoClosingOvertype","Controls whether the editor should type over closing quotes or brackets.")})),autoClosingQuotes:kr(new Ph(11,"autoClosingQuotes","languageDefined",["always","languageDefined","beforeWhitespace","never"],{enumDescriptions:["",W("editor.autoClosingQuotes.languageDefined","Use language configurations to determine when to autoclose quotes."),W("editor.autoClosingQuotes.beforeWhitespace","Autoclose quotes only when the cursor is to the left of whitespace."),""],description:W("autoClosingQuotes","Controls whether the editor should automatically close quotes after the user adds an opening quote.")})),autoIndent:kr(new zge(12,"autoIndent",4,"full",["none","keep","brackets","advanced","full"],aci,{enumDescriptions:[W("editor.autoIndent.none","The editor will not insert indentation automatically."),W("editor.autoIndent.keep","The editor will keep the current line's indentation."),W("editor.autoIndent.brackets","The editor will keep the current line's indentation and honor language defined brackets."),W("editor.autoIndent.advanced","The editor will keep the current line's indentation, honor language defined brackets and invoke special onEnterRules defined by languages."),W("editor.autoIndent.full","The editor will keep the current line's indentation, honor language defined brackets, invoke special onEnterRules defined by languages, and honor indentationRules defined by languages.")],description:W("autoIndent","Controls whether the editor should automatically adjust the indentation when users type, paste, move or indent lines.")})),automaticLayout:kr(new Ml(13,"automaticLayout",!1)),autoSurround:kr(new Ph(14,"autoSurround","languageDefined",["languageDefined","quotes","brackets","never"],{enumDescriptions:[W("editor.autoSurround.languageDefined","Use language configurations to determine when to automatically surround selections."),W("editor.autoSurround.quotes","Surround with quotes but not brackets."),W("editor.autoSurround.brackets","Surround with brackets but not quotes."),""],description:W("autoSurround","Controls whether the editor should automatically surround selections when typing quotes or brackets.")})),bracketPairColorization:kr(new Wci),bracketPairGuides:kr(new Vci),stickyTabStops:kr(new Ml(117,"stickyTabStops",!1,{description:W("stickyTabStops","Emulate selection behavior of tab characters when using spaces for indentation. Selection will stick to tab stops.")})),codeLens:kr(new Ml(17,"codeLens",!0,{description:W("codeLens","Controls whether the editor shows CodeLens.")})),codeLensFontFamily:kr(new Sy(18,"codeLensFontFamily","",{description:W("codeLensFontFamily","Controls the font family for CodeLens.")})),codeLensFontSize:kr(new Ed(19,"codeLensFontSize",0,0,100,{type:"number",default:0,minimum:0,maximum:100,markdownDescription:W("codeLensFontSize","Controls the font size in pixels for CodeLens. When set to 0, 90% of `#editor.fontSize#` is used.")})),colorDecorators:kr(new Ml(20,"colorDecorators",!0,{description:W("colorDecorators","Controls whether the editor should render the inline color decorators and color picker.")})),colorDecoratorActivatedOn:kr(new Ph(149,"colorDecoratorsActivatedOn","clickAndHover",["clickAndHover","hover","click"],{enumDescriptions:[W("editor.colorDecoratorActivatedOn.clickAndHover","Make the color picker appear both on click and hover of the color decorator"),W("editor.colorDecoratorActivatedOn.hover","Make the color picker appear on hover of the color decorator"),W("editor.colorDecoratorActivatedOn.click","Make the color picker appear on click of the color decorator")],description:W("colorDecoratorActivatedOn","Controls the condition to make a color picker appear from a color decorator")})),colorDecoratorsLimit:kr(new Ed(21,"colorDecoratorsLimit",500,1,1e6,{markdownDescription:W("colorDecoratorsLimit","Controls the max number of color decorators that can be rendered in an editor at once.")})),columnSelection:kr(new Ml(22,"columnSelection",!1,{description:W("columnSelection","Enable that the selection with the mouse and keys is doing column selection.")})),comments:kr(new lci),contextmenu:kr(new Ml(24,"contextmenu",!0)),copyWithSyntaxHighlighting:kr(new Ml(25,"copyWithSyntaxHighlighting",!0,{description:W("copyWithSyntaxHighlighting","Controls whether syntax highlighting should be copied into the clipboard.")})),cursorBlinking:kr(new zge(26,"cursorBlinking",1,"blink",["blink","smooth","phase","expand","solid"],uci,{description:W("cursorBlinking","Control the cursor animation style.")})),cursorSmoothCaretAnimation:kr(new Ph(27,"cursorSmoothCaretAnimation","off",["off","explicit","on"],{enumDescriptions:[W("cursorSmoothCaretAnimation.off","Smooth caret animation is disabled."),W("cursorSmoothCaretAnimation.explicit","Smooth caret animation is enabled only when the user moves the cursor with an explicit gesture."),W("cursorSmoothCaretAnimation.on","Smooth caret animation is always enabled.")],description:W("cursorSmoothCaretAnimation","Controls whether the smooth caret animation should be enabled.")})),cursorStyle:kr(new zge(28,"cursorStyle",n0.Line,"line",["line","block","underline","line-thin","block-outline","underline-thin"],dci,{description:W("cursorStyle","Controls the cursor style.")})),cursorSurroundingLines:kr(new Ed(29,"cursorSurroundingLines",0,0,1073741824,{description:W("cursorSurroundingLines","Controls the minimal number of visible leading lines (minimum 0) and trailing lines (minimum 1) surrounding the cursor. Known as 'scrollOff' or 'scrollOffset' in some other editors.")})),cursorSurroundingLinesStyle:kr(new Ph(30,"cursorSurroundingLinesStyle","default",["default","all"],{enumDescriptions:[W("cursorSurroundingLinesStyle.default","`cursorSurroundingLines` is enforced only when triggered via the keyboard or API."),W("cursorSurroundingLinesStyle.all","`cursorSurroundingLines` is enforced always.")],markdownDescription:W("cursorSurroundingLinesStyle","Controls when `#editor.cursorSurroundingLines#` should be enforced.")})),cursorWidth:kr(new Ed(31,"cursorWidth",0,0,1073741824,{markdownDescription:W("cursorWidth","Controls the width of the cursor when `#editor.cursorStyle#` is set to `line`.")})),disableLayerHinting:kr(new Ml(32,"disableLayerHinting",!1)),disableMonospaceOptimizations:kr(new Ml(33,"disableMonospaceOptimizations",!1)),domReadOnly:kr(new Ml(34,"domReadOnly",!1)),dragAndDrop:kr(new Ml(35,"dragAndDrop",!0,{description:W("dragAndDrop","Controls whether the editor should allow moving selections via drag and drop.")})),emptySelectionClipboard:kr(new hci),dropIntoEditor:kr(new $ci),stickyScroll:kr(new Cci),experimentalWhitespaceRendering:kr(new Ph(38,"experimentalWhitespaceRendering","svg",["svg","font","off"],{enumDescriptions:[W("experimentalWhitespaceRendering.svg","Use a new rendering method with svgs."),W("experimentalWhitespaceRendering.font","Use a new rendering method with font characters."),W("experimentalWhitespaceRendering.off","Use the stable rendering method.")],description:W("experimentalWhitespaceRendering","Controls whether whitespace is rendered with a new, experimental method.")})),extraEditorClassName:kr(new Sy(39,"extraEditorClassName","")),fastScrollSensitivity:kr(new vw(40,"fastScrollSensitivity",5,l=>l<=0?5:l,{markdownDescription:W("fastScrollSensitivity","Scrolling speed multiplier when pressing `Alt`.")})),find:kr(new _ci),fixedOverflowWidgets:kr(new Ml(42,"fixedOverflowWidgets",!1)),folding:kr(new Ml(43,"folding",!0,{description:W("folding","Controls whether the editor has code folding enabled.")})),foldingStrategy:kr(new Ph(44,"foldingStrategy","auto",["auto","indentation"],{enumDescriptions:[W("foldingStrategy.auto","Use a language-specific folding strategy if available, else the indentation-based one."),W("foldingStrategy.indentation","Use the indentation-based folding strategy.")],description:W("foldingStrategy","Controls the strategy for computing folding ranges.")})),foldingHighlight:kr(new Ml(45,"foldingHighlight",!0,{description:W("foldingHighlight","Controls whether the editor should highlight folded ranges.")})),foldingImportsByDefault:kr(new Ml(46,"foldingImportsByDefault",!1,{description:W("foldingImportsByDefault","Controls whether the editor automatically collapses import ranges.")})),foldingMaximumRegions:kr(new Ed(47,"foldingMaximumRegions",5e3,10,65e3,{description:W("foldingMaximumRegions","The maximum number of foldable regions. Increasing this value may result in the editor becoming less responsive when the current source has a large number of foldable regions.")})),unfoldOnClickAfterEndOfLine:kr(new Ml(48,"unfoldOnClickAfterEndOfLine",!1,{description:W("unfoldOnClickAfterEndOfLine","Controls whether clicking on the empty content after a folded line will unfold the line.")})),fontFamily:kr(new Sy(49,"fontFamily",vv.fontFamily,{description:W("fontFamily","Controls the font family.")})),fontInfo:kr(new pci),fontLigatures2:kr(new mR),fontSize:kr(new mci),fontWeight:kr(new uBe),fontVariations:kr(new Lne),formatOnPaste:kr(new Ml(55,"formatOnPaste",!1,{description:W("formatOnPaste","Controls whether the editor should automatically format the pasted content. A formatter must be available and the formatter should be able to format a range in a document.")})),formatOnType:kr(new Ml(56,"formatOnType",!1,{description:W("formatOnType","Controls whether the editor should automatically format the line after typing.")})),glyphMargin:kr(new Ml(57,"glyphMargin",!0,{description:W("glyphMargin","Controls whether the editor should render the vertical glyph margin. Glyph margin is mostly used for debugging.")})),gotoLocation:kr(new gci),hideCursorInOverviewRuler:kr(new Ml(59,"hideCursorInOverviewRuler",!1,{description:W("hideCursorInOverviewRuler","Controls whether the cursor should be hidden in the overview ruler.")})),hover:kr(new yci),inDiffEditor:kr(new Ml(61,"inDiffEditor",!1)),letterSpacing:kr(new vw(64,"letterSpacing",vv.letterSpacing,l=>vw.clamp(l,-5,20),{description:W("letterSpacing","Controls the letter spacing in pixels.")})),lightbulb:kr(new bci),lineDecorationsWidth:kr(new wci),lineHeight:kr(new xci),lineNumbers:kr(new Pci),lineNumbersMinChars:kr(new Ed(69,"lineNumbersMinChars",5,1,300)),linkedEditing:kr(new Ml(70,"linkedEditing",!1,{description:W("linkedEditing","Controls whether the editor has linked editing enabled. Depending on the language, related symbols such as HTML tags, are updated while editing.")})),links:kr(new Ml(71,"links",!0,{description:W("links","Controls whether the editor should detect links and make them clickable.")})),matchBrackets:kr(new Ph(72,"matchBrackets","always",["always","near","never"],{description:W("matchBrackets","Highlight matching brackets.")})),minimap:kr(new kci),mouseStyle:kr(new Ph(74,"mouseStyle","text",["text","default","copy"])),mouseWheelScrollSensitivity:kr(new vw(75,"mouseWheelScrollSensitivity",1,l=>l===0?1:l,{markdownDescription:W("mouseWheelScrollSensitivity","A multiplier to be used on the `deltaX` and `deltaY` of mouse wheel scroll events.")})),mouseWheelZoom:kr(new Ml(76,"mouseWheelZoom",!1,{markdownDescription:Hc?W("mouseWheelZoom.mac","Zoom the font of the editor when using mouse wheel and holding `Cmd`."):W("mouseWheelZoom","Zoom the font of the editor when using mouse wheel and holding `Ctrl`.")})),multiCursorMergeOverlapping:kr(new Ml(77,"multiCursorMergeOverlapping",!0,{description:W("multiCursorMergeOverlapping","Merge multiple cursors when they are overlapping.")})),multiCursorModifier:kr(new zge(78,"multiCursorModifier","altKey","alt",["ctrlCmd","alt"],Tci,{markdownEnumDescriptions:[W("multiCursorModifier.ctrlCmd","Maps to `Control` on Windows and Linux and to `Command` on macOS."),W("multiCursorModifier.alt","Maps to `Alt` on Windows and Linux and to `Option` on macOS.")],markdownDescription:W({key:"multiCursorModifier",comment:["- `ctrlCmd` refers to a value the setting can take and should not be localized.","- `Control` and `Command` refer to the modifier keys Ctrl or Cmd on the keyboard and can be localized."]},"The modifier to be used to add multiple cursors with the mouse. The Go to Definition and Open Link mouse gestures will adapt such that they do not conflict with the [multicursor modifier](https://code.visualstudio.com/docs/editor/codebasics#_multicursor-modifier).")})),multiCursorPaste:kr(new Ph(79,"multiCursorPaste","spread",["spread","full"],{markdownEnumDescriptions:[W("multiCursorPaste.spread","Each cursor pastes a single line of the text."),W("multiCursorPaste.full","Each cursor pastes the full text.")],markdownDescription:W("multiCursorPaste","Controls pasting when the line count of the pasted text matches the cursor count.")})),multiCursorLimit:kr(new Ed(80,"multiCursorLimit",1e4,1,1e5,{markdownDescription:W("multiCursorLimit","Controls the max number of cursors that can be in an active editor at once.")})),occurrencesHighlight:kr(new Ph(81,"occurrencesHighlight","singleFile",["off","singleFile","multiFile"],{markdownEnumDescriptions:[W("occurrencesHighlight.off","Does not highlight occurrences."),W("occurrencesHighlight.singleFile","Highlights occurrences only in the current file."),W("occurrencesHighlight.multiFile","Experimental: Highlights occurrences across all valid open files.")],markdownDescription:W("occurrencesHighlight","Controls whether occurrences should be highlighted across open files.")})),overviewRulerBorder:kr(new Ml(82,"overviewRulerBorder",!0,{description:W("overviewRulerBorder","Controls whether a border should be drawn around the overview ruler.")})),overviewRulerLanes:kr(new Ed(83,"overviewRulerLanes",3,0,3)),padding:kr(new Dci),pasteAs:kr(new Jci),parameterHints:kr(new Eci),peekWidgetDefaultFocus:kr(new Ph(87,"peekWidgetDefaultFocus","tree",["tree","editor"],{enumDescriptions:[W("peekWidgetDefaultFocus.tree","Focus the tree when opening peek"),W("peekWidgetDefaultFocus.editor","Focus the editor when opening peek")],description:W("peekWidgetDefaultFocus","Controls whether to focus the inline editor or the tree in the peek widget.")})),placeholder:kr(new Nci),definitionLinkOpensInPeek:kr(new Ml(89,"definitionLinkOpensInPeek",!1,{description:W("definitionLinkOpensInPeek","Controls whether the Go to Definition mouse gesture always opens the peek widget.")})),quickSuggestions:kr(new Lci),quickSuggestionsDelay:kr(new Ed(91,"quickSuggestionsDelay",10,0,1073741824,{description:W("quickSuggestionsDelay","Controls the delay in milliseconds after which quick suggestions will show up.")})),readOnly:kr(new Ml(92,"readOnly",!1)),readOnlyMessage:kr(new Oci),renameOnType:kr(new Ml(94,"renameOnType",!1,{description:W("renameOnType","Controls whether the editor auto renames on type."),markdownDeprecationMessage:W("renameOnTypeDeprecate","Deprecated, use `editor.linkedEditing` instead.")})),renderControlCharacters:kr(new Ml(95,"renderControlCharacters",!0,{description:W("renderControlCharacters","Controls whether the editor should render control characters."),restricted:!0})),renderFinalNewline:kr(new Ph(96,"renderFinalNewline",Cv?"dimmed":"on",["off","on","dimmed"],{description:W("renderFinalNewline","Render last line number when the file ends with a newline.")})),renderLineHighlight:kr(new Ph(97,"renderLineHighlight","line",["none","gutter","line","all"],{enumDescriptions:["","","",W("renderLineHighlight.all","Highlights both the gutter and the current line.")],description:W("renderLineHighlight","Controls how the editor should render the current line highlight.")})),renderLineHighlightOnlyWhenFocus:kr(new Ml(98,"renderLineHighlightOnlyWhenFocus",!1,{description:W("renderLineHighlightOnlyWhenFocus","Controls if the editor should render the current line highlight only when the editor is focused.")})),renderValidationDecorations:kr(new Ph(99,"renderValidationDecorations","editable",["editable","on","off"])),renderWhitespace:kr(new Ph(100,"renderWhitespace","selection",["none","boundary","selection","trailing","all"],{enumDescriptions:["",W("renderWhitespace.boundary","Render whitespace characters except for single spaces between words."),W("renderWhitespace.selection","Render whitespace characters only on selected text."),W("renderWhitespace.trailing","Render only trailing whitespace characters."),""],description:W("renderWhitespace","Controls how the editor should render whitespace characters.")})),revealHorizontalRightPadding:kr(new Ed(101,"revealHorizontalRightPadding",15,0,1e3)),roundedSelection:kr(new Ml(102,"roundedSelection",!0,{description:W("roundedSelection","Controls whether selections should have rounded corners.")})),rulers:kr(new Aci),scrollbar:kr(new Mci),scrollBeyondLastColumn:kr(new Ed(105,"scrollBeyondLastColumn",4,0,1073741824,{description:W("scrollBeyondLastColumn","Controls the number of extra characters beyond which the editor will scroll horizontally.")})),scrollBeyondLastLine:kr(new Ml(106,"scrollBeyondLastLine",!0,{description:W("scrollBeyondLastLine","Controls whether the editor will scroll beyond the last line.")})),scrollPredominantAxis:kr(new Ml(107,"scrollPredominantAxis",!0,{description:W("scrollPredominantAxis","Scroll only along the predominant axis when scrolling both vertically and horizontally at the same time. Prevents horizontal drift when scrolling vertically on a trackpad.")})),selectionClipboard:kr(new Ml(108,"selectionClipboard",!0,{description:W("selectionClipboard","Controls whether the Linux primary clipboard should be supported."),included:Cv})),selectionHighlight:kr(new Ml(109,"selectionHighlight",!0,{description:W("selectionHighlight","Controls whether the editor should highlight matches similar to the selection.")})),selectOnLineNumbers:kr(new Ml(110,"selectOnLineNumbers",!0)),showFoldingControls:kr(new Ph(111,"showFoldingControls","mouseover",["always","never","mouseover"],{enumDescriptions:[W("showFoldingControls.always","Always show the folding controls."),W("showFoldingControls.never","Never show the folding controls and reduce the gutter size."),W("showFoldingControls.mouseover","Only show the folding controls when the mouse is over the gutter.")],description:W("showFoldingControls","Controls when the folding controls on the gutter are shown.")})),showUnused:kr(new Ml(112,"showUnused",!0,{description:W("showUnused","Controls fading out of unused code.")})),showDeprecated:kr(new Ml(141,"showDeprecated",!0,{description:W("showDeprecated","Controls strikethrough deprecated variables.")})),inlayHints:kr(new Sci),snippetSuggestions:kr(new Ph(113,"snippetSuggestions","inline",["top","bottom","inline","none"],{enumDescriptions:[W("snippetSuggestions.top","Show snippet suggestions on top of other suggestions."),W("snippetSuggestions.bottom","Show snippet suggestions below other suggestions."),W("snippetSuggestions.inline","Show snippets suggestions with other suggestions."),W("snippetSuggestions.none","Do not show snippet suggestions.")],description:W("snippetSuggestions","Controls whether snippets are shown with other suggestions and how they are sorted.")})),smartSelect:kr(new jci),smoothScrolling:kr(new Ml(115,"smoothScrolling",!1,{description:W("smoothScrolling","Controls whether the editor will scroll using an animation.")})),stopRenderingLineAfter:kr(new Ed(118,"stopRenderingLineAfter",1e4,-1,1073741824)),suggest:kr(new Hci),inlineSuggest:kr(new Fci),inlineEdit:kr(new Bci),inlineCompletionsAccessibilityVerbose:kr(new Ml(150,"inlineCompletionsAccessibilityVerbose",!1,{description:W("inlineCompletionsAccessibilityVerbose","Controls whether the accessibility hint should be provided to screen reader users when an inline completion is shown.")})),suggestFontSize:kr(new Ed(120,"suggestFontSize",0,0,1e3,{markdownDescription:W("suggestFontSize","Font size for the suggest widget. When set to {0}, the value of {1} is used.","`0`","`#editor.fontSize#`")})),suggestLineHeight:kr(new Ed(121,"suggestLineHeight",0,0,1e3,{markdownDescription:W("suggestLineHeight","Line height for the suggest widget. When set to {0}, the value of {1} is used. The minimum value is 8.","`0`","`#editor.lineHeight#`")})),suggestOnTriggerCharacters:kr(new Ml(122,"suggestOnTriggerCharacters",!0,{description:W("suggestOnTriggerCharacters","Controls whether suggestions should automatically show up when typing trigger characters.")})),suggestSelection:kr(new Ph(123,"suggestSelection","first",["first","recentlyUsed","recentlyUsedByPrefix"],{markdownEnumDescriptions:[W("suggestSelection.first","Always select the first suggestion."),W("suggestSelection.recentlyUsed","Select recent suggestions unless further typing selects one, e.g. `console.| -> console.log` because `log` has been completed recently."),W("suggestSelection.recentlyUsedByPrefix","Select suggestions based on previous prefixes that have completed those suggestions, e.g. `co -> console` and `con -> const`.")],description:W("suggestSelection","Controls how suggestions are pre-selected when showing the suggest list.")})),tabCompletion:kr(new Ph(124,"tabCompletion","off",["on","off","onlySnippets"],{enumDescriptions:[W("tabCompletion.on","Tab complete will insert the best matching suggestion when pressing tab."),W("tabCompletion.off","Disable tab completions."),W("tabCompletion.onlySnippets","Tab complete snippets when their prefix match. Works best when 'quickSuggestions' aren't enabled.")],description:W("tabCompletion","Enables tab completions.")})),tabIndex:kr(new Ed(125,"tabIndex",0,-1,1073741824)),unicodeHighlight:kr(new Rci),unusualLineTerminators:kr(new Ph(127,"unusualLineTerminators","prompt",["auto","off","prompt"],{enumDescriptions:[W("unusualLineTerminators.auto","Unusual line terminators are automatically removed."),W("unusualLineTerminators.off","Unusual line terminators are ignored."),W("unusualLineTerminators.prompt","Unusual line terminators prompt to be removed.")],description:W("unusualLineTerminators","Remove unusual line terminators that might cause problems.")})),useShadowDOM:kr(new Ml(128,"useShadowDOM",!0)),useTabStops:kr(new Ml(129,"useTabStops",!0,{description:W("useTabStops","Spaces and tabs are inserted and deleted in alignment with tab stops.")})),wordBreak:kr(new Ph(130,"wordBreak","normal",["normal","keepAll"],{markdownEnumDescriptions:[W("wordBreak.normal","Use the default line break rule."),W("wordBreak.keepAll","Word breaks should not be used for Chinese/Japanese/Korean (CJK) text. Non-CJK text behavior is the same as for normal.")],description:W("wordBreak","Controls the word break rules used for Chinese/Japanese/Korean (CJK) text.")})),wordSegmenterLocales:kr(new zci),wordSeparators:kr(new Sy(132,"wordSeparators",J1e,{description:W("wordSeparators","Characters that will be used as word separators when doing word related navigations or operations.")})),wordWrap:kr(new Ph(133,"wordWrap","off",["off","on","wordWrapColumn","bounded"],{markdownEnumDescriptions:[W("wordWrap.off","Lines will never wrap."),W("wordWrap.on","Lines will wrap at the viewport width."),W({key:"wordWrap.wordWrapColumn",comment:["- `editor.wordWrapColumn` refers to a different setting and should not be localized."]},"Lines will wrap at `#editor.wordWrapColumn#`."),W({key:"wordWrap.bounded",comment:["- viewport means the edge of the visible window size.","- `editor.wordWrapColumn` refers to a different setting and should not be localized."]},"Lines will wrap at the minimum of viewport and `#editor.wordWrapColumn#`.")],description:W({key:"wordWrap",comment:["- 'off', 'on', 'wordWrapColumn' and 'bounded' refer to values the setting can take and should not be localized.","- `editor.wordWrapColumn` refers to a different setting and should not be localized."]},"Controls how lines should wrap.")})),wordWrapBreakAfterCharacters:kr(new Sy(134,"wordWrapBreakAfterCharacters"," })]?|/&.,;¢°′″‰℃、。。、¢,.:;?!%・・ゝゞヽヾーァィゥェォッャュョヮヵヶぁぃぅぇぉっゃゅょゎゕゖㇰㇱㇲㇳㇴㇵㇶㇷㇸㇹㇺㇻㇼㇽㇾㇿ々〻ァィゥェォャュョッー”〉》」』】〕)]}」")),wordWrapBreakBeforeCharacters:kr(new Sy(135,"wordWrapBreakBeforeCharacters","([{‘“〈《「『【〔([{「£¥$£¥++")),wordWrapColumn:kr(new Ed(136,"wordWrapColumn",80,1,1073741824,{markdownDescription:W({key:"wordWrapColumn",comment:["- `editor.wordWrap` refers to a different setting and should not be localized.","- 'wordWrapColumn' and 'bounded' refer to values the different setting can take and should not be localized."]},"Controls the wrapping column of the editor when `#editor.wordWrap#` is `wordWrapColumn` or `bounded`.")})),wordWrapOverride1:kr(new Ph(137,"wordWrapOverride1","inherit",["off","on","inherit"])),wordWrapOverride2:kr(new Ph(138,"wordWrapOverride2","inherit",["off","on","inherit"])),editorClassName:kr(new fci),defaultColorDecorators:kr(new Ml(148,"defaultColorDecorators",!1,{markdownDescription:W("defaultColorDecorators","Controls whether inline color decorations should be shown using the default document color provider")})),pixelRatio:kr(new Ici),tabFocusMode:kr(new Ml(145,"tabFocusMode",!1,{markdownDescription:W("tabFocusMode","Controls whether the editor receives tabs or defers them to the workbench for navigation.")})),layoutInfo:kr(new Wq),wrappingInfo:kr(new qci),wrappingIndent:kr(new Uci),wrappingStrategy:kr(new vci)};class Qci{constructor(){this.listeners=[],this.unexpectedErrorHandler=function(e){setTimeout(()=>{throw e.stack?w$.isErrorNoTelemetry(e)?new w$(e.message+`
+
+`+e.stack):new Error(e.message+`
+
+`+e.stack):e},0)}}emit(e){this.listeners.forEach(i=>{i(e)})}onUnexpectedError(e){this.unexpectedErrorHandler(e),this.emit(e)}onUnexpectedExternalError(e){this.unexpectedErrorHandler(e)}}const Mkt=new Qci;function Pa(l){Tk(l)||Mkt.onUnexpectedError(l)}function t_(l){Tk(l)||Mkt.onUnexpectedExternalError(l)}function Kyt(l){if(l instanceof Error){const{name:e,message:i}=l,n=l.stacktrace||l.stack;return{$isError:!0,name:e,message:i,stack:n,noTelemetry:w$.isErrorNoTelemetry(l)}}return l}const K1e="Canceled";function Tk(l){return l instanceof vT?!0:l instanceof Error&&l.name===K1e&&l.message===K1e}class vT extends Error{constructor(){super(K1e),this.name=this.message}}function Zci(){const l=new Error(K1e);return l.name=l.message,l}function gk(l){return l?new Error(`Illegal argument: ${l}`):new Error("Illegal argument")}function $qe(l){return l?new Error(`Illegal state: ${l}`):new Error("Illegal state")}class Yci extends Error{constructor(e){super("NotSupported"),e&&(this.message=e)}}class w$ extends Error{constructor(e){super(e),this.name="CodeExpectedError"}static fromError(e){if(e instanceof w$)return e;const i=new w$;return i.message=e.message,i.stack=e.stack,i}static isErrorNoTelemetry(e){return e.name==="CodeExpectedError"}}class tu extends Error{constructor(e){super(e||"An unexpected bug occurred."),Object.setPrototypeOf(this,tu.prototype)}}function gR(l,e){const i=this;let n=!1,s;return function(){return n||(n=!0,s=l.apply(i,arguments)),s}}function ESe(l){return typeof l=="object"&&l!==null&&typeof l.dispose=="function"&&l.dispose.length===0}function vd(l){if(dl.is(l)){const e=[];for(const i of l)if(i)try{i.dispose()}catch(n){e.push(n)}if(e.length===1)throw e[0];if(e.length>1)throw new AggregateError(e,"Encountered errors while disposing of store");return Array.isArray(l)?[]:l}else if(l)return l.dispose(),l}function gT(...l){return fo(()=>vd(l))}function fo(l){return{dispose:gR(()=>{l()})}}const Ube=class Ube{constructor(){this._toDispose=new Set,this._isDisposed=!1}dispose(){this._isDisposed||(this._isDisposed=!0,this.clear())}get isDisposed(){return this._isDisposed}clear(){if(this._toDispose.size!==0)try{vd(this._toDispose)}finally{this._toDispose.clear()}}add(e){if(!e)return e;if(e===this)throw new Error("Cannot register a disposable on itself!");return this._isDisposed?Ube.DISABLE_DISPOSED_WARNING||console.warn(new Error("Trying to add a disposable to a DisposableStore that has already been disposed of. The added object will be leaked!").stack):this._toDispose.add(e),e}deleteAndLeak(e){e&&this._toDispose.has(e)&&this._toDispose.delete(e)}};Ube.DISABLE_DISPOSED_WARNING=!1;let wn=Ube;const wKe=class wKe{constructor(){this._store=new wn,this._store}dispose(){this._store.dispose()}_register(e){if(e===this)throw new Error("Cannot register a disposable on itself!");return this._store.add(e)}};wKe.None=Object.freeze({dispose(){}});let xi=wKe;class Fm{constructor(){this._isDisposed=!1}get value(){return this._isDisposed?void 0:this._value}set value(e){var i;this._isDisposed||e===this._value||((i=this._value)==null||i.dispose(),this._value=e)}clear(){this.value=void 0}dispose(){var e;this._isDisposed=!0,(e=this._value)==null||e.dispose(),this._value=void 0}}class eli{constructor(e){this._disposable=e,this._counter=1}acquire(){return this._counter++,this}release(){return--this._counter===0&&this._disposable.dispose(),this}}class tli{constructor(e){this.object=e}dispose(){}}class Jqe{constructor(){this._store=new Map,this._isDisposed=!1}dispose(){this._isDisposed=!0,this.clearAndDisposeAll()}clearAndDisposeAll(){if(this._store.size)try{vd(this._store.values())}finally{this._store.clear()}}get(e){return this._store.get(e)}set(e,i,n=!1){var s;this._isDisposed&&console.warn(new Error("Trying to add a disposable to a DisposableMap that has already been disposed of. The added object will be leaked!").stack),n||(s=this._store.get(e))==null||s.dispose(),this._store.set(e,i)}deleteAndDispose(e){var i;(i=this._store.get(e))==null||i.dispose(),this._store.delete(e)}[Symbol.iterator](){return this._store[Symbol.iterator]()}}const ili=globalThis.performance&&typeof globalThis.performance.now=="function";class bg{static create(e){return new bg(e)}constructor(e){this._now=ili&&e===!1?Date.now:globalThis.performance.now.bind(globalThis.performance),this._startTime=this._now(),this._stopTime=-1}stop(){this._stopTime=this._now()}reset(){this._startTime=this._now(),this._stopTime=-1}elapsed(){return this._stopTime!==-1?this._stopTime-this._startTime:this._now()-this._startTime}}var Tr;(function(l){l.None=()=>xi.None;function e($t,Hi){return I($t,()=>{},0,void 0,!0,void 0,Hi)}l.defer=e;function i($t){return(Hi,An=null,qt)=>{let ms=!1,Wr;return Wr=$t(Dr=>{if(!ms)return Wr?Wr.dispose():ms=!0,Hi.call(An,Dr)},null,qt),ms&&Wr.dispose(),Wr}}l.once=i;function n($t,Hi){return l.once(l.filter($t,Hi))}l.onceIf=n;function s($t,Hi,An){return x((qt,ms=null,Wr)=>$t(Dr=>qt.call(ms,Hi(Dr)),null,Wr),An)}l.map=s;function c($t,Hi,An){return x((qt,ms=null,Wr)=>$t(Dr=>{Hi(Dr),qt.call(ms,Dr)},null,Wr),An)}l.forEach=c;function d($t,Hi,An){return x((qt,ms=null,Wr)=>$t(Dr=>Hi(Dr)&&qt.call(ms,Dr),null,Wr),An)}l.filter=d;function f($t){return $t}l.signal=f;function m(...$t){return(Hi,An=null,qt)=>{const ms=gT(...$t.map(Wr=>Wr(Dr=>Hi.call(An,Dr))));return w(ms,qt)}}l.any=m;function v($t,Hi,An,qt){let ms=An;return s($t,Wr=>(ms=Hi(ms,Wr),ms),qt)}l.reduce=v;function x($t,Hi){let An;const qt={onWillAddFirstListener(){An=$t(ms.fire,ms)},onDidRemoveLastListener(){An==null||An.dispose()}},ms=new gi(qt);return Hi==null||Hi.add(ms),ms.event}function w($t,Hi){return Hi instanceof Array?Hi.push($t):Hi&&Hi.add($t),$t}function I($t,Hi,An=100,qt=!1,ms=!1,Wr,Dr){let Rr,na,$d,Ca=0,jl;const es={leakWarningThreshold:Wr,onWillAddFirstListener(){Rr=$t(mp=>{Ca++,na=Hi(na,mp),qt&&!$d&&(ld.fire(na),na=void 0),jl=()=>{const of=na;na=void 0,$d=void 0,(!qt||Ca>1)&&ld.fire(of),Ca=0},typeof An=="number"?(clearTimeout($d),$d=setTimeout(jl,An)):$d===void 0&&($d=0,queueMicrotask(jl))})},onWillRemoveListener(){ms&&Ca>0&&(jl==null||jl())},onDidRemoveLastListener(){jl=void 0,Rr.dispose()}},ld=new gi(es);return Dr==null||Dr.add(ld),ld.event}l.debounce=I;function P($t,Hi=0,An){return l.debounce($t,(qt,ms)=>qt?(qt.push(ms),qt):[ms],Hi,void 0,!0,void 0,An)}l.accumulate=P;function O($t,Hi=(qt,ms)=>qt===ms,An){let qt=!0,ms;return d($t,Wr=>{const Dr=qt||!Hi(Wr,ms);return qt=!1,ms=Wr,Dr},An)}l.latch=O;function z($t,Hi,An){return[l.filter($t,Hi,An),l.filter($t,qt=>!Hi(qt),An)]}l.split=z;function J($t,Hi=!1,An=[],qt){let ms=An.slice(),Wr=$t(na=>{ms?ms.push(na):Rr.fire(na)});qt&&qt.add(Wr);const Dr=()=>{ms==null||ms.forEach(na=>Rr.fire(na)),ms=null},Rr=new gi({onWillAddFirstListener(){Wr||(Wr=$t(na=>Rr.fire(na)),qt&&qt.add(Wr))},onDidAddFirstListener(){ms&&(Hi?setTimeout(Dr):Dr())},onDidRemoveLastListener(){Wr&&Wr.dispose(),Wr=null}});return qt&&qt.add(Rr),Rr.event}l.buffer=J;function Y($t,Hi){return(qt,ms,Wr)=>{const Dr=Hi(new me);return $t(function(Rr){const na=Dr.evaluate(Rr);na!==ae&&qt.call(ms,na)},void 0,Wr)}}l.chain=Y;const ae=Symbol("HaltChainable");class me{constructor(){this.steps=[]}map(Hi){return this.steps.push(Hi),this}forEach(Hi){return this.steps.push(An=>(Hi(An),An)),this}filter(Hi){return this.steps.push(An=>Hi(An)?An:ae),this}reduce(Hi,An){let qt=An;return this.steps.push(ms=>(qt=Hi(qt,ms),qt)),this}latch(Hi=(An,qt)=>An===qt){let An=!0,qt;return this.steps.push(ms=>{const Wr=An||!Hi(ms,qt);return An=!1,qt=ms,Wr?ms:ae}),this}evaluate(Hi){for(const An of this.steps)if(Hi=An(Hi),Hi===ae)break;return Hi}}function ye($t,Hi,An=qt=>qt){const qt=(...Rr)=>Dr.fire(An(...Rr)),ms=()=>$t.on(Hi,qt),Wr=()=>$t.removeListener(Hi,qt),Dr=new gi({onWillAddFirstListener:ms,onDidRemoveLastListener:Wr});return Dr.event}l.fromNodeEventEmitter=ye;function Ce($t,Hi,An=qt=>qt){const qt=(...Rr)=>Dr.fire(An(...Rr)),ms=()=>$t.addEventListener(Hi,qt),Wr=()=>$t.removeEventListener(Hi,qt),Dr=new gi({onWillAddFirstListener:ms,onDidRemoveLastListener:Wr});return Dr.event}l.fromDOMEventEmitter=Ce;function Fe($t){return new Promise(Hi=>i($t)(Hi))}l.toPromise=Fe;function rt($t){const Hi=new gi;return $t.then(An=>{Hi.fire(An)},()=>{Hi.fire(void 0)}).finally(()=>{Hi.dispose()}),Hi.event}l.fromPromise=rt;function ct($t,Hi){return $t(An=>Hi.fire(An))}l.forward=ct;function Mt($t,Hi,An){return Hi(An),$t(qt=>Hi(qt))}l.runAndSubscribe=Mt;class Yt{constructor(Hi,An){this._observable=Hi,this._counter=0,this._hasChanged=!1;const qt={onWillAddFirstListener:()=>{Hi.addObserver(this),this._observable.reportChanges()},onDidRemoveLastListener:()=>{Hi.removeObserver(this)}};this.emitter=new gi(qt),An&&An.add(this.emitter)}beginUpdate(Hi){this._counter++}handlePossibleChange(Hi){}handleChange(Hi,An){this._hasChanged=!0}endUpdate(Hi){this._counter--,this._counter===0&&(this._observable.reportChanges(),this._hasChanged&&(this._hasChanged=!1,this.emitter.fire(this._observable.get())))}}function Bi($t,Hi){return new Yt($t,Hi).emitter.event}l.fromObservable=Bi;function $i($t){return(Hi,An,qt)=>{let ms=0,Wr=!1;const Dr={beginUpdate(){ms++},endUpdate(){ms--,ms===0&&($t.reportChanges(),Wr&&(Wr=!1,Hi.call(An)))},handlePossibleChange(){},handleChange(){Wr=!0}};$t.addObserver(Dr),$t.reportChanges();const Rr={dispose(){$t.removeObserver(Dr)}};return qt instanceof wn?qt.add(Rr):Array.isArray(qt)&&qt.push(Rr),Rr}}l.fromObservableLight=$i})(Tr||(Tr={}));const xq=class xq{constructor(e){this.listenerCount=0,this.invocationCount=0,this.elapsedOverall=0,this.durations=[],this.name=`${e}_${xq._idPool++}`,xq.all.add(this)}start(e){this._stopWatch=new bg,this.listenerCount=e}stop(){if(this._stopWatch){const e=this._stopWatch.elapsed();this.durations.push(e),this.elapsedOverall+=e,this.invocationCount+=1,this._stopWatch=void 0}}};xq.all=new Set,xq._idPool=0;let dBe=xq,nli=-1;const qbe=class qbe{constructor(e,i,n=(qbe._idPool++).toString(16).padStart(3,"0")){this._errorHandler=e,this.threshold=i,this.name=n,this._warnCountdown=0}dispose(){var e;(e=this._stacks)==null||e.clear()}check(e,i){const n=this.threshold;if(n<=0||i<n)return;this._stacks||(this._stacks=new Map);const s=this._stacks.get(e.value)||0;if(this._stacks.set(e.value,s+1),this._warnCountdown-=1,this._warnCountdown<=0){this._warnCountdown=n*.5;const[c,d]=this.getMostFrequentStack(),f=`[${this.name}] potential listener LEAK detected, having ${i} listeners already. MOST frequent listener (${d}):`;console.warn(f),console.warn(c);const m=new rli(f,c);this._errorHandler(m)}return()=>{const c=this._stacks.get(e.value)||0;this._stacks.set(e.value,c-1)}}getMostFrequentStack(){if(!this._stacks)return;let e,i=0;for(const[n,s]of this._stacks)(!e||i<s)&&(e=[n,s],i=s);return e}};qbe._idPool=1;let fBe=qbe;class Gqe{static create(){const e=new Error;return new Gqe(e.stack??"")}constructor(e){this.value=e}print(){console.warn(this.value.split(`
+`).slice(2).join(`
+`))}}class rli extends Error{constructor(e,i){super(e),this.name="ListenerLeakError",this.stack=i}}class sli extends Error{constructor(e,i){super(e),this.name="ListenerRefusalError",this.stack=i}}class Z5e{constructor(e){this.value=e}}const oli=2;let gi=class{constructor(e){var i,n,s,c;this._size=0,this._options=e,this._leakageMon=(i=this._options)!=null&&i.leakWarningThreshold?new fBe((e==null?void 0:e.onListenerError)??Pa,((n=this._options)==null?void 0:n.leakWarningThreshold)??nli):void 0,this._perfMon=(s=this._options)!=null&&s._profName?new dBe(this._options._profName):void 0,this._deliveryQueue=(c=this._options)==null?void 0:c.deliveryQueue}dispose(){var e,i,n,s;this._disposed||(this._disposed=!0,((e=this._deliveryQueue)==null?void 0:e.current)===this&&this._deliveryQueue.reset(),this._listeners&&(this._listeners=void 0,this._size=0),(n=(i=this._options)==null?void 0:i.onDidRemoveLastListener)==null||n.call(i),(s=this._leakageMon)==null||s.dispose())}get event(){return this._event??(this._event=(e,i,n)=>{var f,m,v,x,w;if(this._leakageMon&&this._size>this._leakageMon.threshold**2){const I=`[${this._leakageMon.name}] REFUSES to accept new listeners because it exceeded its threshold by far (${this._size} vs ${this._leakageMon.threshold})`;console.warn(I);const P=this._leakageMon.getMostFrequentStack()??["UNKNOWN stack",-1],O=new sli(`${I}. HINT: Stack shows most frequent listener (${P[1]}-times)`,P[0]);return(((f=this._options)==null?void 0:f.onListenerError)||Pa)(O),xi.None}if(this._disposed)return xi.None;i&&(e=e.bind(i));const s=new Z5e(e);let c;this._leakageMon&&this._size>=Math.ceil(this._leakageMon.threshold*.2)&&(s.stack=Gqe.create(),c=this._leakageMon.check(s.stack,this._size+1)),this._listeners?this._listeners instanceof Z5e?(this._deliveryQueue??(this._deliveryQueue=new Rkt),this._listeners=[this._listeners,s]):this._listeners.push(s):((v=(m=this._options)==null?void 0:m.onWillAddFirstListener)==null||v.call(m,this),this._listeners=s,(w=(x=this._options)==null?void 0:x.onDidAddFirstListener)==null||w.call(x,this)),this._size++;const d=fo(()=>{c==null||c(),this._removeListener(s)});return n instanceof wn?n.add(d):Array.isArray(n)&&n.push(d),d}),this._event}_removeListener(e){var c,d,f,m;if((d=(c=this._options)==null?void 0:c.onWillRemoveListener)==null||d.call(c,this),!this._listeners)return;if(this._size===1){this._listeners=void 0,(m=(f=this._options)==null?void 0:f.onDidRemoveLastListener)==null||m.call(f,this),this._size=0;return}const i=this._listeners,n=i.indexOf(e);if(n===-1)throw console.log("disposed?",this._disposed),console.log("size?",this._size),console.log("arr?",JSON.stringify(this._listeners)),new Error("Attempted to dispose unknown listener");this._size--,i[n]=void 0;const s=this._deliveryQueue.current===this;if(this._size*oli<=i.length){let v=0;for(let x=0;x<i.length;x++)i[x]?i[v++]=i[x]:s&&(this._deliveryQueue.end--,v<this._deliveryQueue.i&&this._deliveryQueue.i--);i.length=v}}_deliver(e,i){var s;if(!e)return;const n=((s=this._options)==null?void 0:s.onListenerError)||Pa;if(!n){e.value(i);return}try{e.value(i)}catch(c){n(c)}}_deliverQueue(e){const i=e.current._listeners;for(;e.i<e.end;)this._deliver(i[e.i++],e.value);e.reset()}fire(e){var i,n,s,c;if((i=this._deliveryQueue)!=null&&i.current&&(this._deliverQueue(this._deliveryQueue),(n=this._perfMon)==null||n.stop()),(s=this._perfMon)==null||s.start(this._size),this._listeners)if(this._listeners instanceof Z5e)this._deliver(this._listeners,e);else{const d=this._deliveryQueue;d.enqueue(this,e,this._listeners.length),this._deliverQueue(d)}(c=this._perfMon)==null||c.stop()}hasListeners(){return this._size>0}};const ali=()=>new Rkt;class Rkt{constructor(){this.i=-1,this.end=0}enqueue(e,i,n){this.i=0,this.end=n,this.current=e,this.value=i}reset(){this.i=this.end,this.current=void 0,this.value=void 0}}class CB extends gi{constructor(e){super(e),this._isPaused=0,this._eventQueue=new _v,this._mergeFn=e==null?void 0:e.merge}pause(){this._isPaused++}resume(){if(this._isPaused!==0&&--this._isPaused===0)if(this._mergeFn){if(this._eventQueue.size>0){const e=Array.from(this._eventQueue);this._eventQueue.clear(),super.fire(this._mergeFn(e))}}else for(;!this._isPaused&&this._eventQueue.size!==0;)super.fire(this._eventQueue.shift())}fire(e){this._size&&(this._isPaused!==0?this._eventQueue.push(e):super.fire(e))}}class Fkt extends CB{constructor(e){super(e),this._delay=e.delay??100}fire(e){this._handle||(this.pause(),this._handle=setTimeout(()=>{this._handle=void 0,this.resume()},this._delay)),super.fire(e)}}class cli extends gi{constructor(e){super(e),this._queuedEvents=[],this._mergeFn=e==null?void 0:e.merge}fire(e){this.hasListeners()&&(this._queuedEvents.push(e),this._queuedEvents.length===1&&queueMicrotask(()=>{this._mergeFn?super.fire(this._mergeFn(this._queuedEvents)):this._queuedEvents.forEach(i=>super.fire(i)),this._queuedEvents=[]}))}}class lli{constructor(){this.hasListeners=!1,this.events=[],this.emitter=new gi({onWillAddFirstListener:()=>this.onFirstListenerAdd(),onDidRemoveLastListener:()=>this.onLastListenerRemove()})}get event(){return this.emitter.event}add(e){const i={event:e,listener:null};return this.events.push(i),this.hasListeners&&this.hook(i),fo(gR(()=>{this.hasListeners&&this.unhook(i);const s=this.events.indexOf(i);this.events.splice(s,1)}))}onFirstListenerAdd(){this.hasListeners=!0,this.events.forEach(e=>this.hook(e))}onLastListenerRemove(){this.hasListeners=!1,this.events.forEach(e=>this.unhook(e))}hook(e){e.listener=e.event(i=>this.emitter.fire(i))}unhook(e){var i;(i=e.listener)==null||i.dispose(),e.listener=null}dispose(){var e;this.emitter.dispose();for(const i of this.events)(e=i.listener)==null||e.dispose();this.events=[]}}class lse{constructor(){this.data=[]}wrapEvent(e,i,n){return(s,c,d)=>e(f=>{const m=this.data[this.data.length-1];if(!i){m?m.buffers.push(()=>s.call(c,f)):s.call(c,f);return}const v=m;if(!v){s.call(c,i(n,f));return}v.items??(v.items=[]),v.items.push(f),v.buffers.length===0&&m.buffers.push(()=>{v.reducedResult??(v.reducedResult=n?v.items.reduce(i,n):v.items.reduce(i)),s.call(c,v.reducedResult)})},void 0,d)}bufferEvents(e){const i={buffers:new Array};this.data.push(i);const n=e();return this.data.pop(),i.buffers.forEach(s=>s()),n}}class Xyt{constructor(){this.listening=!1,this.inputEvent=Tr.None,this.inputEventListener=xi.None,this.emitter=new gi({onDidAddFirstListener:()=>{this.listening=!0,this.inputEventListener=this.inputEvent(this.emitter.fire,this.emitter)},onDidRemoveLastListener:()=>{this.listening=!1,this.inputEventListener.dispose()}}),this.event=this.emitter.event}set input(e){this.inputEvent=e,this.listening&&(this.inputEventListener.dispose(),this.inputEventListener=e(this.emitter.fire,this.emitter))}dispose(){this.inputEventListener.dispose(),this.emitter.dispose()}}const Bkt=Object.freeze(function(l,e){const i=setTimeout(l.bind(e),0);return{dispose(){clearTimeout(i)}}});var cc;(function(l){function e(i){return i===l.None||i===l.Cancelled||i instanceof t1e?!0:!i||typeof i!="object"?!1:typeof i.isCancellationRequested=="boolean"&&typeof i.onCancellationRequested=="function"}l.isCancellationToken=e,l.None=Object.freeze({isCancellationRequested:!1,onCancellationRequested:Tr.None}),l.Cancelled=Object.freeze({isCancellationRequested:!0,onCancellationRequested:Bkt})})(cc||(cc={}));class t1e{constructor(){this._isCancelled=!1,this._emitter=null}cancel(){this._isCancelled||(this._isCancelled=!0,this._emitter&&(this._emitter.fire(void 0),this.dispose()))}get isCancellationRequested(){return this._isCancelled}get onCancellationRequested(){return this._isCancelled?Bkt:(this._emitter||(this._emitter=new gi),this._emitter.event)}dispose(){this._emitter&&(this._emitter.dispose(),this._emitter=null)}}let ih=class{constructor(e){this._token=void 0,this._parentListener=void 0,this._parentListener=e&&e.onCancellationRequested(this.cancel,this)}get token(){return this._token||(this._token=new t1e),this._token}cancel(){this._token?this._token instanceof t1e&&this._token.cancel():this._token=cc.Cancelled}dispose(e=!1){var i;e&&this.cancel(),(i=this._parentListener)==null||i.dispose(),this._token?this._token instanceof t1e&&this._token.dispose():this._token=cc.None}};function hBe(l){const e=new ih;return l.add({dispose(){e.cancel()}}),e.token}class Kqe{constructor(){this._keyCodeToStr=[],this._strToKeyCode=Object.create(null)}define(e,i){this._keyCodeToStr[e]=i,this._strToKeyCode[i.toLowerCase()]=e}keyCodeToStr(e){return this._keyCodeToStr[e]}strToKeyCode(e){return this._strToKeyCode[e.toLowerCase()]||0}}const i1e=new Kqe,_Be=new Kqe,pBe=new Kqe,Wkt=new Array(230),uli=Object.create(null),dli=Object.create(null),Xqe=[];for(let l=0;l<=193;l++)Xqe[l]=-1;(function(){const l="",e=[[1,0,"None",0,"unknown",0,"VK_UNKNOWN",l,l],[1,1,"Hyper",0,l,0,l,l,l],[1,2,"Super",0,l,0,l,l,l],[1,3,"Fn",0,l,0,l,l,l],[1,4,"FnLock",0,l,0,l,l,l],[1,5,"Suspend",0,l,0,l,l,l],[1,6,"Resume",0,l,0,l,l,l],[1,7,"Turbo",0,l,0,l,l,l],[1,8,"Sleep",0,l,0,"VK_SLEEP",l,l],[1,9,"WakeUp",0,l,0,l,l,l],[0,10,"KeyA",31,"A",65,"VK_A",l,l],[0,11,"KeyB",32,"B",66,"VK_B",l,l],[0,12,"KeyC",33,"C",67,"VK_C",l,l],[0,13,"KeyD",34,"D",68,"VK_D",l,l],[0,14,"KeyE",35,"E",69,"VK_E",l,l],[0,15,"KeyF",36,"F",70,"VK_F",l,l],[0,16,"KeyG",37,"G",71,"VK_G",l,l],[0,17,"KeyH",38,"H",72,"VK_H",l,l],[0,18,"KeyI",39,"I",73,"VK_I",l,l],[0,19,"KeyJ",40,"J",74,"VK_J",l,l],[0,20,"KeyK",41,"K",75,"VK_K",l,l],[0,21,"KeyL",42,"L",76,"VK_L",l,l],[0,22,"KeyM",43,"M",77,"VK_M",l,l],[0,23,"KeyN",44,"N",78,"VK_N",l,l],[0,24,"KeyO",45,"O",79,"VK_O",l,l],[0,25,"KeyP",46,"P",80,"VK_P",l,l],[0,26,"KeyQ",47,"Q",81,"VK_Q",l,l],[0,27,"KeyR",48,"R",82,"VK_R",l,l],[0,28,"KeyS",49,"S",83,"VK_S",l,l],[0,29,"KeyT",50,"T",84,"VK_T",l,l],[0,30,"KeyU",51,"U",85,"VK_U",l,l],[0,31,"KeyV",52,"V",86,"VK_V",l,l],[0,32,"KeyW",53,"W",87,"VK_W",l,l],[0,33,"KeyX",54,"X",88,"VK_X",l,l],[0,34,"KeyY",55,"Y",89,"VK_Y",l,l],[0,35,"KeyZ",56,"Z",90,"VK_Z",l,l],[0,36,"Digit1",22,"1",49,"VK_1",l,l],[0,37,"Digit2",23,"2",50,"VK_2",l,l],[0,38,"Digit3",24,"3",51,"VK_3",l,l],[0,39,"Digit4",25,"4",52,"VK_4",l,l],[0,40,"Digit5",26,"5",53,"VK_5",l,l],[0,41,"Digit6",27,"6",54,"VK_6",l,l],[0,42,"Digit7",28,"7",55,"VK_7",l,l],[0,43,"Digit8",29,"8",56,"VK_8",l,l],[0,44,"Digit9",30,"9",57,"VK_9",l,l],[0,45,"Digit0",21,"0",48,"VK_0",l,l],[1,46,"Enter",3,"Enter",13,"VK_RETURN",l,l],[1,47,"Escape",9,"Escape",27,"VK_ESCAPE",l,l],[1,48,"Backspace",1,"Backspace",8,"VK_BACK",l,l],[1,49,"Tab",2,"Tab",9,"VK_TAB",l,l],[1,50,"Space",10,"Space",32,"VK_SPACE",l,l],[0,51,"Minus",88,"-",189,"VK_OEM_MINUS","-","OEM_MINUS"],[0,52,"Equal",86,"=",187,"VK_OEM_PLUS","=","OEM_PLUS"],[0,53,"BracketLeft",92,"[",219,"VK_OEM_4","[","OEM_4"],[0,54,"BracketRight",94,"]",221,"VK_OEM_6","]","OEM_6"],[0,55,"Backslash",93,"\\",220,"VK_OEM_5","\\","OEM_5"],[0,56,"IntlHash",0,l,0,l,l,l],[0,57,"Semicolon",85,";",186,"VK_OEM_1",";","OEM_1"],[0,58,"Quote",95,"'",222,"VK_OEM_7","'","OEM_7"],[0,59,"Backquote",91,"`",192,"VK_OEM_3","`","OEM_3"],[0,60,"Comma",87,",",188,"VK_OEM_COMMA",",","OEM_COMMA"],[0,61,"Period",89,".",190,"VK_OEM_PERIOD",".","OEM_PERIOD"],[0,62,"Slash",90,"/",191,"VK_OEM_2","/","OEM_2"],[1,63,"CapsLock",8,"CapsLock",20,"VK_CAPITAL",l,l],[1,64,"F1",59,"F1",112,"VK_F1",l,l],[1,65,"F2",60,"F2",113,"VK_F2",l,l],[1,66,"F3",61,"F3",114,"VK_F3",l,l],[1,67,"F4",62,"F4",115,"VK_F4",l,l],[1,68,"F5",63,"F5",116,"VK_F5",l,l],[1,69,"F6",64,"F6",117,"VK_F6",l,l],[1,70,"F7",65,"F7",118,"VK_F7",l,l],[1,71,"F8",66,"F8",119,"VK_F8",l,l],[1,72,"F9",67,"F9",120,"VK_F9",l,l],[1,73,"F10",68,"F10",121,"VK_F10",l,l],[1,74,"F11",69,"F11",122,"VK_F11",l,l],[1,75,"F12",70,"F12",123,"VK_F12",l,l],[1,76,"PrintScreen",0,l,0,l,l,l],[1,77,"ScrollLock",84,"ScrollLock",145,"VK_SCROLL",l,l],[1,78,"Pause",7,"PauseBreak",19,"VK_PAUSE",l,l],[1,79,"Insert",19,"Insert",45,"VK_INSERT",l,l],[1,80,"Home",14,"Home",36,"VK_HOME",l,l],[1,81,"PageUp",11,"PageUp",33,"VK_PRIOR",l,l],[1,82,"Delete",20,"Delete",46,"VK_DELETE",l,l],[1,83,"End",13,"End",35,"VK_END",l,l],[1,84,"PageDown",12,"PageDown",34,"VK_NEXT",l,l],[1,85,"ArrowRight",17,"RightArrow",39,"VK_RIGHT","Right",l],[1,86,"ArrowLeft",15,"LeftArrow",37,"VK_LEFT","Left",l],[1,87,"ArrowDown",18,"DownArrow",40,"VK_DOWN","Down",l],[1,88,"ArrowUp",16,"UpArrow",38,"VK_UP","Up",l],[1,89,"NumLock",83,"NumLock",144,"VK_NUMLOCK",l,l],[1,90,"NumpadDivide",113,"NumPad_Divide",111,"VK_DIVIDE",l,l],[1,91,"NumpadMultiply",108,"NumPad_Multiply",106,"VK_MULTIPLY",l,l],[1,92,"NumpadSubtract",111,"NumPad_Subtract",109,"VK_SUBTRACT",l,l],[1,93,"NumpadAdd",109,"NumPad_Add",107,"VK_ADD",l,l],[1,94,"NumpadEnter",3,l,0,l,l,l],[1,95,"Numpad1",99,"NumPad1",97,"VK_NUMPAD1",l,l],[1,96,"Numpad2",100,"NumPad2",98,"VK_NUMPAD2",l,l],[1,97,"Numpad3",101,"NumPad3",99,"VK_NUMPAD3",l,l],[1,98,"Numpad4",102,"NumPad4",100,"VK_NUMPAD4",l,l],[1,99,"Numpad5",103,"NumPad5",101,"VK_NUMPAD5",l,l],[1,100,"Numpad6",104,"NumPad6",102,"VK_NUMPAD6",l,l],[1,101,"Numpad7",105,"NumPad7",103,"VK_NUMPAD7",l,l],[1,102,"Numpad8",106,"NumPad8",104,"VK_NUMPAD8",l,l],[1,103,"Numpad9",107,"NumPad9",105,"VK_NUMPAD9",l,l],[1,104,"Numpad0",98,"NumPad0",96,"VK_NUMPAD0",l,l],[1,105,"NumpadDecimal",112,"NumPad_Decimal",110,"VK_DECIMAL",l,l],[0,106,"IntlBackslash",97,"OEM_102",226,"VK_OEM_102",l,l],[1,107,"ContextMenu",58,"ContextMenu",93,l,l,l],[1,108,"Power",0,l,0,l,l,l],[1,109,"NumpadEqual",0,l,0,l,l,l],[1,110,"F13",71,"F13",124,"VK_F13",l,l],[1,111,"F14",72,"F14",125,"VK_F14",l,l],[1,112,"F15",73,"F15",126,"VK_F15",l,l],[1,113,"F16",74,"F16",127,"VK_F16",l,l],[1,114,"F17",75,"F17",128,"VK_F17",l,l],[1,115,"F18",76,"F18",129,"VK_F18",l,l],[1,116,"F19",77,"F19",130,"VK_F19",l,l],[1,117,"F20",78,"F20",131,"VK_F20",l,l],[1,118,"F21",79,"F21",132,"VK_F21",l,l],[1,119,"F22",80,"F22",133,"VK_F22",l,l],[1,120,"F23",81,"F23",134,"VK_F23",l,l],[1,121,"F24",82,"F24",135,"VK_F24",l,l],[1,122,"Open",0,l,0,l,l,l],[1,123,"Help",0,l,0,l,l,l],[1,124,"Select",0,l,0,l,l,l],[1,125,"Again",0,l,0,l,l,l],[1,126,"Undo",0,l,0,l,l,l],[1,127,"Cut",0,l,0,l,l,l],[1,128,"Copy",0,l,0,l,l,l],[1,129,"Paste",0,l,0,l,l,l],[1,130,"Find",0,l,0,l,l,l],[1,131,"AudioVolumeMute",117,"AudioVolumeMute",173,"VK_VOLUME_MUTE",l,l],[1,132,"AudioVolumeUp",118,"AudioVolumeUp",175,"VK_VOLUME_UP",l,l],[1,133,"AudioVolumeDown",119,"AudioVolumeDown",174,"VK_VOLUME_DOWN",l,l],[1,134,"NumpadComma",110,"NumPad_Separator",108,"VK_SEPARATOR",l,l],[0,135,"IntlRo",115,"ABNT_C1",193,"VK_ABNT_C1",l,l],[1,136,"KanaMode",0,l,0,l,l,l],[0,137,"IntlYen",0,l,0,l,l,l],[1,138,"Convert",0,l,0,l,l,l],[1,139,"NonConvert",0,l,0,l,l,l],[1,140,"Lang1",0,l,0,l,l,l],[1,141,"Lang2",0,l,0,l,l,l],[1,142,"Lang3",0,l,0,l,l,l],[1,143,"Lang4",0,l,0,l,l,l],[1,144,"Lang5",0,l,0,l,l,l],[1,145,"Abort",0,l,0,l,l,l],[1,146,"Props",0,l,0,l,l,l],[1,147,"NumpadParenLeft",0,l,0,l,l,l],[1,148,"NumpadParenRight",0,l,0,l,l,l],[1,149,"NumpadBackspace",0,l,0,l,l,l],[1,150,"NumpadMemoryStore",0,l,0,l,l,l],[1,151,"NumpadMemoryRecall",0,l,0,l,l,l],[1,152,"NumpadMemoryClear",0,l,0,l,l,l],[1,153,"NumpadMemoryAdd",0,l,0,l,l,l],[1,154,"NumpadMemorySubtract",0,l,0,l,l,l],[1,155,"NumpadClear",131,"Clear",12,"VK_CLEAR",l,l],[1,156,"NumpadClearEntry",0,l,0,l,l,l],[1,0,l,5,"Ctrl",17,"VK_CONTROL",l,l],[1,0,l,4,"Shift",16,"VK_SHIFT",l,l],[1,0,l,6,"Alt",18,"VK_MENU",l,l],[1,0,l,57,"Meta",91,"VK_COMMAND",l,l],[1,157,"ControlLeft",5,l,0,"VK_LCONTROL",l,l],[1,158,"ShiftLeft",4,l,0,"VK_LSHIFT",l,l],[1,159,"AltLeft",6,l,0,"VK_LMENU",l,l],[1,160,"MetaLeft",57,l,0,"VK_LWIN",l,l],[1,161,"ControlRight",5,l,0,"VK_RCONTROL",l,l],[1,162,"ShiftRight",4,l,0,"VK_RSHIFT",l,l],[1,163,"AltRight",6,l,0,"VK_RMENU",l,l],[1,164,"MetaRight",57,l,0,"VK_RWIN",l,l],[1,165,"BrightnessUp",0,l,0,l,l,l],[1,166,"BrightnessDown",0,l,0,l,l,l],[1,167,"MediaPlay",0,l,0,l,l,l],[1,168,"MediaRecord",0,l,0,l,l,l],[1,169,"MediaFastForward",0,l,0,l,l,l],[1,170,"MediaRewind",0,l,0,l,l,l],[1,171,"MediaTrackNext",124,"MediaTrackNext",176,"VK_MEDIA_NEXT_TRACK",l,l],[1,172,"MediaTrackPrevious",125,"MediaTrackPrevious",177,"VK_MEDIA_PREV_TRACK",l,l],[1,173,"MediaStop",126,"MediaStop",178,"VK_MEDIA_STOP",l,l],[1,174,"Eject",0,l,0,l,l,l],[1,175,"MediaPlayPause",127,"MediaPlayPause",179,"VK_MEDIA_PLAY_PAUSE",l,l],[1,176,"MediaSelect",128,"LaunchMediaPlayer",181,"VK_MEDIA_LAUNCH_MEDIA_SELECT",l,l],[1,177,"LaunchMail",129,"LaunchMail",180,"VK_MEDIA_LAUNCH_MAIL",l,l],[1,178,"LaunchApp2",130,"LaunchApp2",183,"VK_MEDIA_LAUNCH_APP2",l,l],[1,179,"LaunchApp1",0,l,0,"VK_MEDIA_LAUNCH_APP1",l,l],[1,180,"SelectTask",0,l,0,l,l,l],[1,181,"LaunchScreenSaver",0,l,0,l,l,l],[1,182,"BrowserSearch",120,"BrowserSearch",170,"VK_BROWSER_SEARCH",l,l],[1,183,"BrowserHome",121,"BrowserHome",172,"VK_BROWSER_HOME",l,l],[1,184,"BrowserBack",122,"BrowserBack",166,"VK_BROWSER_BACK",l,l],[1,185,"BrowserForward",123,"BrowserForward",167,"VK_BROWSER_FORWARD",l,l],[1,186,"BrowserStop",0,l,0,"VK_BROWSER_STOP",l,l],[1,187,"BrowserRefresh",0,l,0,"VK_BROWSER_REFRESH",l,l],[1,188,"BrowserFavorites",0,l,0,"VK_BROWSER_FAVORITES",l,l],[1,189,"ZoomToggle",0,l,0,l,l,l],[1,190,"MailReply",0,l,0,l,l,l],[1,191,"MailForward",0,l,0,l,l,l],[1,192,"MailSend",0,l,0,l,l,l],[1,0,l,114,"KeyInComposition",229,l,l,l],[1,0,l,116,"ABNT_C2",194,"VK_ABNT_C2",l,l],[1,0,l,96,"OEM_8",223,"VK_OEM_8",l,l],[1,0,l,0,l,0,"VK_KANA",l,l],[1,0,l,0,l,0,"VK_HANGUL",l,l],[1,0,l,0,l,0,"VK_JUNJA",l,l],[1,0,l,0,l,0,"VK_FINAL",l,l],[1,0,l,0,l,0,"VK_HANJA",l,l],[1,0,l,0,l,0,"VK_KANJI",l,l],[1,0,l,0,l,0,"VK_CONVERT",l,l],[1,0,l,0,l,0,"VK_NONCONVERT",l,l],[1,0,l,0,l,0,"VK_ACCEPT",l,l],[1,0,l,0,l,0,"VK_MODECHANGE",l,l],[1,0,l,0,l,0,"VK_SELECT",l,l],[1,0,l,0,l,0,"VK_PRINT",l,l],[1,0,l,0,l,0,"VK_EXECUTE",l,l],[1,0,l,0,l,0,"VK_SNAPSHOT",l,l],[1,0,l,0,l,0,"VK_HELP",l,l],[1,0,l,0,l,0,"VK_APPS",l,l],[1,0,l,0,l,0,"VK_PROCESSKEY",l,l],[1,0,l,0,l,0,"VK_PACKET",l,l],[1,0,l,0,l,0,"VK_DBE_SBCSCHAR",l,l],[1,0,l,0,l,0,"VK_DBE_DBCSCHAR",l,l],[1,0,l,0,l,0,"VK_ATTN",l,l],[1,0,l,0,l,0,"VK_CRSEL",l,l],[1,0,l,0,l,0,"VK_EXSEL",l,l],[1,0,l,0,l,0,"VK_EREOF",l,l],[1,0,l,0,l,0,"VK_PLAY",l,l],[1,0,l,0,l,0,"VK_ZOOM",l,l],[1,0,l,0,l,0,"VK_NONAME",l,l],[1,0,l,0,l,0,"VK_PA1",l,l],[1,0,l,0,l,0,"VK_OEM_CLEAR",l,l]],i=[],n=[];for(const s of e){const[c,d,f,m,v,x,w,I,P]=s;if(n[d]||(n[d]=!0,uli[f]=d,dli[f.toLowerCase()]=d,c&&(Xqe[d]=m)),!i[m]){if(i[m]=!0,!v)throw new Error(`String representation missing for key code ${m} around scan code ${f}`);i1e.define(m,v),_Be.define(m,I||v),pBe.define(m,P||I||v)}x&&(Wkt[x]=m)}})();var P4;(function(l){function e(f){return i1e.keyCodeToStr(f)}l.toString=e;function i(f){return i1e.strToKeyCode(f)}l.fromString=i;function n(f){return _Be.keyCodeToStr(f)}l.toUserSettingsUS=n;function s(f){return pBe.keyCodeToStr(f)}l.toUserSettingsGeneral=s;function c(f){return _Be.strToKeyCode(f)||pBe.strToKeyCode(f)}l.fromUserSettings=c;function d(f){if(f>=98&&f<=113)return null;switch(f){case 16:return"Up";case 18:return"Down";case 15:return"Left";case 17:return"Right"}return i1e.keyCodeToStr(f)}l.toElectronAccelerator=d})(P4||(P4={}));function pp(l,e){const i=(e&65535)<<16>>>0;return(l|i)>>>0}var Qyt={};let Hq;const Y5e=globalThis.vscode;var _kt;if(typeof Y5e<"u"&&typeof Y5e.process<"u"){const l=Y5e.process;Hq={get platform(){return l.platform},get arch(){return l.arch},get env(){return l.env},cwd(){return l.cwd()}}}else typeof process<"u"&&typeof((_kt=process==null?void 0:process.versions)==null?void 0:_kt.node)=="string"?Hq={get platform(){return process.platform},get arch(){return process.arch},get env(){return Qyt},cwd(){return Qyt.VSCODE_CWD||process.cwd()}}:Hq={get platform(){return I0?"win32":Hc?"darwin":"linux"},get arch(){},get env(){return{}},cwd(){return"/"}};const X1e=Hq.cwd,mBe=Hq.env,fli=Hq.platform,hli=65,_li=97,pli=90,mli=122,UM=46,gy=47,ow=92,iM=58,gli=63;class Vkt extends Error{constructor(e,i,n){let s;typeof i=="string"&&i.indexOf("not ")===0?(s="must not be",i=i.replace(/^not /,"")):s="must be";const c=e.indexOf(".")!==-1?"property":"argument";let d=`The "${e}" ${c} ${s} of type ${i}`;d+=`. Received type ${typeof n}`,super(d),this.code="ERR_INVALID_ARG_TYPE"}}function yli(l,e){if(l===null||typeof l!="object")throw new Vkt(e,"Object",l)}function pg(l,e){if(typeof l!="string")throw new Vkt(e,"string",l)}const y6=fli==="win32";function Uu(l){return l===gy||l===ow}function gBe(l){return l===gy}function nM(l){return l>=hli&&l<=pli||l>=_li&&l<=mli}function Q1e(l,e,i,n){let s="",c=0,d=-1,f=0,m=0;for(let v=0;v<=l.length;++v){if(v<l.length)m=l.charCodeAt(v);else{if(n(m))break;m=gy}if(n(m)){if(!(d===v-1||f===1))if(f===2){if(s.length<2||c!==2||s.charCodeAt(s.length-1)!==UM||s.charCodeAt(s.length-2)!==UM){if(s.length>2){const x=s.lastIndexOf(i);x===-1?(s="",c=0):(s=s.slice(0,x),c=s.length-1-s.lastIndexOf(i)),d=v,f=0;continue}else if(s.length!==0){s="",c=0,d=v,f=0;continue}}e&&(s+=s.length>0?`${i}..`:"..",c=2)}else s.length>0?s+=`${i}${l.slice(d+1,v)}`:s=l.slice(d+1,v),c=v-d-1;d=v,f=0}else m===UM&&f!==-1?++f:f=-1}return s}function vli(l){return l?`${l[0]==="."?"":"."}${l}`:""}function Hkt(l,e){yli(e,"pathObject");const i=e.dir||e.root,n=e.base||`${e.name||""}${vli(e.ext)}`;return i?i===e.root?`${i}${n}`:`${i}${l}${n}`:n}const Db={resolve(...l){let e="",i="",n=!1;for(let s=l.length-1;s>=-1;s--){let c;if(s>=0){if(c=l[s],pg(c,`paths[${s}]`),c.length===0)continue}else e.length===0?c=X1e():(c=mBe[`=${e}`]||X1e(),(c===void 0||c.slice(0,2).toLowerCase()!==e.toLowerCase()&&c.charCodeAt(2)===ow)&&(c=`${e}\\`));const d=c.length;let f=0,m="",v=!1;const x=c.charCodeAt(0);if(d===1)Uu(x)&&(f=1,v=!0);else if(Uu(x))if(v=!0,Uu(c.charCodeAt(1))){let w=2,I=w;for(;w<d&&!Uu(c.charCodeAt(w));)w++;if(w<d&&w!==I){const P=c.slice(I,w);for(I=w;w<d&&Uu(c.charCodeAt(w));)w++;if(w<d&&w!==I){for(I=w;w<d&&!Uu(c.charCodeAt(w));)w++;(w===d||w!==I)&&(m=`\\\\${P}\\${c.slice(I,w)}`,f=w)}}}else f=1;else nM(x)&&c.charCodeAt(1)===iM&&(m=c.slice(0,2),f=2,d>2&&Uu(c.charCodeAt(2))&&(v=!0,f=3));if(m.length>0)if(e.length>0){if(m.toLowerCase()!==e.toLowerCase())continue}else e=m;if(n){if(e.length>0)break}else if(i=`${c.slice(f)}\\${i}`,n=v,v&&e.length>0)break}return i=Q1e(i,!n,"\\",Uu),n?`${e}\\${i}`:`${e}${i}`||"."},normalize(l){pg(l,"path");const e=l.length;if(e===0)return".";let i=0,n,s=!1;const c=l.charCodeAt(0);if(e===1)return gBe(c)?"\\":l;if(Uu(c))if(s=!0,Uu(l.charCodeAt(1))){let f=2,m=f;for(;f<e&&!Uu(l.charCodeAt(f));)f++;if(f<e&&f!==m){const v=l.slice(m,f);for(m=f;f<e&&Uu(l.charCodeAt(f));)f++;if(f<e&&f!==m){for(m=f;f<e&&!Uu(l.charCodeAt(f));)f++;if(f===e)return`\\\\${v}\\${l.slice(m)}\\`;f!==m&&(n=`\\\\${v}\\${l.slice(m,f)}`,i=f)}}}else i=1;else nM(c)&&l.charCodeAt(1)===iM&&(n=l.slice(0,2),i=2,e>2&&Uu(l.charCodeAt(2))&&(s=!0,i=3));let d=i<e?Q1e(l.slice(i),!s,"\\",Uu):"";return d.length===0&&!s&&(d="."),d.length>0&&Uu(l.charCodeAt(e-1))&&(d+="\\"),n===void 0?s?`\\${d}`:d:s?`${n}\\${d}`:`${n}${d}`},isAbsolute(l){pg(l,"path");const e=l.length;if(e===0)return!1;const i=l.charCodeAt(0);return Uu(i)||e>2&&nM(i)&&l.charCodeAt(1)===iM&&Uu(l.charCodeAt(2))},join(...l){if(l.length===0)return".";let e,i;for(let c=0;c<l.length;++c){const d=l[c];pg(d,"path"),d.length>0&&(e===void 0?e=i=d:e+=`\\${d}`)}if(e===void 0)return".";let n=!0,s=0;if(typeof i=="string"&&Uu(i.charCodeAt(0))){++s;const c=i.length;c>1&&Uu(i.charCodeAt(1))&&(++s,c>2&&(Uu(i.charCodeAt(2))?++s:n=!1))}if(n){for(;s<e.length&&Uu(e.charCodeAt(s));)s++;s>=2&&(e=`\\${e.slice(s)}`)}return Db.normalize(e)},relative(l,e){if(pg(l,"from"),pg(e,"to"),l===e)return"";const i=Db.resolve(l),n=Db.resolve(e);if(i===n||(l=i.toLowerCase(),e=n.toLowerCase(),l===e))return"";let s=0;for(;s<l.length&&l.charCodeAt(s)===ow;)s++;let c=l.length;for(;c-1>s&&l.charCodeAt(c-1)===ow;)c--;const d=c-s;let f=0;for(;f<e.length&&e.charCodeAt(f)===ow;)f++;let m=e.length;for(;m-1>f&&e.charCodeAt(m-1)===ow;)m--;const v=m-f,x=d<v?d:v;let w=-1,I=0;for(;I<x;I++){const O=l.charCodeAt(s+I);if(O!==e.charCodeAt(f+I))break;O===ow&&(w=I)}if(I!==x){if(w===-1)return n}else{if(v>x){if(e.charCodeAt(f+I)===ow)return n.slice(f+I+1);if(I===2)return n.slice(f+I)}d>x&&(l.charCodeAt(s+I)===ow?w=I:I===2&&(w=3)),w===-1&&(w=0)}let P="";for(I=s+w+1;I<=c;++I)(I===c||l.charCodeAt(I)===ow)&&(P+=P.length===0?"..":"\\..");return f+=w,P.length>0?`${P}${n.slice(f,m)}`:(n.charCodeAt(f)===ow&&++f,n.slice(f,m))},toNamespacedPath(l){if(typeof l!="string"||l.length===0)return l;const e=Db.resolve(l);if(e.length<=2)return l;if(e.charCodeAt(0)===ow){if(e.charCodeAt(1)===ow){const i=e.charCodeAt(2);if(i!==gli&&i!==UM)return`\\\\?\\UNC\\${e.slice(2)}`}}else if(nM(e.charCodeAt(0))&&e.charCodeAt(1)===iM&&e.charCodeAt(2)===ow)return`\\\\?\\${e}`;return l},dirname(l){pg(l,"path");const e=l.length;if(e===0)return".";let i=-1,n=0;const s=l.charCodeAt(0);if(e===1)return Uu(s)?l:".";if(Uu(s)){if(i=n=1,Uu(l.charCodeAt(1))){let f=2,m=f;for(;f<e&&!Uu(l.charCodeAt(f));)f++;if(f<e&&f!==m){for(m=f;f<e&&Uu(l.charCodeAt(f));)f++;if(f<e&&f!==m){for(m=f;f<e&&!Uu(l.charCodeAt(f));)f++;if(f===e)return l;f!==m&&(i=n=f+1)}}}}else nM(s)&&l.charCodeAt(1)===iM&&(i=e>2&&Uu(l.charCodeAt(2))?3:2,n=i);let c=-1,d=!0;for(let f=e-1;f>=n;--f)if(Uu(l.charCodeAt(f))){if(!d){c=f;break}}else d=!1;if(c===-1){if(i===-1)return".";c=i}return l.slice(0,c)},basename(l,e){e!==void 0&&pg(e,"suffix"),pg(l,"path");let i=0,n=-1,s=!0,c;if(l.length>=2&&nM(l.charCodeAt(0))&&l.charCodeAt(1)===iM&&(i=2),e!==void 0&&e.length>0&&e.length<=l.length){if(e===l)return"";let d=e.length-1,f=-1;for(c=l.length-1;c>=i;--c){const m=l.charCodeAt(c);if(Uu(m)){if(!s){i=c+1;break}}else f===-1&&(s=!1,f=c+1),d>=0&&(m===e.charCodeAt(d)?--d===-1&&(n=c):(d=-1,n=f))}return i===n?n=f:n===-1&&(n=l.length),l.slice(i,n)}for(c=l.length-1;c>=i;--c)if(Uu(l.charCodeAt(c))){if(!s){i=c+1;break}}else n===-1&&(s=!1,n=c+1);return n===-1?"":l.slice(i,n)},extname(l){pg(l,"path");let e=0,i=-1,n=0,s=-1,c=!0,d=0;l.length>=2&&l.charCodeAt(1)===iM&&nM(l.charCodeAt(0))&&(e=n=2);for(let f=l.length-1;f>=e;--f){const m=l.charCodeAt(f);if(Uu(m)){if(!c){n=f+1;break}continue}s===-1&&(c=!1,s=f+1),m===UM?i===-1?i=f:d!==1&&(d=1):i!==-1&&(d=-1)}return i===-1||s===-1||d===0||d===1&&i===s-1&&i===n+1?"":l.slice(i,s)},format:Hkt.bind(null,"\\"),parse(l){pg(l,"path");const e={root:"",dir:"",base:"",ext:"",name:""};if(l.length===0)return e;const i=l.length;let n=0,s=l.charCodeAt(0);if(i===1)return Uu(s)?(e.root=e.dir=l,e):(e.base=e.name=l,e);if(Uu(s)){if(n=1,Uu(l.charCodeAt(1))){let w=2,I=w;for(;w<i&&!Uu(l.charCodeAt(w));)w++;if(w<i&&w!==I){for(I=w;w<i&&Uu(l.charCodeAt(w));)w++;if(w<i&&w!==I){for(I=w;w<i&&!Uu(l.charCodeAt(w));)w++;w===i?n=w:w!==I&&(n=w+1)}}}}else if(nM(s)&&l.charCodeAt(1)===iM){if(i<=2)return e.root=e.dir=l,e;if(n=2,Uu(l.charCodeAt(2))){if(i===3)return e.root=e.dir=l,e;n=3}}n>0&&(e.root=l.slice(0,n));let c=-1,d=n,f=-1,m=!0,v=l.length-1,x=0;for(;v>=n;--v){if(s=l.charCodeAt(v),Uu(s)){if(!m){d=v+1;break}continue}f===-1&&(m=!1,f=v+1),s===UM?c===-1?c=v:x!==1&&(x=1):c!==-1&&(x=-1)}return f!==-1&&(c===-1||x===0||x===1&&c===f-1&&c===d+1?e.base=e.name=l.slice(d,f):(e.name=l.slice(d,c),e.base=l.slice(d,f),e.ext=l.slice(c,f))),d>0&&d!==n?e.dir=l.slice(0,d-1):e.dir=e.root,e},sep:"\\",delimiter:";",win32:null,posix:null},bli=(()=>{if(y6){const l=/\\/g;return()=>{const e=X1e().replace(l,"/");return e.slice(e.indexOf("/"))}}return()=>X1e()})(),q_={resolve(...l){let e="",i=!1;for(let n=l.length-1;n>=-1&&!i;n--){const s=n>=0?l[n]:bli();pg(s,`paths[${n}]`),s.length!==0&&(e=`${s}/${e}`,i=s.charCodeAt(0)===gy)}return e=Q1e(e,!i,"/",gBe),i?`/${e}`:e.length>0?e:"."},normalize(l){if(pg(l,"path"),l.length===0)return".";const e=l.charCodeAt(0)===gy,i=l.charCodeAt(l.length-1)===gy;return l=Q1e(l,!e,"/",gBe),l.length===0?e?"/":i?"./":".":(i&&(l+="/"),e?`/${l}`:l)},isAbsolute(l){return pg(l,"path"),l.length>0&&l.charCodeAt(0)===gy},join(...l){if(l.length===0)return".";let e;for(let i=0;i<l.length;++i){const n=l[i];pg(n,"path"),n.length>0&&(e===void 0?e=n:e+=`/${n}`)}return e===void 0?".":q_.normalize(e)},relative(l,e){if(pg(l,"from"),pg(e,"to"),l===e||(l=q_.resolve(l),e=q_.resolve(e),l===e))return"";const i=1,n=l.length,s=n-i,c=1,d=e.length-c,f=s<d?s:d;let m=-1,v=0;for(;v<f;v++){const w=l.charCodeAt(i+v);if(w!==e.charCodeAt(c+v))break;w===gy&&(m=v)}if(v===f)if(d>f){if(e.charCodeAt(c+v)===gy)return e.slice(c+v+1);if(v===0)return e.slice(c+v)}else s>f&&(l.charCodeAt(i+v)===gy?m=v:v===0&&(m=0));let x="";for(v=i+m+1;v<=n;++v)(v===n||l.charCodeAt(v)===gy)&&(x+=x.length===0?"..":"/..");return`${x}${e.slice(c+m)}`},toNamespacedPath(l){return l},dirname(l){if(pg(l,"path"),l.length===0)return".";const e=l.charCodeAt(0)===gy;let i=-1,n=!0;for(let s=l.length-1;s>=1;--s)if(l.charCodeAt(s)===gy){if(!n){i=s;break}}else n=!1;return i===-1?e?"/":".":e&&i===1?"//":l.slice(0,i)},basename(l,e){e!==void 0&&pg(e,"ext"),pg(l,"path");let i=0,n=-1,s=!0,c;if(e!==void 0&&e.length>0&&e.length<=l.length){if(e===l)return"";let d=e.length-1,f=-1;for(c=l.length-1;c>=0;--c){const m=l.charCodeAt(c);if(m===gy){if(!s){i=c+1;break}}else f===-1&&(s=!1,f=c+1),d>=0&&(m===e.charCodeAt(d)?--d===-1&&(n=c):(d=-1,n=f))}return i===n?n=f:n===-1&&(n=l.length),l.slice(i,n)}for(c=l.length-1;c>=0;--c)if(l.charCodeAt(c)===gy){if(!s){i=c+1;break}}else n===-1&&(s=!1,n=c+1);return n===-1?"":l.slice(i,n)},extname(l){pg(l,"path");let e=-1,i=0,n=-1,s=!0,c=0;for(let d=l.length-1;d>=0;--d){const f=l.charCodeAt(d);if(f===gy){if(!s){i=d+1;break}continue}n===-1&&(s=!1,n=d+1),f===UM?e===-1?e=d:c!==1&&(c=1):e!==-1&&(c=-1)}return e===-1||n===-1||c===0||c===1&&e===n-1&&e===i+1?"":l.slice(e,n)},format:Hkt.bind(null,"/"),parse(l){pg(l,"path");const e={root:"",dir:"",base:"",ext:"",name:""};if(l.length===0)return e;const i=l.charCodeAt(0)===gy;let n;i?(e.root="/",n=1):n=0;let s=-1,c=0,d=-1,f=!0,m=l.length-1,v=0;for(;m>=n;--m){const x=l.charCodeAt(m);if(x===gy){if(!f){c=m+1;break}continue}d===-1&&(f=!1,d=m+1),x===UM?s===-1?s=m:v!==1&&(v=1):s!==-1&&(v=-1)}if(d!==-1){const x=c===0&&i?1:c;s===-1||v===0||v===1&&s===d-1&&s===c+1?e.base=e.name=l.slice(x,d):(e.name=l.slice(x,s),e.base=l.slice(x,d),e.ext=l.slice(s,d))}return c>0?e.dir=l.slice(0,c-1):i&&(e.dir="/"),e},sep:"/",delimiter:":",win32:null,posix:null};q_.win32=Db.win32=Db;q_.posix=Db.posix=q_;const jkt=y6?Db.normalize:q_.normalize,Cli=y6?Db.join:q_.join,Sli=y6?Db.resolve:q_.resolve,wli=y6?Db.relative:q_.relative,zkt=y6?Db.dirname:q_.dirname,qM=y6?Db.basename:q_.basename,xli=y6?Db.extname:q_.extname,AE=y6?Db.sep:q_.sep,kli=/^\w[\w\d+.-]*$/,Tli=/^\//,Dli=/^\/\//;function Eli(l,e){if(!l.scheme&&e)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${l.authority}", path: "${l.path}", query: "${l.query}", fragment: "${l.fragment}"}`);if(l.scheme&&!kli.test(l.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(l.path){if(l.authority){if(!Tli.test(l.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if(Dli.test(l.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}function Ili(l,e){return!l&&!e?"file":l}function Nli(l,e){switch(l){case"https":case"http":case"file":e?e[0]!==SE&&(e=SE+e):e=SE;break}return e}const z_="",SE="/",Lli=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;class yo{static isUri(e){return e instanceof yo?!0:e?typeof e.authority=="string"&&typeof e.fragment=="string"&&typeof e.path=="string"&&typeof e.query=="string"&&typeof e.scheme=="string"&&typeof e.fsPath=="string"&&typeof e.with=="function"&&typeof e.toString=="function":!1}constructor(e,i,n,s,c,d=!1){typeof e=="object"?(this.scheme=e.scheme||z_,this.authority=e.authority||z_,this.path=e.path||z_,this.query=e.query||z_,this.fragment=e.fragment||z_):(this.scheme=Ili(e,d),this.authority=i||z_,this.path=Nli(this.scheme,n||z_),this.query=s||z_,this.fragment=c||z_,Eli(this,d))}get fsPath(){return Z1e(this,!1)}with(e){if(!e)return this;let{scheme:i,authority:n,path:s,query:c,fragment:d}=e;return i===void 0?i=this.scheme:i===null&&(i=z_),n===void 0?n=this.authority:n===null&&(n=z_),s===void 0?s=this.path:s===null&&(s=z_),c===void 0?c=this.query:c===null&&(c=z_),d===void 0?d=this.fragment:d===null&&(d=z_),i===this.scheme&&n===this.authority&&s===this.path&&c===this.query&&d===this.fragment?this:new bU(i,n,s,c,d)}static parse(e,i=!1){const n=Lli.exec(e);return n?new bU(n[2]||z_,Uge(n[4]||z_),Uge(n[5]||z_),Uge(n[7]||z_),Uge(n[9]||z_),i):new bU(z_,z_,z_,z_,z_)}static file(e){let i=z_;if(I0&&(e=e.replace(/\\/g,SE)),e[0]===SE&&e[1]===SE){const n=e.indexOf(SE,2);n===-1?(i=e.substring(2),e=SE):(i=e.substring(2,n),e=e.substring(n)||SE)}return new bU("file",i,e,z_,z_)}static from(e,i){return new bU(e.scheme,e.authority,e.path,e.query,e.fragment,i)}static joinPath(e,...i){if(!e.path)throw new Error("[UriError]: cannot call joinPath on URI without path");let n;return I0&&e.scheme==="file"?n=yo.file(Db.join(Z1e(e,!0),...i)).path:n=q_.join(e.path,...i),e.with({path:n})}toString(e=!1){return yBe(this,e)}toJSON(){return this}static revive(e){if(e){if(e instanceof yo)return e;{const i=new bU(e);return i._formatted=e.external??null,i._fsPath=e._sep===Ukt?e.fsPath??null:null,i}}else return e}}const Ukt=I0?1:void 0;let bU=class extends yo{constructor(){super(...arguments),this._formatted=null,this._fsPath=null}get fsPath(){return this._fsPath||(this._fsPath=Z1e(this,!1)),this._fsPath}toString(e=!1){return e?yBe(this,!0):(this._formatted||(this._formatted=yBe(this,!1)),this._formatted)}toJSON(){const e={$mid:1};return this._fsPath&&(e.fsPath=this._fsPath,e._sep=Ukt),this._formatted&&(e.external=this._formatted),this.path&&(e.path=this.path),this.scheme&&(e.scheme=this.scheme),this.authority&&(e.authority=this.authority),this.query&&(e.query=this.query),this.fragment&&(e.fragment=this.fragment),e}};const qkt={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function Zyt(l,e,i){let n,s=-1;for(let c=0;c<l.length;c++){const d=l.charCodeAt(c);if(d>=97&&d<=122||d>=65&&d<=90||d>=48&&d<=57||d===45||d===46||d===95||d===126||e&&d===47||i&&d===91||i&&d===93||i&&d===58)s!==-1&&(n+=encodeURIComponent(l.substring(s,c)),s=-1),n!==void 0&&(n+=l.charAt(c));else{n===void 0&&(n=l.substr(0,c));const f=qkt[d];f!==void 0?(s!==-1&&(n+=encodeURIComponent(l.substring(s,c)),s=-1),n+=f):s===-1&&(s=c)}}return s!==-1&&(n+=encodeURIComponent(l.substring(s))),n!==void 0?n:l}function Pli(l){let e;for(let i=0;i<l.length;i++){const n=l.charCodeAt(i);n===35||n===63?(e===void 0&&(e=l.substr(0,i)),e+=qkt[n]):e!==void 0&&(e+=l[i])}return e!==void 0?e:l}function Z1e(l,e){let i;return l.authority&&l.path.length>1&&l.scheme==="file"?i=`//${l.authority}${l.path}`:l.path.charCodeAt(0)===47&&(l.path.charCodeAt(1)>=65&&l.path.charCodeAt(1)<=90||l.path.charCodeAt(1)>=97&&l.path.charCodeAt(1)<=122)&&l.path.charCodeAt(2)===58?e?i=l.path.substr(1):i=l.path[1].toLowerCase()+l.path.substr(2):i=l.path,I0&&(i=i.replace(/\//g,"\\")),i}function yBe(l,e){const i=e?Pli:Zyt;let n="",{scheme:s,authority:c,path:d,query:f,fragment:m}=l;if(s&&(n+=s,n+=":"),(c||s==="file")&&(n+=SE,n+=SE),c){let v=c.indexOf("@");if(v!==-1){const x=c.substr(0,v);c=c.substr(v+1),v=x.lastIndexOf(":"),v===-1?n+=i(x,!1,!1):(n+=i(x.substr(0,v),!1,!1),n+=":",n+=i(x.substr(v+1),!1,!0)),n+="@"}c=c.toLowerCase(),v=c.lastIndexOf(":"),v===-1?n+=i(c,!1,!0):(n+=i(c.substr(0,v),!1,!0),n+=c.substr(v))}if(d){if(d.length>=3&&d.charCodeAt(0)===47&&d.charCodeAt(2)===58){const v=d.charCodeAt(1);v>=65&&v<=90&&(d=`/${String.fromCharCode(v+32)}:${d.substr(3)}`)}else if(d.length>=2&&d.charCodeAt(1)===58){const v=d.charCodeAt(0);v>=65&&v<=90&&(d=`${String.fromCharCode(v+32)}:${d.substr(2)}`)}n+=i(d,!0,!1)}return f&&(n+="?",n+=i(f,!1,!1)),m&&(n+="#",n+=e?m:Zyt(m,!1,!1)),n}function $kt(l){try{return decodeURIComponent(l)}catch{return l.length>3?l.substr(0,3)+$kt(l.substr(3)):l}}const Yyt=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function Uge(l){return l.match(Yyt)?l.replace(Yyt,e=>$kt(e)):l}let pi=class TF{constructor(e,i){this.lineNumber=e,this.column=i}with(e=this.lineNumber,i=this.column){return e===this.lineNumber&&i===this.column?this:new TF(e,i)}delta(e=0,i=0){return this.with(this.lineNumber+e,this.column+i)}equals(e){return TF.equals(this,e)}static equals(e,i){return!e&&!i?!0:!!e&&!!i&&e.lineNumber===i.lineNumber&&e.column===i.column}isBefore(e){return TF.isBefore(this,e)}static isBefore(e,i){return e.lineNumber<i.lineNumber?!0:i.lineNumber<e.lineNumber?!1:e.column<i.column}isBeforeOrEqual(e){return TF.isBeforeOrEqual(this,e)}static isBeforeOrEqual(e,i){return e.lineNumber<i.lineNumber?!0:i.lineNumber<e.lineNumber?!1:e.column<=i.column}static compare(e,i){const n=e.lineNumber|0,s=i.lineNumber|0;if(n===s){const c=e.column|0,d=i.column|0;return c-d}return n-s}clone(){return new TF(this.lineNumber,this.column)}toString(){return"("+this.lineNumber+","+this.column+")"}static lift(e){return new TF(e.lineNumber,e.column)}static isIPosition(e){return e&&typeof e.lineNumber=="number"&&typeof e.column=="number"}toJSON(){return{lineNumber:this.lineNumber,column:this.column}}},nt=class e0{constructor(e,i,n,s){e>n||e===n&&i>s?(this.startLineNumber=n,this.startColumn=s,this.endLineNumber=e,this.endColumn=i):(this.startLineNumber=e,this.startColumn=i,this.endLineNumber=n,this.endColumn=s)}isEmpty(){return e0.isEmpty(this)}static isEmpty(e){return e.startLineNumber===e.endLineNumber&&e.startColumn===e.endColumn}containsPosition(e){return e0.containsPosition(this,e)}static containsPosition(e,i){return!(i.lineNumber<e.startLineNumber||i.lineNumber>e.endLineNumber||i.lineNumber===e.startLineNumber&&i.column<e.startColumn||i.lineNumber===e.endLineNumber&&i.column>e.endColumn)}static strictContainsPosition(e,i){return!(i.lineNumber<e.startLineNumber||i.lineNumber>e.endLineNumber||i.lineNumber===e.startLineNumber&&i.column<=e.startColumn||i.lineNumber===e.endLineNumber&&i.column>=e.endColumn)}containsRange(e){return e0.containsRange(this,e)}static containsRange(e,i){return!(i.startLineNumber<e.startLineNumber||i.endLineNumber<e.startLineNumber||i.startLineNumber>e.endLineNumber||i.endLineNumber>e.endLineNumber||i.startLineNumber===e.startLineNumber&&i.startColumn<e.startColumn||i.endLineNumber===e.endLineNumber&&i.endColumn>e.endColumn)}strictContainsRange(e){return e0.strictContainsRange(this,e)}static strictContainsRange(e,i){return!(i.startLineNumber<e.startLineNumber||i.endLineNumber<e.startLineNumber||i.startLineNumber>e.endLineNumber||i.endLineNumber>e.endLineNumber||i.startLineNumber===e.startLineNumber&&i.startColumn<=e.startColumn||i.endLineNumber===e.endLineNumber&&i.endColumn>=e.endColumn)}plusRange(e){return e0.plusRange(this,e)}static plusRange(e,i){let n,s,c,d;return i.startLineNumber<e.startLineNumber?(n=i.startLineNumber,s=i.startColumn):i.startLineNumber===e.startLineNumber?(n=i.startLineNumber,s=Math.min(i.startColumn,e.startColumn)):(n=e.startLineNumber,s=e.startColumn),i.endLineNumber>e.endLineNumber?(c=i.endLineNumber,d=i.endColumn):i.endLineNumber===e.endLineNumber?(c=i.endLineNumber,d=Math.max(i.endColumn,e.endColumn)):(c=e.endLineNumber,d=e.endColumn),new e0(n,s,c,d)}intersectRanges(e){return e0.intersectRanges(this,e)}static intersectRanges(e,i){let n=e.startLineNumber,s=e.startColumn,c=e.endLineNumber,d=e.endColumn;const f=i.startLineNumber,m=i.startColumn,v=i.endLineNumber,x=i.endColumn;return n<f?(n=f,s=m):n===f&&(s=Math.max(s,m)),c>v?(c=v,d=x):c===v&&(d=Math.min(d,x)),n>c||n===c&&s>d?null:new e0(n,s,c,d)}equalsRange(e){return e0.equalsRange(this,e)}static equalsRange(e,i){return!e&&!i?!0:!!e&&!!i&&e.startLineNumber===i.startLineNumber&&e.startColumn===i.startColumn&&e.endLineNumber===i.endLineNumber&&e.endColumn===i.endColumn}getEndPosition(){return e0.getEndPosition(this)}static getEndPosition(e){return new pi(e.endLineNumber,e.endColumn)}getStartPosition(){return e0.getStartPosition(this)}static getStartPosition(e){return new pi(e.startLineNumber,e.startColumn)}toString(){return"["+this.startLineNumber+","+this.startColumn+" -> "+this.endLineNumber+","+this.endColumn+"]"}setEndPosition(e,i){return new e0(this.startLineNumber,this.startColumn,e,i)}setStartPosition(e,i){return new e0(e,i,this.endLineNumber,this.endColumn)}collapseToStart(){return e0.collapseToStart(this)}static collapseToStart(e){return new e0(e.startLineNumber,e.startColumn,e.startLineNumber,e.startColumn)}collapseToEnd(){return e0.collapseToEnd(this)}static collapseToEnd(e){return new e0(e.endLineNumber,e.endColumn,e.endLineNumber,e.endColumn)}delta(e){return new e0(this.startLineNumber+e,this.startColumn,this.endLineNumber+e,this.endColumn)}static fromPositions(e,i=e){return new e0(e.lineNumber,e.column,i.lineNumber,i.column)}static lift(e){return e?new e0(e.startLineNumber,e.startColumn,e.endLineNumber,e.endColumn):null}static isIRange(e){return e&&typeof e.startLineNumber=="number"&&typeof e.startColumn=="number"&&typeof e.endLineNumber=="number"&&typeof e.endColumn=="number"}static areIntersectingOrTouching(e,i){return!(e.endLineNumber<i.startLineNumber||e.endLineNumber===i.startLineNumber&&e.endColumn<i.startColumn||i.endLineNumber<e.startLineNumber||i.endLineNumber===e.startLineNumber&&i.endColumn<e.startColumn)}static areIntersecting(e,i){return!(e.endLineNumber<i.startLineNumber||e.endLineNumber===i.startLineNumber&&e.endColumn<=i.startColumn||i.endLineNumber<e.startLineNumber||i.endLineNumber===e.startLineNumber&&i.endColumn<=e.startColumn)}static compareRangesUsingStarts(e,i){if(e&&i){const c=e.startLineNumber|0,d=i.startLineNumber|0;if(c===d){const f=e.startColumn|0,m=i.startColumn|0;if(f===m){const v=e.endLineNumber|0,x=i.endLineNumber|0;if(v===x){const w=e.endColumn|0,I=i.endColumn|0;return w-I}return v-x}return f-m}return c-d}return(e?1:0)-(i?1:0)}static compareRangesUsingEnds(e,i){return e.endLineNumber===i.endLineNumber?e.endColumn===i.endColumn?e.startLineNumber===i.startLineNumber?e.startColumn-i.startColumn:e.startLineNumber-i.startLineNumber:e.endColumn-i.endColumn:e.endLineNumber-i.endLineNumber}static spansMultipleLines(e){return e.endLineNumber>e.startLineNumber}toJSON(){return this}},Us=class tT extends nt{constructor(e,i,n,s){super(e,i,n,s),this.selectionStartLineNumber=e,this.selectionStartColumn=i,this.positionLineNumber=n,this.positionColumn=s}toString(){return"["+this.selectionStartLineNumber+","+this.selectionStartColumn+" -> "+this.positionLineNumber+","+this.positionColumn+"]"}equalsSelection(e){return tT.selectionsEqual(this,e)}static selectionsEqual(e,i){return e.selectionStartLineNumber===i.selectionStartLineNumber&&e.selectionStartColumn===i.selectionStartColumn&&e.positionLineNumber===i.positionLineNumber&&e.positionColumn===i.positionColumn}getDirection(){return this.selectionStartLineNumber===this.startLineNumber&&this.selectionStartColumn===this.startColumn?0:1}setEndPosition(e,i){return this.getDirection()===0?new tT(this.startLineNumber,this.startColumn,e,i):new tT(e,i,this.startLineNumber,this.startColumn)}getPosition(){return new pi(this.positionLineNumber,this.positionColumn)}getSelectionStart(){return new pi(this.selectionStartLineNumber,this.selectionStartColumn)}setStartPosition(e,i){return this.getDirection()===0?new tT(e,i,this.endLineNumber,this.endColumn):new tT(this.endLineNumber,this.endColumn,e,i)}static fromPositions(e,i=e){return new tT(e.lineNumber,e.column,i.lineNumber,i.column)}static fromRange(e,i){return i===0?new tT(e.startLineNumber,e.startColumn,e.endLineNumber,e.endColumn):new tT(e.endLineNumber,e.endColumn,e.startLineNumber,e.startColumn)}static liftSelection(e){return new tT(e.selectionStartLineNumber,e.selectionStartColumn,e.positionLineNumber,e.positionColumn)}static selectionsArrEqual(e,i){if(e&&!i||!e&&i)return!1;if(!e&&!i)return!0;if(e.length!==i.length)return!1;for(let n=0,s=e.length;n<s;n++)if(!this.selectionsEqual(e[n],i[n]))return!1;return!0}static isISelection(e){return e&&typeof e.selectionStartLineNumber=="number"&&typeof e.selectionStartColumn=="number"&&typeof e.positionLineNumber=="number"&&typeof e.positionColumn=="number"}static createWithDirection(e,i,n,s,c){return c===0?new tT(e,i,n,s):new tT(n,s,e,i)}};const vBe=Object.create(null);function Ge(l,e){if(Eb(e)){const i=vBe[e];if(i===void 0)throw new Error(`${l} references an unknown codicon: ${e}`);e=i}return vBe[l]=e,{id:l}}function Jkt(){return vBe}const Ali={add:Ge("add",6e4),plus:Ge("plus",6e4),gistNew:Ge("gist-new",6e4),repoCreate:Ge("repo-create",6e4),lightbulb:Ge("lightbulb",60001),lightBulb:Ge("light-bulb",60001),repo:Ge("repo",60002),repoDelete:Ge("repo-delete",60002),gistFork:Ge("gist-fork",60003),repoForked:Ge("repo-forked",60003),gitPullRequest:Ge("git-pull-request",60004),gitPullRequestAbandoned:Ge("git-pull-request-abandoned",60004),recordKeys:Ge("record-keys",60005),keyboard:Ge("keyboard",60005),tag:Ge("tag",60006),gitPullRequestLabel:Ge("git-pull-request-label",60006),tagAdd:Ge("tag-add",60006),tagRemove:Ge("tag-remove",60006),person:Ge("person",60007),personFollow:Ge("person-follow",60007),personOutline:Ge("person-outline",60007),personFilled:Ge("person-filled",60007),gitBranch:Ge("git-branch",60008),gitBranchCreate:Ge("git-branch-create",60008),gitBranchDelete:Ge("git-branch-delete",60008),sourceControl:Ge("source-control",60008),mirror:Ge("mirror",60009),mirrorPublic:Ge("mirror-public",60009),star:Ge("star",60010),starAdd:Ge("star-add",60010),starDelete:Ge("star-delete",60010),starEmpty:Ge("star-empty",60010),comment:Ge("comment",60011),commentAdd:Ge("comment-add",60011),alert:Ge("alert",60012),warning:Ge("warning",60012),search:Ge("search",60013),searchSave:Ge("search-save",60013),logOut:Ge("log-out",60014),signOut:Ge("sign-out",60014),logIn:Ge("log-in",60015),signIn:Ge("sign-in",60015),eye:Ge("eye",60016),eyeUnwatch:Ge("eye-unwatch",60016),eyeWatch:Ge("eye-watch",60016),circleFilled:Ge("circle-filled",60017),primitiveDot:Ge("primitive-dot",60017),closeDirty:Ge("close-dirty",60017),debugBreakpoint:Ge("debug-breakpoint",60017),debugBreakpointDisabled:Ge("debug-breakpoint-disabled",60017),debugHint:Ge("debug-hint",60017),terminalDecorationSuccess:Ge("terminal-decoration-success",60017),primitiveSquare:Ge("primitive-square",60018),edit:Ge("edit",60019),pencil:Ge("pencil",60019),info:Ge("info",60020),issueOpened:Ge("issue-opened",60020),gistPrivate:Ge("gist-private",60021),gitForkPrivate:Ge("git-fork-private",60021),lock:Ge("lock",60021),mirrorPrivate:Ge("mirror-private",60021),close:Ge("close",60022),removeClose:Ge("remove-close",60022),x:Ge("x",60022),repoSync:Ge("repo-sync",60023),sync:Ge("sync",60023),clone:Ge("clone",60024),desktopDownload:Ge("desktop-download",60024),beaker:Ge("beaker",60025),microscope:Ge("microscope",60025),vm:Ge("vm",60026),deviceDesktop:Ge("device-desktop",60026),file:Ge("file",60027),fileText:Ge("file-text",60027),more:Ge("more",60028),ellipsis:Ge("ellipsis",60028),kebabHorizontal:Ge("kebab-horizontal",60028),mailReply:Ge("mail-reply",60029),reply:Ge("reply",60029),organization:Ge("organization",60030),organizationFilled:Ge("organization-filled",60030),organizationOutline:Ge("organization-outline",60030),newFile:Ge("new-file",60031),fileAdd:Ge("file-add",60031),newFolder:Ge("new-folder",60032),fileDirectoryCreate:Ge("file-directory-create",60032),trash:Ge("trash",60033),trashcan:Ge("trashcan",60033),history:Ge("history",60034),clock:Ge("clock",60034),folder:Ge("folder",60035),fileDirectory:Ge("file-directory",60035),symbolFolder:Ge("symbol-folder",60035),logoGithub:Ge("logo-github",60036),markGithub:Ge("mark-github",60036),github:Ge("github",60036),terminal:Ge("terminal",60037),console:Ge("console",60037),repl:Ge("repl",60037),zap:Ge("zap",60038),symbolEvent:Ge("symbol-event",60038),error:Ge("error",60039),stop:Ge("stop",60039),variable:Ge("variable",60040),symbolVariable:Ge("symbol-variable",60040),array:Ge("array",60042),symbolArray:Ge("symbol-array",60042),symbolModule:Ge("symbol-module",60043),symbolPackage:Ge("symbol-package",60043),symbolNamespace:Ge("symbol-namespace",60043),symbolObject:Ge("symbol-object",60043),symbolMethod:Ge("symbol-method",60044),symbolFunction:Ge("symbol-function",60044),symbolConstructor:Ge("symbol-constructor",60044),symbolBoolean:Ge("symbol-boolean",60047),symbolNull:Ge("symbol-null",60047),symbolNumeric:Ge("symbol-numeric",60048),symbolNumber:Ge("symbol-number",60048),symbolStructure:Ge("symbol-structure",60049),symbolStruct:Ge("symbol-struct",60049),symbolParameter:Ge("symbol-parameter",60050),symbolTypeParameter:Ge("symbol-type-parameter",60050),symbolKey:Ge("symbol-key",60051),symbolText:Ge("symbol-text",60051),symbolReference:Ge("symbol-reference",60052),goToFile:Ge("go-to-file",60052),symbolEnum:Ge("symbol-enum",60053),symbolValue:Ge("symbol-value",60053),symbolRuler:Ge("symbol-ruler",60054),symbolUnit:Ge("symbol-unit",60054),activateBreakpoints:Ge("activate-breakpoints",60055),archive:Ge("archive",60056),arrowBoth:Ge("arrow-both",60057),arrowDown:Ge("arrow-down",60058),arrowLeft:Ge("arrow-left",60059),arrowRight:Ge("arrow-right",60060),arrowSmallDown:Ge("arrow-small-down",60061),arrowSmallLeft:Ge("arrow-small-left",60062),arrowSmallRight:Ge("arrow-small-right",60063),arrowSmallUp:Ge("arrow-small-up",60064),arrowUp:Ge("arrow-up",60065),bell:Ge("bell",60066),bold:Ge("bold",60067),book:Ge("book",60068),bookmark:Ge("bookmark",60069),debugBreakpointConditionalUnverified:Ge("debug-breakpoint-conditional-unverified",60070),debugBreakpointConditional:Ge("debug-breakpoint-conditional",60071),debugBreakpointConditionalDisabled:Ge("debug-breakpoint-conditional-disabled",60071),debugBreakpointDataUnverified:Ge("debug-breakpoint-data-unverified",60072),debugBreakpointData:Ge("debug-breakpoint-data",60073),debugBreakpointDataDisabled:Ge("debug-breakpoint-data-disabled",60073),debugBreakpointLogUnverified:Ge("debug-breakpoint-log-unverified",60074),debugBreakpointLog:Ge("debug-breakpoint-log",60075),debugBreakpointLogDisabled:Ge("debug-breakpoint-log-disabled",60075),briefcase:Ge("briefcase",60076),broadcast:Ge("broadcast",60077),browser:Ge("browser",60078),bug:Ge("bug",60079),calendar:Ge("calendar",60080),caseSensitive:Ge("case-sensitive",60081),check:Ge("check",60082),checklist:Ge("checklist",60083),chevronDown:Ge("chevron-down",60084),chevronLeft:Ge("chevron-left",60085),chevronRight:Ge("chevron-right",60086),chevronUp:Ge("chevron-up",60087),chromeClose:Ge("chrome-close",60088),chromeMaximize:Ge("chrome-maximize",60089),chromeMinimize:Ge("chrome-minimize",60090),chromeRestore:Ge("chrome-restore",60091),circleOutline:Ge("circle-outline",60092),circle:Ge("circle",60092),debugBreakpointUnverified:Ge("debug-breakpoint-unverified",60092),terminalDecorationIncomplete:Ge("terminal-decoration-incomplete",60092),circleSlash:Ge("circle-slash",60093),circuitBoard:Ge("circuit-board",60094),clearAll:Ge("clear-all",60095),clippy:Ge("clippy",60096),closeAll:Ge("close-all",60097),cloudDownload:Ge("cloud-download",60098),cloudUpload:Ge("cloud-upload",60099),code:Ge("code",60100),collapseAll:Ge("collapse-all",60101),colorMode:Ge("color-mode",60102),commentDiscussion:Ge("comment-discussion",60103),creditCard:Ge("credit-card",60105),dash:Ge("dash",60108),dashboard:Ge("dashboard",60109),database:Ge("database",60110),debugContinue:Ge("debug-continue",60111),debugDisconnect:Ge("debug-disconnect",60112),debugPause:Ge("debug-pause",60113),debugRestart:Ge("debug-restart",60114),debugStart:Ge("debug-start",60115),debugStepInto:Ge("debug-step-into",60116),debugStepOut:Ge("debug-step-out",60117),debugStepOver:Ge("debug-step-over",60118),debugStop:Ge("debug-stop",60119),debug:Ge("debug",60120),deviceCameraVideo:Ge("device-camera-video",60121),deviceCamera:Ge("device-camera",60122),deviceMobile:Ge("device-mobile",60123),diffAdded:Ge("diff-added",60124),diffIgnored:Ge("diff-ignored",60125),diffModified:Ge("diff-modified",60126),diffRemoved:Ge("diff-removed",60127),diffRenamed:Ge("diff-renamed",60128),diff:Ge("diff",60129),diffSidebyside:Ge("diff-sidebyside",60129),discard:Ge("discard",60130),editorLayout:Ge("editor-layout",60131),emptyWindow:Ge("empty-window",60132),exclude:Ge("exclude",60133),extensions:Ge("extensions",60134),eyeClosed:Ge("eye-closed",60135),fileBinary:Ge("file-binary",60136),fileCode:Ge("file-code",60137),fileMedia:Ge("file-media",60138),filePdf:Ge("file-pdf",60139),fileSubmodule:Ge("file-submodule",60140),fileSymlinkDirectory:Ge("file-symlink-directory",60141),fileSymlinkFile:Ge("file-symlink-file",60142),fileZip:Ge("file-zip",60143),files:Ge("files",60144),filter:Ge("filter",60145),flame:Ge("flame",60146),foldDown:Ge("fold-down",60147),foldUp:Ge("fold-up",60148),fold:Ge("fold",60149),folderActive:Ge("folder-active",60150),folderOpened:Ge("folder-opened",60151),gear:Ge("gear",60152),gift:Ge("gift",60153),gistSecret:Ge("gist-secret",60154),gist:Ge("gist",60155),gitCommit:Ge("git-commit",60156),gitCompare:Ge("git-compare",60157),compareChanges:Ge("compare-changes",60157),gitMerge:Ge("git-merge",60158),githubAction:Ge("github-action",60159),githubAlt:Ge("github-alt",60160),globe:Ge("globe",60161),grabber:Ge("grabber",60162),graph:Ge("graph",60163),gripper:Ge("gripper",60164),heart:Ge("heart",60165),home:Ge("home",60166),horizontalRule:Ge("horizontal-rule",60167),hubot:Ge("hubot",60168),inbox:Ge("inbox",60169),issueReopened:Ge("issue-reopened",60171),issues:Ge("issues",60172),italic:Ge("italic",60173),jersey:Ge("jersey",60174),json:Ge("json",60175),kebabVertical:Ge("kebab-vertical",60176),key:Ge("key",60177),law:Ge("law",60178),lightbulbAutofix:Ge("lightbulb-autofix",60179),linkExternal:Ge("link-external",60180),link:Ge("link",60181),listOrdered:Ge("list-ordered",60182),listUnordered:Ge("list-unordered",60183),liveShare:Ge("live-share",60184),loading:Ge("loading",60185),location:Ge("location",60186),mailRead:Ge("mail-read",60187),mail:Ge("mail",60188),markdown:Ge("markdown",60189),megaphone:Ge("megaphone",60190),mention:Ge("mention",60191),milestone:Ge("milestone",60192),gitPullRequestMilestone:Ge("git-pull-request-milestone",60192),mortarBoard:Ge("mortar-board",60193),move:Ge("move",60194),multipleWindows:Ge("multiple-windows",60195),mute:Ge("mute",60196),noNewline:Ge("no-newline",60197),note:Ge("note",60198),octoface:Ge("octoface",60199),openPreview:Ge("open-preview",60200),package:Ge("package",60201),paintcan:Ge("paintcan",60202),pin:Ge("pin",60203),play:Ge("play",60204),run:Ge("run",60204),plug:Ge("plug",60205),preserveCase:Ge("preserve-case",60206),preview:Ge("preview",60207),project:Ge("project",60208),pulse:Ge("pulse",60209),question:Ge("question",60210),quote:Ge("quote",60211),radioTower:Ge("radio-tower",60212),reactions:Ge("reactions",60213),references:Ge("references",60214),refresh:Ge("refresh",60215),regex:Ge("regex",60216),remoteExplorer:Ge("remote-explorer",60217),remote:Ge("remote",60218),remove:Ge("remove",60219),replaceAll:Ge("replace-all",60220),replace:Ge("replace",60221),repoClone:Ge("repo-clone",60222),repoForcePush:Ge("repo-force-push",60223),repoPull:Ge("repo-pull",60224),repoPush:Ge("repo-push",60225),report:Ge("report",60226),requestChanges:Ge("request-changes",60227),rocket:Ge("rocket",60228),rootFolderOpened:Ge("root-folder-opened",60229),rootFolder:Ge("root-folder",60230),rss:Ge("rss",60231),ruby:Ge("ruby",60232),saveAll:Ge("save-all",60233),saveAs:Ge("save-as",60234),save:Ge("save",60235),screenFull:Ge("screen-full",60236),screenNormal:Ge("screen-normal",60237),searchStop:Ge("search-stop",60238),server:Ge("server",60240),settingsGear:Ge("settings-gear",60241),settings:Ge("settings",60242),shield:Ge("shield",60243),smiley:Ge("smiley",60244),sortPrecedence:Ge("sort-precedence",60245),splitHorizontal:Ge("split-horizontal",60246),splitVertical:Ge("split-vertical",60247),squirrel:Ge("squirrel",60248),starFull:Ge("star-full",60249),starHalf:Ge("star-half",60250),symbolClass:Ge("symbol-class",60251),symbolColor:Ge("symbol-color",60252),symbolConstant:Ge("symbol-constant",60253),symbolEnumMember:Ge("symbol-enum-member",60254),symbolField:Ge("symbol-field",60255),symbolFile:Ge("symbol-file",60256),symbolInterface:Ge("symbol-interface",60257),symbolKeyword:Ge("symbol-keyword",60258),symbolMisc:Ge("symbol-misc",60259),symbolOperator:Ge("symbol-operator",60260),symbolProperty:Ge("symbol-property",60261),wrench:Ge("wrench",60261),wrenchSubaction:Ge("wrench-subaction",60261),symbolSnippet:Ge("symbol-snippet",60262),tasklist:Ge("tasklist",60263),telescope:Ge("telescope",60264),textSize:Ge("text-size",60265),threeBars:Ge("three-bars",60266),thumbsdown:Ge("thumbsdown",60267),thumbsup:Ge("thumbsup",60268),tools:Ge("tools",60269),triangleDown:Ge("triangle-down",60270),triangleLeft:Ge("triangle-left",60271),triangleRight:Ge("triangle-right",60272),triangleUp:Ge("triangle-up",60273),twitter:Ge("twitter",60274),unfold:Ge("unfold",60275),unlock:Ge("unlock",60276),unmute:Ge("unmute",60277),unverified:Ge("unverified",60278),verified:Ge("verified",60279),versions:Ge("versions",60280),vmActive:Ge("vm-active",60281),vmOutline:Ge("vm-outline",60282),vmRunning:Ge("vm-running",60283),watch:Ge("watch",60284),whitespace:Ge("whitespace",60285),wholeWord:Ge("whole-word",60286),window:Ge("window",60287),wordWrap:Ge("word-wrap",60288),zoomIn:Ge("zoom-in",60289),zoomOut:Ge("zoom-out",60290),listFilter:Ge("list-filter",60291),listFlat:Ge("list-flat",60292),listSelection:Ge("list-selection",60293),selection:Ge("selection",60293),listTree:Ge("list-tree",60294),debugBreakpointFunctionUnverified:Ge("debug-breakpoint-function-unverified",60295),debugBreakpointFunction:Ge("debug-breakpoint-function",60296),debugBreakpointFunctionDisabled:Ge("debug-breakpoint-function-disabled",60296),debugStackframeActive:Ge("debug-stackframe-active",60297),circleSmallFilled:Ge("circle-small-filled",60298),debugStackframeDot:Ge("debug-stackframe-dot",60298),terminalDecorationMark:Ge("terminal-decoration-mark",60298),debugStackframe:Ge("debug-stackframe",60299),debugStackframeFocused:Ge("debug-stackframe-focused",60299),debugBreakpointUnsupported:Ge("debug-breakpoint-unsupported",60300),symbolString:Ge("symbol-string",60301),debugReverseContinue:Ge("debug-reverse-continue",60302),debugStepBack:Ge("debug-step-back",60303),debugRestartFrame:Ge("debug-restart-frame",60304),debugAlt:Ge("debug-alt",60305),callIncoming:Ge("call-incoming",60306),callOutgoing:Ge("call-outgoing",60307),menu:Ge("menu",60308),expandAll:Ge("expand-all",60309),feedback:Ge("feedback",60310),gitPullRequestReviewer:Ge("git-pull-request-reviewer",60310),groupByRefType:Ge("group-by-ref-type",60311),ungroupByRefType:Ge("ungroup-by-ref-type",60312),account:Ge("account",60313),gitPullRequestAssignee:Ge("git-pull-request-assignee",60313),bellDot:Ge("bell-dot",60314),debugConsole:Ge("debug-console",60315),library:Ge("library",60316),output:Ge("output",60317),runAll:Ge("run-all",60318),syncIgnored:Ge("sync-ignored",60319),pinned:Ge("pinned",60320),githubInverted:Ge("github-inverted",60321),serverProcess:Ge("server-process",60322),serverEnvironment:Ge("server-environment",60323),pass:Ge("pass",60324),issueClosed:Ge("issue-closed",60324),stopCircle:Ge("stop-circle",60325),playCircle:Ge("play-circle",60326),record:Ge("record",60327),debugAltSmall:Ge("debug-alt-small",60328),vmConnect:Ge("vm-connect",60329),cloud:Ge("cloud",60330),merge:Ge("merge",60331),export:Ge("export",60332),graphLeft:Ge("graph-left",60333),magnet:Ge("magnet",60334),notebook:Ge("notebook",60335),redo:Ge("redo",60336),checkAll:Ge("check-all",60337),pinnedDirty:Ge("pinned-dirty",60338),passFilled:Ge("pass-filled",60339),circleLargeFilled:Ge("circle-large-filled",60340),circleLarge:Ge("circle-large",60341),circleLargeOutline:Ge("circle-large-outline",60341),combine:Ge("combine",60342),gather:Ge("gather",60342),table:Ge("table",60343),variableGroup:Ge("variable-group",60344),typeHierarchy:Ge("type-hierarchy",60345),typeHierarchySub:Ge("type-hierarchy-sub",60346),typeHierarchySuper:Ge("type-hierarchy-super",60347),gitPullRequestCreate:Ge("git-pull-request-create",60348),runAbove:Ge("run-above",60349),runBelow:Ge("run-below",60350),notebookTemplate:Ge("notebook-template",60351),debugRerun:Ge("debug-rerun",60352),workspaceTrusted:Ge("workspace-trusted",60353),workspaceUntrusted:Ge("workspace-untrusted",60354),workspaceUnknown:Ge("workspace-unknown",60355),terminalCmd:Ge("terminal-cmd",60356),terminalDebian:Ge("terminal-debian",60357),terminalLinux:Ge("terminal-linux",60358),terminalPowershell:Ge("terminal-powershell",60359),terminalTmux:Ge("terminal-tmux",60360),terminalUbuntu:Ge("terminal-ubuntu",60361),terminalBash:Ge("terminal-bash",60362),arrowSwap:Ge("arrow-swap",60363),copy:Ge("copy",60364),personAdd:Ge("person-add",60365),filterFilled:Ge("filter-filled",60366),wand:Ge("wand",60367),debugLineByLine:Ge("debug-line-by-line",60368),inspect:Ge("inspect",60369),layers:Ge("layers",60370),layersDot:Ge("layers-dot",60371),layersActive:Ge("layers-active",60372),compass:Ge("compass",60373),compassDot:Ge("compass-dot",60374),compassActive:Ge("compass-active",60375),azure:Ge("azure",60376),issueDraft:Ge("issue-draft",60377),gitPullRequestClosed:Ge("git-pull-request-closed",60378),gitPullRequestDraft:Ge("git-pull-request-draft",60379),debugAll:Ge("debug-all",60380),debugCoverage:Ge("debug-coverage",60381),runErrors:Ge("run-errors",60382),folderLibrary:Ge("folder-library",60383),debugContinueSmall:Ge("debug-continue-small",60384),beakerStop:Ge("beaker-stop",60385),graphLine:Ge("graph-line",60386),graphScatter:Ge("graph-scatter",60387),pieChart:Ge("pie-chart",60388),bracket:Ge("bracket",60175),bracketDot:Ge("bracket-dot",60389),bracketError:Ge("bracket-error",60390),lockSmall:Ge("lock-small",60391),azureDevops:Ge("azure-devops",60392),verifiedFilled:Ge("verified-filled",60393),newline:Ge("newline",60394),layout:Ge("layout",60395),layoutActivitybarLeft:Ge("layout-activitybar-left",60396),layoutActivitybarRight:Ge("layout-activitybar-right",60397),layoutPanelLeft:Ge("layout-panel-left",60398),layoutPanelCenter:Ge("layout-panel-center",60399),layoutPanelJustify:Ge("layout-panel-justify",60400),layoutPanelRight:Ge("layout-panel-right",60401),layoutPanel:Ge("layout-panel",60402),layoutSidebarLeft:Ge("layout-sidebar-left",60403),layoutSidebarRight:Ge("layout-sidebar-right",60404),layoutStatusbar:Ge("layout-statusbar",60405),layoutMenubar:Ge("layout-menubar",60406),layoutCentered:Ge("layout-centered",60407),target:Ge("target",60408),indent:Ge("indent",60409),recordSmall:Ge("record-small",60410),errorSmall:Ge("error-small",60411),terminalDecorationError:Ge("terminal-decoration-error",60411),arrowCircleDown:Ge("arrow-circle-down",60412),arrowCircleLeft:Ge("arrow-circle-left",60413),arrowCircleRight:Ge("arrow-circle-right",60414),arrowCircleUp:Ge("arrow-circle-up",60415),layoutSidebarRightOff:Ge("layout-sidebar-right-off",60416),layoutPanelOff:Ge("layout-panel-off",60417),layoutSidebarLeftOff:Ge("layout-sidebar-left-off",60418),blank:Ge("blank",60419),heartFilled:Ge("heart-filled",60420),map:Ge("map",60421),mapHorizontal:Ge("map-horizontal",60421),foldHorizontal:Ge("fold-horizontal",60421),mapFilled:Ge("map-filled",60422),mapHorizontalFilled:Ge("map-horizontal-filled",60422),foldHorizontalFilled:Ge("fold-horizontal-filled",60422),circleSmall:Ge("circle-small",60423),bellSlash:Ge("bell-slash",60424),bellSlashDot:Ge("bell-slash-dot",60425),commentUnresolved:Ge("comment-unresolved",60426),gitPullRequestGoToChanges:Ge("git-pull-request-go-to-changes",60427),gitPullRequestNewChanges:Ge("git-pull-request-new-changes",60428),searchFuzzy:Ge("search-fuzzy",60429),commentDraft:Ge("comment-draft",60430),send:Ge("send",60431),sparkle:Ge("sparkle",60432),insert:Ge("insert",60433),mic:Ge("mic",60434),thumbsdownFilled:Ge("thumbsdown-filled",60435),thumbsupFilled:Ge("thumbsup-filled",60436),coffee:Ge("coffee",60437),snake:Ge("snake",60438),game:Ge("game",60439),vr:Ge("vr",60440),chip:Ge("chip",60441),piano:Ge("piano",60442),music:Ge("music",60443),micFilled:Ge("mic-filled",60444),repoFetch:Ge("repo-fetch",60445),copilot:Ge("copilot",60446),lightbulbSparkle:Ge("lightbulb-sparkle",60447),robot:Ge("robot",60448),sparkleFilled:Ge("sparkle-filled",60449),diffSingle:Ge("diff-single",60450),diffMultiple:Ge("diff-multiple",60451),surroundWith:Ge("surround-with",60452),share:Ge("share",60453),gitStash:Ge("git-stash",60454),gitStashApply:Ge("git-stash-apply",60455),gitStashPop:Ge("git-stash-pop",60456),vscode:Ge("vscode",60457),vscodeInsiders:Ge("vscode-insiders",60458),codeOss:Ge("code-oss",60459),runCoverage:Ge("run-coverage",60460),runAllCoverage:Ge("run-all-coverage",60461),coverage:Ge("coverage",60462),githubProject:Ge("github-project",60463),mapVertical:Ge("map-vertical",60464),foldVertical:Ge("fold-vertical",60464),mapVerticalFilled:Ge("map-vertical-filled",60465),foldVerticalFilled:Ge("fold-vertical-filled",60465),goToSearch:Ge("go-to-search",60466),percentage:Ge("percentage",60467),sortPercentage:Ge("sort-percentage",60467),attach:Ge("attach",60468)},Oli={dialogError:Ge("dialog-error","error"),dialogWarning:Ge("dialog-warning","warning"),dialogInfo:Ge("dialog-info","info"),dialogClose:Ge("dialog-close","close"),treeItemExpanded:Ge("tree-item-expanded","chevron-down"),treeFilterOnTypeOn:Ge("tree-filter-on-type-on","list-filter"),treeFilterOnTypeOff:Ge("tree-filter-on-type-off","list-selection"),treeFilterClear:Ge("tree-filter-clear","close"),treeItemLoading:Ge("tree-item-loading","loading"),menuSelection:Ge("menu-selection","check"),menuSubmenu:Ge("menu-submenu","chevron-right"),menuBarMore:Ge("menubar-more","more"),scrollbarButtonLeft:Ge("scrollbar-button-left","triangle-left"),scrollbarButtonRight:Ge("scrollbar-button-right","triangle-right"),scrollbarButtonUp:Ge("scrollbar-button-up","triangle-up"),scrollbarButtonDown:Ge("scrollbar-button-down","triangle-down"),toolBarMore:Ge("toolbar-more","more"),quickInputBack:Ge("quick-input-back","arrow-left"),dropDownButton:Ge("drop-down-button",60084),symbolCustomColor:Ge("symbol-customcolor",60252),exportIcon:Ge("export",60332),workspaceUnspecified:Ge("workspace-unspecified",60355),newLine:Ge("newline",60394),thumbsDownFilled:Ge("thumbsdown-filled",60435),thumbsUpFilled:Ge("thumbsup-filled",60436),gitFetch:Ge("git-fetch",60445),lightbulbSparkleAutofix:Ge("lightbulb-sparkle-autofix",60447),debugBreakpointPending:Ge("debug-breakpoint-pending",60377)},pr={...Ali,...Oli};let Gkt=class{constructor(){this._tokenizationSupports=new Map,this._factories=new Map,this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this._colorMap=null}handleChange(e){this._onDidChange.fire({changedLanguages:e,changedColorMap:!1})}register(e,i){return this._tokenizationSupports.set(e,i),this.handleChange([e]),fo(()=>{this._tokenizationSupports.get(e)===i&&(this._tokenizationSupports.delete(e),this.handleChange([e]))})}get(e){return this._tokenizationSupports.get(e)||null}registerFactory(e,i){var s;(s=this._factories.get(e))==null||s.dispose();const n=new Mli(this,e,i);return this._factories.set(e,n),fo(()=>{const c=this._factories.get(e);!c||c!==n||(this._factories.delete(e),c.dispose())})}async getOrCreate(e){const i=this.get(e);if(i)return i;const n=this._factories.get(e);return!n||n.isResolved?null:(await n.resolve(),this.get(e))}isResolved(e){if(this.get(e))return!0;const n=this._factories.get(e);return!!(!n||n.isResolved)}setColorMap(e){this._colorMap=e,this._onDidChange.fire({changedLanguages:Array.from(this._tokenizationSupports.keys()),changedColorMap:!0})}getColorMap(){return this._colorMap}getDefaultBackground(){return this._colorMap&&this._colorMap.length>2?this._colorMap[2]:null}};class Mli extends xi{get isResolved(){return this._isResolved}constructor(e,i,n){super(),this._registry=e,this._languageId=i,this._factory=n,this._isDisposed=!1,this._resolvePromise=null,this._isResolved=!1}dispose(){this._isDisposed=!0,super.dispose()}async resolve(){return this._resolvePromise||(this._resolvePromise=this._create()),this._resolvePromise}async _create(){const e=await this._factory.tokenizationSupport;this._isResolved=!0,e&&!this._isDisposed&&this._register(this._registry.register(this._languageId,e))}}let Pne=class{constructor(e,i,n){this.offset=e,this.type=i,this.language=n,this._tokenBrand=void 0}toString(){return"("+this.offset+", "+this.type+")"}};class Qqe{constructor(e,i){this.tokens=e,this.endState=i,this._tokenizationResultBrand=void 0}}class ISe{constructor(e,i){this.tokens=e,this.endState=i,this._encodedTokenizationResultBrand=void 0}}var VC;(function(l){l[l.Increase=0]="Increase",l[l.Decrease=1]="Decrease"})(VC||(VC={}));var Ane;(function(l){const e=new Map;e.set(0,pr.symbolMethod),e.set(1,pr.symbolFunction),e.set(2,pr.symbolConstructor),e.set(3,pr.symbolField),e.set(4,pr.symbolVariable),e.set(5,pr.symbolClass),e.set(6,pr.symbolStruct),e.set(7,pr.symbolInterface),e.set(8,pr.symbolModule),e.set(9,pr.symbolProperty),e.set(10,pr.symbolEvent),e.set(11,pr.symbolOperator),e.set(12,pr.symbolUnit),e.set(13,pr.symbolValue),e.set(15,pr.symbolEnum),e.set(14,pr.symbolConstant),e.set(15,pr.symbolEnum),e.set(16,pr.symbolEnumMember),e.set(17,pr.symbolKeyword),e.set(27,pr.symbolSnippet),e.set(18,pr.symbolText),e.set(19,pr.symbolColor),e.set(20,pr.symbolFile),e.set(21,pr.symbolReference),e.set(22,pr.symbolCustomColor),e.set(23,pr.symbolFolder),e.set(24,pr.symbolTypeParameter),e.set(25,pr.account),e.set(26,pr.issues);function i(c){let d=e.get(c);return d||(console.info("No codicon found for CompletionItemKind "+c),d=pr.symbolProperty),d}l.toIcon=i;const n=new Map;n.set("method",0),n.set("function",1),n.set("constructor",2),n.set("field",3),n.set("variable",4),n.set("class",5),n.set("struct",6),n.set("interface",7),n.set("module",8),n.set("property",9),n.set("event",10),n.set("operator",11),n.set("unit",12),n.set("value",13),n.set("constant",14),n.set("enum",15),n.set("enum-member",16),n.set("enumMember",16),n.set("keyword",17),n.set("snippet",27),n.set("text",18),n.set("color",19),n.set("file",20),n.set("reference",21),n.set("customcolor",22),n.set("folder",23),n.set("type-parameter",24),n.set("typeParameter",24),n.set("account",25),n.set("issue",26);function s(c,d){let f=n.get(c);return typeof f>"u"&&!d&&(f=9),f}l.fromString=s})(Ane||(Ane={}));var OE;(function(l){l[l.Automatic=0]="Automatic",l[l.Explicit=1]="Explicit"})(OE||(OE={}));class Kkt{constructor(e,i,n,s){this.range=e,this.text=i,this.completionKind=n,this.isSnippetText=s}equals(e){return nt.lift(this.range).equalsRange(e.range)&&this.text===e.text&&this.completionKind===e.completionKind&&this.isSnippetText===e.isSnippetText}}var One;(function(l){l[l.Automatic=0]="Automatic",l[l.PasteAs=1]="PasteAs"})(One||(One={}));var kL;(function(l){l[l.Invoke=1]="Invoke",l[l.TriggerCharacter=2]="TriggerCharacter",l[l.ContentChange=3]="ContentChange"})(kL||(kL={}));var x$;(function(l){l[l.Text=0]="Text",l[l.Read=1]="Read",l[l.Write=2]="Write"})(x$||(x$={}));function Rli(l){return l&&yo.isUri(l.uri)&&nt.isIRange(l.range)&&(nt.isIRange(l.originSelectionRange)||nt.isIRange(l.targetSelectionRange))}const Fli={17:W("Array","array"),16:W("Boolean","boolean"),4:W("Class","class"),13:W("Constant","constant"),8:W("Constructor","constructor"),9:W("Enum","enumeration"),21:W("EnumMember","enumeration member"),23:W("Event","event"),7:W("Field","field"),0:W("File","file"),11:W("Function","function"),10:W("Interface","interface"),19:W("Key","key"),5:W("Method","method"),1:W("Module","module"),2:W("Namespace","namespace"),20:W("Null","null"),15:W("Number","number"),18:W("Object","object"),24:W("Operator","operator"),3:W("Package","package"),6:W("Property","property"),14:W("String","string"),22:W("Struct","struct"),25:W("TypeParameter","type parameter"),12:W("Variable","variable")};function Bli(l,e){return W("symbolAriaLabel","{0} ({1})",l,Fli[e])}var Y1e;(function(l){const e=new Map;e.set(0,pr.symbolFile),e.set(1,pr.symbolModule),e.set(2,pr.symbolNamespace),e.set(3,pr.symbolPackage),e.set(4,pr.symbolClass),e.set(5,pr.symbolMethod),e.set(6,pr.symbolProperty),e.set(7,pr.symbolField),e.set(8,pr.symbolConstructor),e.set(9,pr.symbolEnum),e.set(10,pr.symbolInterface),e.set(11,pr.symbolFunction),e.set(12,pr.symbolVariable),e.set(13,pr.symbolConstant),e.set(14,pr.symbolString),e.set(15,pr.symbolNumber),e.set(16,pr.symbolBoolean),e.set(17,pr.symbolArray),e.set(18,pr.symbolObject),e.set(19,pr.symbolKey),e.set(20,pr.symbolNull),e.set(21,pr.symbolEnumMember),e.set(22,pr.symbolStruct),e.set(23,pr.symbolEvent),e.set(24,pr.symbolOperator),e.set(25,pr.symbolTypeParameter);function i(n){let s=e.get(n);return s||(console.info("No codicon found for SymbolKind "+n),s=pr.symbolProperty),s}l.toIcon=i})(Y1e||(Y1e={}));const nT=class nT{static fromValue(e){switch(e){case"comment":return nT.Comment;case"imports":return nT.Imports;case"region":return nT.Region}return new nT(e)}constructor(e){this.value=e}};nT.Comment=new nT("comment"),nT.Imports=new nT("imports"),nT.Region=new nT("region");let yR=nT;var bBe;(function(l){l[l.AIGenerated=1]="AIGenerated"})(bBe||(bBe={}));var Mne;(function(l){l[l.Invoke=0]="Invoke",l[l.Automatic=1]="Automatic"})(Mne||(Mne={}));var CBe;(function(l){function e(i){return!i||typeof i!="object"?!1:typeof i.id=="string"&&typeof i.title=="string"}l.is=e})(CBe||(CBe={}));var eye;(function(l){l[l.Type=1]="Type",l[l.Parameter=2]="Parameter"})(eye||(eye={}));class Wli{constructor(e){this.createSupport=e,this._tokenizationSupport=null}dispose(){this._tokenizationSupport&&this._tokenizationSupport.then(e=>{e&&e.dispose()})}get tokenizationSupport(){return this._tokenizationSupport||(this._tokenizationSupport=this.createSupport()),this._tokenizationSupport}}const Oh=new Gkt,SBe=new Gkt;var tye;(function(l){l[l.Invoke=0]="Invoke",l[l.Automatic=1]="Automatic"})(tye||(tye={}));var wBe;(function(l){l[l.Unknown=0]="Unknown",l[l.Disabled=1]="Disabled",l[l.Enabled=2]="Enabled"})(wBe||(wBe={}));var xBe;(function(l){l[l.Invoke=1]="Invoke",l[l.Auto=2]="Auto"})(xBe||(xBe={}));var kBe;(function(l){l[l.None=0]="None",l[l.KeepWhitespace=1]="KeepWhitespace",l[l.InsertAsSnippet=4]="InsertAsSnippet"})(kBe||(kBe={}));var TBe;(function(l){l[l.Method=0]="Method",l[l.Function=1]="Function",l[l.Constructor=2]="Constructor",l[l.Field=3]="Field",l[l.Variable=4]="Variable",l[l.Class=5]="Class",l[l.Struct=6]="Struct",l[l.Interface=7]="Interface",l[l.Module=8]="Module",l[l.Property=9]="Property",l[l.Event=10]="Event",l[l.Operator=11]="Operator",l[l.Unit=12]="Unit",l[l.Value=13]="Value",l[l.Constant=14]="Constant",l[l.Enum=15]="Enum",l[l.EnumMember=16]="EnumMember",l[l.Keyword=17]="Keyword",l[l.Text=18]="Text",l[l.Color=19]="Color",l[l.File=20]="File",l[l.Reference=21]="Reference",l[l.Customcolor=22]="Customcolor",l[l.Folder=23]="Folder",l[l.TypeParameter=24]="TypeParameter",l[l.User=25]="User",l[l.Issue=26]="Issue",l[l.Snippet=27]="Snippet"})(TBe||(TBe={}));var DBe;(function(l){l[l.Deprecated=1]="Deprecated"})(DBe||(DBe={}));var EBe;(function(l){l[l.Invoke=0]="Invoke",l[l.TriggerCharacter=1]="TriggerCharacter",l[l.TriggerForIncompleteCompletions=2]="TriggerForIncompleteCompletions"})(EBe||(EBe={}));var IBe;(function(l){l[l.EXACT=0]="EXACT",l[l.ABOVE=1]="ABOVE",l[l.BELOW=2]="BELOW"})(IBe||(IBe={}));var NBe;(function(l){l[l.NotSet=0]="NotSet",l[l.ContentFlush=1]="ContentFlush",l[l.RecoverFromMarkers=2]="RecoverFromMarkers",l[l.Explicit=3]="Explicit",l[l.Paste=4]="Paste",l[l.Undo=5]="Undo",l[l.Redo=6]="Redo"})(NBe||(NBe={}));var LBe;(function(l){l[l.LF=1]="LF",l[l.CRLF=2]="CRLF"})(LBe||(LBe={}));var PBe;(function(l){l[l.Text=0]="Text",l[l.Read=1]="Read",l[l.Write=2]="Write"})(PBe||(PBe={}));var ABe;(function(l){l[l.None=0]="None",l[l.Keep=1]="Keep",l[l.Brackets=2]="Brackets",l[l.Advanced=3]="Advanced",l[l.Full=4]="Full"})(ABe||(ABe={}));var OBe;(function(l){l[l.acceptSuggestionOnCommitCharacter=0]="acceptSuggestionOnCommitCharacter",l[l.acceptSuggestionOnEnter=1]="acceptSuggestionOnEnter",l[l.accessibilitySupport=2]="accessibilitySupport",l[l.accessibilityPageSize=3]="accessibilityPageSize",l[l.ariaLabel=4]="ariaLabel",l[l.ariaRequired=5]="ariaRequired",l[l.autoClosingBrackets=6]="autoClosingBrackets",l[l.autoClosingComments=7]="autoClosingComments",l[l.screenReaderAnnounceInlineSuggestion=8]="screenReaderAnnounceInlineSuggestion",l[l.autoClosingDelete=9]="autoClosingDelete",l[l.autoClosingOvertype=10]="autoClosingOvertype",l[l.autoClosingQuotes=11]="autoClosingQuotes",l[l.autoIndent=12]="autoIndent",l[l.automaticLayout=13]="automaticLayout",l[l.autoSurround=14]="autoSurround",l[l.bracketPairColorization=15]="bracketPairColorization",l[l.guides=16]="guides",l[l.codeLens=17]="codeLens",l[l.codeLensFontFamily=18]="codeLensFontFamily",l[l.codeLensFontSize=19]="codeLensFontSize",l[l.colorDecorators=20]="colorDecorators",l[l.colorDecoratorsLimit=21]="colorDecoratorsLimit",l[l.columnSelection=22]="columnSelection",l[l.comments=23]="comments",l[l.contextmenu=24]="contextmenu",l[l.copyWithSyntaxHighlighting=25]="copyWithSyntaxHighlighting",l[l.cursorBlinking=26]="cursorBlinking",l[l.cursorSmoothCaretAnimation=27]="cursorSmoothCaretAnimation",l[l.cursorStyle=28]="cursorStyle",l[l.cursorSurroundingLines=29]="cursorSurroundingLines",l[l.cursorSurroundingLinesStyle=30]="cursorSurroundingLinesStyle",l[l.cursorWidth=31]="cursorWidth",l[l.disableLayerHinting=32]="disableLayerHinting",l[l.disableMonospaceOptimizations=33]="disableMonospaceOptimizations",l[l.domReadOnly=34]="domReadOnly",l[l.dragAndDrop=35]="dragAndDrop",l[l.dropIntoEditor=36]="dropIntoEditor",l[l.emptySelectionClipboard=37]="emptySelectionClipboard",l[l.experimentalWhitespaceRendering=38]="experimentalWhitespaceRendering",l[l.extraEditorClassName=39]="extraEditorClassName",l[l.fastScrollSensitivity=40]="fastScrollSensitivity",l[l.find=41]="find",l[l.fixedOverflowWidgets=42]="fixedOverflowWidgets",l[l.folding=43]="folding",l[l.foldingStrategy=44]="foldingStrategy",l[l.foldingHighlight=45]="foldingHighlight",l[l.foldingImportsByDefault=46]="foldingImportsByDefault",l[l.foldingMaximumRegions=47]="foldingMaximumRegions",l[l.unfoldOnClickAfterEndOfLine=48]="unfoldOnClickAfterEndOfLine",l[l.fontFamily=49]="fontFamily",l[l.fontInfo=50]="fontInfo",l[l.fontLigatures=51]="fontLigatures",l[l.fontSize=52]="fontSize",l[l.fontWeight=53]="fontWeight",l[l.fontVariations=54]="fontVariations",l[l.formatOnPaste=55]="formatOnPaste",l[l.formatOnType=56]="formatOnType",l[l.glyphMargin=57]="glyphMargin",l[l.gotoLocation=58]="gotoLocation",l[l.hideCursorInOverviewRuler=59]="hideCursorInOverviewRuler",l[l.hover=60]="hover",l[l.inDiffEditor=61]="inDiffEditor",l[l.inlineSuggest=62]="inlineSuggest",l[l.inlineEdit=63]="inlineEdit",l[l.letterSpacing=64]="letterSpacing",l[l.lightbulb=65]="lightbulb",l[l.lineDecorationsWidth=66]="lineDecorationsWidth",l[l.lineHeight=67]="lineHeight",l[l.lineNumbers=68]="lineNumbers",l[l.lineNumbersMinChars=69]="lineNumbersMinChars",l[l.linkedEditing=70]="linkedEditing",l[l.links=71]="links",l[l.matchBrackets=72]="matchBrackets",l[l.minimap=73]="minimap",l[l.mouseStyle=74]="mouseStyle",l[l.mouseWheelScrollSensitivity=75]="mouseWheelScrollSensitivity",l[l.mouseWheelZoom=76]="mouseWheelZoom",l[l.multiCursorMergeOverlapping=77]="multiCursorMergeOverlapping",l[l.multiCursorModifier=78]="multiCursorModifier",l[l.multiCursorPaste=79]="multiCursorPaste",l[l.multiCursorLimit=80]="multiCursorLimit",l[l.occurrencesHighlight=81]="occurrencesHighlight",l[l.overviewRulerBorder=82]="overviewRulerBorder",l[l.overviewRulerLanes=83]="overviewRulerLanes",l[l.padding=84]="padding",l[l.pasteAs=85]="pasteAs",l[l.parameterHints=86]="parameterHints",l[l.peekWidgetDefaultFocus=87]="peekWidgetDefaultFocus",l[l.placeholder=88]="placeholder",l[l.definitionLinkOpensInPeek=89]="definitionLinkOpensInPeek",l[l.quickSuggestions=90]="quickSuggestions",l[l.quickSuggestionsDelay=91]="quickSuggestionsDelay",l[l.readOnly=92]="readOnly",l[l.readOnlyMessage=93]="readOnlyMessage",l[l.renameOnType=94]="renameOnType",l[l.renderControlCharacters=95]="renderControlCharacters",l[l.renderFinalNewline=96]="renderFinalNewline",l[l.renderLineHighlight=97]="renderLineHighlight",l[l.renderLineHighlightOnlyWhenFocus=98]="renderLineHighlightOnlyWhenFocus",l[l.renderValidationDecorations=99]="renderValidationDecorations",l[l.renderWhitespace=100]="renderWhitespace",l[l.revealHorizontalRightPadding=101]="revealHorizontalRightPadding",l[l.roundedSelection=102]="roundedSelection",l[l.rulers=103]="rulers",l[l.scrollbar=104]="scrollbar",l[l.scrollBeyondLastColumn=105]="scrollBeyondLastColumn",l[l.scrollBeyondLastLine=106]="scrollBeyondLastLine",l[l.scrollPredominantAxis=107]="scrollPredominantAxis",l[l.selectionClipboard=108]="selectionClipboard",l[l.selectionHighlight=109]="selectionHighlight",l[l.selectOnLineNumbers=110]="selectOnLineNumbers",l[l.showFoldingControls=111]="showFoldingControls",l[l.showUnused=112]="showUnused",l[l.snippetSuggestions=113]="snippetSuggestions",l[l.smartSelect=114]="smartSelect",l[l.smoothScrolling=115]="smoothScrolling",l[l.stickyScroll=116]="stickyScroll",l[l.stickyTabStops=117]="stickyTabStops",l[l.stopRenderingLineAfter=118]="stopRenderingLineAfter",l[l.suggest=119]="suggest",l[l.suggestFontSize=120]="suggestFontSize",l[l.suggestLineHeight=121]="suggestLineHeight",l[l.suggestOnTriggerCharacters=122]="suggestOnTriggerCharacters",l[l.suggestSelection=123]="suggestSelection",l[l.tabCompletion=124]="tabCompletion",l[l.tabIndex=125]="tabIndex",l[l.unicodeHighlighting=126]="unicodeHighlighting",l[l.unusualLineTerminators=127]="unusualLineTerminators",l[l.useShadowDOM=128]="useShadowDOM",l[l.useTabStops=129]="useTabStops",l[l.wordBreak=130]="wordBreak",l[l.wordSegmenterLocales=131]="wordSegmenterLocales",l[l.wordSeparators=132]="wordSeparators",l[l.wordWrap=133]="wordWrap",l[l.wordWrapBreakAfterCharacters=134]="wordWrapBreakAfterCharacters",l[l.wordWrapBreakBeforeCharacters=135]="wordWrapBreakBeforeCharacters",l[l.wordWrapColumn=136]="wordWrapColumn",l[l.wordWrapOverride1=137]="wordWrapOverride1",l[l.wordWrapOverride2=138]="wordWrapOverride2",l[l.wrappingIndent=139]="wrappingIndent",l[l.wrappingStrategy=140]="wrappingStrategy",l[l.showDeprecated=141]="showDeprecated",l[l.inlayHints=142]="inlayHints",l[l.editorClassName=143]="editorClassName",l[l.pixelRatio=144]="pixelRatio",l[l.tabFocusMode=145]="tabFocusMode",l[l.layoutInfo=146]="layoutInfo",l[l.wrappingInfo=147]="wrappingInfo",l[l.defaultColorDecorators=148]="defaultColorDecorators",l[l.colorDecoratorsActivatedOn=149]="colorDecoratorsActivatedOn",l[l.inlineCompletionsAccessibilityVerbose=150]="inlineCompletionsAccessibilityVerbose"})(OBe||(OBe={}));var MBe;(function(l){l[l.TextDefined=0]="TextDefined",l[l.LF=1]="LF",l[l.CRLF=2]="CRLF"})(MBe||(MBe={}));var RBe;(function(l){l[l.LF=0]="LF",l[l.CRLF=1]="CRLF"})(RBe||(RBe={}));var FBe;(function(l){l[l.Left=1]="Left",l[l.Center=2]="Center",l[l.Right=3]="Right"})(FBe||(FBe={}));var BBe;(function(l){l[l.Increase=0]="Increase",l[l.Decrease=1]="Decrease"})(BBe||(BBe={}));var WBe;(function(l){l[l.None=0]="None",l[l.Indent=1]="Indent",l[l.IndentOutdent=2]="IndentOutdent",l[l.Outdent=3]="Outdent"})(WBe||(WBe={}));var VBe;(function(l){l[l.Both=0]="Both",l[l.Right=1]="Right",l[l.Left=2]="Left",l[l.None=3]="None"})(VBe||(VBe={}));var HBe;(function(l){l[l.Type=1]="Type",l[l.Parameter=2]="Parameter"})(HBe||(HBe={}));var jBe;(function(l){l[l.Automatic=0]="Automatic",l[l.Explicit=1]="Explicit"})(jBe||(jBe={}));var zBe;(function(l){l[l.Invoke=0]="Invoke",l[l.Automatic=1]="Automatic"})(zBe||(zBe={}));var UBe;(function(l){l[l.DependsOnKbLayout=-1]="DependsOnKbLayout",l[l.Unknown=0]="Unknown",l[l.Backspace=1]="Backspace",l[l.Tab=2]="Tab",l[l.Enter=3]="Enter",l[l.Shift=4]="Shift",l[l.Ctrl=5]="Ctrl",l[l.Alt=6]="Alt",l[l.PauseBreak=7]="PauseBreak",l[l.CapsLock=8]="CapsLock",l[l.Escape=9]="Escape",l[l.Space=10]="Space",l[l.PageUp=11]="PageUp",l[l.PageDown=12]="PageDown",l[l.End=13]="End",l[l.Home=14]="Home",l[l.LeftArrow=15]="LeftArrow",l[l.UpArrow=16]="UpArrow",l[l.RightArrow=17]="RightArrow",l[l.DownArrow=18]="DownArrow",l[l.Insert=19]="Insert",l[l.Delete=20]="Delete",l[l.Digit0=21]="Digit0",l[l.Digit1=22]="Digit1",l[l.Digit2=23]="Digit2",l[l.Digit3=24]="Digit3",l[l.Digit4=25]="Digit4",l[l.Digit5=26]="Digit5",l[l.Digit6=27]="Digit6",l[l.Digit7=28]="Digit7",l[l.Digit8=29]="Digit8",l[l.Digit9=30]="Digit9",l[l.KeyA=31]="KeyA",l[l.KeyB=32]="KeyB",l[l.KeyC=33]="KeyC",l[l.KeyD=34]="KeyD",l[l.KeyE=35]="KeyE",l[l.KeyF=36]="KeyF",l[l.KeyG=37]="KeyG",l[l.KeyH=38]="KeyH",l[l.KeyI=39]="KeyI",l[l.KeyJ=40]="KeyJ",l[l.KeyK=41]="KeyK",l[l.KeyL=42]="KeyL",l[l.KeyM=43]="KeyM",l[l.KeyN=44]="KeyN",l[l.KeyO=45]="KeyO",l[l.KeyP=46]="KeyP",l[l.KeyQ=47]="KeyQ",l[l.KeyR=48]="KeyR",l[l.KeyS=49]="KeyS",l[l.KeyT=50]="KeyT",l[l.KeyU=51]="KeyU",l[l.KeyV=52]="KeyV",l[l.KeyW=53]="KeyW",l[l.KeyX=54]="KeyX",l[l.KeyY=55]="KeyY",l[l.KeyZ=56]="KeyZ",l[l.Meta=57]="Meta",l[l.ContextMenu=58]="ContextMenu",l[l.F1=59]="F1",l[l.F2=60]="F2",l[l.F3=61]="F3",l[l.F4=62]="F4",l[l.F5=63]="F5",l[l.F6=64]="F6",l[l.F7=65]="F7",l[l.F8=66]="F8",l[l.F9=67]="F9",l[l.F10=68]="F10",l[l.F11=69]="F11",l[l.F12=70]="F12",l[l.F13=71]="F13",l[l.F14=72]="F14",l[l.F15=73]="F15",l[l.F16=74]="F16",l[l.F17=75]="F17",l[l.F18=76]="F18",l[l.F19=77]="F19",l[l.F20=78]="F20",l[l.F21=79]="F21",l[l.F22=80]="F22",l[l.F23=81]="F23",l[l.F24=82]="F24",l[l.NumLock=83]="NumLock",l[l.ScrollLock=84]="ScrollLock",l[l.Semicolon=85]="Semicolon",l[l.Equal=86]="Equal",l[l.Comma=87]="Comma",l[l.Minus=88]="Minus",l[l.Period=89]="Period",l[l.Slash=90]="Slash",l[l.Backquote=91]="Backquote",l[l.BracketLeft=92]="BracketLeft",l[l.Backslash=93]="Backslash",l[l.BracketRight=94]="BracketRight",l[l.Quote=95]="Quote",l[l.OEM_8=96]="OEM_8",l[l.IntlBackslash=97]="IntlBackslash",l[l.Numpad0=98]="Numpad0",l[l.Numpad1=99]="Numpad1",l[l.Numpad2=100]="Numpad2",l[l.Numpad3=101]="Numpad3",l[l.Numpad4=102]="Numpad4",l[l.Numpad5=103]="Numpad5",l[l.Numpad6=104]="Numpad6",l[l.Numpad7=105]="Numpad7",l[l.Numpad8=106]="Numpad8",l[l.Numpad9=107]="Numpad9",l[l.NumpadMultiply=108]="NumpadMultiply",l[l.NumpadAdd=109]="NumpadAdd",l[l.NUMPAD_SEPARATOR=110]="NUMPAD_SEPARATOR",l[l.NumpadSubtract=111]="NumpadSubtract",l[l.NumpadDecimal=112]="NumpadDecimal",l[l.NumpadDivide=113]="NumpadDivide",l[l.KEY_IN_COMPOSITION=114]="KEY_IN_COMPOSITION",l[l.ABNT_C1=115]="ABNT_C1",l[l.ABNT_C2=116]="ABNT_C2",l[l.AudioVolumeMute=117]="AudioVolumeMute",l[l.AudioVolumeUp=118]="AudioVolumeUp",l[l.AudioVolumeDown=119]="AudioVolumeDown",l[l.BrowserSearch=120]="BrowserSearch",l[l.BrowserHome=121]="BrowserHome",l[l.BrowserBack=122]="BrowserBack",l[l.BrowserForward=123]="BrowserForward",l[l.MediaTrackNext=124]="MediaTrackNext",l[l.MediaTrackPrevious=125]="MediaTrackPrevious",l[l.MediaStop=126]="MediaStop",l[l.MediaPlayPause=127]="MediaPlayPause",l[l.LaunchMediaPlayer=128]="LaunchMediaPlayer",l[l.LaunchMail=129]="LaunchMail",l[l.LaunchApp2=130]="LaunchApp2",l[l.Clear=131]="Clear",l[l.MAX_VALUE=132]="MAX_VALUE"})(UBe||(UBe={}));var qBe;(function(l){l[l.Hint=1]="Hint",l[l.Info=2]="Info",l[l.Warning=4]="Warning",l[l.Error=8]="Error"})(qBe||(qBe={}));var $Be;(function(l){l[l.Unnecessary=1]="Unnecessary",l[l.Deprecated=2]="Deprecated"})($Be||($Be={}));var JBe;(function(l){l[l.Inline=1]="Inline",l[l.Gutter=2]="Gutter"})(JBe||(JBe={}));var GBe;(function(l){l[l.Normal=1]="Normal",l[l.Underlined=2]="Underlined"})(GBe||(GBe={}));var KBe;(function(l){l[l.UNKNOWN=0]="UNKNOWN",l[l.TEXTAREA=1]="TEXTAREA",l[l.GUTTER_GLYPH_MARGIN=2]="GUTTER_GLYPH_MARGIN",l[l.GUTTER_LINE_NUMBERS=3]="GUTTER_LINE_NUMBERS",l[l.GUTTER_LINE_DECORATIONS=4]="GUTTER_LINE_DECORATIONS",l[l.GUTTER_VIEW_ZONE=5]="GUTTER_VIEW_ZONE",l[l.CONTENT_TEXT=6]="CONTENT_TEXT",l[l.CONTENT_EMPTY=7]="CONTENT_EMPTY",l[l.CONTENT_VIEW_ZONE=8]="CONTENT_VIEW_ZONE",l[l.CONTENT_WIDGET=9]="CONTENT_WIDGET",l[l.OVERVIEW_RULER=10]="OVERVIEW_RULER",l[l.SCROLLBAR=11]="SCROLLBAR",l[l.OVERLAY_WIDGET=12]="OVERLAY_WIDGET",l[l.OUTSIDE_EDITOR=13]="OUTSIDE_EDITOR"})(KBe||(KBe={}));var XBe;(function(l){l[l.AIGenerated=1]="AIGenerated"})(XBe||(XBe={}));var QBe;(function(l){l[l.Invoke=0]="Invoke",l[l.Automatic=1]="Automatic"})(QBe||(QBe={}));var ZBe;(function(l){l[l.TOP_RIGHT_CORNER=0]="TOP_RIGHT_CORNER",l[l.BOTTOM_RIGHT_CORNER=1]="BOTTOM_RIGHT_CORNER",l[l.TOP_CENTER=2]="TOP_CENTER"})(ZBe||(ZBe={}));var YBe;(function(l){l[l.Left=1]="Left",l[l.Center=2]="Center",l[l.Right=4]="Right",l[l.Full=7]="Full"})(YBe||(YBe={}));var eWe;(function(l){l[l.Word=0]="Word",l[l.Line=1]="Line",l[l.Suggest=2]="Suggest"})(eWe||(eWe={}));var tWe;(function(l){l[l.Left=0]="Left",l[l.Right=1]="Right",l[l.None=2]="None",l[l.LeftOfInjectedText=3]="LeftOfInjectedText",l[l.RightOfInjectedText=4]="RightOfInjectedText"})(tWe||(tWe={}));var iWe;(function(l){l[l.Off=0]="Off",l[l.On=1]="On",l[l.Relative=2]="Relative",l[l.Interval=3]="Interval",l[l.Custom=4]="Custom"})(iWe||(iWe={}));var nWe;(function(l){l[l.None=0]="None",l[l.Text=1]="Text",l[l.Blocks=2]="Blocks"})(nWe||(nWe={}));var rWe;(function(l){l[l.Smooth=0]="Smooth",l[l.Immediate=1]="Immediate"})(rWe||(rWe={}));var sWe;(function(l){l[l.Auto=1]="Auto",l[l.Hidden=2]="Hidden",l[l.Visible=3]="Visible"})(sWe||(sWe={}));var oWe;(function(l){l[l.LTR=0]="LTR",l[l.RTL=1]="RTL"})(oWe||(oWe={}));var aWe;(function(l){l.Off="off",l.OnCode="onCode",l.On="on"})(aWe||(aWe={}));var cWe;(function(l){l[l.Invoke=1]="Invoke",l[l.TriggerCharacter=2]="TriggerCharacter",l[l.ContentChange=3]="ContentChange"})(cWe||(cWe={}));var lWe;(function(l){l[l.File=0]="File",l[l.Module=1]="Module",l[l.Namespace=2]="Namespace",l[l.Package=3]="Package",l[l.Class=4]="Class",l[l.Method=5]="Method",l[l.Property=6]="Property",l[l.Field=7]="Field",l[l.Constructor=8]="Constructor",l[l.Enum=9]="Enum",l[l.Interface=10]="Interface",l[l.Function=11]="Function",l[l.Variable=12]="Variable",l[l.Constant=13]="Constant",l[l.String=14]="String",l[l.Number=15]="Number",l[l.Boolean=16]="Boolean",l[l.Array=17]="Array",l[l.Object=18]="Object",l[l.Key=19]="Key",l[l.Null=20]="Null",l[l.EnumMember=21]="EnumMember",l[l.Struct=22]="Struct",l[l.Event=23]="Event",l[l.Operator=24]="Operator",l[l.TypeParameter=25]="TypeParameter"})(lWe||(lWe={}));var uWe;(function(l){l[l.Deprecated=1]="Deprecated"})(uWe||(uWe={}));var dWe;(function(l){l[l.Hidden=0]="Hidden",l[l.Blink=1]="Blink",l[l.Smooth=2]="Smooth",l[l.Phase=3]="Phase",l[l.Expand=4]="Expand",l[l.Solid=5]="Solid"})(dWe||(dWe={}));var fWe;(function(l){l[l.Line=1]="Line",l[l.Block=2]="Block",l[l.Underline=3]="Underline",l[l.LineThin=4]="LineThin",l[l.BlockOutline=5]="BlockOutline",l[l.UnderlineThin=6]="UnderlineThin"})(fWe||(fWe={}));var hWe;(function(l){l[l.AlwaysGrowsWhenTypingAtEdges=0]="AlwaysGrowsWhenTypingAtEdges",l[l.NeverGrowsWhenTypingAtEdges=1]="NeverGrowsWhenTypingAtEdges",l[l.GrowsOnlyWhenTypingBefore=2]="GrowsOnlyWhenTypingBefore",l[l.GrowsOnlyWhenTypingAfter=3]="GrowsOnlyWhenTypingAfter"})(hWe||(hWe={}));var _We;(function(l){l[l.None=0]="None",l[l.Same=1]="Same",l[l.Indent=2]="Indent",l[l.DeepIndent=3]="DeepIndent"})(_We||(_We={}));var $4;let Vli=($4=class{static chord(e,i){return pp(e,i)}},$4.CtrlCmd=2048,$4.Shift=1024,$4.Alt=512,$4.WinCtrl=256,$4);function Xkt(){return{editor:void 0,languages:void 0,CancellationTokenSource:ih,Emitter:gi,KeyCode:UBe,KeyMod:Vli,Position:pi,Range:nt,Selection:Us,SelectionDirection:oWe,MarkerSeverity:qBe,MarkerTag:$Be,Uri:yo,Token:Pne}}function Hli(l,e){const i=l;typeof i.vscodeWindowId!="number"&&Object.defineProperty(i,"vscodeWindowId",{get:()=>e})}const cd=window;function Qkt(l){return l}class jli{constructor(e,i){this.lastCache=void 0,this.lastArgKey=void 0,typeof e=="function"?(this._fn=e,this._computeKey=Qkt):(this._fn=i,this._computeKey=e.getCacheKey)}get(e){const i=this._computeKey(e);return this.lastArgKey!==i&&(this.lastArgKey=i,this.lastCache=this._fn(e)),this.lastCache}}class evt{get cachedValues(){return this._map}constructor(e,i){this._map=new Map,this._map2=new Map,typeof e=="function"?(this._fn=e,this._computeKey=Qkt):(this._fn=i,this._computeKey=e.getCacheKey)}get(e){const i=this._computeKey(e);if(this._map2.has(i))return this._map2.get(i);const n=this._fn(e);return this._map.set(e,n),this._map2.set(i,n),n}}class HE{constructor(e){this.executor=e,this._didRun=!1}get value(){if(!this._didRun)try{this._value=this.executor()}catch(e){this._error=e}finally{this._didRun=!0}if(this._error)throw this._error;return this._value}get rawValue(){return this._value}}function Zkt(l){return!l||typeof l!="string"?!0:l.trim().length===0}const zli=/{(\d+)}/g;function SB(l,...e){return e.length===0?l:l.replace(zli,function(i,n){const s=parseInt(n,10);return isNaN(s)||s<0||s>=e.length?i:e[s]})}function Uli(l){return l.replace(/[<>"'&]/g,e=>{switch(e){case"<":return"&lt;";case">":return"&gt;";case'"':return"&quot;";case"'":return"&apos;";case"&":return"&amp;"}return e})}function wie(l){return l.replace(/[<>&]/g,function(e){switch(e){case"<":return"&lt;";case">":return"&gt;";case"&":return"&amp;";default:return e}})}function Tw(l){return l.replace(/[\\\{\}\*\+\?\|\^\$\.\[\]\(\)]/g,"\\$&")}function qli(l,e=" "){const i=use(l,e);return Ykt(i,e)}function use(l,e){if(!l||!e)return l;const i=e.length;if(i===0||l.length===0)return l;let n=0;for(;l.indexOf(e,n)===n;)n=n+i;return l.substring(n)}function Ykt(l,e){if(!l||!e)return l;const i=e.length,n=l.length;if(i===0||n===0)return l;let s=n,c=-1;for(;c=l.lastIndexOf(e,s-1),!(c===-1||c+i!==s);){if(c===0)return"";s=c}return l.substring(0,s)}function $li(l){return l.replace(/[\-\\\{\}\+\?\|\^\$\.\,\[\]\(\)\#\s]/g,"\\$&").replace(/[\*]/g,".*")}function Jli(l){return l.replace(/\*/g,"")}function e2t(l,e,i={}){if(!l)throw new Error("Cannot create regex from empty string");e||(l=Tw(l)),i.wholeWord&&(/\B/.test(l.charAt(0))||(l="\\b"+l),/\B/.test(l.charAt(l.length-1))||(l=l+"\\b"));let n="";return i.global&&(n+="g"),i.matchCase||(n+="i"),i.multiline&&(n+="m"),i.unicode&&(n+="u"),new RegExp(l,n)}function Gli(l){return l.source==="^"||l.source==="^$"||l.source==="$"||l.source==="^\\s*$"?!1:!!(l.exec("")&&l.lastIndex===0)}function BL(l){return l.split(/\r\n|\r|\n/)}function Kli(l){const e=[],i=l.split(/(\r\n|\r|\n)/);for(let n=0;n<Math.ceil(i.length/2);n++)e.push(i[2*n]+(i[2*n+1]??""));return e}function Ty(l){for(let e=0,i=l.length;e<i;e++){const n=l.charCodeAt(e);if(n!==32&&n!==9)return e}return-1}function yd(l,e=0,i=l.length){for(let n=e;n<i;n++){const s=l.charCodeAt(n);if(s!==32&&s!==9)return l.substring(e,n)}return l.substring(e,i)}function ME(l,e=l.length-1){for(let i=e;i>=0;i--){const n=l.charCodeAt(i);if(n!==32&&n!==9)return i}return-1}function Rne(l,e){return l<e?-1:l>e?1:0}function Zqe(l,e,i=0,n=l.length,s=0,c=e.length){for(;i<n&&s<c;i++,s++){const m=l.charCodeAt(i),v=e.charCodeAt(s);if(m<v)return-1;if(m>v)return 1}const d=n-i,f=c-s;return d<f?-1:d>f?1:0}function pWe(l,e){return dse(l,e,0,l.length,0,e.length)}function dse(l,e,i=0,n=l.length,s=0,c=e.length){for(;i<n&&s<c;i++,s++){let m=l.charCodeAt(i),v=e.charCodeAt(s);if(m===v)continue;if(m>=128||v>=128)return Zqe(l.toLowerCase(),e.toLowerCase(),i,n,s,c);LM(m)&&(m-=32),LM(v)&&(v-=32);const x=m-v;if(x!==0)return x}const d=n-i,f=c-s;return d<f?-1:d>f?1:0}function qge(l){return l>=48&&l<=57}function LM(l){return l>=97&&l<=122}function fL(l){return l>=65&&l<=90}function eq(l,e){return l.length===e.length&&dse(l,e)===0}function Yqe(l,e){const i=e.length;return e.length>l.length?!1:dse(l,e,0,i)===0}function vR(l,e){const i=Math.min(l.length,e.length);let n;for(n=0;n<i;n++)if(l.charCodeAt(n)!==e.charCodeAt(n))return n;return i}function iye(l,e){const i=Math.min(l.length,e.length);let n;const s=l.length-1,c=e.length-1;for(n=0;n<i;n++)if(l.charCodeAt(s-n)!==e.charCodeAt(c-n))return n;return i}function Pm(l){return 55296<=l&&l<=56319}function wB(l){return 56320<=l&&l<=57343}function e$e(l,e){return(l-55296<<10)+(e-56320)+65536}function nye(l,e,i){const n=l.charCodeAt(i);if(Pm(n)&&i+1<e){const s=l.charCodeAt(i+1);if(wB(s))return e$e(n,s)}return n}function Xli(l,e){const i=l.charCodeAt(e-1);if(wB(i)&&e>1){const n=l.charCodeAt(e-2);if(Pm(n))return e$e(n,i)}return i}class t$e{get offset(){return this._offset}constructor(e,i=0){this._str=e,this._len=e.length,this._offset=i}setOffset(e){this._offset=e}prevCodePoint(){const e=Xli(this._str,this._offset);return this._offset-=e>=65536?2:1,e}nextCodePoint(){const e=nye(this._str,this._len,this._offset);return this._offset+=e>=65536?2:1,e}eol(){return this._offset>=this._len}}class rye{get offset(){return this._iterator.offset}constructor(e,i=0){this._iterator=new t$e(e,i)}nextGraphemeLength(){const e=sye.getInstance(),i=this._iterator,n=i.offset;let s=e.getGraphemeBreakType(i.nextCodePoint());for(;!i.eol();){const c=i.offset,d=e.getGraphemeBreakType(i.nextCodePoint());if(tvt(s,d)){i.setOffset(c);break}s=d}return i.offset-n}prevGraphemeLength(){const e=sye.getInstance(),i=this._iterator,n=i.offset;let s=e.getGraphemeBreakType(i.prevCodePoint());for(;i.offset>0;){const c=i.offset,d=e.getGraphemeBreakType(i.prevCodePoint());if(tvt(d,s)){i.setOffset(c);break}s=d}return n-i.offset}eol(){return this._iterator.eol()}}function i$e(l,e){return new rye(l,e).nextGraphemeLength()}function t2t(l,e){return new rye(l,e).prevGraphemeLength()}function Qli(l,e){e>0&&wB(l.charCodeAt(e))&&e--;const i=e+i$e(l,e);return[i-t2t(l,i),i]}let eFe;function Zli(){return/(?:[\u05BE\u05C0\u05C3\u05C6\u05D0-\u05F4\u0608\u060B\u060D\u061B-\u064A\u066D-\u066F\u0671-\u06D5\u06E5\u06E6\u06EE\u06EF\u06FA-\u0710\u0712-\u072F\u074D-\u07A5\u07B1-\u07EA\u07F4\u07F5\u07FA\u07FE-\u0815\u081A\u0824\u0828\u0830-\u0858\u085E-\u088E\u08A0-\u08C9\u200F\uFB1D\uFB1F-\uFB28\uFB2A-\uFD3D\uFD50-\uFDC7\uFDF0-\uFDFC\uFE70-\uFEFC]|\uD802[\uDC00-\uDD1B\uDD20-\uDE00\uDE10-\uDE35\uDE40-\uDEE4\uDEEB-\uDF35\uDF40-\uDFFF]|\uD803[\uDC00-\uDD23\uDE80-\uDEA9\uDEAD-\uDF45\uDF51-\uDF81\uDF86-\uDFF6]|\uD83A[\uDC00-\uDCCF\uDD00-\uDD43\uDD4B-\uDFFF]|\uD83B[\uDC00-\uDEBB])/}function k$(l){return eFe||(eFe=Zli()),eFe.test(l)}const Yli=/^[\t\n\r\x20-\x7E]*$/;function fse(l){return Yli.test(l)}const i2t=/[\u2028\u2029]/;function n2t(l){return i2t.test(l)}function bR(l){return l>=11904&&l<=55215||l>=63744&&l<=64255||l>=65281&&l<=65374}function n$e(l){return l>=127462&&l<=127487||l===8986||l===8987||l===9200||l===9203||l>=9728&&l<=10175||l===11088||l===11093||l>=127744&&l<=128591||l>=128640&&l<=128764||l>=128992&&l<=129008||l>=129280&&l<=129535||l>=129648&&l<=129782}const eui="\uFEFF";function r$e(l){return!!(l&&l.length>0&&l.charCodeAt(0)===65279)}function tui(l,e=!1){return l?(e&&(l=l.replace(/\\./g,"")),l.toLowerCase()!==l):!1}function r2t(l){return l=l%(2*26),l<26?String.fromCharCode(97+l):String.fromCharCode(65+l-26)}function tvt(l,e){return l===0?e!==5&&e!==7:l===2&&e===3?!1:l===4||l===2||l===3||e===4||e===2||e===3?!0:!(l===8&&(e===8||e===9||e===11||e===12)||(l===11||l===9)&&(e===9||e===10)||(l===12||l===10)&&e===10||e===5||e===13||e===7||l===1||l===13&&e===14||l===6&&e===6)}const KF=class KF{static getInstance(){return KF._INSTANCE||(KF._INSTANCE=new KF),KF._INSTANCE}constructor(){this._data=iui()}getGraphemeBreakType(e){if(e<32)return e===10?3:e===13?2:4;if(e<127)return 0;const i=this._data,n=i.length/3;let s=1;for(;s<=n;)if(e<i[3*s])s=2*s;else if(e>i[3*s+1])s=2*s+1;else return i[3*s+2];return 0}};KF._INSTANCE=null;let sye=KF;function iui(){return JSON.parse("[0,0,0,51229,51255,12,44061,44087,12,127462,127487,6,7083,7085,5,47645,47671,12,54813,54839,12,128678,128678,14,3270,3270,5,9919,9923,14,45853,45879,12,49437,49463,12,53021,53047,12,71216,71218,7,128398,128399,14,129360,129374,14,2519,2519,5,4448,4519,9,9742,9742,14,12336,12336,14,44957,44983,12,46749,46775,12,48541,48567,12,50333,50359,12,52125,52151,12,53917,53943,12,69888,69890,5,73018,73018,5,127990,127990,14,128558,128559,14,128759,128760,14,129653,129655,14,2027,2035,5,2891,2892,7,3761,3761,5,6683,6683,5,8293,8293,4,9825,9826,14,9999,9999,14,43452,43453,5,44509,44535,12,45405,45431,12,46301,46327,12,47197,47223,12,48093,48119,12,48989,49015,12,49885,49911,12,50781,50807,12,51677,51703,12,52573,52599,12,53469,53495,12,54365,54391,12,65279,65279,4,70471,70472,7,72145,72147,7,119173,119179,5,127799,127818,14,128240,128244,14,128512,128512,14,128652,128652,14,128721,128722,14,129292,129292,14,129445,129450,14,129734,129743,14,1476,1477,5,2366,2368,7,2750,2752,7,3076,3076,5,3415,3415,5,4141,4144,5,6109,6109,5,6964,6964,5,7394,7400,5,9197,9198,14,9770,9770,14,9877,9877,14,9968,9969,14,10084,10084,14,43052,43052,5,43713,43713,5,44285,44311,12,44733,44759,12,45181,45207,12,45629,45655,12,46077,46103,12,46525,46551,12,46973,46999,12,47421,47447,12,47869,47895,12,48317,48343,12,48765,48791,12,49213,49239,12,49661,49687,12,50109,50135,12,50557,50583,12,51005,51031,12,51453,51479,12,51901,51927,12,52349,52375,12,52797,52823,12,53245,53271,12,53693,53719,12,54141,54167,12,54589,54615,12,55037,55063,12,69506,69509,5,70191,70193,5,70841,70841,7,71463,71467,5,72330,72342,5,94031,94031,5,123628,123631,5,127763,127765,14,127941,127941,14,128043,128062,14,128302,128317,14,128465,128467,14,128539,128539,14,128640,128640,14,128662,128662,14,128703,128703,14,128745,128745,14,129004,129007,14,129329,129330,14,129402,129402,14,129483,129483,14,129686,129704,14,130048,131069,14,173,173,4,1757,1757,1,2200,2207,5,2434,2435,7,2631,2632,5,2817,2817,5,3008,3008,5,3201,3201,5,3387,3388,5,3542,3542,5,3902,3903,7,4190,4192,5,6002,6003,5,6439,6440,5,6765,6770,7,7019,7027,5,7154,7155,7,8205,8205,13,8505,8505,14,9654,9654,14,9757,9757,14,9792,9792,14,9852,9853,14,9890,9894,14,9937,9937,14,9981,9981,14,10035,10036,14,11035,11036,14,42654,42655,5,43346,43347,7,43587,43587,5,44006,44007,7,44173,44199,12,44397,44423,12,44621,44647,12,44845,44871,12,45069,45095,12,45293,45319,12,45517,45543,12,45741,45767,12,45965,45991,12,46189,46215,12,46413,46439,12,46637,46663,12,46861,46887,12,47085,47111,12,47309,47335,12,47533,47559,12,47757,47783,12,47981,48007,12,48205,48231,12,48429,48455,12,48653,48679,12,48877,48903,12,49101,49127,12,49325,49351,12,49549,49575,12,49773,49799,12,49997,50023,12,50221,50247,12,50445,50471,12,50669,50695,12,50893,50919,12,51117,51143,12,51341,51367,12,51565,51591,12,51789,51815,12,52013,52039,12,52237,52263,12,52461,52487,12,52685,52711,12,52909,52935,12,53133,53159,12,53357,53383,12,53581,53607,12,53805,53831,12,54029,54055,12,54253,54279,12,54477,54503,12,54701,54727,12,54925,54951,12,55149,55175,12,68101,68102,5,69762,69762,7,70067,70069,7,70371,70378,5,70720,70721,7,71087,71087,5,71341,71341,5,71995,71996,5,72249,72249,7,72850,72871,5,73109,73109,5,118576,118598,5,121505,121519,5,127245,127247,14,127568,127569,14,127777,127777,14,127872,127891,14,127956,127967,14,128015,128016,14,128110,128172,14,128259,128259,14,128367,128368,14,128424,128424,14,128488,128488,14,128530,128532,14,128550,128551,14,128566,128566,14,128647,128647,14,128656,128656,14,128667,128673,14,128691,128693,14,128715,128715,14,128728,128732,14,128752,128752,14,128765,128767,14,129096,129103,14,129311,129311,14,129344,129349,14,129394,129394,14,129413,129425,14,129466,129471,14,129511,129535,14,129664,129666,14,129719,129722,14,129760,129767,14,917536,917631,5,13,13,2,1160,1161,5,1564,1564,4,1807,1807,1,2085,2087,5,2307,2307,7,2382,2383,7,2497,2500,5,2563,2563,7,2677,2677,5,2763,2764,7,2879,2879,5,2914,2915,5,3021,3021,5,3142,3144,5,3263,3263,5,3285,3286,5,3398,3400,7,3530,3530,5,3633,3633,5,3864,3865,5,3974,3975,5,4155,4156,7,4229,4230,5,5909,5909,7,6078,6085,7,6277,6278,5,6451,6456,7,6744,6750,5,6846,6846,5,6972,6972,5,7074,7077,5,7146,7148,7,7222,7223,5,7416,7417,5,8234,8238,4,8417,8417,5,9000,9000,14,9203,9203,14,9730,9731,14,9748,9749,14,9762,9763,14,9776,9783,14,9800,9811,14,9831,9831,14,9872,9873,14,9882,9882,14,9900,9903,14,9929,9933,14,9941,9960,14,9974,9974,14,9989,9989,14,10006,10006,14,10062,10062,14,10160,10160,14,11647,11647,5,12953,12953,14,43019,43019,5,43232,43249,5,43443,43443,5,43567,43568,7,43696,43696,5,43765,43765,7,44013,44013,5,44117,44143,12,44229,44255,12,44341,44367,12,44453,44479,12,44565,44591,12,44677,44703,12,44789,44815,12,44901,44927,12,45013,45039,12,45125,45151,12,45237,45263,12,45349,45375,12,45461,45487,12,45573,45599,12,45685,45711,12,45797,45823,12,45909,45935,12,46021,46047,12,46133,46159,12,46245,46271,12,46357,46383,12,46469,46495,12,46581,46607,12,46693,46719,12,46805,46831,12,46917,46943,12,47029,47055,12,47141,47167,12,47253,47279,12,47365,47391,12,47477,47503,12,47589,47615,12,47701,47727,12,47813,47839,12,47925,47951,12,48037,48063,12,48149,48175,12,48261,48287,12,48373,48399,12,48485,48511,12,48597,48623,12,48709,48735,12,48821,48847,12,48933,48959,12,49045,49071,12,49157,49183,12,49269,49295,12,49381,49407,12,49493,49519,12,49605,49631,12,49717,49743,12,49829,49855,12,49941,49967,12,50053,50079,12,50165,50191,12,50277,50303,12,50389,50415,12,50501,50527,12,50613,50639,12,50725,50751,12,50837,50863,12,50949,50975,12,51061,51087,12,51173,51199,12,51285,51311,12,51397,51423,12,51509,51535,12,51621,51647,12,51733,51759,12,51845,51871,12,51957,51983,12,52069,52095,12,52181,52207,12,52293,52319,12,52405,52431,12,52517,52543,12,52629,52655,12,52741,52767,12,52853,52879,12,52965,52991,12,53077,53103,12,53189,53215,12,53301,53327,12,53413,53439,12,53525,53551,12,53637,53663,12,53749,53775,12,53861,53887,12,53973,53999,12,54085,54111,12,54197,54223,12,54309,54335,12,54421,54447,12,54533,54559,12,54645,54671,12,54757,54783,12,54869,54895,12,54981,55007,12,55093,55119,12,55243,55291,10,66045,66045,5,68325,68326,5,69688,69702,5,69817,69818,5,69957,69958,7,70089,70092,5,70198,70199,5,70462,70462,5,70502,70508,5,70750,70750,5,70846,70846,7,71100,71101,5,71230,71230,7,71351,71351,5,71737,71738,5,72000,72000,7,72160,72160,5,72273,72278,5,72752,72758,5,72882,72883,5,73031,73031,5,73461,73462,7,94192,94193,7,119149,119149,7,121403,121452,5,122915,122916,5,126980,126980,14,127358,127359,14,127535,127535,14,127759,127759,14,127771,127771,14,127792,127793,14,127825,127867,14,127897,127899,14,127945,127945,14,127985,127986,14,128000,128007,14,128021,128021,14,128066,128100,14,128184,128235,14,128249,128252,14,128266,128276,14,128335,128335,14,128379,128390,14,128407,128419,14,128444,128444,14,128481,128481,14,128499,128499,14,128526,128526,14,128536,128536,14,128543,128543,14,128556,128556,14,128564,128564,14,128577,128580,14,128643,128645,14,128649,128649,14,128654,128654,14,128660,128660,14,128664,128664,14,128675,128675,14,128686,128689,14,128695,128696,14,128705,128709,14,128717,128719,14,128725,128725,14,128736,128741,14,128747,128748,14,128755,128755,14,128762,128762,14,128981,128991,14,129009,129023,14,129160,129167,14,129296,129304,14,129320,129327,14,129340,129342,14,129356,129356,14,129388,129392,14,129399,129400,14,129404,129407,14,129432,129442,14,129454,129455,14,129473,129474,14,129485,129487,14,129648,129651,14,129659,129660,14,129671,129679,14,129709,129711,14,129728,129730,14,129751,129753,14,129776,129782,14,917505,917505,4,917760,917999,5,10,10,3,127,159,4,768,879,5,1471,1471,5,1536,1541,1,1648,1648,5,1767,1768,5,1840,1866,5,2070,2073,5,2137,2139,5,2274,2274,1,2363,2363,7,2377,2380,7,2402,2403,5,2494,2494,5,2507,2508,7,2558,2558,5,2622,2624,7,2641,2641,5,2691,2691,7,2759,2760,5,2786,2787,5,2876,2876,5,2881,2884,5,2901,2902,5,3006,3006,5,3014,3016,7,3072,3072,5,3134,3136,5,3157,3158,5,3260,3260,5,3266,3266,5,3274,3275,7,3328,3329,5,3391,3392,7,3405,3405,5,3457,3457,5,3536,3537,7,3551,3551,5,3636,3642,5,3764,3772,5,3895,3895,5,3967,3967,7,3993,4028,5,4146,4151,5,4182,4183,7,4226,4226,5,4253,4253,5,4957,4959,5,5940,5940,7,6070,6070,7,6087,6088,7,6158,6158,4,6432,6434,5,6448,6449,7,6679,6680,5,6742,6742,5,6754,6754,5,6783,6783,5,6912,6915,5,6966,6970,5,6978,6978,5,7042,7042,7,7080,7081,5,7143,7143,7,7150,7150,7,7212,7219,5,7380,7392,5,7412,7412,5,8203,8203,4,8232,8232,4,8265,8265,14,8400,8412,5,8421,8432,5,8617,8618,14,9167,9167,14,9200,9200,14,9410,9410,14,9723,9726,14,9733,9733,14,9745,9745,14,9752,9752,14,9760,9760,14,9766,9766,14,9774,9774,14,9786,9786,14,9794,9794,14,9823,9823,14,9828,9828,14,9833,9850,14,9855,9855,14,9875,9875,14,9880,9880,14,9885,9887,14,9896,9897,14,9906,9916,14,9926,9927,14,9935,9935,14,9939,9939,14,9962,9962,14,9972,9972,14,9978,9978,14,9986,9986,14,9997,9997,14,10002,10002,14,10017,10017,14,10055,10055,14,10071,10071,14,10133,10135,14,10548,10549,14,11093,11093,14,12330,12333,5,12441,12442,5,42608,42610,5,43010,43010,5,43045,43046,5,43188,43203,7,43302,43309,5,43392,43394,5,43446,43449,5,43493,43493,5,43571,43572,7,43597,43597,7,43703,43704,5,43756,43757,5,44003,44004,7,44009,44010,7,44033,44059,12,44089,44115,12,44145,44171,12,44201,44227,12,44257,44283,12,44313,44339,12,44369,44395,12,44425,44451,12,44481,44507,12,44537,44563,12,44593,44619,12,44649,44675,12,44705,44731,12,44761,44787,12,44817,44843,12,44873,44899,12,44929,44955,12,44985,45011,12,45041,45067,12,45097,45123,12,45153,45179,12,45209,45235,12,45265,45291,12,45321,45347,12,45377,45403,12,45433,45459,12,45489,45515,12,45545,45571,12,45601,45627,12,45657,45683,12,45713,45739,12,45769,45795,12,45825,45851,12,45881,45907,12,45937,45963,12,45993,46019,12,46049,46075,12,46105,46131,12,46161,46187,12,46217,46243,12,46273,46299,12,46329,46355,12,46385,46411,12,46441,46467,12,46497,46523,12,46553,46579,12,46609,46635,12,46665,46691,12,46721,46747,12,46777,46803,12,46833,46859,12,46889,46915,12,46945,46971,12,47001,47027,12,47057,47083,12,47113,47139,12,47169,47195,12,47225,47251,12,47281,47307,12,47337,47363,12,47393,47419,12,47449,47475,12,47505,47531,12,47561,47587,12,47617,47643,12,47673,47699,12,47729,47755,12,47785,47811,12,47841,47867,12,47897,47923,12,47953,47979,12,48009,48035,12,48065,48091,12,48121,48147,12,48177,48203,12,48233,48259,12,48289,48315,12,48345,48371,12,48401,48427,12,48457,48483,12,48513,48539,12,48569,48595,12,48625,48651,12,48681,48707,12,48737,48763,12,48793,48819,12,48849,48875,12,48905,48931,12,48961,48987,12,49017,49043,12,49073,49099,12,49129,49155,12,49185,49211,12,49241,49267,12,49297,49323,12,49353,49379,12,49409,49435,12,49465,49491,12,49521,49547,12,49577,49603,12,49633,49659,12,49689,49715,12,49745,49771,12,49801,49827,12,49857,49883,12,49913,49939,12,49969,49995,12,50025,50051,12,50081,50107,12,50137,50163,12,50193,50219,12,50249,50275,12,50305,50331,12,50361,50387,12,50417,50443,12,50473,50499,12,50529,50555,12,50585,50611,12,50641,50667,12,50697,50723,12,50753,50779,12,50809,50835,12,50865,50891,12,50921,50947,12,50977,51003,12,51033,51059,12,51089,51115,12,51145,51171,12,51201,51227,12,51257,51283,12,51313,51339,12,51369,51395,12,51425,51451,12,51481,51507,12,51537,51563,12,51593,51619,12,51649,51675,12,51705,51731,12,51761,51787,12,51817,51843,12,51873,51899,12,51929,51955,12,51985,52011,12,52041,52067,12,52097,52123,12,52153,52179,12,52209,52235,12,52265,52291,12,52321,52347,12,52377,52403,12,52433,52459,12,52489,52515,12,52545,52571,12,52601,52627,12,52657,52683,12,52713,52739,12,52769,52795,12,52825,52851,12,52881,52907,12,52937,52963,12,52993,53019,12,53049,53075,12,53105,53131,12,53161,53187,12,53217,53243,12,53273,53299,12,53329,53355,12,53385,53411,12,53441,53467,12,53497,53523,12,53553,53579,12,53609,53635,12,53665,53691,12,53721,53747,12,53777,53803,12,53833,53859,12,53889,53915,12,53945,53971,12,54001,54027,12,54057,54083,12,54113,54139,12,54169,54195,12,54225,54251,12,54281,54307,12,54337,54363,12,54393,54419,12,54449,54475,12,54505,54531,12,54561,54587,12,54617,54643,12,54673,54699,12,54729,54755,12,54785,54811,12,54841,54867,12,54897,54923,12,54953,54979,12,55009,55035,12,55065,55091,12,55121,55147,12,55177,55203,12,65024,65039,5,65520,65528,4,66422,66426,5,68152,68154,5,69291,69292,5,69633,69633,5,69747,69748,5,69811,69814,5,69826,69826,5,69932,69932,7,70016,70017,5,70079,70080,7,70095,70095,5,70196,70196,5,70367,70367,5,70402,70403,7,70464,70464,5,70487,70487,5,70709,70711,7,70725,70725,7,70833,70834,7,70843,70844,7,70849,70849,7,71090,71093,5,71103,71104,5,71227,71228,7,71339,71339,5,71344,71349,5,71458,71461,5,71727,71735,5,71985,71989,7,71998,71998,5,72002,72002,7,72154,72155,5,72193,72202,5,72251,72254,5,72281,72283,5,72344,72345,5,72766,72766,7,72874,72880,5,72885,72886,5,73023,73029,5,73104,73105,5,73111,73111,5,92912,92916,5,94095,94098,5,113824,113827,4,119142,119142,7,119155,119162,4,119362,119364,5,121476,121476,5,122888,122904,5,123184,123190,5,125252,125258,5,127183,127183,14,127340,127343,14,127377,127386,14,127491,127503,14,127548,127551,14,127744,127756,14,127761,127761,14,127769,127769,14,127773,127774,14,127780,127788,14,127796,127797,14,127820,127823,14,127869,127869,14,127894,127895,14,127902,127903,14,127943,127943,14,127947,127950,14,127972,127972,14,127988,127988,14,127992,127994,14,128009,128011,14,128019,128019,14,128023,128041,14,128064,128064,14,128102,128107,14,128174,128181,14,128238,128238,14,128246,128247,14,128254,128254,14,128264,128264,14,128278,128299,14,128329,128330,14,128348,128359,14,128371,128377,14,128392,128393,14,128401,128404,14,128421,128421,14,128433,128434,14,128450,128452,14,128476,128478,14,128483,128483,14,128495,128495,14,128506,128506,14,128519,128520,14,128528,128528,14,128534,128534,14,128538,128538,14,128540,128542,14,128544,128549,14,128552,128555,14,128557,128557,14,128560,128563,14,128565,128565,14,128567,128576,14,128581,128591,14,128641,128642,14,128646,128646,14,128648,128648,14,128650,128651,14,128653,128653,14,128655,128655,14,128657,128659,14,128661,128661,14,128663,128663,14,128665,128666,14,128674,128674,14,128676,128677,14,128679,128685,14,128690,128690,14,128694,128694,14,128697,128702,14,128704,128704,14,128710,128714,14,128716,128716,14,128720,128720,14,128723,128724,14,128726,128727,14,128733,128735,14,128742,128744,14,128746,128746,14,128749,128751,14,128753,128754,14,128756,128758,14,128761,128761,14,128763,128764,14,128884,128895,14,128992,129003,14,129008,129008,14,129036,129039,14,129114,129119,14,129198,129279,14,129293,129295,14,129305,129310,14,129312,129319,14,129328,129328,14,129331,129338,14,129343,129343,14,129351,129355,14,129357,129359,14,129375,129387,14,129393,129393,14,129395,129398,14,129401,129401,14,129403,129403,14,129408,129412,14,129426,129431,14,129443,129444,14,129451,129453,14,129456,129465,14,129472,129472,14,129475,129482,14,129484,129484,14,129488,129510,14,129536,129647,14,129652,129652,14,129656,129658,14,129661,129663,14,129667,129670,14,129680,129685,14,129705,129708,14,129712,129718,14,129723,129727,14,129731,129733,14,129744,129750,14,129754,129759,14,129768,129775,14,129783,129791,14,917504,917504,4,917506,917535,4,917632,917759,4,918000,921599,4,0,9,4,11,12,4,14,31,4,169,169,14,174,174,14,1155,1159,5,1425,1469,5,1473,1474,5,1479,1479,5,1552,1562,5,1611,1631,5,1750,1756,5,1759,1764,5,1770,1773,5,1809,1809,5,1958,1968,5,2045,2045,5,2075,2083,5,2089,2093,5,2192,2193,1,2250,2273,5,2275,2306,5,2362,2362,5,2364,2364,5,2369,2376,5,2381,2381,5,2385,2391,5,2433,2433,5,2492,2492,5,2495,2496,7,2503,2504,7,2509,2509,5,2530,2531,5,2561,2562,5,2620,2620,5,2625,2626,5,2635,2637,5,2672,2673,5,2689,2690,5,2748,2748,5,2753,2757,5,2761,2761,7,2765,2765,5,2810,2815,5,2818,2819,7,2878,2878,5,2880,2880,7,2887,2888,7,2893,2893,5,2903,2903,5,2946,2946,5,3007,3007,7,3009,3010,7,3018,3020,7,3031,3031,5,3073,3075,7,3132,3132,5,3137,3140,7,3146,3149,5,3170,3171,5,3202,3203,7,3262,3262,7,3264,3265,7,3267,3268,7,3271,3272,7,3276,3277,5,3298,3299,5,3330,3331,7,3390,3390,5,3393,3396,5,3402,3404,7,3406,3406,1,3426,3427,5,3458,3459,7,3535,3535,5,3538,3540,5,3544,3550,7,3570,3571,7,3635,3635,7,3655,3662,5,3763,3763,7,3784,3789,5,3893,3893,5,3897,3897,5,3953,3966,5,3968,3972,5,3981,3991,5,4038,4038,5,4145,4145,7,4153,4154,5,4157,4158,5,4184,4185,5,4209,4212,5,4228,4228,7,4237,4237,5,4352,4447,8,4520,4607,10,5906,5908,5,5938,5939,5,5970,5971,5,6068,6069,5,6071,6077,5,6086,6086,5,6089,6099,5,6155,6157,5,6159,6159,5,6313,6313,5,6435,6438,7,6441,6443,7,6450,6450,5,6457,6459,5,6681,6682,7,6741,6741,7,6743,6743,7,6752,6752,5,6757,6764,5,6771,6780,5,6832,6845,5,6847,6862,5,6916,6916,7,6965,6965,5,6971,6971,7,6973,6977,7,6979,6980,7,7040,7041,5,7073,7073,7,7078,7079,7,7082,7082,7,7142,7142,5,7144,7145,5,7149,7149,5,7151,7153,5,7204,7211,7,7220,7221,7,7376,7378,5,7393,7393,7,7405,7405,5,7415,7415,7,7616,7679,5,8204,8204,5,8206,8207,4,8233,8233,4,8252,8252,14,8288,8292,4,8294,8303,4,8413,8416,5,8418,8420,5,8482,8482,14,8596,8601,14,8986,8987,14,9096,9096,14,9193,9196,14,9199,9199,14,9201,9202,14,9208,9210,14,9642,9643,14,9664,9664,14,9728,9729,14,9732,9732,14,9735,9741,14,9743,9744,14,9746,9746,14,9750,9751,14,9753,9756,14,9758,9759,14,9761,9761,14,9764,9765,14,9767,9769,14,9771,9773,14,9775,9775,14,9784,9785,14,9787,9791,14,9793,9793,14,9795,9799,14,9812,9822,14,9824,9824,14,9827,9827,14,9829,9830,14,9832,9832,14,9851,9851,14,9854,9854,14,9856,9861,14,9874,9874,14,9876,9876,14,9878,9879,14,9881,9881,14,9883,9884,14,9888,9889,14,9895,9895,14,9898,9899,14,9904,9905,14,9917,9918,14,9924,9925,14,9928,9928,14,9934,9934,14,9936,9936,14,9938,9938,14,9940,9940,14,9961,9961,14,9963,9967,14,9970,9971,14,9973,9973,14,9975,9977,14,9979,9980,14,9982,9985,14,9987,9988,14,9992,9996,14,9998,9998,14,10000,10001,14,10004,10004,14,10013,10013,14,10024,10024,14,10052,10052,14,10060,10060,14,10067,10069,14,10083,10083,14,10085,10087,14,10145,10145,14,10175,10175,14,11013,11015,14,11088,11088,14,11503,11505,5,11744,11775,5,12334,12335,5,12349,12349,14,12951,12951,14,42607,42607,5,42612,42621,5,42736,42737,5,43014,43014,5,43043,43044,7,43047,43047,7,43136,43137,7,43204,43205,5,43263,43263,5,43335,43345,5,43360,43388,8,43395,43395,7,43444,43445,7,43450,43451,7,43454,43456,7,43561,43566,5,43569,43570,5,43573,43574,5,43596,43596,5,43644,43644,5,43698,43700,5,43710,43711,5,43755,43755,7,43758,43759,7,43766,43766,5,44005,44005,5,44008,44008,5,44012,44012,7,44032,44032,11,44060,44060,11,44088,44088,11,44116,44116,11,44144,44144,11,44172,44172,11,44200,44200,11,44228,44228,11,44256,44256,11,44284,44284,11,44312,44312,11,44340,44340,11,44368,44368,11,44396,44396,11,44424,44424,11,44452,44452,11,44480,44480,11,44508,44508,11,44536,44536,11,44564,44564,11,44592,44592,11,44620,44620,11,44648,44648,11,44676,44676,11,44704,44704,11,44732,44732,11,44760,44760,11,44788,44788,11,44816,44816,11,44844,44844,11,44872,44872,11,44900,44900,11,44928,44928,11,44956,44956,11,44984,44984,11,45012,45012,11,45040,45040,11,45068,45068,11,45096,45096,11,45124,45124,11,45152,45152,11,45180,45180,11,45208,45208,11,45236,45236,11,45264,45264,11,45292,45292,11,45320,45320,11,45348,45348,11,45376,45376,11,45404,45404,11,45432,45432,11,45460,45460,11,45488,45488,11,45516,45516,11,45544,45544,11,45572,45572,11,45600,45600,11,45628,45628,11,45656,45656,11,45684,45684,11,45712,45712,11,45740,45740,11,45768,45768,11,45796,45796,11,45824,45824,11,45852,45852,11,45880,45880,11,45908,45908,11,45936,45936,11,45964,45964,11,45992,45992,11,46020,46020,11,46048,46048,11,46076,46076,11,46104,46104,11,46132,46132,11,46160,46160,11,46188,46188,11,46216,46216,11,46244,46244,11,46272,46272,11,46300,46300,11,46328,46328,11,46356,46356,11,46384,46384,11,46412,46412,11,46440,46440,11,46468,46468,11,46496,46496,11,46524,46524,11,46552,46552,11,46580,46580,11,46608,46608,11,46636,46636,11,46664,46664,11,46692,46692,11,46720,46720,11,46748,46748,11,46776,46776,11,46804,46804,11,46832,46832,11,46860,46860,11,46888,46888,11,46916,46916,11,46944,46944,11,46972,46972,11,47000,47000,11,47028,47028,11,47056,47056,11,47084,47084,11,47112,47112,11,47140,47140,11,47168,47168,11,47196,47196,11,47224,47224,11,47252,47252,11,47280,47280,11,47308,47308,11,47336,47336,11,47364,47364,11,47392,47392,11,47420,47420,11,47448,47448,11,47476,47476,11,47504,47504,11,47532,47532,11,47560,47560,11,47588,47588,11,47616,47616,11,47644,47644,11,47672,47672,11,47700,47700,11,47728,47728,11,47756,47756,11,47784,47784,11,47812,47812,11,47840,47840,11,47868,47868,11,47896,47896,11,47924,47924,11,47952,47952,11,47980,47980,11,48008,48008,11,48036,48036,11,48064,48064,11,48092,48092,11,48120,48120,11,48148,48148,11,48176,48176,11,48204,48204,11,48232,48232,11,48260,48260,11,48288,48288,11,48316,48316,11,48344,48344,11,48372,48372,11,48400,48400,11,48428,48428,11,48456,48456,11,48484,48484,11,48512,48512,11,48540,48540,11,48568,48568,11,48596,48596,11,48624,48624,11,48652,48652,11,48680,48680,11,48708,48708,11,48736,48736,11,48764,48764,11,48792,48792,11,48820,48820,11,48848,48848,11,48876,48876,11,48904,48904,11,48932,48932,11,48960,48960,11,48988,48988,11,49016,49016,11,49044,49044,11,49072,49072,11,49100,49100,11,49128,49128,11,49156,49156,11,49184,49184,11,49212,49212,11,49240,49240,11,49268,49268,11,49296,49296,11,49324,49324,11,49352,49352,11,49380,49380,11,49408,49408,11,49436,49436,11,49464,49464,11,49492,49492,11,49520,49520,11,49548,49548,11,49576,49576,11,49604,49604,11,49632,49632,11,49660,49660,11,49688,49688,11,49716,49716,11,49744,49744,11,49772,49772,11,49800,49800,11,49828,49828,11,49856,49856,11,49884,49884,11,49912,49912,11,49940,49940,11,49968,49968,11,49996,49996,11,50024,50024,11,50052,50052,11,50080,50080,11,50108,50108,11,50136,50136,11,50164,50164,11,50192,50192,11,50220,50220,11,50248,50248,11,50276,50276,11,50304,50304,11,50332,50332,11,50360,50360,11,50388,50388,11,50416,50416,11,50444,50444,11,50472,50472,11,50500,50500,11,50528,50528,11,50556,50556,11,50584,50584,11,50612,50612,11,50640,50640,11,50668,50668,11,50696,50696,11,50724,50724,11,50752,50752,11,50780,50780,11,50808,50808,11,50836,50836,11,50864,50864,11,50892,50892,11,50920,50920,11,50948,50948,11,50976,50976,11,51004,51004,11,51032,51032,11,51060,51060,11,51088,51088,11,51116,51116,11,51144,51144,11,51172,51172,11,51200,51200,11,51228,51228,11,51256,51256,11,51284,51284,11,51312,51312,11,51340,51340,11,51368,51368,11,51396,51396,11,51424,51424,11,51452,51452,11,51480,51480,11,51508,51508,11,51536,51536,11,51564,51564,11,51592,51592,11,51620,51620,11,51648,51648,11,51676,51676,11,51704,51704,11,51732,51732,11,51760,51760,11,51788,51788,11,51816,51816,11,51844,51844,11,51872,51872,11,51900,51900,11,51928,51928,11,51956,51956,11,51984,51984,11,52012,52012,11,52040,52040,11,52068,52068,11,52096,52096,11,52124,52124,11,52152,52152,11,52180,52180,11,52208,52208,11,52236,52236,11,52264,52264,11,52292,52292,11,52320,52320,11,52348,52348,11,52376,52376,11,52404,52404,11,52432,52432,11,52460,52460,11,52488,52488,11,52516,52516,11,52544,52544,11,52572,52572,11,52600,52600,11,52628,52628,11,52656,52656,11,52684,52684,11,52712,52712,11,52740,52740,11,52768,52768,11,52796,52796,11,52824,52824,11,52852,52852,11,52880,52880,11,52908,52908,11,52936,52936,11,52964,52964,11,52992,52992,11,53020,53020,11,53048,53048,11,53076,53076,11,53104,53104,11,53132,53132,11,53160,53160,11,53188,53188,11,53216,53216,11,53244,53244,11,53272,53272,11,53300,53300,11,53328,53328,11,53356,53356,11,53384,53384,11,53412,53412,11,53440,53440,11,53468,53468,11,53496,53496,11,53524,53524,11,53552,53552,11,53580,53580,11,53608,53608,11,53636,53636,11,53664,53664,11,53692,53692,11,53720,53720,11,53748,53748,11,53776,53776,11,53804,53804,11,53832,53832,11,53860,53860,11,53888,53888,11,53916,53916,11,53944,53944,11,53972,53972,11,54000,54000,11,54028,54028,11,54056,54056,11,54084,54084,11,54112,54112,11,54140,54140,11,54168,54168,11,54196,54196,11,54224,54224,11,54252,54252,11,54280,54280,11,54308,54308,11,54336,54336,11,54364,54364,11,54392,54392,11,54420,54420,11,54448,54448,11,54476,54476,11,54504,54504,11,54532,54532,11,54560,54560,11,54588,54588,11,54616,54616,11,54644,54644,11,54672,54672,11,54700,54700,11,54728,54728,11,54756,54756,11,54784,54784,11,54812,54812,11,54840,54840,11,54868,54868,11,54896,54896,11,54924,54924,11,54952,54952,11,54980,54980,11,55008,55008,11,55036,55036,11,55064,55064,11,55092,55092,11,55120,55120,11,55148,55148,11,55176,55176,11,55216,55238,9,64286,64286,5,65056,65071,5,65438,65439,5,65529,65531,4,66272,66272,5,68097,68099,5,68108,68111,5,68159,68159,5,68900,68903,5,69446,69456,5,69632,69632,7,69634,69634,7,69744,69744,5,69759,69761,5,69808,69810,7,69815,69816,7,69821,69821,1,69837,69837,1,69927,69931,5,69933,69940,5,70003,70003,5,70018,70018,7,70070,70078,5,70082,70083,1,70094,70094,7,70188,70190,7,70194,70195,7,70197,70197,7,70206,70206,5,70368,70370,7,70400,70401,5,70459,70460,5,70463,70463,7,70465,70468,7,70475,70477,7,70498,70499,7,70512,70516,5,70712,70719,5,70722,70724,5,70726,70726,5,70832,70832,5,70835,70840,5,70842,70842,5,70845,70845,5,70847,70848,5,70850,70851,5,71088,71089,7,71096,71099,7,71102,71102,7,71132,71133,5,71219,71226,5,71229,71229,5,71231,71232,5,71340,71340,7,71342,71343,7,71350,71350,7,71453,71455,5,71462,71462,7,71724,71726,7,71736,71736,7,71984,71984,5,71991,71992,7,71997,71997,7,71999,71999,1,72001,72001,1,72003,72003,5,72148,72151,5,72156,72159,7,72164,72164,7,72243,72248,5,72250,72250,1,72263,72263,5,72279,72280,7,72324,72329,1,72343,72343,7,72751,72751,7,72760,72765,5,72767,72767,5,72873,72873,7,72881,72881,7,72884,72884,7,73009,73014,5,73020,73021,5,73030,73030,1,73098,73102,7,73107,73108,7,73110,73110,7,73459,73460,5,78896,78904,4,92976,92982,5,94033,94087,7,94180,94180,5,113821,113822,5,118528,118573,5,119141,119141,5,119143,119145,5,119150,119154,5,119163,119170,5,119210,119213,5,121344,121398,5,121461,121461,5,121499,121503,5,122880,122886,5,122907,122913,5,122918,122922,5,123566,123566,5,125136,125142,5,126976,126979,14,126981,127182,14,127184,127231,14,127279,127279,14,127344,127345,14,127374,127374,14,127405,127461,14,127489,127490,14,127514,127514,14,127538,127546,14,127561,127567,14,127570,127743,14,127757,127758,14,127760,127760,14,127762,127762,14,127766,127768,14,127770,127770,14,127772,127772,14,127775,127776,14,127778,127779,14,127789,127791,14,127794,127795,14,127798,127798,14,127819,127819,14,127824,127824,14,127868,127868,14,127870,127871,14,127892,127893,14,127896,127896,14,127900,127901,14,127904,127940,14,127942,127942,14,127944,127944,14,127946,127946,14,127951,127955,14,127968,127971,14,127973,127984,14,127987,127987,14,127989,127989,14,127991,127991,14,127995,127999,5,128008,128008,14,128012,128014,14,128017,128018,14,128020,128020,14,128022,128022,14,128042,128042,14,128063,128063,14,128065,128065,14,128101,128101,14,128108,128109,14,128173,128173,14,128182,128183,14,128236,128237,14,128239,128239,14,128245,128245,14,128248,128248,14,128253,128253,14,128255,128258,14,128260,128263,14,128265,128265,14,128277,128277,14,128300,128301,14,128326,128328,14,128331,128334,14,128336,128347,14,128360,128366,14,128369,128370,14,128378,128378,14,128391,128391,14,128394,128397,14,128400,128400,14,128405,128406,14,128420,128420,14,128422,128423,14,128425,128432,14,128435,128443,14,128445,128449,14,128453,128464,14,128468,128475,14,128479,128480,14,128482,128482,14,128484,128487,14,128489,128494,14,128496,128498,14,128500,128505,14,128507,128511,14,128513,128518,14,128521,128525,14,128527,128527,14,128529,128529,14,128533,128533,14,128535,128535,14,128537,128537,14]")}function nui(l,e){if(l===0)return 0;const i=rui(l,e);if(i!==void 0)return i;const n=new t$e(e,l);return n.prevCodePoint(),n.offset}function rui(l,e){const i=new t$e(e,l);let n=i.prevCodePoint();for(;sui(n)||n===65039||n===8419;){if(i.offset===0)return;n=i.prevCodePoint()}if(!n$e(n))return;let s=i.offset;return s>0&&i.prevCodePoint()===8205&&(s=i.offset),s}function sui(l){return 127995<=l&&l<=127999}const s2t=" ",rL=class rL{static getInstance(e){return rL.cache.get(Array.from(e))}static getLocales(){return rL._locales.value}constructor(e){this.confusableDictionary=e}isAmbiguous(e){return this.confusableDictionary.has(e)}getPrimaryConfusable(e){return this.confusableDictionary.get(e)}getConfusableCodePoints(){return new Set(this.confusableDictionary.keys())}};rL.ambiguousCharacterData=new HE(()=>JSON.parse('{"_common":[8232,32,8233,32,5760,32,8192,32,8193,32,8194,32,8195,32,8196,32,8197,32,8198,32,8200,32,8201,32,8202,32,8287,32,8199,32,8239,32,2042,95,65101,95,65102,95,65103,95,8208,45,8209,45,8210,45,65112,45,1748,45,8259,45,727,45,8722,45,10134,45,11450,45,1549,44,1643,44,8218,44,184,44,42233,44,894,59,2307,58,2691,58,1417,58,1795,58,1796,58,5868,58,65072,58,6147,58,6153,58,8282,58,1475,58,760,58,42889,58,8758,58,720,58,42237,58,451,33,11601,33,660,63,577,63,2429,63,5038,63,42731,63,119149,46,8228,46,1793,46,1794,46,42510,46,68176,46,1632,46,1776,46,42232,46,1373,96,65287,96,8219,96,8242,96,1370,96,1523,96,8175,96,65344,96,900,96,8189,96,8125,96,8127,96,8190,96,697,96,884,96,712,96,714,96,715,96,756,96,699,96,701,96,700,96,702,96,42892,96,1497,96,2036,96,2037,96,5194,96,5836,96,94033,96,94034,96,65339,91,10088,40,10098,40,12308,40,64830,40,65341,93,10089,41,10099,41,12309,41,64831,41,10100,123,119060,123,10101,125,65342,94,8270,42,1645,42,8727,42,66335,42,5941,47,8257,47,8725,47,8260,47,9585,47,10187,47,10744,47,119354,47,12755,47,12339,47,11462,47,20031,47,12035,47,65340,92,65128,92,8726,92,10189,92,10741,92,10745,92,119311,92,119355,92,12756,92,20022,92,12034,92,42872,38,708,94,710,94,5869,43,10133,43,66203,43,8249,60,10094,60,706,60,119350,60,5176,60,5810,60,5120,61,11840,61,12448,61,42239,61,8250,62,10095,62,707,62,119351,62,5171,62,94015,62,8275,126,732,126,8128,126,8764,126,65372,124,65293,45,120784,50,120794,50,120804,50,120814,50,120824,50,130034,50,42842,50,423,50,1000,50,42564,50,5311,50,42735,50,119302,51,120785,51,120795,51,120805,51,120815,51,120825,51,130035,51,42923,51,540,51,439,51,42858,51,11468,51,1248,51,94011,51,71882,51,120786,52,120796,52,120806,52,120816,52,120826,52,130036,52,5070,52,71855,52,120787,53,120797,53,120807,53,120817,53,120827,53,130037,53,444,53,71867,53,120788,54,120798,54,120808,54,120818,54,120828,54,130038,54,11474,54,5102,54,71893,54,119314,55,120789,55,120799,55,120809,55,120819,55,120829,55,130039,55,66770,55,71878,55,2819,56,2538,56,2666,56,125131,56,120790,56,120800,56,120810,56,120820,56,120830,56,130040,56,547,56,546,56,66330,56,2663,57,2920,57,2541,57,3437,57,120791,57,120801,57,120811,57,120821,57,120831,57,130041,57,42862,57,11466,57,71884,57,71852,57,71894,57,9082,97,65345,97,119834,97,119886,97,119938,97,119990,97,120042,97,120094,97,120146,97,120198,97,120250,97,120302,97,120354,97,120406,97,120458,97,593,97,945,97,120514,97,120572,97,120630,97,120688,97,120746,97,65313,65,119808,65,119860,65,119912,65,119964,65,120016,65,120068,65,120120,65,120172,65,120224,65,120276,65,120328,65,120380,65,120432,65,913,65,120488,65,120546,65,120604,65,120662,65,120720,65,5034,65,5573,65,42222,65,94016,65,66208,65,119835,98,119887,98,119939,98,119991,98,120043,98,120095,98,120147,98,120199,98,120251,98,120303,98,120355,98,120407,98,120459,98,388,98,5071,98,5234,98,5551,98,65314,66,8492,66,119809,66,119861,66,119913,66,120017,66,120069,66,120121,66,120173,66,120225,66,120277,66,120329,66,120381,66,120433,66,42932,66,914,66,120489,66,120547,66,120605,66,120663,66,120721,66,5108,66,5623,66,42192,66,66178,66,66209,66,66305,66,65347,99,8573,99,119836,99,119888,99,119940,99,119992,99,120044,99,120096,99,120148,99,120200,99,120252,99,120304,99,120356,99,120408,99,120460,99,7428,99,1010,99,11429,99,43951,99,66621,99,128844,67,71922,67,71913,67,65315,67,8557,67,8450,67,8493,67,119810,67,119862,67,119914,67,119966,67,120018,67,120174,67,120226,67,120278,67,120330,67,120382,67,120434,67,1017,67,11428,67,5087,67,42202,67,66210,67,66306,67,66581,67,66844,67,8574,100,8518,100,119837,100,119889,100,119941,100,119993,100,120045,100,120097,100,120149,100,120201,100,120253,100,120305,100,120357,100,120409,100,120461,100,1281,100,5095,100,5231,100,42194,100,8558,68,8517,68,119811,68,119863,68,119915,68,119967,68,120019,68,120071,68,120123,68,120175,68,120227,68,120279,68,120331,68,120383,68,120435,68,5024,68,5598,68,5610,68,42195,68,8494,101,65349,101,8495,101,8519,101,119838,101,119890,101,119942,101,120046,101,120098,101,120150,101,120202,101,120254,101,120306,101,120358,101,120410,101,120462,101,43826,101,1213,101,8959,69,65317,69,8496,69,119812,69,119864,69,119916,69,120020,69,120072,69,120124,69,120176,69,120228,69,120280,69,120332,69,120384,69,120436,69,917,69,120492,69,120550,69,120608,69,120666,69,120724,69,11577,69,5036,69,42224,69,71846,69,71854,69,66182,69,119839,102,119891,102,119943,102,119995,102,120047,102,120099,102,120151,102,120203,102,120255,102,120307,102,120359,102,120411,102,120463,102,43829,102,42905,102,383,102,7837,102,1412,102,119315,70,8497,70,119813,70,119865,70,119917,70,120021,70,120073,70,120125,70,120177,70,120229,70,120281,70,120333,70,120385,70,120437,70,42904,70,988,70,120778,70,5556,70,42205,70,71874,70,71842,70,66183,70,66213,70,66853,70,65351,103,8458,103,119840,103,119892,103,119944,103,120048,103,120100,103,120152,103,120204,103,120256,103,120308,103,120360,103,120412,103,120464,103,609,103,7555,103,397,103,1409,103,119814,71,119866,71,119918,71,119970,71,120022,71,120074,71,120126,71,120178,71,120230,71,120282,71,120334,71,120386,71,120438,71,1292,71,5056,71,5107,71,42198,71,65352,104,8462,104,119841,104,119945,104,119997,104,120049,104,120101,104,120153,104,120205,104,120257,104,120309,104,120361,104,120413,104,120465,104,1211,104,1392,104,5058,104,65320,72,8459,72,8460,72,8461,72,119815,72,119867,72,119919,72,120023,72,120179,72,120231,72,120283,72,120335,72,120387,72,120439,72,919,72,120494,72,120552,72,120610,72,120668,72,120726,72,11406,72,5051,72,5500,72,42215,72,66255,72,731,105,9075,105,65353,105,8560,105,8505,105,8520,105,119842,105,119894,105,119946,105,119998,105,120050,105,120102,105,120154,105,120206,105,120258,105,120310,105,120362,105,120414,105,120466,105,120484,105,618,105,617,105,953,105,8126,105,890,105,120522,105,120580,105,120638,105,120696,105,120754,105,1110,105,42567,105,1231,105,43893,105,5029,105,71875,105,65354,106,8521,106,119843,106,119895,106,119947,106,119999,106,120051,106,120103,106,120155,106,120207,106,120259,106,120311,106,120363,106,120415,106,120467,106,1011,106,1112,106,65322,74,119817,74,119869,74,119921,74,119973,74,120025,74,120077,74,120129,74,120181,74,120233,74,120285,74,120337,74,120389,74,120441,74,42930,74,895,74,1032,74,5035,74,5261,74,42201,74,119844,107,119896,107,119948,107,120000,107,120052,107,120104,107,120156,107,120208,107,120260,107,120312,107,120364,107,120416,107,120468,107,8490,75,65323,75,119818,75,119870,75,119922,75,119974,75,120026,75,120078,75,120130,75,120182,75,120234,75,120286,75,120338,75,120390,75,120442,75,922,75,120497,75,120555,75,120613,75,120671,75,120729,75,11412,75,5094,75,5845,75,42199,75,66840,75,1472,108,8739,73,9213,73,65512,73,1633,108,1777,73,66336,108,125127,108,120783,73,120793,73,120803,73,120813,73,120823,73,130033,73,65321,73,8544,73,8464,73,8465,73,119816,73,119868,73,119920,73,120024,73,120128,73,120180,73,120232,73,120284,73,120336,73,120388,73,120440,73,65356,108,8572,73,8467,108,119845,108,119897,108,119949,108,120001,108,120053,108,120105,73,120157,73,120209,73,120261,73,120313,73,120365,73,120417,73,120469,73,448,73,120496,73,120554,73,120612,73,120670,73,120728,73,11410,73,1030,73,1216,73,1493,108,1503,108,1575,108,126464,108,126592,108,65166,108,65165,108,1994,108,11599,73,5825,73,42226,73,93992,73,66186,124,66313,124,119338,76,8556,76,8466,76,119819,76,119871,76,119923,76,120027,76,120079,76,120131,76,120183,76,120235,76,120287,76,120339,76,120391,76,120443,76,11472,76,5086,76,5290,76,42209,76,93974,76,71843,76,71858,76,66587,76,66854,76,65325,77,8559,77,8499,77,119820,77,119872,77,119924,77,120028,77,120080,77,120132,77,120184,77,120236,77,120288,77,120340,77,120392,77,120444,77,924,77,120499,77,120557,77,120615,77,120673,77,120731,77,1018,77,11416,77,5047,77,5616,77,5846,77,42207,77,66224,77,66321,77,119847,110,119899,110,119951,110,120003,110,120055,110,120107,110,120159,110,120211,110,120263,110,120315,110,120367,110,120419,110,120471,110,1400,110,1404,110,65326,78,8469,78,119821,78,119873,78,119925,78,119977,78,120029,78,120081,78,120185,78,120237,78,120289,78,120341,78,120393,78,120445,78,925,78,120500,78,120558,78,120616,78,120674,78,120732,78,11418,78,42208,78,66835,78,3074,111,3202,111,3330,111,3458,111,2406,111,2662,111,2790,111,3046,111,3174,111,3302,111,3430,111,3664,111,3792,111,4160,111,1637,111,1781,111,65359,111,8500,111,119848,111,119900,111,119952,111,120056,111,120108,111,120160,111,120212,111,120264,111,120316,111,120368,111,120420,111,120472,111,7439,111,7441,111,43837,111,959,111,120528,111,120586,111,120644,111,120702,111,120760,111,963,111,120532,111,120590,111,120648,111,120706,111,120764,111,11423,111,4351,111,1413,111,1505,111,1607,111,126500,111,126564,111,126596,111,65259,111,65260,111,65258,111,65257,111,1726,111,64428,111,64429,111,64427,111,64426,111,1729,111,64424,111,64425,111,64423,111,64422,111,1749,111,3360,111,4125,111,66794,111,71880,111,71895,111,66604,111,1984,79,2534,79,2918,79,12295,79,70864,79,71904,79,120782,79,120792,79,120802,79,120812,79,120822,79,130032,79,65327,79,119822,79,119874,79,119926,79,119978,79,120030,79,120082,79,120134,79,120186,79,120238,79,120290,79,120342,79,120394,79,120446,79,927,79,120502,79,120560,79,120618,79,120676,79,120734,79,11422,79,1365,79,11604,79,4816,79,2848,79,66754,79,42227,79,71861,79,66194,79,66219,79,66564,79,66838,79,9076,112,65360,112,119849,112,119901,112,119953,112,120005,112,120057,112,120109,112,120161,112,120213,112,120265,112,120317,112,120369,112,120421,112,120473,112,961,112,120530,112,120544,112,120588,112,120602,112,120646,112,120660,112,120704,112,120718,112,120762,112,120776,112,11427,112,65328,80,8473,80,119823,80,119875,80,119927,80,119979,80,120031,80,120083,80,120187,80,120239,80,120291,80,120343,80,120395,80,120447,80,929,80,120504,80,120562,80,120620,80,120678,80,120736,80,11426,80,5090,80,5229,80,42193,80,66197,80,119850,113,119902,113,119954,113,120006,113,120058,113,120110,113,120162,113,120214,113,120266,113,120318,113,120370,113,120422,113,120474,113,1307,113,1379,113,1382,113,8474,81,119824,81,119876,81,119928,81,119980,81,120032,81,120084,81,120188,81,120240,81,120292,81,120344,81,120396,81,120448,81,11605,81,119851,114,119903,114,119955,114,120007,114,120059,114,120111,114,120163,114,120215,114,120267,114,120319,114,120371,114,120423,114,120475,114,43847,114,43848,114,7462,114,11397,114,43905,114,119318,82,8475,82,8476,82,8477,82,119825,82,119877,82,119929,82,120033,82,120189,82,120241,82,120293,82,120345,82,120397,82,120449,82,422,82,5025,82,5074,82,66740,82,5511,82,42211,82,94005,82,65363,115,119852,115,119904,115,119956,115,120008,115,120060,115,120112,115,120164,115,120216,115,120268,115,120320,115,120372,115,120424,115,120476,115,42801,115,445,115,1109,115,43946,115,71873,115,66632,115,65331,83,119826,83,119878,83,119930,83,119982,83,120034,83,120086,83,120138,83,120190,83,120242,83,120294,83,120346,83,120398,83,120450,83,1029,83,1359,83,5077,83,5082,83,42210,83,94010,83,66198,83,66592,83,119853,116,119905,116,119957,116,120009,116,120061,116,120113,116,120165,116,120217,116,120269,116,120321,116,120373,116,120425,116,120477,116,8868,84,10201,84,128872,84,65332,84,119827,84,119879,84,119931,84,119983,84,120035,84,120087,84,120139,84,120191,84,120243,84,120295,84,120347,84,120399,84,120451,84,932,84,120507,84,120565,84,120623,84,120681,84,120739,84,11430,84,5026,84,42196,84,93962,84,71868,84,66199,84,66225,84,66325,84,119854,117,119906,117,119958,117,120010,117,120062,117,120114,117,120166,117,120218,117,120270,117,120322,117,120374,117,120426,117,120478,117,42911,117,7452,117,43854,117,43858,117,651,117,965,117,120534,117,120592,117,120650,117,120708,117,120766,117,1405,117,66806,117,71896,117,8746,85,8899,85,119828,85,119880,85,119932,85,119984,85,120036,85,120088,85,120140,85,120192,85,120244,85,120296,85,120348,85,120400,85,120452,85,1357,85,4608,85,66766,85,5196,85,42228,85,94018,85,71864,85,8744,118,8897,118,65366,118,8564,118,119855,118,119907,118,119959,118,120011,118,120063,118,120115,118,120167,118,120219,118,120271,118,120323,118,120375,118,120427,118,120479,118,7456,118,957,118,120526,118,120584,118,120642,118,120700,118,120758,118,1141,118,1496,118,71430,118,43945,118,71872,118,119309,86,1639,86,1783,86,8548,86,119829,86,119881,86,119933,86,119985,86,120037,86,120089,86,120141,86,120193,86,120245,86,120297,86,120349,86,120401,86,120453,86,1140,86,11576,86,5081,86,5167,86,42719,86,42214,86,93960,86,71840,86,66845,86,623,119,119856,119,119908,119,119960,119,120012,119,120064,119,120116,119,120168,119,120220,119,120272,119,120324,119,120376,119,120428,119,120480,119,7457,119,1121,119,1309,119,1377,119,71434,119,71438,119,71439,119,43907,119,71919,87,71910,87,119830,87,119882,87,119934,87,119986,87,120038,87,120090,87,120142,87,120194,87,120246,87,120298,87,120350,87,120402,87,120454,87,1308,87,5043,87,5076,87,42218,87,5742,120,10539,120,10540,120,10799,120,65368,120,8569,120,119857,120,119909,120,119961,120,120013,120,120065,120,120117,120,120169,120,120221,120,120273,120,120325,120,120377,120,120429,120,120481,120,5441,120,5501,120,5741,88,9587,88,66338,88,71916,88,65336,88,8553,88,119831,88,119883,88,119935,88,119987,88,120039,88,120091,88,120143,88,120195,88,120247,88,120299,88,120351,88,120403,88,120455,88,42931,88,935,88,120510,88,120568,88,120626,88,120684,88,120742,88,11436,88,11613,88,5815,88,42219,88,66192,88,66228,88,66327,88,66855,88,611,121,7564,121,65369,121,119858,121,119910,121,119962,121,120014,121,120066,121,120118,121,120170,121,120222,121,120274,121,120326,121,120378,121,120430,121,120482,121,655,121,7935,121,43866,121,947,121,8509,121,120516,121,120574,121,120632,121,120690,121,120748,121,1199,121,4327,121,71900,121,65337,89,119832,89,119884,89,119936,89,119988,89,120040,89,120092,89,120144,89,120196,89,120248,89,120300,89,120352,89,120404,89,120456,89,933,89,978,89,120508,89,120566,89,120624,89,120682,89,120740,89,11432,89,1198,89,5033,89,5053,89,42220,89,94019,89,71844,89,66226,89,119859,122,119911,122,119963,122,120015,122,120067,122,120119,122,120171,122,120223,122,120275,122,120327,122,120379,122,120431,122,120483,122,7458,122,43923,122,71876,122,66293,90,71909,90,65338,90,8484,90,8488,90,119833,90,119885,90,119937,90,119989,90,120041,90,120197,90,120249,90,120301,90,120353,90,120405,90,120457,90,918,90,120493,90,120551,90,120609,90,120667,90,120725,90,5059,90,42204,90,71849,90,65282,34,65284,36,65285,37,65286,38,65290,42,65291,43,65294,46,65295,47,65296,48,65297,49,65298,50,65299,51,65300,52,65301,53,65302,54,65303,55,65304,56,65305,57,65308,60,65309,61,65310,62,65312,64,65316,68,65318,70,65319,71,65324,76,65329,81,65330,82,65333,85,65334,86,65335,87,65343,95,65346,98,65348,100,65350,102,65355,107,65357,109,65358,110,65361,113,65362,114,65364,116,65365,117,65367,119,65370,122,65371,123,65373,125,119846,109],"_default":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"cs":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"de":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"es":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"fr":[65374,126,65306,58,65281,33,8216,96,8245,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"it":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ja":[8211,45,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65292,44,65307,59],"ko":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pl":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pt-BR":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"qps-ploc":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ru":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,305,105,921,73,1009,112,215,120,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"tr":[160,32,8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"zh-hans":[65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65288,40,65289,41],"zh-hant":[8211,45,65374,126,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65307,59]}')),rL.cache=new jli({getCacheKey:JSON.stringify},e=>{function i(x){const w=new Map;for(let I=0;I<x.length;I+=2)w.set(x[I],x[I+1]);return w}function n(x,w){const I=new Map(x);for(const[P,O]of w)I.set(P,O);return I}function s(x,w){if(!x)return w;const I=new Map;for(const[P,O]of x)w.has(P)&&I.set(P,O);return I}const c=rL.ambiguousCharacterData.value;let d=e.filter(x=>!x.startsWith("_")&&x in c);d.length===0&&(d=["_default"]);let f;for(const x of d){const w=i(c[x]);f=s(f,w)}const m=i(c._common),v=n(m,f);return new rL(v)}),rL._locales=new HE(()=>Object.keys(rL.ambiguousCharacterData.value).filter(e=>!e.startsWith("_")));let Fne=rL;const kq=class kq{static getRawData(){return JSON.parse("[9,10,11,12,13,32,127,160,173,847,1564,4447,4448,6068,6069,6155,6156,6157,6158,7355,7356,8192,8193,8194,8195,8196,8197,8198,8199,8200,8201,8202,8203,8204,8205,8206,8207,8234,8235,8236,8237,8238,8239,8287,8288,8289,8290,8291,8292,8293,8294,8295,8296,8297,8298,8299,8300,8301,8302,8303,10240,12288,12644,65024,65025,65026,65027,65028,65029,65030,65031,65032,65033,65034,65035,65036,65037,65038,65039,65279,65440,65520,65521,65522,65523,65524,65525,65526,65527,65528,65532,78844,119155,119156,119157,119158,119159,119160,119161,119162,917504,917505,917506,917507,917508,917509,917510,917511,917512,917513,917514,917515,917516,917517,917518,917519,917520,917521,917522,917523,917524,917525,917526,917527,917528,917529,917530,917531,917532,917533,917534,917535,917536,917537,917538,917539,917540,917541,917542,917543,917544,917545,917546,917547,917548,917549,917550,917551,917552,917553,917554,917555,917556,917557,917558,917559,917560,917561,917562,917563,917564,917565,917566,917567,917568,917569,917570,917571,917572,917573,917574,917575,917576,917577,917578,917579,917580,917581,917582,917583,917584,917585,917586,917587,917588,917589,917590,917591,917592,917593,917594,917595,917596,917597,917598,917599,917600,917601,917602,917603,917604,917605,917606,917607,917608,917609,917610,917611,917612,917613,917614,917615,917616,917617,917618,917619,917620,917621,917622,917623,917624,917625,917626,917627,917628,917629,917630,917631,917760,917761,917762,917763,917764,917765,917766,917767,917768,917769,917770,917771,917772,917773,917774,917775,917776,917777,917778,917779,917780,917781,917782,917783,917784,917785,917786,917787,917788,917789,917790,917791,917792,917793,917794,917795,917796,917797,917798,917799,917800,917801,917802,917803,917804,917805,917806,917807,917808,917809,917810,917811,917812,917813,917814,917815,917816,917817,917818,917819,917820,917821,917822,917823,917824,917825,917826,917827,917828,917829,917830,917831,917832,917833,917834,917835,917836,917837,917838,917839,917840,917841,917842,917843,917844,917845,917846,917847,917848,917849,917850,917851,917852,917853,917854,917855,917856,917857,917858,917859,917860,917861,917862,917863,917864,917865,917866,917867,917868,917869,917870,917871,917872,917873,917874,917875,917876,917877,917878,917879,917880,917881,917882,917883,917884,917885,917886,917887,917888,917889,917890,917891,917892,917893,917894,917895,917896,917897,917898,917899,917900,917901,917902,917903,917904,917905,917906,917907,917908,917909,917910,917911,917912,917913,917914,917915,917916,917917,917918,917919,917920,917921,917922,917923,917924,917925,917926,917927,917928,917929,917930,917931,917932,917933,917934,917935,917936,917937,917938,917939,917940,917941,917942,917943,917944,917945,917946,917947,917948,917949,917950,917951,917952,917953,917954,917955,917956,917957,917958,917959,917960,917961,917962,917963,917964,917965,917966,917967,917968,917969,917970,917971,917972,917973,917974,917975,917976,917977,917978,917979,917980,917981,917982,917983,917984,917985,917986,917987,917988,917989,917990,917991,917992,917993,917994,917995,917996,917997,917998,917999]")}static getData(){return this._data||(this._data=new Set(kq.getRawData())),this._data}static isInvisibleCharacter(e){return kq.getData().has(e)}static get codePoints(){return kq.getData()}};kq._data=void 0;let d9=kq;const $be=class $be{constructor(){this.mapWindowIdToZoomFactor=new Map}getZoomFactor(e){return this.mapWindowIdToZoomFactor.get(this.getWindowId(e))??1}getWindowId(e){return e.vscodeWindowId}};$be.INSTANCE=new $be;let mWe=$be;function o2t(l,e,i){typeof e=="string"&&(e=l.matchMedia(e)),e.addEventListener("change",i)}function oui(l){return mWe.INSTANCE.getZoomFactor(l)}const fJ=navigator.userAgent,bk=fJ.indexOf("Firefox")>=0,f9=fJ.indexOf("AppleWebKit")>=0,hse=fJ.indexOf("Chrome")>=0,o6=!hse&&fJ.indexOf("Safari")>=0,a2t=!hse&&!o6&&f9;fJ.indexOf("Electron/")>=0;const ivt=fJ.indexOf("Android")>=0;let n1e=!1;if(typeof cd.matchMedia=="function"){const l=cd.matchMedia("(display-mode: standalone) or (display-mode: window-controls-overlay)"),e=cd.matchMedia("(display-mode: fullscreen)");n1e=l.matches,o2t(cd,l,({matches:i})=>{n1e&&e.matches||(n1e=i)})}function aui(){return n1e}const s$e={clipboard:{writeText:PE||document.queryCommandSupported&&document.queryCommandSupported("copy")||!!(navigator&&navigator.clipboard&&navigator.clipboard.writeText),readText:PE||!!(navigator&&navigator.clipboard&&navigator.clipboard.readText)},keyboard:PE||aui()?0:navigator.keyboard||o6?1:2,touch:"ontouchstart"in cd||navigator.maxTouchPoints>0,pointerEvents:cd.PointerEvent&&("ontouchstart"in cd||navigator.maxTouchPoints>0)};function gWe(l,e){if(typeof l=="number"){if(l===0)return null;const i=(l&65535)>>>0,n=(l&4294901760)>>>16;return n!==0?new tFe([$ge(i,e),$ge(n,e)]):new tFe([$ge(i,e)])}else{const i=[];for(let n=0;n<l.length;n++)i.push($ge(l[n],e));return new tFe(i)}}function $ge(l,e){const i=!!(l&2048),n=!!(l&256),s=e===2?n:i,c=!!(l&1024),d=!!(l&512),f=e===2?i:n,m=l&255;return new a6(s,c,d,f,m)}class a6{constructor(e,i,n,s,c){this.ctrlKey=e,this.shiftKey=i,this.altKey=n,this.metaKey=s,this.keyCode=c}equals(e){return e instanceof a6&&this.ctrlKey===e.ctrlKey&&this.shiftKey===e.shiftKey&&this.altKey===e.altKey&&this.metaKey===e.metaKey&&this.keyCode===e.keyCode}isModifierKey(){return this.keyCode===0||this.keyCode===5||this.keyCode===57||this.keyCode===6||this.keyCode===4}isDuplicateModifierCase(){return this.ctrlKey&&this.keyCode===5||this.shiftKey&&this.keyCode===4||this.altKey&&this.keyCode===6||this.metaKey&&this.keyCode===57}}class tFe{constructor(e){if(e.length===0)throw gk("chords");this.chords=e}}class cui{constructor(e,i,n,s,c,d){this.ctrlKey=e,this.shiftKey=i,this.altKey=n,this.metaKey=s,this.keyLabel=c,this.keyAriaLabel=d}}class lui{}function uui(l){if(l.charCode){const i=String.fromCharCode(l.charCode).toUpperCase();return P4.fromString(i)}const e=l.keyCode;if(e===3)return 7;if(bk)switch(e){case 59:return 85;case 60:if(Cv)return 97;break;case 61:return 86;case 107:return 109;case 109:return 111;case 173:return 88;case 224:if(Hc)return 57;break}else if(f9){if(Hc&&e===93)return 57;if(!Hc&&e===92)return 57}return Wkt[e]||0}const dui=Hc?256:2048,fui=512,hui=1024,_ui=Hc?2048:256;class Id{constructor(e){var n;this._standardKeyboardEventBrand=!0;const i=e;this.browserEvent=i,this.target=i.target,this.ctrlKey=i.ctrlKey,this.shiftKey=i.shiftKey,this.altKey=i.altKey,this.metaKey=i.metaKey,this.altGraphKey=(n=i.getModifierState)==null?void 0:n.call(i,"AltGraph"),this.keyCode=uui(i),this.code=i.code,this.ctrlKey=this.ctrlKey||this.keyCode===5,this.altKey=this.altKey||this.keyCode===6,this.shiftKey=this.shiftKey||this.keyCode===4,this.metaKey=this.metaKey||this.keyCode===57,this._asKeybinding=this._computeKeybinding(),this._asKeyCodeChord=this._computeKeyCodeChord()}preventDefault(){this.browserEvent&&this.browserEvent.preventDefault&&this.browserEvent.preventDefault()}stopPropagation(){this.browserEvent&&this.browserEvent.stopPropagation&&this.browserEvent.stopPropagation()}toKeyCodeChord(){return this._asKeyCodeChord}equals(e){return this._asKeybinding===e}_computeKeybinding(){let e=0;this.keyCode!==5&&this.keyCode!==4&&this.keyCode!==6&&this.keyCode!==57&&(e=this.keyCode);let i=0;return this.ctrlKey&&(i|=dui),this.altKey&&(i|=fui),this.shiftKey&&(i|=hui),this.metaKey&&(i|=_ui),i|=e,i}_computeKeyCodeChord(){let e=0;return this.keyCode!==5&&this.keyCode!==4&&this.keyCode!==6&&this.keyCode!==57&&(e=this.keyCode),new a6(this.ctrlKey,this.shiftKey,this.altKey,this.metaKey,e)}}const nvt=new WeakMap;function pui(l){if(!l.parent||l.parent===l)return null;try{const e=l.location,i=l.parent.location;if(e.origin!=="null"&&i.origin!=="null"&&e.origin!==i.origin)return null}catch{return null}return l.parent}class mui{static getSameOriginWindowChain(e){let i=nvt.get(e);if(!i){i=[],nvt.set(e,i);let n=e,s;do s=pui(n),s?i.push({window:new WeakRef(n),iframeElement:n.frameElement||null}):i.push({window:new WeakRef(n),iframeElement:null}),n=s;while(n)}return i.slice(0)}static getPositionOfChildWindowRelativeToAncestorWindow(e,i){if(!i||e===i)return{top:0,left:0};let n=0,s=0;const c=this.getSameOriginWindowChain(e);for(const d of c){const f=d.window.deref();if(n+=(f==null?void 0:f.scrollY)??0,s+=(f==null?void 0:f.scrollX)??0,f===i||!d.iframeElement)break;const m=d.iframeElement.getBoundingClientRect();n+=m.top,s+=m.left}return{top:n,left:s}}}class hT{constructor(e,i){this.timestamp=Date.now(),this.browserEvent=i,this.leftButton=i.button===0,this.middleButton=i.button===1,this.rightButton=i.button===2,this.buttons=i.buttons,this.target=i.target,this.detail=i.detail||1,i.type==="dblclick"&&(this.detail=2),this.ctrlKey=i.ctrlKey,this.shiftKey=i.shiftKey,this.altKey=i.altKey,this.metaKey=i.metaKey,typeof i.pageX=="number"?(this.posx=i.pageX,this.posy=i.pageY):(this.posx=i.clientX+this.target.ownerDocument.body.scrollLeft+this.target.ownerDocument.documentElement.scrollLeft,this.posy=i.clientY+this.target.ownerDocument.body.scrollTop+this.target.ownerDocument.documentElement.scrollTop);const n=mui.getPositionOfChildWindowRelativeToAncestorWindow(e,i.view);this.posx-=n.left,this.posy-=n.top}preventDefault(){this.browserEvent.preventDefault()}stopPropagation(){this.browserEvent.stopPropagation()}}class xB{constructor(e,i=0,n=0){var c;this.browserEvent=e||null,this.target=e?e.target||e.targetNode||e.srcElement:null,this.deltaY=n,this.deltaX=i;let s=!1;if(hse){const d=navigator.userAgent.match(/Chrome\/(\d+)/);s=(d?parseInt(d[1]):123)<=122}if(e){const d=e,f=e,m=((c=e.view)==null?void 0:c.devicePixelRatio)||1;if(typeof d.wheelDeltaY<"u")s?this.deltaY=d.wheelDeltaY/(120*m):this.deltaY=d.wheelDeltaY/120;else if(typeof f.VERTICAL_AXIS<"u"&&f.axis===f.VERTICAL_AXIS)this.deltaY=-f.detail/3;else if(e.type==="wheel"){const v=e;v.deltaMode===v.DOM_DELTA_LINE?bk&&!Hc?this.deltaY=-e.deltaY/3:this.deltaY=-e.deltaY:this.deltaY=-e.deltaY/40}if(typeof d.wheelDeltaX<"u")o6&&I0?this.deltaX=-(d.wheelDeltaX/120):s?this.deltaX=d.wheelDeltaX/(120*m):this.deltaX=d.wheelDeltaX/120;else if(typeof f.HORIZONTAL_AXIS<"u"&&f.axis===f.HORIZONTAL_AXIS)this.deltaX=-e.detail/3;else if(e.type==="wheel"){const v=e;v.deltaMode===v.DOM_DELTA_LINE?bk&&!Hc?this.deltaX=-e.deltaX/3:this.deltaX=-e.deltaX:this.deltaX=-e.deltaX/40}this.deltaY===0&&this.deltaX===0&&e.wheelDelta&&(s?this.deltaY=e.wheelDelta/(120*m):this.deltaY=e.wheelDelta/120)}}preventDefault(){var e;(e=this.browserEvent)==null||e.preventDefault()}stopPropagation(){var e;(e=this.browserEvent)==null||e.stopPropagation()}}const c2t=Symbol("MicrotaskDelay");function yWe(l){return!!l&&typeof l.then=="function"}function Om(l){const e=new ih,i=l(e.token),n=new Promise((s,c)=>{const d=e.token.onCancellationRequested(()=>{d.dispose(),c(new vT)});Promise.resolve(i).then(f=>{d.dispose(),e.dispose(),s(f)},f=>{d.dispose(),e.dispose(),c(f)})});return new class{cancel(){e.cancel(),e.dispose()}then(s,c){return n.then(s,c)}catch(s){return this.then(void 0,s)}finally(s){return n.finally(s)}}}function _se(l,e,i){return new Promise((n,s)=>{const c=e.onCancellationRequested(()=>{c.dispose(),n(i)});l.then(n,s).finally(()=>c.dispose())})}class gui{constructor(){this.isDisposed=!1,this.activePromise=null,this.queuedPromise=null,this.queuedPromiseFactory=null}queue(e){if(this.isDisposed)return Promise.reject(new Error("Throttler is disposed"));if(this.activePromise){if(this.queuedPromiseFactory=e,!this.queuedPromise){const i=()=>{if(this.queuedPromise=null,this.isDisposed)return;const n=this.queue(this.queuedPromiseFactory);return this.queuedPromiseFactory=null,n};this.queuedPromise=new Promise(n=>{this.activePromise.then(i,i).then(n)})}return new Promise((i,n)=>{this.queuedPromise.then(i,n)})}return this.activePromise=e(),new Promise((i,n)=>{this.activePromise.then(s=>{this.activePromise=null,i(s)},s=>{this.activePromise=null,n(s)})})}dispose(){this.isDisposed=!0}}const yui=(l,e)=>{let i=!0;const n=setTimeout(()=>{i=!1,e()},l);return{isTriggered:()=>i,dispose:()=>{clearTimeout(n),i=!1}}},vui=l=>{let e=!0;return queueMicrotask(()=>{e&&(e=!1,l())}),{isTriggered:()=>e,dispose:()=>{e=!1}}};class Ck{constructor(e){this.defaultDelay=e,this.deferred=null,this.completionPromise=null,this.doResolve=null,this.doReject=null,this.task=null}trigger(e,i=this.defaultDelay){this.task=e,this.cancelTimeout(),this.completionPromise||(this.completionPromise=new Promise((s,c)=>{this.doResolve=s,this.doReject=c}).then(()=>{if(this.completionPromise=null,this.doResolve=null,this.task){const s=this.task;return this.task=null,s()}}));const n=()=>{var s;this.deferred=null,(s=this.doResolve)==null||s.call(this,null)};return this.deferred=i===c2t?vui(n):yui(i,n),this.completionPromise}isTriggered(){var e;return!!((e=this.deferred)!=null&&e.isTriggered())}cancel(){var e;this.cancelTimeout(),this.completionPromise&&((e=this.doReject)==null||e.call(this,new vT),this.completionPromise=null)}cancelTimeout(){var e;(e=this.deferred)==null||e.dispose(),this.deferred=null}dispose(){this.cancel()}}class l2t{constructor(e){this.delayer=new Ck(e),this.throttler=new gui}trigger(e,i){return this.delayer.trigger(()=>this.throttler.queue(e),i)}cancel(){this.delayer.cancel()}dispose(){this.delayer.dispose(),this.throttler.dispose()}}function c6(l,e){return e?new Promise((i,n)=>{const s=setTimeout(()=>{c.dispose(),i()},l),c=e.onCancellationRequested(()=>{clearTimeout(s),c.dispose(),n(new vT)})}):Om(i=>c6(l,i))}function CR(l,e=0,i){const n=setTimeout(()=>{l(),i&&s.dispose()},e),s=fo(()=>{clearTimeout(n),i==null||i.deleteAndLeak(s)});return i==null||i.add(s),s}function o$e(l,e=n=>!!n,i=null){let n=0;const s=l.length,c=()=>{if(n>=s)return Promise.resolve(i);const d=l[n++];return Promise.resolve(d()).then(m=>e(m)?Promise.resolve(m):c())};return c()}class TT{constructor(e,i){this._isDisposed=!1,this._token=-1,typeof e=="function"&&typeof i=="number"&&this.setIfNotSet(e,i)}dispose(){this.cancel(),this._isDisposed=!0}cancel(){this._token!==-1&&(clearTimeout(this._token),this._token=-1)}cancelAndSet(e,i){if(this._isDisposed)throw new tu("Calling 'cancelAndSet' on a disposed TimeoutTimer");this.cancel(),this._token=setTimeout(()=>{this._token=-1,e()},i)}setIfNotSet(e,i){if(this._isDisposed)throw new tu("Calling 'setIfNotSet' on a disposed TimeoutTimer");this._token===-1&&(this._token=setTimeout(()=>{this._token=-1,e()},i))}}class a$e{constructor(){this.disposable=void 0,this.isDisposed=!1}cancel(){var e;(e=this.disposable)==null||e.dispose(),this.disposable=void 0}cancelAndSet(e,i,n=globalThis){if(this.isDisposed)throw new tu("Calling 'cancelAndSet' on a disposed IntervalTimer");this.cancel();const s=n.setInterval(()=>{e()},i);this.disposable=fo(()=>{n.clearInterval(s),this.disposable=void 0})}dispose(){this.cancel(),this.isDisposed=!0}}class qu{constructor(e,i){this.timeoutToken=-1,this.runner=e,this.timeout=i,this.timeoutHandler=this.onTimeout.bind(this)}dispose(){this.cancel(),this.runner=null}cancel(){this.isScheduled()&&(clearTimeout(this.timeoutToken),this.timeoutToken=-1)}schedule(e=this.timeout){this.cancel(),this.timeoutToken=setTimeout(this.timeoutHandler,e)}get delay(){return this.timeout}set delay(e){this.timeout=e}isScheduled(){return this.timeoutToken!==-1}onTimeout(){this.timeoutToken=-1,this.runner&&this.doRun()}doRun(){var e;(e=this.runner)==null||e.call(this)}}let u2t,xie;(function(){typeof globalThis.requestIdleCallback!="function"||typeof globalThis.cancelIdleCallback!="function"?xie=(l,e)=>{Ikt(()=>{if(i)return;const n=Date.now()+15;e(Object.freeze({didTimeout:!0,timeRemaining(){return Math.max(0,n-Date.now())}}))});let i=!1;return{dispose(){i||(i=!0)}}}:xie=(l,e,i)=>{const n=l.requestIdleCallback(e,typeof i=="number"?{timeout:i}:void 0);let s=!1;return{dispose(){s||(s=!0,l.cancelIdleCallback(n))}}},u2t=l=>xie(globalThis,l)})();class d2t{constructor(e,i){this._didRun=!1,this._executor=()=>{try{this._value=i()}catch(n){this._error=n}finally{this._didRun=!0}},this._handle=xie(e,()=>this._executor())}dispose(){this._handle.dispose()}get value(){if(this._didRun||(this._handle.dispose(),this._executor()),this._error)throw this._error;return this._value}get isInitialized(){return this._didRun}}class bui extends d2t{constructor(e){super(globalThis,e)}}class hJ{get isRejected(){var e;return((e=this.outcome)==null?void 0:e.outcome)===1}get isSettled(){return!!this.outcome}constructor(){this.p=new Promise((e,i)=>{this.completeCallback=e,this.errorCallback=i})}complete(e){return new Promise(i=>{this.completeCallback(e),this.outcome={outcome:0,value:e},i()})}error(e){return new Promise(i=>{this.errorCallback(e),this.outcome={outcome:1,value:e},i()})}cancel(){return this.error(new vT)}}var vWe;(function(l){async function e(n){let s;const c=await Promise.all(n.map(d=>d.then(f=>f,f=>{s||(s=f)})));if(typeof s<"u")throw s;return c}l.settled=e;function i(n){return new Promise(async(s,c)=>{try{await n(s,c)}catch(d){c(d)}})}l.withAsyncBody=i})(vWe||(vWe={}));const MC=class MC{static fromArray(e){return new MC(i=>{i.emitMany(e)})}static fromPromise(e){return new MC(async i=>{i.emitMany(await e)})}static fromPromises(e){return new MC(async i=>{await Promise.all(e.map(async n=>i.emitOne(await n)))})}static merge(e){return new MC(async i=>{await Promise.all(e.map(async n=>{for await(const s of n)i.emitOne(s)}))})}constructor(e,i){this._state=0,this._results=[],this._error=null,this._onReturn=i,this._onStateChanged=new gi,queueMicrotask(async()=>{const n={emitOne:s=>this.emitOne(s),emitMany:s=>this.emitMany(s),reject:s=>this.reject(s)};try{await Promise.resolve(e(n)),this.resolve()}catch(s){this.reject(s)}finally{n.emitOne=void 0,n.emitMany=void 0,n.reject=void 0}})}[Symbol.asyncIterator](){let e=0;return{next:async()=>{do{if(this._state===2)throw this._error;if(e<this._results.length)return{done:!1,value:this._results[e++]};if(this._state===1)return{done:!0,value:void 0};await Tr.toPromise(this._onStateChanged.event)}while(!0)},return:async()=>{var i;return(i=this._onReturn)==null||i.call(this),{done:!0,value:void 0}}}}static map(e,i){return new MC(async n=>{for await(const s of e)n.emitOne(i(s))})}map(e){return MC.map(this,e)}static filter(e,i){return new MC(async n=>{for await(const s of e)i(s)&&n.emitOne(s)})}filter(e){return MC.filter(this,e)}static coalesce(e){return MC.filter(e,i=>!!i)}coalesce(){return MC.coalesce(this)}static async toPromise(e){const i=[];for await(const n of e)i.push(n);return i}toPromise(){return MC.toPromise(this)}emitOne(e){this._state===0&&(this._results.push(e),this._onStateChanged.fire())}emitMany(e){this._state===0&&(this._results=this._results.concat(e),this._onStateChanged.fire())}resolve(){this._state===0&&(this._state=1,this._onStateChanged.fire())}reject(e){this._state===0&&(this._state=2,this._error=e,this._onStateChanged.fire())}};MC.EMPTY=MC.fromArray([]);let bw=MC;class Cui extends bw{constructor(e,i){super(i),this._source=e}cancel(){this._source.cancel()}}function Sui(l){const e=new ih,i=l(e.token);return new Cui(e,async n=>{const s=e.token.onCancellationRequested(()=>{s.dispose(),e.dispose(),n.reject(new vT)});try{for await(const c of i){if(e.token.isCancellationRequested)return;n.emitOne(c)}s.dispose(),e.dispose()}catch(c){s.dispose(),e.dispose(),n.reject(c)}})}/*! @license DOMPurify 3.0.5 | (c) Cure53 and other contributors | Released under the Apache license 2.0 and Mozilla Public License 2.0 | github.com/cure53/DOMPurify/blob/3.0.5/LICENSE */const{entries:f2t,setPrototypeOf:rvt,isFrozen:wui,getPrototypeOf:xui,getOwnPropertyDescriptor:kui}=Object;let{freeze:QC,seal:jE,create:Tui}=Object,{apply:bWe,construct:CWe}=typeof Reflect<"u"&&Reflect;bWe||(bWe=function(e,i,n){return e.apply(i,n)});QC||(QC=function(e){return e});jE||(jE=function(e){return e});CWe||(CWe=function(e,i){return new e(...i)});const Dui=bT(Array.prototype.forEach),svt=bT(Array.prototype.pop),Tte=bT(Array.prototype.push),r1e=bT(String.prototype.toLowerCase),iFe=bT(String.prototype.toString),Eui=bT(String.prototype.match),aE=bT(String.prototype.replace),Iui=bT(String.prototype.indexOf),Nui=bT(String.prototype.trim),ok=bT(RegExp.prototype.test),Dte=Lui(TypeError);function bT(l){return function(e){for(var i=arguments.length,n=new Array(i>1?i-1:0),s=1;s<i;s++)n[s-1]=arguments[s];return bWe(l,e,n)}}function Lui(l){return function(){for(var e=arguments.length,i=new Array(e),n=0;n<e;n++)i[n]=arguments[n];return CWe(l,i)}}function td(l,e,i){var n;i=(n=i)!==null&&n!==void 0?n:r1e,rvt&&rvt(l,null);let s=e.length;for(;s--;){let c=e[s];if(typeof c=="string"){const d=i(c);d!==c&&(wui(e)||(e[s]=d),c=d)}l[c]=!0}return l}function CU(l){const e=Tui(null);for(const[i,n]of f2t(l))e[i]=n;return e}function Jge(l,e){for(;l!==null;){const n=kui(l,e);if(n){if(n.get)return bT(n.get);if(typeof n.value=="function")return bT(n.value)}l=xui(l)}function i(n){return console.warn("fallback value for",n),null}return i}const ovt=QC(["a","abbr","acronym","address","area","article","aside","audio","b","bdi","bdo","big","blink","blockquote","body","br","button","canvas","caption","center","cite","code","col","colgroup","content","data","datalist","dd","decorator","del","details","dfn","dialog","dir","div","dl","dt","element","em","fieldset","figcaption","figure","font","footer","form","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","i","img","input","ins","kbd","label","legend","li","main","map","mark","marquee","menu","menuitem","meter","nav","nobr","ol","optgroup","option","output","p","picture","pre","progress","q","rp","rt","ruby","s","samp","section","select","shadow","small","source","spacer","span","strike","strong","style","sub","summary","sup","table","tbody","td","template","textarea","tfoot","th","thead","time","tr","track","tt","u","ul","var","video","wbr"]),nFe=QC(["svg","a","altglyph","altglyphdef","altglyphitem","animatecolor","animatemotion","animatetransform","circle","clippath","defs","desc","ellipse","filter","font","g","glyph","glyphref","hkern","image","line","lineargradient","marker","mask","metadata","mpath","path","pattern","polygon","polyline","radialgradient","rect","stop","style","switch","symbol","text","textpath","title","tref","tspan","view","vkern"]),rFe=QC(["feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feDistantLight","feDropShadow","feFlood","feFuncA","feFuncB","feFuncG","feFuncR","feGaussianBlur","feImage","feMerge","feMergeNode","feMorphology","feOffset","fePointLight","feSpecularLighting","feSpotLight","feTile","feTurbulence"]),Pui=QC(["animate","color-profile","cursor","discard","font-face","font-face-format","font-face-name","font-face-src","font-face-uri","foreignobject","hatch","hatchpath","mesh","meshgradient","meshpatch","meshrow","missing-glyph","script","set","solidcolor","unknown","use"]),sFe=QC(["math","menclose","merror","mfenced","mfrac","mglyph","mi","mlabeledtr","mmultiscripts","mn","mo","mover","mpadded","mphantom","mroot","mrow","ms","mspace","msqrt","mstyle","msub","msup","msubsup","mtable","mtd","mtext","mtr","munder","munderover","mprescripts"]),Aui=QC(["maction","maligngroup","malignmark","mlongdiv","mscarries","mscarry","msgroup","mstack","msline","msrow","semantics","annotation","annotation-xml","mprescripts","none"]),avt=QC(["#text"]),cvt=QC(["accept","action","align","alt","autocapitalize","autocomplete","autopictureinpicture","autoplay","background","bgcolor","border","capture","cellpadding","cellspacing","checked","cite","class","clear","color","cols","colspan","controls","controlslist","coords","crossorigin","datetime","decoding","default","dir","disabled","disablepictureinpicture","disableremoteplayback","download","draggable","enctype","enterkeyhint","face","for","headers","height","hidden","high","href","hreflang","id","inputmode","integrity","ismap","kind","label","lang","list","loading","loop","low","max","maxlength","media","method","min","minlength","multiple","muted","name","nonce","noshade","novalidate","nowrap","open","optimum","pattern","placeholder","playsinline","poster","preload","pubdate","radiogroup","readonly","rel","required","rev","reversed","role","rows","rowspan","spellcheck","scope","selected","shape","size","sizes","span","srclang","start","src","srcset","step","style","summary","tabindex","title","translate","type","usemap","valign","value","width","xmlns","slot"]),oFe=QC(["accent-height","accumulate","additive","alignment-baseline","ascent","attributename","attributetype","azimuth","basefrequency","baseline-shift","begin","bias","by","class","clip","clippathunits","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","cx","cy","d","dx","dy","diffuseconstant","direction","display","divisor","dur","edgemode","elevation","end","fill","fill-opacity","fill-rule","filter","filterunits","flood-color","flood-opacity","font-family","font-size","font-size-adjust","font-stretch","font-style","font-variant","font-weight","fx","fy","g1","g2","glyph-name","glyphref","gradientunits","gradienttransform","height","href","id","image-rendering","in","in2","k","k1","k2","k3","k4","kerning","keypoints","keysplines","keytimes","lang","lengthadjust","letter-spacing","kernelmatrix","kernelunitlength","lighting-color","local","marker-end","marker-mid","marker-start","markerheight","markerunits","markerwidth","maskcontentunits","maskunits","max","mask","media","method","mode","min","name","numoctaves","offset","operator","opacity","order","orient","orientation","origin","overflow","paint-order","path","pathlength","patterncontentunits","patterntransform","patternunits","points","preservealpha","preserveaspectratio","primitiveunits","r","rx","ry","radius","refx","refy","repeatcount","repeatdur","restart","result","rotate","scale","seed","shape-rendering","specularconstant","specularexponent","spreadmethod","startoffset","stddeviation","stitchtiles","stop-color","stop-opacity","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke","stroke-width","style","surfacescale","systemlanguage","tabindex","targetx","targety","transform","transform-origin","text-anchor","text-decoration","text-rendering","textlength","type","u1","u2","unicode","values","viewbox","visibility","version","vert-adv-y","vert-origin-x","vert-origin-y","width","word-spacing","wrap","writing-mode","xchannelselector","ychannelselector","x","x1","x2","xmlns","y","y1","y2","z","zoomandpan"]),lvt=QC(["accent","accentunder","align","bevelled","close","columnsalign","columnlines","columnspan","denomalign","depth","dir","display","displaystyle","encoding","fence","frame","height","href","id","largeop","length","linethickness","lspace","lquote","mathbackground","mathcolor","mathsize","mathvariant","maxsize","minsize","movablelimits","notation","numalign","open","rowalign","rowlines","rowspacing","rowspan","rspace","rquote","scriptlevel","scriptminsize","scriptsizemultiplier","selection","separator","separators","stretchy","subscriptshift","supscriptshift","symmetric","voffset","width","xmlns"]),Gge=QC(["xlink:href","xml:id","xlink:title","xml:space","xmlns:xlink"]),Oui=jE(/\{\{[\w\W]*|[\w\W]*\}\}/gm),Mui=jE(/<%[\w\W]*|[\w\W]*%>/gm),Rui=jE(/\${[\w\W]*}/gm),Fui=jE(/^data-[\-\w.\u00B7-\uFFFF]/),Bui=jE(/^aria-[\-\w]+$/),h2t=jE(/^(?:(?:(?:f|ht)tps?|mailto|tel|callto|sms|cid|xmpp):|[^a-z]|[a-z+.\-]+(?:[^a-z+.\-:]|$))/i),Wui=jE(/^(?:\w+script|data):/i),Vui=jE(/[\u0000-\u0020\u00A0\u1680\u180E\u2000-\u2029\u205F\u3000]/g),_2t=jE(/^html$/i);var uvt=Object.freeze({__proto__:null,MUSTACHE_EXPR:Oui,ERB_EXPR:Mui,TMPLIT_EXPR:Rui,DATA_ATTR:Fui,ARIA_ATTR:Bui,IS_ALLOWED_URI:h2t,IS_SCRIPT_OR_DATA:Wui,ATTR_WHITESPACE:Vui,DOCTYPE_NAME:_2t});const Hui=()=>typeof window>"u"?null:window,jui=function(e,i){if(typeof e!="object"||typeof e.createPolicy!="function")return null;let n=null;const s="data-tt-policy-suffix";i&&i.hasAttribute(s)&&(n=i.getAttribute(s));const c="dompurify"+(n?"#"+n:"");try{return e.createPolicy(c,{createHTML(d){return d},createScriptURL(d){return d}})}catch{return console.warn("TrustedTypes policy "+c+" could not be created."),null}};function p2t(){let l=arguments.length>0&&arguments[0]!==void 0?arguments[0]:Hui();const e=Sa=>p2t(Sa);if(e.version="3.0.5",e.removed=[],!l||!l.document||l.document.nodeType!==9)return e.isSupported=!1,e;const i=l.document,n=i.currentScript;let{document:s}=l;const{DocumentFragment:c,HTMLTemplateElement:d,Node:f,Element:m,NodeFilter:v,NamedNodeMap:x=l.NamedNodeMap||l.MozNamedAttrMap,HTMLFormElement:w,DOMParser:I,trustedTypes:P}=l,O=m.prototype,z=Jge(O,"cloneNode"),J=Jge(O,"nextSibling"),Y=Jge(O,"childNodes"),ae=Jge(O,"parentNode");if(typeof d=="function"){const Sa=s.createElement("template");Sa.content&&Sa.content.ownerDocument&&(s=Sa.content.ownerDocument)}let me,ye="";const{implementation:Ce,createNodeIterator:Fe,createDocumentFragment:rt,getElementsByTagName:ct}=s,{importNode:Mt}=i;let Yt={};e.isSupported=typeof f2t=="function"&&typeof ae=="function"&&Ce&&Ce.createHTMLDocument!==void 0;const{MUSTACHE_EXPR:Bi,ERB_EXPR:$i,TMPLIT_EXPR:$t,DATA_ATTR:Hi,ARIA_ATTR:An,IS_SCRIPT_OR_DATA:qt,ATTR_WHITESPACE:ms}=uvt;let{IS_ALLOWED_URI:Wr}=uvt,Dr=null;const Rr=td({},[...ovt,...nFe,...rFe,...sFe,...avt]);let na=null;const $d=td({},[...cvt,...oFe,...lvt,...Gge]);let Ca=Object.seal(Object.create(null,{tagNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},attributeNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},allowCustomizedBuiltInElements:{writable:!0,configurable:!1,enumerable:!0,value:!1}})),jl=null,es=null,ld=!0,mp=!0,of=!1,Bb=!0,nm=!1,Nv=!1,Ft=!1,Ey=!1,Js=!1,k6=!1,Nk=!1,_W=!0,pW=!1;const Wb="user-content-";let eI=!0,Lk=!1,rm={},Iy=null;const i_=td({},["annotation-xml","audio","colgroup","desc","foreignobject","head","iframe","math","mi","mn","mo","ms","mtext","noembed","noframes","noscript","plaintext","script","style","svg","template","thead","title","video","xmp"]);let Rw=null;const T6=td({},["audio","video","img","source","image","track"]);let fn=null;const rS=td({},["alt","class","for","id","label","name","pattern","placeholder","role","summary","title","value","style","xmlns"]),Fw="http://www.w3.org/1998/Math/MathML",Sr="http://www.w3.org/2000/svg",mu="http://www.w3.org/1999/xhtml";let n_=mu,tI=!1,Mu=null;const Bw=td({},[Fw,Sr,mu],iFe);let Vb;const sm=["application/xhtml+xml","text/html"],Ra="text/html";let k_,ya=null;const D6=s.createElement("form"),rl=function(Cn){return Cn instanceof RegExp||Cn instanceof Function},va=function(Cn){if(!(ya&&ya===Cn)){if((!Cn||typeof Cn!="object")&&(Cn={}),Cn=CU(Cn),Vb=sm.indexOf(Cn.PARSER_MEDIA_TYPE)===-1?Vb=Ra:Vb=Cn.PARSER_MEDIA_TYPE,k_=Vb==="application/xhtml+xml"?iFe:r1e,Dr="ALLOWED_TAGS"in Cn?td({},Cn.ALLOWED_TAGS,k_):Rr,na="ALLOWED_ATTR"in Cn?td({},Cn.ALLOWED_ATTR,k_):$d,Mu="ALLOWED_NAMESPACES"in Cn?td({},Cn.ALLOWED_NAMESPACES,iFe):Bw,fn="ADD_URI_SAFE_ATTR"in Cn?td(CU(rS),Cn.ADD_URI_SAFE_ATTR,k_):rS,Rw="ADD_DATA_URI_TAGS"in Cn?td(CU(T6),Cn.ADD_DATA_URI_TAGS,k_):T6,Iy="FORBID_CONTENTS"in Cn?td({},Cn.FORBID_CONTENTS,k_):i_,jl="FORBID_TAGS"in Cn?td({},Cn.FORBID_TAGS,k_):{},es="FORBID_ATTR"in Cn?td({},Cn.FORBID_ATTR,k_):{},rm="USE_PROFILES"in Cn?Cn.USE_PROFILES:!1,ld=Cn.ALLOW_ARIA_ATTR!==!1,mp=Cn.ALLOW_DATA_ATTR!==!1,of=Cn.ALLOW_UNKNOWN_PROTOCOLS||!1,Bb=Cn.ALLOW_SELF_CLOSE_IN_ATTR!==!1,nm=Cn.SAFE_FOR_TEMPLATES||!1,Nv=Cn.WHOLE_DOCUMENT||!1,Js=Cn.RETURN_DOM||!1,k6=Cn.RETURN_DOM_FRAGMENT||!1,Nk=Cn.RETURN_TRUSTED_TYPE||!1,Ey=Cn.FORCE_BODY||!1,_W=Cn.SANITIZE_DOM!==!1,pW=Cn.SANITIZE_NAMED_PROPS||!1,eI=Cn.KEEP_CONTENT!==!1,Lk=Cn.IN_PLACE||!1,Wr=Cn.ALLOWED_URI_REGEXP||h2t,n_=Cn.NAMESPACE||mu,Ca=Cn.CUSTOM_ELEMENT_HANDLING||{},Cn.CUSTOM_ELEMENT_HANDLING&&rl(Cn.CUSTOM_ELEMENT_HANDLING.tagNameCheck)&&(Ca.tagNameCheck=Cn.CUSTOM_ELEMENT_HANDLING.tagNameCheck),Cn.CUSTOM_ELEMENT_HANDLING&&rl(Cn.CUSTOM_ELEMENT_HANDLING.attributeNameCheck)&&(Ca.attributeNameCheck=Cn.CUSTOM_ELEMENT_HANDLING.attributeNameCheck),Cn.CUSTOM_ELEMENT_HANDLING&&typeof Cn.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements=="boolean"&&(Ca.allowCustomizedBuiltInElements=Cn.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements),nm&&(mp=!1),k6&&(Js=!0),rm&&(Dr=td({},[...avt]),na=[],rm.html===!0&&(td(Dr,ovt),td(na,cvt)),rm.svg===!0&&(td(Dr,nFe),td(na,oFe),td(na,Gge)),rm.svgFilters===!0&&(td(Dr,rFe),td(na,oFe),td(na,Gge)),rm.mathMl===!0&&(td(Dr,sFe),td(na,lvt),td(na,Gge))),Cn.ADD_TAGS&&(Dr===Rr&&(Dr=CU(Dr)),td(Dr,Cn.ADD_TAGS,k_)),Cn.ADD_ATTR&&(na===$d&&(na=CU(na)),td(na,Cn.ADD_ATTR,k_)),Cn.ADD_URI_SAFE_ATTR&&td(fn,Cn.ADD_URI_SAFE_ATTR,k_),Cn.FORBID_CONTENTS&&(Iy===i_&&(Iy=CU(Iy)),td(Iy,Cn.FORBID_CONTENTS,k_)),eI&&(Dr["#text"]=!0),Nv&&td(Dr,["html","head","body"]),Dr.table&&(td(Dr,["tbody"]),delete jl.tbody),Cn.TRUSTED_TYPES_POLICY){if(typeof Cn.TRUSTED_TYPES_POLICY.createHTML!="function")throw Dte('TRUSTED_TYPES_POLICY configuration option must provide a "createHTML" hook.');if(typeof Cn.TRUSTED_TYPES_POLICY.createScriptURL!="function")throw Dte('TRUSTED_TYPES_POLICY configuration option must provide a "createScriptURL" hook.');me=Cn.TRUSTED_TYPES_POLICY,ye=me.createHTML("")}else me===void 0&&(me=jui(P,n)),me!==null&&typeof ye=="string"&&(ye=me.createHTML(""));QC&&QC(Cn),ya=Cn}},Bm=td({},["mi","mo","mn","ms","mtext"]),$L=td({},["foreignobject","desc","title","annotation-xml"]),Sg=td({},["title","style","font","a","script"]),Fc=td({},nFe);td(Fc,rFe),td(Fc,Pui);const Jt=td({},sFe);td(Jt,Aui);const ti=function(Cn){let qs=ae(Cn);(!qs||!qs.tagName)&&(qs={namespaceURI:n_,tagName:"template"});const ra=r1e(Cn.tagName),Jd=r1e(qs.tagName);return Mu[Cn.namespaceURI]?Cn.namespaceURI===Sr?qs.namespaceURI===mu?ra==="svg":qs.namespaceURI===Fw?ra==="svg"&&(Jd==="annotation-xml"||Bm[Jd]):!!Fc[ra]:Cn.namespaceURI===Fw?qs.namespaceURI===mu?ra==="math":qs.namespaceURI===Sr?ra==="math"&&$L[Jd]:!!Jt[ra]:Cn.namespaceURI===mu?qs.namespaceURI===Sr&&!$L[Jd]||qs.namespaceURI===Fw&&!Bm[Jd]?!1:!Jt[ra]&&(Sg[ra]||!Fc[ra]):!!(Vb==="application/xhtml+xml"&&Mu[Cn.namespaceURI]):!1},oi=function(Cn){Tte(e.removed,{element:Cn});try{Cn.parentNode.removeChild(Cn)}catch{Cn.remove()}},Vi=function(Cn,qs){try{Tte(e.removed,{attribute:qs.getAttributeNode(Cn),from:qs})}catch{Tte(e.removed,{attribute:null,from:qs})}if(qs.removeAttribute(Cn),Cn==="is"&&!na[Cn])if(Js||k6)try{oi(qs)}catch{}else try{qs.setAttribute(Cn,"")}catch{}},Li=function(Cn){let qs,ra;if(Ey)Cn="<remove></remove>"+Cn;else{const wg=Eui(Cn,/^[\r\n\t ]+/);ra=wg&&wg[0]}Vb==="application/xhtml+xml"&&n_===mu&&(Cn='<html xmlns="http://www.w3.org/1999/xhtml"><head></head><body>'+Cn+"</body></html>");const Jd=me?me.createHTML(Cn):Cn;if(n_===mu)try{qs=new I().parseFromString(Jd,Vb)}catch{}if(!qs||!qs.documentElement){qs=Ce.createDocument(n_,"template",null);try{qs.documentElement.innerHTML=tI?ye:Jd}catch{}}const T_=qs.body||qs.documentElement;return Cn&&ra&&T_.insertBefore(s.createTextNode(ra),T_.childNodes[0]||null),n_===mu?ct.call(qs,Nv?"html":"body")[0]:Nv?qs.documentElement:T_},hr=function(Cn){return Fe.call(Cn.ownerDocument||Cn,Cn,v.SHOW_ELEMENT|v.SHOW_COMMENT|v.SHOW_TEXT,null,!1)},wr=function(Cn){return Cn instanceof w&&(typeof Cn.nodeName!="string"||typeof Cn.textContent!="string"||typeof Cn.removeChild!="function"||!(Cn.attributes instanceof x)||typeof Cn.removeAttribute!="function"||typeof Cn.setAttribute!="function"||typeof Cn.namespaceURI!="string"||typeof Cn.insertBefore!="function"||typeof Cn.hasChildNodes!="function")},vo=function(Cn){return typeof f=="object"?Cn instanceof f:Cn&&typeof Cn=="object"&&typeof Cn.nodeType=="number"&&typeof Cn.nodeName=="string"},So=function(Cn,qs,ra){Yt[Cn]&&Dui(Yt[Cn],Jd=>{Jd.call(e,qs,ra,ya)})},zc=function(Cn){let qs;if(So("beforeSanitizeElements",Cn,null),wr(Cn))return oi(Cn),!0;const ra=k_(Cn.nodeName);if(So("uponSanitizeElement",Cn,{tagName:ra,allowedTags:Dr}),Cn.hasChildNodes()&&!vo(Cn.firstElementChild)&&(!vo(Cn.content)||!vo(Cn.content.firstElementChild))&&ok(/<[/\w]/g,Cn.innerHTML)&&ok(/<[/\w]/g,Cn.textContent))return oi(Cn),!0;if(!Dr[ra]||jl[ra]){if(!jl[ra]&&$u(ra)&&(Ca.tagNameCheck instanceof RegExp&&ok(Ca.tagNameCheck,ra)||Ca.tagNameCheck instanceof Function&&Ca.tagNameCheck(ra)))return!1;if(eI&&!Iy[ra]){const Jd=ae(Cn)||Cn.parentNode,T_=Y(Cn)||Cn.childNodes;if(T_&&Jd){const wg=T_.length;for(let to=wg-1;to>=0;--to)Jd.insertBefore(z(T_[to],!0),J(Cn))}}return oi(Cn),!0}return Cn instanceof m&&!ti(Cn)||(ra==="noscript"||ra==="noembed"||ra==="noframes")&&ok(/<\/no(script|embed|frames)/i,Cn.innerHTML)?(oi(Cn),!0):(nm&&Cn.nodeType===3&&(qs=Cn.textContent,qs=aE(qs,Bi," "),qs=aE(qs,$i," "),qs=aE(qs,$t," "),Cn.textContent!==qs&&(Tte(e.removed,{element:Cn.cloneNode()}),Cn.textContent=qs)),So("afterSanitizeElements",Cn,null),!1)},as=function(Cn,qs,ra){if(_W&&(qs==="id"||qs==="name")&&(ra in s||ra in D6))return!1;if(!(mp&&!es[qs]&&ok(Hi,qs))){if(!(ld&&ok(An,qs))){if(!na[qs]||es[qs]){if(!($u(Cn)&&(Ca.tagNameCheck instanceof RegExp&&ok(Ca.tagNameCheck,Cn)||Ca.tagNameCheck instanceof Function&&Ca.tagNameCheck(Cn))&&(Ca.attributeNameCheck instanceof RegExp&&ok(Ca.attributeNameCheck,qs)||Ca.attributeNameCheck instanceof Function&&Ca.attributeNameCheck(qs))||qs==="is"&&Ca.allowCustomizedBuiltInElements&&(Ca.tagNameCheck instanceof RegExp&&ok(Ca.tagNameCheck,ra)||Ca.tagNameCheck instanceof Function&&Ca.tagNameCheck(ra))))return!1}else if(!fn[qs]){if(!ok(Wr,aE(ra,ms,""))){if(!((qs==="src"||qs==="xlink:href"||qs==="href")&&Cn!=="script"&&Iui(ra,"data:")===0&&Rw[Cn])){if(!(of&&!ok(qt,aE(ra,ms,"")))){if(ra)return!1}}}}}}return!0},$u=function(Cn){return Cn.indexOf("-")>0},Af=function(Cn){let qs,ra,Jd,T_;So("beforeSanitizeAttributes",Cn,null);const{attributes:wg}=Cn;if(!wg)return;const to={attrName:"",attrValue:"",keepAttr:!0,allowedAttributes:na};for(T_=wg.length;T_--;){qs=wg[T_];const{name:Of,namespaceURI:WJ}=qs;if(ra=Of==="value"?qs.value:Nui(qs.value),Jd=k_(Of),to.attrName=Jd,to.attrValue=ra,to.keepAttr=!0,to.forceKeepAttr=void 0,So("uponSanitizeAttribute",Cn,to),ra=to.attrValue,to.forceKeepAttr||(Vi(Of,Cn),!to.keepAttr))continue;if(!Bb&&ok(/\/>/i,ra)){Vi(Of,Cn);continue}nm&&(ra=aE(ra,Bi," "),ra=aE(ra,$i," "),ra=aE(ra,$t," "));const Kse=k_(Cn.nodeName);if(as(Kse,Jd,ra)){if(pW&&(Jd==="id"||Jd==="name")&&(Vi(Of,Cn),ra=Wb+ra),me&&typeof P=="object"&&typeof P.getAttributeType=="function"&&!WJ)switch(P.getAttributeType(Kse,Jd)){case"TrustedHTML":{ra=me.createHTML(ra);break}case"TrustedScriptURL":{ra=me.createScriptURL(ra);break}}try{WJ?Cn.setAttributeNS(WJ,Of,ra):Cn.setAttribute(Of,ra),svt(e.removed)}catch{}}}So("afterSanitizeAttributes",Cn,null)},Ld=function Sa(Cn){let qs;const ra=hr(Cn);for(So("beforeSanitizeShadowDOM",Cn,null);qs=ra.nextNode();)So("uponSanitizeShadowNode",qs,null),!zc(qs)&&(qs.content instanceof c&&Sa(qs.content),Af(qs));So("afterSanitizeShadowDOM",Cn,null)};return e.sanitize=function(Sa){let Cn=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{},qs,ra,Jd,T_;if(tI=!Sa,tI&&(Sa="<!-->"),typeof Sa!="string"&&!vo(Sa))if(typeof Sa.toString=="function"){if(Sa=Sa.toString(),typeof Sa!="string")throw Dte("dirty is not a string, aborting")}else throw Dte("toString is not a function");if(!e.isSupported)return Sa;if(Ft||va(Cn),e.removed=[],typeof Sa=="string"&&(Lk=!1),Lk){if(Sa.nodeName){const Of=k_(Sa.nodeName);if(!Dr[Of]||jl[Of])throw Dte("root node is forbidden and cannot be sanitized in-place")}}else if(Sa instanceof f)qs=Li("<!---->"),ra=qs.ownerDocument.importNode(Sa,!0),ra.nodeType===1&&ra.nodeName==="BODY"||ra.nodeName==="HTML"?qs=ra:qs.appendChild(ra);else{if(!Js&&!nm&&!Nv&&Sa.indexOf("<")===-1)return me&&Nk?me.createHTML(Sa):Sa;if(qs=Li(Sa),!qs)return Js?null:Nk?ye:""}qs&&Ey&&oi(qs.firstChild);const wg=hr(Lk?Sa:qs);for(;Jd=wg.nextNode();)zc(Jd)||(Jd.content instanceof c&&Ld(Jd.content),Af(Jd));if(Lk)return Sa;if(Js){if(k6)for(T_=rt.call(qs.ownerDocument);qs.firstChild;)T_.appendChild(qs.firstChild);else T_=qs;return(na.shadowroot||na.shadowrootmode)&&(T_=Mt.call(i,T_,!0)),T_}let to=Nv?qs.outerHTML:qs.innerHTML;return Nv&&Dr["!doctype"]&&qs.ownerDocument&&qs.ownerDocument.doctype&&qs.ownerDocument.doctype.name&&ok(_2t,qs.ownerDocument.doctype.name)&&(to="<!DOCTYPE "+qs.ownerDocument.doctype.name+`>
+`+to),nm&&(to=aE(to,Bi," "),to=aE(to,$i," "),to=aE(to,$t," ")),me&&Nk?me.createHTML(to):to},e.setConfig=function(Sa){va(Sa),Ft=!0},e.clearConfig=function(){ya=null,Ft=!1},e.isValidAttribute=function(Sa,Cn,qs){ya||va({});const ra=k_(Sa),Jd=k_(Cn);return as(ra,Jd,qs)},e.addHook=function(Sa,Cn){typeof Cn=="function"&&(Yt[Sa]=Yt[Sa]||[],Tte(Yt[Sa],Cn))},e.removeHook=function(Sa){if(Yt[Sa])return svt(Yt[Sa])},e.removeHooks=function(Sa){Yt[Sa]&&(Yt[Sa]=[])},e.removeAllHooks=function(){Yt={}},e}var WL=p2t();WL.version;WL.isSupported;const m2t=WL.sanitize;WL.setConfig;WL.clearConfig;WL.isValidAttribute;const g2t=WL.addHook,y2t=WL.removeHook;WL.removeHooks;WL.removeAllHooks;var Ma;(function(l){l.inMemory="inmemory",l.vscode="vscode",l.internal="private",l.walkThrough="walkThrough",l.walkThroughSnippet="walkThroughSnippet",l.http="http",l.https="https",l.file="file",l.mailto="mailto",l.untitled="untitled",l.data="data",l.command="command",l.vscodeRemote="vscode-remote",l.vscodeRemoteResource="vscode-remote-resource",l.vscodeManagedRemoteResource="vscode-managed-remote-resource",l.vscodeUserData="vscode-userdata",l.vscodeCustomEditor="vscode-custom-editor",l.vscodeNotebookCell="vscode-notebook-cell",l.vscodeNotebookCellMetadata="vscode-notebook-cell-metadata",l.vscodeNotebookCellMetadataDiff="vscode-notebook-cell-metadata-diff",l.vscodeNotebookCellOutput="vscode-notebook-cell-output",l.vscodeNotebookCellOutputDiff="vscode-notebook-cell-output-diff",l.vscodeNotebookMetadata="vscode-notebook-metadata",l.vscodeInteractiveInput="vscode-interactive-input",l.vscodeSettings="vscode-settings",l.vscodeWorkspaceTrust="vscode-workspace-trust",l.vscodeTerminal="vscode-terminal",l.vscodeChatCodeBlock="vscode-chat-code-block",l.vscodeChatCodeCompareBlock="vscode-chat-code-compare-block",l.vscodeChatSesssion="vscode-chat-editor",l.webviewPanel="webview-panel",l.vscodeWebview="vscode-webview",l.extension="extension",l.vscodeFileResource="vscode-file",l.tmp="tmp",l.vsls="vsls",l.vscodeSourceControl="vscode-scm",l.commentsInput="comment",l.codeSetting="code-setting",l.outputChannel="output"})(Ma||(Ma={}));function NSe(l,e){return yo.isUri(l)?eq(l.scheme,e):Yqe(l,e+":")}function SWe(l,...e){return e.some(i=>NSe(l,i))}const zui="tkn";class Uui{constructor(){this._hosts=Object.create(null),this._ports=Object.create(null),this._connectionTokens=Object.create(null),this._preferredWebSchema="http",this._delegate=null,this._serverRootPath="/"}setPreferredWebSchema(e){this._preferredWebSchema=e}get _remoteResourcesPath(){return q_.join(this._serverRootPath,Ma.vscodeRemoteResource)}rewrite(e){if(this._delegate)try{return this._delegate(e)}catch(f){return Pa(f),e}const i=e.authority;let n=this._hosts[i];n&&n.indexOf(":")!==-1&&n.indexOf("[")===-1&&(n=`[${n}]`);const s=this._ports[i],c=this._connectionTokens[i];let d=`path=${encodeURIComponent(e.path)}`;return typeof c=="string"&&(d+=`&${zui}=${encodeURIComponent(c)}`),yo.from({scheme:XB?this._preferredWebSchema:Ma.vscodeRemoteResource,authority:`${n}:${s}`,path:this._remoteResourcesPath,query:d})}}const v2t=new Uui,qui="vscode-app",cne=class cne{asBrowserUri(e){const i=this.toUri(e);return this.uriToBrowserUri(i)}uriToBrowserUri(e){return e.scheme===Ma.vscodeRemote?v2t.rewrite(e):e.scheme===Ma.file&&(PE||Qai===`${Ma.vscodeFileResource}://${cne.FALLBACK_AUTHORITY}`)?e.with({scheme:Ma.vscodeFileResource,authority:e.authority||cne.FALLBACK_AUTHORITY,query:null,fragment:null}):e}toUri(e,i){if(yo.isUri(e))return e;if(globalThis._VSCODE_FILE_ROOT){const n=globalThis._VSCODE_FILE_ROOT;if(/^\w[\w\d+.-]*:\/\//.test(n))return yo.joinPath(yo.parse(n,!0),e);const s=Cli(n,e);return yo.file(s)}return yo.parse(i.toUrl(e))}};cne.FALLBACK_AUTHORITY=qui;let wWe=cne;const LSe=new wWe;var xWe;(function(l){const e=new Map([["1",{"Cross-Origin-Opener-Policy":"same-origin"}],["2",{"Cross-Origin-Embedder-Policy":"require-corp"}],["3",{"Cross-Origin-Opener-Policy":"same-origin","Cross-Origin-Embedder-Policy":"require-corp"}]]);l.CoopAndCoep=Object.freeze(e.get("3"));const i="vscode-coi";function n(c){let d;typeof c=="string"?d=new URL(c).searchParams:c instanceof URL?d=c.searchParams:yo.isUri(c)&&(d=new URL(c.toString(!0)).searchParams);const f=d==null?void 0:d.get(i);if(f)return e.get(f)}l.getHeadersFromQuery=n;function s(c,d,f){if(!globalThis.crossOriginIsolated)return;const m=d&&f?"3":f?"2":"1";c instanceof URLSearchParams?c.set(i,m):c[i]=m}l.addSearchParam=s})(xWe||(xWe={}));function PSe(l){return ASe(l,0)}function ASe(l,e){switch(typeof l){case"object":return l===null?F4(349,e):Array.isArray(l)?Jui(l,e):Gui(l,e);case"string":return c$e(l,e);case"boolean":return $ui(l,e);case"number":return F4(l,e);case"undefined":return F4(937,e);default:return F4(617,e)}}function F4(l,e){return(e<<5)-e+l|0}function $ui(l,e){return F4(l?433:863,e)}function c$e(l,e){e=F4(149417,e);for(let i=0,n=l.length;i<n;i++)e=F4(l.charCodeAt(i),e);return e}function Jui(l,e){return e=F4(104579,e),l.reduce((i,n)=>ASe(n,i),e)}function Gui(l,e){return e=F4(181387,e),Object.keys(l).sort().reduce((i,n)=>(i=c$e(n,i),ASe(l[n],i)),e)}function aFe(l,e,i=32){const n=i-e,s=~((1<<n)-1);return(l<<e|(s&l)>>>n)>>>0}function dvt(l,e=0,i=l.byteLength,n=0){for(let s=0;s<i;s++)l[e+s]=n}function Kui(l,e,i="0"){for(;l.length<e;)l=i+l;return l}function Ete(l,e=32){return l instanceof ArrayBuffer?Array.from(new Uint8Array(l)).map(i=>i.toString(16).padStart(2,"0")).join(""):Kui((l>>>0).toString(16),e/4)}const Jbe=class Jbe{constructor(){this._h0=1732584193,this._h1=4023233417,this._h2=2562383102,this._h3=271733878,this._h4=3285377520,this._buff=new Uint8Array(67),this._buffDV=new DataView(this._buff.buffer),this._buffLen=0,this._totalLen=0,this._leftoverHighSurrogate=0,this._finished=!1}update(e){const i=e.length;if(i===0)return;const n=this._buff;let s=this._buffLen,c=this._leftoverHighSurrogate,d,f;for(c!==0?(d=c,f=-1,c=0):(d=e.charCodeAt(0),f=0);;){let m=d;if(Pm(d))if(f+1<i){const v=e.charCodeAt(f+1);wB(v)?(f++,m=e$e(d,v)):m=65533}else{c=d;break}else wB(d)&&(m=65533);if(s=this._push(n,s,m),f++,f<i)d=e.charCodeAt(f);else break}this._buffLen=s,this._leftoverHighSurrogate=c}_push(e,i,n){return n<128?e[i++]=n:n<2048?(e[i++]=192|(n&1984)>>>6,e[i++]=128|(n&63)>>>0):n<65536?(e[i++]=224|(n&61440)>>>12,e[i++]=128|(n&4032)>>>6,e[i++]=128|(n&63)>>>0):(e[i++]=240|(n&1835008)>>>18,e[i++]=128|(n&258048)>>>12,e[i++]=128|(n&4032)>>>6,e[i++]=128|(n&63)>>>0),i>=64&&(this._step(),i-=64,this._totalLen+=64,e[0]=e[64],e[1]=e[65],e[2]=e[66]),i}digest(){return this._finished||(this._finished=!0,this._leftoverHighSurrogate&&(this._leftoverHighSurrogate=0,this._buffLen=this._push(this._buff,this._buffLen,65533)),this._totalLen+=this._buffLen,this._wrapUp()),Ete(this._h0)+Ete(this._h1)+Ete(this._h2)+Ete(this._h3)+Ete(this._h4)}_wrapUp(){this._buff[this._buffLen++]=128,dvt(this._buff,this._buffLen),this._buffLen>56&&(this._step(),dvt(this._buff));const e=8*this._totalLen;this._buffDV.setUint32(56,Math.floor(e/4294967296),!1),this._buffDV.setUint32(60,e%4294967296,!1),this._step()}_step(){const e=Jbe._bigBlock32,i=this._buffDV;for(let w=0;w<64;w+=4)e.setUint32(w,i.getUint32(w,!1),!1);for(let w=64;w<320;w+=4)e.setUint32(w,aFe(e.getUint32(w-12,!1)^e.getUint32(w-32,!1)^e.getUint32(w-56,!1)^e.getUint32(w-64,!1),1),!1);let n=this._h0,s=this._h1,c=this._h2,d=this._h3,f=this._h4,m,v,x;for(let w=0;w<80;w++)w<20?(m=s&c|~s&d,v=1518500249):w<40?(m=s^c^d,v=1859775393):w<60?(m=s&c|s&d|c&d,v=2400959708):(m=s^c^d,v=3395469782),x=aFe(n,5)+m+f+v+e.getUint32(w*4,!1)&4294967295,f=d,d=c,c=aFe(s,30),s=n,n=x;this._h0=this._h0+n&4294967295,this._h1=this._h1+s&4294967295,this._h2=this._h2+c&4294967295,this._h3=this._h3+d&4294967295,this._h4=this._h4+f&4294967295}};Jbe._bigBlock32=new DataView(new ArrayBuffer(320));let kWe=Jbe;const{registerWindow:_7i,getWindow:Eo,getDocument:p7i,getWindows:b2t,getWindowsCount:Xui,getWindowId:oye,getWindowById:fvt,hasWindow:m7i,onDidRegisterWindow:OSe,onWillUnregisterWindow:Qui,onDidUnregisterWindow:Zui}=function(){const l=new Map;Hli(cd,1);const e={window:cd,disposables:new wn};l.set(cd.vscodeWindowId,e);const i=new gi,n=new gi,s=new gi;function c(d,f){return(typeof d=="number"?l.get(d):void 0)??(f?e:void 0)}return{onDidRegisterWindow:i.event,onWillUnregisterWindow:s.event,onDidUnregisterWindow:n.event,registerWindow(d){if(l.has(d.vscodeWindowId))return xi.None;const f=new wn,m={window:d,disposables:f.add(new wn)};return l.set(d.vscodeWindowId,m),f.add(fo(()=>{l.delete(d.vscodeWindowId),n.fire(d)})),f.add(en(d,br.BEFORE_UNLOAD,()=>{s.fire(d)})),i.fire(m),f},getWindows(){return l.values()},getWindowsCount(){return l.size},getWindowId(d){return d.vscodeWindowId},hasWindow(d){return l.has(d)},getWindowById:c,getWindow(d){var v;const f=d;if((v=f==null?void 0:f.ownerDocument)!=null&&v.defaultView)return f.ownerDocument.defaultView.window;const m=d;return m!=null&&m.view?m.view.window:cd},getDocument(d){return Eo(d).document}}}();function s0(l){for(;l.firstChild;)l.firstChild.remove()}class Yui{constructor(e,i,n,s){this._node=e,this._type=i,this._handler=n,this._options=s||!1,this._node.addEventListener(this._type,this._handler,this._options)}dispose(){this._handler&&(this._node.removeEventListener(this._type,this._handler,this._options),this._node=null,this._handler=null)}}function en(l,e,i,n){return new Yui(l,e,i,n)}function C2t(l,e){return function(i){return e(new hT(l,i))}}function edi(l){return function(e){return l(new Id(e))}}const ph=function(e,i,n,s){let c=n;return i==="click"||i==="mousedown"||i==="contextmenu"?c=C2t(Eo(e),n):(i==="keydown"||i==="keypress"||i==="keyup")&&(c=edi(n)),en(e,i,c,s)},tdi=function(e,i,n){const s=C2t(Eo(e),i);return idi(e,s,n)};function idi(l,e,i){return en(l,VE&&s$e.pointerEvents?br.POINTER_DOWN:br.MOUSE_DOWN,e,i)}function Yte(l,e,i){return xie(l,e,i)}class cFe extends d2t{constructor(e,i){super(e,i)}}let aye,ZC;class l$e extends a$e{constructor(e){super(),this.defaultTarget=e&&Eo(e)}cancelAndSet(e,i,n){return super.cancelAndSet(e,i,n??this.defaultTarget)}}class lFe{constructor(e,i=0){this._runner=e,this.priority=i,this._canceled=!1}dispose(){this._canceled=!0}execute(){if(!this._canceled)try{this._runner()}catch(e){Pa(e)}}static sort(e,i){return i.priority-e.priority}}(function(){const l=new Map,e=new Map,i=new Map,n=new Map,s=c=>{i.set(c,!1);const d=l.get(c)??[];for(e.set(c,d),l.set(c,[]),n.set(c,!0);d.length>0;)d.sort(lFe.sort),d.shift().execute();n.set(c,!1)};ZC=(c,d,f=0)=>{const m=oye(c),v=new lFe(d,f);let x=l.get(m);return x||(x=[],l.set(m,x)),x.push(v),i.get(m)||(i.set(m,!0),c.requestAnimationFrame(()=>s(m))),v},aye=(c,d,f)=>{const m=oye(c);if(n.get(m)){const v=new lFe(d,f);let x=e.get(m);return x||(x=[],e.set(m,x)),x.push(v),v}else return ZC(c,d,f)}})();function MSe(l){return Eo(l).getComputedStyle(l,null)}function SR(l,e){const i=Eo(l),n=i.document;if(l!==n.body)return new Du(l.clientWidth,l.clientHeight);if(VE&&(i!=null&&i.visualViewport))return new Du(i.visualViewport.width,i.visualViewport.height);if(i!=null&&i.innerWidth&&i.innerHeight)return new Du(i.innerWidth,i.innerHeight);if(n.body&&n.body.clientWidth&&n.body.clientHeight)return new Du(n.body.clientWidth,n.body.clientHeight);if(n.documentElement&&n.documentElement.clientWidth&&n.documentElement.clientHeight)return new Du(n.documentElement.clientWidth,n.documentElement.clientHeight);throw new Error("Unable to figure out browser width and height")}class C_{static convertToPixels(e,i){return parseFloat(i)||0}static getDimension(e,i,n){const s=MSe(e),c=s?s.getPropertyValue(i):"0";return C_.convertToPixels(e,c)}static getBorderLeftWidth(e){return C_.getDimension(e,"border-left-width","borderLeftWidth")}static getBorderRightWidth(e){return C_.getDimension(e,"border-right-width","borderRightWidth")}static getBorderTopWidth(e){return C_.getDimension(e,"border-top-width","borderTopWidth")}static getBorderBottomWidth(e){return C_.getDimension(e,"border-bottom-width","borderBottomWidth")}static getPaddingLeft(e){return C_.getDimension(e,"padding-left","paddingLeft")}static getPaddingRight(e){return C_.getDimension(e,"padding-right","paddingRight")}static getPaddingTop(e){return C_.getDimension(e,"padding-top","paddingTop")}static getPaddingBottom(e){return C_.getDimension(e,"padding-bottom","paddingBottom")}static getMarginLeft(e){return C_.getDimension(e,"margin-left","marginLeft")}static getMarginTop(e){return C_.getDimension(e,"margin-top","marginTop")}static getMarginRight(e){return C_.getDimension(e,"margin-right","marginRight")}static getMarginBottom(e){return C_.getDimension(e,"margin-bottom","marginBottom")}}const XF=class XF{constructor(e,i){this.width=e,this.height=i}with(e=this.width,i=this.height){return e!==this.width||i!==this.height?new XF(e,i):this}static is(e){return typeof e=="object"&&typeof e.height=="number"&&typeof e.width=="number"}static lift(e){return e instanceof XF?e:new XF(e.width,e.height)}static equals(e,i){return e===i?!0:!e||!i?!1:e.width===i.width&&e.height===i.height}};XF.None=new XF(0,0);let Du=XF;function S2t(l){let e=l.offsetParent,i=l.offsetTop,n=l.offsetLeft;for(;(l=l.parentNode)!==null&&l!==l.ownerDocument.body&&l!==l.ownerDocument.documentElement;){i-=l.scrollTop;const s=x2t(l)?null:MSe(l);s&&(n-=s.direction!=="rtl"?l.scrollLeft:-l.scrollLeft),l===e&&(n+=C_.getBorderLeftWidth(l),i+=C_.getBorderTopWidth(l),i+=l.offsetTop,n+=l.offsetLeft,e=l.offsetParent)}return{left:n,top:i}}function ndi(l,e,i){typeof e=="number"&&(l.style.width=`${e}px`),typeof i=="number"&&(l.style.height=`${i}px`)}function e_(l){const e=l.getBoundingClientRect(),i=Eo(l);return{left:e.left+i.scrollX,top:e.top+i.scrollY,width:e.width,height:e.height}}function w2t(l){let e=l,i=1;do{const n=MSe(e).zoom;n!=null&&n!=="1"&&(i*=n),e=e.parentElement}while(e!==null&&e!==e.ownerDocument.documentElement);return i}function FC(l){const e=C_.getMarginLeft(l)+C_.getMarginRight(l);return l.offsetWidth+e}function uFe(l){const e=C_.getBorderLeftWidth(l)+C_.getBorderRightWidth(l),i=C_.getPaddingLeft(l)+C_.getPaddingRight(l);return l.offsetWidth-e-i}function rdi(l){const e=C_.getBorderTopWidth(l)+C_.getBorderBottomWidth(l),i=C_.getPaddingTop(l)+C_.getPaddingBottom(l);return l.offsetHeight-e-i}function B4(l){const e=C_.getMarginTop(l)+C_.getMarginBottom(l);return l.offsetHeight+e}function Am(l,e){return!!(e!=null&&e.contains(l))}function sdi(l,e,i){for(;l&&l.nodeType===l.ELEMENT_NODE;){if(l.classList.contains(e))return l;if(i){if(typeof i=="string"){if(l.classList.contains(i))return null}else if(l===i)return null}l=l.parentNode}return null}function dFe(l,e,i){return!!sdi(l,e,i)}function x2t(l){return l&&!!l.host&&!!l.mode}function cye(l){return!!kB(l)}function kB(l){var e;for(;l.parentNode;){if(l===((e=l.ownerDocument)==null?void 0:e.body))return null;l=l.parentNode}return x2t(l)?l:null}function D0(){let l=_J().activeElement;for(;l!=null&&l.shadowRoot;)l=l.shadowRoot.activeElement;return l}function RSe(l){return D0()===l}function k2t(l){return Am(D0(),l)}function _J(){return Xui()<=1?cd.document:Array.from(b2t()).map(({window:e})=>e.document).find(e=>e.hasFocus())??cd.document}function eie(){var e;return((e=_J().defaultView)==null?void 0:e.window)??cd}const u$e=new Map;function T2t(){return new odi}class odi{constructor(){this._currentCssStyle="",this._styleSheet=void 0}setStyle(e){e!==this._currentCssStyle&&(this._currentCssStyle=e,this._styleSheet?this._styleSheet.innerText=e:this._styleSheet=Dw(cd.document.head,i=>i.innerText=e))}dispose(){this._styleSheet&&(this._styleSheet.remove(),this._styleSheet=void 0)}}function Dw(l=cd.document.head,e,i){const n=document.createElement("style");if(n.type="text/css",n.media="screen",e==null||e(n),l.appendChild(n),i&&i.add(fo(()=>n.remove())),l===cd.document.head){const s=new Set;u$e.set(n,s);for(const{window:c,disposables:d}of b2t()){if(c===cd)continue;const f=d.add(adi(n,s,c));i==null||i.add(f)}}return n}function adi(l,e,i){var c,d;const n=new wn,s=l.cloneNode(!0);i.document.head.appendChild(s),n.add(fo(()=>s.remove()));for(const f of E2t(l))(d=s.sheet)==null||d.insertRule(f.cssText,(c=s.sheet)==null?void 0:c.cssRules.length);return n.add(cdi.observe(l,n,{childList:!0})(()=>{s.textContent=l.textContent})),e.add(s),n.add(fo(()=>e.delete(s))),n}const cdi=new class{constructor(){this.mutationObservers=new Map}observe(l,e,i){let n=this.mutationObservers.get(l);n||(n=new Map,this.mutationObservers.set(l,n));const s=PSe(i);let c=n.get(s);if(c)c.users+=1;else{const d=new gi,f=new MutationObserver(v=>d.fire(v));f.observe(l,i);const m=c={users:1,observer:f,onDidMutate:d.event};e.add(fo(()=>{m.users-=1,m.users===0&&(d.dispose(),f.disconnect(),n==null||n.delete(s),(n==null?void 0:n.size)===0&&this.mutationObservers.delete(l))})),n.set(s,c)}return c.onDidMutate}};let fFe=null;function D2t(){return fFe||(fFe=Dw()),fFe}function E2t(l){var e,i;return(e=l==null?void 0:l.sheet)!=null&&e.rules?l.sheet.rules:(i=l==null?void 0:l.sheet)!=null&&i.cssRules?l.sheet.cssRules:[]}function lye(l,e,i=D2t()){var n;if(!(!i||!e)){(n=i.sheet)==null||n.insertRule(`${l} {${e}}`,0);for(const s of u$e.get(i)??[])lye(l,e,s)}}function TWe(l,e=D2t()){var s;if(!e)return;const i=E2t(e),n=[];for(let c=0;c<i.length;c++){const d=i[c];ldi(d)&&d.selectorText.indexOf(l)!==-1&&n.push(c)}for(let c=n.length-1;c>=0;c--)(s=e.sheet)==null||s.deleteRule(n[c]);for(const c of u$e.get(e)??[])TWe(l,c)}function ldi(l){return typeof l.selectorText=="string"}function Mm(l){return l instanceof HTMLElement||l instanceof Eo(l).HTMLElement}function hvt(l){return l instanceof HTMLAnchorElement||l instanceof Eo(l).HTMLAnchorElement}function udi(l){return l instanceof SVGElement||l instanceof Eo(l).SVGElement}function d$e(l){return l instanceof MouseEvent||l instanceof Eo(l).MouseEvent}function E4(l){return l instanceof KeyboardEvent||l instanceof Eo(l).KeyboardEvent}const br={CLICK:"click",AUXCLICK:"auxclick",DBLCLICK:"dblclick",MOUSE_UP:"mouseup",MOUSE_DOWN:"mousedown",MOUSE_OVER:"mouseover",MOUSE_MOVE:"mousemove",MOUSE_OUT:"mouseout",MOUSE_ENTER:"mouseenter",MOUSE_LEAVE:"mouseleave",MOUSE_WHEEL:"wheel",POINTER_UP:"pointerup",POINTER_DOWN:"pointerdown",POINTER_MOVE:"pointermove",POINTER_LEAVE:"pointerleave",CONTEXT_MENU:"contextmenu",WHEEL:"wheel",KEY_DOWN:"keydown",KEY_PRESS:"keypress",KEY_UP:"keyup",LOAD:"load",BEFORE_UNLOAD:"beforeunload",UNLOAD:"unload",PAGE_SHOW:"pageshow",PAGE_HIDE:"pagehide",PASTE:"paste",ABORT:"abort",ERROR:"error",RESIZE:"resize",SCROLL:"scroll",FULLSCREEN_CHANGE:"fullscreenchange",WK_FULLSCREEN_CHANGE:"webkitfullscreenchange",SELECT:"select",CHANGE:"change",SUBMIT:"submit",RESET:"reset",FOCUS:"focus",FOCUS_IN:"focusin",FOCUS_OUT:"focusout",BLUR:"blur",INPUT:"input",STORAGE:"storage",DRAG_START:"dragstart",DRAG:"drag",DRAG_ENTER:"dragenter",DRAG_LEAVE:"dragleave",DRAG_OVER:"dragover",DROP:"drop",DRAG_END:"dragend",ANIMATION_START:f9?"webkitAnimationStart":"animationstart",ANIMATION_END:f9?"webkitAnimationEnd":"animationend",ANIMATION_ITERATION:f9?"webkitAnimationIteration":"animationiteration"};function ddi(l){const e=l;return!!(e&&typeof e.preventDefault=="function"&&typeof e.stopPropagation=="function")}const il={stop:(l,e)=>(l.preventDefault(),e&&l.stopPropagation(),l)};function fdi(l){const e=[];for(let i=0;l&&l.nodeType===l.ELEMENT_NODE;i++)e[i]=l.scrollTop,l=l.parentNode;return e}function hdi(l,e){for(let i=0;l&&l.nodeType===l.ELEMENT_NODE;i++)l.scrollTop!==e[i]&&(l.scrollTop=e[i]),l=l.parentNode}class uye extends xi{static hasFocusWithin(e){if(Mm(e)){const i=kB(e),n=i?i.activeElement:e.ownerDocument.activeElement;return Am(n,e)}else{const i=e;return Am(i.document.activeElement,i.document)}}constructor(e){super(),this._onDidFocus=this._register(new gi),this.onDidFocus=this._onDidFocus.event,this._onDidBlur=this._register(new gi),this.onDidBlur=this._onDidBlur.event;let i=uye.hasFocusWithin(e),n=!1;const s=()=>{n=!1,i||(i=!0,this._onDidFocus.fire())},c=()=>{i&&(n=!0,(Mm(e)?Eo(e):e).setTimeout(()=>{n&&(n=!1,i=!1,this._onDidBlur.fire())},0))};this._refreshStateHandler=()=>{uye.hasFocusWithin(e)!==i&&(i?c():s())},this._register(en(e,br.FOCUS,s,!0)),this._register(en(e,br.BLUR,c,!0)),Mm(e)&&(this._register(en(e,br.FOCUS_IN,()=>this._refreshStateHandler())),this._register(en(e,br.FOCUS_OUT,()=>this._refreshStateHandler())))}}function zE(l){return new uye(l)}function _di(l,e){return l.after(e),e}function jn(l,...e){if(l.append(...e),e.length===1&&typeof e[0]!="string")return e[0]}function f$e(l,e){return l.insertBefore(e,l.firstChild),e}function o0(l,...e){l.innerText="",jn(l,...e)}const pdi=/([\w\-]+)?(#([\w\-]+))?((\.([\w\-]+))*)/;var Bne;(function(l){l.HTML="http://www.w3.org/1999/xhtml",l.SVG="http://www.w3.org/2000/svg"})(Bne||(Bne={}));function I2t(l,e,i,...n){const s=pdi.exec(e);if(!s)throw new Error("Bad use of emmet");const c=s[1]||"div";let d;return l!==Bne.HTML?d=document.createElementNS(l,c):d=document.createElement(c),s[3]&&(d.id=s[3]),s[4]&&(d.className=s[4].replace(/\./g," ").trim()),i&&Object.entries(i).forEach(([f,m])=>{typeof m>"u"||(/^on\w+$/.test(f)?d[f]=m:f==="selected"?m&&d.setAttribute(f,"true"):d.setAttribute(f,m))}),d.append(...n),d}function Cr(l,e,...i){return I2t(Bne.HTML,l,e,...i)}Cr.SVG=function(l,e,...i){return I2t(Bne.SVG,l,e,...i)};function mdi(l,...e){l?HC(...e):dv(...e)}function HC(...l){for(const e of l)e.style.display="",e.removeAttribute("aria-hidden")}function dv(...l){for(const e of l)e.style.display="none",e.setAttribute("aria-hidden","true")}function _vt(l,e){const i=l.devicePixelRatio*e;return Math.max(1,Math.floor(i))/l.devicePixelRatio}function N2t(l){cd.open(l,"_blank","noopener")}function gdi(l,e){const i=()=>{e(),n=ZC(l,i)};let n=ZC(l,i);return fo(()=>n.dispose())}v2t.setPreferredWebSchema(/^https:/.test(cd.location.href)?"https":"http");function l6(l){return l?`url('${LSe.uriToBrowserUri(l).toString(!0).replace(/'/g,"%27")}')`:"url('')"}function hFe(l){return`'${l.replace(/'/g,"%27")}'`}function Z4(l,e){if(l!==void 0){const i=l.match(/^\s*var\((.+)\)$/);if(i){const n=i[1].split(",",2);return n.length===2&&(e=Z4(n[1].trim(),e)),`var(${n[0]}, ${e})`}return l}return e}function ydi(l,e=!1){const i=document.createElement("a");return g2t("afterSanitizeAttributes",n=>{for(const s of["href","src"])if(n.hasAttribute(s)){const c=n.getAttribute(s);if(s==="href"&&c.startsWith("#"))continue;if(i.href=c,!l.includes(i.protocol.replace(/:$/,""))){if(e&&s==="src"&&i.href.startsWith("data:"))continue;n.removeAttribute(s)}}}),fo(()=>{y2t("afterSanitizeAttributes")})}const vdi=Object.freeze(["a","abbr","b","bdo","blockquote","br","caption","cite","code","col","colgroup","dd","del","details","dfn","div","dl","dt","em","figcaption","figure","h1","h2","h3","h4","h5","h6","hr","i","img","input","ins","kbd","label","li","mark","ol","p","pre","q","rp","rt","ruby","samp","small","small","source","span","strike","strong","sub","summary","sup","table","tbody","td","tfoot","th","thead","time","tr","tt","u","ul","var","video","wbr"]);class W4 extends gi{constructor(){super(),this._subscriptions=new wn,this._keyStatus={altKey:!1,shiftKey:!1,ctrlKey:!1,metaKey:!1},this._subscriptions.add(Tr.runAndSubscribe(OSe,({window:e,disposables:i})=>this.registerListeners(e,i),{window:cd,disposables:this._subscriptions}))}registerListeners(e,i){i.add(en(e,"keydown",n=>{if(n.defaultPrevented)return;const s=new Id(n);if(!(s.keyCode===6&&n.repeat)){if(n.altKey&&!this._keyStatus.altKey)this._keyStatus.lastKeyPressed="alt";else if(n.ctrlKey&&!this._keyStatus.ctrlKey)this._keyStatus.lastKeyPressed="ctrl";else if(n.metaKey&&!this._keyStatus.metaKey)this._keyStatus.lastKeyPressed="meta";else if(n.shiftKey&&!this._keyStatus.shiftKey)this._keyStatus.lastKeyPressed="shift";else if(s.keyCode!==6)this._keyStatus.lastKeyPressed=void 0;else return;this._keyStatus.altKey=n.altKey,this._keyStatus.ctrlKey=n.ctrlKey,this._keyStatus.metaKey=n.metaKey,this._keyStatus.shiftKey=n.shiftKey,this._keyStatus.lastKeyPressed&&(this._keyStatus.event=n,this.fire(this._keyStatus))}},!0)),i.add(en(e,"keyup",n=>{n.defaultPrevented||(!n.altKey&&this._keyStatus.altKey?this._keyStatus.lastKeyReleased="alt":!n.ctrlKey&&this._keyStatus.ctrlKey?this._keyStatus.lastKeyReleased="ctrl":!n.metaKey&&this._keyStatus.metaKey?this._keyStatus.lastKeyReleased="meta":!n.shiftKey&&this._keyStatus.shiftKey?this._keyStatus.lastKeyReleased="shift":this._keyStatus.lastKeyReleased=void 0,this._keyStatus.lastKeyPressed!==this._keyStatus.lastKeyReleased&&(this._keyStatus.lastKeyPressed=void 0),this._keyStatus.altKey=n.altKey,this._keyStatus.ctrlKey=n.ctrlKey,this._keyStatus.metaKey=n.metaKey,this._keyStatus.shiftKey=n.shiftKey,this._keyStatus.lastKeyReleased&&(this._keyStatus.event=n,this.fire(this._keyStatus)))},!0)),i.add(en(e.document.body,"mousedown",()=>{this._keyStatus.lastKeyPressed=void 0},!0)),i.add(en(e.document.body,"mouseup",()=>{this._keyStatus.lastKeyPressed=void 0},!0)),i.add(en(e.document.body,"mousemove",n=>{n.buttons&&(this._keyStatus.lastKeyPressed=void 0)},!0)),i.add(en(e,"blur",()=>{this.resetKeyStatus()}))}get keyStatus(){return this._keyStatus}resetKeyStatus(){this.doResetKeyStatus(),this.fire(this._keyStatus)}doResetKeyStatus(){this._keyStatus={altKey:!1,shiftKey:!1,ctrlKey:!1,metaKey:!1}}static getInstance(){return W4.instance||(W4.instance=new W4),W4.instance}dispose(){super.dispose(),this._subscriptions.dispose()}}class bdi extends xi{constructor(e,i){super(),this.element=e,this.callbacks=i,this.counter=0,this.dragStartTime=0,this.registerListeners()}registerListeners(){this.callbacks.onDragStart&&this._register(en(this.element,br.DRAG_START,e=>{var i,n;(n=(i=this.callbacks).onDragStart)==null||n.call(i,e)})),this.callbacks.onDrag&&this._register(en(this.element,br.DRAG,e=>{var i,n;(n=(i=this.callbacks).onDrag)==null||n.call(i,e)})),this._register(en(this.element,br.DRAG_ENTER,e=>{var i,n;this.counter++,this.dragStartTime=e.timeStamp,(n=(i=this.callbacks).onDragEnter)==null||n.call(i,e)})),this._register(en(this.element,br.DRAG_OVER,e=>{var i,n;e.preventDefault(),(n=(i=this.callbacks).onDragOver)==null||n.call(i,e,e.timeStamp-this.dragStartTime)})),this._register(en(this.element,br.DRAG_LEAVE,e=>{var i,n;this.counter--,this.counter===0&&(this.dragStartTime=0,(n=(i=this.callbacks).onDragLeave)==null||n.call(i,e))})),this._register(en(this.element,br.DRAG_END,e=>{var i,n;this.counter=0,this.dragStartTime=0,(n=(i=this.callbacks).onDragEnd)==null||n.call(i,e)})),this._register(en(this.element,br.DROP,e=>{var i,n;this.counter=0,this.dragStartTime=0,(n=(i=this.callbacks).onDrop)==null||n.call(i,e)}))}}const L2t=/(?<tag>[\w\-]+)?(?:#(?<id>[\w\-]+))?(?<class>(?:\.(?:[\w\-]+))*)(?:@(?<name>(?:[\w\_])+))?/;function Rc(l,...e){let i,n;Array.isArray(e[0])?(i={},n=e[0]):(i=e[0]||{},n=e[1]);const s=L2t.exec(l);if(!s||!s.groups)throw new Error("Bad use of h");const c=s.groups.tag||"div",d=document.createElement(c);s.groups.id&&(d.id=s.groups.id);const f=[];if(s.groups.class)for(const v of s.groups.class.split("."))v!==""&&f.push(v);if(i.className!==void 0)for(const v of i.className.split("."))v!==""&&f.push(v);f.length>0&&(d.className=f.join(" "));const m={};if(s.groups.name&&(m[s.groups.name]=d),n)for(const v of n)Mm(v)?d.appendChild(v):typeof v=="string"?d.append(v):"root"in v&&(Object.assign(m,v),d.appendChild(v.root));for(const[v,x]of Object.entries(i))if(v!=="className")if(v==="style")for(const[w,I]of Object.entries(x))d.style.setProperty(dye(w),typeof I=="number"?I+"px":""+I);else v==="tabIndex"?d.tabIndex=x:d.setAttribute(dye(v),x.toString());return m.root=d,m}function SU(l,...e){let i,n;Array.isArray(e[0])?(i={},n=e[0]):(i=e[0]||{},n=e[1]);const s=L2t.exec(l);if(!s||!s.groups)throw new Error("Bad use of h");const c=s.groups.tag||"div",d=document.createElementNS("http://www.w3.org/2000/svg",c);s.groups.id&&(d.id=s.groups.id);const f=[];if(s.groups.class)for(const v of s.groups.class.split("."))v!==""&&f.push(v);if(i.className!==void 0)for(const v of i.className.split("."))v!==""&&f.push(v);f.length>0&&(d.className=f.join(" "));const m={};if(s.groups.name&&(m[s.groups.name]=d),n)for(const v of n)Mm(v)?d.appendChild(v):typeof v=="string"?d.append(v):"root"in v&&(Object.assign(m,v),d.appendChild(v.root));for(const[v,x]of Object.entries(i))if(v!=="className")if(v==="style")for(const[w,I]of Object.entries(x))d.style.setProperty(dye(w),typeof I=="number"?I+"px":""+I);else v==="tabIndex"?d.tabIndex=x:d.setAttribute(dye(v),x.toString());return m.root=d,m}function dye(l){return l.replace(/([a-z])([A-Z])/g,"$1-$2").toLowerCase()}class Cdi extends xi{constructor(e){super(),this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event,this._listener=()=>this._handleChange(e,!0),this._mediaQueryList=null,this._handleChange(e,!1)}_handleChange(e,i){var n;(n=this._mediaQueryList)==null||n.removeEventListener("change",this._listener),this._mediaQueryList=e.matchMedia(`(resolution: ${e.devicePixelRatio}dppx)`),this._mediaQueryList.addEventListener("change",this._listener),i&&this._onDidChange.fire()}}class Sdi extends xi{get value(){return this._value}constructor(e){super(),this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event,this._value=this._getPixelRatio(e);const i=this._register(new Cdi(e));this._register(i.onDidChange(()=>{this._value=this._getPixelRatio(e),this._onDidChange.fire(this._value)}))}_getPixelRatio(e){const i=document.createElement("canvas").getContext("2d"),n=e.devicePixelRatio||1,s=i.webkitBackingStorePixelRatio||i.mozBackingStorePixelRatio||i.msBackingStorePixelRatio||i.oBackingStorePixelRatio||i.backingStorePixelRatio||1;return n/s}}class wdi{constructor(){this.mapWindowIdToPixelRatioMonitor=new Map}_getOrCreatePixelRatioMonitor(e){const i=oye(e);let n=this.mapWindowIdToPixelRatioMonitor.get(i);return n||(n=new Sdi(e),this.mapWindowIdToPixelRatioMonitor.set(i,n),Tr.once(Zui)(({vscodeWindowId:s})=>{s===i&&(n==null||n.dispose(),this.mapWindowIdToPixelRatioMonitor.delete(i))})),n}getInstance(e){return this._getOrCreatePixelRatioMonitor(e)}}const Wne=new wdi;class P2t{constructor(e){this.domNode=e,this._maxWidth="",this._width="",this._height="",this._top="",this._left="",this._bottom="",this._right="",this._paddingLeft="",this._fontFamily="",this._fontWeight="",this._fontSize="",this._fontStyle="",this._fontFeatureSettings="",this._fontVariationSettings="",this._textDecoration="",this._lineHeight="",this._letterSpacing="",this._className="",this._display="",this._position="",this._visibility="",this._color="",this._backgroundColor="",this._layerHint=!1,this._contain="none",this._boxShadow=""}setMaxWidth(e){const i=cE(e);this._maxWidth!==i&&(this._maxWidth=i,this.domNode.style.maxWidth=this._maxWidth)}setWidth(e){const i=cE(e);this._width!==i&&(this._width=i,this.domNode.style.width=this._width)}setHeight(e){const i=cE(e);this._height!==i&&(this._height=i,this.domNode.style.height=this._height)}setTop(e){const i=cE(e);this._top!==i&&(this._top=i,this.domNode.style.top=this._top)}setLeft(e){const i=cE(e);this._left!==i&&(this._left=i,this.domNode.style.left=this._left)}setBottom(e){const i=cE(e);this._bottom!==i&&(this._bottom=i,this.domNode.style.bottom=this._bottom)}setRight(e){const i=cE(e);this._right!==i&&(this._right=i,this.domNode.style.right=this._right)}setPaddingLeft(e){const i=cE(e);this._paddingLeft!==i&&(this._paddingLeft=i,this.domNode.style.paddingLeft=this._paddingLeft)}setFontFamily(e){this._fontFamily!==e&&(this._fontFamily=e,this.domNode.style.fontFamily=this._fontFamily)}setFontWeight(e){this._fontWeight!==e&&(this._fontWeight=e,this.domNode.style.fontWeight=this._fontWeight)}setFontSize(e){const i=cE(e);this._fontSize!==i&&(this._fontSize=i,this.domNode.style.fontSize=this._fontSize)}setFontStyle(e){this._fontStyle!==e&&(this._fontStyle=e,this.domNode.style.fontStyle=this._fontStyle)}setFontFeatureSettings(e){this._fontFeatureSettings!==e&&(this._fontFeatureSettings=e,this.domNode.style.fontFeatureSettings=this._fontFeatureSettings)}setFontVariationSettings(e){this._fontVariationSettings!==e&&(this._fontVariationSettings=e,this.domNode.style.fontVariationSettings=this._fontVariationSettings)}setTextDecoration(e){this._textDecoration!==e&&(this._textDecoration=e,this.domNode.style.textDecoration=this._textDecoration)}setLineHeight(e){const i=cE(e);this._lineHeight!==i&&(this._lineHeight=i,this.domNode.style.lineHeight=this._lineHeight)}setLetterSpacing(e){const i=cE(e);this._letterSpacing!==i&&(this._letterSpacing=i,this.domNode.style.letterSpacing=this._letterSpacing)}setClassName(e){this._className!==e&&(this._className=e,this.domNode.className=this._className)}toggleClassName(e,i){this.domNode.classList.toggle(e,i),this._className=this.domNode.className}setDisplay(e){this._display!==e&&(this._display=e,this.domNode.style.display=this._display)}setPosition(e){this._position!==e&&(this._position=e,this.domNode.style.position=this._position)}setVisibility(e){this._visibility!==e&&(this._visibility=e,this.domNode.style.visibility=this._visibility)}setColor(e){this._color!==e&&(this._color=e,this.domNode.style.color=this._color)}setBackgroundColor(e){this._backgroundColor!==e&&(this._backgroundColor=e,this.domNode.style.backgroundColor=this._backgroundColor)}setLayerHinting(e){this._layerHint!==e&&(this._layerHint=e,this.domNode.style.transform=this._layerHint?"translate3d(0px, 0px, 0px)":"")}setBoxShadow(e){this._boxShadow!==e&&(this._boxShadow=e,this.domNode.style.boxShadow=e)}setContain(e){this._contain!==e&&(this._contain=e,this.domNode.style.contain=this._contain)}setAttribute(e,i){this.domNode.setAttribute(e,i)}removeAttribute(e){this.domNode.removeAttribute(e)}appendChild(e){this.domNode.appendChild(e.domNode)}removeChild(e){this.domNode.removeChild(e.domNode)}}function cE(l){return typeof l=="number"?`${l}px`:l}function _u(l){return new P2t(l)}function a0(l,e){l instanceof P2t?(l.setFontFamily(e.getMassagedFontFamily()),l.setFontWeight(e.fontWeight),l.setFontSize(e.fontSize),l.setFontFeatureSettings(e.fontFeatureSettings),l.setFontVariationSettings(e.fontVariationSettings),l.setLineHeight(e.lineHeight),l.setLetterSpacing(e.letterSpacing)):(l.style.fontFamily=e.getMassagedFontFamily(),l.style.fontWeight=e.fontWeight,l.style.fontSize=e.fontSize+"px",l.style.fontFeatureSettings=e.fontFeatureSettings,l.style.fontVariationSettings=e.fontVariationSettings,l.style.lineHeight=e.lineHeight+"px",l.style.letterSpacing=e.letterSpacing+"px")}class xdi{constructor(e,i){this.chr=e,this.type=i,this.width=0}fulfill(e){this.width=e}}class h$e{constructor(e,i){this._bareFontInfo=e,this._requests=i,this._container=null,this._testElements=null}read(e){var i;this._createDomElements(),e.document.body.appendChild(this._container),this._readFromDomElements(),(i=this._container)==null||i.remove(),this._container=null,this._testElements=null}_createDomElements(){const e=document.createElement("div");e.style.position="absolute",e.style.top="-50000px",e.style.width="50000px";const i=document.createElement("div");a0(i,this._bareFontInfo),e.appendChild(i);const n=document.createElement("div");a0(n,this._bareFontInfo),n.style.fontWeight="bold",e.appendChild(n);const s=document.createElement("div");a0(s,this._bareFontInfo),s.style.fontStyle="italic",e.appendChild(s);const c=[];for(const d of this._requests){let f;d.type===0&&(f=i),d.type===2&&(f=n),d.type===1&&(f=s),f.appendChild(document.createElement("br"));const m=document.createElement("span");h$e._render(m,d),f.appendChild(m),c.push(m)}this._container=e,this._testElements=c}static _render(e,i){if(i.chr===" "){let n=" ";for(let s=0;s<8;s++)n+=n;e.innerText=n}else{let n=i.chr;for(let s=0;s<8;s++)n+=n;e.textContent=n}}_readFromDomElements(){for(let e=0,i=this._requests.length;e<i;e++){const n=this._requests[e],s=this._testElements[e];n.fulfill(s.offsetWidth/256)}}}function kdi(l,e,i){new h$e(e,i).read(l)}const fk=new class{constructor(){this._zoomLevel=0,this._onDidChangeZoomLevel=new gi,this.onDidChangeZoomLevel=this._onDidChangeZoomLevel.event}getZoomLevel(){return this._zoomLevel}setZoomLevel(l){l=Math.min(Math.max(-5,l),20),this._zoomLevel!==l&&(this._zoomLevel=l,this._onDidChangeZoomLevel.fire(this._zoomLevel))}},Tdi=Hc?1.5:1.35,_Fe=8;class h9{static createFromValidatedSettings(e,i,n){const s=e.get(49),c=e.get(53),d=e.get(52),f=e.get(51),m=e.get(54),v=e.get(67),x=e.get(64);return h9._create(s,c,d,f,m,v,x,i,n)}static _create(e,i,n,s,c,d,f,m,v){d===0?d=Tdi*n:d<_Fe&&(d=d*n),d=Math.round(d),d<_Fe&&(d=_Fe);const x=1+(v?0:fk.getZoomLevel()*.1);return n*=x,d*=x,c===Lne.TRANSLATE&&(i==="normal"||i==="bold"?c=Lne.OFF:(c=`'wght' ${parseInt(i,10)}`,i="normal")),new h9({pixelRatio:m,fontFamily:e,fontWeight:i,fontSize:n,fontFeatureSettings:s,fontVariationSettings:c,lineHeight:d,letterSpacing:f})}constructor(e){this._bareFontInfoBrand=void 0,this.pixelRatio=e.pixelRatio,this.fontFamily=String(e.fontFamily),this.fontWeight=String(e.fontWeight),this.fontSize=e.fontSize,this.fontFeatureSettings=e.fontFeatureSettings,this.fontVariationSettings=e.fontVariationSettings,this.lineHeight=e.lineHeight|0,this.letterSpacing=e.letterSpacing}getId(){return`${this.pixelRatio}-${this.fontFamily}-${this.fontWeight}-${this.fontSize}-${this.fontFeatureSettings}-${this.fontVariationSettings}-${this.lineHeight}-${this.letterSpacing}`}getMassagedFontFamily(){const e=vv.fontFamily,i=h9._wrapInQuotes(this.fontFamily);return e&&this.fontFamily!==e?`${i}, ${e}`:i}static _wrapInQuotes(e){return/[,"']/.test(e)?e:/[+ ]/.test(e)?`"${e}"`:e}}const Ddi=2;class DWe extends h9{constructor(e,i){super(e),this._editorStylingBrand=void 0,this.version=Ddi,this.isTrusted=i,this.isMonospace=e.isMonospace,this.typicalHalfwidthCharacterWidth=e.typicalHalfwidthCharacterWidth,this.typicalFullwidthCharacterWidth=e.typicalFullwidthCharacterWidth,this.canUseHalfwidthRightwardsArrow=e.canUseHalfwidthRightwardsArrow,this.spaceWidth=e.spaceWidth,this.middotWidth=e.middotWidth,this.wsmiddotWidth=e.wsmiddotWidth,this.maxDigitWidth=e.maxDigitWidth}equals(e){return this.fontFamily===e.fontFamily&&this.fontWeight===e.fontWeight&&this.fontSize===e.fontSize&&this.fontFeatureSettings===e.fontFeatureSettings&&this.fontVariationSettings===e.fontVariationSettings&&this.lineHeight===e.lineHeight&&this.letterSpacing===e.letterSpacing&&this.typicalHalfwidthCharacterWidth===e.typicalHalfwidthCharacterWidth&&this.typicalFullwidthCharacterWidth===e.typicalFullwidthCharacterWidth&&this.canUseHalfwidthRightwardsArrow===e.canUseHalfwidthRightwardsArrow&&this.spaceWidth===e.spaceWidth&&this.middotWidth===e.middotWidth&&this.wsmiddotWidth===e.wsmiddotWidth&&this.maxDigitWidth===e.maxDigitWidth}}class Edi extends xi{constructor(){super(...arguments),this._cache=new Map,this._evictUntrustedReadingsTimeout=-1,this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event}dispose(){this._evictUntrustedReadingsTimeout!==-1&&(clearTimeout(this._evictUntrustedReadingsTimeout),this._evictUntrustedReadingsTimeout=-1),super.dispose()}clearAllFontInfos(){this._cache.clear(),this._onDidChange.fire()}_ensureCache(e){const i=oye(e);let n=this._cache.get(i);return n||(n=new Idi,this._cache.set(i,n)),n}_writeToCache(e,i,n){this._ensureCache(e).put(i,n),!n.isTrusted&&this._evictUntrustedReadingsTimeout===-1&&(this._evictUntrustedReadingsTimeout=e.setTimeout(()=>{this._evictUntrustedReadingsTimeout=-1,this._evictUntrustedReadings(e)},5e3))}_evictUntrustedReadings(e){const i=this._ensureCache(e),n=i.getValues();let s=!1;for(const c of n)c.isTrusted||(s=!0,i.remove(c));s&&this._onDidChange.fire()}readFontInfo(e,i){const n=this._ensureCache(e);if(!n.has(i)){let s=this._actualReadFontInfo(e,i);(s.typicalHalfwidthCharacterWidth<=2||s.typicalFullwidthCharacterWidth<=2||s.spaceWidth<=2||s.maxDigitWidth<=2)&&(s=new DWe({pixelRatio:Wne.getInstance(e).value,fontFamily:s.fontFamily,fontWeight:s.fontWeight,fontSize:s.fontSize,fontFeatureSettings:s.fontFeatureSettings,fontVariationSettings:s.fontVariationSettings,lineHeight:s.lineHeight,letterSpacing:s.letterSpacing,isMonospace:s.isMonospace,typicalHalfwidthCharacterWidth:Math.max(s.typicalHalfwidthCharacterWidth,5),typicalFullwidthCharacterWidth:Math.max(s.typicalFullwidthCharacterWidth,5),canUseHalfwidthRightwardsArrow:s.canUseHalfwidthRightwardsArrow,spaceWidth:Math.max(s.spaceWidth,5),middotWidth:Math.max(s.middotWidth,5),wsmiddotWidth:Math.max(s.wsmiddotWidth,5),maxDigitWidth:Math.max(s.maxDigitWidth,5)},!1)),this._writeToCache(e,i,s)}return n.get(i)}_createRequest(e,i,n,s){const c=new xdi(e,i);return n.push(c),s==null||s.push(c),c}_actualReadFontInfo(e,i){const n=[],s=[],c=this._createRequest("n",0,n,s),d=this._createRequest("m",0,n,null),f=this._createRequest(" ",0,n,s),m=this._createRequest("0",0,n,s),v=this._createRequest("1",0,n,s),x=this._createRequest("2",0,n,s),w=this._createRequest("3",0,n,s),I=this._createRequest("4",0,n,s),P=this._createRequest("5",0,n,s),O=this._createRequest("6",0,n,s),z=this._createRequest("7",0,n,s),J=this._createRequest("8",0,n,s),Y=this._createRequest("9",0,n,s),ae=this._createRequest("→",0,n,s),me=this._createRequest("→",0,n,null),ye=this._createRequest("·",0,n,s),Ce=this._createRequest("⸱",0,n,null),Fe="|/-_ilm%";for(let Bi=0,$i=Fe.length;Bi<$i;Bi++)this._createRequest(Fe.charAt(Bi),0,n,s),this._createRequest(Fe.charAt(Bi),1,n,s),this._createRequest(Fe.charAt(Bi),2,n,s);kdi(e,i,n);const rt=Math.max(m.width,v.width,x.width,w.width,I.width,P.width,O.width,z.width,J.width,Y.width);let ct=i.fontFeatureSettings===mR.OFF;const Mt=s[0].width;for(let Bi=1,$i=s.length;ct&&Bi<$i;Bi++){const $t=Mt-s[Bi].width;if($t<-.001||$t>.001){ct=!1;break}}let Yt=!0;return ct&&me.width!==Mt&&(Yt=!1),me.width>ae.width&&(Yt=!1),new DWe({pixelRatio:Wne.getInstance(e).value,fontFamily:i.fontFamily,fontWeight:i.fontWeight,fontSize:i.fontSize,fontFeatureSettings:i.fontFeatureSettings,fontVariationSettings:i.fontVariationSettings,lineHeight:i.lineHeight,letterSpacing:i.letterSpacing,isMonospace:ct,typicalHalfwidthCharacterWidth:c.width,typicalFullwidthCharacterWidth:d.width,canUseHalfwidthRightwardsArrow:Yt,spaceWidth:f.width,middotWidth:ye.width,wsmiddotWidth:Ce.width,maxDigitWidth:rt},!0)}}class Idi{constructor(){this._keys=Object.create(null),this._values=Object.create(null)}has(e){const i=e.getId();return!!this._values[i]}get(e){const i=e.getId();return this._values[i]}put(e,i){const n=e.getId();this._keys[n]=e,this._values[n]=i}remove(e){const i=e.getId();delete this._keys[i],delete this._values[i]}getValues(){return Object.keys(this._keys).map(e=>this._values[e])}}const EWe=new Edi;var IE;(function(l){l.serviceIds=new Map,l.DI_TARGET="$di$target",l.DI_DEPENDENCIES="$di$dependencies";function e(i){return i[l.DI_DEPENDENCIES]||[]}l.getServiceDependencies=e})(IE||(IE={}));const ho=jc("instantiationService");function Ndi(l,e,i){e[IE.DI_TARGET]===e?e[IE.DI_DEPENDENCIES].push({id:l,index:i}):(e[IE.DI_DEPENDENCIES]=[{id:l,index:i}],e[IE.DI_TARGET]=e)}function jc(l){if(IE.serviceIds.has(l))return IE.serviceIds.get(l);const e=function(i,n,s){if(arguments.length!==3)throw new Error("@IServiceName-decorator can only be used to decorate a parameter");Ndi(e,i,s)};return e.toString=()=>l,IE.serviceIds.set(l,e),e}const Bl=jc("codeEditorService"),rf=jc("modelService"),Ob=jc("textModelService");class $C extends xi{constructor(e,i="",n="",s=!0,c){super(),this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event,this._enabled=!0,this._id=e,this._label=i,this._cssClass=n,this._enabled=s,this._actionCallback=c}get id(){return this._id}get label(){return this._label}set label(e){this._setLabel(e)}_setLabel(e){this._label!==e&&(this._label=e,this._onDidChange.fire({label:e}))}get tooltip(){return this._tooltip||""}set tooltip(e){this._setTooltip(e)}_setTooltip(e){this._tooltip!==e&&(this._tooltip=e,this._onDidChange.fire({tooltip:e}))}get class(){return this._cssClass}set class(e){this._setClass(e)}_setClass(e){this._cssClass!==e&&(this._cssClass=e,this._onDidChange.fire({class:e}))}get enabled(){return this._enabled}set enabled(e){this._setEnabled(e)}_setEnabled(e){this._enabled!==e&&(this._enabled=e,this._onDidChange.fire({enabled:e}))}get checked(){return this._checked}set checked(e){this._setChecked(e)}_setChecked(e){this._checked!==e&&(this._checked=e,this._onDidChange.fire({checked:e}))}async run(e,i){this._actionCallback&&await this._actionCallback(e)}}class TB extends xi{constructor(){super(...arguments),this._onWillRun=this._register(new gi),this.onWillRun=this._onWillRun.event,this._onDidRun=this._register(new gi),this.onDidRun=this._onDidRun.event}async run(e,i){if(!e.enabled)return;this._onWillRun.fire({action:e});let n;try{await this.runAction(e,i)}catch(s){n=s}this._onDidRun.fire({action:e,error:n})}async runAction(e,i){await e.run(i)}}const lne=class lne{constructor(){this.id=lne.ID,this.label="",this.tooltip="",this.class="separator",this.enabled=!1,this.checked=!1}static join(...e){let i=[];for(const n of e)n.length&&(i.length?i=[...i,new lne,...n]:i=n);return i}async run(){}};lne.ID="vs.actions.separator";let Rm=lne;class T${get actions(){return this._actions}constructor(e,i,n,s){this.tooltip="",this.enabled=!0,this.checked=void 0,this.id=e,this.label=i,this.class=s,this._actions=n}async run(){}}const Gbe=class Gbe extends $C{constructor(){super(Gbe.ID,W("submenu.empty","(empty)"),void 0,!1)}};Gbe.ID="vs.actions.empty";let IWe=Gbe;function _9(l){return{id:l.id,label:l.label,tooltip:l.tooltip??l.label,class:l.class,enabled:l.enabled??!0,checked:l.checked,run:async(...e)=>l.run(...e)}}var NWe;(function(l){function e(i){return i&&typeof i=="object"&&typeof i.id=="string"}l.isThemeColor=e})(NWe||(NWe={}));var zo;(function(l){l.iconNameSegment="[A-Za-z0-9]+",l.iconNameExpression="[A-Za-z0-9-]+",l.iconModifierExpression="~[A-Za-z]+",l.iconNameCharacter="[A-Za-z0-9~-]";const e=new RegExp(`^(${l.iconNameExpression})(${l.iconModifierExpression})?$`);function i(I){const P=e.exec(I.id);if(!P)return i(pr.error);const[,O,z]=P,J=["codicon","codicon-"+O];return z&&J.push("codicon-modifier-"+z.substring(1)),J}l.asClassNameArray=i;function n(I){return i(I).join(" ")}l.asClassName=n;function s(I){return"."+i(I).join(".")}l.asCSSSelector=s;function c(I){return I&&typeof I=="object"&&typeof I.id=="string"&&(typeof I.color>"u"||NWe.isThemeColor(I.color))}l.isThemeIcon=c;const d=new RegExp(`^\\$\\((${l.iconNameExpression}(?:${l.iconModifierExpression})?)\\)$`);function f(I){const P=d.exec(I);if(!P)return;const[,O]=P;return{id:O}}l.fromString=f;function m(I){return{id:I}}l.fromId=m;function v(I,P){let O=I.id;const z=O.lastIndexOf("~");return z!==-1&&(O=O.substring(0,z)),P&&(O=`${O}~${P}`),{id:O}}l.modify=v;function x(I){const P=I.id.lastIndexOf("~");if(P!==-1)return I.id.substring(P+1)}l.getModifier=x;function w(I,P){var O,z;return I.id===P.id&&((O=I.color)==null?void 0:O.id)===((z=P.color)==null?void 0:z.id)}l.isEqual=w})(zo||(zo={}));const qd=jc("commandService"),fl=new class{constructor(){this._commands=new Map,this._onDidRegisterCommand=new gi,this.onDidRegisterCommand=this._onDidRegisterCommand.event}registerCommand(l,e){if(!l)throw new Error("invalid command");if(typeof l=="string"){if(!e)throw new Error("invalid command");return this.registerCommand({id:l,handler:e})}if(l.metadata&&Array.isArray(l.metadata.args)){const d=[];for(const m of l.metadata.args)d.push(m.constraint);const f=l.handler;l.handler=function(m,...v){return Hai(v,d),f(m,...v)}}const{id:i}=l;let n=this._commands.get(i);n||(n=new _v,this._commands.set(i,n));const s=n.unshift(l),c=fo(()=>{s();const d=this._commands.get(i);d!=null&&d.isEmpty()&&this._commands.delete(i)});return this._onDidRegisterCommand.fire(i),c}registerCommandAlias(l,e){return fl.registerCommand(l,(i,...n)=>i.get(qd).executeCommand(e,...n))}getCommand(l){const e=this._commands.get(l);if(!(!e||e.isEmpty()))return dl.first(e)}getCommands(){const l=new Map;for(const e of this._commands.keys()){const i=this.getCommand(e);i&&l.set(e,i)}return l}};fl.registerCommand("noop",()=>{});function pFe(...l){switch(l.length){case 1:return W("contextkey.scanner.hint.didYouMean1","Did you mean {0}?",l[0]);case 2:return W("contextkey.scanner.hint.didYouMean2","Did you mean {0} or {1}?",l[0],l[1]);case 3:return W("contextkey.scanner.hint.didYouMean3","Did you mean {0}, {1} or {2}?",l[0],l[1],l[2]);default:return}}const Ldi=W("contextkey.scanner.hint.didYouForgetToOpenOrCloseQuote","Did you forget to open or close the quote?"),Pdi=W("contextkey.scanner.hint.didYouForgetToEscapeSlash","Did you forget to escape the '/' (slash) character? Put two backslashes before it to escape, e.g., '\\\\/'.");var J4;let Ite=(J4=class{constructor(){this._input="",this._start=0,this._current=0,this._tokens=[],this._errors=[],this.stringRe=/[a-zA-Z0-9_<>\-\./\\:\*\?\+\[\]\^,#@;"%\$\p{L}-]+/uy}static getLexeme(e){switch(e.type){case 0:return"(";case 1:return")";case 2:return"!";case 3:return e.isTripleEq?"===":"==";case 4:return e.isTripleEq?"!==":"!=";case 5:return"<";case 6:return"<=";case 7:return">=";case 8:return">=";case 9:return"=~";case 10:return e.lexeme;case 11:return"true";case 12:return"false";case 13:return"in";case 14:return"not";case 15:return"&&";case 16:return"||";case 17:return e.lexeme;case 18:return e.lexeme;case 19:return e.lexeme;case 20:return"EOF";default:throw $qe(`unhandled token type: ${JSON.stringify(e)}; have you forgotten to add a case?`)}}reset(e){return this._input=e,this._start=0,this._current=0,this._tokens=[],this._errors=[],this}scan(){for(;!this._isAtEnd();)switch(this._start=this._current,this._advance()){case 40:this._addToken(0);break;case 41:this._addToken(1);break;case 33:if(this._match(61)){const i=this._match(61);this._tokens.push({type:4,offset:this._start,isTripleEq:i})}else this._addToken(2);break;case 39:this._quotedString();break;case 47:this._regex();break;case 61:if(this._match(61)){const i=this._match(61);this._tokens.push({type:3,offset:this._start,isTripleEq:i})}else this._match(126)?this._addToken(9):this._error(pFe("==","=~"));break;case 60:this._addToken(this._match(61)?6:5);break;case 62:this._addToken(this._match(61)?8:7);break;case 38:this._match(38)?this._addToken(15):this._error(pFe("&&"));break;case 124:this._match(124)?this._addToken(16):this._error(pFe("||"));break;case 32:case 13:case 9:case 10:case 160:break;default:this._string()}return this._start=this._current,this._addToken(20),Array.from(this._tokens)}_match(e){return this._isAtEnd()||this._input.charCodeAt(this._current)!==e?!1:(this._current++,!0)}_advance(){return this._input.charCodeAt(this._current++)}_peek(){return this._isAtEnd()?0:this._input.charCodeAt(this._current)}_addToken(e){this._tokens.push({type:e,offset:this._start})}_error(e){const i=this._start,n=this._input.substring(this._start,this._current),s={type:19,offset:this._start,lexeme:n};this._errors.push({offset:i,lexeme:n,additionalInfo:e}),this._tokens.push(s)}_string(){this.stringRe.lastIndex=this._start;const e=this.stringRe.exec(this._input);if(e){this._current=this._start+e[0].length;const i=this._input.substring(this._start,this._current),n=J4._keywords.get(i);n?this._addToken(n):this._tokens.push({type:17,lexeme:i,offset:this._start})}}_quotedString(){for(;this._peek()!==39&&!this._isAtEnd();)this._advance();if(this._isAtEnd()){this._error(Ldi);return}this._advance(),this._tokens.push({type:18,lexeme:this._input.substring(this._start+1,this._current-1),offset:this._start+1})}_regex(){let e=this._current,i=!1,n=!1;for(;;){if(e>=this._input.length){this._current=e,this._error(Pdi);return}const c=this._input.charCodeAt(e);if(i)i=!1;else if(c===47&&!n){e++;break}else c===91?n=!0:c===92?i=!0:c===93&&(n=!1);e++}for(;e<this._input.length&&J4._regexFlags.has(this._input.charCodeAt(e));)e++;this._current=e;const s=this._input.substring(this._start,this._current);this._tokens.push({type:10,lexeme:s,offset:this._start})}_isAtEnd(){return this._current>=this._input.length}},J4._regexFlags=new Set(["i","g","s","m","y","u"].map(e=>e.charCodeAt(0))),J4._keywords=new Map([["not",14],["in",13],["false",12],["true",11]]),J4);const g1=new Map;g1.set("false",!1);g1.set("true",!0);g1.set("isMac",Hc);g1.set("isLinux",Cv);g1.set("isWindows",I0);g1.set("isWeb",XB);g1.set("isMacNative",Hc&&!XB);g1.set("isEdge",ici);g1.set("isFirefox",eci);g1.set("isChrome",Lkt);g1.set("isSafari",tci);const Adi=Object.prototype.hasOwnProperty,Odi={regexParsingWithErrorRecovery:!0},Mdi=W("contextkey.parser.error.emptyString","Empty context key expression"),Rdi=W("contextkey.parser.error.emptyString.hint","Did you forget to write an expression? You can also put 'false' or 'true' to always evaluate to false or true, respectively."),Fdi=W("contextkey.parser.error.noInAfterNot","'in' after 'not'."),pvt=W("contextkey.parser.error.closingParenthesis","closing parenthesis ')'"),Bdi=W("contextkey.parser.error.unexpectedToken","Unexpected token"),Wdi=W("contextkey.parser.error.unexpectedToken.hint","Did you forget to put && or || before the token?"),Vdi=W("contextkey.parser.error.unexpectedEOF","Unexpected end of expression"),Hdi=W("contextkey.parser.error.unexpectedEOF.hint","Did you forget to put a context key?");var vL;let jdi=(vL=class{constructor(e=Odi){this._config=e,this._scanner=new Ite,this._tokens=[],this._current=0,this._parsingErrors=[],this._flagsGYRe=/g|y/g}parse(e){if(e===""){this._parsingErrors.push({message:Mdi,offset:0,lexeme:"",additionalInfo:Rdi});return}this._tokens=this._scanner.reset(e).scan(),this._current=0,this._parsingErrors=[];try{const i=this._expr();if(!this._isAtEnd()){const n=this._peek(),s=n.type===17?Wdi:void 0;throw this._parsingErrors.push({message:Bdi,offset:n.offset,lexeme:Ite.getLexeme(n),additionalInfo:s}),vL._parseError}return i}catch(i){if(i!==vL._parseError)throw i;return}}_expr(){return this._or()}_or(){const e=[this._and()];for(;this._matchOne(16);){const i=this._and();e.push(i)}return e.length===1?e[0]:kn.or(...e)}_and(){const e=[this._term()];for(;this._matchOne(15);){const i=this._term();e.push(i)}return e.length===1?e[0]:kn.and(...e)}_term(){if(this._matchOne(2)){const e=this._peek();switch(e.type){case 11:return this._advance(),Sv.INSTANCE;case 12:return this._advance(),Nb.INSTANCE;case 0:{this._advance();const i=this._expr();return this._consume(1,pvt),i==null?void 0:i.negate()}case 17:return this._advance(),ZB.create(e.lexeme);default:throw this._errExpectedButGot("KEY | true | false | '(' expression ')'",e)}}return this._primary()}_primary(){const e=this._peek();switch(e.type){case 11:return this._advance(),kn.true();case 12:return this._advance(),kn.false();case 0:{this._advance();const i=this._expr();return this._consume(1,pvt),i}case 17:{const i=e.lexeme;if(this._advance(),this._matchOne(9)){const s=this._peek();if(!this._config.regexParsingWithErrorRecovery){if(this._advance(),s.type!==10)throw this._errExpectedButGot("REGEX",s);const c=s.lexeme,d=c.lastIndexOf("/"),f=d===c.length-1?void 0:this._removeFlagsGY(c.substring(d+1));let m;try{m=new RegExp(c.substring(1,d),f)}catch{throw this._errExpectedButGot("REGEX",s)}return Vne.create(i,m)}switch(s.type){case 10:case 19:{const c=[s.lexeme];this._advance();let d=this._peek(),f=0;for(let I=0;I<s.lexeme.length;I++)s.lexeme.charCodeAt(I)===40?f++:s.lexeme.charCodeAt(I)===41&&f--;for(;!this._isAtEnd()&&d.type!==15&&d.type!==16;){switch(d.type){case 0:f++;break;case 1:f--;break;case 10:case 18:for(let I=0;I<d.lexeme.length;I++)d.lexeme.charCodeAt(I)===40?f++:s.lexeme.charCodeAt(I)===41&&f--}if(f<0)break;c.push(Ite.getLexeme(d)),this._advance(),d=this._peek()}const m=c.join(""),v=m.lastIndexOf("/"),x=v===m.length-1?void 0:this._removeFlagsGY(m.substring(v+1));let w;try{w=new RegExp(m.substring(1,v),x)}catch{throw this._errExpectedButGot("REGEX",s)}return kn.regex(i,w)}case 18:{const c=s.lexeme;this._advance();let d=null;if(!Zkt(c)){const f=c.indexOf("/"),m=c.lastIndexOf("/");if(f!==m&&f>=0){const v=c.slice(f+1,m),x=c[m+1]==="i"?"i":"";try{d=new RegExp(v,x)}catch{throw this._errExpectedButGot("REGEX",s)}}}if(d===null)throw this._errExpectedButGot("REGEX",s);return Vne.create(i,d)}default:throw this._errExpectedButGot("REGEX",this._peek())}}if(this._matchOne(14)){this._consume(13,Fdi);const s=this._value();return kn.notIn(i,s)}switch(this._peek().type){case 3:{this._advance();const s=this._value();if(this._previous().type===18)return kn.equals(i,s);switch(s){case"true":return kn.has(i);case"false":return kn.not(i);default:return kn.equals(i,s)}}case 4:{this._advance();const s=this._value();if(this._previous().type===18)return kn.notEquals(i,s);switch(s){case"true":return kn.not(i);case"false":return kn.has(i);default:return kn.notEquals(i,s)}}case 5:return this._advance(),zSe.create(i,this._value());case 6:return this._advance(),USe.create(i,this._value());case 7:return this._advance(),HSe.create(i,this._value());case 8:return this._advance(),jSe.create(i,this._value());case 13:return this._advance(),kn.in(i,this._value());default:return kn.has(i)}}case 20:throw this._parsingErrors.push({message:Vdi,offset:e.offset,lexeme:"",additionalInfo:Hdi}),vL._parseError;default:throw this._errExpectedButGot(`true | false | KEY
+ | KEY '=~' REGEX
+ | KEY ('==' | '!=' | '<' | '<=' | '>' | '>=' | 'in' | 'not' 'in') value`,this._peek())}}_value(){const e=this._peek();switch(e.type){case 17:case 18:return this._advance(),e.lexeme;case 11:return this._advance(),"true";case 12:return this._advance(),"false";case 13:return this._advance(),"in";default:return""}}_removeFlagsGY(e){return e.replaceAll(this._flagsGYRe,"")}_previous(){return this._tokens[this._current-1]}_matchOne(e){return this._check(e)?(this._advance(),!0):!1}_advance(){return this._isAtEnd()||this._current++,this._previous()}_consume(e,i){if(this._check(e))return this._advance();throw this._errExpectedButGot(i,this._peek())}_errExpectedButGot(e,i,n){const s=W("contextkey.parser.error.expectedButGot",`Expected: {0}
+Received: '{1}'.`,e,Ite.getLexeme(i)),c=i.offset,d=Ite.getLexeme(i);return this._parsingErrors.push({message:s,offset:c,lexeme:d,additionalInfo:n}),vL._parseError}_check(e){return this._peek().type===e}_peek(){return this._tokens[this._current]}_isAtEnd(){return this._peek().type===20}},vL._parseError=new Error,vL);const xKe=class xKe{static false(){return Sv.INSTANCE}static true(){return Nb.INSTANCE}static has(e){return QB.create(e)}static equals(e,i){return pJ.create(e,i)}static notEquals(e,i){return WSe.create(e,i)}static regex(e,i){return Vne.create(e,i)}static in(e,i){return FSe.create(e,i)}static notIn(e,i){return BSe.create(e,i)}static not(e){return ZB.create(e)}static and(...e){return i9.create(e,null,!0)}static or(...e){return A4.create(e,null,!0)}static deserialize(e){return e==null?void 0:this._parser.parse(e)}};xKe._parser=new jdi({regexParsingWithErrorRecovery:!1});let kn=xKe;function zdi(l,e){const i=l?l.substituteConstants():void 0,n=e?e.substituteConstants():void 0;return!i&&!n?!0:!i||!n?!1:i.equals(n)}function kie(l,e){return l.cmp(e)}const Kbe=class Kbe{constructor(){this.type=0}cmp(e){return this.type-e.type}equals(e){return e.type===this.type}substituteConstants(){return this}evaluate(e){return!1}serialize(){return"false"}keys(){return[]}negate(){return Nb.INSTANCE}};Kbe.INSTANCE=new Kbe;let Sv=Kbe;const Xbe=class Xbe{constructor(){this.type=1}cmp(e){return this.type-e.type}equals(e){return e.type===this.type}substituteConstants(){return this}evaluate(e){return!0}serialize(){return"true"}keys(){return[]}negate(){return Sv.INSTANCE}};Xbe.INSTANCE=new Xbe;let Nb=Xbe;class QB{static create(e,i=null){const n=g1.get(e);return typeof n=="boolean"?n?Nb.INSTANCE:Sv.INSTANCE:new QB(e,i)}constructor(e,i){this.key=e,this.negated=i,this.type=2}cmp(e){return e.type!==this.type?this.type-e.type:O2t(this.key,e.key)}equals(e){return e.type===this.type?this.key===e.key:!1}substituteConstants(){const e=g1.get(this.key);return typeof e=="boolean"?e?Nb.INSTANCE:Sv.INSTANCE:this}evaluate(e){return!!e.getValue(this.key)}serialize(){return this.key}keys(){return[this.key]}negate(){return this.negated||(this.negated=ZB.create(this.key,this)),this.negated}}class pJ{static create(e,i,n=null){if(typeof i=="boolean")return i?QB.create(e,n):ZB.create(e,n);const s=g1.get(e);return typeof s=="boolean"?i===(s?"true":"false")?Nb.INSTANCE:Sv.INSTANCE:new pJ(e,i,n)}constructor(e,i,n){this.key=e,this.value=i,this.negated=n,this.type=4}cmp(e){return e.type!==this.type?this.type-e.type:YB(this.key,this.value,e.key,e.value)}equals(e){return e.type===this.type?this.key===e.key&&this.value===e.value:!1}substituteConstants(){const e=g1.get(this.key);if(typeof e=="boolean"){const i=e?"true":"false";return this.value===i?Nb.INSTANCE:Sv.INSTANCE}return this}evaluate(e){return e.getValue(this.key)==this.value}serialize(){return`${this.key} == '${this.value}'`}keys(){return[this.key]}negate(){return this.negated||(this.negated=WSe.create(this.key,this.value,this)),this.negated}}class FSe{static create(e,i){return new FSe(e,i)}constructor(e,i){this.key=e,this.valueKey=i,this.type=10,this.negated=null}cmp(e){return e.type!==this.type?this.type-e.type:YB(this.key,this.valueKey,e.key,e.valueKey)}equals(e){return e.type===this.type?this.key===e.key&&this.valueKey===e.valueKey:!1}substituteConstants(){return this}evaluate(e){const i=e.getValue(this.valueKey),n=e.getValue(this.key);return Array.isArray(i)?i.includes(n):typeof n=="string"&&typeof i=="object"&&i!==null?Adi.call(i,n):!1}serialize(){return`${this.key} in '${this.valueKey}'`}keys(){return[this.key,this.valueKey]}negate(){return this.negated||(this.negated=BSe.create(this.key,this.valueKey)),this.negated}}class BSe{static create(e,i){return new BSe(e,i)}constructor(e,i){this.key=e,this.valueKey=i,this.type=11,this._negated=FSe.create(e,i)}cmp(e){return e.type!==this.type?this.type-e.type:this._negated.cmp(e._negated)}equals(e){return e.type===this.type?this._negated.equals(e._negated):!1}substituteConstants(){return this}evaluate(e){return!this._negated.evaluate(e)}serialize(){return`${this.key} not in '${this.valueKey}'`}keys(){return this._negated.keys()}negate(){return this._negated}}class WSe{static create(e,i,n=null){if(typeof i=="boolean")return i?ZB.create(e,n):QB.create(e,n);const s=g1.get(e);return typeof s=="boolean"?i===(s?"true":"false")?Sv.INSTANCE:Nb.INSTANCE:new WSe(e,i,n)}constructor(e,i,n){this.key=e,this.value=i,this.negated=n,this.type=5}cmp(e){return e.type!==this.type?this.type-e.type:YB(this.key,this.value,e.key,e.value)}equals(e){return e.type===this.type?this.key===e.key&&this.value===e.value:!1}substituteConstants(){const e=g1.get(this.key);if(typeof e=="boolean"){const i=e?"true":"false";return this.value===i?Sv.INSTANCE:Nb.INSTANCE}return this}evaluate(e){return e.getValue(this.key)!=this.value}serialize(){return`${this.key} != '${this.value}'`}keys(){return[this.key]}negate(){return this.negated||(this.negated=pJ.create(this.key,this.value,this)),this.negated}}class ZB{static create(e,i=null){const n=g1.get(e);return typeof n=="boolean"?n?Sv.INSTANCE:Nb.INSTANCE:new ZB(e,i)}constructor(e,i){this.key=e,this.negated=i,this.type=3}cmp(e){return e.type!==this.type?this.type-e.type:O2t(this.key,e.key)}equals(e){return e.type===this.type?this.key===e.key:!1}substituteConstants(){const e=g1.get(this.key);return typeof e=="boolean"?e?Sv.INSTANCE:Nb.INSTANCE:this}evaluate(e){return!e.getValue(this.key)}serialize(){return`!${this.key}`}keys(){return[this.key]}negate(){return this.negated||(this.negated=QB.create(this.key,this)),this.negated}}function VSe(l,e){if(typeof l=="string"){const i=parseFloat(l);isNaN(i)||(l=i)}return typeof l=="string"||typeof l=="number"?e(l):Sv.INSTANCE}class HSe{static create(e,i,n=null){return VSe(i,s=>new HSe(e,s,n))}constructor(e,i,n){this.key=e,this.value=i,this.negated=n,this.type=12}cmp(e){return e.type!==this.type?this.type-e.type:YB(this.key,this.value,e.key,e.value)}equals(e){return e.type===this.type?this.key===e.key&&this.value===e.value:!1}substituteConstants(){return this}evaluate(e){return typeof this.value=="string"?!1:parseFloat(e.getValue(this.key))>this.value}serialize(){return`${this.key} > ${this.value}`}keys(){return[this.key]}negate(){return this.negated||(this.negated=USe.create(this.key,this.value,this)),this.negated}}class jSe{static create(e,i,n=null){return VSe(i,s=>new jSe(e,s,n))}constructor(e,i,n){this.key=e,this.value=i,this.negated=n,this.type=13}cmp(e){return e.type!==this.type?this.type-e.type:YB(this.key,this.value,e.key,e.value)}equals(e){return e.type===this.type?this.key===e.key&&this.value===e.value:!1}substituteConstants(){return this}evaluate(e){return typeof this.value=="string"?!1:parseFloat(e.getValue(this.key))>=this.value}serialize(){return`${this.key} >= ${this.value}`}keys(){return[this.key]}negate(){return this.negated||(this.negated=zSe.create(this.key,this.value,this)),this.negated}}class zSe{static create(e,i,n=null){return VSe(i,s=>new zSe(e,s,n))}constructor(e,i,n){this.key=e,this.value=i,this.negated=n,this.type=14}cmp(e){return e.type!==this.type?this.type-e.type:YB(this.key,this.value,e.key,e.value)}equals(e){return e.type===this.type?this.key===e.key&&this.value===e.value:!1}substituteConstants(){return this}evaluate(e){return typeof this.value=="string"?!1:parseFloat(e.getValue(this.key))<this.value}serialize(){return`${this.key} < ${this.value}`}keys(){return[this.key]}negate(){return this.negated||(this.negated=jSe.create(this.key,this.value,this)),this.negated}}class USe{static create(e,i,n=null){return VSe(i,s=>new USe(e,s,n))}constructor(e,i,n){this.key=e,this.value=i,this.negated=n,this.type=15}cmp(e){return e.type!==this.type?this.type-e.type:YB(this.key,this.value,e.key,e.value)}equals(e){return e.type===this.type?this.key===e.key&&this.value===e.value:!1}substituteConstants(){return this}evaluate(e){return typeof this.value=="string"?!1:parseFloat(e.getValue(this.key))<=this.value}serialize(){return`${this.key} <= ${this.value}`}keys(){return[this.key]}negate(){return this.negated||(this.negated=HSe.create(this.key,this.value,this)),this.negated}}class Vne{static create(e,i){return new Vne(e,i)}constructor(e,i){this.key=e,this.regexp=i,this.type=7,this.negated=null}cmp(e){if(e.type!==this.type)return this.type-e.type;if(this.key<e.key)return-1;if(this.key>e.key)return 1;const i=this.regexp?this.regexp.source:"",n=e.regexp?e.regexp.source:"";return i<n?-1:i>n?1:0}equals(e){if(e.type===this.type){const i=this.regexp?this.regexp.source:"",n=e.regexp?e.regexp.source:"";return this.key===e.key&&i===n}return!1}substituteConstants(){return this}evaluate(e){const i=e.getValue(this.key);return this.regexp?this.regexp.test(i):!1}serialize(){const e=this.regexp?`/${this.regexp.source}/${this.regexp.flags}`:"/invalid/";return`${this.key} =~ ${e}`}keys(){return[this.key]}negate(){return this.negated||(this.negated=_$e.create(this)),this.negated}}class _$e{static create(e){return new _$e(e)}constructor(e){this._actual=e,this.type=8}cmp(e){return e.type!==this.type?this.type-e.type:this._actual.cmp(e._actual)}equals(e){return e.type===this.type?this._actual.equals(e._actual):!1}substituteConstants(){return this}evaluate(e){return!this._actual.evaluate(e)}serialize(){return`!(${this._actual.serialize()})`}keys(){return this._actual.keys()}negate(){return this._actual}}function A2t(l){let e=null;for(let i=0,n=l.length;i<n;i++){const s=l[i].substituteConstants();if(l[i]!==s&&e===null){e=[];for(let c=0;c<i;c++)e[c]=l[c]}e!==null&&(e[i]=s)}return e===null?l:e}class i9{static create(e,i,n){return i9._normalizeArr(e,i,n)}constructor(e,i){this.expr=e,this.negated=i,this.type=6}cmp(e){if(e.type!==this.type)return this.type-e.type;if(this.expr.length<e.expr.length)return-1;if(this.expr.length>e.expr.length)return 1;for(let i=0,n=this.expr.length;i<n;i++){const s=kie(this.expr[i],e.expr[i]);if(s!==0)return s}return 0}equals(e){if(e.type===this.type){if(this.expr.length!==e.expr.length)return!1;for(let i=0,n=this.expr.length;i<n;i++)if(!this.expr[i].equals(e.expr[i]))return!1;return!0}return!1}substituteConstants(){const e=A2t(this.expr);return e===this.expr?this:i9.create(e,this.negated,!1)}evaluate(e){for(let i=0,n=this.expr.length;i<n;i++)if(!this.expr[i].evaluate(e))return!1;return!0}static _normalizeArr(e,i,n){const s=[];let c=!1;for(const d of e)if(d){if(d.type===1){c=!0;continue}if(d.type===0)return Sv.INSTANCE;if(d.type===6){s.push(...d.expr);continue}s.push(d)}if(s.length===0&&c)return Nb.INSTANCE;if(s.length!==0){if(s.length===1)return s[0];s.sort(kie);for(let d=1;d<s.length;d++)s[d-1].equals(s[d])&&(s.splice(d,1),d--);if(s.length===1)return s[0];for(;s.length>1;){const d=s[s.length-1];if(d.type!==9)break;s.pop();const f=s.pop(),m=s.length===0,v=A4.create(d.expr.map(x=>i9.create([x,f],null,n)),null,m);v&&(s.push(v),s.sort(kie))}if(s.length===1)return s[0];if(n){for(let d=0;d<s.length;d++)for(let f=d+1;f<s.length;f++)if(s[d].negate().equals(s[f]))return Sv.INSTANCE;if(s.length===1)return s[0]}return new i9(s,i)}}serialize(){return this.expr.map(e=>e.serialize()).join(" && ")}keys(){const e=[];for(const i of this.expr)e.push(...i.keys());return e}negate(){if(!this.negated){const e=[];for(const i of this.expr)e.push(i.negate());this.negated=A4.create(e,this,!0)}return this.negated}}class A4{static create(e,i,n){return A4._normalizeArr(e,i,n)}constructor(e,i){this.expr=e,this.negated=i,this.type=9}cmp(e){if(e.type!==this.type)return this.type-e.type;if(this.expr.length<e.expr.length)return-1;if(this.expr.length>e.expr.length)return 1;for(let i=0,n=this.expr.length;i<n;i++){const s=kie(this.expr[i],e.expr[i]);if(s!==0)return s}return 0}equals(e){if(e.type===this.type){if(this.expr.length!==e.expr.length)return!1;for(let i=0,n=this.expr.length;i<n;i++)if(!this.expr[i].equals(e.expr[i]))return!1;return!0}return!1}substituteConstants(){const e=A2t(this.expr);return e===this.expr?this:A4.create(e,this.negated,!1)}evaluate(e){for(let i=0,n=this.expr.length;i<n;i++)if(this.expr[i].evaluate(e))return!0;return!1}static _normalizeArr(e,i,n){let s=[],c=!1;if(e){for(let d=0,f=e.length;d<f;d++){const m=e[d];if(m){if(m.type===0){c=!0;continue}if(m.type===1)return Nb.INSTANCE;if(m.type===9){s=s.concat(m.expr);continue}s.push(m)}}if(s.length===0&&c)return Sv.INSTANCE;s.sort(kie)}if(s.length!==0){if(s.length===1)return s[0];for(let d=1;d<s.length;d++)s[d-1].equals(s[d])&&(s.splice(d,1),d--);if(s.length===1)return s[0];if(n){for(let d=0;d<s.length;d++)for(let f=d+1;f<s.length;f++)if(s[d].negate().equals(s[f]))return Nb.INSTANCE;if(s.length===1)return s[0]}return new A4(s,i)}}serialize(){return this.expr.map(e=>e.serialize()).join(" || ")}keys(){const e=[];for(const i of this.expr)e.push(...i.keys());return e}negate(){if(!this.negated){const e=[];for(const i of this.expr)e.push(i.negate());for(;e.length>1;){const i=e.shift(),n=e.shift(),s=[];for(const c of gvt(i))for(const d of gvt(n))s.push(i9.create([c,d],null,!1));e.unshift(A4.create(s,null,!1))}this.negated=A4.create(e,this,!0)}return this.negated}}const Tq=class Tq extends QB{static all(){return Tq._info.values()}constructor(e,i,n){super(e,null),this._defaultValue=i,typeof n=="object"?Tq._info.push({...n,key:e}):n!==!0&&Tq._info.push({key:e,description:n,type:i!=null?typeof i:void 0})}bindTo(e){return e.createKey(this.key,this._defaultValue)}getValue(e){return e.getContextKeyValue(this.key)}toNegated(){return this.negate()}isEqualTo(e){return pJ.create(this.key,e)}};Tq._info=[];let rs=Tq;const Ko=jc("contextKeyService");function O2t(l,e){return l<e?-1:l>e?1:0}function YB(l,e,i,n){return l<i?-1:l>i?1:e<n?-1:e>n?1:0}function LWe(l,e){if(l.type===0||e.type===1)return!0;if(l.type===9)return e.type===9?mvt(l.expr,e.expr):!1;if(e.type===9){for(const i of e.expr)if(LWe(l,i))return!0;return!1}if(l.type===6){if(e.type===6)return mvt(e.expr,l.expr);for(const i of l.expr)if(LWe(i,e))return!0;return!1}return l.equals(e)}function mvt(l,e){let i=0,n=0;for(;i<l.length&&n<e.length;){const s=l[i].cmp(e[n]);if(s<0)return!1;s===0&&i++,n++}return i===l.length}function gvt(l){return l.type===9?l.expr:[l]}function mFe(l,e){if(!l)throw new Error(e?`Assertion failed (${e})`:"Assertion Failed")}function qSe(l,e="Unreachable"){throw new Error(e)}function yvt(l){l||Pa(new tu("Soft Assertion Failed"))}function DB(l){if(!l()){debugger;l(),Pa(new tu("Assertion Failed"))}}function p$e(l,e){let i=0;for(;i<l.length-1;){const n=l[i],s=l[i+1];if(!e(n,s))return!1;i++}return!0}class Udi{constructor(){this.data=new Map}add(e,i){mFe(Eb(e)),mFe(gg(i)),mFe(!this.data.has(e),"There is already an extension with this id"),this.data.set(e,i)}as(e){return this.data.get(e)||null}}const nh=new Udi;class m$e{constructor(){this._coreKeybindings=new _v,this._extensionKeybindings=[],this._cachedMergedKeybindings=null}static bindToCurrentPlatform(e){if(UC===1){if(e&&e.win)return e.win}else if(UC===2){if(e&&e.mac)return e.mac}else if(e&&e.linux)return e.linux;return e}registerKeybindingRule(e){const i=m$e.bindToCurrentPlatform(e),n=new wn;if(i&&i.primary){const s=gWe(i.primary,UC);s&&n.add(this._registerDefaultKeybinding(s,e.id,e.args,e.weight,0,e.when))}if(i&&Array.isArray(i.secondary))for(let s=0,c=i.secondary.length;s<c;s++){const d=i.secondary[s],f=gWe(d,UC);f&&n.add(this._registerDefaultKeybinding(f,e.id,e.args,e.weight,-s-1,e.when))}return n}registerCommandAndKeybindingRule(e){return gT(this.registerKeybindingRule(e),fl.registerCommand(e))}_registerDefaultKeybinding(e,i,n,s,c,d){const f=this._coreKeybindings.push({keybinding:e,command:i,commandArgs:n,when:d,weight1:s,weight2:c,extensionId:null,isBuiltinExtension:!1});return this._cachedMergedKeybindings=null,fo(()=>{f(),this._cachedMergedKeybindings=null})}getDefaultKeybindings(){return this._cachedMergedKeybindings||(this._cachedMergedKeybindings=Array.from(this._coreKeybindings).concat(this._extensionKeybindings),this._cachedMergedKeybindings.sort($di)),this._cachedMergedKeybindings.slice(0)}}const wv=new m$e,qdi={EditorModes:"platform.keybindingsRegistry"};nh.add(qdi.EditorModes,wv);function $di(l,e){if(l.weight1!==e.weight1)return l.weight1-e.weight1;if(l.command&&e.command){if(l.command<e.command)return-1;if(l.command>e.command)return 1}return l.weight2-e.weight2}var Jdi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},vvt=function(l,e){return function(i,n){e(i,n,l)}},s1e;function jq(l){return l.command!==void 0}function Gdi(l){return l.submenu!==void 0}const Wt=class Wt{constructor(e){if(Wt._instances.has(e))throw new TypeError(`MenuId with identifier '${e}' already exists. Use MenuId.for(ident) or a unique identifier`);Wt._instances.set(e,this),this.id=e}};Wt._instances=new Map,Wt.CommandPalette=new Wt("CommandPalette"),Wt.DebugBreakpointsContext=new Wt("DebugBreakpointsContext"),Wt.DebugCallStackContext=new Wt("DebugCallStackContext"),Wt.DebugConsoleContext=new Wt("DebugConsoleContext"),Wt.DebugVariablesContext=new Wt("DebugVariablesContext"),Wt.NotebookVariablesContext=new Wt("NotebookVariablesContext"),Wt.DebugHoverContext=new Wt("DebugHoverContext"),Wt.DebugWatchContext=new Wt("DebugWatchContext"),Wt.DebugToolBar=new Wt("DebugToolBar"),Wt.DebugToolBarStop=new Wt("DebugToolBarStop"),Wt.DebugCallStackToolbar=new Wt("DebugCallStackToolbar"),Wt.DebugCreateConfiguration=new Wt("DebugCreateConfiguration"),Wt.EditorContext=new Wt("EditorContext"),Wt.SimpleEditorContext=new Wt("SimpleEditorContext"),Wt.EditorContent=new Wt("EditorContent"),Wt.EditorLineNumberContext=new Wt("EditorLineNumberContext"),Wt.EditorContextCopy=new Wt("EditorContextCopy"),Wt.EditorContextPeek=new Wt("EditorContextPeek"),Wt.EditorContextShare=new Wt("EditorContextShare"),Wt.EditorTitle=new Wt("EditorTitle"),Wt.EditorTitleRun=new Wt("EditorTitleRun"),Wt.EditorTitleContext=new Wt("EditorTitleContext"),Wt.EditorTitleContextShare=new Wt("EditorTitleContextShare"),Wt.EmptyEditorGroup=new Wt("EmptyEditorGroup"),Wt.EmptyEditorGroupContext=new Wt("EmptyEditorGroupContext"),Wt.EditorTabsBarContext=new Wt("EditorTabsBarContext"),Wt.EditorTabsBarShowTabsSubmenu=new Wt("EditorTabsBarShowTabsSubmenu"),Wt.EditorTabsBarShowTabsZenModeSubmenu=new Wt("EditorTabsBarShowTabsZenModeSubmenu"),Wt.EditorActionsPositionSubmenu=new Wt("EditorActionsPositionSubmenu"),Wt.ExplorerContext=new Wt("ExplorerContext"),Wt.ExplorerContextShare=new Wt("ExplorerContextShare"),Wt.ExtensionContext=new Wt("ExtensionContext"),Wt.GlobalActivity=new Wt("GlobalActivity"),Wt.CommandCenter=new Wt("CommandCenter"),Wt.CommandCenterCenter=new Wt("CommandCenterCenter"),Wt.LayoutControlMenuSubmenu=new Wt("LayoutControlMenuSubmenu"),Wt.LayoutControlMenu=new Wt("LayoutControlMenu"),Wt.MenubarMainMenu=new Wt("MenubarMainMenu"),Wt.MenubarAppearanceMenu=new Wt("MenubarAppearanceMenu"),Wt.MenubarDebugMenu=new Wt("MenubarDebugMenu"),Wt.MenubarEditMenu=new Wt("MenubarEditMenu"),Wt.MenubarCopy=new Wt("MenubarCopy"),Wt.MenubarFileMenu=new Wt("MenubarFileMenu"),Wt.MenubarGoMenu=new Wt("MenubarGoMenu"),Wt.MenubarHelpMenu=new Wt("MenubarHelpMenu"),Wt.MenubarLayoutMenu=new Wt("MenubarLayoutMenu"),Wt.MenubarNewBreakpointMenu=new Wt("MenubarNewBreakpointMenu"),Wt.PanelAlignmentMenu=new Wt("PanelAlignmentMenu"),Wt.PanelPositionMenu=new Wt("PanelPositionMenu"),Wt.ActivityBarPositionMenu=new Wt("ActivityBarPositionMenu"),Wt.MenubarPreferencesMenu=new Wt("MenubarPreferencesMenu"),Wt.MenubarRecentMenu=new Wt("MenubarRecentMenu"),Wt.MenubarSelectionMenu=new Wt("MenubarSelectionMenu"),Wt.MenubarShare=new Wt("MenubarShare"),Wt.MenubarSwitchEditorMenu=new Wt("MenubarSwitchEditorMenu"),Wt.MenubarSwitchGroupMenu=new Wt("MenubarSwitchGroupMenu"),Wt.MenubarTerminalMenu=new Wt("MenubarTerminalMenu"),Wt.MenubarViewMenu=new Wt("MenubarViewMenu"),Wt.MenubarHomeMenu=new Wt("MenubarHomeMenu"),Wt.OpenEditorsContext=new Wt("OpenEditorsContext"),Wt.OpenEditorsContextShare=new Wt("OpenEditorsContextShare"),Wt.ProblemsPanelContext=new Wt("ProblemsPanelContext"),Wt.SCMInputBox=new Wt("SCMInputBox"),Wt.SCMChangesSeparator=new Wt("SCMChangesSeparator"),Wt.SCMChangesContext=new Wt("SCMChangesContext"),Wt.SCMIncomingChanges=new Wt("SCMIncomingChanges"),Wt.SCMIncomingChangesContext=new Wt("SCMIncomingChangesContext"),Wt.SCMIncomingChangesSetting=new Wt("SCMIncomingChangesSetting"),Wt.SCMOutgoingChanges=new Wt("SCMOutgoingChanges"),Wt.SCMOutgoingChangesContext=new Wt("SCMOutgoingChangesContext"),Wt.SCMOutgoingChangesSetting=new Wt("SCMOutgoingChangesSetting"),Wt.SCMIncomingChangesAllChangesContext=new Wt("SCMIncomingChangesAllChangesContext"),Wt.SCMIncomingChangesHistoryItemContext=new Wt("SCMIncomingChangesHistoryItemContext"),Wt.SCMOutgoingChangesAllChangesContext=new Wt("SCMOutgoingChangesAllChangesContext"),Wt.SCMOutgoingChangesHistoryItemContext=new Wt("SCMOutgoingChangesHistoryItemContext"),Wt.SCMChangeContext=new Wt("SCMChangeContext"),Wt.SCMResourceContext=new Wt("SCMResourceContext"),Wt.SCMResourceContextShare=new Wt("SCMResourceContextShare"),Wt.SCMResourceFolderContext=new Wt("SCMResourceFolderContext"),Wt.SCMResourceGroupContext=new Wt("SCMResourceGroupContext"),Wt.SCMSourceControl=new Wt("SCMSourceControl"),Wt.SCMSourceControlInline=new Wt("SCMSourceControlInline"),Wt.SCMSourceControlTitle=new Wt("SCMSourceControlTitle"),Wt.SCMHistoryTitle=new Wt("SCMHistoryTitle"),Wt.SCMTitle=new Wt("SCMTitle"),Wt.SearchContext=new Wt("SearchContext"),Wt.SearchActionMenu=new Wt("SearchActionContext"),Wt.StatusBarWindowIndicatorMenu=new Wt("StatusBarWindowIndicatorMenu"),Wt.StatusBarRemoteIndicatorMenu=new Wt("StatusBarRemoteIndicatorMenu"),Wt.StickyScrollContext=new Wt("StickyScrollContext"),Wt.TestItem=new Wt("TestItem"),Wt.TestItemGutter=new Wt("TestItemGutter"),Wt.TestProfilesContext=new Wt("TestProfilesContext"),Wt.TestMessageContext=new Wt("TestMessageContext"),Wt.TestMessageContent=new Wt("TestMessageContent"),Wt.TestPeekElement=new Wt("TestPeekElement"),Wt.TestPeekTitle=new Wt("TestPeekTitle"),Wt.TestCallStack=new Wt("TestCallStack"),Wt.TouchBarContext=new Wt("TouchBarContext"),Wt.TitleBarContext=new Wt("TitleBarContext"),Wt.TitleBarTitleContext=new Wt("TitleBarTitleContext"),Wt.TunnelContext=new Wt("TunnelContext"),Wt.TunnelPrivacy=new Wt("TunnelPrivacy"),Wt.TunnelProtocol=new Wt("TunnelProtocol"),Wt.TunnelPortInline=new Wt("TunnelInline"),Wt.TunnelTitle=new Wt("TunnelTitle"),Wt.TunnelLocalAddressInline=new Wt("TunnelLocalAddressInline"),Wt.TunnelOriginInline=new Wt("TunnelOriginInline"),Wt.ViewItemContext=new Wt("ViewItemContext"),Wt.ViewContainerTitle=new Wt("ViewContainerTitle"),Wt.ViewContainerTitleContext=new Wt("ViewContainerTitleContext"),Wt.ViewTitle=new Wt("ViewTitle"),Wt.ViewTitleContext=new Wt("ViewTitleContext"),Wt.CommentEditorActions=new Wt("CommentEditorActions"),Wt.CommentThreadTitle=new Wt("CommentThreadTitle"),Wt.CommentThreadActions=new Wt("CommentThreadActions"),Wt.CommentThreadAdditionalActions=new Wt("CommentThreadAdditionalActions"),Wt.CommentThreadTitleContext=new Wt("CommentThreadTitleContext"),Wt.CommentThreadCommentContext=new Wt("CommentThreadCommentContext"),Wt.CommentTitle=new Wt("CommentTitle"),Wt.CommentActions=new Wt("CommentActions"),Wt.CommentsViewThreadActions=new Wt("CommentsViewThreadActions"),Wt.InteractiveToolbar=new Wt("InteractiveToolbar"),Wt.InteractiveCellTitle=new Wt("InteractiveCellTitle"),Wt.InteractiveCellDelete=new Wt("InteractiveCellDelete"),Wt.InteractiveCellExecute=new Wt("InteractiveCellExecute"),Wt.InteractiveInputExecute=new Wt("InteractiveInputExecute"),Wt.InteractiveInputConfig=new Wt("InteractiveInputConfig"),Wt.ReplInputExecute=new Wt("ReplInputExecute"),Wt.IssueReporter=new Wt("IssueReporter"),Wt.NotebookToolbar=new Wt("NotebookToolbar"),Wt.NotebookStickyScrollContext=new Wt("NotebookStickyScrollContext"),Wt.NotebookCellTitle=new Wt("NotebookCellTitle"),Wt.NotebookCellDelete=new Wt("NotebookCellDelete"),Wt.NotebookCellInsert=new Wt("NotebookCellInsert"),Wt.NotebookCellBetween=new Wt("NotebookCellBetween"),Wt.NotebookCellListTop=new Wt("NotebookCellTop"),Wt.NotebookCellExecute=new Wt("NotebookCellExecute"),Wt.NotebookCellExecuteGoTo=new Wt("NotebookCellExecuteGoTo"),Wt.NotebookCellExecutePrimary=new Wt("NotebookCellExecutePrimary"),Wt.NotebookDiffCellInputTitle=new Wt("NotebookDiffCellInputTitle"),Wt.NotebookDiffCellMetadataTitle=new Wt("NotebookDiffCellMetadataTitle"),Wt.NotebookDiffCellOutputsTitle=new Wt("NotebookDiffCellOutputsTitle"),Wt.NotebookOutputToolbar=new Wt("NotebookOutputToolbar"),Wt.NotebookOutlineFilter=new Wt("NotebookOutlineFilter"),Wt.NotebookOutlineActionMenu=new Wt("NotebookOutlineActionMenu"),Wt.NotebookEditorLayoutConfigure=new Wt("NotebookEditorLayoutConfigure"),Wt.NotebookKernelSource=new Wt("NotebookKernelSource"),Wt.BulkEditTitle=new Wt("BulkEditTitle"),Wt.BulkEditContext=new Wt("BulkEditContext"),Wt.TimelineItemContext=new Wt("TimelineItemContext"),Wt.TimelineTitle=new Wt("TimelineTitle"),Wt.TimelineTitleContext=new Wt("TimelineTitleContext"),Wt.TimelineFilterSubMenu=new Wt("TimelineFilterSubMenu"),Wt.AccountsContext=new Wt("AccountsContext"),Wt.SidebarTitle=new Wt("SidebarTitle"),Wt.PanelTitle=new Wt("PanelTitle"),Wt.AuxiliaryBarTitle=new Wt("AuxiliaryBarTitle"),Wt.AuxiliaryBarHeader=new Wt("AuxiliaryBarHeader"),Wt.TerminalInstanceContext=new Wt("TerminalInstanceContext"),Wt.TerminalEditorInstanceContext=new Wt("TerminalEditorInstanceContext"),Wt.TerminalNewDropdownContext=new Wt("TerminalNewDropdownContext"),Wt.TerminalTabContext=new Wt("TerminalTabContext"),Wt.TerminalTabEmptyAreaContext=new Wt("TerminalTabEmptyAreaContext"),Wt.TerminalStickyScrollContext=new Wt("TerminalStickyScrollContext"),Wt.WebviewContext=new Wt("WebviewContext"),Wt.InlineCompletionsActions=new Wt("InlineCompletionsActions"),Wt.InlineEditsActions=new Wt("InlineEditsActions"),Wt.InlineEditActions=new Wt("InlineEditActions"),Wt.NewFile=new Wt("NewFile"),Wt.MergeInput1Toolbar=new Wt("MergeToolbar1Toolbar"),Wt.MergeInput2Toolbar=new Wt("MergeToolbar2Toolbar"),Wt.MergeBaseToolbar=new Wt("MergeBaseToolbar"),Wt.MergeInputResultToolbar=new Wt("MergeToolbarResultToolbar"),Wt.InlineSuggestionToolbar=new Wt("InlineSuggestionToolbar"),Wt.InlineEditToolbar=new Wt("InlineEditToolbar"),Wt.ChatContext=new Wt("ChatContext"),Wt.ChatCodeBlock=new Wt("ChatCodeblock"),Wt.ChatCompareBlock=new Wt("ChatCompareBlock"),Wt.ChatMessageTitle=new Wt("ChatMessageTitle"),Wt.ChatExecute=new Wt("ChatExecute"),Wt.ChatExecuteSecondary=new Wt("ChatExecuteSecondary"),Wt.ChatInputSide=new Wt("ChatInputSide"),Wt.AccessibleView=new Wt("AccessibleView"),Wt.MultiDiffEditorFileToolbar=new Wt("MultiDiffEditorFileToolbar"),Wt.DiffEditorHunkToolbar=new Wt("DiffEditorHunkToolbar"),Wt.DiffEditorSelectionToolbar=new Wt("DiffEditorSelectionToolbar");let As=Wt;const Lw=jc("menuService"),une=class une{static for(e){let i=this._all.get(e);return i||(i=new une(e),this._all.set(e,i)),i}static merge(e){const i=new Set;for(const n of e)n instanceof une&&i.add(n.id);return i}constructor(e){this.id=e,this.has=i=>i===e}};une._all=new Map;let RF=une;const yg=new class{constructor(){this._commands=new Map,this._menuItems=new Map,this._onDidChangeMenu=new cli({merge:RF.merge}),this.onDidChangeMenu=this._onDidChangeMenu.event}addCommand(l){return this._commands.set(l.id,l),this._onDidChangeMenu.fire(RF.for(As.CommandPalette)),fo(()=>{this._commands.delete(l.id)&&this._onDidChangeMenu.fire(RF.for(As.CommandPalette))})}getCommand(l){return this._commands.get(l)}getCommands(){const l=new Map;return this._commands.forEach((e,i)=>l.set(i,e)),l}appendMenuItem(l,e){let i=this._menuItems.get(l);i||(i=new _v,this._menuItems.set(l,i));const n=i.push(e);return this._onDidChangeMenu.fire(RF.for(l)),fo(()=>{n(),this._onDidChangeMenu.fire(RF.for(l))})}appendMenuItems(l){const e=new wn;for(const{id:i,item:n}of l)e.add(this.appendMenuItem(i,n));return e}getMenuItems(l){let e;return this._menuItems.has(l)?e=[...this._menuItems.get(l)]:e=[],l===As.CommandPalette&&this._appendImplicitItems(e),e}_appendImplicitItems(l){const e=new Set;for(const i of l)jq(i)&&(e.add(i.command.id),i.alt&&e.add(i.alt.id));this._commands.forEach((i,n)=>{e.has(n)||l.push({command:i})})}};class zq extends T${constructor(e,i,n){super(`submenuitem.${e.submenu.id}`,typeof e.title=="string"?e.title:e.title.value,n,"submenu"),this.item=e,this.hideActions=i}}let JC=s1e=class{static label(e,i){return i!=null&&i.renderShortTitle&&e.shortTitle?typeof e.shortTitle=="string"?e.shortTitle:e.shortTitle.value:typeof e.title=="string"?e.title:e.title.value}constructor(e,i,n,s,c,d,f){var v;this.hideActions=s,this.menuKeybinding=c,this._commandService=f,this.id=e.id,this.label=s1e.label(e,n),this.tooltip=(typeof e.tooltip=="string"?e.tooltip:(v=e.tooltip)==null?void 0:v.value)??"",this.enabled=!e.precondition||d.contextMatchesRules(e.precondition),this.checked=void 0;let m;if(e.toggled){const x=e.toggled.condition?e.toggled:{condition:e.toggled};this.checked=d.contextMatchesRules(x.condition),this.checked&&x.tooltip&&(this.tooltip=typeof x.tooltip=="string"?x.tooltip:x.tooltip.value),this.checked&&zo.isThemeIcon(x.icon)&&(m=x.icon),this.checked&&x.title&&(this.label=typeof x.title=="string"?x.title:x.title.value)}m||(m=zo.isThemeIcon(e.icon)?e.icon:void 0),this.item=e,this.alt=i?new s1e(i,void 0,n,s,void 0,d,f):void 0,this._options=n,this.class=m&&zo.asClassName(m)}run(...e){var n,s;let i=[];return(n=this._options)!=null&&n.arg&&(i=[...i,this._options.arg]),(s=this._options)!=null&&s.shouldForwardArgs&&(i=[...i,...e]),this._commandService.executeCommand(this.id,...i)}};JC=s1e=Jdi([vvt(5,Ko),vvt(6,qd)],JC);class Tv{constructor(e){this.desc=e}}function Nd(l){const e=[],i=new l,{f1:n,menu:s,keybinding:c,...d}=i.desc;if(fl.getCommand(d.id))throw new Error(`Cannot register two commands with the same id: ${d.id}`);if(e.push(fl.registerCommand({id:d.id,handler:(f,...m)=>i.run(f,...m),metadata:d.metadata})),Array.isArray(s))for(const f of s)e.push(yg.appendMenuItem(f.id,{command:{...d,precondition:f.precondition===null?void 0:d.precondition},...f}));else s&&e.push(yg.appendMenuItem(s.id,{command:{...d,precondition:s.precondition===null?void 0:d.precondition},...s}));if(n&&(e.push(yg.appendMenuItem(As.CommandPalette,{command:d,when:d.precondition})),e.push(yg.addCommand(d))),Array.isArray(c))for(const f of c)e.push(wv.registerKeybindingRule({...f,id:d.id,when:d.precondition?kn.and(d.precondition,f.when):f.when}));else c&&e.push(wv.registerKeybindingRule({...c,id:d.id,when:d.precondition?kn.and(d.precondition,c.when):c.when}));return{dispose(){vd(e)}}}const b1=jc("telemetryService"),N0=jc("logService");var xb;(function(l){l[l.Off=0]="Off",l[l.Trace=1]="Trace",l[l.Debug=2]="Debug",l[l.Info=3]="Info",l[l.Warning=4]="Warning",l[l.Error=5]="Error"})(xb||(xb={}));const M2t=xb.Info;class R2t extends xi{constructor(){super(...arguments),this.level=M2t,this._onDidChangeLogLevel=this._register(new gi),this.onDidChangeLogLevel=this._onDidChangeLogLevel.event}setLevel(e){this.level!==e&&(this.level=e,this._onDidChangeLogLevel.fire(this.level))}getLevel(){return this.level}checkLogLevel(e){return this.level!==xb.Off&&this.level<=e}}class Kdi extends R2t{constructor(e=M2t,i=!0){super(),this.useColors=i,this.setLevel(e)}trace(e,...i){this.checkLogLevel(xb.Trace)&&(this.useColors?console.log("%cTRACE","color: #888",e,...i):console.log(e,...i))}debug(e,...i){this.checkLogLevel(xb.Debug)&&(this.useColors?console.log("%cDEBUG","background: #eee; color: #888",e,...i):console.log(e,...i))}info(e,...i){this.checkLogLevel(xb.Info)&&(this.useColors?console.log("%c INFO","color: #33f",e,...i):console.log(e,...i))}warn(e,...i){this.checkLogLevel(xb.Warning)&&(this.useColors?console.log("%c WARN","color: #993",e,...i):console.log(e,...i))}error(e,...i){this.checkLogLevel(xb.Error)&&(this.useColors?console.log("%c ERR","color: #f33",e,...i):console.error(e,...i))}}class Xdi extends R2t{constructor(e){super(),this.loggers=e,e.length&&this.setLevel(e[0].getLevel())}setLevel(e){for(const i of this.loggers)i.setLevel(e);super.setLevel(e)}trace(e,...i){for(const n of this.loggers)n.trace(e,...i)}debug(e,...i){for(const n of this.loggers)n.debug(e,...i)}info(e,...i){for(const n of this.loggers)n.info(e,...i)}warn(e,...i){for(const n of this.loggers)n.warn(e,...i)}error(e,...i){for(const n of this.loggers)n.error(e,...i)}dispose(){for(const e of this.loggers)e.dispose();super.dispose()}}function Qdi(l){switch(l){case xb.Trace:return"trace";case xb.Debug:return"debug";case xb.Info:return"info";case xb.Warning:return"warn";case xb.Error:return"error";case xb.Off:return"off"}}new rs("logLevel",Qdi(xb.Info));class $Se{constructor(e){this.id=e.id,this.precondition=e.precondition,this._kbOpts=e.kbOpts,this._menuOpts=e.menuOpts,this.metadata=e.metadata}register(){if(Array.isArray(this._menuOpts)?this._menuOpts.forEach(this._registerMenuItem,this):this._menuOpts&&this._registerMenuItem(this._menuOpts),this._kbOpts){const e=Array.isArray(this._kbOpts)?this._kbOpts:[this._kbOpts];for(const i of e){let n=i.kbExpr;this.precondition&&(n?n=kn.and(n,this.precondition):n=this.precondition);const s={id:this.id,weight:i.weight,args:i.args,when:n,primary:i.primary,secondary:i.secondary,win:i.win,linux:i.linux,mac:i.mac};wv.registerKeybindingRule(s)}}fl.registerCommand({id:this.id,handler:(e,i)=>this.runCommand(e,i),metadata:this.metadata})}_registerMenuItem(e){yg.appendMenuItem(e.menuId,{group:e.group,command:{id:this.id,title:e.title,icon:e.icon,precondition:this.precondition},when:e.when,order:e.order})}}class mJ extends $Se{constructor(){super(...arguments),this._implementations=[]}addImplementation(e,i,n,s){return this._implementations.push({priority:e,name:i,implementation:n,when:s}),this._implementations.sort((c,d)=>d.priority-c.priority),{dispose:()=>{for(let c=0;c<this._implementations.length;c++)if(this._implementations[c].implementation===n){this._implementations.splice(c,1);return}}}}runCommand(e,i){const n=e.get(N0),s=e.get(Ko);n.trace(`Executing Command '${this.id}' which has ${this._implementations.length} bound.`);for(const c of this._implementations){if(c.when){const f=s.getContext(D0());if(!c.when.evaluate(f))continue}const d=c.implementation(e,i);if(d)return n.trace(`Command '${this.id}' was handled by '${c.name}'.`),typeof d=="boolean"?void 0:d}n.trace(`The Command '${this.id}' was not handled by any implementation.`)}}class F2t extends $Se{constructor(e,i){super(i),this.command=e}runCommand(e,i){return this.command.runCommand(e,i)}}class tm extends $Se{static bindToContribution(e){return class extends tm{constructor(n){super(n),this._callback=n.handler}runEditorCommand(n,s,c){const d=e(s);d&&this._callback(d,c)}}}static runEditorCommand(e,i,n,s){const c=e.get(Bl),d=c.getFocusedCodeEditor()||c.getActiveCodeEditor();if(d)return d.invokeWithinContext(f=>{if(f.get(Ko).contextMatchesRules(n??void 0))return s(f,d,i)})}runCommand(e,i){return tm.runEditorCommand(e,i,this.precondition,(n,s,c)=>this.runEditorCommand(n,s,c))}}class hs extends tm{static convertOptions(e){let i;Array.isArray(e.menuOpts)?i=e.menuOpts:e.menuOpts?i=[e.menuOpts]:i=[];function n(s){return s.menuId||(s.menuId=As.EditorContext),s.title||(s.title=e.label),s.when=kn.and(e.precondition,s.when),s}return Array.isArray(e.contextMenuOpts)?i.push(...e.contextMenuOpts.map(n)):e.contextMenuOpts&&i.push(n(e.contextMenuOpts)),e.menuOpts=i,e}constructor(e){super(hs.convertOptions(e)),this.label=e.label,this.alias=e.alias}runEditorCommand(e,i,n){return this.reportTelemetry(e,i),this.run(e,i,n||{})}reportTelemetry(e,i){e.get(b1).publicLog2("editorActionInvoked",{name:this.label,id:this.id})}}class B2t extends hs{constructor(){super(...arguments),this._implementations=[]}addImplementation(e,i){return this._implementations.push([e,i]),this._implementations.sort((n,s)=>s[0]-n[0]),{dispose:()=>{for(let n=0;n<this._implementations.length;n++)if(this._implementations[n][1]===i){this._implementations.splice(n,1);return}}}}run(e,i,n){for(const s of this._implementations){const c=s[1](e,i,n);if(c)return typeof c=="boolean"?void 0:c}}}class XE extends Tv{run(e,...i){const n=e.get(Bl),s=n.getFocusedCodeEditor()||n.getActiveCodeEditor();if(s)return s.invokeWithinContext(c=>{var v;const d=c.get(Ko),f=c.get(N0);if(!d.contextMatchesRules(this.desc.precondition??void 0)){f.debug("[EditorAction2] NOT running command because its precondition is FALSE",this.desc.id,(v=this.desc.precondition)==null?void 0:v.serialize());return}return this.runEditorCommand(c,s,...i)})}}function Mb(l,e){fl.registerCommand(l,function(i,...n){const s=i.get(ho),[c,d]=n;Fl(yo.isUri(c)),Fl(pi.isIPosition(d));const f=i.get(rf).getModel(c);if(f){const m=pi.lift(d);return s.invokeFunction(e,f,m,...n.slice(2))}return i.get(Ob).createModelReference(c).then(m=>new Promise((v,x)=>{try{const w=s.invokeFunction(e,m.object.textEditorModel,pi.lift(d),n.slice(2));v(w)}catch(w){x(w)}}).finally(()=>{m.dispose()}))})}function $r(l){return hk.INSTANCE.registerEditorCommand(l),l}function sr(l){const e=new l;return hk.INSTANCE.registerEditorAction(e),e}function W2t(l){return hk.INSTANCE.registerEditorAction(l),l}function Zdi(l){hk.INSTANCE.registerEditorAction(l)}function Cl(l,e,i){hk.INSTANCE.registerEditorContribution(l,e,i)}var p9;(function(l){function e(d){return hk.INSTANCE.getEditorCommand(d)}l.getEditorCommand=e;function i(){return hk.INSTANCE.getEditorActions()}l.getEditorActions=i;function n(){return hk.INSTANCE.getEditorContributions()}l.getEditorContributions=n;function s(d){return hk.INSTANCE.getEditorContributions().filter(f=>d.indexOf(f.id)>=0)}l.getSomeEditorContributions=s;function c(){return hk.INSTANCE.getDiffEditorContributions()}l.getDiffEditorContributions=c})(p9||(p9={}));const Ydi={EditorCommonContributions:"editor.contributions"},Qbe=class Qbe{constructor(){this.editorContributions=[],this.diffEditorContributions=[],this.editorActions=[],this.editorCommands=Object.create(null)}registerEditorContribution(e,i,n){this.editorContributions.push({id:e,ctor:i,instantiation:n})}getEditorContributions(){return this.editorContributions.slice(0)}getDiffEditorContributions(){return this.diffEditorContributions.slice(0)}registerEditorAction(e){e.register(),this.editorActions.push(e)}getEditorActions(){return this.editorActions}registerEditorCommand(e){e.register(),this.editorCommands[e.id]=e}getEditorCommand(e){return this.editorCommands[e]||null}};Qbe.INSTANCE=new Qbe;let hk=Qbe;nh.add(Ydi.EditorCommonContributions,hk.INSTANCE);function pse(l){return l.register(),l}const V2t=pse(new mJ({id:"undo",precondition:void 0,kbOpts:{weight:0,primary:2104},menuOpts:[{menuId:As.MenubarEditMenu,group:"1_do",title:W({key:"miUndo",comment:["&& denotes a mnemonic"]},"&&Undo"),order:1},{menuId:As.CommandPalette,group:"",title:W("undo","Undo"),order:1}]}));pse(new F2t(V2t,{id:"default:undo",precondition:void 0}));const H2t=pse(new mJ({id:"redo",precondition:void 0,kbOpts:{weight:0,primary:2103,secondary:[3128],mac:{primary:3128}},menuOpts:[{menuId:As.MenubarEditMenu,group:"1_do",title:W({key:"miRedo",comment:["&& denotes a mnemonic"]},"&&Redo"),order:2},{menuId:As.CommandPalette,group:"",title:W("redo","Redo"),order:1}]}));pse(new F2t(H2t,{id:"default:redo",precondition:void 0}));const efi=pse(new mJ({id:"editor.action.selectAll",precondition:void 0,kbOpts:{weight:0,kbExpr:null,primary:2079},menuOpts:[{menuId:As.MenubarSelectionMenu,group:"1_basic",title:W({key:"miSelectAll",comment:["&& denotes a mnemonic"]},"&&Select All"),order:1},{menuId:As.CommandPalette,group:"",title:W("selectAll","Select All"),order:1}]})),bvt="default",tfi="$initialize";let Cvt=!1;function PWe(l){XB&&(Cvt||(Cvt=!0,console.warn("Could not create web worker(s). Falling back to loading web worker code in main thread, which might cause UI freezes. Please see https://github.com/microsoft/monaco-editor#faq")),console.warn(l.message))}class ifi{constructor(e,i,n,s,c){this.vsWorker=e,this.req=i,this.channel=n,this.method=s,this.args=c,this.type=0}}class Svt{constructor(e,i,n,s){this.vsWorker=e,this.seq=i,this.res=n,this.err=s,this.type=1}}class nfi{constructor(e,i,n,s,c){this.vsWorker=e,this.req=i,this.channel=n,this.eventName=s,this.arg=c,this.type=2}}class rfi{constructor(e,i,n){this.vsWorker=e,this.req=i,this.event=n,this.type=3}}class sfi{constructor(e,i){this.vsWorker=e,this.req=i,this.type=4}}class ofi{constructor(e){this._workerId=-1,this._handler=e,this._lastSentReq=0,this._pendingReplies=Object.create(null),this._pendingEmitters=new Map,this._pendingEvents=new Map}setWorkerId(e){this._workerId=e}sendMessage(e,i,n){const s=String(++this._lastSentReq);return new Promise((c,d)=>{this._pendingReplies[s]={resolve:c,reject:d},this._send(new ifi(this._workerId,s,e,i,n))})}listen(e,i,n){let s=null;const c=new gi({onWillAddFirstListener:()=>{s=String(++this._lastSentReq),this._pendingEmitters.set(s,c),this._send(new nfi(this._workerId,s,e,i,n))},onDidRemoveLastListener:()=>{this._pendingEmitters.delete(s),this._send(new sfi(this._workerId,s)),s=null}});return c.event}handleMessage(e){!e||!e.vsWorker||this._workerId!==-1&&e.vsWorker!==this._workerId||this._handleMessage(e)}createProxyToRemoteChannel(e,i){const n={get:(s,c)=>(typeof c=="string"&&!s[c]&&(z2t(c)?s[c]=d=>this.listen(e,c,d):j2t(c)?s[c]=this.listen(e,c,void 0):c.charCodeAt(0)===36&&(s[c]=async(...d)=>(await(i==null?void 0:i()),this.sendMessage(e,c,d)))),s[c])};return new Proxy(Object.create(null),n)}_handleMessage(e){switch(e.type){case 1:return this._handleReplyMessage(e);case 0:return this._handleRequestMessage(e);case 2:return this._handleSubscribeEventMessage(e);case 3:return this._handleEventMessage(e);case 4:return this._handleUnsubscribeEventMessage(e)}}_handleReplyMessage(e){if(!this._pendingReplies[e.seq]){console.warn("Got reply to unknown seq");return}const i=this._pendingReplies[e.seq];if(delete this._pendingReplies[e.seq],e.err){let n=e.err;e.err.$isError&&(n=new Error,n.name=e.err.name,n.message=e.err.message,n.stack=e.err.stack),i.reject(n);return}i.resolve(e.res)}_handleRequestMessage(e){const i=e.req;this._handler.handleMessage(e.channel,e.method,e.args).then(s=>{this._send(new Svt(this._workerId,i,s,void 0))},s=>{s.detail instanceof Error&&(s.detail=Kyt(s.detail)),this._send(new Svt(this._workerId,i,void 0,Kyt(s)))})}_handleSubscribeEventMessage(e){const i=e.req,n=this._handler.handleEvent(e.channel,e.eventName,e.arg)(s=>{this._send(new rfi(this._workerId,i,s))});this._pendingEvents.set(i,n)}_handleEventMessage(e){if(!this._pendingEmitters.has(e.req)){console.warn("Got event for unknown req");return}this._pendingEmitters.get(e.req).fire(e.event)}_handleUnsubscribeEventMessage(e){if(!this._pendingEvents.has(e.req)){console.warn("Got unsubscribe for unknown req");return}this._pendingEvents.get(e.req).dispose(),this._pendingEvents.delete(e.req)}_send(e){const i=[];if(e.type===0)for(let n=0;n<e.args.length;n++)e.args[n]instanceof ArrayBuffer&&i.push(e.args[n]);else e.type===1&&e.res instanceof ArrayBuffer&&i.push(e.res);this._handler.sendMessage(e,i)}}class afi extends xi{constructor(e,i){super(),this._localChannels=new Map,this._worker=this._register(e.create({amdModuleId:"vs/base/common/worker/simpleWorker",esmModuleLocation:i.esmModuleLocation,label:i.label},c=>{this._protocol.handleMessage(c)},c=>{Pa(c)})),this._protocol=new ofi({sendMessage:(c,d)=>{this._worker.postMessage(c,d)},handleMessage:(c,d,f)=>this._handleMessage(c,d,f),handleEvent:(c,d,f)=>this._handleEvent(c,d,f)}),this._protocol.setWorkerId(this._worker.getId());let n=null;const s=globalThis.require;typeof s<"u"&&typeof s.getConfig=="function"?n=s.getConfig():typeof globalThis.requirejs<"u"&&(n=globalThis.requirejs.s.contexts._.config),this._onModuleLoaded=this._protocol.sendMessage(bvt,tfi,[this._worker.getId(),JSON.parse(JSON.stringify(n)),i.amdModuleId]),this.proxy=this._protocol.createProxyToRemoteChannel(bvt,async()=>{await this._onModuleLoaded}),this._onModuleLoaded.catch(c=>{this._onError("Worker failed to load "+i.amdModuleId,c)})}_handleMessage(e,i,n){const s=this._localChannels.get(e);if(!s)return Promise.reject(new Error(`Missing channel ${e} on main thread`));if(typeof s[i]!="function")return Promise.reject(new Error(`Missing method ${i} on main thread channel ${e}`));try{return Promise.resolve(s[i].apply(s,n))}catch(c){return Promise.reject(c)}}_handleEvent(e,i,n){const s=this._localChannels.get(e);if(!s)throw new Error(`Missing channel ${e} on main thread`);if(z2t(i)){const c=s[i].call(s,n);if(typeof c!="function")throw new Error(`Missing dynamic event ${i} on main thread channel ${e}.`);return c}if(j2t(i)){const c=s[i];if(typeof c!="function")throw new Error(`Missing event ${i} on main thread channel ${e}.`);return c}throw new Error(`Malformed event name ${i}`)}setChannel(e,i){this._localChannels.set(e,i)}_onError(e,i){console.error(e),console.info(i)}}function j2t(l){return l[0]==="o"&&l[1]==="n"&&fL(l.charCodeAt(2))}function z2t(l){return/^onDynamic/.test(l)&&fL(l.charCodeAt(9))}function v6(l,e){var n;const i=globalThis.MonacoEnvironment;if(i!=null&&i.createTrustedTypesPolicy)try{return i.createTrustedTypesPolicy(l,e)}catch(s){Pa(s);return}try{return(n=globalThis.trustedTypes)==null?void 0:n.createPolicy(l,e)}catch(s){Pa(s);return}}let tq;typeof self=="object"&&self.constructor&&self.constructor.name==="DedicatedWorkerGlobalScope"&&globalThis.workerttPolicy!==void 0?tq=globalThis.workerttPolicy:tq=v6("defaultWorkerFactory",{createScriptURL:l=>l});function cfi(l,e){const i=globalThis.MonacoEnvironment;if(i){if(typeof i.getWorker=="function")return i.getWorker("workerMain.js",e);if(typeof i.getWorkerUrl=="function"){const n=i.getWorkerUrl("workerMain.js",e);return new Worker(tq?tq.createScriptURL(n):n,{name:e,type:"module"})}}if(l){const n=lfi(e,l.toString(!0)),s=new Worker(tq?tq.createScriptURL(n):n,{name:e,type:"module"});return ufi(s)}throw new Error("You must define a function MonacoEnvironment.getWorkerUrl or MonacoEnvironment.getWorker")}function lfi(l,e,i){if(!(/^((http:)|(https:)|(file:)|(vscode-file:))/.test(e)&&e.substring(0,globalThis.origin.length)!==globalThis.origin)){const c=e.lastIndexOf("?"),d=e.lastIndexOf("#",c),f=c>0?new URLSearchParams(e.substring(c+1,~d?d:void 0)):new URLSearchParams;xWe.addSearchParam(f,!0,!0),f.toString()?e=`${e}?${f.toString()}#${l}`:e=`${e}#${l}`}const s=new Blob([yT([`/*${l}*/`,void 0,`globalThis._VSCODE_NLS_MESSAGES = ${JSON.stringify(xkt())};`,`globalThis._VSCODE_NLS_LANGUAGE = ${JSON.stringify(Hqe())};`,`globalThis._VSCODE_FILE_ROOT = '${globalThis._VSCODE_FILE_ROOT}';`,"const ttPolicy = globalThis.trustedTypes?.createPolicy('defaultWorkerFactory', { createScriptURL: value => value });","globalThis.workerttPolicy = ttPolicy;",`await import(ttPolicy?.createScriptURL('${e}') ?? '${e}');`,"globalThis.postMessage({ type: 'vscode-worker-ready' });",`/*${l}*/`]).join("")],{type:"application/javascript"});return URL.createObjectURL(s)}function ufi(l){return new Promise((e,i)=>{l.onmessage=function(n){n.data.type==="vscode-worker-ready"&&(l.onmessage=null,e(l))},l.onerror=i})}function dfi(l){return typeof l.then=="function"}class ffi extends xi{constructor(e,i,n,s,c,d){super(),this.id=n,this.label=s;const f=cfi(e,s);dfi(f)?this.worker=f:this.worker=Promise.resolve(f),this.postMessage(i,[]),this.worker.then(m=>{m.onmessage=function(v){c(v.data)},m.onmessageerror=d,typeof m.addEventListener=="function"&&m.addEventListener("error",d)}),this._register(fo(()=>{var m;(m=this.worker)==null||m.then(v=>{v.onmessage=null,v.onmessageerror=null,v.removeEventListener("error",d),v.terminate()}),this.worker=null}))}getId(){return this.id}postMessage(e,i){var n;(n=this.worker)==null||n.then(s=>{try{s.postMessage(e,i)}catch(c){Pa(c),Pa(new Error(`FAILED to post message to '${this.label}'-worker`,{cause:c}))}})}}class hfi{constructor(e,i){this.amdModuleId=e,this.label=i,this.esmModuleLocation=LSe.asBrowserUri(`${e}.esm.js`)}}const Zbe=class Zbe{constructor(){this._webWorkerFailedBeforeError=!1}create(e,i,n){const s=++Zbe.LAST_WORKER_ID;if(this._webWorkerFailedBeforeError)throw this._webWorkerFailedBeforeError;return new ffi(e.esmModuleLocation,e.amdModuleId,s,e.label||"anonymous"+s,i,c=>{PWe(c),this._webWorkerFailedBeforeError=c,n(c)})}};Zbe.LAST_WORKER_ID=0;let AWe=Zbe;function _fi(l,e){const i=typeof l=="string"?new hfi(l,e):l;return new afi(new AWe,i)}var _p;(function(l){l[l.None=0]="None",l[l.Indent=1]="Indent",l[l.IndentOutdent=2]="IndentOutdent",l[l.Outdent=3]="Outdent"})(_p||(_p={}));class gFe{constructor(e){if(this._neutralCharacter=null,this._neutralCharacterSearched=!1,this.open=e.open,this.close=e.close,this._inString=!0,this._inComment=!0,this._inRegEx=!0,Array.isArray(e.notIn))for(let i=0,n=e.notIn.length;i<n;i++)switch(e.notIn[i]){case"string":this._inString=!1;break;case"comment":this._inComment=!1;break;case"regex":this._inRegEx=!1;break}}isOK(e){switch(e){case 0:return!0;case 1:return this._inComment;case 2:return this._inString;case 3:return this._inRegEx}}shouldAutoClose(e,i){if(e.getTokenCount()===0)return!0;const n=e.findTokenIndexAtOffset(i-2),s=e.getStandardTokenType(n);return this.isOK(s)}_findNeutralCharacterInRange(e,i){for(let n=e;n<=i;n++){const s=String.fromCharCode(n);if(!this.open.includes(s)&&!this.close.includes(s))return s}return null}findNeutralCharacter(){return this._neutralCharacterSearched||(this._neutralCharacterSearched=!0,this._neutralCharacter||(this._neutralCharacter=this._findNeutralCharacterInRange(48,57)),this._neutralCharacter||(this._neutralCharacter=this._findNeutralCharacterInRange(97,122)),this._neutralCharacter||(this._neutralCharacter=this._findNeutralCharacterInRange(65,90))),this._neutralCharacter}}class pfi{constructor(e){this.autoClosingPairsOpenByStart=new Map,this.autoClosingPairsOpenByEnd=new Map,this.autoClosingPairsCloseByStart=new Map,this.autoClosingPairsCloseByEnd=new Map,this.autoClosingPairsCloseSingleChar=new Map;for(const i of e)Nte(this.autoClosingPairsOpenByStart,i.open.charAt(0),i),Nte(this.autoClosingPairsOpenByEnd,i.open.charAt(i.open.length-1),i),Nte(this.autoClosingPairsCloseByStart,i.close.charAt(0),i),Nte(this.autoClosingPairsCloseByEnd,i.close.charAt(i.close.length-1),i),i.close.length===1&&i.open.length===1&&Nte(this.autoClosingPairsCloseSingleChar,i.close,i)}}function Nte(l,e,i){l.has(e)?l.get(e).push(i):l.set(e,[i])}const Dq=class Dq{constructor(e){if(e.autoClosingPairs?this._autoClosingPairs=e.autoClosingPairs.map(i=>new gFe(i)):e.brackets?this._autoClosingPairs=e.brackets.map(i=>new gFe({open:i[0],close:i[1]})):this._autoClosingPairs=[],e.__electricCharacterSupport&&e.__electricCharacterSupport.docComment){const i=e.__electricCharacterSupport.docComment;this._autoClosingPairs.push(new gFe({open:i.open,close:i.close||""}))}this._autoCloseBeforeForQuotes=typeof e.autoCloseBefore=="string"?e.autoCloseBefore:Dq.DEFAULT_AUTOCLOSE_BEFORE_LANGUAGE_DEFINED_QUOTES,this._autoCloseBeforeForBrackets=typeof e.autoCloseBefore=="string"?e.autoCloseBefore:Dq.DEFAULT_AUTOCLOSE_BEFORE_LANGUAGE_DEFINED_BRACKETS,this._surroundingPairs=e.surroundingPairs||this._autoClosingPairs}getAutoClosingPairs(){return this._autoClosingPairs}getAutoCloseBeforeSet(e){return e?this._autoCloseBeforeForQuotes:this._autoCloseBeforeForBrackets}getSurroundingPairs(){return this._surroundingPairs}};Dq.DEFAULT_AUTOCLOSE_BEFORE_LANGUAGE_DEFINED_QUOTES=`;:.,=}])>
+ `,Dq.DEFAULT_AUTOCLOSE_BEFORE_LANGUAGE_DEFINED_BRACKETS=`'"\`;:.,=}])>
+ `;let OWe=Dq;function n9(l,e){const i=l.getCount(),n=l.findTokenIndexAtOffset(e),s=l.getLanguageId(n);let c=n;for(;c+1<i&&l.getLanguageId(c+1)===s;)c++;let d=n;for(;d>0&&l.getLanguageId(d-1)===s;)d--;return new mfi(l,s,d,c+1,l.getStartOffset(d),l.getEndOffset(c))}class mfi{constructor(e,i,n,s,c,d){this._scopedLineTokensBrand=void 0,this._actual=e,this.languageId=i,this._firstTokenIndex=n,this._lastTokenIndex=s,this.firstCharOffset=c,this._lastCharOffset=d,this.languageIdCodec=e.languageIdCodec}getLineContent(){return this._actual.getLineContent().substring(this.firstCharOffset,this._lastCharOffset)}getLineLength(){return this._lastCharOffset-this.firstCharOffset}getActualLineContentBefore(e){return this._actual.getLineContent().substring(0,this.firstCharOffset+e)}getTokenCount(){return this._lastTokenIndex-this._firstTokenIndex}findTokenIndexAtOffset(e){return this._actual.findTokenIndexAtOffset(e+this.firstCharOffset)-this._firstTokenIndex}getStandardTokenType(e){return this._actual.getStandardTokenType(e+this._firstTokenIndex)}toIViewLineTokens(){return this._actual.sliceAndInflate(this.firstCharOffset,this._lastCharOffset,0)}}function ZN(l){return(l&3)!==0}const wvt=typeof Buffer<"u";let yFe;class JSe{static wrap(e){return wvt&&!Buffer.isBuffer(e)&&(e=Buffer.from(e.buffer,e.byteOffset,e.byteLength)),new JSe(e)}constructor(e){this.buffer=e,this.byteLength=this.buffer.byteLength}toString(){return wvt?this.buffer.toString():(yFe||(yFe=new TextDecoder),yFe.decode(this.buffer))}}function gfi(l,e){return l[e+0]<<0>>>0|l[e+1]<<8>>>0}function yfi(l,e,i){l[i+0]=e&255,e=e>>>8,l[i+1]=e&255}function gE(l,e){return l[e]*2**24+l[e+1]*2**16+l[e+2]*2**8+l[e+3]}function yE(l,e,i){l[i+3]=e,e=e>>>8,l[i+2]=e,e=e>>>8,l[i+1]=e,e=e>>>8,l[i]=e}function xvt(l,e){return l[e]}function kvt(l,e,i){l[i]=e}let vFe;function U2t(){return vFe||(vFe=new TextDecoder("UTF-16LE")),vFe}let bFe;function vfi(){return bFe||(bFe=new TextDecoder("UTF-16BE")),bFe}let CFe;function q2t(){return CFe||(CFe=Nkt()?U2t():vfi()),CFe}function bfi(l,e,i){const n=new Uint16Array(l.buffer,e,i);return i>0&&(n[0]===65279||n[0]===65534)?Cfi(l,e,i):U2t().decode(n)}function Cfi(l,e,i){const n=[];let s=0;for(let c=0;c<i;c++){const d=gfi(l,e);e+=2,n[s++]=String.fromCharCode(d)}return n.join("")}class gJ{constructor(e){this._capacity=e|0,this._buffer=new Uint16Array(this._capacity),this._completedStrings=null,this._bufferLength=0}reset(){this._completedStrings=null,this._bufferLength=0}build(){return this._completedStrings!==null?(this._flushBuffer(),this._completedStrings.join("")):this._buildBuffer()}_buildBuffer(){if(this._bufferLength===0)return"";const e=new Uint16Array(this._buffer.buffer,0,this._bufferLength);return q2t().decode(e)}_flushBuffer(){const e=this._buildBuffer();this._bufferLength=0,this._completedStrings===null?this._completedStrings=[e]:this._completedStrings[this._completedStrings.length]=e}appendCharCode(e){const i=this._capacity-this._bufferLength;i<=1&&(i===0||Pm(e))&&this._flushBuffer(),this._buffer[this._bufferLength++]=e}appendASCIICharCode(e){this._bufferLength===this._capacity&&this._flushBuffer(),this._buffer[this._bufferLength++]=e}appendString(e){const i=e.length;if(this._bufferLength+i>=this._capacity){this._flushBuffer(),this._completedStrings[this._completedStrings.length]=e;return}for(let n=0;n<i;n++)this._buffer[this._bufferLength++]=e.charCodeAt(n)}}class fye{constructor(e,i,n,s,c,d){this._richEditBracketBrand=void 0,this.languageId=e,this.index=i,this.open=n,this.close=s,this.forwardRegex=c,this.reversedRegex=d,this._openSet=fye._toSet(this.open),this._closeSet=fye._toSet(this.close)}isOpen(e){return this._openSet.has(e)}isClose(e){return this._closeSet.has(e)}static _toSet(e){const i=new Set;for(const n of e)i.add(n);return i}}function Sfi(l){const e=l.length;l=l.map(d=>[d[0].toLowerCase(),d[1].toLowerCase()]);const i=[];for(let d=0;d<e;d++)i[d]=d;const n=(d,f)=>{const[m,v]=d,[x,w]=f;return m===x||m===w||v===x||v===w},s=(d,f)=>{const m=Math.min(d,f),v=Math.max(d,f);for(let x=0;x<e;x++)i[x]===v&&(i[x]=m)};for(let d=0;d<e;d++){const f=l[d];for(let m=d+1;m<e;m++){const v=l[m];n(f,v)&&s(i[d],i[m])}}const c=[];for(let d=0;d<e;d++){const f=[],m=[];for(let v=0;v<e;v++)if(i[v]===d){const[x,w]=l[v];f.push(x),m.push(w)}f.length>0&&c.push({open:f,close:m})}return c}class wfi{constructor(e,i){this._richEditBracketsBrand=void 0;const n=Sfi(i);this.brackets=n.map((s,c)=>new fye(e,c,s.open,s.close,xfi(s.open,s.close,n,c),kfi(s.open,s.close,n,c))),this.forwardRegex=Tfi(this.brackets),this.reversedRegex=Dfi(this.brackets),this.textIsBracket={},this.textIsOpenBracket={},this.maxBracketLength=0;for(const s of this.brackets){for(const c of s.open)this.textIsBracket[c]=s,this.textIsOpenBracket[c]=!0,this.maxBracketLength=Math.max(this.maxBracketLength,c.length);for(const c of s.close)this.textIsBracket[c]=s,this.textIsOpenBracket[c]=!1,this.maxBracketLength=Math.max(this.maxBracketLength,c.length)}}}function $2t(l,e,i,n){for(let s=0,c=e.length;s<c;s++){if(s===i)continue;const d=e[s];for(const f of d.open)f.indexOf(l)>=0&&n.push(f);for(const f of d.close)f.indexOf(l)>=0&&n.push(f)}}function J2t(l,e){return l.length-e.length}function GSe(l){if(l.length<=1)return l;const e=[],i=new Set;for(const n of l)i.has(n)||(e.push(n),i.add(n));return e}function xfi(l,e,i,n){let s=[];s=s.concat(l),s=s.concat(e);for(let c=0,d=s.length;c<d;c++)$2t(s[c],i,n,s);return s=GSe(s),s.sort(J2t),s.reverse(),mse(s)}function kfi(l,e,i,n){let s=[];s=s.concat(l),s=s.concat(e);for(let c=0,d=s.length;c<d;c++)$2t(s[c],i,n,s);return s=GSe(s),s.sort(J2t),s.reverse(),mse(s.map(g$e))}function Tfi(l){let e=[];for(const i of l){for(const n of i.open)e.push(n);for(const n of i.close)e.push(n)}return e=GSe(e),mse(e)}function Dfi(l){let e=[];for(const i of l){for(const n of i.open)e.push(n);for(const n of i.close)e.push(n)}return e=GSe(e),mse(e.map(g$e))}function Efi(l){const e=/^[\w ]+$/.test(l);return l=Tw(l),e?`\\b${l}\\b`:l}function mse(l,e){const i=`(${l.map(Efi).join(")|(")})`;return e2t(i,!0,e)}const g$e=function(){function l(n){const s=new Uint16Array(n.length);let c=0;for(let d=n.length-1;d>=0;d--)s[c++]=n.charCodeAt(d);return q2t().decode(s)}let e=null,i=null;return function(s){return e!==s&&(e=s,i=l(e)),i}}();class iT{static _findPrevBracketInText(e,i,n,s){const c=n.match(e);if(!c)return null;const d=n.length-(c.index||0),f=c[0].length,m=s+d;return new nt(i,m-f+1,i,m+1)}static findPrevBracketInRange(e,i,n,s,c){const f=g$e(n).substring(n.length-c,n.length-s);return this._findPrevBracketInText(e,i,f,s)}static findNextBracketInText(e,i,n,s){const c=n.match(e);if(!c)return null;const d=c.index||0,f=c[0].length;if(f===0)return null;const m=s+d;return new nt(i,m+1,i,m+1+f)}static findNextBracketInRange(e,i,n,s,c){const d=n.substring(s,c);return this.findNextBracketInText(e,i,d,s)}}class Ifi{constructor(e){this._richEditBrackets=e}getElectricCharacters(){const e=[];if(this._richEditBrackets)for(const i of this._richEditBrackets.brackets)for(const n of i.close){const s=n.charAt(n.length-1);e.push(s)}return r6(e)}onElectricCharacter(e,i,n){if(!this._richEditBrackets||this._richEditBrackets.brackets.length===0)return null;const s=i.findTokenIndexAtOffset(n-1);if(ZN(i.getStandardTokenType(s)))return null;const c=this._richEditBrackets.reversedRegex,d=i.getLineContent().substring(0,n-1)+e,f=iT.findPrevBracketInRange(c,1,d,0,d.length);if(!f)return null;const m=d.substring(f.startColumn-1,f.endColumn-1).toLowerCase();if(this._richEditBrackets.textIsOpenBracket[m])return null;const x=i.getActualLineContentBefore(f.startColumn-1);return/^\s*$/.test(x)?{matchOpenBracket:m}:null}}function Kge(l){return l.global&&(l.lastIndex=0),!0}class Nfi{constructor(e){this._indentationRules=e}shouldIncrease(e){return!!(this._indentationRules&&this._indentationRules.increaseIndentPattern&&Kge(this._indentationRules.increaseIndentPattern)&&this._indentationRules.increaseIndentPattern.test(e))}shouldDecrease(e){return!!(this._indentationRules&&this._indentationRules.decreaseIndentPattern&&Kge(this._indentationRules.decreaseIndentPattern)&&this._indentationRules.decreaseIndentPattern.test(e))}shouldIndentNextLine(e){return!!(this._indentationRules&&this._indentationRules.indentNextLinePattern&&Kge(this._indentationRules.indentNextLinePattern)&&this._indentationRules.indentNextLinePattern.test(e))}shouldIgnore(e){return!!(this._indentationRules&&this._indentationRules.unIndentedLinePattern&&Kge(this._indentationRules.unIndentedLinePattern)&&this._indentationRules.unIndentedLinePattern.test(e))}getIndentMetadata(e){let i=0;return this.shouldIncrease(e)&&(i+=1),this.shouldDecrease(e)&&(i+=2),this.shouldIndentNextLine(e)&&(i+=4),this.shouldIgnore(e)&&(i+=8),i}}class iq{constructor(e){e=e||{},e.brackets=e.brackets||[["(",")"],["{","}"],["[","]"]],this._brackets=[],e.brackets.forEach(i=>{const n=iq._createOpenBracketRegExp(i[0]),s=iq._createCloseBracketRegExp(i[1]);n&&s&&this._brackets.push({open:i[0],openRegExp:n,close:i[1],closeRegExp:s})}),this._regExpRules=e.onEnterRules||[]}onEnter(e,i,n,s){if(e>=3)for(let c=0,d=this._regExpRules.length;c<d;c++){const f=this._regExpRules[c];if([{reg:f.beforeText,text:n},{reg:f.afterText,text:s},{reg:f.previousLineText,text:i}].every(v=>v.reg?(v.reg.lastIndex=0,v.reg.test(v.text)):!0))return f.action}if(e>=2&&n.length>0&&s.length>0)for(let c=0,d=this._brackets.length;c<d;c++){const f=this._brackets[c];if(f.openRegExp.test(n)&&f.closeRegExp.test(s))return{indentAction:_p.IndentOutdent}}if(e>=2&&n.length>0){for(let c=0,d=this._brackets.length;c<d;c++)if(this._brackets[c].openRegExp.test(n))return{indentAction:_p.Indent}}return null}static _createOpenBracketRegExp(e){let i=Tw(e);return/\B/.test(i.charAt(0))||(i="\\b"+i),i+="\\s*$",iq._safeRegExp(i)}static _createCloseBracketRegExp(e){let i=Tw(e);return/\B/.test(i.charAt(i.length-1))||(i=i+"\\b"),i="^\\s*"+i,iq._safeRegExp(i)}static _safeRegExp(e){try{return new RegExp(e)}catch(i){return Pa(i),null}}}const Cc=jc("configurationService");function MWe(l,e){const i=Object.create(null);for(const n in l)G2t(i,n,l[n],e);return i}function G2t(l,e,i,n){const s=e.split("."),c=s.pop();let d=l;for(let f=0;f<s.length;f++){const m=s[f];let v=d[m];switch(typeof v){case"undefined":v=d[m]=Object.create(null);break;case"object":if(v===null){n(`Ignoring ${e} as ${s.slice(0,f+1).join(".")} is null`);return}break;default:n(`Ignoring ${e} as ${s.slice(0,f+1).join(".")} is ${JSON.stringify(v)}`);return}d=v}if(typeof d=="object"&&d!==null)try{d[c]=i}catch{n(`Ignoring ${e} as ${s.join(".")} is ${JSON.stringify(d)}`)}else n(`Ignoring ${e} as ${s.join(".")} is ${JSON.stringify(d)}`)}function Lfi(l,e){const i=e.split(".");K2t(l,i)}function K2t(l,e){const i=e.shift();if(e.length===0){delete l[i];return}if(Object.keys(l).indexOf(i)!==-1){const n=l[i];typeof n=="object"&&!Array.isArray(n)&&(K2t(n,e),Object.keys(n).length===0&&delete l[i])}}function Tvt(l,e,i){function n(d,f){let m=d;for(const v of f){if(typeof m!="object"||m===null)return;m=m[v]}return m}const s=e.split("."),c=n(l,s);return typeof c>"u"?i:c}function Pfi(l){return l.replace(/[\[\]]/g,"")}const Pf=jc("languageService");class wE{constructor(e,i=[],n=!1){this.ctor=e,this.staticArguments=i,this.supportsDelayedInstantiation=n}}const X2t=[];function nl(l,e,i){e instanceof wE||(e=new wE(e,[],!!i)),X2t.push([l,e])}function Dvt(){return X2t}const Yh=Object.freeze({text:"text/plain",binary:"application/octet-stream",unknown:"application/unknown",markdown:"text/markdown",latex:"text/latex",uriList:"text/uri-list"}),KSe={JSONContribution:"base.contributions.json"};function Afi(l){return l.length>0&&l.charAt(l.length-1)==="#"?l.substring(0,l.length-1):l}class Ofi{constructor(){this._onDidChangeSchema=new gi,this.schemasById={}}registerSchema(e,i){this.schemasById[Afi(e)]=i,this._onDidChangeSchema.fire(e)}notifySchemaChanged(e){this._onDidChangeSchema.fire(e)}}const Mfi=new Ofi;nh.add(KSe.JSONContribution,Mfi);const DT={Configuration:"base.contributions.configuration"},Lte="vscode://schemas/settings/resourceLanguage",Evt=nh.as(KSe.JSONContribution);class Rfi{constructor(){this.registeredConfigurationDefaults=[],this.overrideIdentifiers=new Set,this._onDidSchemaChange=new gi,this._onDidUpdateConfiguration=new gi,this.configurationDefaultsOverrides=new Map,this.defaultLanguageConfigurationOverridesNode={id:"defaultOverrides",title:W("defaultLanguageConfigurationOverrides.title","Default Language Configuration Overrides"),properties:{}},this.configurationContributors=[this.defaultLanguageConfigurationOverridesNode],this.resourceLanguageSettingsSchema={properties:{},patternProperties:{},additionalProperties:!0,allowTrailingCommas:!0,allowComments:!0},this.configurationProperties={},this.policyConfigurations=new Map,this.excludedConfigurationProperties={},Evt.registerSchema(Lte,this.resourceLanguageSettingsSchema),this.registerOverridePropertyPatternKey()}registerConfiguration(e,i=!0){this.registerConfigurations([e],i)}registerConfigurations(e,i=!0){const n=new Set;this.doRegisterConfigurations(e,i,n),Evt.registerSchema(Lte,this.resourceLanguageSettingsSchema),this._onDidSchemaChange.fire(),this._onDidUpdateConfiguration.fire({properties:n})}registerDefaultConfigurations(e){const i=new Set;this.doRegisterDefaultConfigurations(e,i),this._onDidSchemaChange.fire(),this._onDidUpdateConfiguration.fire({properties:i,defaultsOverrides:!0})}doRegisterDefaultConfigurations(e,i){this.registeredConfigurationDefaults.push(...e);const n=[];for(const{overrides:s,source:c}of e)for(const d in s){i.add(d);const f=this.configurationDefaultsOverrides.get(d)??this.configurationDefaultsOverrides.set(d,{configurationDefaultOverrides:[]}).get(d),m=s[d];if(f.configurationDefaultOverrides.push({value:m,source:c}),wR.test(d)){const v=this.mergeDefaultConfigurationsForOverrideIdentifier(d,m,c,f.configurationDefaultOverrideValue);if(!v)continue;f.configurationDefaultOverrideValue=v,this.updateDefaultOverrideProperty(d,v,c),n.push(...hye(d))}else{const v=this.mergeDefaultConfigurationsForConfigurationProperty(d,m,c,f.configurationDefaultOverrideValue);if(!v)continue;f.configurationDefaultOverrideValue=v;const x=this.configurationProperties[d];x&&(this.updatePropertyDefaultValue(d,x),this.updateSchema(d,x))}}this.doRegisterOverrideIdentifiers(n)}updateDefaultOverrideProperty(e,i,n){const s={type:"object",default:i.value,description:W("defaultLanguageConfiguration.description","Configure settings to be overridden for the {0} language.",Pfi(e)),$ref:Lte,defaultDefaultValue:i.value,source:n,defaultValueSource:n};this.configurationProperties[e]=s,this.defaultLanguageConfigurationOverridesNode.properties[e]=s}mergeDefaultConfigurationsForOverrideIdentifier(e,i,n,s){const c=(s==null?void 0:s.value)||{},d=(s==null?void 0:s.source)??new Map;if(!(d instanceof Map)){console.error("objectConfigurationSources is not a Map");return}for(const f of Object.keys(i)){const m=i[f];if(gg(m)&&(xy(c[f])||gg(c[f]))){if(c[f]={...c[f]??{},...m},n)for(const x in m)d.set(`${f}.${x}`,n)}else c[f]=m,n?d.set(f,n):d.delete(f)}return{value:c,source:d}}mergeDefaultConfigurationsForConfigurationProperty(e,i,n,s){const c=this.configurationProperties[e],d=(s==null?void 0:s.value)??(c==null?void 0:c.defaultDefaultValue);let f=n;if(gg(i)&&(c!==void 0&&c.type==="object"||c===void 0&&(xy(d)||gg(d)))){if(f=(s==null?void 0:s.source)??new Map,!(f instanceof Map)){console.error("defaultValueSource is not a Map");return}for(const v in i)n&&f.set(`${e}.${v}`,n);i={...gg(d)?d:{},...i}}return{value:i,source:f}}registerOverrideIdentifiers(e){this.doRegisterOverrideIdentifiers(e),this._onDidSchemaChange.fire()}doRegisterOverrideIdentifiers(e){for(const i of e)this.overrideIdentifiers.add(i);this.updateOverridePropertyPatternKey()}doRegisterConfigurations(e,i,n){e.forEach(s=>{this.validateAndRegisterProperties(s,i,s.extensionInfo,s.restrictedProperties,void 0,n),this.configurationContributors.push(s),this.registerJSONConfiguration(s)})}validateAndRegisterProperties(e,i=!0,n,s,c=3,d){var v;c=pw(e.scope)?c:e.scope;const f=e.properties;if(f)for(const x in f){const w=f[x];if(i&&Wfi(x,w)){delete f[x];continue}if(w.source=n,w.defaultDefaultValue=f[x].default,this.updatePropertyDefaultValue(x,w),wR.test(x)?w.scope=void 0:(w.scope=pw(w.scope)?c:w.scope,w.restricted=pw(w.restricted)?!!(s!=null&&s.includes(x)):w.restricted),f[x].hasOwnProperty("included")&&!f[x].included){this.excludedConfigurationProperties[x]=f[x],delete f[x];continue}else this.configurationProperties[x]=f[x],(v=f[x].policy)!=null&&v.name&&this.policyConfigurations.set(f[x].policy.name,x);!f[x].deprecationMessage&&f[x].markdownDeprecationMessage&&(f[x].deprecationMessage=f[x].markdownDeprecationMessage),d.add(x)}const m=e.allOf;if(m)for(const x of m)this.validateAndRegisterProperties(x,i,n,s,c,d)}getConfigurationProperties(){return this.configurationProperties}getPolicyConfigurations(){return this.policyConfigurations}registerJSONConfiguration(e){const i=n=>{const s=n.properties;if(s)for(const d in s)this.updateSchema(d,s[d]);const c=n.allOf;c==null||c.forEach(i)};i(e)}updateSchema(e,i){switch(i.scope){case 1:break;case 2:break;case 6:break;case 3:break;case 4:break;case 5:this.resourceLanguageSettingsSchema.properties[e]=i;break}}updateOverridePropertyPatternKey(){for(const e of this.overrideIdentifiers.values()){const i=`[${e}]`,n={type:"object",description:W("overrideSettings.defaultDescription","Configure editor settings to be overridden for a language."),errorMessage:W("overrideSettings.errorMessage","This setting does not support per-language configuration."),$ref:Lte};this.updatePropertyDefaultValue(i,n)}}registerOverridePropertyPatternKey(){W("overrideSettings.defaultDescription","Configure editor settings to be overridden for a language."),W("overrideSettings.errorMessage","This setting does not support per-language configuration."),this._onDidSchemaChange.fire()}updatePropertyDefaultValue(e,i){var d;const n=(d=this.configurationDefaultsOverrides.get(e))==null?void 0:d.configurationDefaultOverrideValue;let s,c;n&&(!i.disallowConfigurationDefault||!n.source)&&(s=n.value,c=n.source),xy(s)&&(s=i.defaultDefaultValue,c=void 0),xy(s)&&(s=Bfi(i.type)),i.default=s,i.defaultValueSource=c}}const Q2t="\\[([^\\]]+)\\]",Ivt=new RegExp(Q2t,"g"),Ffi=`^(${Q2t})+$`,wR=new RegExp(Ffi);function hye(l){const e=[];if(wR.test(l)){let i=Ivt.exec(l);for(;i!=null&&i.length;){const n=i[1].trim();n&&e.push(n),i=Ivt.exec(l)}}return r6(e)}function Bfi(l){switch(Array.isArray(l)?l[0]:l){case"boolean":return!1;case"integer":case"number":return 0;case"string":return"";case"array":return[];case"object":return{};default:return null}}const o1e=new Rfi;nh.add(DT.Configuration,o1e);function Wfi(l,e){var i,n,s,c;return l.trim()?wR.test(l)?W("config.property.languageDefault","Cannot register '{0}'. This matches property pattern '\\\\[.*\\\\]$' for describing language specific editor settings. Use 'configurationDefaults' contribution.",l):o1e.getConfigurationProperties()[l]!==void 0?W("config.property.duplicate","Cannot register '{0}'. This property is already registered.",l):(i=e.policy)!=null&&i.name&&o1e.getPolicyConfigurations().get((n=e.policy)==null?void 0:n.name)!==void 0?W("config.policy.duplicate","Cannot register '{0}'. The associated policy {1} is already registered with {2}.",l,(s=e.policy)==null?void 0:s.name,o1e.getPolicyConfigurations().get((c=e.policy)==null?void 0:c.name)):null:W("config.property.empty","Cannot register an empty property")}const Vfi={ModesRegistry:"editor.modesRegistry"};class Hfi{constructor(){this._onDidChangeLanguages=new gi,this.onDidChangeLanguages=this._onDidChangeLanguages.event,this._languages=[]}registerLanguage(e){return this._languages.push(e),this._onDidChangeLanguages.fire(void 0),{dispose:()=>{for(let i=0,n=this._languages.length;i<n;i++)if(this._languages[i]===e){this._languages.splice(i,1);return}}}}getLanguages(){return this._languages}}const D$=new Hfi;nh.add(Vfi.ModesRegistry,D$);const bv="plaintext",jfi=".txt";D$.registerLanguage({id:bv,extensions:[jfi],aliases:[W("plainText.alias","Plain Text"),"text"],mimetypes:[Yh.text]});nh.as(DT.Configuration).registerDefaultConfigurations([{overrides:{"[plaintext]":{"editor.unicodeHighlight.ambiguousCharacters":!1,"editor.unicodeHighlight.invisibleCharacters":!1}}}]);class zfi{constructor(e,i){this.languageId=e;const n=i.brackets?Nvt(i.brackets):[],s=new evt(f=>{const m=new Set;return{info:new Ufi(this,f,m),closing:m}}),c=new evt(f=>{const m=new Set,v=new Set;return{info:new qfi(this,f,m,v),opening:m,openingColorized:v}});for(const[f,m]of n){const v=s.get(f),x=c.get(m);v.closing.add(x.info),x.opening.add(v.info)}const d=i.colorizedBracketPairs?Nvt(i.colorizedBracketPairs):n.filter(f=>!(f[0]==="<"&&f[1]===">"));for(const[f,m]of d){const v=s.get(f),x=c.get(m);v.closing.add(x.info),x.openingColorized.add(v.info),x.opening.add(v.info)}this._openingBrackets=new Map([...s.cachedValues].map(([f,m])=>[f,m.info])),this._closingBrackets=new Map([...c.cachedValues].map(([f,m])=>[f,m.info]))}get openingBrackets(){return[...this._openingBrackets.values()]}get closingBrackets(){return[...this._closingBrackets.values()]}getOpeningBracketInfo(e){return this._openingBrackets.get(e)}getClosingBracketInfo(e){return this._closingBrackets.get(e)}getBracketInfo(e){return this.getOpeningBracketInfo(e)||this.getClosingBracketInfo(e)}getBracketRegExp(e){const i=Array.from([...this._openingBrackets.keys(),...this._closingBrackets.keys()]);return mse(i,e)}}function Nvt(l){return l.filter(([e,i])=>e!==""&&i!=="")}class Z2t{constructor(e,i){this.config=e,this.bracketText=i}get languageId(){return this.config.languageId}}class Ufi extends Z2t{constructor(e,i,n){super(e,i),this.openedBrackets=n,this.isOpeningBracket=!0}}class qfi extends Z2t{constructor(e,i,n,s){super(e,i),this.openingBrackets=n,this.openingColorizedBrackets=s,this.isOpeningBracket=!1}closes(e){return e.config!==this.config?!1:this.openingBrackets.has(e)}closesColorized(e){return e.config!==this.config?!1:this.openingColorizedBrackets.has(e)}getOpeningBrackets(){return[...this.openingBrackets]}}var $fi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Lvt=function(l,e){return function(i,n){e(i,n,l)}};class SFe{constructor(e){this.languageId=e}affects(e){return this.languageId?this.languageId===e:!0}}const rh=jc("languageConfigurationService");let RWe=class extends xi{constructor(e,i){super(),this.configurationService=e,this.languageService=i,this._registry=this._register(new Xfi),this.onDidChangeEmitter=this._register(new gi),this.onDidChange=this.onDidChangeEmitter.event,this.configurations=new Map;const n=new Set(Object.values(FWe));this._register(this.configurationService.onDidChangeConfiguration(s=>{const c=s.change.keys.some(f=>n.has(f)),d=s.change.overrides.filter(([f,m])=>m.some(v=>n.has(v))).map(([f])=>f);if(c)this.configurations.clear(),this.onDidChangeEmitter.fire(new SFe(void 0));else for(const f of d)this.languageService.isRegisteredLanguageId(f)&&(this.configurations.delete(f),this.onDidChangeEmitter.fire(new SFe(f)))})),this._register(this._registry.onDidChange(s=>{this.configurations.delete(s.languageId),this.onDidChangeEmitter.fire(new SFe(s.languageId))}))}register(e,i,n){return this._registry.register(e,i,n)}getLanguageConfiguration(e){let i=this.configurations.get(e);return i||(i=Jfi(e,this._registry,this.configurationService,this.languageService),this.configurations.set(e,i)),i}};RWe=$fi([Lvt(0,Cc),Lvt(1,Pf)],RWe);function Jfi(l,e,i,n){let s=e.getLanguageConfiguration(l);if(!s){if(!n.isRegisteredLanguageId(l))return new Uq(l,{});s=new Uq(l,{})}const c=Gfi(s.languageId,i),d=eTt([s.underlyingConfig,c]);return new Uq(s.languageId,d)}const FWe={brackets:"editor.language.brackets",colorizedBracketPairs:"editor.language.colorizedBracketPairs"};function Gfi(l,e){const i=e.getValue(FWe.brackets,{overrideIdentifier:l}),n=e.getValue(FWe.colorizedBracketPairs,{overrideIdentifier:l});return{brackets:Pvt(i),colorizedBracketPairs:Pvt(n)}}function Pvt(l){if(Array.isArray(l))return l.map(e=>{if(!(!Array.isArray(e)||e.length!==2))return[e[0],e[1]]}).filter(e=>!!e)}function Y2t(l,e,i){const n=l.getLineContent(e);let s=yd(n);return s.length>i-1&&(s=s.substring(0,i-1)),s}class Kfi{constructor(e){this.languageId=e,this._resolved=null,this._entries=[],this._order=0,this._resolved=null}register(e,i){const n=new Avt(e,i,++this._order);return this._entries.push(n),this._resolved=null,fo(()=>{for(let s=0;s<this._entries.length;s++)if(this._entries[s]===n){this._entries.splice(s,1),this._resolved=null;break}})}getResolvedConfiguration(){if(!this._resolved){const e=this._resolve();e&&(this._resolved=new Uq(this.languageId,e))}return this._resolved}_resolve(){return this._entries.length===0?null:(this._entries.sort(Avt.cmp),eTt(this._entries.map(e=>e.configuration)))}}function eTt(l){let e={comments:void 0,brackets:void 0,wordPattern:void 0,indentationRules:void 0,onEnterRules:void 0,autoClosingPairs:void 0,surroundingPairs:void 0,autoCloseBefore:void 0,folding:void 0,colorizedBracketPairs:void 0,__electricCharacterSupport:void 0};for(const i of l)e={comments:i.comments||e.comments,brackets:i.brackets||e.brackets,wordPattern:i.wordPattern||e.wordPattern,indentationRules:i.indentationRules||e.indentationRules,onEnterRules:i.onEnterRules||e.onEnterRules,autoClosingPairs:i.autoClosingPairs||e.autoClosingPairs,surroundingPairs:i.surroundingPairs||e.surroundingPairs,autoCloseBefore:i.autoCloseBefore||e.autoCloseBefore,folding:i.folding||e.folding,colorizedBracketPairs:i.colorizedBracketPairs||e.colorizedBracketPairs,__electricCharacterSupport:i.__electricCharacterSupport||e.__electricCharacterSupport};return e}class Avt{constructor(e,i,n){this.configuration=e,this.priority=i,this.order=n}static cmp(e,i){return e.priority===i.priority?e.order-i.order:e.priority-i.priority}}class Ovt{constructor(e){this.languageId=e}}class Xfi extends xi{constructor(){super(),this._entries=new Map,this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event,this._register(this.register(bv,{brackets:[["(",")"],["[","]"],["{","}"]],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}],colorizedBracketPairs:[],folding:{offSide:!0}},0))}register(e,i,n=0){let s=this._entries.get(e);s||(s=new Kfi(e),this._entries.set(e,s));const c=s.register(i,n);return this._onDidChange.fire(new Ovt(e)),fo(()=>{c.dispose(),this._onDidChange.fire(new Ovt(e))})}getLanguageConfiguration(e){const i=this._entries.get(e);return(i==null?void 0:i.getResolvedConfiguration())||null}}class Uq{constructor(e,i){this.languageId=e,this.underlyingConfig=i,this._brackets=null,this._electricCharacter=null,this._onEnterSupport=this.underlyingConfig.brackets||this.underlyingConfig.indentationRules||this.underlyingConfig.onEnterRules?new iq(this.underlyingConfig):null,this.comments=Uq._handleComments(this.underlyingConfig),this.characterPair=new OWe(this.underlyingConfig),this.wordDefinition=this.underlyingConfig.wordPattern||Uqe,this.indentationRules=this.underlyingConfig.indentationRules,this.underlyingConfig.indentationRules?this.indentRulesSupport=new Nfi(this.underlyingConfig.indentationRules):this.indentRulesSupport=null,this.foldingRules=this.underlyingConfig.folding||{},this.bracketsNew=new zfi(e,this.underlyingConfig)}getWordDefinition(){return qqe(this.wordDefinition)}get brackets(){return!this._brackets&&this.underlyingConfig.brackets&&(this._brackets=new wfi(this.languageId,this.underlyingConfig.brackets)),this._brackets}get electricCharacter(){return this._electricCharacter||(this._electricCharacter=new Ifi(this.brackets)),this._electricCharacter}onEnter(e,i,n,s){return this._onEnterSupport?this._onEnterSupport.onEnter(e,i,n,s):null}getAutoClosingPairs(){return new pfi(this.characterPair.getAutoClosingPairs())}getAutoCloseBeforeSet(e){return this.characterPair.getAutoCloseBeforeSet(e)}getSurroundingPairs(){return this.characterPair.getSurroundingPairs()}static _handleComments(e){const i=e.comments;if(!i)return null;const n={};if(i.lineComment&&(n.lineCommentToken=i.lineComment),i.blockComment){const[s,c]=i.blockComment;n.blockCommentStartToken=s,n.blockCommentEndToken=c}return n}}nl(rh,RWe,1);class lM{constructor(e,i,n,s){this.originalStart=e,this.originalLength=i,this.modifiedStart=n,this.modifiedLength=s}getOriginalEnd(){return this.originalStart+this.originalLength}getModifiedEnd(){return this.modifiedStart+this.modifiedLength}}class Mvt{constructor(e){this.source=e}getElements(){const e=this.source,i=new Int32Array(e.length);for(let n=0,s=e.length;n<s;n++)i[n]=e.charCodeAt(n);return i}}function Qfi(l,e,i){return new mL(new Mvt(l),new Mvt(e)).ComputeDiff(i).changes}class wU{static Assert(e,i){if(!e)throw new Error(i)}}class xU{static Copy(e,i,n,s,c){for(let d=0;d<c;d++)n[s+d]=e[i+d]}static Copy2(e,i,n,s,c){for(let d=0;d<c;d++)n[s+d]=e[i+d]}}class Rvt{constructor(){this.m_changes=[],this.m_originalStart=1073741824,this.m_modifiedStart=1073741824,this.m_originalCount=0,this.m_modifiedCount=0}MarkNextChange(){(this.m_originalCount>0||this.m_modifiedCount>0)&&this.m_changes.push(new lM(this.m_originalStart,this.m_originalCount,this.m_modifiedStart,this.m_modifiedCount)),this.m_originalCount=0,this.m_modifiedCount=0,this.m_originalStart=1073741824,this.m_modifiedStart=1073741824}AddOriginalElement(e,i){this.m_originalStart=Math.min(this.m_originalStart,e),this.m_modifiedStart=Math.min(this.m_modifiedStart,i),this.m_originalCount++}AddModifiedElement(e,i){this.m_originalStart=Math.min(this.m_originalStart,e),this.m_modifiedStart=Math.min(this.m_modifiedStart,i),this.m_modifiedCount++}getChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes}getReverseChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes.reverse(),this.m_changes}}class mL{constructor(e,i,n=null){this.ContinueProcessingPredicate=n,this._originalSequence=e,this._modifiedSequence=i;const[s,c,d]=mL._getElements(e),[f,m,v]=mL._getElements(i);this._hasStrings=d&&v,this._originalStringElements=s,this._originalElementsOrHash=c,this._modifiedStringElements=f,this._modifiedElementsOrHash=m,this.m_forwardHistory=[],this.m_reverseHistory=[]}static _isStringArray(e){return e.length>0&&typeof e[0]=="string"}static _getElements(e){const i=e.getElements();if(mL._isStringArray(i)){const n=new Int32Array(i.length);for(let s=0,c=i.length;s<c;s++)n[s]=c$e(i[s],0);return[i,n,!0]}return i instanceof Int32Array?[[],i,!1]:[[],new Int32Array(i),!1]}ElementsAreEqual(e,i){return this._originalElementsOrHash[e]!==this._modifiedElementsOrHash[i]?!1:this._hasStrings?this._originalStringElements[e]===this._modifiedStringElements[i]:!0}ElementsAreStrictEqual(e,i){if(!this.ElementsAreEqual(e,i))return!1;const n=mL._getStrictElement(this._originalSequence,e),s=mL._getStrictElement(this._modifiedSequence,i);return n===s}static _getStrictElement(e,i){return typeof e.getStrictElement=="function"?e.getStrictElement(i):null}OriginalElementsAreEqual(e,i){return this._originalElementsOrHash[e]!==this._originalElementsOrHash[i]?!1:this._hasStrings?this._originalStringElements[e]===this._originalStringElements[i]:!0}ModifiedElementsAreEqual(e,i){return this._modifiedElementsOrHash[e]!==this._modifiedElementsOrHash[i]?!1:this._hasStrings?this._modifiedStringElements[e]===this._modifiedStringElements[i]:!0}ComputeDiff(e){return this._ComputeDiff(0,this._originalElementsOrHash.length-1,0,this._modifiedElementsOrHash.length-1,e)}_ComputeDiff(e,i,n,s,c){const d=[!1];let f=this.ComputeDiffRecursive(e,i,n,s,d);return c&&(f=this.PrettifyChanges(f)),{quitEarly:d[0],changes:f}}ComputeDiffRecursive(e,i,n,s,c){for(c[0]=!1;e<=i&&n<=s&&this.ElementsAreEqual(e,n);)e++,n++;for(;i>=e&&s>=n&&this.ElementsAreEqual(i,s);)i--,s--;if(e>i||n>s){let w;return n<=s?(wU.Assert(e===i+1,"originalStart should only be one more than originalEnd"),w=[new lM(e,0,n,s-n+1)]):e<=i?(wU.Assert(n===s+1,"modifiedStart should only be one more than modifiedEnd"),w=[new lM(e,i-e+1,n,0)]):(wU.Assert(e===i+1,"originalStart should only be one more than originalEnd"),wU.Assert(n===s+1,"modifiedStart should only be one more than modifiedEnd"),w=[]),w}const d=[0],f=[0],m=this.ComputeRecursionPoint(e,i,n,s,d,f,c),v=d[0],x=f[0];if(m!==null)return m;if(!c[0]){const w=this.ComputeDiffRecursive(e,v,n,x,c);let I=[];return c[0]?I=[new lM(v+1,i-(v+1)+1,x+1,s-(x+1)+1)]:I=this.ComputeDiffRecursive(v+1,i,x+1,s,c),this.ConcatenateChanges(w,I)}return[new lM(e,i-e+1,n,s-n+1)]}WALKTRACE(e,i,n,s,c,d,f,m,v,x,w,I,P,O,z,J,Y,ae){let me=null,ye=null,Ce=new Rvt,Fe=i,rt=n,ct=P[0]-J[0]-s,Mt=-1073741824,Yt=this.m_forwardHistory.length-1;do{const Bi=ct+e;Bi===Fe||Bi<rt&&v[Bi-1]<v[Bi+1]?(w=v[Bi+1],O=w-ct-s,w<Mt&&Ce.MarkNextChange(),Mt=w,Ce.AddModifiedElement(w+1,O),ct=Bi+1-e):(w=v[Bi-1]+1,O=w-ct-s,w<Mt&&Ce.MarkNextChange(),Mt=w-1,Ce.AddOriginalElement(w,O+1),ct=Bi-1-e),Yt>=0&&(v=this.m_forwardHistory[Yt],e=v[0],Fe=1,rt=v.length-1)}while(--Yt>=-1);if(me=Ce.getReverseChanges(),ae[0]){let Bi=P[0]+1,$i=J[0]+1;if(me!==null&&me.length>0){const $t=me[me.length-1];Bi=Math.max(Bi,$t.getOriginalEnd()),$i=Math.max($i,$t.getModifiedEnd())}ye=[new lM(Bi,I-Bi+1,$i,z-$i+1)]}else{Ce=new Rvt,Fe=d,rt=f,ct=P[0]-J[0]-m,Mt=1073741824,Yt=Y?this.m_reverseHistory.length-1:this.m_reverseHistory.length-2;do{const Bi=ct+c;Bi===Fe||Bi<rt&&x[Bi-1]>=x[Bi+1]?(w=x[Bi+1]-1,O=w-ct-m,w>Mt&&Ce.MarkNextChange(),Mt=w+1,Ce.AddOriginalElement(w+1,O+1),ct=Bi+1-c):(w=x[Bi-1],O=w-ct-m,w>Mt&&Ce.MarkNextChange(),Mt=w,Ce.AddModifiedElement(w+1,O+1),ct=Bi-1-c),Yt>=0&&(x=this.m_reverseHistory[Yt],c=x[0],Fe=1,rt=x.length-1)}while(--Yt>=-1);ye=Ce.getChanges()}return this.ConcatenateChanges(me,ye)}ComputeRecursionPoint(e,i,n,s,c,d,f){let m=0,v=0,x=0,w=0,I=0,P=0;e--,n--,c[0]=0,d[0]=0,this.m_forwardHistory=[],this.m_reverseHistory=[];const O=i-e+(s-n),z=O+1,J=new Int32Array(z),Y=new Int32Array(z),ae=s-n,me=i-e,ye=e-n,Ce=i-s,rt=(me-ae)%2===0;J[ae]=e,Y[me]=i,f[0]=!1;for(let ct=1;ct<=O/2+1;ct++){let Mt=0,Yt=0;x=this.ClipDiagonalBound(ae-ct,ct,ae,z),w=this.ClipDiagonalBound(ae+ct,ct,ae,z);for(let $i=x;$i<=w;$i+=2){$i===x||$i<w&&J[$i-1]<J[$i+1]?m=J[$i+1]:m=J[$i-1]+1,v=m-($i-ae)-ye;const $t=m;for(;m<i&&v<s&&this.ElementsAreEqual(m+1,v+1);)m++,v++;if(J[$i]=m,m+v>Mt+Yt&&(Mt=m,Yt=v),!rt&&Math.abs($i-me)<=ct-1&&m>=Y[$i])return c[0]=m,d[0]=v,$t<=Y[$i]&&ct<=1448?this.WALKTRACE(ae,x,w,ye,me,I,P,Ce,J,Y,m,i,c,v,s,d,rt,f):null}const Bi=(Mt-e+(Yt-n)-ct)/2;if(this.ContinueProcessingPredicate!==null&&!this.ContinueProcessingPredicate(Mt,Bi))return f[0]=!0,c[0]=Mt,d[0]=Yt,Bi>0&&ct<=1448?this.WALKTRACE(ae,x,w,ye,me,I,P,Ce,J,Y,m,i,c,v,s,d,rt,f):(e++,n++,[new lM(e,i-e+1,n,s-n+1)]);I=this.ClipDiagonalBound(me-ct,ct,me,z),P=this.ClipDiagonalBound(me+ct,ct,me,z);for(let $i=I;$i<=P;$i+=2){$i===I||$i<P&&Y[$i-1]>=Y[$i+1]?m=Y[$i+1]-1:m=Y[$i-1],v=m-($i-me)-Ce;const $t=m;for(;m>e&&v>n&&this.ElementsAreEqual(m,v);)m--,v--;if(Y[$i]=m,rt&&Math.abs($i-ae)<=ct&&m<=J[$i])return c[0]=m,d[0]=v,$t>=J[$i]&&ct<=1448?this.WALKTRACE(ae,x,w,ye,me,I,P,Ce,J,Y,m,i,c,v,s,d,rt,f):null}if(ct<=1447){let $i=new Int32Array(w-x+2);$i[0]=ae-x+1,xU.Copy2(J,x,$i,1,w-x+1),this.m_forwardHistory.push($i),$i=new Int32Array(P-I+2),$i[0]=me-I+1,xU.Copy2(Y,I,$i,1,P-I+1),this.m_reverseHistory.push($i)}}return this.WALKTRACE(ae,x,w,ye,me,I,P,Ce,J,Y,m,i,c,v,s,d,rt,f)}PrettifyChanges(e){for(let i=0;i<e.length;i++){const n=e[i],s=i<e.length-1?e[i+1].originalStart:this._originalElementsOrHash.length,c=i<e.length-1?e[i+1].modifiedStart:this._modifiedElementsOrHash.length,d=n.originalLength>0,f=n.modifiedLength>0;for(;n.originalStart+n.originalLength<s&&n.modifiedStart+n.modifiedLength<c&&(!d||this.OriginalElementsAreEqual(n.originalStart,n.originalStart+n.originalLength))&&(!f||this.ModifiedElementsAreEqual(n.modifiedStart,n.modifiedStart+n.modifiedLength));){const v=this.ElementsAreStrictEqual(n.originalStart,n.modifiedStart);if(this.ElementsAreStrictEqual(n.originalStart+n.originalLength,n.modifiedStart+n.modifiedLength)&&!v)break;n.originalStart++,n.modifiedStart++}const m=[null];if(i<e.length-1&&this.ChangesOverlap(e[i],e[i+1],m)){e[i]=m[0],e.splice(i+1,1),i--;continue}}for(let i=e.length-1;i>=0;i--){const n=e[i];let s=0,c=0;if(i>0){const w=e[i-1];s=w.originalStart+w.originalLength,c=w.modifiedStart+w.modifiedLength}const d=n.originalLength>0,f=n.modifiedLength>0;let m=0,v=this._boundaryScore(n.originalStart,n.originalLength,n.modifiedStart,n.modifiedLength);for(let w=1;;w++){const I=n.originalStart-w,P=n.modifiedStart-w;if(I<s||P<c||d&&!this.OriginalElementsAreEqual(I,I+n.originalLength)||f&&!this.ModifiedElementsAreEqual(P,P+n.modifiedLength))break;const z=(I===s&&P===c?5:0)+this._boundaryScore(I,n.originalLength,P,n.modifiedLength);z>v&&(v=z,m=w)}n.originalStart-=m,n.modifiedStart-=m;const x=[null];if(i>0&&this.ChangesOverlap(e[i-1],e[i],x)){e[i-1]=x[0],e.splice(i,1),i++;continue}}if(this._hasStrings)for(let i=1,n=e.length;i<n;i++){const s=e[i-1],c=e[i],d=c.originalStart-s.originalStart-s.originalLength,f=s.originalStart,m=c.originalStart+c.originalLength,v=m-f,x=s.modifiedStart,w=c.modifiedStart+c.modifiedLength,I=w-x;if(d<5&&v<20&&I<20){const P=this._findBetterContiguousSequence(f,v,x,I,d);if(P){const[O,z]=P;(O!==s.originalStart+s.originalLength||z!==s.modifiedStart+s.modifiedLength)&&(s.originalLength=O-s.originalStart,s.modifiedLength=z-s.modifiedStart,c.originalStart=O+d,c.modifiedStart=z+d,c.originalLength=m-c.originalStart,c.modifiedLength=w-c.modifiedStart)}}}return e}_findBetterContiguousSequence(e,i,n,s,c){if(i<c||s<c)return null;const d=e+i-c+1,f=n+s-c+1;let m=0,v=0,x=0;for(let w=e;w<d;w++)for(let I=n;I<f;I++){const P=this._contiguousSequenceScore(w,I,c);P>0&&P>m&&(m=P,v=w,x=I)}return m>0?[v,x]:null}_contiguousSequenceScore(e,i,n){let s=0;for(let c=0;c<n;c++){if(!this.ElementsAreEqual(e+c,i+c))return 0;s+=this._originalStringElements[e+c].length}return s}_OriginalIsBoundary(e){return e<=0||e>=this._originalElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._originalStringElements[e])}_OriginalRegionIsBoundary(e,i){if(this._OriginalIsBoundary(e)||this._OriginalIsBoundary(e-1))return!0;if(i>0){const n=e+i;if(this._OriginalIsBoundary(n-1)||this._OriginalIsBoundary(n))return!0}return!1}_ModifiedIsBoundary(e){return e<=0||e>=this._modifiedElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._modifiedStringElements[e])}_ModifiedRegionIsBoundary(e,i){if(this._ModifiedIsBoundary(e)||this._ModifiedIsBoundary(e-1))return!0;if(i>0){const n=e+i;if(this._ModifiedIsBoundary(n-1)||this._ModifiedIsBoundary(n))return!0}return!1}_boundaryScore(e,i,n,s){const c=this._OriginalRegionIsBoundary(e,i)?1:0,d=this._ModifiedRegionIsBoundary(n,s)?1:0;return c+d}ConcatenateChanges(e,i){const n=[];if(e.length===0||i.length===0)return i.length>0?i:e;if(this.ChangesOverlap(e[e.length-1],i[0],n)){const s=new Array(e.length+i.length-1);return xU.Copy(e,0,s,0,e.length-1),s[e.length-1]=n[0],xU.Copy(i,1,s,e.length,i.length-1),s}else{const s=new Array(e.length+i.length);return xU.Copy(e,0,s,0,e.length),xU.Copy(i,0,s,e.length,i.length),s}}ChangesOverlap(e,i,n){if(wU.Assert(e.originalStart<=i.originalStart,"Left change is not less than or equal to right change"),wU.Assert(e.modifiedStart<=i.modifiedStart,"Left change is not less than or equal to right change"),e.originalStart+e.originalLength>=i.originalStart||e.modifiedStart+e.modifiedLength>=i.modifiedStart){const s=e.originalStart;let c=e.originalLength;const d=e.modifiedStart;let f=e.modifiedLength;return e.originalStart+e.originalLength>=i.originalStart&&(c=i.originalStart+i.originalLength-e.originalStart),e.modifiedStart+e.modifiedLength>=i.modifiedStart&&(f=i.modifiedStart+i.modifiedLength-e.modifiedStart),n[0]=new lM(s,c,d,f),!0}else return n[0]=null,!1}ClipDiagonalBound(e,i,n,s){if(e>=0&&e<s)return e;const c=n,d=s-n-1,f=i%2===0;if(e<0){const m=c%2===0;return f===m?0:1}else{const m=d%2===0;return f===m?s-1:s-2}}}function _ye(l){return l<0?0:l>255?255:l|0}function kU(l){return l<0?0:l>4294967295?4294967295:l|0}class yJ{constructor(e){const i=_ye(e);this._defaultValue=i,this._asciiMap=yJ._createAsciiMap(i),this._map=new Map}static _createAsciiMap(e){const i=new Uint8Array(256);return i.fill(e),i}set(e,i){const n=_ye(i);e>=0&&e<256?this._asciiMap[e]=n:this._map.set(e,n)}get(e){return e>=0&&e<256?this._asciiMap[e]:this._map.get(e)||this._defaultValue}clear(){this._asciiMap.fill(this._defaultValue),this._map.clear()}}class pye{constructor(){this._actual=new yJ(0)}add(e){this._actual.set(e,1)}has(e){return this._actual.get(e)===1}clear(){return this._actual.clear()}}class Zfi{constructor(e,i,n){const s=new Uint8Array(e*i);for(let c=0,d=e*i;c<d;c++)s[c]=n;this._data=s,this.rows=e,this.cols=i}get(e,i){return this._data[e*this.cols+i]}set(e,i,n){this._data[e*this.cols+i]=n}}class Yfi{constructor(e){let i=0,n=0;for(let c=0,d=e.length;c<d;c++){const[f,m,v]=e[c];m>i&&(i=m),f>n&&(n=f),v>n&&(n=v)}i++,n++;const s=new Zfi(n,i,0);for(let c=0,d=e.length;c<d;c++){const[f,m,v]=e[c];s.set(f,m,v)}this._states=s,this._maxCharCode=i}nextState(e,i){return i<0||i>=this._maxCharCode?0:this._states.get(e,i)}}let wFe=null;function ehi(){return wFe===null&&(wFe=new Yfi([[1,104,2],[1,72,2],[1,102,6],[1,70,6],[2,116,3],[2,84,3],[3,116,4],[3,84,4],[4,112,5],[4,80,5],[5,115,9],[5,83,9],[5,58,10],[6,105,7],[6,73,7],[7,108,8],[7,76,8],[8,101,9],[8,69,9],[9,58,10],[10,47,11],[11,47,12]])),wFe}let Pte=null;function thi(){if(Pte===null){Pte=new yJ(0);const l=` <>'"、。。、,.:;‘〈「『〔([{「」}])〕』」〉’`~…`;for(let i=0;i<l.length;i++)Pte.set(l.charCodeAt(i),1);const e=".,;:";for(let i=0;i<e.length;i++)Pte.set(e.charCodeAt(i),2)}return Pte}class mye{static _createLink(e,i,n,s,c){let d=c-1;do{const f=i.charCodeAt(d);if(e.get(f)!==2)break;d--}while(d>s);if(s>0){const f=i.charCodeAt(s-1),m=i.charCodeAt(d);(f===40&&m===41||f===91&&m===93||f===123&&m===125)&&d--}return{range:{startLineNumber:n,startColumn:s+1,endLineNumber:n,endColumn:d+2},url:i.substring(s,d+1)}}static computeLinks(e,i=ehi()){const n=thi(),s=[];for(let c=1,d=e.getLineCount();c<=d;c++){const f=e.getLineContent(c),m=f.length;let v=0,x=0,w=0,I=1,P=!1,O=!1,z=!1,J=!1;for(;v<m;){let Y=!1;const ae=f.charCodeAt(v);if(I===13){let me;switch(ae){case 40:P=!0,me=0;break;case 41:me=P?0:1;break;case 91:z=!0,O=!0,me=0;break;case 93:z=!1,me=O?0:1;break;case 123:J=!0,me=0;break;case 125:me=J?0:1;break;case 39:case 34:case 96:w===ae?me=1:w===39||w===34||w===96?me=0:me=1;break;case 42:me=w===42?1:0;break;case 124:me=w===124?1:0;break;case 32:me=z?0:1;break;default:me=n.get(ae)}me===1&&(s.push(mye._createLink(n,f,c,x,v)),Y=!0)}else if(I===12){let me;ae===91?(O=!0,me=0):me=n.get(ae),me===1?Y=!0:I=13}else I=i.nextState(I,ae),I===0&&(Y=!0);Y&&(I=1,P=!1,O=!1,J=!1,x=v+1,w=ae),v++}I===13&&s.push(mye._createLink(n,f,c,x,m))}return s}}function ihi(l){return!l||typeof l.getLineCount!="function"||typeof l.getLineContent!="function"?[]:mye.computeLinks(l)}const Ybe=class Ybe{constructor(){this._defaultValueSet=[["true","false"],["True","False"],["Private","Public","Friend","ReadOnly","Partial","Protected","WriteOnly"],["public","protected","private"]]}navigateValueSet(e,i,n,s,c){if(e&&i){const d=this.doNavigateValueSet(i,c);if(d)return{range:e,value:d}}if(n&&s){const d=this.doNavigateValueSet(s,c);if(d)return{range:n,value:d}}return null}doNavigateValueSet(e,i){const n=this.numberReplace(e,i);return n!==null?n:this.textReplace(e,i)}numberReplace(e,i){const n=Math.pow(10,e.length-(e.lastIndexOf(".")+1));let s=Number(e);const c=parseFloat(e);return!isNaN(s)&&!isNaN(c)&&s===c?s===0&&!i?null:(s=Math.floor(s*n),s+=i?n:-n,String(s/n)):null}textReplace(e,i){return this.valueSetsReplace(this._defaultValueSet,e,i)}valueSetsReplace(e,i,n){let s=null;for(let c=0,d=e.length;s===null&&c<d;c++)s=this.valueSetReplace(e[c],i,n);return s}valueSetReplace(e,i,n){let s=e.indexOf(i);return s>=0?(s+=n?1:-1,s<0?s=e.length-1:s%=e.length,e[s]):null}};Ybe.INSTANCE=new Ybe;let BWe=Ybe;const dne=class dne{static getChannel(e){return e.getChannel(dne.CHANNEL_NAME)}static setChannel(e,i){e.setChannel(dne.CHANNEL_NAME,i)}};dne.CHANNEL_NAME="editorWorkerHost";let WWe=dne;var Fvt,Bvt;class nhi{constructor(e,i){this.uri=e,this.value=i}}function rhi(l){return Array.isArray(l)}const QF=class QF{constructor(e,i){if(this[Fvt]="ResourceMap",e instanceof QF)this.map=new Map(e.map),this.toKey=i??QF.defaultToKey;else if(rhi(e)){this.map=new Map,this.toKey=i??QF.defaultToKey;for(const[n,s]of e)this.set(n,s)}else this.map=new Map,this.toKey=e??QF.defaultToKey}set(e,i){return this.map.set(this.toKey(e),new nhi(e,i)),this}get(e){var i;return(i=this.map.get(this.toKey(e)))==null?void 0:i.value}has(e){return this.map.has(this.toKey(e))}get size(){return this.map.size}clear(){this.map.clear()}delete(e){return this.map.delete(this.toKey(e))}forEach(e,i){typeof i<"u"&&(e=e.bind(i));for(const[n,s]of this.map)e(s.value,s.uri,this)}*values(){for(const e of this.map.values())yield e.value}*keys(){for(const e of this.map.values())yield e.uri}*entries(){for(const e of this.map.values())yield[e.uri,e.value]}*[(Fvt=Symbol.toStringTag,Symbol.iterator)](){for(const[,e]of this.map)yield[e.uri,e.value]}};QF.defaultToKey=e=>e.toString();let vg=QF;class shi{constructor(){this[Bvt]="LinkedMap",this._map=new Map,this._head=void 0,this._tail=void 0,this._size=0,this._state=0}clear(){this._map.clear(),this._head=void 0,this._tail=void 0,this._size=0,this._state++}isEmpty(){return!this._head&&!this._tail}get size(){return this._size}get first(){var e;return(e=this._head)==null?void 0:e.value}get last(){var e;return(e=this._tail)==null?void 0:e.value}has(e){return this._map.has(e)}get(e,i=0){const n=this._map.get(e);if(n)return i!==0&&this.touch(n,i),n.value}set(e,i,n=0){let s=this._map.get(e);if(s)s.value=i,n!==0&&this.touch(s,n);else{switch(s={key:e,value:i,next:void 0,previous:void 0},n){case 0:this.addItemLast(s);break;case 1:this.addItemFirst(s);break;case 2:this.addItemLast(s);break;default:this.addItemLast(s);break}this._map.set(e,s),this._size++}return this}delete(e){return!!this.remove(e)}remove(e){const i=this._map.get(e);if(i)return this._map.delete(e),this.removeItem(i),this._size--,i.value}shift(){if(!this._head&&!this._tail)return;if(!this._head||!this._tail)throw new Error("Invalid list");const e=this._head;return this._map.delete(e.key),this.removeItem(e),this._size--,e.value}forEach(e,i){const n=this._state;let s=this._head;for(;s;){if(i?e.bind(i)(s.value,s.key,this):e(s.value,s.key,this),this._state!==n)throw new Error("LinkedMap got modified during iteration.");s=s.next}}keys(){const e=this,i=this._state;let n=this._head;const s={[Symbol.iterator](){return s},next(){if(e._state!==i)throw new Error("LinkedMap got modified during iteration.");if(n){const c={value:n.key,done:!1};return n=n.next,c}else return{value:void 0,done:!0}}};return s}values(){const e=this,i=this._state;let n=this._head;const s={[Symbol.iterator](){return s},next(){if(e._state!==i)throw new Error("LinkedMap got modified during iteration.");if(n){const c={value:n.value,done:!1};return n=n.next,c}else return{value:void 0,done:!0}}};return s}entries(){const e=this,i=this._state;let n=this._head;const s={[Symbol.iterator](){return s},next(){if(e._state!==i)throw new Error("LinkedMap got modified during iteration.");if(n){const c={value:[n.key,n.value],done:!1};return n=n.next,c}else return{value:void 0,done:!0}}};return s}[(Bvt=Symbol.toStringTag,Symbol.iterator)](){return this.entries()}trimOld(e){if(e>=this.size)return;if(e===0){this.clear();return}let i=this._head,n=this.size;for(;i&&n>e;)this._map.delete(i.key),i=i.next,n--;this._head=i,this._size=n,i&&(i.previous=void 0),this._state++}trimNew(e){if(e>=this.size)return;if(e===0){this.clear();return}let i=this._tail,n=this.size;for(;i&&n>e;)this._map.delete(i.key),i=i.previous,n--;this._tail=i,this._size=n,i&&(i.next=void 0),this._state++}addItemFirst(e){if(!this._head&&!this._tail)this._tail=e;else if(this._head)e.next=this._head,this._head.previous=e;else throw new Error("Invalid list");this._head=e,this._state++}addItemLast(e){if(!this._head&&!this._tail)this._head=e;else if(this._tail)e.previous=this._tail,this._tail.next=e;else throw new Error("Invalid list");this._tail=e,this._state++}removeItem(e){if(e===this._head&&e===this._tail)this._head=void 0,this._tail=void 0;else if(e===this._head){if(!e.next)throw new Error("Invalid list");e.next.previous=void 0,this._head=e.next}else if(e===this._tail){if(!e.previous)throw new Error("Invalid list");e.previous.next=void 0,this._tail=e.previous}else{const i=e.next,n=e.previous;if(!i||!n)throw new Error("Invalid list");i.previous=n,n.next=i}e.next=void 0,e.previous=void 0,this._state++}touch(e,i){if(!this._head||!this._tail)throw new Error("Invalid list");if(!(i!==1&&i!==2)){if(i===1){if(e===this._head)return;const n=e.next,s=e.previous;e===this._tail?(s.next=void 0,this._tail=s):(n.previous=s,s.next=n),e.previous=void 0,e.next=this._head,this._head.previous=e,this._head=e,this._state++}else if(i===2){if(e===this._tail)return;const n=e.next,s=e.previous;e===this._head?(n.previous=void 0,this._head=n):(n.previous=s,s.next=n),e.next=void 0,e.previous=this._tail,this._tail.next=e,this._tail=e,this._state++}}}toJSON(){const e=[];return this.forEach((i,n)=>{e.push([n,i])}),e}fromJSON(e){this.clear();for(const[i,n]of e)this.set(i,n)}}class ohi extends shi{constructor(e,i=1){super(),this._limit=e,this._ratio=Math.min(Math.max(0,i),1)}get limit(){return this._limit}set limit(e){this._limit=e,this.checkTrim()}get(e,i=2){return super.get(e,i)}peek(e){return super.get(e,0)}set(e,i){return super.set(e,i,2),this}checkTrim(){this.size>this._limit&&this.trim(Math.round(this._limit*this._ratio))}}class VL extends ohi{constructor(e,i=1){super(e,i)}trim(e){this.trimOld(e)}set(e,i){return super.set(e,i),this.checkTrim(),this}}class ahi{constructor(e){if(this._m1=new Map,this._m2=new Map,e)for(const[i,n]of e)this.set(i,n)}clear(){this._m1.clear(),this._m2.clear()}set(e,i){this._m1.set(e,i),this._m2.set(i,e)}get(e){return this._m1.get(e)}getKey(e){return this._m2.get(e)}delete(e){const i=this._m1.get(e);return i===void 0?!1:(this._m1.delete(e),this._m2.delete(i),!0)}keys(){return this._m1.keys()}values(){return this._m1.values()}}class y$e{constructor(){this.map=new Map}add(e,i){let n=this.map.get(e);n||(n=new Set,this.map.set(e,n)),n.add(i)}delete(e,i){const n=this.map.get(e);n&&(n.delete(i),n.size===0&&this.map.delete(e))}forEach(e,i){const n=this.map.get(e);n&&n.forEach(i)}get(e){const i=this.map.get(e);return i||new Set}}class chi extends yJ{constructor(e,i){super(0),this._segmenter=null,this._cachedLine=null,this._cachedSegments=[],this.intlSegmenterLocales=i,this.intlSegmenterLocales.length>0?this._segmenter=new Intl.Segmenter(this.intlSegmenterLocales,{granularity:"word"}):this._segmenter=null;for(let n=0,s=e.length;n<s;n++)this.set(e.charCodeAt(n),2);this.set(32,1),this.set(9,1)}findPrevIntlWordBeforeOrAtOffset(e,i){let n=null;for(const s of this._getIntlSegmenterWordsOnLine(e)){if(s.index>i)break;n=s}return n}findNextIntlWordAtOrAfterOffset(e,i){for(const n of this._getIntlSegmenterWordsOnLine(e))if(!(n.index<i))return n;return null}_getIntlSegmenterWordsOnLine(e){return this._segmenter?this._cachedLine===e?this._cachedSegments:(this._cachedLine=e,this._cachedSegments=this._filterWordSegments(this._segmenter.segment(e)),this._cachedSegments):[]}_filterWordSegments(e){const i=[];for(const n of e)this._isWordLike(n)&&i.push(n);return i}_isWordLike(e){return!!e.isWordLike}}const Wvt=new VL(10);function Sk(l,e){const i=`${l}/${e.join(",")}`;let n=Wvt.get(i);return n||(n=new chi(l,e),Wvt.set(i,n)),n}var ww;(function(l){l[l.Left=1]="Left",l[l.Center=2]="Center",l[l.Right=4]="Right",l[l.Full=7]="Full"})(ww||(ww={}));var CT;(function(l){l[l.Left=1]="Left",l[l.Center=2]="Center",l[l.Right=3]="Right"})(CT||(CT={}));var _T;(function(l){l[l.Both=0]="Both",l[l.Right=1]="Right",l[l.Left=2]="Left",l[l.None=3]="None"})(_T||(_T={}));class a1e{get originalIndentSize(){return this._indentSizeIsTabSize?"tabSize":this.indentSize}constructor(e){this._textModelResolvedOptionsBrand=void 0,this.tabSize=Math.max(1,e.tabSize|0),e.indentSize==="tabSize"?(this.indentSize=this.tabSize,this._indentSizeIsTabSize=!0):(this.indentSize=Math.max(1,e.indentSize|0),this._indentSizeIsTabSize=!1),this.insertSpaces=!!e.insertSpaces,this.defaultEOL=e.defaultEOL|0,this.trimAutoWhitespace=!!e.trimAutoWhitespace,this.bracketPairColorizationOptions=e.bracketPairColorizationOptions}equals(e){return this.tabSize===e.tabSize&&this._indentSizeIsTabSize===e._indentSizeIsTabSize&&this.indentSize===e.indentSize&&this.insertSpaces===e.insertSpaces&&this.defaultEOL===e.defaultEOL&&this.trimAutoWhitespace===e.trimAutoWhitespace&&qC(this.bracketPairColorizationOptions,e.bracketPairColorizationOptions)}createChangeEvent(e){return{tabSize:this.tabSize!==e.tabSize,indentSize:this.indentSize!==e.indentSize,insertSpaces:this.insertSpaces!==e.insertSpaces,trimAutoWhitespace:this.trimAutoWhitespace!==e.trimAutoWhitespace}}}class Hne{constructor(e,i){this._findMatchBrand=void 0,this.range=e,this.matches=i}}function lhi(l){return l&&typeof l.read=="function"}class xFe{constructor(e,i,n,s,c,d){this.identifier=e,this.range=i,this.text=n,this.forceMoveMarkers=s,this.isAutoWhitespaceEdit=c,this._isTracked=d}}class uhi{constructor(e,i,n){this.regex=e,this.wordSeparators=i,this.simpleSearch=n}}class dhi{constructor(e,i,n){this.reverseEdits=e,this.changes=i,this.trimAutoWhitespaceLineNumbers=n}}function tTt(l){return!l.isTooLargeForSyncing()&&!l.isForSimpleWidget}const fhi=999;class DF{constructor(e,i,n,s){this.searchString=e,this.isRegex=i,this.matchCase=n,this.wordSeparators=s}parseSearchRequest(){if(this.searchString==="")return null;let e;this.isRegex?e=hhi(this.searchString):e=this.searchString.indexOf(`
+`)>=0;let i=null;try{i=e2t(this.searchString,this.isRegex,{matchCase:this.matchCase,wholeWord:!1,multiline:e,global:!0,unicode:!0})}catch{return null}if(!i)return null;let n=!this.isRegex&&!e;return n&&this.searchString.toLowerCase()!==this.searchString.toUpperCase()&&(n=this.matchCase),new uhi(i,this.wordSeparators?Sk(this.wordSeparators,[]):null,n?this.searchString:null)}}function hhi(l){if(!l||l.length===0)return!1;for(let e=0,i=l.length;e<i;e++){const n=l.charCodeAt(e);if(n===10)return!0;if(n===92){if(e++,e>=i)break;const s=l.charCodeAt(e);if(s===110||s===114||s===87)return!0}}return!1}function FF(l,e,i){if(!i)return new Hne(l,null);const n=[];for(let s=0,c=e.length;s<c;s++)n[s]=e[s];return new Hne(l,n)}class Vvt{constructor(e){const i=[];let n=0;for(let s=0,c=e.length;s<c;s++)e.charCodeAt(s)===10&&(i[n++]=s);this._lineFeedsOffsets=i}findLineFeedCountBeforeOffset(e){const i=this._lineFeedsOffsets;let n=0,s=i.length-1;if(s===-1||e<=i[0])return 0;for(;n<s;){const c=n+((s-n)/2>>0);i[c]>=e?s=c-1:i[c+1]>=e?(n=c,s=c):n=c+1}return n+1}}class Xge{static findMatches(e,i,n,s,c){const d=i.parseSearchRequest();return d?d.regex.multiline?this._doFindMatchesMultiline(e,n,new nq(d.wordSeparators,d.regex),s,c):this._doFindMatchesLineByLine(e,n,d,s,c):[]}static _getMultilineMatchRange(e,i,n,s,c,d){let f,m=0;s?(m=s.findLineFeedCountBeforeOffset(c),f=i+c+m):f=i+c;let v;if(s){const P=s.findLineFeedCountBeforeOffset(c+d.length)-m;v=f+d.length+P}else v=f+d.length;const x=e.getPositionAt(f),w=e.getPositionAt(v);return new nt(x.lineNumber,x.column,w.lineNumber,w.column)}static _doFindMatchesMultiline(e,i,n,s,c){const d=e.getOffsetAt(i.getStartPosition()),f=e.getValueInRange(i,1),m=e.getEOL()===`\r
+`?new Vvt(f):null,v=[];let x=0,w;for(n.reset(0);w=n.next(f);)if(v[x++]=FF(this._getMultilineMatchRange(e,d,f,m,w.index,w[0]),w,s),x>=c)return v;return v}static _doFindMatchesLineByLine(e,i,n,s,c){const d=[];let f=0;if(i.startLineNumber===i.endLineNumber){const v=e.getLineContent(i.startLineNumber).substring(i.startColumn-1,i.endColumn-1);return f=this._findMatchesInLine(n,v,i.startLineNumber,i.startColumn-1,f,d,s,c),d}const m=e.getLineContent(i.startLineNumber).substring(i.startColumn-1);f=this._findMatchesInLine(n,m,i.startLineNumber,i.startColumn-1,f,d,s,c);for(let v=i.startLineNumber+1;v<i.endLineNumber&&f<c;v++)f=this._findMatchesInLine(n,e.getLineContent(v),v,0,f,d,s,c);if(f<c){const v=e.getLineContent(i.endLineNumber).substring(0,i.endColumn-1);f=this._findMatchesInLine(n,v,i.endLineNumber,0,f,d,s,c)}return d}static _findMatchesInLine(e,i,n,s,c,d,f,m){const v=e.wordSeparators;if(!f&&e.simpleSearch){const I=e.simpleSearch,P=I.length,O=i.length;let z=-P;for(;(z=i.indexOf(I,z+P))!==-1;)if((!v||v$e(v,i,O,z,P))&&(d[c++]=new Hne(new nt(n,z+1+s,n,z+1+P+s),null),c>=m))return c;return c}const x=new nq(e.wordSeparators,e.regex);let w;x.reset(0);do if(w=x.next(i),w&&(d[c++]=FF(new nt(n,w.index+1+s,n,w.index+1+w[0].length+s),w,f),c>=m))return c;while(w);return c}static findNextMatch(e,i,n,s){const c=i.parseSearchRequest();if(!c)return null;const d=new nq(c.wordSeparators,c.regex);return c.regex.multiline?this._doFindNextMatchMultiline(e,n,d,s):this._doFindNextMatchLineByLine(e,n,d,s)}static _doFindNextMatchMultiline(e,i,n,s){const c=new pi(i.lineNumber,1),d=e.getOffsetAt(c),f=e.getLineCount(),m=e.getValueInRange(new nt(c.lineNumber,c.column,f,e.getLineMaxColumn(f)),1),v=e.getEOL()===`\r
+`?new Vvt(m):null;n.reset(i.column-1);const x=n.next(m);return x?FF(this._getMultilineMatchRange(e,d,m,v,x.index,x[0]),x,s):i.lineNumber!==1||i.column!==1?this._doFindNextMatchMultiline(e,new pi(1,1),n,s):null}static _doFindNextMatchLineByLine(e,i,n,s){const c=e.getLineCount(),d=i.lineNumber,f=e.getLineContent(d),m=this._findFirstMatchInLine(n,f,d,i.column,s);if(m)return m;for(let v=1;v<=c;v++){const x=(d+v-1)%c,w=e.getLineContent(x+1),I=this._findFirstMatchInLine(n,w,x+1,1,s);if(I)return I}return null}static _findFirstMatchInLine(e,i,n,s,c){e.reset(s-1);const d=e.next(i);return d?FF(new nt(n,d.index+1,n,d.index+1+d[0].length),d,c):null}static findPreviousMatch(e,i,n,s){const c=i.parseSearchRequest();if(!c)return null;const d=new nq(c.wordSeparators,c.regex);return c.regex.multiline?this._doFindPreviousMatchMultiline(e,n,d,s):this._doFindPreviousMatchLineByLine(e,n,d,s)}static _doFindPreviousMatchMultiline(e,i,n,s){const c=this._doFindMatchesMultiline(e,new nt(1,1,i.lineNumber,i.column),n,s,10*fhi);if(c.length>0)return c[c.length-1];const d=e.getLineCount();return i.lineNumber!==d||i.column!==e.getLineMaxColumn(d)?this._doFindPreviousMatchMultiline(e,new pi(d,e.getLineMaxColumn(d)),n,s):null}static _doFindPreviousMatchLineByLine(e,i,n,s){const c=e.getLineCount(),d=i.lineNumber,f=e.getLineContent(d).substring(0,i.column-1),m=this._findLastMatchInLine(n,f,d,s);if(m)return m;for(let v=1;v<=c;v++){const x=(c+d-v-1)%c,w=e.getLineContent(x+1),I=this._findLastMatchInLine(n,w,x+1,s);if(I)return I}return null}static _findLastMatchInLine(e,i,n,s){let c=null,d;for(e.reset(0);d=e.next(i);)c=FF(new nt(n,d.index+1,n,d.index+1+d[0].length),d,s);return c}}function _hi(l,e,i,n,s){if(n===0)return!0;const c=e.charCodeAt(n-1);if(l.get(c)!==0||c===13||c===10)return!0;if(s>0){const d=e.charCodeAt(n);if(l.get(d)!==0)return!0}return!1}function phi(l,e,i,n,s){if(n+s===i)return!0;const c=e.charCodeAt(n+s);if(l.get(c)!==0||c===13||c===10)return!0;if(s>0){const d=e.charCodeAt(n+s-1);if(l.get(d)!==0)return!0}return!1}function v$e(l,e,i,n,s){return _hi(l,e,i,n,s)&&phi(l,e,i,n,s)}class nq{constructor(e,i){this._wordSeparators=e,this._searchRegex=i,this._prevMatchStartIndex=-1,this._prevMatchLength=0}reset(e){this._searchRegex.lastIndex=e,this._prevMatchStartIndex=-1,this._prevMatchLength=0}next(e){const i=e.length;let n;do{if(this._prevMatchStartIndex+this._prevMatchLength===i||(n=this._searchRegex.exec(e),!n))return null;const s=n.index,c=n[0].length;if(s===this._prevMatchStartIndex&&c===this._prevMatchLength){if(c===0){nye(e,i,this._searchRegex.lastIndex)>65535?this._searchRegex.lastIndex+=2:this._searchRegex.lastIndex+=1;continue}return null}if(this._prevMatchStartIndex=s,this._prevMatchLength=c,!this._wordSeparators||v$e(this._wordSeparators,e,i,s,c))return n}while(n);return null}}class b$e{static computeUnicodeHighlights(e,i,n){const s=n?n.startLineNumber:1,c=n?n.endLineNumber:e.getLineCount(),d=new Hvt(i),f=d.getCandidateCodePoints();let m;f==="allNonBasicAscii"?m=new RegExp("[^\\t\\n\\r\\x20-\\x7E]","g"):m=new RegExp(`${mhi(Array.from(f))}`,"g");const v=new nq(null,m),x=[];let w=!1,I,P=0,O=0,z=0;e:for(let J=s,Y=c;J<=Y;J++){const ae=e.getLineContent(J),me=ae.length;v.reset(0);do if(I=v.next(ae),I){let ye=I.index,Ce=I.index+I[0].length;if(ye>0){const Mt=ae.charCodeAt(ye-1);Pm(Mt)&&ye--}if(Ce+1<me){const Mt=ae.charCodeAt(Ce-1);Pm(Mt)&&Ce++}const Fe=ae.substring(ye,Ce);let rt=Nne(ye+1,Uqe,ae,0);rt&&rt.endColumn<=ye+1&&(rt=null);const ct=d.shouldHighlightNonBasicASCII(Fe,rt?rt.word:null);if(ct!==0){if(ct===3?P++:ct===2?O++:ct===1?z++:qSe(),x.length>=1e3){w=!0;break e}x.push(new nt(J,ye+1,J,Ce+1))}}while(I)}return{ranges:x,hasMore:w,ambiguousCharacterCount:P,invisibleCharacterCount:O,nonBasicAsciiCharacterCount:z}}static computeUnicodeHighlightReason(e,i){const n=new Hvt(i);switch(n.shouldHighlightNonBasicASCII(e,null)){case 0:return null;case 2:return{kind:1};case 3:{const c=e.codePointAt(0),d=n.ambiguousCharacters.getPrimaryConfusable(c),f=Fne.getLocales().filter(m=>!Fne.getInstance(new Set([...i.allowedLocales,m])).isAmbiguous(c));return{kind:0,confusableWith:String.fromCodePoint(d),notAmbiguousInLocales:f}}case 1:return{kind:2}}}}function mhi(l,e){return`[${Tw(l.map(n=>String.fromCodePoint(n)).join(""))}]`}class Hvt{constructor(e){this.options=e,this.allowedCodePoints=new Set(e.allowedCodePoints),this.ambiguousCharacters=Fne.getInstance(new Set(e.allowedLocales))}getCandidateCodePoints(){if(this.options.nonBasicASCII)return"allNonBasicAscii";const e=new Set;if(this.options.invisibleCharacters)for(const i of d9.codePoints)jvt(String.fromCodePoint(i))||e.add(i);if(this.options.ambiguousCharacters)for(const i of this.ambiguousCharacters.getConfusableCodePoints())e.add(i);for(const i of this.allowedCodePoints)e.delete(i);return e}shouldHighlightNonBasicASCII(e,i){const n=e.codePointAt(0);if(this.allowedCodePoints.has(n))return 0;if(this.options.nonBasicASCII)return 1;let s=!1,c=!1;if(i)for(const d of i){const f=d.codePointAt(0),m=fse(d);s=s||m,!m&&!this.ambiguousCharacters.isAmbiguous(f)&&!d9.isInvisibleCharacter(f)&&(c=!0)}return!s&&c?0:this.options.invisibleCharacters&&!jvt(e)&&d9.isInvisibleCharacter(n)?2:this.options.ambiguousCharacters&&this.ambiguousCharacters.isAmbiguous(n)?3:0}}function jvt(l){return l===" "||l===`
+`||l===" "}class c1e{constructor(e,i,n){this.changes=e,this.moves=i,this.hitTimeout=n}}class iTt{constructor(e,i){this.lineRangeMapping=e,this.changes=i}}class xc{static addRange(e,i){let n=0;for(;n<i.length&&i[n].endExclusive<e.start;)n++;let s=n;for(;s<i.length&&i[s].start<=e.endExclusive;)s++;if(n===s)i.splice(n,0,e);else{const c=Math.min(e.start,i[n].start),d=Math.max(e.endExclusive,i[s-1].endExclusive);i.splice(n,s-n,new xc(c,d))}}static tryCreate(e,i){if(!(e>i))return new xc(e,i)}static ofLength(e){return new xc(0,e)}static ofStartAndLength(e,i){return new xc(e,e+i)}constructor(e,i){if(this.start=e,this.endExclusive=i,e>i)throw new tu(`Invalid range: ${this.toString()}`)}get isEmpty(){return this.start===this.endExclusive}delta(e){return new xc(this.start+e,this.endExclusive+e)}deltaStart(e){return new xc(this.start+e,this.endExclusive)}deltaEnd(e){return new xc(this.start,this.endExclusive+e)}get length(){return this.endExclusive-this.start}toString(){return`[${this.start}, ${this.endExclusive})`}contains(e){return this.start<=e&&e<this.endExclusive}join(e){return new xc(Math.min(this.start,e.start),Math.max(this.endExclusive,e.endExclusive))}intersect(e){const i=Math.max(this.start,e.start),n=Math.min(this.endExclusive,e.endExclusive);if(i<=n)return new xc(i,n)}intersects(e){const i=Math.max(this.start,e.start),n=Math.min(this.endExclusive,e.endExclusive);return i<n}isBefore(e){return this.endExclusive<=e.start}isAfter(e){return this.start>=e.endExclusive}slice(e){return e.slice(this.start,this.endExclusive)}substring(e){return e.substring(this.start,this.endExclusive)}clip(e){if(this.isEmpty)throw new tu(`Invalid clipping range: ${this.toString()}`);return Math.max(this.start,Math.min(this.endExclusive-1,e))}clipCyclic(e){if(this.isEmpty)throw new tu(`Invalid clipping range: ${this.toString()}`);return e<this.start?this.endExclusive-(this.start-e)%this.length:e>=this.endExclusive?this.start+(e-this.start)%this.length:e}forEach(e){for(let i=this.start;i<this.endExclusive;i++)e(i)}}class C$e{constructor(){this._sortedRanges=[]}addRange(e){let i=0;for(;i<this._sortedRanges.length&&this._sortedRanges[i].endExclusive<e.start;)i++;let n=i;for(;n<this._sortedRanges.length&&this._sortedRanges[n].start<=e.endExclusive;)n++;if(i===n)this._sortedRanges.splice(i,0,e);else{const s=Math.min(e.start,this._sortedRanges[i].start),c=Math.max(e.endExclusive,this._sortedRanges[n-1].endExclusive);this._sortedRanges.splice(i,n-i,new xc(s,c))}}toString(){return this._sortedRanges.map(e=>e.toString()).join(", ")}intersectsStrict(e){let i=0;for(;i<this._sortedRanges.length&&this._sortedRanges[i].endExclusive<=e.start;)i++;return i<this._sortedRanges.length&&this._sortedRanges[i].start<e.endExclusive}intersectWithRange(e){const i=new C$e;for(const n of this._sortedRanges){const s=n.intersect(e);s&&i.addRange(s)}return i}intersectWithRangeLength(e){return this.intersectWithRange(e).length}get length(){return this._sortedRanges.reduce((e,i)=>e+i.length,0)}}function jne(l,e){const i=ghi(l,e);if(i!==-1)return l[i]}function ghi(l,e,i=l.length-1){for(let n=i;n>=0;n--){const s=l[n];if(e(s))return n}return-1}function E$(l,e){const i=zne(l,e);return i===-1?void 0:l[i]}function zne(l,e,i=0,n=l.length){let s=i,c=n;for(;s<c;){const d=Math.floor((s+c)/2);e(l[d])?s=d+1:c=d}return s-1}function yhi(l,e){const i=Une(l,e);return i===l.length?void 0:l[i]}function Une(l,e,i=0,n=l.length){let s=i,c=n;for(;s<c;){const d=Math.floor((s+c)/2);e(l[d])?c=d:s=d+1}return s}const eCe=class eCe{constructor(e){this._array=e,this._findLastMonotonousLastIdx=0}findLastMonotonous(e){if(eCe.assertInvariants){if(this._prevFindLastPredicate){for(const n of this._array)if(this._prevFindLastPredicate(n)&&!e(n))throw new Error("MonotonousArray: current predicate must be weaker than (or equal to) the previous predicate.")}this._prevFindLastPredicate=e}const i=zne(this._array,e,this._findLastMonotonousLastIdx);return this._findLastMonotonousLastIdx=i+1,i===-1?void 0:this._array[i]}};eCe.assertInvariants=!1;let gye=eCe;function S$e(l,e){if(l.length===0)return;let i=l[0];for(let n=1;n<l.length;n++){const s=l[n];e(s,i)>0&&(i=s)}return i}function vhi(l,e){if(l.length===0)return;let i=l[0];for(let n=1;n<l.length;n++){const s=l[n];e(s,i)>=0&&(i=s)}return i}function bhi(l,e){return S$e(l,(i,n)=>-e(i,n))}function Chi(l,e){if(l.length===0)return-1;let i=0;for(let n=1;n<l.length;n++){const s=l[n];e(s,l[i])>0&&(i=n)}return i}function Shi(l,e){for(const i of l){const n=e(i);if(n!==void 0)return n}}let Za=class p4{static fromRangeInclusive(e){return new p4(e.startLineNumber,e.endLineNumber+1)}static joinMany(e){if(e.length===0)return[];let i=new _k(e[0].slice());for(let n=1;n<e.length;n++)i=i.getUnion(new _k(e[n].slice()));return i.ranges}static join(e){if(e.length===0)throw new tu("lineRanges cannot be empty");let i=e[0].startLineNumber,n=e[0].endLineNumberExclusive;for(let s=1;s<e.length;s++)i=Math.min(i,e[s].startLineNumber),n=Math.max(n,e[s].endLineNumberExclusive);return new p4(i,n)}static ofLength(e,i){return new p4(e,e+i)}static deserialize(e){return new p4(e[0],e[1])}constructor(e,i){if(e>i)throw new tu(`startLineNumber ${e} cannot be after endLineNumberExclusive ${i}`);this.startLineNumber=e,this.endLineNumberExclusive=i}contains(e){return this.startLineNumber<=e&&e<this.endLineNumberExclusive}get isEmpty(){return this.startLineNumber===this.endLineNumberExclusive}delta(e){return new p4(this.startLineNumber+e,this.endLineNumberExclusive+e)}deltaLength(e){return new p4(this.startLineNumber,this.endLineNumberExclusive+e)}get length(){return this.endLineNumberExclusive-this.startLineNumber}join(e){return new p4(Math.min(this.startLineNumber,e.startLineNumber),Math.max(this.endLineNumberExclusive,e.endLineNumberExclusive))}toString(){return`[${this.startLineNumber},${this.endLineNumberExclusive})`}intersect(e){const i=Math.max(this.startLineNumber,e.startLineNumber),n=Math.min(this.endLineNumberExclusive,e.endLineNumberExclusive);if(i<=n)return new p4(i,n)}intersectsStrict(e){return this.startLineNumber<e.endLineNumberExclusive&&e.startLineNumber<this.endLineNumberExclusive}overlapOrTouch(e){return this.startLineNumber<=e.endLineNumberExclusive&&e.startLineNumber<=this.endLineNumberExclusive}equals(e){return this.startLineNumber===e.startLineNumber&&this.endLineNumberExclusive===e.endLineNumberExclusive}toInclusiveRange(){return this.isEmpty?null:new nt(this.startLineNumber,1,this.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER)}toExclusiveRange(){return new nt(this.startLineNumber,1,this.endLineNumberExclusive,1)}mapToLineArray(e){const i=[];for(let n=this.startLineNumber;n<this.endLineNumberExclusive;n++)i.push(e(n));return i}forEach(e){for(let i=this.startLineNumber;i<this.endLineNumberExclusive;i++)e(i)}serialize(){return[this.startLineNumber,this.endLineNumberExclusive]}includes(e){return this.startLineNumber<=e&&e<this.endLineNumberExclusive}toOffsetRange(){return new xc(this.startLineNumber-1,this.endLineNumberExclusive-1)}};class _k{constructor(e=[]){this._normalizedRanges=e}get ranges(){return this._normalizedRanges}addRange(e){if(e.length===0)return;const i=Une(this._normalizedRanges,s=>s.endLineNumberExclusive>=e.startLineNumber),n=zne(this._normalizedRanges,s=>s.startLineNumber<=e.endLineNumberExclusive)+1;if(i===n)this._normalizedRanges.splice(i,0,e);else if(i===n-1){const s=this._normalizedRanges[i];this._normalizedRanges[i]=s.join(e)}else{const s=this._normalizedRanges[i].join(this._normalizedRanges[n-1]).join(e);this._normalizedRanges.splice(i,n-i,s)}}contains(e){const i=E$(this._normalizedRanges,n=>n.startLineNumber<=e);return!!i&&i.endLineNumberExclusive>e}intersects(e){const i=E$(this._normalizedRanges,n=>n.startLineNumber<e.endLineNumberExclusive);return!!i&&i.endLineNumberExclusive>e.startLineNumber}getUnion(e){if(this._normalizedRanges.length===0)return e;if(e._normalizedRanges.length===0)return this;const i=[];let n=0,s=0,c=null;for(;n<this._normalizedRanges.length||s<e._normalizedRanges.length;){let d=null;if(n<this._normalizedRanges.length&&s<e._normalizedRanges.length){const f=this._normalizedRanges[n],m=e._normalizedRanges[s];f.startLineNumber<m.startLineNumber?(d=f,n++):(d=m,s++)}else n<this._normalizedRanges.length?(d=this._normalizedRanges[n],n++):(d=e._normalizedRanges[s],s++);c===null?c=d:c.endLineNumberExclusive>=d.startLineNumber?c=new Za(c.startLineNumber,Math.max(c.endLineNumberExclusive,d.endLineNumberExclusive)):(i.push(c),c=d)}return c!==null&&i.push(c),new _k(i)}subtractFrom(e){const i=Une(this._normalizedRanges,d=>d.endLineNumberExclusive>=e.startLineNumber),n=zne(this._normalizedRanges,d=>d.startLineNumber<=e.endLineNumberExclusive)+1;if(i===n)return new _k([e]);const s=[];let c=e.startLineNumber;for(let d=i;d<n;d++){const f=this._normalizedRanges[d];f.startLineNumber>c&&s.push(new Za(c,f.startLineNumber)),c=f.endLineNumberExclusive}return c<e.endLineNumberExclusive&&s.push(new Za(c,e.endLineNumberExclusive)),new _k(s)}toString(){return this._normalizedRanges.map(e=>e.toString()).join(", ")}getIntersection(e){const i=[];let n=0,s=0;for(;n<this._normalizedRanges.length&&s<e._normalizedRanges.length;){const c=this._normalizedRanges[n],d=e._normalizedRanges[s],f=c.intersect(d);f&&!f.isEmpty&&i.push(f),c.endLineNumberExclusive<d.endLineNumberExclusive?n++:s++}return new _k(i)}getWithDelta(e){return new _k(this._normalizedRanges.map(i=>i.delta(e)))}}const mM=class mM{static betweenPositions(e,i){return e.lineNumber===i.lineNumber?new mM(0,i.column-e.column):new mM(i.lineNumber-e.lineNumber,i.column-1)}static ofRange(e){return mM.betweenPositions(e.getStartPosition(),e.getEndPosition())}static ofText(e){let i=0,n=0;for(const s of e)s===`
+`?(i++,n=0):n++;return new mM(i,n)}constructor(e,i){this.lineCount=e,this.columnCount=i}isGreaterThanOrEqualTo(e){return this.lineCount!==e.lineCount?this.lineCount>e.lineCount:this.columnCount>=e.columnCount}createRange(e){return this.lineCount===0?new nt(e.lineNumber,e.column,e.lineNumber,e.column+this.columnCount):new nt(e.lineNumber,e.column,e.lineNumber+this.lineCount,this.columnCount+1)}addToPosition(e){return this.lineCount===0?new pi(e.lineNumber,e.column+this.columnCount):new pi(e.lineNumber+this.lineCount,this.columnCount+1)}toString(){return`${this.lineCount},${this.columnCount}`}};mM.zero=new mM(0,0);let ST=mM;class whi{constructor(e){this.text=e,this.lineStartOffsetByLineIdx=[],this.lineStartOffsetByLineIdx.push(0);for(let i=0;i<e.length;i++)e.charAt(i)===`
+`&&this.lineStartOffsetByLineIdx.push(i+1)}getOffset(e){return this.lineStartOffsetByLineIdx[e.lineNumber-1]+e.column-1}getOffsetRange(e){return new xc(this.getOffset(e.getStartPosition()),this.getOffset(e.getEndPosition()))}get textLength(){const e=this.lineStartOffsetByLineIdx.length-1;return new ST(e,this.text.length-this.lineStartOffsetByLineIdx[e])}}class w$e{constructor(e){this.edits=e,DB(()=>p$e(e,(i,n)=>i.range.getEndPosition().isBeforeOrEqual(n.range.getStartPosition())))}apply(e){let i="",n=new pi(1,1);for(const c of this.edits){const d=c.range,f=d.getStartPosition(),m=d.getEndPosition(),v=zvt(n,f);v.isEmpty()||(i+=e.getValueOfRange(v)),i+=c.text,n=m}const s=zvt(n,e.endPositionExclusive);return s.isEmpty()||(i+=e.getValueOfRange(s)),i}applyToString(e){const i=new xhi(e);return this.apply(i)}getNewRanges(){const e=[];let i=0,n=0,s=0;for(const c of this.edits){const d=ST.ofText(c.text),f=pi.lift({lineNumber:c.range.startLineNumber+n,column:c.range.startColumn+(c.range.startLineNumber===i?s:0)}),m=d.createRange(f);e.push(m),n=m.endLineNumber-c.range.endLineNumber,s=m.endColumn-c.range.endColumn,i=c.range.endLineNumber}return e}}class IL{constructor(e,i){this.range=e,this.text=i}toSingleEditOperation(){return{range:this.range,text:this.text}}}function zvt(l,e){if(l.lineNumber===e.lineNumber&&l.column===Number.MAX_SAFE_INTEGER)return nt.fromPositions(e,e);if(!l.isBeforeOrEqual(e))throw new tu("start must be before end");return new nt(l.lineNumber,l.column,e.lineNumber,e.column)}class nTt{get endPositionExclusive(){return this.length.addToPosition(new pi(1,1))}}class xhi extends nTt{constructor(e){super(),this.value=e,this._t=new whi(this.value)}getValueOfRange(e){return this._t.getOffsetRange(e).substring(this.value)}get length(){return this._t.textLength}}class wy{static inverse(e,i,n){const s=[];let c=1,d=1;for(const m of e){const v=new wy(new Za(c,m.original.startLineNumber),new Za(d,m.modified.startLineNumber));v.modified.isEmpty||s.push(v),c=m.original.endLineNumberExclusive,d=m.modified.endLineNumberExclusive}const f=new wy(new Za(c,i+1),new Za(d,n+1));return f.modified.isEmpty||s.push(f),s}static clip(e,i,n){const s=[];for(const c of e){const d=c.original.intersect(i),f=c.modified.intersect(n);d&&!d.isEmpty&&f&&!f.isEmpty&&s.push(new wy(d,f))}return s}constructor(e,i){this.original=e,this.modified=i}toString(){return`{${this.original.toString()}->${this.modified.toString()}}`}flip(){return new wy(this.modified,this.original)}join(e){return new wy(this.original.join(e.original),this.modified.join(e.modified))}toRangeMapping(){const e=this.original.toInclusiveRange(),i=this.modified.toInclusiveRange();if(e&&i)return new fw(e,i);if(this.original.startLineNumber===1||this.modified.startLineNumber===1){if(!(this.modified.startLineNumber===1&&this.original.startLineNumber===1))throw new tu("not a valid diff");return new fw(new nt(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new nt(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1))}else return new fw(new nt(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),new nt(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER))}toRangeMapping2(e,i){if(Uvt(this.original.endLineNumberExclusive,e)&&Uvt(this.modified.endLineNumberExclusive,i))return new fw(new nt(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new nt(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1));if(!this.original.isEmpty&&!this.modified.isEmpty)return new fw(nt.fromPositions(new pi(this.original.startLineNumber,1),TU(new pi(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),e)),nt.fromPositions(new pi(this.modified.startLineNumber,1),TU(new pi(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),i)));if(this.original.startLineNumber>1&&this.modified.startLineNumber>1)return new fw(nt.fromPositions(TU(new pi(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER),e),TU(new pi(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),e)),nt.fromPositions(TU(new pi(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER),i),TU(new pi(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),i)));throw new tu}}function TU(l,e){if(l.lineNumber<1)return new pi(1,1);if(l.lineNumber>e.length)return new pi(e.length,e[e.length-1].length+1);const i=e[l.lineNumber-1];return l.column>i.length+1?new pi(l.lineNumber,i.length+1):l}function Uvt(l,e){return l>=1&&l<=e.length}class xw extends wy{static fromRangeMappings(e){const i=Za.join(e.map(s=>Za.fromRangeInclusive(s.originalRange))),n=Za.join(e.map(s=>Za.fromRangeInclusive(s.modifiedRange)));return new xw(i,n,e)}constructor(e,i,n){super(e,i),this.innerChanges=n}flip(){var e;return new xw(this.modified,this.original,(e=this.innerChanges)==null?void 0:e.map(i=>i.flip()))}withInnerChangesFromLineRanges(){return new xw(this.original,this.modified,[this.toRangeMapping()])}}class fw{static assertSorted(e){for(let i=1;i<e.length;i++){const n=e[i-1],s=e[i];if(!(n.originalRange.getEndPosition().isBeforeOrEqual(s.originalRange.getStartPosition())&&n.modifiedRange.getEndPosition().isBeforeOrEqual(s.modifiedRange.getStartPosition())))throw new tu("Range mappings must be sorted")}}constructor(e,i){this.originalRange=e,this.modifiedRange=i}toString(){return`{${this.originalRange.toString()}->${this.modifiedRange.toString()}}`}flip(){return new fw(this.modifiedRange,this.originalRange)}toTextEdit(e){const i=e.getValueOfRange(this.modifiedRange);return new IL(this.originalRange,i)}}const khi=3;class Thi{computeDiff(e,i,n){var m;const c=new Ihi(e,i,{maxComputationTime:n.maxComputationTimeMs,shouldIgnoreTrimWhitespace:n.ignoreTrimWhitespace,shouldComputeCharChanges:!0,shouldMakePrettyDiff:!0,shouldPostProcessCharChanges:!0}).computeDiff(),d=[];let f=null;for(const v of c.changes){let x;v.originalEndLineNumber===0?x=new Za(v.originalStartLineNumber+1,v.originalStartLineNumber+1):x=new Za(v.originalStartLineNumber,v.originalEndLineNumber+1);let w;v.modifiedEndLineNumber===0?w=new Za(v.modifiedStartLineNumber+1,v.modifiedStartLineNumber+1):w=new Za(v.modifiedStartLineNumber,v.modifiedEndLineNumber+1);let I=new xw(x,w,(m=v.charChanges)==null?void 0:m.map(P=>new fw(new nt(P.originalStartLineNumber,P.originalStartColumn,P.originalEndLineNumber,P.originalEndColumn),new nt(P.modifiedStartLineNumber,P.modifiedStartColumn,P.modifiedEndLineNumber,P.modifiedEndColumn))));f&&(f.modified.endLineNumberExclusive===I.modified.startLineNumber||f.original.endLineNumberExclusive===I.original.startLineNumber)&&(I=new xw(f.original.join(I.original),f.modified.join(I.modified),f.innerChanges&&I.innerChanges?f.innerChanges.concat(I.innerChanges):void 0),d.pop()),d.push(I),f=I}return DB(()=>p$e(d,(v,x)=>x.original.startLineNumber-v.original.endLineNumberExclusive===x.modified.startLineNumber-v.modified.endLineNumberExclusive&&v.original.endLineNumberExclusive<x.original.startLineNumber&&v.modified.endLineNumberExclusive<x.modified.startLineNumber)),new c1e(d,[],c.quitEarly)}}function rTt(l,e,i,n){return new mL(l,e,i).ComputeDiff(n)}let qvt=class{constructor(e){const i=[],n=[];for(let s=0,c=e.length;s<c;s++)i[s]=VWe(e[s],1),n[s]=HWe(e[s],1);this.lines=e,this._startColumns=i,this._endColumns=n}getElements(){const e=[];for(let i=0,n=this.lines.length;i<n;i++)e[i]=this.lines[i].substring(this._startColumns[i]-1,this._endColumns[i]-1);return e}getStrictElement(e){return this.lines[e]}getStartLineNumber(e){return e+1}getEndLineNumber(e){return e+1}createCharSequence(e,i,n){const s=[],c=[],d=[];let f=0;for(let m=i;m<=n;m++){const v=this.lines[m],x=e?this._startColumns[m]:1,w=e?this._endColumns[m]:v.length+1;for(let I=x;I<w;I++)s[f]=v.charCodeAt(I-1),c[f]=m+1,d[f]=I,f++;!e&&m<n&&(s[f]=10,c[f]=m+1,d[f]=v.length+1,f++)}return new Dhi(s,c,d)}};class Dhi{constructor(e,i,n){this._charCodes=e,this._lineNumbers=i,this._columns=n}toString(){return"["+this._charCodes.map((e,i)=>(e===10?"\\n":String.fromCharCode(e))+`-(${this._lineNumbers[i]},${this._columns[i]})`).join(", ")+"]"}_assertIndex(e,i){if(e<0||e>=i.length)throw new Error("Illegal index")}getElements(){return this._charCodes}getStartLineNumber(e){return e>0&&e===this._lineNumbers.length?this.getEndLineNumber(e-1):(this._assertIndex(e,this._lineNumbers),this._lineNumbers[e])}getEndLineNumber(e){return e===-1?this.getStartLineNumber(e+1):(this._assertIndex(e,this._lineNumbers),this._charCodes[e]===10?this._lineNumbers[e]+1:this._lineNumbers[e])}getStartColumn(e){return e>0&&e===this._columns.length?this.getEndColumn(e-1):(this._assertIndex(e,this._columns),this._columns[e])}getEndColumn(e){return e===-1?this.getStartColumn(e+1):(this._assertIndex(e,this._columns),this._charCodes[e]===10?1:this._columns[e]+1)}}class qq{constructor(e,i,n,s,c,d,f,m){this.originalStartLineNumber=e,this.originalStartColumn=i,this.originalEndLineNumber=n,this.originalEndColumn=s,this.modifiedStartLineNumber=c,this.modifiedStartColumn=d,this.modifiedEndLineNumber=f,this.modifiedEndColumn=m}static createFromDiffChange(e,i,n){const s=i.getStartLineNumber(e.originalStart),c=i.getStartColumn(e.originalStart),d=i.getEndLineNumber(e.originalStart+e.originalLength-1),f=i.getEndColumn(e.originalStart+e.originalLength-1),m=n.getStartLineNumber(e.modifiedStart),v=n.getStartColumn(e.modifiedStart),x=n.getEndLineNumber(e.modifiedStart+e.modifiedLength-1),w=n.getEndColumn(e.modifiedStart+e.modifiedLength-1);return new qq(s,c,d,f,m,v,x,w)}}function Ehi(l){if(l.length<=1)return l;const e=[l[0]];let i=e[0];for(let n=1,s=l.length;n<s;n++){const c=l[n],d=c.originalStart-(i.originalStart+i.originalLength),f=c.modifiedStart-(i.modifiedStart+i.modifiedLength);Math.min(d,f)<khi?(i.originalLength=c.originalStart+c.originalLength-i.originalStart,i.modifiedLength=c.modifiedStart+c.modifiedLength-i.modifiedStart):(e.push(c),i=c)}return e}class Tie{constructor(e,i,n,s,c){this.originalStartLineNumber=e,this.originalEndLineNumber=i,this.modifiedStartLineNumber=n,this.modifiedEndLineNumber=s,this.charChanges=c}static createFromDiffResult(e,i,n,s,c,d,f){let m,v,x,w,I;if(i.originalLength===0?(m=n.getStartLineNumber(i.originalStart)-1,v=0):(m=n.getStartLineNumber(i.originalStart),v=n.getEndLineNumber(i.originalStart+i.originalLength-1)),i.modifiedLength===0?(x=s.getStartLineNumber(i.modifiedStart)-1,w=0):(x=s.getStartLineNumber(i.modifiedStart),w=s.getEndLineNumber(i.modifiedStart+i.modifiedLength-1)),d&&i.originalLength>0&&i.originalLength<20&&i.modifiedLength>0&&i.modifiedLength<20&&c()){const P=n.createCharSequence(e,i.originalStart,i.originalStart+i.originalLength-1),O=s.createCharSequence(e,i.modifiedStart,i.modifiedStart+i.modifiedLength-1);if(P.getElements().length>0&&O.getElements().length>0){let z=rTt(P,O,c,!0).changes;f&&(z=Ehi(z)),I=[];for(let J=0,Y=z.length;J<Y;J++)I.push(qq.createFromDiffChange(z[J],P,O))}}return new Tie(m,v,x,w,I)}}class Ihi{constructor(e,i,n){this.shouldComputeCharChanges=n.shouldComputeCharChanges,this.shouldPostProcessCharChanges=n.shouldPostProcessCharChanges,this.shouldIgnoreTrimWhitespace=n.shouldIgnoreTrimWhitespace,this.shouldMakePrettyDiff=n.shouldMakePrettyDiff,this.originalLines=e,this.modifiedLines=i,this.original=new qvt(e),this.modified=new qvt(i),this.continueLineDiff=$vt(n.maxComputationTime),this.continueCharDiff=$vt(n.maxComputationTime===0?0:Math.min(n.maxComputationTime,5e3))}computeDiff(){if(this.original.lines.length===1&&this.original.lines[0].length===0)return this.modified.lines.length===1&&this.modified.lines[0].length===0?{quitEarly:!1,changes:[]}:{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:1,modifiedStartLineNumber:1,modifiedEndLineNumber:this.modified.lines.length,charChanges:void 0}]};if(this.modified.lines.length===1&&this.modified.lines[0].length===0)return{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:this.original.lines.length,modifiedStartLineNumber:1,modifiedEndLineNumber:1,charChanges:void 0}]};const e=rTt(this.original,this.modified,this.continueLineDiff,this.shouldMakePrettyDiff),i=e.changes,n=e.quitEarly;if(this.shouldIgnoreTrimWhitespace){const f=[];for(let m=0,v=i.length;m<v;m++)f.push(Tie.createFromDiffResult(this.shouldIgnoreTrimWhitespace,i[m],this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges));return{quitEarly:n,changes:f}}const s=[];let c=0,d=0;for(let f=-1,m=i.length;f<m;f++){const v=f+1<m?i[f+1]:null,x=v?v.originalStart:this.originalLines.length,w=v?v.modifiedStart:this.modifiedLines.length;for(;c<x&&d<w;){const I=this.originalLines[c],P=this.modifiedLines[d];if(I!==P){{let O=VWe(I,1),z=VWe(P,1);for(;O>1&&z>1;){const J=I.charCodeAt(O-2),Y=P.charCodeAt(z-2);if(J!==Y)break;O--,z--}(O>1||z>1)&&this._pushTrimWhitespaceCharChange(s,c+1,1,O,d+1,1,z)}{let O=HWe(I,1),z=HWe(P,1);const J=I.length+1,Y=P.length+1;for(;O<J&&z<Y;){const ae=I.charCodeAt(O-1),me=I.charCodeAt(z-1);if(ae!==me)break;O++,z++}(O<J||z<Y)&&this._pushTrimWhitespaceCharChange(s,c+1,O,J,d+1,z,Y)}}c++,d++}v&&(s.push(Tie.createFromDiffResult(this.shouldIgnoreTrimWhitespace,v,this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges)),c+=v.originalLength,d+=v.modifiedLength)}return{quitEarly:n,changes:s}}_pushTrimWhitespaceCharChange(e,i,n,s,c,d,f){if(this._mergeTrimWhitespaceCharChange(e,i,n,s,c,d,f))return;let m;this.shouldComputeCharChanges&&(m=[new qq(i,n,i,s,c,d,c,f)]),e.push(new Tie(i,i,c,c,m))}_mergeTrimWhitespaceCharChange(e,i,n,s,c,d,f){const m=e.length;if(m===0)return!1;const v=e[m-1];return v.originalEndLineNumber===0||v.modifiedEndLineNumber===0?!1:v.originalEndLineNumber===i&&v.modifiedEndLineNumber===c?(this.shouldComputeCharChanges&&v.charChanges&&v.charChanges.push(new qq(i,n,i,s,c,d,c,f)),!0):v.originalEndLineNumber+1===i&&v.modifiedEndLineNumber+1===c?(v.originalEndLineNumber=i,v.modifiedEndLineNumber=c,this.shouldComputeCharChanges&&v.charChanges&&v.charChanges.push(new qq(i,n,i,s,c,d,c,f)),!0):!1}}function VWe(l,e){const i=Ty(l);return i===-1?e:i+1}function HWe(l,e){const i=ME(l);return i===-1?e:i+2}function $vt(l){if(l===0)return()=>!0;const e=Date.now();return()=>Date.now()-e<l}class Y4{static trivial(e,i){return new Y4([new Lm(xc.ofLength(e.length),xc.ofLength(i.length))],!1)}static trivialTimedOut(e,i){return new Y4([new Lm(xc.ofLength(e.length),xc.ofLength(i.length))],!0)}constructor(e,i){this.diffs=e,this.hitTimeout=i}}class Lm{static invert(e,i){const n=[];return gkt(e,(s,c)=>{n.push(Lm.fromOffsetPairs(s?s.getEndExclusives():V4.zero,c?c.getStarts():new V4(i,(s?s.seq2Range.endExclusive-s.seq1Range.endExclusive:0)+i)))}),n}static fromOffsetPairs(e,i){return new Lm(new xc(e.offset1,i.offset1),new xc(e.offset2,i.offset2))}static assertSorted(e){let i;for(const n of e){if(i&&!(i.seq1Range.endExclusive<=n.seq1Range.start&&i.seq2Range.endExclusive<=n.seq2Range.start))throw new tu("Sequence diffs must be sorted");i=n}}constructor(e,i){this.seq1Range=e,this.seq2Range=i}swap(){return new Lm(this.seq2Range,this.seq1Range)}toString(){return`${this.seq1Range} <-> ${this.seq2Range}`}join(e){return new Lm(this.seq1Range.join(e.seq1Range),this.seq2Range.join(e.seq2Range))}delta(e){return e===0?this:new Lm(this.seq1Range.delta(e),this.seq2Range.delta(e))}deltaStart(e){return e===0?this:new Lm(this.seq1Range.deltaStart(e),this.seq2Range.deltaStart(e))}deltaEnd(e){return e===0?this:new Lm(this.seq1Range.deltaEnd(e),this.seq2Range.deltaEnd(e))}intersect(e){const i=this.seq1Range.intersect(e.seq1Range),n=this.seq2Range.intersect(e.seq2Range);if(!(!i||!n))return new Lm(i,n)}getStarts(){return new V4(this.seq1Range.start,this.seq2Range.start)}getEndExclusives(){return new V4(this.seq1Range.endExclusive,this.seq2Range.endExclusive)}}const ZF=class ZF{constructor(e,i){this.offset1=e,this.offset2=i}toString(){return`${this.offset1} <-> ${this.offset2}`}delta(e){return e===0?this:new ZF(this.offset1+e,this.offset2+e)}equals(e){return this.offset1===e.offset1&&this.offset2===e.offset2}};ZF.zero=new ZF(0,0),ZF.max=new ZF(Number.MAX_SAFE_INTEGER,Number.MAX_SAFE_INTEGER);let V4=ZF;const tCe=class tCe{isValid(){return!0}};tCe.instance=new tCe;let qne=tCe;class Nhi{constructor(e){if(this.timeout=e,this.startTime=Date.now(),this.valid=!0,e<=0)throw new tu("timeout must be positive")}isValid(){if(!(Date.now()-this.startTime<this.timeout)&&this.valid){this.valid=!1;debugger}return this.valid}}class kFe{constructor(e,i){this.width=e,this.height=i,this.array=[],this.array=new Array(e*i)}get(e,i){return this.array[e+i*this.width]}set(e,i,n){this.array[e+i*this.width]=n}}function jWe(l){return l===32||l===9}const fne=class fne{static getKey(e){let i=this.chrKeys.get(e);return i===void 0&&(i=this.chrKeys.size,this.chrKeys.set(e,i)),i}constructor(e,i,n){this.range=e,this.lines=i,this.source=n,this.histogram=[];let s=0;for(let c=e.startLineNumber-1;c<e.endLineNumberExclusive-1;c++){const d=i[c];for(let m=0;m<d.length;m++){s++;const v=d[m],x=fne.getKey(v);this.histogram[x]=(this.histogram[x]||0)+1}s++;const f=fne.getKey(`
+`);this.histogram[f]=(this.histogram[f]||0)+1}this.totalCount=s}computeSimilarity(e){let i=0;const n=Math.max(this.histogram.length,e.histogram.length);for(let s=0;s<n;s++)i+=Math.abs((this.histogram[s]??0)-(e.histogram[s]??0));return 1-i/(this.totalCount+e.totalCount)}};fne.chrKeys=new Map;let yye=fne;class Lhi{compute(e,i,n=qne.instance,s){if(e.length===0||i.length===0)return Y4.trivial(e,i);const c=new kFe(e.length,i.length),d=new kFe(e.length,i.length),f=new kFe(e.length,i.length);for(let O=0;O<e.length;O++)for(let z=0;z<i.length;z++){if(!n.isValid())return Y4.trivialTimedOut(e,i);const J=O===0?0:c.get(O-1,z),Y=z===0?0:c.get(O,z-1);let ae;e.getElement(O)===i.getElement(z)?(O===0||z===0?ae=0:ae=c.get(O-1,z-1),O>0&&z>0&&d.get(O-1,z-1)===3&&(ae+=f.get(O-1,z-1)),ae+=s?s(O,z):1):ae=-1;const me=Math.max(J,Y,ae);if(me===ae){const ye=O>0&&z>0?f.get(O-1,z-1):0;f.set(O,z,ye+1),d.set(O,z,3)}else me===J?(f.set(O,z,0),d.set(O,z,1)):me===Y&&(f.set(O,z,0),d.set(O,z,2));c.set(O,z,me)}const m=[];let v=e.length,x=i.length;function w(O,z){(O+1!==v||z+1!==x)&&m.push(new Lm(new xc(O+1,v),new xc(z+1,x))),v=O,x=z}let I=e.length-1,P=i.length-1;for(;I>=0&&P>=0;)d.get(I,P)===3?(w(I,P),I--,P--):d.get(I,P)===1?I--:P--;return w(-1,-1),m.reverse(),new Y4(m,!1)}}class sTt{compute(e,i,n=qne.instance){if(e.length===0||i.length===0)return Y4.trivial(e,i);const s=e,c=i;function d(z,J){for(;z<s.length&&J<c.length&&s.getElement(z)===c.getElement(J);)z++,J++;return z}let f=0;const m=new Phi;m.set(0,d(0,0));const v=new Ahi;v.set(0,m.get(0)===0?null:new Jvt(null,0,0,m.get(0)));let x=0;e:for(;;){if(f++,!n.isValid())return Y4.trivialTimedOut(s,c);const z=-Math.min(f,c.length+f%2),J=Math.min(f,s.length+f%2);for(x=z;x<=J;x+=2){const Y=x===J?-1:m.get(x+1),ae=x===z?-1:m.get(x-1)+1,me=Math.min(Math.max(Y,ae),s.length),ye=me-x;if(me>s.length||ye>c.length)continue;const Ce=d(me,ye);m.set(x,Ce);const Fe=me===Y?v.get(x+1):v.get(x-1);if(v.set(x,Ce!==me?new Jvt(Fe,me,ye,Ce-me):Fe),m.get(x)===s.length&&m.get(x)-x===c.length)break e}}let w=v.get(x);const I=[];let P=s.length,O=c.length;for(;;){const z=w?w.x+w.length:0,J=w?w.y+w.length:0;if((z!==P||J!==O)&&I.push(new Lm(new xc(z,P),new xc(J,O))),!w)break;P=w.x,O=w.y,w=w.prev}return I.reverse(),new Y4(I,!1)}}class Jvt{constructor(e,i,n,s){this.prev=e,this.x=i,this.y=n,this.length=s}}class Phi{constructor(){this.positiveArr=new Int32Array(10),this.negativeArr=new Int32Array(10)}get(e){return e<0?(e=-e-1,this.negativeArr[e]):this.positiveArr[e]}set(e,i){if(e<0){if(e=-e-1,e>=this.negativeArr.length){const n=this.negativeArr;this.negativeArr=new Int32Array(n.length*2),this.negativeArr.set(n)}this.negativeArr[e]=i}else{if(e>=this.positiveArr.length){const n=this.positiveArr;this.positiveArr=new Int32Array(n.length*2),this.positiveArr.set(n)}this.positiveArr[e]=i}}}class Ahi{constructor(){this.positiveArr=[],this.negativeArr=[]}get(e){return e<0?(e=-e-1,this.negativeArr[e]):this.positiveArr[e]}set(e,i){e<0?(e=-e-1,this.negativeArr[e]=i):this.positiveArr[e]=i}}class vye{constructor(e,i,n){this.lines=e,this.range=i,this.considerWhitespaceChanges=n,this.elements=[],this.firstElementOffsetByLineIdx=[],this.lineStartOffsets=[],this.trimmedWsLengthsByLineIdx=[],this.firstElementOffsetByLineIdx.push(0);for(let s=this.range.startLineNumber;s<=this.range.endLineNumber;s++){let c=e[s-1],d=0;s===this.range.startLineNumber&&this.range.startColumn>1&&(d=this.range.startColumn-1,c=c.substring(d)),this.lineStartOffsets.push(d);let f=0;if(!n){const v=c.trimStart();f=c.length-v.length,c=v.trimEnd()}this.trimmedWsLengthsByLineIdx.push(f);const m=s===this.range.endLineNumber?Math.min(this.range.endColumn-1-d-f,c.length):c.length;for(let v=0;v<m;v++)this.elements.push(c.charCodeAt(v));s<this.range.endLineNumber&&(this.elements.push(10),this.firstElementOffsetByLineIdx.push(this.elements.length))}}toString(){return`Slice: "${this.text}"`}get text(){return this.getText(new xc(0,this.length))}getText(e){return this.elements.slice(e.start,e.endExclusive).map(i=>String.fromCharCode(i)).join("")}getElement(e){return this.elements[e]}get length(){return this.elements.length}getBoundaryScore(e){const i=Kvt(e>0?this.elements[e-1]:-1),n=Kvt(e<this.elements.length?this.elements[e]:-1);if(i===7&&n===8)return 0;if(i===8)return 150;let s=0;return i!==n&&(s+=10,i===0&&n===1&&(s+=1)),s+=Gvt(i),s+=Gvt(n),s}translateOffset(e,i="right"){const n=zne(this.firstElementOffsetByLineIdx,c=>c<=e),s=e-this.firstElementOffsetByLineIdx[n];return new pi(this.range.startLineNumber+n,1+this.lineStartOffsets[n]+s+(s===0&&i==="left"?0:this.trimmedWsLengthsByLineIdx[n]))}translateRange(e){const i=this.translateOffset(e.start,"right"),n=this.translateOffset(e.endExclusive,"left");return n.isBefore(i)?nt.fromPositions(n,n):nt.fromPositions(i,n)}findWordContaining(e){if(e<0||e>=this.elements.length||!TFe(this.elements[e]))return;let i=e;for(;i>0&&TFe(this.elements[i-1]);)i--;let n=e;for(;n<this.elements.length&&TFe(this.elements[n]);)n++;return new xc(i,n)}countLinesIn(e){return this.translateOffset(e.endExclusive).lineNumber-this.translateOffset(e.start).lineNumber}isStronglyEqual(e,i){return this.elements[e]===this.elements[i]}extendToFullLines(e){const i=E$(this.firstElementOffsetByLineIdx,s=>s<=e.start)??0,n=yhi(this.firstElementOffsetByLineIdx,s=>e.endExclusive<=s)??this.elements.length;return new xc(i,n)}}function TFe(l){return l>=97&&l<=122||l>=65&&l<=90||l>=48&&l<=57}const Ohi={0:0,1:0,2:0,3:10,4:2,5:30,6:3,7:10,8:10};function Gvt(l){return Ohi[l]}function Kvt(l){return l===10?8:l===13?7:jWe(l)?6:l>=97&&l<=122?0:l>=65&&l<=90?1:l>=48&&l<=57?2:l===-1?3:l===44||l===59?5:4}function Mhi(l,e,i,n,s,c){let{moves:d,excludedChanges:f}=Fhi(l,e,i,c);if(!c.isValid())return[];const m=l.filter(x=>!f.has(x)),v=Bhi(m,n,s,e,i,c);return aBe(d,v),d=Whi(d),d=d.filter(x=>{const w=x.original.toOffsetRange().slice(e).map(P=>P.trim());return w.join(`
+`).length>=15&&Rhi(w,P=>P.length>=2)>=2}),d=Vhi(l,d),d}function Rhi(l,e){let i=0;for(const n of l)e(n)&&i++;return i}function Fhi(l,e,i,n){const s=[],c=l.filter(m=>m.modified.isEmpty&&m.original.length>=3).map(m=>new yye(m.original,e,m)),d=new Set(l.filter(m=>m.original.isEmpty&&m.modified.length>=3).map(m=>new yye(m.modified,i,m))),f=new Set;for(const m of c){let v=-1,x;for(const w of d){const I=m.computeSimilarity(w);I>v&&(v=I,x=w)}if(v>.9&&x&&(d.delete(x),s.push(new wy(m.range,x.range)),f.add(m.source),f.add(x.source)),!n.isValid())return{moves:s,excludedChanges:f}}return{moves:s,excludedChanges:f}}function Bhi(l,e,i,n,s,c){const d=[],f=new y$e;for(const I of l)for(let P=I.original.startLineNumber;P<I.original.endLineNumberExclusive-2;P++){const O=`${e[P-1]}:${e[P+1-1]}:${e[P+2-1]}`;f.add(O,{range:new Za(P,P+3)})}const m=[];l.sort(yv(I=>I.modified.startLineNumber,mT));for(const I of l){let P=[];for(let O=I.modified.startLineNumber;O<I.modified.endLineNumberExclusive-2;O++){const z=`${i[O-1]}:${i[O+1-1]}:${i[O+2-1]}`,J=new Za(O,O+3),Y=[];f.forEach(z,({range:ae})=>{for(const ye of P)if(ye.originalLineRange.endLineNumberExclusive+1===ae.endLineNumberExclusive&&ye.modifiedLineRange.endLineNumberExclusive+1===J.endLineNumberExclusive){ye.originalLineRange=new Za(ye.originalLineRange.startLineNumber,ae.endLineNumberExclusive),ye.modifiedLineRange=new Za(ye.modifiedLineRange.startLineNumber,J.endLineNumberExclusive),Y.push(ye);return}const me={modifiedLineRange:J,originalLineRange:ae};m.push(me),Y.push(me)}),P=Y}if(!c.isValid())return[]}m.sort(bkt(yv(I=>I.modifiedLineRange.length,mT)));const v=new _k,x=new _k;for(const I of m){const P=I.modifiedLineRange.startLineNumber-I.originalLineRange.startLineNumber,O=v.subtractFrom(I.modifiedLineRange),z=x.subtractFrom(I.originalLineRange).getWithDelta(P),J=O.getIntersection(z);for(const Y of J.ranges){if(Y.length<3)continue;const ae=Y,me=Y.delta(-P);d.push(new wy(me,ae)),v.addRange(ae),x.addRange(me)}}d.sort(yv(I=>I.original.startLineNumber,mT));const w=new gye(l);for(let I=0;I<d.length;I++){const P=d[I],O=w.findLastMonotonous(Fe=>Fe.original.startLineNumber<=P.original.startLineNumber),z=E$(l,Fe=>Fe.modified.startLineNumber<=P.modified.startLineNumber),J=Math.max(P.original.startLineNumber-O.original.startLineNumber,P.modified.startLineNumber-z.modified.startLineNumber),Y=w.findLastMonotonous(Fe=>Fe.original.startLineNumber<P.original.endLineNumberExclusive),ae=E$(l,Fe=>Fe.modified.startLineNumber<P.modified.endLineNumberExclusive),me=Math.max(Y.original.endLineNumberExclusive-P.original.endLineNumberExclusive,ae.modified.endLineNumberExclusive-P.modified.endLineNumberExclusive);let ye;for(ye=0;ye<J;ye++){const Fe=P.original.startLineNumber-ye-1,rt=P.modified.startLineNumber-ye-1;if(Fe>n.length||rt>s.length||v.contains(rt)||x.contains(Fe)||!Xvt(n[Fe-1],s[rt-1],c))break}ye>0&&(x.addRange(new Za(P.original.startLineNumber-ye,P.original.startLineNumber)),v.addRange(new Za(P.modified.startLineNumber-ye,P.modified.startLineNumber)));let Ce;for(Ce=0;Ce<me;Ce++){const Fe=P.original.endLineNumberExclusive+Ce,rt=P.modified.endLineNumberExclusive+Ce;if(Fe>n.length||rt>s.length||v.contains(rt)||x.contains(Fe)||!Xvt(n[Fe-1],s[rt-1],c))break}Ce>0&&(x.addRange(new Za(P.original.endLineNumberExclusive,P.original.endLineNumberExclusive+Ce)),v.addRange(new Za(P.modified.endLineNumberExclusive,P.modified.endLineNumberExclusive+Ce))),(ye>0||Ce>0)&&(d[I]=new wy(new Za(P.original.startLineNumber-ye,P.original.endLineNumberExclusive+Ce),new Za(P.modified.startLineNumber-ye,P.modified.endLineNumberExclusive+Ce)))}return d}function Xvt(l,e,i){if(l.trim()===e.trim())return!0;if(l.length>300&&e.length>300)return!1;const s=new sTt().compute(new vye([l],new nt(1,1,1,l.length),!1),new vye([e],new nt(1,1,1,e.length),!1),i);let c=0;const d=Lm.invert(s.diffs,l.length);for(const x of d)x.seq1Range.forEach(w=>{jWe(l.charCodeAt(w))||c++});function f(x){let w=0;for(let I=0;I<l.length;I++)jWe(x.charCodeAt(I))||w++;return w}const m=f(l.length>e.length?l:e);return c/m>.6&&m>10}function Whi(l){if(l.length===0)return l;l.sort(yv(i=>i.original.startLineNumber,mT));const e=[l[0]];for(let i=1;i<l.length;i++){const n=e[e.length-1],s=l[i],c=s.original.startLineNumber-n.original.endLineNumberExclusive,d=s.modified.startLineNumber-n.modified.endLineNumberExclusive;if(c>=0&&d>=0&&c+d<=2){e[e.length-1]=n.join(s);continue}e.push(s)}return e}function Vhi(l,e){const i=new gye(l);return e=e.filter(n=>{const s=i.findLastMonotonous(f=>f.original.startLineNumber<n.original.endLineNumberExclusive)||new wy(new Za(1,1),new Za(1,1)),c=E$(l,f=>f.modified.startLineNumber<n.modified.endLineNumberExclusive);return s!==c}),e}function zWe(l,e,i){let n=i;return n=Qvt(l,e,n),n=Qvt(l,e,n),n=Hhi(l,e,n),n}function Qvt(l,e,i){if(i.length===0)return i;const n=[];n.push(i[0]);for(let c=1;c<i.length;c++){const d=n[n.length-1];let f=i[c];if(f.seq1Range.isEmpty||f.seq2Range.isEmpty){const m=f.seq1Range.start-d.seq1Range.endExclusive;let v;for(v=1;v<=m&&!(l.getElement(f.seq1Range.start-v)!==l.getElement(f.seq1Range.endExclusive-v)||e.getElement(f.seq2Range.start-v)!==e.getElement(f.seq2Range.endExclusive-v));v++);if(v--,v===m){n[n.length-1]=new Lm(new xc(d.seq1Range.start,f.seq1Range.endExclusive-m),new xc(d.seq2Range.start,f.seq2Range.endExclusive-m));continue}f=f.delta(-v)}n.push(f)}const s=[];for(let c=0;c<n.length-1;c++){const d=n[c+1];let f=n[c];if(f.seq1Range.isEmpty||f.seq2Range.isEmpty){const m=d.seq1Range.start-f.seq1Range.endExclusive;let v;for(v=0;v<m&&!(!l.isStronglyEqual(f.seq1Range.start+v,f.seq1Range.endExclusive+v)||!e.isStronglyEqual(f.seq2Range.start+v,f.seq2Range.endExclusive+v));v++);if(v===m){n[c+1]=new Lm(new xc(f.seq1Range.start+m,d.seq1Range.endExclusive),new xc(f.seq2Range.start+m,d.seq2Range.endExclusive));continue}v>0&&(f=f.delta(v))}s.push(f)}return n.length>0&&s.push(n[n.length-1]),s}function Hhi(l,e,i){if(!l.getBoundaryScore||!e.getBoundaryScore)return i;for(let n=0;n<i.length;n++){const s=n>0?i[n-1]:void 0,c=i[n],d=n+1<i.length?i[n+1]:void 0,f=new xc(s?s.seq1Range.endExclusive+1:0,d?d.seq1Range.start-1:l.length),m=new xc(s?s.seq2Range.endExclusive+1:0,d?d.seq2Range.start-1:e.length);c.seq1Range.isEmpty?i[n]=Zvt(c,l,e,f,m):c.seq2Range.isEmpty&&(i[n]=Zvt(c.swap(),e,l,m,f).swap())}return i}function Zvt(l,e,i,n,s){let d=1;for(;l.seq1Range.start-d>=n.start&&l.seq2Range.start-d>=s.start&&i.isStronglyEqual(l.seq2Range.start-d,l.seq2Range.endExclusive-d)&&d<100;)d++;d--;let f=0;for(;l.seq1Range.start+f<n.endExclusive&&l.seq2Range.endExclusive+f<s.endExclusive&&i.isStronglyEqual(l.seq2Range.start+f,l.seq2Range.endExclusive+f)&&f<100;)f++;if(d===0&&f===0)return l;let m=0,v=-1;for(let x=-d;x<=f;x++){const w=l.seq2Range.start+x,I=l.seq2Range.endExclusive+x,P=l.seq1Range.start+x,O=e.getBoundaryScore(P)+i.getBoundaryScore(w)+i.getBoundaryScore(I);O>v&&(v=O,m=x)}return l.delta(m)}function jhi(l,e,i){const n=[];for(const s of i){const c=n[n.length-1];if(!c){n.push(s);continue}s.seq1Range.start-c.seq1Range.endExclusive<=2||s.seq2Range.start-c.seq2Range.endExclusive<=2?n[n.length-1]=new Lm(c.seq1Range.join(s.seq1Range),c.seq2Range.join(s.seq2Range)):n.push(s)}return n}function zhi(l,e,i){const n=Lm.invert(i,l.length),s=[];let c=new V4(0,0);function d(m,v){if(m.offset1<c.offset1||m.offset2<c.offset2)return;const x=l.findWordContaining(m.offset1),w=e.findWordContaining(m.offset2);if(!x||!w)return;let I=new Lm(x,w);const P=I.intersect(v);let O=P.seq1Range.length,z=P.seq2Range.length;for(;n.length>0;){const J=n[0];if(!(J.seq1Range.intersects(I.seq1Range)||J.seq2Range.intersects(I.seq2Range)))break;const ae=l.findWordContaining(J.seq1Range.start),me=e.findWordContaining(J.seq2Range.start),ye=new Lm(ae,me),Ce=ye.intersect(J);if(O+=Ce.seq1Range.length,z+=Ce.seq2Range.length,I=I.join(ye),I.seq1Range.endExclusive>=J.seq1Range.endExclusive)n.shift();else break}O+z<(I.seq1Range.length+I.seq2Range.length)*2/3&&s.push(I),c=I.getEndExclusives()}for(;n.length>0;){const m=n.shift();m.seq1Range.isEmpty||(d(m.getStarts(),m),d(m.getEndExclusives().delta(-1),m))}return Uhi(i,s)}function Uhi(l,e){const i=[];for(;l.length>0||e.length>0;){const n=l[0],s=e[0];let c;n&&(!s||n.seq1Range.start<s.seq1Range.start)?c=l.shift():c=e.shift(),i.length>0&&i[i.length-1].seq1Range.endExclusive>=c.seq1Range.start?i[i.length-1]=i[i.length-1].join(c):i.push(c)}return i}function qhi(l,e,i){let n=i;if(n.length===0)return n;let s=0,c;do{c=!1;const d=[n[0]];for(let f=1;f<n.length;f++){let x=function(I,P){const O=new xc(v.seq1Range.endExclusive,m.seq1Range.start);return l.getText(O).replace(/\s/g,"").length<=4&&(I.seq1Range.length+I.seq2Range.length>5||P.seq1Range.length+P.seq2Range.length>5)};const m=n[f],v=d[d.length-1];x(v,m)?(c=!0,d[d.length-1]=d[d.length-1].join(m)):d.push(m)}n=d}while(s++<10&&c);return n}function $hi(l,e,i){let n=i;if(n.length===0)return n;let s=0,c;do{c=!1;const f=[n[0]];for(let m=1;m<n.length;m++){let w=function(P,O){const z=new xc(x.seq1Range.endExclusive,v.seq1Range.start);if(l.countLinesIn(z)>5||z.length>500)return!1;const Y=l.getText(z).trim();if(Y.length>20||Y.split(/\r\n|\r|\n/).length>1)return!1;const ae=l.countLinesIn(P.seq1Range),me=P.seq1Range.length,ye=e.countLinesIn(P.seq2Range),Ce=P.seq2Range.length,Fe=l.countLinesIn(O.seq1Range),rt=O.seq1Range.length,ct=e.countLinesIn(O.seq2Range),Mt=O.seq2Range.length,Yt=2*40+50;function Bi($i){return Math.min($i,Yt)}return Math.pow(Math.pow(Bi(ae*40+me),1.5)+Math.pow(Bi(ye*40+Ce),1.5),1.5)+Math.pow(Math.pow(Bi(Fe*40+rt),1.5)+Math.pow(Bi(ct*40+Mt),1.5),1.5)>(Yt**1.5)**1.5*1.3};const v=n[m],x=f[f.length-1];w(x,v)?(c=!0,f[f.length-1]=f[f.length-1].join(v)):f.push(v)}n=f}while(s++<10&&c);const d=[];return Rai(n,(f,m,v)=>{let x=m;function w(Y){return Y.length>0&&Y.trim().length<=3&&m.seq1Range.length+m.seq2Range.length>100}const I=l.extendToFullLines(m.seq1Range),P=l.getText(new xc(I.start,m.seq1Range.start));w(P)&&(x=x.deltaStart(-P.length));const O=l.getText(new xc(m.seq1Range.endExclusive,I.endExclusive));w(O)&&(x=x.deltaEnd(O.length));const z=Lm.fromOffsetPairs(f?f.getEndExclusives():V4.zero,v?v.getStarts():V4.max),J=x.intersect(z);d.length>0&&J.getStarts().equals(d[d.length-1].getEndExclusives())?d[d.length-1]=d[d.length-1].join(J):d.push(J)}),d}class Yvt{constructor(e,i){this.trimmedHash=e,this.lines=i}getElement(e){return this.trimmedHash[e]}get length(){return this.trimmedHash.length}getBoundaryScore(e){const i=e===0?0:ebt(this.lines[e-1]),n=e===this.lines.length?0:ebt(this.lines[e]);return 1e3-(i+n)}getText(e){return this.lines.slice(e.start,e.endExclusive).join(`
+`)}isStronglyEqual(e,i){return this.lines[e]===this.lines[i]}}function ebt(l){let e=0;for(;e<l.length&&(l.charCodeAt(e)===32||l.charCodeAt(e)===9);)e++;return e}class oTt{constructor(){this.dynamicProgrammingDiffing=new Lhi,this.myersDiffingAlgorithm=new sTt}computeDiff(e,i,n){if(e.length<=1&&Lf(e,i,(Ce,Fe)=>Ce===Fe))return new c1e([],[],!1);if(e.length===1&&e[0].length===0||i.length===1&&i[0].length===0)return new c1e([new xw(new Za(1,e.length+1),new Za(1,i.length+1),[new fw(new nt(1,1,e.length,e[e.length-1].length+1),new nt(1,1,i.length,i[i.length-1].length+1))])],[],!1);const s=n.maxComputationTimeMs===0?qne.instance:new Nhi(n.maxComputationTimeMs),c=!n.ignoreTrimWhitespace,d=new Map;function f(Ce){let Fe=d.get(Ce);return Fe===void 0&&(Fe=d.size,d.set(Ce,Fe)),Fe}const m=e.map(Ce=>f(Ce.trim())),v=i.map(Ce=>f(Ce.trim())),x=new Yvt(m,e),w=new Yvt(v,i),I=x.length+w.length<1700?this.dynamicProgrammingDiffing.compute(x,w,s,(Ce,Fe)=>e[Ce]===i[Fe]?i[Fe].length===0?.1:1+Math.log(1+i[Fe].length):.99):this.myersDiffingAlgorithm.compute(x,w,s);let P=I.diffs,O=I.hitTimeout;P=zWe(x,w,P),P=qhi(x,w,P);const z=[],J=Ce=>{if(c)for(let Fe=0;Fe<Ce;Fe++){const rt=Y+Fe,ct=ae+Fe;if(e[rt]!==i[ct]){const Mt=this.refineDiff(e,i,new Lm(new xc(rt,rt+1),new xc(ct,ct+1)),s,c);for(const Yt of Mt.mappings)z.push(Yt);Mt.hitTimeout&&(O=!0)}}};let Y=0,ae=0;for(const Ce of P){DB(()=>Ce.seq1Range.start-Y===Ce.seq2Range.start-ae);const Fe=Ce.seq1Range.start-Y;J(Fe),Y=Ce.seq1Range.endExclusive,ae=Ce.seq2Range.endExclusive;const rt=this.refineDiff(e,i,Ce,s,c);rt.hitTimeout&&(O=!0);for(const ct of rt.mappings)z.push(ct)}J(e.length-Y);const me=tbt(z,e,i);let ye=[];return n.computeMoves&&(ye=this.computeMoves(me,e,i,m,v,s,c)),DB(()=>{function Ce(rt,ct){if(rt.lineNumber<1||rt.lineNumber>ct.length)return!1;const Mt=ct[rt.lineNumber-1];return!(rt.column<1||rt.column>Mt.length+1)}function Fe(rt,ct){return!(rt.startLineNumber<1||rt.startLineNumber>ct.length+1||rt.endLineNumberExclusive<1||rt.endLineNumberExclusive>ct.length+1)}for(const rt of me){if(!rt.innerChanges)return!1;for(const ct of rt.innerChanges)if(!(Ce(ct.modifiedRange.getStartPosition(),i)&&Ce(ct.modifiedRange.getEndPosition(),i)&&Ce(ct.originalRange.getStartPosition(),e)&&Ce(ct.originalRange.getEndPosition(),e)))return!1;if(!Fe(rt.modified,i)||!Fe(rt.original,e))return!1}return!0}),new c1e(me,ye,O)}computeMoves(e,i,n,s,c,d,f){return Mhi(e,i,n,s,c,d).map(x=>{const w=this.refineDiff(i,n,new Lm(x.original.toOffsetRange(),x.modified.toOffsetRange()),d,f),I=tbt(w.mappings,i,n,!0);return new iTt(x,I)})}refineDiff(e,i,n,s,c){const f=Ghi(n).toRangeMapping2(e,i),m=new vye(e,f.originalRange,c),v=new vye(i,f.modifiedRange,c),x=m.length+v.length<500?this.dynamicProgrammingDiffing.compute(m,v,s):this.myersDiffingAlgorithm.compute(m,v,s);let w=x.diffs;return w=zWe(m,v,w),w=zhi(m,v,w),w=jhi(m,v,w),w=$hi(m,v,w),{mappings:w.map(P=>new fw(m.translateRange(P.seq1Range),v.translateRange(P.seq2Range))),hitTimeout:x.hitTimeout}}}function tbt(l,e,i,n=!1){const s=[];for(const c of Bqe(l.map(d=>Jhi(d,e,i)),(d,f)=>d.original.overlapOrTouch(f.original)||d.modified.overlapOrTouch(f.modified))){const d=c[0],f=c[c.length-1];s.push(new xw(d.original.join(f.original),d.modified.join(f.modified),c.map(m=>m.innerChanges[0])))}return DB(()=>!n&&s.length>0&&(s[0].modified.startLineNumber!==s[0].original.startLineNumber||i.length-s[s.length-1].modified.endLineNumberExclusive!==e.length-s[s.length-1].original.endLineNumberExclusive)?!1:p$e(s,(c,d)=>d.original.startLineNumber-c.original.endLineNumberExclusive===d.modified.startLineNumber-c.modified.endLineNumberExclusive&&c.original.endLineNumberExclusive<d.original.startLineNumber&&c.modified.endLineNumberExclusive<d.modified.startLineNumber)),s}function Jhi(l,e,i){let n=0,s=0;l.modifiedRange.endColumn===1&&l.originalRange.endColumn===1&&l.originalRange.startLineNumber+n<=l.originalRange.endLineNumber&&l.modifiedRange.startLineNumber+n<=l.modifiedRange.endLineNumber&&(s=-1),l.modifiedRange.startColumn-1>=i[l.modifiedRange.startLineNumber-1].length&&l.originalRange.startColumn-1>=e[l.originalRange.startLineNumber-1].length&&l.originalRange.startLineNumber<=l.originalRange.endLineNumber+s&&l.modifiedRange.startLineNumber<=l.modifiedRange.endLineNumber+s&&(n=1);const c=new Za(l.originalRange.startLineNumber+n,l.originalRange.endLineNumber+1+s),d=new Za(l.modifiedRange.startLineNumber+n,l.modifiedRange.endLineNumber+1+s);return new xw(c,d,[l])}function Ghi(l){return new wy(new Za(l.seq1Range.start+1,l.seq1Range.endExclusive+1),new Za(l.seq2Range.start+1,l.seq2Range.endExclusive+1))}const ibt={getLegacy:()=>new Thi,getDefault:()=>new oTt};function $M(l,e){const i=Math.pow(10,e);return Math.round(l*i)/i}class El{constructor(e,i,n,s=1){this._rgbaBrand=void 0,this.r=Math.min(255,Math.max(0,e))|0,this.g=Math.min(255,Math.max(0,i))|0,this.b=Math.min(255,Math.max(0,n))|0,this.a=$M(Math.max(Math.min(1,s),0),3)}static equals(e,i){return e.r===i.r&&e.g===i.g&&e.b===i.b&&e.a===i.a}}class lT{constructor(e,i,n,s){this._hslaBrand=void 0,this.h=Math.max(Math.min(360,e),0)|0,this.s=$M(Math.max(Math.min(1,i),0),3),this.l=$M(Math.max(Math.min(1,n),0),3),this.a=$M(Math.max(Math.min(1,s),0),3)}static equals(e,i){return e.h===i.h&&e.s===i.s&&e.l===i.l&&e.a===i.a}static fromRGBA(e){const i=e.r/255,n=e.g/255,s=e.b/255,c=e.a,d=Math.max(i,n,s),f=Math.min(i,n,s);let m=0,v=0;const x=(f+d)/2,w=d-f;if(w>0){switch(v=Math.min(x<=.5?w/(2*x):w/(2-2*x),1),d){case i:m=(n-s)/w+(n<s?6:0);break;case n:m=(s-i)/w+2;break;case s:m=(i-n)/w+4;break}m*=60,m=Math.round(m)}return new lT(m,v,x,c)}static _hue2rgb(e,i,n){return n<0&&(n+=1),n>1&&(n-=1),n<1/6?e+(i-e)*6*n:n<1/2?i:n<2/3?e+(i-e)*(2/3-n)*6:e}static toRGBA(e){const i=e.h/360,{s:n,l:s,a:c}=e;let d,f,m;if(n===0)d=f=m=s;else{const v=s<.5?s*(1+n):s+n-s*n,x=2*s-v;d=lT._hue2rgb(x,v,i+1/3),f=lT._hue2rgb(x,v,i),m=lT._hue2rgb(x,v,i-1/3)}return new El(Math.round(d*255),Math.round(f*255),Math.round(m*255),c)}}class SL{constructor(e,i,n,s){this._hsvaBrand=void 0,this.h=Math.max(Math.min(360,e),0)|0,this.s=$M(Math.max(Math.min(1,i),0),3),this.v=$M(Math.max(Math.min(1,n),0),3),this.a=$M(Math.max(Math.min(1,s),0),3)}static equals(e,i){return e.h===i.h&&e.s===i.s&&e.v===i.v&&e.a===i.a}static fromRGBA(e){const i=e.r/255,n=e.g/255,s=e.b/255,c=Math.max(i,n,s),d=Math.min(i,n,s),f=c-d,m=c===0?0:f/c;let v;return f===0?v=0:c===i?v=((n-s)/f%6+6)%6:c===n?v=(s-i)/f+2:v=(i-n)/f+4,new SL(Math.round(v*60),m,c,e.a)}static toRGBA(e){const{h:i,s:n,v:s,a:c}=e,d=s*n,f=d*(1-Math.abs(i/60%2-1)),m=s-d;let[v,x,w]=[0,0,0];return i<60?(v=d,x=f):i<120?(v=f,x=d):i<180?(x=d,w=f):i<240?(x=f,w=d):i<300?(v=f,w=d):i<=360&&(v=d,w=f),v=Math.round((v+m)*255),x=Math.round((x+m)*255),w=Math.round((w+m)*255),new El(v,x,w,c)}}const Zh=class Zh{static fromHex(e){return Zh.Format.CSS.parseHex(e)||Zh.red}static equals(e,i){return!e&&!i?!0:!e||!i?!1:e.equals(i)}get hsla(){return this._hsla?this._hsla:lT.fromRGBA(this.rgba)}get hsva(){return this._hsva?this._hsva:SL.fromRGBA(this.rgba)}constructor(e){if(e)if(e instanceof El)this.rgba=e;else if(e instanceof lT)this._hsla=e,this.rgba=lT.toRGBA(e);else if(e instanceof SL)this._hsva=e,this.rgba=SL.toRGBA(e);else throw new Error("Invalid color ctor argument");else throw new Error("Color needs a value")}equals(e){return!!e&&El.equals(this.rgba,e.rgba)&&lT.equals(this.hsla,e.hsla)&&SL.equals(this.hsva,e.hsva)}getRelativeLuminance(){const e=Zh._relativeLuminanceForComponent(this.rgba.r),i=Zh._relativeLuminanceForComponent(this.rgba.g),n=Zh._relativeLuminanceForComponent(this.rgba.b),s=.2126*e+.7152*i+.0722*n;return $M(s,4)}static _relativeLuminanceForComponent(e){const i=e/255;return i<=.03928?i/12.92:Math.pow((i+.055)/1.055,2.4)}isLighter(){return(this.rgba.r*299+this.rgba.g*587+this.rgba.b*114)/1e3>=128}isLighterThan(e){const i=this.getRelativeLuminance(),n=e.getRelativeLuminance();return i>n}isDarkerThan(e){const i=this.getRelativeLuminance(),n=e.getRelativeLuminance();return i<n}lighten(e){return new Zh(new lT(this.hsla.h,this.hsla.s,this.hsla.l+this.hsla.l*e,this.hsla.a))}darken(e){return new Zh(new lT(this.hsla.h,this.hsla.s,this.hsla.l-this.hsla.l*e,this.hsla.a))}transparent(e){const{r:i,g:n,b:s,a:c}=this.rgba;return new Zh(new El(i,n,s,c*e))}isTransparent(){return this.rgba.a===0}isOpaque(){return this.rgba.a===1}opposite(){return new Zh(new El(255-this.rgba.r,255-this.rgba.g,255-this.rgba.b,this.rgba.a))}makeOpaque(e){if(this.isOpaque()||e.rgba.a!==1)return this;const{r:i,g:n,b:s,a:c}=this.rgba;return new Zh(new El(e.rgba.r-c*(e.rgba.r-i),e.rgba.g-c*(e.rgba.g-n),e.rgba.b-c*(e.rgba.b-s),1))}toString(){return this._toString||(this._toString=Zh.Format.CSS.format(this)),this._toString}static getLighterColor(e,i,n){if(e.isLighterThan(i))return e;n=n||.5;const s=e.getRelativeLuminance(),c=i.getRelativeLuminance();return n=n*(c-s)/c,e.lighten(n)}static getDarkerColor(e,i,n){if(e.isDarkerThan(i))return e;n=n||.5;const s=e.getRelativeLuminance(),c=i.getRelativeLuminance();return n=n*(s-c)/s,e.darken(n)}};Zh.white=new Zh(new El(255,255,255,1)),Zh.black=new Zh(new El(0,0,0,1)),Zh.red=new Zh(new El(255,0,0,1)),Zh.blue=new Zh(new El(0,0,255,1)),Zh.green=new Zh(new El(0,255,0,1)),Zh.cyan=new Zh(new El(0,255,255,1)),Zh.lightgrey=new Zh(new El(211,211,211,1)),Zh.transparent=new Zh(new El(0,0,0,0));let In=Zh;(function(l){(function(e){(function(i){function n(P){return P.rgba.a===1?`rgb(${P.rgba.r}, ${P.rgba.g}, ${P.rgba.b})`:l.Format.CSS.formatRGBA(P)}i.formatRGB=n;function s(P){return`rgba(${P.rgba.r}, ${P.rgba.g}, ${P.rgba.b}, ${+P.rgba.a.toFixed(2)})`}i.formatRGBA=s;function c(P){return P.hsla.a===1?`hsl(${P.hsla.h}, ${(P.hsla.s*100).toFixed(2)}%, ${(P.hsla.l*100).toFixed(2)}%)`:l.Format.CSS.formatHSLA(P)}i.formatHSL=c;function d(P){return`hsla(${P.hsla.h}, ${(P.hsla.s*100).toFixed(2)}%, ${(P.hsla.l*100).toFixed(2)}%, ${P.hsla.a.toFixed(2)})`}i.formatHSLA=d;function f(P){const O=P.toString(16);return O.length!==2?"0"+O:O}function m(P){return`#${f(P.rgba.r)}${f(P.rgba.g)}${f(P.rgba.b)}`}i.formatHex=m;function v(P,O=!1){return O&&P.rgba.a===1?l.Format.CSS.formatHex(P):`#${f(P.rgba.r)}${f(P.rgba.g)}${f(P.rgba.b)}${f(Math.round(P.rgba.a*255))}`}i.formatHexA=v;function x(P){return P.isOpaque()?l.Format.CSS.formatHex(P):l.Format.CSS.formatRGBA(P)}i.format=x;function w(P){const O=P.length;if(O===0||P.charCodeAt(0)!==35)return null;if(O===7){const z=16*I(P.charCodeAt(1))+I(P.charCodeAt(2)),J=16*I(P.charCodeAt(3))+I(P.charCodeAt(4)),Y=16*I(P.charCodeAt(5))+I(P.charCodeAt(6));return new l(new El(z,J,Y,1))}if(O===9){const z=16*I(P.charCodeAt(1))+I(P.charCodeAt(2)),J=16*I(P.charCodeAt(3))+I(P.charCodeAt(4)),Y=16*I(P.charCodeAt(5))+I(P.charCodeAt(6)),ae=16*I(P.charCodeAt(7))+I(P.charCodeAt(8));return new l(new El(z,J,Y,ae/255))}if(O===4){const z=I(P.charCodeAt(1)),J=I(P.charCodeAt(2)),Y=I(P.charCodeAt(3));return new l(new El(16*z+z,16*J+J,16*Y+Y))}if(O===5){const z=I(P.charCodeAt(1)),J=I(P.charCodeAt(2)),Y=I(P.charCodeAt(3)),ae=I(P.charCodeAt(4));return new l(new El(16*z+z,16*J+J,16*Y+Y,(16*ae+ae)/255))}return null}i.parseHex=w;function I(P){switch(P){case 48:return 0;case 49:return 1;case 50:return 2;case 51:return 3;case 52:return 4;case 53:return 5;case 54:return 6;case 55:return 7;case 56:return 8;case 57:return 9;case 97:return 10;case 65:return 10;case 98:return 11;case 66:return 11;case 99:return 12;case 67:return 12;case 100:return 13;case 68:return 13;case 101:return 14;case 69:return 14;case 102:return 15;case 70:return 15}return 0}})(e.CSS||(e.CSS={}))})(l.Format||(l.Format={}))})(In||(In={}));function aTt(l){const e=[];for(const i of l){const n=Number(i);(n||n===0&&i.replace(/\s/g,"")!=="")&&e.push(n)}return e}function x$e(l,e,i,n){return{red:l/255,blue:i/255,green:e/255,alpha:n}}function Ate(l,e){const i=e.index,n=e[0].length;if(!i)return;const s=l.positionAt(i);return{startLineNumber:s.lineNumber,startColumn:s.column,endLineNumber:s.lineNumber,endColumn:s.column+n}}function Khi(l,e){if(!l)return;const i=In.Format.CSS.parseHex(e);if(i)return{range:l,color:x$e(i.rgba.r,i.rgba.g,i.rgba.b,i.rgba.a)}}function nbt(l,e,i){if(!l||e.length!==1)return;const s=e[0].values(),c=aTt(s);return{range:l,color:x$e(c[0],c[1],c[2],i?c[3]:1)}}function rbt(l,e,i){if(!l||e.length!==1)return;const s=e[0].values(),c=aTt(s),d=new In(new lT(c[0],c[1]/100,c[2]/100,i?c[3]:1));return{range:l,color:x$e(d.rgba.r,d.rgba.g,d.rgba.b,d.rgba.a)}}function Ote(l,e){return typeof l=="string"?[...l.matchAll(e)]:l.findMatches(e)}function Xhi(l){const e=[],n=Ote(l,/\b(rgb|rgba|hsl|hsla)(\([0-9\s,.\%]*\))|(#)([A-Fa-f0-9]{3})\b|(#)([A-Fa-f0-9]{4})\b|(#)([A-Fa-f0-9]{6})\b|(#)([A-Fa-f0-9]{8})\b/gm);if(n.length>0)for(const s of n){const c=s.filter(v=>v!==void 0),d=c[1],f=c[2];if(!f)continue;let m;if(d==="rgb"){const v=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*\)$/gm;m=nbt(Ate(l,s),Ote(f,v),!1)}else if(d==="rgba"){const v=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;m=nbt(Ate(l,s),Ote(f,v),!0)}else if(d==="hsl"){const v=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*\)$/gm;m=rbt(Ate(l,s),Ote(f,v),!1)}else if(d==="hsla"){const v=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;m=rbt(Ate(l,s),Ote(f,v),!0)}else d==="#"&&(m=Khi(Ate(l,s),d+f));m&&e.push(m)}return e}function Qhi(l){return!l||typeof l.getValue!="function"||typeof l.positionAt!="function"?[]:Xhi(l)}const sbt=new RegExp("\\bMARK:\\s*(.*)$","d"),Zhi=/^-+|-+$/g;function Yhi(l,e){var n;let i=[];if(e.findRegionSectionHeaders&&((n=e.foldingRules)!=null&&n.markers)){const s=e_i(l,e);i=i.concat(s)}if(e.findMarkSectionHeaders){const s=t_i(l);i=i.concat(s)}return i}function e_i(l,e){const i=[],n=l.getLineCount();for(let s=1;s<=n;s++){const c=l.getLineContent(s),d=c.match(e.foldingRules.markers.start);if(d){const f={startLineNumber:s,startColumn:d[0].length+1,endLineNumber:s,endColumn:c.length+1};if(f.endColumn>f.startColumn){const m={range:f,...cTt(c.substring(d[0].length)),shouldBeInComments:!1};(m.text||m.hasSeparatorLine)&&i.push(m)}}}return i}function t_i(l){const e=[],i=l.getLineCount();for(let n=1;n<=i;n++){const s=l.getLineContent(n);i_i(s,n,e)}return e}function i_i(l,e,i){sbt.lastIndex=0;const n=sbt.exec(l);if(n){const s=n.indices[1][0]+1,c=n.indices[1][1]+1,d={startLineNumber:e,startColumn:s,endLineNumber:e,endColumn:c};if(d.endColumn>d.startColumn){const f={range:d,...cTt(n[1]),shouldBeInComments:!0};(f.text||f.hasSeparatorLine)&&i.push(f)}}}function cTt(l){l=l.trim();const e=l.startsWith("-");return l=l.replace(Zhi,""),{text:l,hasSeparatorLine:e}}class n_i{constructor(e){this.values=e,this.prefixSum=new Uint32Array(e.length),this.prefixSumValidIndex=new Int32Array(1),this.prefixSumValidIndex[0]=-1}insertValues(e,i){e=kU(e);const n=this.values,s=this.prefixSum,c=i.length;return c===0?!1:(this.values=new Uint32Array(n.length+c),this.values.set(n.subarray(0,e),0),this.values.set(n.subarray(e),e+c),this.values.set(i,e),e-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=e-1),this.prefixSum=new Uint32Array(this.values.length),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(s.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}setValue(e,i){return e=kU(e),i=kU(i),this.values[e]===i?!1:(this.values[e]=i,e-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=e-1),!0)}removeValues(e,i){e=kU(e),i=kU(i);const n=this.values,s=this.prefixSum;if(e>=n.length)return!1;const c=n.length-e;return i>=c&&(i=c),i===0?!1:(this.values=new Uint32Array(n.length-i),this.values.set(n.subarray(0,e),0),this.values.set(n.subarray(e+i),e),this.prefixSum=new Uint32Array(this.values.length),e-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=e-1),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(s.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}getTotalSum(){return this.values.length===0?0:this._getPrefixSum(this.values.length-1)}getPrefixSum(e){return e<0?0:(e=kU(e),this._getPrefixSum(e))}_getPrefixSum(e){if(e<=this.prefixSumValidIndex[0])return this.prefixSum[e];let i=this.prefixSumValidIndex[0]+1;i===0&&(this.prefixSum[0]=this.values[0],i++),e>=this.values.length&&(e=this.values.length-1);for(let n=i;n<=e;n++)this.prefixSum[n]=this.prefixSum[n-1]+this.values[n];return this.prefixSumValidIndex[0]=Math.max(this.prefixSumValidIndex[0],e),this.prefixSum[e]}getIndexOf(e){e=Math.floor(e),this.getTotalSum();let i=0,n=this.values.length-1,s=0,c=0,d=0;for(;i<=n;)if(s=i+(n-i)/2|0,c=this.prefixSum[s],d=c-this.values[s],e<d)n=s-1;else if(e>=c)i=s+1;else break;return new lTt(s,e-d)}}class r_i{constructor(e){this._values=e,this._isValid=!1,this._validEndIndex=-1,this._prefixSum=[],this._indexBySum=[]}getTotalSum(){return this._ensureValid(),this._indexBySum.length}getPrefixSum(e){return this._ensureValid(),e===0?0:this._prefixSum[e-1]}getIndexOf(e){this._ensureValid();const i=this._indexBySum[e],n=i>0?this._prefixSum[i-1]:0;return new lTt(i,e-n)}removeValues(e,i){this._values.splice(e,i),this._invalidate(e)}insertValues(e,i){this._values=kSe(this._values,e,i),this._invalidate(e)}_invalidate(e){this._isValid=!1,this._validEndIndex=Math.min(this._validEndIndex,e-1)}_ensureValid(){if(!this._isValid){for(let e=this._validEndIndex+1,i=this._values.length;e<i;e++){const n=this._values[e],s=e>0?this._prefixSum[e-1]:0;this._prefixSum[e]=s+n;for(let c=0;c<n;c++)this._indexBySum[s+c]=e}this._prefixSum.length=this._values.length,this._indexBySum.length=this._prefixSum[this._prefixSum.length-1],this._isValid=!0,this._validEndIndex=this._values.length-1}}setValue(e,i){this._values[e]!==i&&(this._values[e]=i,this._invalidate(e))}}class lTt{constructor(e,i){this.index=e,this.remainder=i,this._prefixSumIndexOfResultBrand=void 0,this.index=e,this.remainder=i}}class s_i{constructor(e,i,n,s){this._uri=e,this._lines=i,this._eol=n,this._versionId=s,this._lineStarts=null,this._cachedTextValue=null}dispose(){this._lines.length=0}get version(){return this._versionId}getText(){return this._cachedTextValue===null&&(this._cachedTextValue=this._lines.join(this._eol)),this._cachedTextValue}onEvents(e){e.eol&&e.eol!==this._eol&&(this._eol=e.eol,this._lineStarts=null);const i=e.changes;for(const n of i)this._acceptDeleteRange(n.range),this._acceptInsertText(new pi(n.range.startLineNumber,n.range.startColumn),n.text);this._versionId=e.versionId,this._cachedTextValue=null}_ensureLineStarts(){if(!this._lineStarts){const e=this._eol.length,i=this._lines.length,n=new Uint32Array(i);for(let s=0;s<i;s++)n[s]=this._lines[s].length+e;this._lineStarts=new n_i(n)}}_setLineText(e,i){this._lines[e]=i,this._lineStarts&&this._lineStarts.setValue(e,this._lines[e].length+this._eol.length)}_acceptDeleteRange(e){if(e.startLineNumber===e.endLineNumber){if(e.startColumn===e.endColumn)return;this._setLineText(e.startLineNumber-1,this._lines[e.startLineNumber-1].substring(0,e.startColumn-1)+this._lines[e.startLineNumber-1].substring(e.endColumn-1));return}this._setLineText(e.startLineNumber-1,this._lines[e.startLineNumber-1].substring(0,e.startColumn-1)+this._lines[e.endLineNumber-1].substring(e.endColumn-1)),this._lines.splice(e.startLineNumber,e.endLineNumber-e.startLineNumber),this._lineStarts&&this._lineStarts.removeValues(e.startLineNumber,e.endLineNumber-e.startLineNumber)}_acceptInsertText(e,i){if(i.length===0)return;const n=BL(i);if(n.length===1){this._setLineText(e.lineNumber-1,this._lines[e.lineNumber-1].substring(0,e.column-1)+n[0]+this._lines[e.lineNumber-1].substring(e.column-1));return}n[n.length-1]+=this._lines[e.lineNumber-1].substring(e.column-1),this._setLineText(e.lineNumber-1,this._lines[e.lineNumber-1].substring(0,e.column-1)+n[0]);const s=new Uint32Array(n.length-1);for(let c=1;c<n.length;c++)this._lines.splice(e.lineNumber+c-1,0,n[c]),s[c-1]=n[c].length+this._eol.length;this._lineStarts&&this._lineStarts.insertValues(e.lineNumber,s)}}const obt=60*1e3;class o_i extends xi{constructor(e,i,n=!1){if(super(),this._syncedModels=Object.create(null),this._syncedModelsLastUsedTime=Object.create(null),this._proxy=e,this._modelService=i,!n){const s=new a$e;s.cancelAndSet(()=>this._checkStopModelSync(),Math.round(obt/2)),this._register(s)}}dispose(){for(const e in this._syncedModels)vd(this._syncedModels[e]);this._syncedModels=Object.create(null),this._syncedModelsLastUsedTime=Object.create(null),super.dispose()}ensureSyncedResources(e,i=!1){for(const n of e){const s=n.toString();this._syncedModels[s]||this._beginModelSync(n,i),this._syncedModels[s]&&(this._syncedModelsLastUsedTime[s]=new Date().getTime())}}_checkStopModelSync(){const e=new Date().getTime(),i=[];for(const n in this._syncedModelsLastUsedTime)e-this._syncedModelsLastUsedTime[n]>obt&&i.push(n);for(const n of i)this._stopModelSync(n)}_beginModelSync(e,i){const n=this._modelService.getModel(e);if(!n||!i&&n.isTooLargeForSyncing())return;const s=e.toString();this._proxy.$acceptNewModel({url:n.uri.toString(),lines:n.getLinesContent(),EOL:n.getEOL(),versionId:n.getVersionId()});const c=new wn;c.add(n.onDidChangeContent(d=>{this._proxy.$acceptModelChanged(s.toString(),d)})),c.add(n.onWillDispose(()=>{this._stopModelSync(s)})),c.add(fo(()=>{this._proxy.$acceptRemovedModel(s)})),this._syncedModels[s]=c}_stopModelSync(e){const i=this._syncedModels[e];delete this._syncedModels[e],delete this._syncedModelsLastUsedTime[e],vd(i)}}class a_i{constructor(){this._models=Object.create(null)}getModel(e){return this._models[e]}getModels(){const e=[];return Object.keys(this._models).forEach(i=>e.push(this._models[i])),e}$acceptNewModel(e){this._models[e.url]=new c_i(yo.parse(e.url),e.lines,e.EOL,e.versionId)}$acceptModelChanged(e,i){if(!this._models[e])return;this._models[e].onEvents(i)}$acceptRemovedModel(e){this._models[e]&&delete this._models[e]}}class c_i extends s_i{get uri(){return this._uri}get eol(){return this._eol}getValue(){return this.getText()}findMatches(e){const i=[];for(let n=0;n<this._lines.length;n++){const s=this._lines[n],c=this.offsetAt(new pi(n+1,1)),d=s.matchAll(e);for(const f of d)(f.index||f.index===0)&&(f.index=f.index+c),i.push(f)}return i}getLinesContent(){return this._lines.slice(0)}getLineCount(){return this._lines.length}getLineContent(e){return this._lines[e-1]}getWordAtPosition(e,i){const n=Nne(e.column,qqe(i),this._lines[e.lineNumber-1],0);return n?new nt(e.lineNumber,n.startColumn,e.lineNumber,n.endColumn):null}words(e){const i=this._lines,n=this._wordenize.bind(this);let s=0,c="",d=0,f=[];return{*[Symbol.iterator](){for(;;)if(d<f.length){const m=c.substring(f[d].start,f[d].end);d+=1,yield m}else if(s<i.length)c=i[s],f=n(c,e),d=0,s+=1;else break}}}getLineWords(e,i){const n=this._lines[e-1],s=this._wordenize(n,i),c=[];for(const d of s)c.push({word:n.substring(d.start,d.end),startColumn:d.start+1,endColumn:d.end+1});return c}_wordenize(e,i){const n=[];let s;for(i.lastIndex=0;(s=i.exec(e))&&s[0].length!==0;)n.push({start:s.index,end:s.index+s[0].length});return n}getValueInRange(e){if(e=this._validateRange(e),e.startLineNumber===e.endLineNumber)return this._lines[e.startLineNumber-1].substring(e.startColumn-1,e.endColumn-1);const i=this._eol,n=e.startLineNumber-1,s=e.endLineNumber-1,c=[];c.push(this._lines[n].substring(e.startColumn-1));for(let d=n+1;d<s;d++)c.push(this._lines[d]);return c.push(this._lines[s].substring(0,e.endColumn-1)),c.join(i)}offsetAt(e){return e=this._validatePosition(e),this._ensureLineStarts(),this._lineStarts.getPrefixSum(e.lineNumber-2)+(e.column-1)}positionAt(e){e=Math.floor(e),e=Math.max(0,e),this._ensureLineStarts();const i=this._lineStarts.getIndexOf(e),n=this._lines[i.index].length;return{lineNumber:1+i.index,column:1+Math.min(i.remainder,n)}}_validateRange(e){const i=this._validatePosition({lineNumber:e.startLineNumber,column:e.startColumn}),n=this._validatePosition({lineNumber:e.endLineNumber,column:e.endColumn});return i.lineNumber!==e.startLineNumber||i.column!==e.startColumn||n.lineNumber!==e.endLineNumber||n.column!==e.endColumn?{startLineNumber:i.lineNumber,startColumn:i.column,endLineNumber:n.lineNumber,endColumn:n.column}:e}_validatePosition(e){if(!pi.isIPosition(e))throw new Error("bad position");let{lineNumber:i,column:n}=e,s=!1;if(i<1)i=1,n=1,s=!0;else if(i>this._lines.length)i=this._lines.length,n=this._lines[i-1].length+1,s=!0;else{const c=this._lines[i-1].length+1;n<1?(n=1,s=!0):n>c&&(n=c,s=!0)}return s?{lineNumber:i,column:n}:e}}const iCe=class iCe{constructor(){this._workerTextModelSyncServer=new a_i}dispose(){}_getModel(e){return this._workerTextModelSyncServer.getModel(e)}_getModels(){return this._workerTextModelSyncServer.getModels()}$acceptNewModel(e){this._workerTextModelSyncServer.$acceptNewModel(e)}$acceptModelChanged(e,i){this._workerTextModelSyncServer.$acceptModelChanged(e,i)}$acceptRemovedModel(e){this._workerTextModelSyncServer.$acceptRemovedModel(e)}async $computeUnicodeHighlights(e,i,n){const s=this._getModel(e);return s?b$e.computeUnicodeHighlights(s,i,n):{ranges:[],hasMore:!1,ambiguousCharacterCount:0,invisibleCharacterCount:0,nonBasicAsciiCharacterCount:0}}async $findSectionHeaders(e,i){const n=this._getModel(e);return n?Yhi(n,i):[]}async $computeDiff(e,i,n,s){const c=this._getModel(e),d=this._getModel(i);return!c||!d?null:l1e.computeDiff(c,d,n,s)}static computeDiff(e,i,n,s){const c=s==="advanced"?ibt.getDefault():ibt.getLegacy(),d=e.getLinesContent(),f=i.getLinesContent(),m=c.computeDiff(d,f,n),v=m.changes.length>0?!1:this._modelsAreIdentical(e,i);function x(w){return w.map(I=>{var P;return[I.original.startLineNumber,I.original.endLineNumberExclusive,I.modified.startLineNumber,I.modified.endLineNumberExclusive,(P=I.innerChanges)==null?void 0:P.map(O=>[O.originalRange.startLineNumber,O.originalRange.startColumn,O.originalRange.endLineNumber,O.originalRange.endColumn,O.modifiedRange.startLineNumber,O.modifiedRange.startColumn,O.modifiedRange.endLineNumber,O.modifiedRange.endColumn])]})}return{identical:v,quitEarly:m.hitTimeout,changes:x(m.changes),moves:m.moves.map(w=>[w.lineRangeMapping.original.startLineNumber,w.lineRangeMapping.original.endLineNumberExclusive,w.lineRangeMapping.modified.startLineNumber,w.lineRangeMapping.modified.endLineNumberExclusive,x(w.changes)])}}static _modelsAreIdentical(e,i){const n=e.getLineCount(),s=i.getLineCount();if(n!==s)return!1;for(let c=1;c<=n;c++){const d=e.getLineContent(c),f=i.getLineContent(c);if(d!==f)return!1}return!0}async $computeMoreMinimalEdits(e,i,n){const s=this._getModel(e);if(!s)return i;const c=[];let d;i=i.slice(0).sort((m,v)=>{if(m.range&&v.range)return nt.compareRangesUsingStarts(m.range,v.range);const x=m.range?0:1,w=v.range?0:1;return x-w});let f=0;for(let m=1;m<i.length;m++)nt.getEndPosition(i[f].range).equals(nt.getStartPosition(i[m].range))?(i[f].range=nt.fromPositions(nt.getStartPosition(i[f].range),nt.getEndPosition(i[m].range)),i[f].text+=i[m].text):(f++,i[f]=i[m]);i.length=f+1;for(let{range:m,text:v,eol:x}of i){if(typeof x=="number"&&(d=x),nt.isEmpty(m)&&!v)continue;const w=s.getValueInRange(m);if(v=v.replace(/\r\n|\n|\r/g,s.eol),w===v)continue;if(Math.max(v.length,w.length)>l1e._diffLimit){c.push({range:m,text:v});continue}const I=Qfi(w,v,n),P=s.offsetAt(nt.lift(m).getStartPosition());for(const O of I){const z=s.positionAt(P+O.originalStart),J=s.positionAt(P+O.originalStart+O.originalLength),Y={text:v.substr(O.modifiedStart,O.modifiedLength),range:{startLineNumber:z.lineNumber,startColumn:z.column,endLineNumber:J.lineNumber,endColumn:J.column}};s.getValueInRange(Y.range)!==Y.text&&c.push(Y)}}return typeof d=="number"&&c.push({eol:d,text:"",range:{startLineNumber:0,startColumn:0,endLineNumber:0,endColumn:0}}),c}async $computeLinks(e){const i=this._getModel(e);return i?ihi(i):null}async $computeDefaultDocumentColors(e){const i=this._getModel(e);return i?Qhi(i):null}async $textualSuggest(e,i,n,s){const c=new bg,d=new RegExp(n,s),f=new Set;e:for(const m of e){const v=this._getModel(m);if(v){for(const x of v.words(d))if(!(x===i||!isNaN(Number(x)))&&(f.add(x),f.size>l1e._suggestionsLimit))break e}}return{words:Array.from(f),duration:c.elapsed()}}async $computeWordRanges(e,i,n,s){const c=this._getModel(e);if(!c)return Object.create(null);const d=new RegExp(n,s),f=Object.create(null);for(let m=i.startLineNumber;m<i.endLineNumber;m++){const v=c.getLineWords(m,d);for(const x of v){if(!isNaN(Number(x.word)))continue;let w=f[x.word];w||(w=[],f[x.word]=w),w.push({startLineNumber:m,startColumn:x.startColumn,endLineNumber:m,endColumn:x.endColumn})}}return f}async $navigateValueSet(e,i,n,s,c){const d=this._getModel(e);if(!d)return null;const f=new RegExp(s,c);i.startColumn===i.endColumn&&(i={startLineNumber:i.startLineNumber,startColumn:i.startColumn,endLineNumber:i.endLineNumber,endColumn:i.endColumn+1});const m=d.getValueInRange(i),v=d.getWordAtPosition({lineNumber:i.startLineNumber,column:i.startColumn},f);if(!v)return null;const x=d.getValueInRange(v);return BWe.INSTANCE.navigateValueSet(i,m,v,x,n)}};iCe._diffLimit=1e5,iCe._suggestionsLimit=1e4;let UWe=iCe;class l1e extends UWe{constructor(e,i){super(),this._host=e,this._foreignModuleFactory=i,this._foreignModule=null}async $ping(){return"pong"}$loadForeignModule(e,i,n){const d={host:qai(n,(f,m)=>this._host.$fhr(f,m)),getMirrorModels:()=>this._getModels()};return this._foreignModuleFactory?(this._foreignModule=this._foreignModuleFactory(d,i),Promise.resolve(lBe(this._foreignModule))):new Promise((f,m)=>{const v=x=>{this._foreignModule=x.create(d,i),f(lBe(this._foreignModule))};{const x=LSe.asBrowserUri(`${e}.js`).toString(!0);_o(()=>import(`${x}`),[]).then(v).catch(m)}})}$fmr(e,i){if(!this._foreignModule||typeof this._foreignModule[e]!="function")return Promise.reject(new Error("Missing requestHandler or method: "+e));try{return Promise.resolve(this._foreignModule[e].apply(this._foreignModule,i))}catch(n){return Promise.reject(n)}}}typeof importScripts=="function"&&(globalThis.monaco=Xkt());const XSe=jc("textResourceConfigurationService"),uTt=jc("textResourcePropertiesService"),Ts=jc("ILanguageFeaturesService");var k$e=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},BF=function(l,e){return function(i,n){e(i,n,l)}};const abt=5*60*1e3;function WF(l,e){const i=l.getModel(e);return!(!i||i.isTooLargeForSyncing())}let qWe=class extends xi{constructor(e,i,n,s,c,d){super(),this._languageConfigurationService=c,this._modelService=i,this._workerManager=this._register(new $We(e,this._modelService)),this._logService=s,this._register(d.linkProvider.register({language:"*",hasAccessToAllModels:!0},{provideLinks:async(f,m)=>{if(!WF(this._modelService,f.uri))return Promise.resolve({links:[]});const x=await(await this._workerWithResources([f.uri])).$computeLinks(f.uri.toString());return x&&{links:x}}})),this._register(d.completionProvider.register("*",new l_i(this._workerManager,n,this._modelService,this._languageConfigurationService)))}dispose(){super.dispose()}canComputeUnicodeHighlights(e){return WF(this._modelService,e)}async computedUnicodeHighlights(e,i,n){return(await this._workerWithResources([e])).$computeUnicodeHighlights(e.toString(),i,n)}async computeDiff(e,i,n,s){const d=await(await this._workerWithResources([e,i],!0)).$computeDiff(e.toString(),i.toString(),n,s);if(!d)return null;return{identical:d.identical,quitEarly:d.quitEarly,changes:m(d.changes),moves:d.moves.map(v=>new iTt(new wy(new Za(v[0],v[1]),new Za(v[2],v[3])),m(v[4])))};function m(v){return v.map(x=>{var w;return new xw(new Za(x[0],x[1]),new Za(x[2],x[3]),(w=x[4])==null?void 0:w.map(I=>new fw(new nt(I[0],I[1],I[2],I[3]),new nt(I[4],I[5],I[6],I[7]))))})}}async computeMoreMinimalEdits(e,i,n=!1){if(ky(i)){if(!WF(this._modelService,e))return Promise.resolve(i);const s=bg.create(),c=this._workerWithResources([e]).then(d=>d.$computeMoreMinimalEdits(e.toString(),i,n));return c.finally(()=>this._logService.trace("FORMAT#computeMoreMinimalEdits",e.toString(!0),s.elapsed())),Promise.race([c,c6(1e3).then(()=>i)])}else return Promise.resolve(void 0)}canNavigateValueSet(e){return WF(this._modelService,e)}async navigateValueSet(e,i,n){const s=this._modelService.getModel(e);if(!s)return null;const c=this._languageConfigurationService.getLanguageConfiguration(s.getLanguageId()).getWordDefinition(),d=c.source,f=c.flags;return(await this._workerWithResources([e])).$navigateValueSet(e.toString(),i,n,d,f)}canComputeWordRanges(e){return WF(this._modelService,e)}async computeWordRanges(e,i){const n=this._modelService.getModel(e);if(!n)return Promise.resolve(null);const s=this._languageConfigurationService.getLanguageConfiguration(n.getLanguageId()).getWordDefinition(),c=s.source,d=s.flags;return(await this._workerWithResources([e])).$computeWordRanges(e.toString(),i,c,d)}async findSectionHeaders(e,i){return(await this._workerWithResources([e])).$findSectionHeaders(e.toString(),i)}async computeDefaultDocumentColors(e){return(await this._workerWithResources([e])).$computeDefaultDocumentColors(e.toString())}async _workerWithResources(e,i=!1){return await(await this._workerManager.withWorker()).workerWithSyncedResources(e,i)}};qWe=k$e([BF(1,rf),BF(2,XSe),BF(3,N0),BF(4,rh),BF(5,Ts)],qWe);class l_i{constructor(e,i,n,s){this.languageConfigurationService=s,this._debugDisplayName="wordbasedCompletions",this._workerManager=e,this._configurationService=i,this._modelService=n}async provideCompletionItems(e,i){const n=this._configurationService.getValue(e.uri,i,"editor");if(n.wordBasedSuggestions==="off")return;const s=[];if(n.wordBasedSuggestions==="currentDocument")WF(this._modelService,e.uri)&&s.push(e.uri);else for(const w of this._modelService.getModels())WF(this._modelService,w.uri)&&(w===e?s.unshift(w.uri):(n.wordBasedSuggestions==="allDocuments"||w.getLanguageId()===e.getLanguageId())&&s.push(w.uri));if(s.length===0)return;const c=this.languageConfigurationService.getLanguageConfiguration(e.getLanguageId()).getWordDefinition(),d=e.getWordAtPosition(i),f=d?new nt(i.lineNumber,d.startColumn,i.lineNumber,d.endColumn):nt.fromPositions(i),m=f.setEndPosition(i.lineNumber,i.column),x=await(await this._workerManager.withWorker()).textualSuggest(s,d==null?void 0:d.word,c);if(x)return{duration:x.duration,suggestions:x.words.map(w=>({kind:18,label:w,insertText:w,range:{insert:m,replace:f}}))}}}let $We=class extends xi{constructor(e,i){super(),this._workerDescriptor=e,this._modelService=i,this._editorWorkerClient=null,this._lastWorkerUsedTime=new Date().getTime(),this._register(new l$e).cancelAndSet(()=>this._checkStopIdleWorker(),Math.round(abt/2),cd),this._register(this._modelService.onModelRemoved(s=>this._checkStopEmptyWorker()))}dispose(){this._editorWorkerClient&&(this._editorWorkerClient.dispose(),this._editorWorkerClient=null),super.dispose()}_checkStopEmptyWorker(){if(!this._editorWorkerClient)return;this._modelService.getModels().length===0&&(this._editorWorkerClient.dispose(),this._editorWorkerClient=null)}_checkStopIdleWorker(){if(!this._editorWorkerClient)return;new Date().getTime()-this._lastWorkerUsedTime>abt&&(this._editorWorkerClient.dispose(),this._editorWorkerClient=null)}withWorker(){return this._lastWorkerUsedTime=new Date().getTime(),this._editorWorkerClient||(this._editorWorkerClient=new bye(this._workerDescriptor,!1,this._modelService)),Promise.resolve(this._editorWorkerClient)}};$We=k$e([BF(1,rf)],$We);class u_i{constructor(e){this._instance=e,this.proxy=this._instance}dispose(){this._instance.dispose()}setChannel(e,i){throw new Error("Not supported")}}let bye=class extends xi{constructor(e,i,n){super(),this._workerDescriptor=e,this._disposed=!1,this._modelService=n,this._keepIdleModels=i,this._worker=null,this._modelManager=null}fhr(e,i){throw new Error("Not implemented!")}_getOrCreateWorker(){if(!this._worker)try{this._worker=this._register(_fi(this._workerDescriptor)),WWe.setChannel(this._worker,this._createEditorWorkerHost())}catch(e){PWe(e),this._worker=this._createFallbackLocalWorker()}return this._worker}async _getProxy(){try{const e=this._getOrCreateWorker().proxy;return await e.$ping(),e}catch(e){return PWe(e),this._worker=this._createFallbackLocalWorker(),this._worker.proxy}}_createFallbackLocalWorker(){return new u_i(new l1e(this._createEditorWorkerHost(),null))}_createEditorWorkerHost(){return{$fhr:(e,i)=>this.fhr(e,i)}}_getOrCreateModelManager(e){return this._modelManager||(this._modelManager=this._register(new o_i(e,this._modelService,this._keepIdleModels))),this._modelManager}async workerWithSyncedResources(e,i=!1){if(this._disposed)return Promise.reject(Zci());const n=await this._getProxy();return this._getOrCreateModelManager(n).ensureSyncedResources(e,i),n}async textualSuggest(e,i,n){const s=await this.workerWithSyncedResources(e),c=n.source,d=n.flags;return s.$textualSuggest(e.map(f=>f.toString()),i,c,d)}dispose(){super.dispose(),this._disposed=!0}};bye=k$e([BF(2,rf)],bye);var pk;(function(l){l.DARK="dark",l.LIGHT="light",l.HIGH_CONTRAST_DARK="hcDark",l.HIGH_CONTRAST_LIGHT="hcLight"})(pk||(pk={}));function RE(l){return l===pk.HIGH_CONTRAST_DARK||l===pk.HIGH_CONTRAST_LIGHT}function I$(l){return l===pk.DARK||l===pk.HIGH_CONTRAST_DARK}const im=jc("themeService");function Mh(l){return{id:l}}function JWe(l){switch(l){case pk.DARK:return"vs-dark";case pk.HIGH_CONTRAST_DARK:return"hc-black";case pk.HIGH_CONTRAST_LIGHT:return"hc-light";default:return"vs"}}const dTt={ThemingContribution:"base.contributions.theming"};class d_i{constructor(){this.themingParticipants=[],this.themingParticipants=[],this.onThemingParticipantAddedEmitter=new gi}onColorThemeChange(e){return this.themingParticipants.push(e),this.onThemingParticipantAddedEmitter.fire(e),fo(()=>{const i=this.themingParticipants.indexOf(e);this.themingParticipants.splice(i,1)})}getThemingParticipants(){return this.themingParticipants}}const fTt=new d_i;nh.add(dTt.ThemingContribution,fTt);function Dk(l){return fTt.onColorThemeChange(l)}class f_i extends xi{constructor(e){super(),this.themeService=e,this.theme=e.getColorTheme(),this._register(this.themeService.onDidColorThemeChange(i=>this.onThemeChange(i)))}onThemeChange(e){this.theme=e,this.updateStyles()}updateStyles(){}}var h_i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},__i=function(l,e){return function(i,n){e(i,n,l)}};let GWe=class extends xi{constructor(e){super(),this._themeService=e,this._onWillCreateCodeEditor=this._register(new gi),this._onCodeEditorAdd=this._register(new gi),this.onCodeEditorAdd=this._onCodeEditorAdd.event,this._onCodeEditorRemove=this._register(new gi),this.onCodeEditorRemove=this._onCodeEditorRemove.event,this._onWillCreateDiffEditor=this._register(new gi),this._onDiffEditorAdd=this._register(new gi),this.onDiffEditorAdd=this._onDiffEditorAdd.event,this._onDiffEditorRemove=this._register(new gi),this.onDiffEditorRemove=this._onDiffEditorRemove.event,this._decorationOptionProviders=new Map,this._codeEditorOpenHandlers=new _v,this._modelProperties=new Map,this._codeEditors=Object.create(null),this._diffEditors=Object.create(null),this._globalStyleSheet=null}willCreateCodeEditor(){this._onWillCreateCodeEditor.fire()}addCodeEditor(e){this._codeEditors[e.getId()]=e,this._onCodeEditorAdd.fire(e)}removeCodeEditor(e){delete this._codeEditors[e.getId()]&&this._onCodeEditorRemove.fire(e)}listCodeEditors(){return Object.keys(this._codeEditors).map(e=>this._codeEditors[e])}willCreateDiffEditor(){this._onWillCreateDiffEditor.fire()}addDiffEditor(e){this._diffEditors[e.getId()]=e,this._onDiffEditorAdd.fire(e)}listDiffEditors(){return Object.keys(this._diffEditors).map(e=>this._diffEditors[e])}getFocusedCodeEditor(){let e=null;const i=this.listCodeEditors();for(const n of i){if(n.hasTextFocus())return n;n.hasWidgetFocus()&&(e=n)}return e}removeDecorationType(e){const i=this._decorationOptionProviders.get(e);i&&(i.refCount--,i.refCount<=0&&(this._decorationOptionProviders.delete(e),i.dispose(),this.listCodeEditors().forEach(n=>n.removeDecorationsByType(e))))}setModelProperty(e,i,n){const s=e.toString();let c;this._modelProperties.has(s)?c=this._modelProperties.get(s):(c=new Map,this._modelProperties.set(s,c)),c.set(i,n)}getModelProperty(e,i){const n=e.toString();if(this._modelProperties.has(n))return this._modelProperties.get(n).get(i)}async openCodeEditor(e,i,n){for(const s of this._codeEditorOpenHandlers){const c=await s(e,i,n);if(c!==null)return c}return null}registerCodeEditorOpenHandler(e){const i=this._codeEditorOpenHandlers.unshift(e);return fo(i)}};GWe=h_i([__i(0,im)],GWe);var p_i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},cbt=function(l,e){return function(i,n){e(i,n,l)}};let Cye=class extends GWe{constructor(e,i){super(i),this._register(this.onCodeEditorAdd(()=>this._checkContextKey())),this._register(this.onCodeEditorRemove(()=>this._checkContextKey())),this._editorIsOpen=e.createKey("editorIsOpen",!1),this._activeCodeEditor=null,this._register(this.registerCodeEditorOpenHandler(async(n,s,c)=>s?this.doOpenEditor(s,n):null))}_checkContextKey(){let e=!1;for(const i of this.listCodeEditors())if(!i.isSimpleWidget){e=!0;break}this._editorIsOpen.set(e)}setActiveCodeEditor(e){this._activeCodeEditor=e}getActiveCodeEditor(){return this._activeCodeEditor}doOpenEditor(e,i){if(!this.findModel(e,i.resource)){if(i.resource){const c=i.resource.scheme;if(c===Ma.http||c===Ma.https)return N2t(i.resource.toString()),e}return null}const s=i.options?i.options.selection:null;if(s)if(typeof s.endLineNumber=="number"&&typeof s.endColumn=="number")e.setSelection(s),e.revealRangeInCenter(s,1);else{const c={lineNumber:s.startLineNumber,column:s.startColumn};e.setPosition(c),e.revealPositionInCenter(c,1)}return e}findModel(e,i){const n=e.getModel();return n&&n.uri.toString()!==i.toString()?null:n}};Cye=p_i([cbt(0,Ko),cbt(1,im)],Cye);nl(Bl,Cye,0);const FR=jc("layoutService");var hTt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},_Tt=function(l,e){return function(i,n){e(i,n,l)}};let Sye=class{get mainContainer(){var e;return((e=Wqe(this._codeEditorService.listCodeEditors()))==null?void 0:e.getContainerDomNode())??cd.document.body}get activeContainer(){const e=this._codeEditorService.getFocusedCodeEditor()??this._codeEditorService.getActiveCodeEditor();return(e==null?void 0:e.getContainerDomNode())??this.mainContainer}get mainContainerDimension(){return SR(this.mainContainer)}get activeContainerDimension(){return SR(this.activeContainer)}get containers(){return yT(this._codeEditorService.listCodeEditors().map(e=>e.getContainerDomNode()))}getContainer(){return this.activeContainer}whenContainerStylesLoaded(){}focus(){var e;(e=this._codeEditorService.getFocusedCodeEditor())==null||e.focus()}constructor(e){this._codeEditorService=e,this.onDidLayoutMainContainer=Tr.None,this.onDidLayoutActiveContainer=Tr.None,this.onDidLayoutContainer=Tr.None,this.onDidChangeActiveContainer=Tr.None,this.onDidAddContainer=Tr.None,this.mainContainerOffset={top:0,quickPickTop:0},this.activeContainerOffset={top:0,quickPickTop:0}}};Sye=hTt([_Tt(0,Bl)],Sye);let KWe=class extends Sye{get mainContainer(){return this._container}constructor(e,i){super(i),this._container=e}};KWe=hTt([_Tt(1,Bl)],KWe);nl(FR,Sye,1);var $ne;(function(l){l[l.Ignore=0]="Ignore",l[l.Info=1]="Info",l[l.Warning=2]="Warning",l[l.Error=3]="Error"})($ne||($ne={}));(function(l){const e="error",i="warning",n="warn",s="info",c="ignore";function d(m){return m?eq(e,m)?l.Error:eq(i,m)||eq(n,m)?l.Warning:eq(s,m)?l.Info:l.Ignore:l.Ignore}l.fromValue=d;function f(m){switch(m){case l.Error:return e;case l.Warning:return i;case l.Info:return s;default:return c}}l.toString=f})($ne||($ne={}));const S_=$ne,gse=jc("dialogService");var QSe=S_;const w_=jc("notificationService");class m_i{}const T$e=jc("undoRedoService");class pTt{constructor(e,i){this.resource=e,this.elements=i}}const Eq=class Eq{constructor(){this.id=Eq._ID++,this.order=1}nextOrder(){return this.id===0?0:this.order++}};Eq._ID=0,Eq.None=new Eq;let XWe=Eq;const Iq=class Iq{constructor(){this.id=Iq._ID++,this.order=1}nextOrder(){return this.id===0?0:this.order++}};Iq._ID=0,Iq.None=new Iq;let VF=Iq;var g_i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},lbt=function(l,e){return function(i,n){e(i,n,l)}};function Qge(l){return l.scheme===Ma.file?l.fsPath:l.path}let mTt=0;class Zge{constructor(e,i,n,s,c,d,f){this.id=++mTt,this.type=0,this.actual=e,this.label=e.label,this.confirmBeforeUndo=e.confirmBeforeUndo||!1,this.resourceLabel=i,this.strResource=n,this.resourceLabels=[this.resourceLabel],this.strResources=[this.strResource],this.groupId=s,this.groupOrder=c,this.sourceId=d,this.sourceOrder=f,this.isValid=!0}setValid(e){this.isValid=e}toString(){return`[id:${this.id}] [group:${this.groupId}] [${this.isValid?" VALID":"INVALID"}] ${this.actual.constructor.name} - ${this.actual}`}}class ubt{constructor(e,i){this.resourceLabel=e,this.reason=i}}class dbt{constructor(){this.elements=new Map}createMessage(){const e=[],i=[];for(const[,s]of this.elements)(s.reason===0?e:i).push(s.resourceLabel);const n=[];return e.length>0&&n.push(W({key:"externalRemoval",comment:["{0} is a list of filenames"]},"The following files have been closed and modified on disk: {0}.",e.join(", "))),i.length>0&&n.push(W({key:"noParallelUniverses",comment:["{0} is a list of filenames"]},"The following files have been modified in an incompatible way: {0}.",i.join(", "))),n.join(`
+`)}get size(){return this.elements.size}has(e){return this.elements.has(e)}set(e,i){this.elements.set(e,i)}delete(e){return this.elements.delete(e)}}class y_i{constructor(e,i,n,s,c,d,f){this.id=++mTt,this.type=1,this.actual=e,this.label=e.label,this.confirmBeforeUndo=e.confirmBeforeUndo||!1,this.resourceLabels=i,this.strResources=n,this.groupId=s,this.groupOrder=c,this.sourceId=d,this.sourceOrder=f,this.removedResources=null,this.invalidatedResources=null}canSplit(){return typeof this.actual.split=="function"}removeResource(e,i,n){this.removedResources||(this.removedResources=new dbt),this.removedResources.has(i)||this.removedResources.set(i,new ubt(e,n))}setValid(e,i,n){n?this.invalidatedResources&&(this.invalidatedResources.delete(i),this.invalidatedResources.size===0&&(this.invalidatedResources=null)):(this.invalidatedResources||(this.invalidatedResources=new dbt),this.invalidatedResources.has(i)||this.invalidatedResources.set(i,new ubt(e,0)))}toString(){return`[id:${this.id}] [group:${this.groupId}] [${this.invalidatedResources?"INVALID":" VALID"}] ${this.actual.constructor.name} - ${this.actual}`}}class gTt{constructor(e,i){this.resourceLabel=e,this.strResource=i,this._past=[],this._future=[],this.locked=!1,this.versionId=1}dispose(){for(const e of this._past)e.type===1&&e.removeResource(this.resourceLabel,this.strResource,0);for(const e of this._future)e.type===1&&e.removeResource(this.resourceLabel,this.strResource,0);this.versionId++}toString(){const e=[];e.push(`* ${this.strResource}:`);for(let i=0;i<this._past.length;i++)e.push(` * [UNDO] ${this._past[i]}`);for(let i=this._future.length-1;i>=0;i--)e.push(` * [REDO] ${this._future[i]}`);return e.join(`
+`)}flushAllElements(){this._past=[],this._future=[],this.versionId++}_setElementValidFlag(e,i){e.type===1?e.setValid(this.resourceLabel,this.strResource,i):e.setValid(i)}setElementsValidFlag(e,i){for(const n of this._past)i(n.actual)&&this._setElementValidFlag(n,e);for(const n of this._future)i(n.actual)&&this._setElementValidFlag(n,e)}pushElement(e){for(const i of this._future)i.type===1&&i.removeResource(this.resourceLabel,this.strResource,1);this._future=[],this._past.push(e),this.versionId++}createSnapshot(e){const i=[];for(let n=0,s=this._past.length;n<s;n++)i.push(this._past[n].id);for(let n=this._future.length-1;n>=0;n--)i.push(this._future[n].id);return new pTt(e,i)}restoreSnapshot(e){const i=e.elements.length;let n=!0,s=0,c=-1;for(let f=0,m=this._past.length;f<m;f++,s++){const v=this._past[f];n&&(s>=i||v.id!==e.elements[s])&&(n=!1,c=0),!n&&v.type===1&&v.removeResource(this.resourceLabel,this.strResource,0)}let d=-1;for(let f=this._future.length-1;f>=0;f--,s++){const m=this._future[f];n&&(s>=i||m.id!==e.elements[s])&&(n=!1,d=f),!n&&m.type===1&&m.removeResource(this.resourceLabel,this.strResource,0)}c!==-1&&(this._past=this._past.slice(0,c)),d!==-1&&(this._future=this._future.slice(d+1)),this.versionId++}getElements(){const e=[],i=[];for(const n of this._past)e.push(n.actual);for(const n of this._future)i.push(n.actual);return{past:e,future:i}}getClosestPastElement(){return this._past.length===0?null:this._past[this._past.length-1]}getSecondClosestPastElement(){return this._past.length<2?null:this._past[this._past.length-2]}getClosestFutureElement(){return this._future.length===0?null:this._future[this._future.length-1]}hasPastElements(){return this._past.length>0}hasFutureElements(){return this._future.length>0}splitPastWorkspaceElement(e,i){for(let n=this._past.length-1;n>=0;n--)if(this._past[n]===e){i.has(this.strResource)?this._past[n]=i.get(this.strResource):this._past.splice(n,1);break}this.versionId++}splitFutureWorkspaceElement(e,i){for(let n=this._future.length-1;n>=0;n--)if(this._future[n]===e){i.has(this.strResource)?this._future[n]=i.get(this.strResource):this._future.splice(n,1);break}this.versionId++}moveBackward(e){this._past.pop(),this._future.push(e),this.versionId++}moveForward(e){this._future.pop(),this._past.push(e),this.versionId++}}class DFe{constructor(e){this.editStacks=e,this._versionIds=[];for(let i=0,n=this.editStacks.length;i<n;i++)this._versionIds[i]=this.editStacks[i].versionId}isValid(){for(let e=0,i=this.editStacks.length;e<i;e++)if(this._versionIds[e]!==this.editStacks[e].versionId)return!1;return!0}}const yTt=new gTt("","");yTt.locked=!0;let QWe=class{constructor(e,i){this._dialogService=e,this._notificationService=i,this._editStacks=new Map,this._uriComparisonKeyComputers=[]}getUriComparisonKey(e){for(const i of this._uriComparisonKeyComputers)if(i[0]===e.scheme)return i[1].getComparisonKey(e);return e.toString()}_print(e){console.log("------------------------------------"),console.log(`AFTER ${e}: `);const i=[];for(const n of this._editStacks)i.push(n[1].toString());console.log(i.join(`
+`))}pushElement(e,i=XWe.None,n=VF.None){if(e.type===0){const s=Qge(e.resource),c=this.getUriComparisonKey(e.resource);this._pushElement(new Zge(e,s,c,i.id,i.nextOrder(),n.id,n.nextOrder()))}else{const s=new Set,c=[],d=[];for(const f of e.resources){const m=Qge(f),v=this.getUriComparisonKey(f);s.has(v)||(s.add(v),c.push(m),d.push(v))}c.length===1?this._pushElement(new Zge(e,c[0],d[0],i.id,i.nextOrder(),n.id,n.nextOrder())):this._pushElement(new y_i(e,c,d,i.id,i.nextOrder(),n.id,n.nextOrder()))}}_pushElement(e){for(let i=0,n=e.strResources.length;i<n;i++){const s=e.resourceLabels[i],c=e.strResources[i];let d;this._editStacks.has(c)?d=this._editStacks.get(c):(d=new gTt(s,c),this._editStacks.set(c,d)),d.pushElement(e)}}getLastElement(e){const i=this.getUriComparisonKey(e);if(this._editStacks.has(i)){const n=this._editStacks.get(i);if(n.hasFutureElements())return null;const s=n.getClosestPastElement();return s?s.actual:null}return null}_splitPastWorkspaceElement(e,i){const n=e.actual.split(),s=new Map;for(const c of n){const d=Qge(c.resource),f=this.getUriComparisonKey(c.resource),m=new Zge(c,d,f,0,0,0,0);s.set(m.strResource,m)}for(const c of e.strResources){if(i&&i.has(c))continue;this._editStacks.get(c).splitPastWorkspaceElement(e,s)}}_splitFutureWorkspaceElement(e,i){const n=e.actual.split(),s=new Map;for(const c of n){const d=Qge(c.resource),f=this.getUriComparisonKey(c.resource),m=new Zge(c,d,f,0,0,0,0);s.set(m.strResource,m)}for(const c of e.strResources){if(i&&i.has(c))continue;this._editStacks.get(c).splitFutureWorkspaceElement(e,s)}}removeElements(e){const i=typeof e=="string"?e:this.getUriComparisonKey(e);this._editStacks.has(i)&&(this._editStacks.get(i).dispose(),this._editStacks.delete(i))}setElementsValidFlag(e,i,n){const s=this.getUriComparisonKey(e);this._editStacks.has(s)&&this._editStacks.get(s).setElementsValidFlag(i,n)}createSnapshot(e){const i=this.getUriComparisonKey(e);return this._editStacks.has(i)?this._editStacks.get(i).createSnapshot(e):new pTt(e,[])}restoreSnapshot(e){const i=this.getUriComparisonKey(e.resource);if(this._editStacks.has(i)){const n=this._editStacks.get(i);n.restoreSnapshot(e),!n.hasPastElements()&&!n.hasFutureElements()&&(n.dispose(),this._editStacks.delete(i))}}getElements(e){const i=this.getUriComparisonKey(e);return this._editStacks.has(i)?this._editStacks.get(i).getElements():{past:[],future:[]}}_findClosestUndoElementWithSource(e){if(!e)return[null,null];let i=null,n=null;for(const[s,c]of this._editStacks){const d=c.getClosestPastElement();d&&d.sourceId===e&&(!i||d.sourceOrder>i.sourceOrder)&&(i=d,n=s)}return[i,n]}canUndo(e){if(e instanceof VF){const[,n]=this._findClosestUndoElementWithSource(e.id);return!!n}const i=this.getUriComparisonKey(e);return this._editStacks.has(i)?this._editStacks.get(i).hasPastElements():!1}_onError(e,i){Pa(e);for(const n of i.strResources)this.removeElements(n);this._notificationService.error(e)}_acquireLocks(e){for(const i of e.editStacks)if(i.locked)throw new Error("Cannot acquire edit stack lock");for(const i of e.editStacks)i.locked=!0;return()=>{for(const i of e.editStacks)i.locked=!1}}_safeInvokeWithLocks(e,i,n,s,c){const d=this._acquireLocks(n);let f;try{f=i()}catch(m){return d(),s.dispose(),this._onError(m,e)}return f?f.then(()=>(d(),s.dispose(),c()),m=>(d(),s.dispose(),this._onError(m,e))):(d(),s.dispose(),c())}async _invokeWorkspacePrepare(e){if(typeof e.actual.prepareUndoRedo>"u")return xi.None;const i=e.actual.prepareUndoRedo();return typeof i>"u"?xi.None:i}_invokeResourcePrepare(e,i){if(e.actual.type!==1||typeof e.actual.prepareUndoRedo>"u")return i(xi.None);const n=e.actual.prepareUndoRedo();return n?ESe(n)?i(n):n.then(s=>i(s)):i(xi.None)}_getAffectedEditStacks(e){const i=[];for(const n of e.strResources)i.push(this._editStacks.get(n)||yTt);return new DFe(i)}_tryToSplitAndUndo(e,i,n,s){if(i.canSplit())return this._splitPastWorkspaceElement(i,n),this._notificationService.warn(s),new Yge(this._undo(e,0,!0));for(const c of i.strResources)this.removeElements(c);return this._notificationService.warn(s),new Yge}_checkWorkspaceUndo(e,i,n,s){if(i.removedResources)return this._tryToSplitAndUndo(e,i,i.removedResources,W({key:"cannotWorkspaceUndo",comment:["{0} is a label for an operation. {1} is another message."]},"Could not undo '{0}' across all files. {1}",i.label,i.removedResources.createMessage()));if(s&&i.invalidatedResources)return this._tryToSplitAndUndo(e,i,i.invalidatedResources,W({key:"cannotWorkspaceUndo",comment:["{0} is a label for an operation. {1} is another message."]},"Could not undo '{0}' across all files. {1}",i.label,i.invalidatedResources.createMessage()));const c=[];for(const f of n.editStacks)f.getClosestPastElement()!==i&&c.push(f.resourceLabel);if(c.length>0)return this._tryToSplitAndUndo(e,i,null,W({key:"cannotWorkspaceUndoDueToChanges",comment:["{0} is a label for an operation. {1} is a list of filenames."]},"Could not undo '{0}' across all files because changes were made to {1}",i.label,c.join(", ")));const d=[];for(const f of n.editStacks)f.locked&&d.push(f.resourceLabel);return d.length>0?this._tryToSplitAndUndo(e,i,null,W({key:"cannotWorkspaceUndoDueToInProgressUndoRedo",comment:["{0} is a label for an operation. {1} is a list of filenames."]},"Could not undo '{0}' across all files because there is already an undo or redo operation running on {1}",i.label,d.join(", "))):n.isValid()?null:this._tryToSplitAndUndo(e,i,null,W({key:"cannotWorkspaceUndoDueToInMeantimeUndoRedo",comment:["{0} is a label for an operation. {1} is a list of filenames."]},"Could not undo '{0}' across all files because an undo or redo operation occurred in the meantime",i.label))}_workspaceUndo(e,i,n){const s=this._getAffectedEditStacks(i),c=this._checkWorkspaceUndo(e,i,s,!1);return c?c.returnValue:this._confirmAndExecuteWorkspaceUndo(e,i,s,n)}_isPartOfUndoGroup(e){if(!e.groupId)return!1;for(const[,i]of this._editStacks){const n=i.getClosestPastElement();if(n){if(n===e){const s=i.getSecondClosestPastElement();if(s&&s.groupId===e.groupId)return!0}if(n.groupId===e.groupId)return!0}}return!1}async _confirmAndExecuteWorkspaceUndo(e,i,n,s){if(i.canSplit()&&!this._isPartOfUndoGroup(i)){let f;(function(x){x[x.All=0]="All",x[x.This=1]="This",x[x.Cancel=2]="Cancel"})(f||(f={}));const{result:m}=await this._dialogService.prompt({type:S_.Info,message:W("confirmWorkspace","Would you like to undo '{0}' across all files?",i.label),buttons:[{label:W({key:"ok",comment:["{0} denotes a number that is > 1, && denotes a mnemonic"]},"&&Undo in {0} Files",n.editStacks.length),run:()=>f.All},{label:W({key:"nok",comment:["&& denotes a mnemonic"]},"Undo this &&File"),run:()=>f.This}],cancelButton:{run:()=>f.Cancel}});if(m===f.Cancel)return;if(m===f.This)return this._splitPastWorkspaceElement(i,null),this._undo(e,0,!0);const v=this._checkWorkspaceUndo(e,i,n,!1);if(v)return v.returnValue;s=!0}let c;try{c=await this._invokeWorkspacePrepare(i)}catch(f){return this._onError(f,i)}const d=this._checkWorkspaceUndo(e,i,n,!0);if(d)return c.dispose(),d.returnValue;for(const f of n.editStacks)f.moveBackward(i);return this._safeInvokeWithLocks(i,()=>i.actual.undo(),n,c,()=>this._continueUndoInGroup(i.groupId,s))}_resourceUndo(e,i,n){if(!i.isValid){e.flushAllElements();return}if(e.locked){const s=W({key:"cannotResourceUndoDueToInProgressUndoRedo",comment:["{0} is a label for an operation."]},"Could not undo '{0}' because there is already an undo or redo operation running.",i.label);this._notificationService.warn(s);return}return this._invokeResourcePrepare(i,s=>(e.moveBackward(i),this._safeInvokeWithLocks(i,()=>i.actual.undo(),new DFe([e]),s,()=>this._continueUndoInGroup(i.groupId,n))))}_findClosestUndoElementInGroup(e){if(!e)return[null,null];let i=null,n=null;for(const[s,c]of this._editStacks){const d=c.getClosestPastElement();d&&d.groupId===e&&(!i||d.groupOrder>i.groupOrder)&&(i=d,n=s)}return[i,n]}_continueUndoInGroup(e,i){if(!e)return;const[,n]=this._findClosestUndoElementInGroup(e);if(n)return this._undo(n,0,i)}undo(e){if(e instanceof VF){const[,i]=this._findClosestUndoElementWithSource(e.id);return i?this._undo(i,e.id,!1):void 0}return typeof e=="string"?this._undo(e,0,!1):this._undo(this.getUriComparisonKey(e),0,!1)}_undo(e,i=0,n){if(!this._editStacks.has(e))return;const s=this._editStacks.get(e),c=s.getClosestPastElement();if(!c)return;if(c.groupId){const[f,m]=this._findClosestUndoElementInGroup(c.groupId);if(c!==f&&m)return this._undo(m,i,n)}if((c.sourceId!==i||c.confirmBeforeUndo)&&!n)return this._confirmAndContinueUndo(e,i,c);try{return c.type===1?this._workspaceUndo(e,c,n):this._resourceUndo(s,c,n)}finally{}}async _confirmAndContinueUndo(e,i,n){if((await this._dialogService.confirm({message:W("confirmDifferentSource","Would you like to undo '{0}'?",n.label),primaryButton:W({key:"confirmDifferentSource.yes",comment:["&& denotes a mnemonic"]},"&&Yes"),cancelButton:W("confirmDifferentSource.no","No")})).confirmed)return this._undo(e,i,!0)}_findClosestRedoElementWithSource(e){if(!e)return[null,null];let i=null,n=null;for(const[s,c]of this._editStacks){const d=c.getClosestFutureElement();d&&d.sourceId===e&&(!i||d.sourceOrder<i.sourceOrder)&&(i=d,n=s)}return[i,n]}canRedo(e){if(e instanceof VF){const[,n]=this._findClosestRedoElementWithSource(e.id);return!!n}const i=this.getUriComparisonKey(e);return this._editStacks.has(i)?this._editStacks.get(i).hasFutureElements():!1}_tryToSplitAndRedo(e,i,n,s){if(i.canSplit())return this._splitFutureWorkspaceElement(i,n),this._notificationService.warn(s),new Yge(this._redo(e));for(const c of i.strResources)this.removeElements(c);return this._notificationService.warn(s),new Yge}_checkWorkspaceRedo(e,i,n,s){if(i.removedResources)return this._tryToSplitAndRedo(e,i,i.removedResources,W({key:"cannotWorkspaceRedo",comment:["{0} is a label for an operation. {1} is another message."]},"Could not redo '{0}' across all files. {1}",i.label,i.removedResources.createMessage()));if(s&&i.invalidatedResources)return this._tryToSplitAndRedo(e,i,i.invalidatedResources,W({key:"cannotWorkspaceRedo",comment:["{0} is a label for an operation. {1} is another message."]},"Could not redo '{0}' across all files. {1}",i.label,i.invalidatedResources.createMessage()));const c=[];for(const f of n.editStacks)f.getClosestFutureElement()!==i&&c.push(f.resourceLabel);if(c.length>0)return this._tryToSplitAndRedo(e,i,null,W({key:"cannotWorkspaceRedoDueToChanges",comment:["{0} is a label for an operation. {1} is a list of filenames."]},"Could not redo '{0}' across all files because changes were made to {1}",i.label,c.join(", ")));const d=[];for(const f of n.editStacks)f.locked&&d.push(f.resourceLabel);return d.length>0?this._tryToSplitAndRedo(e,i,null,W({key:"cannotWorkspaceRedoDueToInProgressUndoRedo",comment:["{0} is a label for an operation. {1} is a list of filenames."]},"Could not redo '{0}' across all files because there is already an undo or redo operation running on {1}",i.label,d.join(", "))):n.isValid()?null:this._tryToSplitAndRedo(e,i,null,W({key:"cannotWorkspaceRedoDueToInMeantimeUndoRedo",comment:["{0} is a label for an operation. {1} is a list of filenames."]},"Could not redo '{0}' across all files because an undo or redo operation occurred in the meantime",i.label))}_workspaceRedo(e,i){const n=this._getAffectedEditStacks(i),s=this._checkWorkspaceRedo(e,i,n,!1);return s?s.returnValue:this._executeWorkspaceRedo(e,i,n)}async _executeWorkspaceRedo(e,i,n){let s;try{s=await this._invokeWorkspacePrepare(i)}catch(d){return this._onError(d,i)}const c=this._checkWorkspaceRedo(e,i,n,!0);if(c)return s.dispose(),c.returnValue;for(const d of n.editStacks)d.moveForward(i);return this._safeInvokeWithLocks(i,()=>i.actual.redo(),n,s,()=>this._continueRedoInGroup(i.groupId))}_resourceRedo(e,i){if(!i.isValid){e.flushAllElements();return}if(e.locked){const n=W({key:"cannotResourceRedoDueToInProgressUndoRedo",comment:["{0} is a label for an operation."]},"Could not redo '{0}' because there is already an undo or redo operation running.",i.label);this._notificationService.warn(n);return}return this._invokeResourcePrepare(i,n=>(e.moveForward(i),this._safeInvokeWithLocks(i,()=>i.actual.redo(),new DFe([e]),n,()=>this._continueRedoInGroup(i.groupId))))}_findClosestRedoElementInGroup(e){if(!e)return[null,null];let i=null,n=null;for(const[s,c]of this._editStacks){const d=c.getClosestFutureElement();d&&d.groupId===e&&(!i||d.groupOrder<i.groupOrder)&&(i=d,n=s)}return[i,n]}_continueRedoInGroup(e){if(!e)return;const[,i]=this._findClosestRedoElementInGroup(e);if(i)return this._redo(i)}redo(e){if(e instanceof VF){const[,i]=this._findClosestRedoElementWithSource(e.id);return i?this._redo(i):void 0}return typeof e=="string"?this._redo(e):this._redo(this.getUriComparisonKey(e))}_redo(e){if(!this._editStacks.has(e))return;const i=this._editStacks.get(e),n=i.getClosestFutureElement();if(n){if(n.groupId){const[s,c]=this._findClosestRedoElementInGroup(n.groupId);if(n!==s&&c)return this._redo(c)}try{return n.type===1?this._workspaceRedo(e,n):this._resourceRedo(i,n)}finally{}}}};QWe=g_i([lbt(0,gse),lbt(1,w_)],QWe);class Yge{constructor(e){this.returnValue=e}}nl(T$e,QWe,1);function uv(l,e,i){return Math.min(Math.max(l,e),i)}class vTt{constructor(){this._n=1,this._val=0}update(e){return this._val=this._val+(e-this._val)/this._n,this._n+=1,this._val}get value(){return this._val}}class v_i{constructor(e){this._n=0,this._val=0,this._values=[],this._index=0,this._sum=0,this._values=new Array(e),this._values.fill(0,0,e)}update(e){const i=this._values[this._index];return this._values[this._index]=e,this._index=(this._index+1)%this._values.length,this._sum-=i,this._sum+=e,this._n<this._values.length&&(this._n+=1),this._val=this._sum/this._n,this._val}get value(){return this._val}}const D$e=jc("environmentService");var b_i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},fbt=function(l,e){return function(i,n){e(i,n,l)}};const Pw=jc("ILanguageFeatureDebounceService");var wye;(function(l){const e=new WeakMap;let i=0;function n(s){let c=e.get(s);return c===void 0&&(c=++i,e.set(s,c)),c}l.of=n})(wye||(wye={}));class C_i{constructor(e){this._default=e}get(e){return this._default}update(e,i){return this._default}default(){return this._default}}class S_i{constructor(e,i,n,s,c,d){this._logService=e,this._name=i,this._registry=n,this._default=s,this._min=c,this._max=d,this._cache=new VL(50,.7)}_key(e){return e.id+this._registry.all(e).reduce((i,n)=>ASe(wye.of(n),i),0)}get(e){const i=this._key(e),n=this._cache.get(i);return n?uv(n.value,this._min,this._max):this.default()}update(e,i){const n=this._key(e);let s=this._cache.get(n);s||(s=new v_i(6),this._cache.set(n,s));const c=uv(s.update(i),this._min,this._max);return NSe(e.uri,"output")||this._logService.trace(`[DEBOUNCE: ${this._name}] for ${e.uri.toString()} is ${c}ms`),c}_overall(){const e=new vTt;for(const[,i]of this._cache)e.update(i.value);return e.value}default(){const e=this._overall()|0||this._default;return uv(e,this._min,this._max)}}let ZWe=class{constructor(e,i){this._logService=e,this._data=new Map,this._isDev=i.isExtensionDevelopment||!i.isBuilt}for(e,i,n){const s=(n==null?void 0:n.min)??50,c=(n==null?void 0:n.max)??s**2,d=(n==null?void 0:n.key)??void 0,f=`${wye.of(e)},${s}${d?","+d:""}`;let m=this._data.get(f);return m||(this._isDev?(this._logService.debug(`[DEBOUNCE: ${i}] is disabled in developed mode`),m=new C_i(s*1.5)):m=new S_i(this._logService,i,e,this._overallAverage()|0||s*1.5,s,c),this._data.set(f,m)),m}_overallAverage(){const e=new vTt;for(const i of this._data.values())e.update(i.default());return e.value}};ZWe=b_i([fbt(0,N0),fbt(1,D$e)],ZWe);nl(Pw,ZWe,1);class kb{static getLanguageId(e){return(e&255)>>>0}static getTokenType(e){return(e&768)>>>8}static containsBalancedBrackets(e){return(e&1024)!==0}static getFontStyle(e){return(e&30720)>>>11}static getForeground(e){return(e&16744448)>>>15}static getBackground(e){return(e&4278190080)>>>24}static getClassNameFromMetadata(e){let n="mtk"+this.getForeground(e);const s=this.getFontStyle(e);return s&1&&(n+=" mtki"),s&2&&(n+=" mtkb"),s&4&&(n+=" mtku"),s&8&&(n+=" mtks"),n}static getInlineStyleFromMetadata(e,i){const n=this.getForeground(e),s=this.getFontStyle(e);let c=`color: ${i[n]};`;s&1&&(c+="font-style: italic;"),s&2&&(c+="font-weight: bold;");let d="";return s&4&&(d+=" underline"),s&8&&(d+=" line-through"),d&&(c+=`text-decoration:${d};`),c}static getPresentationFromMetadata(e){const i=this.getForeground(e),n=this.getFontStyle(e);return{foreground:i,italic:!!(n&1),bold:!!(n&2),underline:!!(n&4),strikethrough:!!(n&8)}}}function xR(l){let e=0,i=0,n=0,s=0;for(let c=0,d=l.length;c<d;c++){const f=l.charCodeAt(c);f===13?(e===0&&(i=c),e++,c+1<d&&l.charCodeAt(c+1)===10?(s|=2,c++):s|=3,n=c+1):f===10&&(s|=1,e===0&&(i=c),e++,n=c+1)}return e===0&&(i=l.length),[e,i,l.length-n,s]}class Die{static create(e,i){return new Die(e,new xye(i))}get startLineNumber(){return this._startLineNumber}get endLineNumber(){return this._endLineNumber}constructor(e,i){this._startLineNumber=e,this._tokens=i,this._endLineNumber=this._startLineNumber+this._tokens.getMaxDeltaLine()}toString(){return this._tokens.toString(this._startLineNumber)}_updateEndLineNumber(){this._endLineNumber=this._startLineNumber+this._tokens.getMaxDeltaLine()}isEmpty(){return this._tokens.isEmpty()}getLineTokens(e){return this._startLineNumber<=e&&e<=this._endLineNumber?this._tokens.getLineTokens(e-this._startLineNumber):null}getRange(){const e=this._tokens.getRange();return e&&new nt(this._startLineNumber+e.startLineNumber,e.startColumn,this._startLineNumber+e.endLineNumber,e.endColumn)}removeTokens(e){const i=e.startLineNumber-this._startLineNumber,n=e.endLineNumber-this._startLineNumber;this._startLineNumber+=this._tokens.removeTokens(i,e.startColumn-1,n,e.endColumn-1),this._updateEndLineNumber()}split(e){const i=e.startLineNumber-this._startLineNumber,n=e.endLineNumber-this._startLineNumber,[s,c,d]=this._tokens.split(i,e.startColumn-1,n,e.endColumn-1);return[new Die(this._startLineNumber,s),new Die(this._startLineNumber+d,c)]}applyEdit(e,i){const[n,s,c]=xR(i);this.acceptEdit(e,n,s,c,i.length>0?i.charCodeAt(0):0)}acceptEdit(e,i,n,s,c){this._acceptDeleteRange(e),this._acceptInsertText(new pi(e.startLineNumber,e.startColumn),i,n,s,c),this._updateEndLineNumber()}_acceptDeleteRange(e){if(e.startLineNumber===e.endLineNumber&&e.startColumn===e.endColumn)return;const i=e.startLineNumber-this._startLineNumber,n=e.endLineNumber-this._startLineNumber;if(n<0){const c=n-i;this._startLineNumber-=c;return}const s=this._tokens.getMaxDeltaLine();if(!(i>=s+1)){if(i<0&&n>=s+1){this._startLineNumber=0,this._tokens.clear();return}if(i<0){const c=-i;this._startLineNumber-=c,this._tokens.acceptDeleteRange(e.startColumn-1,0,0,n,e.endColumn-1)}else this._tokens.acceptDeleteRange(0,i,e.startColumn-1,n,e.endColumn-1)}}_acceptInsertText(e,i,n,s,c){if(i===0&&n===0)return;const d=e.lineNumber-this._startLineNumber;if(d<0){this._startLineNumber+=i;return}const f=this._tokens.getMaxDeltaLine();d>=f+1||this._tokens.acceptInsertText(d,e.column-1,i,n,s,c)}}class xye{constructor(e){this._tokens=e,this._tokenCount=e.length/4}toString(e){const i=[];for(let n=0;n<this._tokenCount;n++)i.push(`(${this._getDeltaLine(n)+e},${this._getStartCharacter(n)}-${this._getEndCharacter(n)})`);return`[${i.join(",")}]`}getMaxDeltaLine(){const e=this._getTokenCount();return e===0?-1:this._getDeltaLine(e-1)}getRange(){const e=this._getTokenCount();if(e===0)return null;const i=this._getStartCharacter(0),n=this._getDeltaLine(e-1),s=this._getEndCharacter(e-1);return new nt(0,i+1,n,s+1)}_getTokenCount(){return this._tokenCount}_getDeltaLine(e){return this._tokens[4*e]}_getStartCharacter(e){return this._tokens[4*e+1]}_getEndCharacter(e){return this._tokens[4*e+2]}isEmpty(){return this._getTokenCount()===0}getLineTokens(e){let i=0,n=this._getTokenCount()-1;for(;i<n;){const s=i+Math.floor((n-i)/2),c=this._getDeltaLine(s);if(c<e)i=s+1;else if(c>e)n=s-1;else{let d=s;for(;d>i&&this._getDeltaLine(d-1)===e;)d--;let f=s;for(;f<n&&this._getDeltaLine(f+1)===e;)f++;return new hbt(this._tokens.subarray(4*d,4*f+4))}}return this._getDeltaLine(i)===e?new hbt(this._tokens.subarray(4*i,4*i+4)):null}clear(){this._tokenCount=0}removeTokens(e,i,n,s){const c=this._tokens,d=this._tokenCount;let f=0,m=!1,v=0;for(let x=0;x<d;x++){const w=4*x,I=c[w],P=c[w+1],O=c[w+2],z=c[w+3];if((I>e||I===e&&O>=i)&&(I<n||I===n&&P<=s))m=!0;else{if(f===0&&(v=I),m){const J=4*f;c[J]=I-v,c[J+1]=P,c[J+2]=O,c[J+3]=z}f++}}return this._tokenCount=f,v}split(e,i,n,s){const c=this._tokens,d=this._tokenCount,f=[],m=[];let v=f,x=0,w=0;for(let I=0;I<d;I++){const P=4*I,O=c[P],z=c[P+1],J=c[P+2],Y=c[P+3];if(O>e||O===e&&J>=i){if(O<n||O===n&&z<=s)continue;v!==m&&(v=m,x=0,w=O)}v[x++]=O-w,v[x++]=z,v[x++]=J,v[x++]=Y}return[new xye(new Uint32Array(f)),new xye(new Uint32Array(m)),w]}acceptDeleteRange(e,i,n,s,c){const d=this._tokens,f=this._tokenCount,m=s-i;let v=0,x=!1;for(let w=0;w<f;w++){const I=4*w;let P=d[I],O=d[I+1],z=d[I+2];const J=d[I+3];if(P<i||P===i&&z<=n){v++;continue}else if(P===i&&O<n)P===s&&z>c?z-=c-n:z=n;else if(P===i&&O===n)if(P===s&&z>c)z-=c-n;else{x=!0;continue}else if(P<s||P===s&&O<c)if(P===s&&z>c)P=i,O=n,z=O+(z-c);else{x=!0;continue}else if(P>s){if(m===0&&!x){v=f;break}P-=m}else if(P===s&&O>=c)e&&P===0&&(O+=e,z+=e),P-=m,O-=c-n,z-=c-n;else throw new Error("Not possible!");const Y=4*v;d[Y]=P,d[Y+1]=O,d[Y+2]=z,d[Y+3]=J,v++}this._tokenCount=v}acceptInsertText(e,i,n,s,c,d){const f=n===0&&s===1&&(d>=48&&d<=57||d>=65&&d<=90||d>=97&&d<=122),m=this._tokens,v=this._tokenCount;for(let x=0;x<v;x++){const w=4*x;let I=m[w],P=m[w+1],O=m[w+2];if(!(I<e||I===e&&O<i)){if(I===e&&O===i)if(f)O+=1;else continue;else if(I===e&&P<i&&i<O)n===0?O+=s:O=i;else{if(I===e&&P===i&&f)continue;if(I===e)if(I+=n,n===0)P+=s,O+=s;else{const z=O-P;P=c+(P-i),O=P+z}else I+=n}m[w]=I,m[w+1]=P,m[w+2]=O}}}}class hbt{constructor(e){this._tokens=e}getCount(){return this._tokens.length/4}getStartCharacter(e){return this._tokens[4*e+1]}getEndCharacter(e){return this._tokens[4*e+2]}getMetadata(e){return this._tokens[4*e+3]}}var w_i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},EFe=function(l,e){return function(i,n){e(i,n,l)}};let YWe=class{constructor(e,i,n,s){this._legend=e,this._themeService=i,this._languageService=n,this._logService=s,this._hasWarnedOverlappingTokens=!1,this._hasWarnedInvalidLengthTokens=!1,this._hasWarnedInvalidEditStart=!1,this._hashTable=new eVe}getMetadata(e,i,n){const s=this._languageService.languageIdCodec.encodeLanguageId(n),c=this._hashTable.get(e,i,s);let d;if(c)d=c.metadata;else{let f=this._legend.tokenTypes[e];const m=[];if(f){let v=i;for(let w=0;v>0&&w<this._legend.tokenModifiers.length;w++)v&1&&m.push(this._legend.tokenModifiers[w]),v=v>>1;const x=this._themeService.getColorTheme().getTokenStyleMetadata(f,m,n);if(typeof x>"u")d=2147483647;else{if(d=0,typeof x.italic<"u"){const w=(x.italic?1:0)<<11;d|=w|1}if(typeof x.bold<"u"){const w=(x.bold?2:0)<<11;d|=w|2}if(typeof x.underline<"u"){const w=(x.underline?4:0)<<11;d|=w|4}if(typeof x.strikethrough<"u"){const w=(x.strikethrough?8:0)<<11;d|=w|8}if(x.foreground){const w=x.foreground<<15;d|=w|16}d===0&&(d=2147483647)}}else d=2147483647,f="not-in-legend";this._hashTable.add(e,i,s,d)}return d}warnOverlappingSemanticTokens(e,i){this._hasWarnedOverlappingTokens||(this._hasWarnedOverlappingTokens=!0,this._logService.warn(`Overlapping semantic tokens detected at lineNumber ${e}, column ${i}`))}warnInvalidLengthSemanticTokens(e,i){this._hasWarnedInvalidLengthTokens||(this._hasWarnedInvalidLengthTokens=!0,this._logService.warn(`Semantic token with invalid length detected at lineNumber ${e}, column ${i}`))}warnInvalidEditStart(e,i,n,s,c){this._hasWarnedInvalidEditStart||(this._hasWarnedInvalidEditStart=!0,this._logService.warn(`Invalid semantic tokens edit detected (previousResultId: ${e}, resultId: ${i}) at edit #${n}: The provided start offset ${s} is outside the previous data (length ${c}).`))}};YWe=w_i([EFe(1,im),EFe(2,Pf),EFe(3,N0)],YWe);function bTt(l,e,i){const n=l.data,s=l.data.length/5|0,c=Math.max(Math.ceil(s/1024),400),d=[];let f=0,m=1,v=0;for(;f<s;){const x=f;let w=Math.min(x+c,s);if(w<s){let ae=w;for(;ae-1>x&&n[5*ae]===0;)ae--;if(ae-1===x){let me=w;for(;me+1<s&&n[5*me]===0;)me++;w=me}else w=ae}let I=new Uint32Array((w-x)*4),P=0,O=0,z=0,J=0;for(;f<w;){const ae=5*f,me=n[ae],ye=n[ae+1],Ce=m+me|0,Fe=me===0?v+ye|0:ye,rt=n[ae+2],ct=Fe+rt|0,Mt=n[ae+3],Yt=n[ae+4];if(ct<=Fe)e.warnInvalidLengthSemanticTokens(Ce,Fe+1);else if(z===Ce&&J>Fe)e.warnOverlappingSemanticTokens(Ce,Fe+1);else{const Bi=e.getMetadata(Mt,Yt,i);Bi!==2147483647&&(O===0&&(O=Ce),I[P]=Ce-O,I[P+1]=Fe,I[P+2]=ct,I[P+3]=Bi,P+=4,z=Ce,J=ct)}m=Ce,v=Fe,f++}P!==I.length&&(I=I.subarray(0,P));const Y=Die.create(O,I);d.push(Y)}return d}class x_i{constructor(e,i,n,s){this.tokenTypeIndex=e,this.tokenModifierSet=i,this.languageId=n,this.metadata=s,this.next=null}}const x4=class x4{constructor(){this._elementsCount=0,this._currentLengthIndex=0,this._currentLength=x4._SIZES[this._currentLengthIndex],this._growCount=Math.round(this._currentLengthIndex+1<x4._SIZES.length?2/3*this._currentLength:0),this._elements=[],x4._nullOutEntries(this._elements,this._currentLength)}static _nullOutEntries(e,i){for(let n=0;n<i;n++)e[n]=null}_hash2(e,i){return(e<<5)-e+i|0}_hashFunc(e,i,n){return this._hash2(this._hash2(e,i),n)%this._currentLength}get(e,i,n){const s=this._hashFunc(e,i,n);let c=this._elements[s];for(;c;){if(c.tokenTypeIndex===e&&c.tokenModifierSet===i&&c.languageId===n)return c;c=c.next}return null}add(e,i,n,s){if(this._elementsCount++,this._growCount!==0&&this._elementsCount>=this._growCount){const c=this._elements;this._currentLengthIndex++,this._currentLength=x4._SIZES[this._currentLengthIndex],this._growCount=Math.round(this._currentLengthIndex+1<x4._SIZES.length?2/3*this._currentLength:0),this._elements=[],x4._nullOutEntries(this._elements,this._currentLength);for(const d of c){let f=d;for(;f;){const m=f.next;f.next=null,this._add(f),f=m}}}this._add(new x_i(e,i,n,s))}_add(e){const i=this._hashFunc(e.tokenTypeIndex,e.tokenModifierSet,e.languageId);e.next=this._elements[i],this._elements[i]=e}};x4._SIZES=[3,7,13,31,61,127,251,509,1021,2039,4093,8191,16381,32749,65521,131071,262139,524287,1048573,2097143];let eVe=x4;const ZSe=jc("semanticTokensStylingService");var k_i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},IFe=function(l,e){return function(i,n){e(i,n,l)}};let tVe=class extends xi{constructor(e,i,n){super(),this._themeService=e,this._logService=i,this._languageService=n,this._caches=new WeakMap,this._register(this._themeService.onDidColorThemeChange(()=>{this._caches=new WeakMap}))}getStyling(e){return this._caches.has(e)||this._caches.set(e,new YWe(e.getLegend(),this._themeService,this._languageService,this._logService)),this._caches.get(e)}};tVe=k_i([IFe(0,im),IFe(1,N0),IFe(2,Pf)],tVe);nl(ZSe,tVe,1);function rM(l){return l===47||l===92}function CTt(l){return l.replace(/[\\/]/g,q_.sep)}function T_i(l){return l.indexOf("/")===-1&&(l=CTt(l)),/^[a-zA-Z]:(\/|$)/.test(l)&&(l="/"+l),l}function _bt(l,e=q_.sep){if(!l)return"";const i=l.length,n=l.charCodeAt(0);if(rM(n)){if(rM(l.charCodeAt(1))&&!rM(l.charCodeAt(2))){let c=3;const d=c;for(;c<i&&!rM(l.charCodeAt(c));c++);if(d!==c&&!rM(l.charCodeAt(c+1))){for(c+=1;c<i;c++)if(rM(l.charCodeAt(c)))return l.slice(0,c+1).replace(/[\\/]/g,e)}}return e}else if(STt(n)&&l.charCodeAt(1)===58)return rM(l.charCodeAt(2))?l.slice(0,2)+e:l.slice(0,2);let s=l.indexOf("://");if(s!==-1){for(s+=3;s<i;s++)if(rM(l.charCodeAt(s)))return l.slice(0,s+1)}return""}function iVe(l,e,i,n=AE){if(l===e)return!0;if(!l||!e||e.length>l.length)return!1;if(i){if(!Yqe(l,e))return!1;if(e.length===l.length)return!0;let c=e.length;return e.charAt(e.length-1)===n&&c--,l.charAt(c)===n}return e.charAt(e.length-1)!==n&&(e+=n),l.indexOf(e)===0}function STt(l){return l>=65&&l<=90||l>=97&&l<=122}function D_i(l,e=I0){return e?STt(l.charCodeAt(0))&&l.charCodeAt(1)===58:!1}const e0e="**",pbt="/",u1e="[/\\\\]",d1e="[^/\\\\]",E_i=/\//g;function mbt(l,e){switch(l){case 0:return"";case 1:return`${d1e}*?`;default:return`(?:${u1e}|${d1e}+${u1e}${e?`|${u1e}${d1e}+`:""})*?`}}function gbt(l,e){if(!l)return[];const i=[];let n=!1,s=!1,c="";for(const d of l){switch(d){case e:if(!n&&!s){i.push(c),c="";continue}break;case"{":n=!0;break;case"}":n=!1;break;case"[":s=!0;break;case"]":s=!1;break}c+=d}return c&&i.push(c),i}function wTt(l){if(!l)return"";let e="";const i=gbt(l,pbt);if(i.every(n=>n===e0e))e=".*";else{let n=!1;i.forEach((s,c)=>{if(s===e0e){if(n)return;e+=mbt(2,c===i.length-1)}else{let d=!1,f="",m=!1,v="";for(const x of s){if(x!=="}"&&d){f+=x;continue}if(m&&(x!=="]"||!v)){let w;x==="-"?w=x:(x==="^"||x==="!")&&!v?w="^":x===pbt?w="":w=Tw(x),v+=w;continue}switch(x){case"{":d=!0;continue;case"[":m=!0;continue;case"}":{const I=`(?:${gbt(f,",").map(P=>wTt(P)).join("|")})`;e+=I,d=!1,f="";break}case"]":{e+="["+v+"]",m=!1,v="";break}case"?":e+=d1e;continue;case"*":e+=mbt(1);continue;default:e+=Tw(x)}}c<i.length-1&&(i[c+1]!==e0e||c+2<i.length)&&(e+=u1e)}n=s===e0e})}return e}const I_i=/^\*\*\/\*\.[\w\.-]+$/,N_i=/^\*\*\/([\w\.-]+)\/?$/,L_i=/^{\*\*\/\*?[\w\.-]+\/?(,\*\*\/\*?[\w\.-]+\/?)*}$/,P_i=/^{\*\*\/\*?[\w\.-]+(\/(\*\*)?)?(,\*\*\/\*?[\w\.-]+(\/(\*\*)?)?)*}$/,A_i=/^\*\*((\/[\w\.-]+)+)\/?$/,O_i=/^([\w\.-]+(\/[\w\.-]+)*)\/?$/,ybt=new VL(1e4),vbt=function(){return!1},TL=function(){return null};function E$e(l,e){if(!l)return TL;let i;typeof l!="string"?i=l.pattern:i=l,i=i.trim();const n=`${i}_${!!e.trimForExclusions}`;let s=ybt.get(n);if(s)return bbt(s,l);let c;return I_i.test(i)?s=M_i(i.substr(4),i):(c=N_i.exec(NFe(i,e)))?s=R_i(c[1],i):(e.trimForExclusions?P_i:L_i).test(i)?s=F_i(i,e):(c=A_i.exec(NFe(i,e)))?s=Cbt(c[1].substr(1),i,!0):(c=O_i.exec(NFe(i,e)))?s=Cbt(c[1],i,!1):s=B_i(i),ybt.set(n,s),bbt(s,l)}function bbt(l,e){if(typeof e=="string")return l;const i=function(n,s){return iVe(n,e.base,!Cv)?l(use(n.substr(e.base.length),AE),s):null};return i.allBasenames=l.allBasenames,i.allPaths=l.allPaths,i.basenames=l.basenames,i.patterns=l.patterns,i}function NFe(l,e){return e.trimForExclusions&&l.endsWith("/**")?l.substr(0,l.length-2):l}function M_i(l,e){return function(i,n){return typeof i=="string"&&i.endsWith(l)?e:null}}function R_i(l,e){const i=`/${l}`,n=`\\${l}`,s=function(d,f){return typeof d!="string"?null:f?f===l?e:null:d===l||d.endsWith(i)||d.endsWith(n)?e:null},c=[l];return s.basenames=c,s.patterns=[e],s.allBasenames=c,s}function F_i(l,e){const i=kTt(l.slice(1,-1).split(",").map(f=>E$e(f,e)).filter(f=>f!==TL),l),n=i.length;if(!n)return TL;if(n===1)return i[0];const s=function(f,m){for(let v=0,x=i.length;v<x;v++)if(i[v](f,m))return l;return null},c=i.find(f=>!!f.allBasenames);c&&(s.allBasenames=c.allBasenames);const d=i.reduce((f,m)=>m.allPaths?f.concat(m.allPaths):f,[]);return d.length&&(s.allPaths=d),s}function Cbt(l,e,i){const n=AE===q_.sep,s=n?l:l.replace(E_i,AE),c=AE+s,d=q_.sep+l;let f;return i?f=function(m,v){return typeof m=="string"&&(m===s||m.endsWith(c)||!n&&(m===l||m.endsWith(d)))?e:null}:f=function(m,v){return typeof m=="string"&&(m===s||!n&&m===l)?e:null},f.allPaths=[(i?"*/":"./")+l],f}function B_i(l){try{const e=new RegExp(`^${wTt(l)}$`);return function(i){return e.lastIndex=0,typeof i=="string"&&e.test(i)?l:null}}catch{return TL}}function W_i(l,e,i){return!l||typeof e!="string"?!1:xTt(l)(e,void 0,i)}function xTt(l,e={}){if(!l)return vbt;if(typeof l=="string"||V_i(l)){const i=E$e(l,e);if(i===TL)return vbt;const n=function(s,c){return!!i(s,c)};return i.allBasenames&&(n.allBasenames=i.allBasenames),i.allPaths&&(n.allPaths=i.allPaths),n}return H_i(l,e)}function V_i(l){const e=l;return e?typeof e.base=="string"&&typeof e.pattern=="string":!1}function H_i(l,e){const i=kTt(Object.getOwnPropertyNames(l).map(f=>j_i(f,l[f],e)).filter(f=>f!==TL)),n=i.length;if(!n)return TL;if(!i.some(f=>!!f.requiresSiblings)){if(n===1)return i[0];const f=function(x,w){let I;for(let P=0,O=i.length;P<O;P++){const z=i[P](x,w);if(typeof z=="string")return z;yWe(z)&&(I||(I=[]),I.push(z))}return I?(async()=>{for(const P of I){const O=await P;if(typeof O=="string")return O}return null})():null},m=i.find(x=>!!x.allBasenames);m&&(f.allBasenames=m.allBasenames);const v=i.reduce((x,w)=>w.allPaths?x.concat(w.allPaths):x,[]);return v.length&&(f.allPaths=v),f}const s=function(f,m,v){let x,w;for(let I=0,P=i.length;I<P;I++){const O=i[I];O.requiresSiblings&&v&&(m||(m=qM(f)),x||(x=m.substr(0,m.length-xli(f).length)));const z=O(f,m,x,v);if(typeof z=="string")return z;yWe(z)&&(w||(w=[]),w.push(z))}return w?(async()=>{for(const I of w){const P=await I;if(typeof P=="string")return P}return null})():null},c=i.find(f=>!!f.allBasenames);c&&(s.allBasenames=c.allBasenames);const d=i.reduce((f,m)=>m.allPaths?f.concat(m.allPaths):f,[]);return d.length&&(s.allPaths=d),s}function j_i(l,e,i){if(e===!1)return TL;const n=E$e(l,i);if(n===TL)return TL;if(typeof e=="boolean")return n;if(e){const s=e.when;if(typeof s=="string"){const c=(d,f,m,v)=>{if(!v||!n(d,f))return null;const x=s.replace("$(basename)",()=>m),w=v(x);return yWe(w)?w.then(I=>I?l:null):w?l:null};return c.requiresSiblings=!0,c}}return n}function kTt(l,e){const i=l.filter(f=>!!f.basenames);if(i.length<2)return l;const n=i.reduce((f,m)=>{const v=m.basenames;return v?f.concat(v):f},[]);let s;if(e){s=[];for(let f=0,m=n.length;f<m;f++)s.push(e)}else s=i.reduce((f,m)=>{const v=m.patterns;return v?f.concat(v):f},[]);const c=function(f,m){if(typeof f!="string")return null;if(!m){let x;for(x=f.length;x>0;x--){const w=f.charCodeAt(x-1);if(w===47||w===92)break}m=f.substr(x)}const v=n.indexOf(m);return v!==-1?s[v]:null};c.basenames=n,c.patterns=s,c.allBasenames=n;const d=l.filter(f=>!f.basenames);return d.push(c),d}function I$e(l,e,i,n,s,c){if(Array.isArray(l)){let d=0;for(const f of l){const m=I$e(f,e,i,n,s,c);if(m===10)return m;m>d&&(d=m)}return d}else{if(typeof l=="string")return n?l==="*"?5:l===i?10:0:0;if(l){const{language:d,pattern:f,scheme:m,hasAccessToAllModels:v,notebookType:x}=l;if(!n&&!v)return 0;x&&s&&(e=s);let w=0;if(m)if(m===e.scheme)w=10;else if(m==="*")w=5;else return 0;if(d)if(d===i)w=10;else if(d==="*")w=Math.max(w,5);else return 0;if(x)if(x===c)w=10;else if(x==="*"&&c!==void 0)w=Math.max(w,5);else return 0;if(f){let I;if(typeof f=="string"?I=f:I={...f,base:jkt(f.base)},I===e.fsPath||W_i(I,e.fsPath))w=10;else return 0}return w}else return 0}}function TTt(l){return typeof l=="string"?!1:Array.isArray(l)?l.every(TTt):!!l.exclusive}class Sbt{constructor(e,i,n,s,c){this.uri=e,this.languageId=i,this.notebookUri=n,this.notebookType=s,this.recursive=c}equals(e){var i,n;return this.notebookType===e.notebookType&&this.languageId===e.languageId&&this.uri.toString()===e.uri.toString()&&((i=this.notebookUri)==null?void 0:i.toString())===((n=e.notebookUri)==null?void 0:n.toString())&&this.recursive===e.recursive}}class Yf{constructor(e){this._notebookInfoResolver=e,this._clock=0,this._entries=[],this._onDidChange=new gi,this.onDidChange=this._onDidChange.event}register(e,i){let n={selector:e,provider:i,_score:-1,_time:this._clock++};return this._entries.push(n),this._lastCandidate=void 0,this._onDidChange.fire(this._entries.length),fo(()=>{if(n){const s=this._entries.indexOf(n);s>=0&&(this._entries.splice(s,1),this._lastCandidate=void 0,this._onDidChange.fire(this._entries.length),n=void 0)}})}has(e){return this.all(e).length>0}all(e){if(!e)return[];this._updateScores(e,!1);const i=[];for(const n of this._entries)n._score>0&&i.push(n.provider);return i}ordered(e,i=!1){const n=[];return this._orderedForEach(e,i,s=>n.push(s.provider)),n}orderedGroups(e){const i=[];let n,s;return this._orderedForEach(e,!1,c=>{n&&s===c._score?n.push(c.provider):(s=c._score,n=[c.provider],i.push(n))}),i}_orderedForEach(e,i,n){this._updateScores(e,i);for(const s of this._entries)s._score>0&&n(s)}_updateScores(e,i){var c,d;const n=(c=this._notebookInfoResolver)==null?void 0:c.call(this,e.uri),s=n?new Sbt(e.uri,e.getLanguageId(),n.uri,n.type,i):new Sbt(e.uri,e.getLanguageId(),void 0,void 0,i);if(!((d=this._lastCandidate)!=null&&d.equals(s))){this._lastCandidate=s;for(const f of this._entries)if(f._score=I$e(f.selector,s.uri,s.languageId,tTt(e),s.notebookUri,s.notebookType),TTt(f.selector)&&f._score>0)if(i)f._score=0;else{for(const m of this._entries)m._score=0;f._score=1e3;break}this._entries.sort(Yf._compareByScoreAndTime)}}static _compareByScoreAndTime(e,i){return e._score<i._score?1:e._score>i._score?-1:tie(e.selector)&&!tie(i.selector)?1:!tie(e.selector)&&tie(i.selector)?-1:e._time<i._time?1:e._time>i._time?-1:0}}function tie(l){return typeof l=="string"?!1:Array.isArray(l)?l.some(tie):!!l.isBuiltin}class z_i{constructor(){this.referenceProvider=new Yf(this._score.bind(this)),this.renameProvider=new Yf(this._score.bind(this)),this.newSymbolNamesProvider=new Yf(this._score.bind(this)),this.codeActionProvider=new Yf(this._score.bind(this)),this.definitionProvider=new Yf(this._score.bind(this)),this.typeDefinitionProvider=new Yf(this._score.bind(this)),this.declarationProvider=new Yf(this._score.bind(this)),this.implementationProvider=new Yf(this._score.bind(this)),this.documentSymbolProvider=new Yf(this._score.bind(this)),this.inlayHintsProvider=new Yf(this._score.bind(this)),this.colorProvider=new Yf(this._score.bind(this)),this.codeLensProvider=new Yf(this._score.bind(this)),this.documentFormattingEditProvider=new Yf(this._score.bind(this)),this.documentRangeFormattingEditProvider=new Yf(this._score.bind(this)),this.onTypeFormattingEditProvider=new Yf(this._score.bind(this)),this.signatureHelpProvider=new Yf(this._score.bind(this)),this.hoverProvider=new Yf(this._score.bind(this)),this.documentHighlightProvider=new Yf(this._score.bind(this)),this.multiDocumentHighlightProvider=new Yf(this._score.bind(this)),this.selectionRangeProvider=new Yf(this._score.bind(this)),this.foldingRangeProvider=new Yf(this._score.bind(this)),this.linkProvider=new Yf(this._score.bind(this)),this.inlineCompletionsProvider=new Yf(this._score.bind(this)),this.inlineEditProvider=new Yf(this._score.bind(this)),this.completionProvider=new Yf(this._score.bind(this)),this.linkedEditingRangeProvider=new Yf(this._score.bind(this)),this.documentRangeSemanticTokensProvider=new Yf(this._score.bind(this)),this.documentSemanticTokensProvider=new Yf(this._score.bind(this)),this.documentDropEditProvider=new Yf(this._score.bind(this)),this.documentPasteEditProvider=new Yf(this._score.bind(this))}_score(e){var i;return(i=this._notebookTypeResolver)==null?void 0:i.call(this,e)}}nl(Ts,z_i,1);function N$e(l){return`--vscode-${l.replace(/\./g,"-")}`}function cs(l){return`var(${N$e(l)})`}function U_i(l,e){return`var(${N$e(l)}, ${e})`}function q_i(l){return l!==null&&typeof l=="object"&&"light"in l&&"dark"in l}const DTt={ColorContribution:"base.contributions.colors"},$_i="default";class J_i{constructor(){this._onDidChangeSchema=new gi,this.onDidChangeSchema=this._onDidChangeSchema.event,this.colorSchema={type:"object",properties:{}},this.colorReferenceSchema={type:"string",enum:[],enumDescriptions:[]},this.colorsById={}}registerColor(e,i,n,s=!1,c){const d={id:e,description:n,defaults:i,needsTransparency:s,deprecationMessage:c};this.colorsById[e]=d;const f={type:"string",format:"color-hex",defaultSnippets:[{body:"${1:#ff0000}"}]};return c&&(f.deprecationMessage=c),s&&(f.pattern="^#(?:(?<rgba>[0-9a-fA-f]{3}[0-9a-eA-E])|(?:[0-9a-fA-F]{6}(?:(?![fF]{2})(?:[0-9a-fA-F]{2}))))?$",f.patternErrorMessage=W("transparecyRequired","This color must be transparent or it will obscure content")),this.colorSchema.properties[e]={description:n,oneOf:[f,{type:"string",const:$_i,description:W("useDefault","Use the default color.")}]},this.colorReferenceSchema.enum.push(e),this.colorReferenceSchema.enumDescriptions.push(n),this._onDidChangeSchema.fire(),e}getColors(){return Object.keys(this.colorsById).map(e=>this.colorsById[e])}resolveDefaultColor(e,i){const n=this.colorsById[e];if(n!=null&&n.defaults){const s=q_i(n.defaults)?n.defaults[i.type]:n.defaults;return uE(s,i)}}getColorSchema(){return this.colorSchema}toString(){const e=(i,n)=>{const s=i.indexOf(".")===-1?0:1,c=n.indexOf(".")===-1?0:1;return s!==c?s-c:i.localeCompare(n)};return Object.keys(this.colorsById).sort(e).map(i=>`- \`${i}\`: ${this.colorsById[i].description}`).join(`
+`)}}const YSe=new J_i;nh.add(DTt.ColorContribution,YSe);function It(l,e,i,n,s){return YSe.registerColor(l,e,i,n,s)}function G_i(l,e){var i,n,s,c;switch(l.op){case 0:return(i=uE(l.value,e))==null?void 0:i.darken(l.factor);case 1:return(n=uE(l.value,e))==null?void 0:n.lighten(l.factor);case 2:return(s=uE(l.value,e))==null?void 0:s.transparent(l.factor);case 3:{const d=uE(l.background,e);return d?(c=uE(l.value,e))==null?void 0:c.makeOpaque(d):uE(l.value,e)}case 4:for(const d of l.values){const f=uE(d,e);if(f)return f}return;case 6:return uE(e.defines(l.if)?l.then:l.else,e);case 5:{const d=uE(l.value,e);if(!d)return;const f=uE(l.background,e);return f?d.isDarkerThan(f)?In.getLighterColor(d,f,l.factor).transparent(l.transparency):In.getDarkerColor(d,f,l.factor).transparent(l.transparency):d.transparent(l.factor*l.transparency)}default:throw qSe()}}function eW(l,e){return{op:0,value:l,factor:e}}function FE(l,e){return{op:1,value:l,factor:e}}function hc(l,e){return{op:2,value:l,factor:e}}function Jne(...l){return{op:4,values:l}}function K_i(l,e,i){return{op:6,if:l,then:e,else:i}}function wbt(l,e,i,n){return{op:5,value:l,background:e,factor:i,transparency:n}}function uE(l,e){if(l!==null){if(typeof l=="string")return l[0]==="#"?In.fromHex(l):e.getColor(l);if(l instanceof In)return l;if(typeof l=="object")return G_i(l,e)}}const ETt="vscode://schemas/workbench-colors",ITt=nh.as(KSe.JSONContribution);ITt.registerSchema(ETt,YSe.getColorSchema());const xbt=new qu(()=>ITt.notifySchemaChanged(ETt),200);YSe.onDidChangeSchema(()=>{xbt.isScheduled()||xbt.schedule()});const kc=It("foreground",{dark:"#CCCCCC",light:"#616161",hcDark:"#FFFFFF",hcLight:"#292929"},W("foreground","Overall foreground color. This color is only used if not overridden by a component."));It("disabledForeground",{dark:"#CCCCCC80",light:"#61616180",hcDark:"#A5A5A5",hcLight:"#7F7F7F"},W("disabledForeground","Overall foreground for disabled elements. This color is only used if not overridden by a component."));It("errorForeground",{dark:"#F48771",light:"#A1260D",hcDark:"#F48771",hcLight:"#B5200D"},W("errorForeground","Overall foreground color for error messages. This color is only used if not overridden by a component."));It("descriptionForeground",{light:"#717171",dark:hc(kc,.7),hcDark:hc(kc,.7),hcLight:hc(kc,.7)},W("descriptionForeground","Foreground color for description text providing additional information, for example for a label."));const kye=It("icon.foreground",{dark:"#C5C5C5",light:"#424242",hcDark:"#FFFFFF",hcLight:"#292929"},W("iconForeground","The default color for icons in the workbench.")),NL=It("focusBorder",{dark:"#007FD4",light:"#0090F1",hcDark:"#F38518",hcLight:"#006BBD"},W("focusBorder","Overall border color for focused elements. This color is only used if not overridden by a component.")),bl=It("contrastBorder",{light:null,dark:null,hcDark:"#6FC3DF",hcLight:"#0F4A85"},W("contrastBorder","An extra border around elements to separate them from others for greater contrast.")),yf=It("contrastActiveBorder",{light:null,dark:null,hcDark:NL,hcLight:NL},W("activeContrastBorder","An extra border around active elements to separate them from others for greater contrast."));It("selection.background",null,W("selectionBackground","The background color of text selections in the workbench (e.g. for input fields or text areas). Note that this does not apply to selections within the editor."));const X_i=It("textLink.foreground",{light:"#006AB1",dark:"#3794FF",hcDark:"#21A6FF",hcLight:"#0F4A85"},W("textLinkForeground","Foreground color for links in text."));It("textLink.activeForeground",{light:"#006AB1",dark:"#3794FF",hcDark:"#21A6FF",hcLight:"#0F4A85"},W("textLinkActiveForeground","Foreground color for links in text when clicked on and on mouse hover."));It("textSeparator.foreground",{light:"#0000002e",dark:"#ffffff2e",hcDark:In.black,hcLight:"#292929"},W("textSeparatorForeground","Color for text separators."));It("textPreformat.foreground",{light:"#A31515",dark:"#D7BA7D",hcDark:"#000000",hcLight:"#FFFFFF"},W("textPreformatForeground","Foreground color for preformatted text segments."));It("textPreformat.background",{light:"#0000001A",dark:"#FFFFFF1A",hcDark:"#FFFFFF",hcLight:"#09345f"},W("textPreformatBackground","Background color for preformatted text segments."));It("textBlockQuote.background",{light:"#f2f2f2",dark:"#222222",hcDark:null,hcLight:"#F2F2F2"},W("textBlockQuoteBackground","Background color for block quotes in text."));It("textBlockQuote.border",{light:"#007acc80",dark:"#007acc80",hcDark:In.white,hcLight:"#292929"},W("textBlockQuoteBorder","Border color for block quotes in text."));It("textCodeBlock.background",{light:"#dcdcdc66",dark:"#0a0a0a66",hcDark:In.black,hcLight:"#F2F2F2"},W("textCodeBlockBackground","Background color for code blocks in text."));It("sash.hoverBorder",NL,W("sashActiveBorder","Border color of active sashes."));const f1e=It("badge.background",{dark:"#4D4D4D",light:"#C4C4C4",hcDark:In.black,hcLight:"#0F4A85"},W("badgeBackground","Badge background color. Badges are small information labels, e.g. for search results count.")),Q_i=It("badge.foreground",{dark:In.white,light:"#333",hcDark:In.white,hcLight:In.white},W("badgeForeground","Badge foreground color. Badges are small information labels, e.g. for search results count.")),L$e=It("scrollbar.shadow",{dark:"#000000",light:"#DDDDDD",hcDark:null,hcLight:null},W("scrollbarShadow","Scrollbar shadow to indicate that the view is scrolled.")),NTt=It("scrollbarSlider.background",{dark:In.fromHex("#797979").transparent(.4),light:In.fromHex("#646464").transparent(.4),hcDark:hc(bl,.6),hcLight:hc(bl,.4)},W("scrollbarSliderBackground","Scrollbar slider background color.")),LTt=It("scrollbarSlider.hoverBackground",{dark:In.fromHex("#646464").transparent(.7),light:In.fromHex("#646464").transparent(.7),hcDark:hc(bl,.8),hcLight:hc(bl,.8)},W("scrollbarSliderHoverBackground","Scrollbar slider background color when hovering.")),PTt=It("scrollbarSlider.activeBackground",{dark:In.fromHex("#BFBFBF").transparent(.4),light:In.fromHex("#000000").transparent(.6),hcDark:bl,hcLight:bl},W("scrollbarSliderActiveBackground","Scrollbar slider background color when clicked on.")),Z_i=It("progressBar.background",{dark:In.fromHex("#0E70C0"),light:In.fromHex("#0E70C0"),hcDark:bl,hcLight:bl},W("progressBarBackground","Background color of the progress bar that can show for long running operations.")),wT=It("editor.background",{light:"#ffffff",dark:"#1E1E1E",hcDark:In.black,hcLight:In.white},W("editorBackground","Editor background color.")),HL=It("editor.foreground",{light:"#333333",dark:"#BBBBBB",hcDark:In.white,hcLight:kc},W("editorForeground","Editor default foreground color."));It("editorStickyScroll.background",wT,W("editorStickyScrollBackground","Background color of sticky scroll in the editor"));It("editorStickyScrollHover.background",{dark:"#2A2D2E",light:"#F0F0F0",hcDark:null,hcLight:In.fromHex("#0F4A85").transparent(.1)},W("editorStickyScrollHoverBackground","Background color of sticky scroll on hover in the editor"));It("editorStickyScroll.border",{dark:null,light:null,hcDark:bl,hcLight:bl},W("editorStickyScrollBorder","Border color of sticky scroll in the editor"));It("editorStickyScroll.shadow",L$e,W("editorStickyScrollShadow"," Shadow color of sticky scroll in the editor"));const Cw=It("editorWidget.background",{dark:"#252526",light:"#F3F3F3",hcDark:"#0C141F",hcLight:In.white},W("editorWidgetBackground","Background color of editor widgets, such as find/replace.")),ewe=It("editorWidget.foreground",kc,W("editorWidgetForeground","Foreground color of editor widgets, such as find/replace.")),P$e=It("editorWidget.border",{dark:"#454545",light:"#C8C8C8",hcDark:bl,hcLight:bl},W("editorWidgetBorder","Border color of editor widgets. The color is only used if the widget chooses to have a border and if the color is not overridden by a widget."));It("editorWidget.resizeBorder",null,W("editorWidgetResizeBorder","Border color of the resize bar of editor widgets. The color is only used if the widget chooses to have a resize border and if the color is not overridden by a widget."));It("editorError.background",null,W("editorError.background","Background color of error text in the editor. The color must not be opaque so as not to hide underlying decorations."),!0);const twe=It("editorError.foreground",{dark:"#F14C4C",light:"#E51400",hcDark:"#F48771",hcLight:"#B5200D"},W("editorError.foreground","Foreground color of error squigglies in the editor.")),Y_i=It("editorError.border",{dark:null,light:null,hcDark:In.fromHex("#E47777").transparent(.8),hcLight:"#B5200D"},W("errorBorder","If set, color of double underlines for errors in the editor.")),epi=It("editorWarning.background",null,W("editorWarning.background","Background color of warning text in the editor. The color must not be opaque so as not to hide underlying decorations."),!0),u6=It("editorWarning.foreground",{dark:"#CCA700",light:"#BF8803",hcDark:"#FFD370",hcLight:"#895503"},W("editorWarning.foreground","Foreground color of warning squigglies in the editor.")),Gne=It("editorWarning.border",{dark:null,light:null,hcDark:In.fromHex("#FFCC00").transparent(.8),hcLight:In.fromHex("#FFCC00").transparent(.8)},W("warningBorder","If set, color of double underlines for warnings in the editor."));It("editorInfo.background",null,W("editorInfo.background","Background color of info text in the editor. The color must not be opaque so as not to hide underlying decorations."),!0);const LL=It("editorInfo.foreground",{dark:"#3794FF",light:"#1a85ff",hcDark:"#3794FF",hcLight:"#1a85ff"},W("editorInfo.foreground","Foreground color of info squigglies in the editor.")),Kne=It("editorInfo.border",{dark:null,light:null,hcDark:In.fromHex("#3794FF").transparent(.8),hcLight:"#292929"},W("infoBorder","If set, color of double underlines for infos in the editor.")),tpi=It("editorHint.foreground",{dark:In.fromHex("#eeeeee").transparent(.7),light:"#6c6c6c",hcDark:null,hcLight:null},W("editorHint.foreground","Foreground color of hint squigglies in the editor."));It("editorHint.border",{dark:null,light:null,hcDark:In.fromHex("#eeeeee").transparent(.8),hcLight:"#292929"},W("hintBorder","If set, color of double underlines for hints in the editor."));const ipi=It("editorLink.activeForeground",{dark:"#4E94CE",light:In.blue,hcDark:In.cyan,hcLight:"#292929"},W("activeLinkForeground","Color of active links.")),PM=It("editor.selectionBackground",{light:"#ADD6FF",dark:"#264F78",hcDark:"#f3f518",hcLight:"#0F4A85"},W("editorSelectionBackground","Color of the editor selection.")),npi=It("editor.selectionForeground",{light:null,dark:null,hcDark:"#000000",hcLight:In.white},W("editorSelectionForeground","Color of the selected text for high contrast.")),ATt=It("editor.inactiveSelectionBackground",{light:hc(PM,.5),dark:hc(PM,.5),hcDark:hc(PM,.7),hcLight:hc(PM,.5)},W("editorInactiveSelection","Color of the selection in an inactive editor. The color must not be opaque so as not to hide underlying decorations."),!0),A$e=It("editor.selectionHighlightBackground",{light:wbt(PM,wT,.3,.6),dark:wbt(PM,wT,.3,.6),hcDark:null,hcLight:null},W("editorSelectionHighlight","Color for regions with the same content as the selection. The color must not be opaque so as not to hide underlying decorations."),!0);It("editor.selectionHighlightBorder",{light:null,dark:null,hcDark:yf,hcLight:yf},W("editorSelectionHighlightBorder","Border color for regions with the same content as the selection."));It("editor.findMatchBackground",{light:"#A8AC94",dark:"#515C6A",hcDark:null,hcLight:null},W("editorFindMatch","Color of the current search match."));const rpi=It("editor.findMatchForeground",null,W("editorFindMatchForeground","Text color of the current search match.")),H4=It("editor.findMatchHighlightBackground",{light:"#EA5C0055",dark:"#EA5C0055",hcDark:null,hcLight:null},W("findMatchHighlight","Color of the other search matches. The color must not be opaque so as not to hide underlying decorations."),!0),spi=It("editor.findMatchHighlightForeground",null,W("findMatchHighlightForeground","Foreground color of the other search matches."),!0);It("editor.findRangeHighlightBackground",{dark:"#3a3d4166",light:"#b4b4b44d",hcDark:null,hcLight:null},W("findRangeHighlight","Color of the range limiting the search. The color must not be opaque so as not to hide underlying decorations."),!0);It("editor.findMatchBorder",{light:null,dark:null,hcDark:yf,hcLight:yf},W("editorFindMatchBorder","Border color of the current search match."));const AM=It("editor.findMatchHighlightBorder",{light:null,dark:null,hcDark:yf,hcLight:yf},W("findMatchHighlightBorder","Border color of the other search matches.")),opi=It("editor.findRangeHighlightBorder",{dark:null,light:null,hcDark:hc(yf,.4),hcLight:hc(yf,.4)},W("findRangeHighlightBorder","Border color of the range limiting the search. The color must not be opaque so as not to hide underlying decorations."),!0);It("editor.hoverHighlightBackground",{light:"#ADD6FF26",dark:"#264f7840",hcDark:"#ADD6FF26",hcLight:null},W("hoverHighlight","Highlight below the word for which a hover is shown. The color must not be opaque so as not to hide underlying decorations."),!0);const Tye=It("editorHoverWidget.background",Cw,W("hoverBackground","Background color of the editor hover."));It("editorHoverWidget.foreground",ewe,W("hoverForeground","Foreground color of the editor hover."));const OTt=It("editorHoverWidget.border",P$e,W("hoverBorder","Border color of the editor hover."));It("editorHoverWidget.statusBarBackground",{dark:FE(Tye,.2),light:eW(Tye,.05),hcDark:Cw,hcLight:Cw},W("statusBarBackground","Background color of the editor hover status bar."));const O$e=It("editorInlayHint.foreground",{dark:"#969696",light:"#969696",hcDark:In.white,hcLight:In.black},W("editorInlayHintForeground","Foreground color of inline hints")),M$e=It("editorInlayHint.background",{dark:hc(f1e,.1),light:hc(f1e,.1),hcDark:hc(In.white,.1),hcLight:hc(f1e,.1)},W("editorInlayHintBackground","Background color of inline hints")),api=It("editorInlayHint.typeForeground",O$e,W("editorInlayHintForegroundTypes","Foreground color of inline hints for types")),cpi=It("editorInlayHint.typeBackground",M$e,W("editorInlayHintBackgroundTypes","Background color of inline hints for types")),lpi=It("editorInlayHint.parameterForeground",O$e,W("editorInlayHintForegroundParameter","Foreground color of inline hints for parameters")),upi=It("editorInlayHint.parameterBackground",M$e,W("editorInlayHintBackgroundParameter","Background color of inline hints for parameters")),dpi=It("editorLightBulb.foreground",{dark:"#FFCC00",light:"#DDB100",hcDark:"#FFCC00",hcLight:"#007ACC"},W("editorLightBulbForeground","The color used for the lightbulb actions icon."));It("editorLightBulbAutoFix.foreground",{dark:"#75BEFF",light:"#007ACC",hcDark:"#75BEFF",hcLight:"#007ACC"},W("editorLightBulbAutoFixForeground","The color used for the lightbulb auto fix actions icon."));It("editorLightBulbAi.foreground",dpi,W("editorLightBulbAiForeground","The color used for the lightbulb AI icon."));It("editor.snippetTabstopHighlightBackground",{dark:new In(new El(124,124,124,.3)),light:new In(new El(10,50,100,.2)),hcDark:new In(new El(124,124,124,.3)),hcLight:new In(new El(10,50,100,.2))},W("snippetTabstopHighlightBackground","Highlight background color of a snippet tabstop."));It("editor.snippetTabstopHighlightBorder",null,W("snippetTabstopHighlightBorder","Highlight border color of a snippet tabstop."));It("editor.snippetFinalTabstopHighlightBackground",null,W("snippetFinalTabstopHighlightBackground","Highlight background color of the final tabstop of a snippet."));It("editor.snippetFinalTabstopHighlightBorder",{dark:"#525252",light:new In(new El(10,50,100,.5)),hcDark:"#525252",hcLight:"#292929"},W("snippetFinalTabstopHighlightBorder","Highlight border color of the final tabstop of a snippet."));const nVe=new In(new El(155,185,85,.2)),rVe=new In(new El(255,0,0,.2)),fpi=It("diffEditor.insertedTextBackground",{dark:"#9ccc2c33",light:"#9ccc2c40",hcDark:null,hcLight:null},W("diffEditorInserted","Background color for text that got inserted. The color must not be opaque so as not to hide underlying decorations."),!0),hpi=It("diffEditor.removedTextBackground",{dark:"#ff000033",light:"#ff000033",hcDark:null,hcLight:null},W("diffEditorRemoved","Background color for text that got removed. The color must not be opaque so as not to hide underlying decorations."),!0);It("diffEditor.insertedLineBackground",{dark:nVe,light:nVe,hcDark:null,hcLight:null},W("diffEditorInsertedLines","Background color for lines that got inserted. The color must not be opaque so as not to hide underlying decorations."),!0);It("diffEditor.removedLineBackground",{dark:rVe,light:rVe,hcDark:null,hcLight:null},W("diffEditorRemovedLines","Background color for lines that got removed. The color must not be opaque so as not to hide underlying decorations."),!0);It("diffEditorGutter.insertedLineBackground",null,W("diffEditorInsertedLineGutter","Background color for the margin where lines got inserted."));It("diffEditorGutter.removedLineBackground",null,W("diffEditorRemovedLineGutter","Background color for the margin where lines got removed."));const _pi=It("diffEditorOverview.insertedForeground",null,W("diffEditorOverviewInserted","Diff overview ruler foreground for inserted content.")),ppi=It("diffEditorOverview.removedForeground",null,W("diffEditorOverviewRemoved","Diff overview ruler foreground for removed content."));It("diffEditor.insertedTextBorder",{dark:null,light:null,hcDark:"#33ff2eff",hcLight:"#374E06"},W("diffEditorInsertedOutline","Outline color for the text that got inserted."));It("diffEditor.removedTextBorder",{dark:null,light:null,hcDark:"#FF008F",hcLight:"#AD0707"},W("diffEditorRemovedOutline","Outline color for text that got removed."));It("diffEditor.border",{dark:null,light:null,hcDark:bl,hcLight:bl},W("diffEditorBorder","Border color between the two text editors."));It("diffEditor.diagonalFill",{dark:"#cccccc33",light:"#22222233",hcDark:null,hcLight:null},W("diffDiagonalFill","Color of the diff editor's diagonal fill. The diagonal fill is used in side-by-side diff views."));It("diffEditor.unchangedRegionBackground","sideBar.background",W("diffEditor.unchangedRegionBackground","The background color of unchanged blocks in the diff editor."));It("diffEditor.unchangedRegionForeground","foreground",W("diffEditor.unchangedRegionForeground","The foreground color of unchanged blocks in the diff editor."));It("diffEditor.unchangedCodeBackground",{dark:"#74747429",light:"#b8b8b829",hcDark:null,hcLight:null},W("diffEditor.unchangedCodeBackground","The background color of unchanged code in the diff editor."));const vJ=It("widget.shadow",{dark:hc(In.black,.36),light:hc(In.black,.16),hcDark:null,hcLight:null},W("widgetShadow","Shadow color of widgets such as find/replace inside the editor.")),MTt=It("widget.border",{dark:null,light:null,hcDark:bl,hcLight:bl},W("widgetBorder","Border color of widgets such as find/replace inside the editor.")),kbt=It("toolbar.hoverBackground",{dark:"#5a5d5e50",light:"#b8b8b850",hcDark:null,hcLight:null},W("toolbarHoverBackground","Toolbar background when hovering over actions using the mouse"));It("toolbar.hoverOutline",{dark:null,light:null,hcDark:yf,hcLight:yf},W("toolbarHoverOutline","Toolbar outline when hovering over actions using the mouse"));It("toolbar.activeBackground",{dark:FE(kbt,.1),light:eW(kbt,.1),hcDark:null,hcLight:null},W("toolbarActiveBackground","Toolbar background when holding the mouse over actions"));const mpi=It("breadcrumb.foreground",hc(kc,.8),W("breadcrumbsFocusForeground","Color of focused breadcrumb items.")),gpi=It("breadcrumb.background",wT,W("breadcrumbsBackground","Background color of breadcrumb items.")),Tbt=It("breadcrumb.focusForeground",{light:eW(kc,.2),dark:FE(kc,.1),hcDark:FE(kc,.1),hcLight:FE(kc,.1)},W("breadcrumbsFocusForeground","Color of focused breadcrumb items.")),ypi=It("breadcrumb.activeSelectionForeground",{light:eW(kc,.2),dark:FE(kc,.1),hcDark:FE(kc,.1),hcLight:FE(kc,.1)},W("breadcrumbsSelectedForeground","Color of selected breadcrumb items."));It("breadcrumbPicker.background",Cw,W("breadcrumbsSelectedBackground","Background color of breadcrumb item picker."));const RTt=.5,Dbt=In.fromHex("#40C8AE").transparent(RTt),Ebt=In.fromHex("#40A6FF").transparent(RTt),Ibt=In.fromHex("#606060").transparent(.4),R$e=.4,N$=1,sVe=It("merge.currentHeaderBackground",{dark:Dbt,light:Dbt,hcDark:null,hcLight:null},W("mergeCurrentHeaderBackground","Current header background in inline merge-conflicts. The color must not be opaque so as not to hide underlying decorations."),!0);It("merge.currentContentBackground",hc(sVe,R$e),W("mergeCurrentContentBackground","Current content background in inline merge-conflicts. The color must not be opaque so as not to hide underlying decorations."),!0);const oVe=It("merge.incomingHeaderBackground",{dark:Ebt,light:Ebt,hcDark:null,hcLight:null},W("mergeIncomingHeaderBackground","Incoming header background in inline merge-conflicts. The color must not be opaque so as not to hide underlying decorations."),!0);It("merge.incomingContentBackground",hc(oVe,R$e),W("mergeIncomingContentBackground","Incoming content background in inline merge-conflicts. The color must not be opaque so as not to hide underlying decorations."),!0);const aVe=It("merge.commonHeaderBackground",{dark:Ibt,light:Ibt,hcDark:null,hcLight:null},W("mergeCommonHeaderBackground","Common ancestor header background in inline merge-conflicts. The color must not be opaque so as not to hide underlying decorations."),!0);It("merge.commonContentBackground",hc(aVe,R$e),W("mergeCommonContentBackground","Common ancestor content background in inline merge-conflicts. The color must not be opaque so as not to hide underlying decorations."),!0);const L$=It("merge.border",{dark:null,light:null,hcDark:"#C3DF6F",hcLight:"#007ACC"},W("mergeBorder","Border color on headers and the splitter in inline merge-conflicts."));It("editorOverviewRuler.currentContentForeground",{dark:hc(sVe,N$),light:hc(sVe,N$),hcDark:L$,hcLight:L$},W("overviewRulerCurrentContentForeground","Current overview ruler foreground for inline merge-conflicts."));It("editorOverviewRuler.incomingContentForeground",{dark:hc(oVe,N$),light:hc(oVe,N$),hcDark:L$,hcLight:L$},W("overviewRulerIncomingContentForeground","Incoming overview ruler foreground for inline merge-conflicts."));It("editorOverviewRuler.commonContentForeground",{dark:hc(aVe,N$),light:hc(aVe,N$),hcDark:L$,hcLight:L$},W("overviewRulerCommonContentForeground","Common ancestor overview ruler foreground for inline merge-conflicts."));const LFe=It("editorOverviewRuler.findMatchForeground",{dark:"#d186167e",light:"#d186167e",hcDark:"#AB5A00",hcLight:"#AB5A00"},W("overviewRulerFindMatchForeground","Overview ruler marker color for find matches. The color must not be opaque so as not to hide underlying decorations."),!0),FTt=It("editorOverviewRuler.selectionHighlightForeground","#A0A0A0CC",W("overviewRulerSelectionHighlightForeground","Overview ruler marker color for selection highlights. The color must not be opaque so as not to hide underlying decorations."),!0),vpi=It("problemsErrorIcon.foreground",twe,W("problemsErrorIconForeground","The color used for the problems error icon.")),bpi=It("problemsWarningIcon.foreground",u6,W("problemsWarningIconForeground","The color used for the problems warning icon.")),Cpi=It("problemsInfoIcon.foreground",LL,W("problemsInfoIconForeground","The color used for the problems info icon.")),cVe=It("minimap.findMatchHighlight",{light:"#d18616",dark:"#d18616",hcDark:"#AB5A00",hcLight:"#0F4A85"},W("minimapFindMatchHighlight","Minimap marker color for find matches."),!0),iwe=It("minimap.selectionOccurrenceHighlight",{light:"#c9c9c9",dark:"#676767",hcDark:"#ffffff",hcLight:"#0F4A85"},W("minimapSelectionOccurrenceHighlight","Minimap marker color for repeating editor selections."),!0),Nbt=It("minimap.selectionHighlight",{light:"#ADD6FF",dark:"#264F78",hcDark:"#ffffff",hcLight:"#0F4A85"},W("minimapSelectionHighlight","Minimap marker color for the editor selection."),!0),Spi=It("minimap.infoHighlight",{dark:LL,light:LL,hcDark:Kne,hcLight:Kne},W("minimapInfo","Minimap marker color for infos.")),wpi=It("minimap.warningHighlight",{dark:u6,light:u6,hcDark:Gne,hcLight:Gne},W("overviewRuleWarning","Minimap marker color for warnings.")),xpi=It("minimap.errorHighlight",{dark:new In(new El(255,18,18,.7)),light:new In(new El(255,18,18,.7)),hcDark:new In(new El(255,50,50,1)),hcLight:"#B5200D"},W("minimapError","Minimap marker color for errors.")),kpi=It("minimap.background",null,W("minimapBackground","Minimap background color.")),Tpi=It("minimap.foregroundOpacity",In.fromHex("#000f"),W("minimapForegroundOpacity",'Opacity of foreground elements rendered in the minimap. For example, "#000000c0" will render the elements with 75% opacity.'));It("minimapSlider.background",hc(NTt,.5),W("minimapSliderBackground","Minimap slider background color."));It("minimapSlider.hoverBackground",hc(LTt,.5),W("minimapSliderHoverBackground","Minimap slider background color when hovering."));It("minimapSlider.activeBackground",hc(PTt,.5),W("minimapSliderActiveBackground","Minimap slider background color when clicked on."));It("charts.foreground",kc,W("chartsForeground","The foreground color used in charts."));It("charts.lines",hc(kc,.5),W("chartsLines","The color used for horizontal lines in charts."));It("charts.red",twe,W("chartsRed","The red color used in chart visualizations."));It("charts.blue",LL,W("chartsBlue","The blue color used in chart visualizations."));It("charts.yellow",u6,W("chartsYellow","The yellow color used in chart visualizations."));It("charts.orange",cVe,W("chartsOrange","The orange color used in chart visualizations."));It("charts.green",{dark:"#89D185",light:"#388A34",hcDark:"#89D185",hcLight:"#374e06"},W("chartsGreen","The green color used in chart visualizations."));It("charts.purple",{dark:"#B180D7",light:"#652D90",hcDark:"#B180D7",hcLight:"#652D90"},W("chartsPurple","The purple color used in chart visualizations."));const lVe=It("input.background",{dark:"#3C3C3C",light:In.white,hcDark:In.black,hcLight:In.white},W("inputBoxBackground","Input box background.")),BTt=It("input.foreground",kc,W("inputBoxForeground","Input box foreground.")),WTt=It("input.border",{dark:null,light:null,hcDark:bl,hcLight:bl},W("inputBoxBorder","Input box border.")),nwe=It("inputOption.activeBorder",{dark:"#007ACC",light:"#007ACC",hcDark:bl,hcLight:bl},W("inputBoxActiveOptionBorder","Border color of activated options in input fields.")),Dpi=It("inputOption.hoverBackground",{dark:"#5a5d5e80",light:"#b8b8b850",hcDark:null,hcLight:null},W("inputOption.hoverBackground","Background color of activated options in input fields.")),yse=It("inputOption.activeBackground",{dark:hc(NL,.4),light:hc(NL,.2),hcDark:In.transparent,hcLight:In.transparent},W("inputOption.activeBackground","Background hover color of options in input fields.")),rwe=It("inputOption.activeForeground",{dark:In.white,light:In.black,hcDark:kc,hcLight:kc},W("inputOption.activeForeground","Foreground color of activated options in input fields."));It("input.placeholderForeground",{light:hc(kc,.5),dark:hc(kc,.5),hcDark:hc(kc,.7),hcLight:hc(kc,.7)},W("inputPlaceholderForeground","Input box foreground color for placeholder text."));const Epi=It("inputValidation.infoBackground",{dark:"#063B49",light:"#D6ECF2",hcDark:In.black,hcLight:In.white},W("inputValidationInfoBackground","Input validation background color for information severity.")),Ipi=It("inputValidation.infoForeground",{dark:null,light:null,hcDark:null,hcLight:kc},W("inputValidationInfoForeground","Input validation foreground color for information severity.")),Npi=It("inputValidation.infoBorder",{dark:"#007acc",light:"#007acc",hcDark:bl,hcLight:bl},W("inputValidationInfoBorder","Input validation border color for information severity.")),Lpi=It("inputValidation.warningBackground",{dark:"#352A05",light:"#F6F5D2",hcDark:In.black,hcLight:In.white},W("inputValidationWarningBackground","Input validation background color for warning severity.")),Ppi=It("inputValidation.warningForeground",{dark:null,light:null,hcDark:null,hcLight:kc},W("inputValidationWarningForeground","Input validation foreground color for warning severity.")),Api=It("inputValidation.warningBorder",{dark:"#B89500",light:"#B89500",hcDark:bl,hcLight:bl},W("inputValidationWarningBorder","Input validation border color for warning severity.")),Opi=It("inputValidation.errorBackground",{dark:"#5A1D1D",light:"#F2DEDE",hcDark:In.black,hcLight:In.white},W("inputValidationErrorBackground","Input validation background color for error severity.")),Mpi=It("inputValidation.errorForeground",{dark:null,light:null,hcDark:null,hcLight:kc},W("inputValidationErrorForeground","Input validation foreground color for error severity.")),Rpi=It("inputValidation.errorBorder",{dark:"#BE1100",light:"#BE1100",hcDark:bl,hcLight:bl},W("inputValidationErrorBorder","Input validation border color for error severity.")),swe=It("dropdown.background",{dark:"#3C3C3C",light:In.white,hcDark:In.black,hcLight:In.white},W("dropdownBackground","Dropdown background.")),Fpi=It("dropdown.listBackground",{dark:null,light:null,hcDark:In.black,hcLight:In.white},W("dropdownListBackground","Dropdown list background.")),F$e=It("dropdown.foreground",{dark:"#F0F0F0",light:kc,hcDark:In.white,hcLight:kc},W("dropdownForeground","Dropdown foreground.")),B$e=It("dropdown.border",{dark:swe,light:"#CECECE",hcDark:bl,hcLight:bl},W("dropdownBorder","Dropdown border.")),VTt=It("button.foreground",In.white,W("buttonForeground","Button foreground color.")),Bpi=It("button.separator",hc(VTt,.4),W("buttonSeparator","Button separator color.")),iie=It("button.background",{dark:"#0E639C",light:"#007ACC",hcDark:null,hcLight:"#0F4A85"},W("buttonBackground","Button background color.")),Wpi=It("button.hoverBackground",{dark:FE(iie,.2),light:eW(iie,.2),hcDark:iie,hcLight:iie},W("buttonHoverBackground","Button background color when hovering.")),Vpi=It("button.border",bl,W("buttonBorder","Button border color.")),Hpi=It("button.secondaryForeground",{dark:In.white,light:In.white,hcDark:In.white,hcLight:kc},W("buttonSecondaryForeground","Secondary button foreground color.")),uVe=It("button.secondaryBackground",{dark:"#3A3D41",light:"#5F6A79",hcDark:null,hcLight:In.white},W("buttonSecondaryBackground","Secondary button background color.")),jpi=It("button.secondaryHoverBackground",{dark:FE(uVe,.2),light:eW(uVe,.2),hcDark:null,hcLight:null},W("buttonSecondaryHoverBackground","Secondary button background color when hovering.")),nie=It("radio.activeForeground",rwe,W("radioActiveForeground","Foreground color of active radio option.")),zpi=It("radio.activeBackground",yse,W("radioBackground","Background color of active radio option.")),Upi=It("radio.activeBorder",nwe,W("radioActiveBorder","Border color of the active radio option.")),qpi=It("radio.inactiveForeground",null,W("radioInactiveForeground","Foreground color of inactive radio option.")),$pi=It("radio.inactiveBackground",null,W("radioInactiveBackground","Background color of inactive radio option.")),Jpi=It("radio.inactiveBorder",{light:hc(nie,.2),dark:hc(nie,.2),hcDark:hc(nie,.4),hcLight:hc(nie,.2)},W("radioInactiveBorder","Border color of the inactive radio option.")),Gpi=It("radio.inactiveHoverBackground",Dpi,W("radioHoverBackground","Background color of inactive active radio option when hovering.")),Kpi=It("checkbox.background",swe,W("checkbox.background","Background color of checkbox widget."));It("checkbox.selectBackground",Cw,W("checkbox.select.background","Background color of checkbox widget when the element it's in is selected."));const Xpi=It("checkbox.foreground",F$e,W("checkbox.foreground","Foreground color of checkbox widget.")),Qpi=It("checkbox.border",B$e,W("checkbox.border","Border color of checkbox widget."));It("checkbox.selectBorder",kye,W("checkbox.select.border","Border color of checkbox widget when the element it's in is selected."));const Zpi=It("keybindingLabel.background",{dark:new In(new El(128,128,128,.17)),light:new In(new El(221,221,221,.4)),hcDark:In.transparent,hcLight:In.transparent},W("keybindingLabelBackground","Keybinding label background color. The keybinding label is used to represent a keyboard shortcut.")),Ypi=It("keybindingLabel.foreground",{dark:In.fromHex("#CCCCCC"),light:In.fromHex("#555555"),hcDark:In.white,hcLight:kc},W("keybindingLabelForeground","Keybinding label foreground color. The keybinding label is used to represent a keyboard shortcut.")),emi=It("keybindingLabel.border",{dark:new In(new El(51,51,51,.6)),light:new In(new El(204,204,204,.4)),hcDark:new In(new El(111,195,223)),hcLight:bl},W("keybindingLabelBorder","Keybinding label border color. The keybinding label is used to represent a keyboard shortcut.")),tmi=It("keybindingLabel.bottomBorder",{dark:new In(new El(68,68,68,.6)),light:new In(new El(187,187,187,.4)),hcDark:new In(new El(111,195,223)),hcLight:kc},W("keybindingLabelBottomBorder","Keybinding label border bottom color. The keybinding label is used to represent a keyboard shortcut.")),imi=It("list.focusBackground",null,W("listFocusBackground","List/Tree background color for the focused item when the list/tree is active. An active list/tree has keyboard focus, an inactive does not.")),nmi=It("list.focusForeground",null,W("listFocusForeground","List/Tree foreground color for the focused item when the list/tree is active. An active list/tree has keyboard focus, an inactive does not.")),rmi=It("list.focusOutline",{dark:NL,light:NL,hcDark:yf,hcLight:yf},W("listFocusOutline","List/Tree outline color for the focused item when the list/tree is active. An active list/tree has keyboard focus, an inactive does not.")),smi=It("list.focusAndSelectionOutline",null,W("listFocusAndSelectionOutline","List/Tree outline color for the focused item when the list/tree is active and selected. An active list/tree has keyboard focus, an inactive does not.")),EB=It("list.activeSelectionBackground",{dark:"#04395E",light:"#0060C0",hcDark:null,hcLight:In.fromHex("#0F4A85").transparent(.1)},W("listActiveSelectionBackground","List/Tree background color for the selected item when the list/tree is active. An active list/tree has keyboard focus, an inactive does not.")),Xne=It("list.activeSelectionForeground",{dark:In.white,light:In.white,hcDark:null,hcLight:null},W("listActiveSelectionForeground","List/Tree foreground color for the selected item when the list/tree is active. An active list/tree has keyboard focus, an inactive does not.")),HTt=It("list.activeSelectionIconForeground",null,W("listActiveSelectionIconForeground","List/Tree icon foreground color for the selected item when the list/tree is active. An active list/tree has keyboard focus, an inactive does not.")),omi=It("list.inactiveSelectionBackground",{dark:"#37373D",light:"#E4E6F1",hcDark:null,hcLight:In.fromHex("#0F4A85").transparent(.1)},W("listInactiveSelectionBackground","List/Tree background color for the selected item when the list/tree is inactive. An active list/tree has keyboard focus, an inactive does not.")),ami=It("list.inactiveSelectionForeground",null,W("listInactiveSelectionForeground","List/Tree foreground color for the selected item when the list/tree is inactive. An active list/tree has keyboard focus, an inactive does not.")),cmi=It("list.inactiveSelectionIconForeground",null,W("listInactiveSelectionIconForeground","List/Tree icon foreground color for the selected item when the list/tree is inactive. An active list/tree has keyboard focus, an inactive does not.")),lmi=It("list.inactiveFocusBackground",null,W("listInactiveFocusBackground","List/Tree background color for the focused item when the list/tree is inactive. An active list/tree has keyboard focus, an inactive does not.")),umi=It("list.inactiveFocusOutline",null,W("listInactiveFocusOutline","List/Tree outline color for the focused item when the list/tree is inactive. An active list/tree has keyboard focus, an inactive does not.")),jTt=It("list.hoverBackground",{dark:"#2A2D2E",light:"#F0F0F0",hcDark:In.white.transparent(.1),hcLight:In.fromHex("#0F4A85").transparent(.1)},W("listHoverBackground","List/Tree background when hovering over items using the mouse.")),zTt=It("list.hoverForeground",null,W("listHoverForeground","List/Tree foreground when hovering over items using the mouse.")),dmi=It("list.dropBackground",{dark:"#062F4A",light:"#D6EBFF",hcDark:null,hcLight:null},W("listDropBackground","List/Tree drag and drop background when moving items over other items when using the mouse.")),fmi=It("list.dropBetweenBackground",{dark:kye,light:kye,hcDark:null,hcLight:null},W("listDropBetweenBackground","List/Tree drag and drop border color when moving items between items when using the mouse.")),rq=It("list.highlightForeground",{dark:"#2AAAFF",light:"#0066BF",hcDark:NL,hcLight:NL},W("highlight","List/Tree foreground color of the match highlights when searching inside the list/tree.")),hmi=It("list.focusHighlightForeground",{dark:rq,light:K_i(EB,rq,"#BBE7FF"),hcDark:rq,hcLight:rq},W("listFocusHighlightForeground","List/Tree foreground color of the match highlights on actively focused items when searching inside the list/tree."));It("list.invalidItemForeground",{dark:"#B89500",light:"#B89500",hcDark:"#B89500",hcLight:"#B5200D"},W("invalidItemForeground","List/Tree foreground color for invalid items, for example an unresolved root in explorer."));It("list.errorForeground",{dark:"#F88070",light:"#B01011",hcDark:null,hcLight:null},W("listErrorForeground","Foreground color of list items containing errors."));It("list.warningForeground",{dark:"#CCA700",light:"#855F00",hcDark:null,hcLight:null},W("listWarningForeground","Foreground color of list items containing warnings."));const _mi=It("listFilterWidget.background",{light:eW(Cw,0),dark:FE(Cw,0),hcDark:Cw,hcLight:Cw},W("listFilterWidgetBackground","Background color of the type filter widget in lists and trees.")),pmi=It("listFilterWidget.outline",{dark:In.transparent,light:In.transparent,hcDark:"#f38518",hcLight:"#007ACC"},W("listFilterWidgetOutline","Outline color of the type filter widget in lists and trees.")),mmi=It("listFilterWidget.noMatchesOutline",{dark:"#BE1100",light:"#BE1100",hcDark:bl,hcLight:bl},W("listFilterWidgetNoMatchesOutline","Outline color of the type filter widget in lists and trees, when there are no matches.")),gmi=It("listFilterWidget.shadow",vJ,W("listFilterWidgetShadow","Shadow color of the type filter widget in lists and trees."));It("list.filterMatchBackground",{dark:H4,light:H4,hcDark:null,hcLight:null},W("listFilterMatchHighlight","Background color of the filtered match."));It("list.filterMatchBorder",{dark:AM,light:AM,hcDark:bl,hcLight:yf},W("listFilterMatchHighlightBorder","Border color of the filtered match."));It("list.deemphasizedForeground",{dark:"#8C8C8C",light:"#8E8E90",hcDark:"#A7A8A9",hcLight:"#666666"},W("listDeemphasizedForeground","List/Tree foreground color for items that are deemphasized."));const UTt=It("tree.indentGuidesStroke",{dark:"#585858",light:"#a9a9a9",hcDark:"#a9a9a9",hcLight:"#a5a5a5"},W("treeIndentGuidesStroke","Tree stroke color for the indentation guides.")),ymi=It("tree.inactiveIndentGuidesStroke",hc(UTt,.4),W("treeInactiveIndentGuidesStroke","Tree stroke color for the indentation guides that are not active.")),vmi=It("tree.tableColumnsBorder",{dark:"#CCCCCC20",light:"#61616120",hcDark:null,hcLight:null},W("tableColumnsBorder","Table border color between columns.")),bmi=It("tree.tableOddRowsBackground",{dark:hc(kc,.04),light:hc(kc,.04),hcDark:null,hcLight:null},W("tableOddRowsBackgroundColor","Background color for odd table rows."));It("editorActionList.background",Cw,W("editorActionListBackground","Action List background color."));It("editorActionList.foreground",ewe,W("editorActionListForeground","Action List foreground color."));It("editorActionList.focusForeground",Xne,W("editorActionListFocusForeground","Action List foreground color for the focused item."));It("editorActionList.focusBackground",EB,W("editorActionListFocusBackground","Action List background color for the focused item."));const Cmi=It("menu.border",{dark:null,light:null,hcDark:bl,hcLight:bl},W("menuBorder","Border color of menus.")),Smi=It("menu.foreground",F$e,W("menuForeground","Foreground color of menu items.")),wmi=It("menu.background",swe,W("menuBackground","Background color of menu items.")),xmi=It("menu.selectionForeground",Xne,W("menuSelectionForeground","Foreground color of the selected menu item in menus.")),kmi=It("menu.selectionBackground",EB,W("menuSelectionBackground","Background color of the selected menu item in menus.")),Tmi=It("menu.selectionBorder",{dark:null,light:null,hcDark:yf,hcLight:yf},W("menuSelectionBorder","Border color of the selected menu item in menus.")),Dmi=It("menu.separatorBackground",{dark:"#606060",light:"#D4D4D4",hcDark:bl,hcLight:bl},W("menuSeparatorBackground","Color of a separator menu item in menus.")),Lbt=It("quickInput.background",Cw,W("pickerBackground","Quick picker background color. The quick picker widget is the container for pickers like the command palette.")),Emi=It("quickInput.foreground",ewe,W("pickerForeground","Quick picker foreground color. The quick picker widget is the container for pickers like the command palette.")),Imi=It("quickInputTitle.background",{dark:new In(new El(255,255,255,.105)),light:new In(new El(0,0,0,.06)),hcDark:"#000000",hcLight:In.white},W("pickerTitleBackground","Quick picker title background color. The quick picker widget is the container for pickers like the command palette.")),qTt=It("pickerGroup.foreground",{dark:"#3794FF",light:"#0066BF",hcDark:In.white,hcLight:"#0F4A85"},W("pickerGroupForeground","Quick picker color for grouping labels.")),Nmi=It("pickerGroup.border",{dark:"#3F3F46",light:"#CCCEDB",hcDark:In.white,hcLight:"#0F4A85"},W("pickerGroupBorder","Quick picker color for grouping borders.")),Pbt=It("quickInput.list.focusBackground",null,"",void 0,W("quickInput.list.focusBackground deprecation","Please use quickInputList.focusBackground instead")),Qne=It("quickInputList.focusForeground",Xne,W("quickInput.listFocusForeground","Quick picker foreground color for the focused item.")),W$e=It("quickInputList.focusIconForeground",HTt,W("quickInput.listFocusIconForeground","Quick picker icon foreground color for the focused item.")),Zne=It("quickInputList.focusBackground",{dark:Jne(Pbt,EB),light:Jne(Pbt,EB),hcDark:null,hcLight:null},W("quickInput.listFocusBackground","Quick picker background color for the focused item."));It("search.resultsInfoForeground",{light:kc,dark:hc(kc,.65),hcDark:kc,hcLight:kc},W("search.resultsInfoForeground","Color of the text in the search viewlet's completion message."));It("searchEditor.findMatchBackground",{light:hc(H4,.66),dark:hc(H4,.66),hcDark:H4,hcLight:H4},W("searchEditor.queryMatch","Color of the Search Editor query matches."));It("searchEditor.findMatchBorder",{light:hc(AM,.66),dark:hc(AM,.66),hcDark:AM,hcLight:AM},W("searchEditor.editorFindMatchBorder","Border color of the Search Editor query matches."));var Lmi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Abt=function(l,e){return function(i,n){e(i,n,l)}};const jL=jc("hoverService");let P$=class extends xi{get delay(){return this.isInstantlyHovering()?0:this._delay}constructor(e,i,n={},s,c){super(),this.placement=e,this.instantHover=i,this.overrideOptions=n,this.configurationService=s,this.hoverService=c,this.lastHoverHideTime=0,this.timeLimit=200,this.hoverDisposables=this._register(new wn),this._delay=this.configurationService.getValue("workbench.hover.delay"),this._register(this.configurationService.onDidChangeConfiguration(d=>{d.affectsConfiguration("workbench.hover.delay")&&(this._delay=this.configurationService.getValue("workbench.hover.delay"))}))}showHover(e,i){const n=typeof this.overrideOptions=="function"?this.overrideOptions(e,i):this.overrideOptions;this.hoverDisposables.clear();const s=Mm(e.target)?[e.target]:e.target.targetElements;for(const d of s)this.hoverDisposables.add(ph(d,"keydown",f=>{f.equals(9)&&this.hoverService.hideHover()}));const c=Mm(e.content)?void 0:e.content.toString();return this.hoverService.showHover({...e,...n,persistence:{hideOnKeyDown:!0,...n.persistence},id:c,appearance:{...e.appearance,compact:!0,skipFadeInAnimation:this.isInstantlyHovering(),...n.appearance}},i)}isInstantlyHovering(){return this.instantHover&&Date.now()-this.lastHoverHideTime<this.timeLimit}onDidHideHover(){this.hoverDisposables.clear(),this.instantHover&&(this.lastHoverHideTime=Date.now())}};P$=Lmi([Abt(3,Cc),Abt(4,jL)],P$);const b6=jc("contextViewService"),eS=jc("contextMenuService"),pu=jc("keybindingService");class bJ{constructor(){this._hooks=new wn,this._pointerMoveCallback=null,this._onStopCallback=null}dispose(){this.stopMonitoring(!1),this._hooks.dispose()}stopMonitoring(e,i){if(!this.isMonitoring())return;this._hooks.clear(),this._pointerMoveCallback=null;const n=this._onStopCallback;this._onStopCallback=null,e&&n&&n(i)}isMonitoring(){return!!this._pointerMoveCallback}startMonitoring(e,i,n,s,c){this.isMonitoring()&&this.stopMonitoring(!1),this._pointerMoveCallback=s,this._onStopCallback=c;let d=e;try{e.setPointerCapture(i),this._hooks.add(fo(()=>{try{e.releasePointerCapture(i)}catch{}}))}catch{d=Eo(e)}this._hooks.add(en(d,br.POINTER_MOVE,f=>{if(f.buttons!==n){this.stopMonitoring(!0);return}f.preventDefault(),this._pointerMoveCallback(f)})),this._hooks.add(en(d,br.POINTER_UP,f=>this.stopMonitoring(!0)))}}function x_(l,e,i){let n=null,s=null;if(typeof i.value=="function"?(n="value",s=i.value,s.length!==0&&console.warn("Memoize should only be used in functions with zero parameters")):typeof i.get=="function"&&(n="get",s=i.get),!s)throw new Error("not supported");const c=`$memoize$${e}`;i[n]=function(...d){return this.hasOwnProperty(c)||Object.defineProperty(this,c,{configurable:!1,enumerable:!1,writable:!1,value:s.apply(this,d)}),this[c]}}var Pmi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Ud;(function(l){l.Tap="-monaco-gesturetap",l.Change="-monaco-gesturechange",l.Start="-monaco-gesturestart",l.End="-monaco-gesturesend",l.Contextmenu="-monaco-gesturecontextmenu"})(Ud||(Ud={}));const w0=class w0 extends xi{constructor(){super(),this.dispatched=!1,this.targets=new _v,this.ignoreTargets=new _v,this.activeTouches={},this.handle=null,this._lastSetTapCountTime=0,this._register(Tr.runAndSubscribe(OSe,({window:e,disposables:i})=>{i.add(en(e.document,"touchstart",n=>this.onTouchStart(n),{passive:!1})),i.add(en(e.document,"touchend",n=>this.onTouchEnd(e,n))),i.add(en(e.document,"touchmove",n=>this.onTouchMove(n),{passive:!1}))},{window:cd,disposables:this._store}))}static addTarget(e){if(!w0.isTouchDevice())return xi.None;w0.INSTANCE||(w0.INSTANCE=new w0);const i=w0.INSTANCE.targets.push(e);return fo(i)}static ignoreTarget(e){if(!w0.isTouchDevice())return xi.None;w0.INSTANCE||(w0.INSTANCE=new w0);const i=w0.INSTANCE.ignoreTargets.push(e);return fo(i)}static isTouchDevice(){return"ontouchstart"in cd||navigator.maxTouchPoints>0}dispose(){this.handle&&(this.handle.dispose(),this.handle=null),super.dispose()}onTouchStart(e){const i=Date.now();this.handle&&(this.handle.dispose(),this.handle=null);for(let n=0,s=e.targetTouches.length;n<s;n++){const c=e.targetTouches.item(n);this.activeTouches[c.identifier]={id:c.identifier,initialTarget:c.target,initialTimeStamp:i,initialPageX:c.pageX,initialPageY:c.pageY,rollingTimestamps:[i],rollingPageX:[c.pageX],rollingPageY:[c.pageY]};const d=this.newGestureEvent(Ud.Start,c.target);d.pageX=c.pageX,d.pageY=c.pageY,this.dispatchEvent(d)}this.dispatched&&(e.preventDefault(),e.stopPropagation(),this.dispatched=!1)}onTouchEnd(e,i){const n=Date.now(),s=Object.keys(this.activeTouches).length;for(let c=0,d=i.changedTouches.length;c<d;c++){const f=i.changedTouches.item(c);if(!this.activeTouches.hasOwnProperty(String(f.identifier))){console.warn("move of an UNKNOWN touch",f);continue}const m=this.activeTouches[f.identifier],v=Date.now()-m.initialTimeStamp;if(v<w0.HOLD_DELAY&&Math.abs(m.initialPageX-sk(m.rollingPageX))<30&&Math.abs(m.initialPageY-sk(m.rollingPageY))<30){const x=this.newGestureEvent(Ud.Tap,m.initialTarget);x.pageX=sk(m.rollingPageX),x.pageY=sk(m.rollingPageY),this.dispatchEvent(x)}else if(v>=w0.HOLD_DELAY&&Math.abs(m.initialPageX-sk(m.rollingPageX))<30&&Math.abs(m.initialPageY-sk(m.rollingPageY))<30){const x=this.newGestureEvent(Ud.Contextmenu,m.initialTarget);x.pageX=sk(m.rollingPageX),x.pageY=sk(m.rollingPageY),this.dispatchEvent(x)}else if(s===1){const x=sk(m.rollingPageX),w=sk(m.rollingPageY),I=sk(m.rollingTimestamps)-m.rollingTimestamps[0],P=x-m.rollingPageX[0],O=w-m.rollingPageY[0],z=[...this.targets].filter(J=>m.initialTarget instanceof Node&&J.contains(m.initialTarget));this.inertia(e,z,n,Math.abs(P)/I,P>0?1:-1,x,Math.abs(O)/I,O>0?1:-1,w)}this.dispatchEvent(this.newGestureEvent(Ud.End,m.initialTarget)),delete this.activeTouches[f.identifier]}this.dispatched&&(i.preventDefault(),i.stopPropagation(),this.dispatched=!1)}newGestureEvent(e,i){const n=document.createEvent("CustomEvent");return n.initEvent(e,!1,!0),n.initialTarget=i,n.tapCount=0,n}dispatchEvent(e){if(e.type===Ud.Tap){const i=new Date().getTime();let n=0;i-this._lastSetTapCountTime>w0.CLEAR_TAP_COUNT_TIME?n=1:n=2,this._lastSetTapCountTime=i,e.tapCount=n}else(e.type===Ud.Change||e.type===Ud.Contextmenu)&&(this._lastSetTapCountTime=0);if(e.initialTarget instanceof Node){for(const n of this.ignoreTargets)if(n.contains(e.initialTarget))return;const i=[];for(const n of this.targets)if(n.contains(e.initialTarget)){let s=0,c=e.initialTarget;for(;c&&c!==n;)s++,c=c.parentElement;i.push([s,n])}i.sort((n,s)=>n[0]-s[0]);for(const[n,s]of i)s.dispatchEvent(e),this.dispatched=!0}}inertia(e,i,n,s,c,d,f,m,v){this.handle=ZC(e,()=>{const x=Date.now(),w=x-n;let I=0,P=0,O=!0;s+=w0.SCROLL_FRICTION*w,f+=w0.SCROLL_FRICTION*w,s>0&&(O=!1,I=c*s*w),f>0&&(O=!1,P=m*f*w);const z=this.newGestureEvent(Ud.Change);z.translationX=I,z.translationY=P,i.forEach(J=>J.dispatchEvent(z)),O||this.inertia(e,i,x,s,c,d+I,f,m,v+P)})}onTouchMove(e){const i=Date.now();for(let n=0,s=e.changedTouches.length;n<s;n++){const c=e.changedTouches.item(n);if(!this.activeTouches.hasOwnProperty(String(c.identifier))){console.warn("end of an UNKNOWN touch",c);continue}const d=this.activeTouches[c.identifier],f=this.newGestureEvent(Ud.Change,d.initialTarget);f.translationX=c.pageX-sk(d.rollingPageX),f.translationY=c.pageY-sk(d.rollingPageY),f.pageX=c.pageX,f.pageY=c.pageY,this.dispatchEvent(f),d.rollingPageX.length>3&&(d.rollingPageX.shift(),d.rollingPageY.shift(),d.rollingTimestamps.shift()),d.rollingPageX.push(c.pageX),d.rollingPageY.push(c.pageY),d.rollingTimestamps.push(i)}this.dispatched&&(e.preventDefault(),e.stopPropagation(),this.dispatched=!1)}};w0.SCROLL_FRICTION=-.005,w0.HOLD_DELAY=700,w0.CLEAR_TAP_COUNT_TIME=400;let y1=w0;Pmi([x_],y1,"isTouchDevice",null);let Aw=class extends xi{onclick(e,i){this._register(en(e,br.CLICK,n=>i(new hT(Eo(e),n))))}onmousedown(e,i){this._register(en(e,br.MOUSE_DOWN,n=>i(new hT(Eo(e),n))))}onmouseover(e,i){this._register(en(e,br.MOUSE_OVER,n=>i(new hT(Eo(e),n))))}onmouseleave(e,i){this._register(en(e,br.MOUSE_LEAVE,n=>i(new hT(Eo(e),n))))}onkeydown(e,i){this._register(en(e,br.KEY_DOWN,n=>i(new Id(n))))}onkeyup(e,i){this._register(en(e,br.KEY_UP,n=>i(new Id(n))))}oninput(e,i){this._register(en(e,br.INPUT,i))}onblur(e,i){this._register(en(e,br.BLUR,i))}onfocus(e,i){this._register(en(e,br.FOCUS,i))}ignoreGesture(e){return y1.ignoreTarget(e)}};const A$=11;class Ami extends Aw{constructor(e){super(),this._onActivate=e.onActivate,this.bgDomNode=document.createElement("div"),this.bgDomNode.className="arrow-background",this.bgDomNode.style.position="absolute",this.bgDomNode.style.width=e.bgWidth+"px",this.bgDomNode.style.height=e.bgHeight+"px",typeof e.top<"u"&&(this.bgDomNode.style.top="0px"),typeof e.left<"u"&&(this.bgDomNode.style.left="0px"),typeof e.bottom<"u"&&(this.bgDomNode.style.bottom="0px"),typeof e.right<"u"&&(this.bgDomNode.style.right="0px"),this.domNode=document.createElement("div"),this.domNode.className=e.className,this.domNode.classList.add(...zo.asClassNameArray(e.icon)),this.domNode.style.position="absolute",this.domNode.style.width=A$+"px",this.domNode.style.height=A$+"px",typeof e.top<"u"&&(this.domNode.style.top=e.top+"px"),typeof e.left<"u"&&(this.domNode.style.left=e.left+"px"),typeof e.bottom<"u"&&(this.domNode.style.bottom=e.bottom+"px"),typeof e.right<"u"&&(this.domNode.style.right=e.right+"px"),this._pointerMoveMonitor=this._register(new bJ),this._register(ph(this.bgDomNode,br.POINTER_DOWN,i=>this._arrowPointerDown(i))),this._register(ph(this.domNode,br.POINTER_DOWN,i=>this._arrowPointerDown(i))),this._pointerdownRepeatTimer=this._register(new l$e),this._pointerdownScheduleRepeatTimer=this._register(new TT)}_arrowPointerDown(e){if(!e.target||!(e.target instanceof Element))return;const i=()=>{this._pointerdownRepeatTimer.cancelAndSet(()=>this._onActivate(),1e3/24,Eo(e))};this._onActivate(),this._pointerdownRepeatTimer.cancel(),this._pointerdownScheduleRepeatTimer.cancelAndSet(i,200),this._pointerMoveMonitor.startMonitoring(e.target,e.pointerId,e.buttons,n=>{},()=>{this._pointerdownRepeatTimer.cancel(),this._pointerdownScheduleRepeatTimer.cancel()}),e.preventDefault()}}class Omi extends xi{constructor(e,i,n){super(),this._visibility=e,this._visibleClassName=i,this._invisibleClassName=n,this._domNode=null,this._isVisible=!1,this._isNeeded=!1,this._rawShouldBeVisible=!1,this._shouldBeVisible=!1,this._revealTimer=this._register(new TT)}setVisibility(e){this._visibility!==e&&(this._visibility=e,this._updateShouldBeVisible())}setShouldBeVisible(e){this._rawShouldBeVisible=e,this._updateShouldBeVisible()}_applyVisibilitySetting(){return this._visibility===2?!1:this._visibility===3?!0:this._rawShouldBeVisible}_updateShouldBeVisible(){const e=this._applyVisibilitySetting();this._shouldBeVisible!==e&&(this._shouldBeVisible=e,this.ensureVisibility())}setIsNeeded(e){this._isNeeded!==e&&(this._isNeeded=e,this.ensureVisibility())}setDomNode(e){this._domNode=e,this._domNode.setClassName(this._invisibleClassName),this.setShouldBeVisible(!1)}ensureVisibility(){if(!this._isNeeded){this._hide(!1);return}this._shouldBeVisible?this._reveal():this._hide(!0)}_reveal(){this._isVisible||(this._isVisible=!0,this._revealTimer.setIfNotSet(()=>{var e;(e=this._domNode)==null||e.setClassName(this._visibleClassName)},0))}_hide(e){var i;this._revealTimer.cancel(),this._isVisible&&(this._isVisible=!1,(i=this._domNode)==null||i.setClassName(this._invisibleClassName+(e?" fade":"")))}}const Mmi=140;class $Tt extends Aw{constructor(e){super(),this._lazyRender=e.lazyRender,this._host=e.host,this._scrollable=e.scrollable,this._scrollByPage=e.scrollByPage,this._scrollbarState=e.scrollbarState,this._visibilityController=this._register(new Omi(e.visibility,"visible scrollbar "+e.extraScrollbarClassName,"invisible scrollbar "+e.extraScrollbarClassName)),this._visibilityController.setIsNeeded(this._scrollbarState.isNeeded()),this._pointerMoveMonitor=this._register(new bJ),this._shouldRender=!0,this.domNode=_u(document.createElement("div")),this.domNode.setAttribute("role","presentation"),this.domNode.setAttribute("aria-hidden","true"),this._visibilityController.setDomNode(this.domNode),this.domNode.setPosition("absolute"),this._register(en(this.domNode.domNode,br.POINTER_DOWN,i=>this._domNodePointerDown(i)))}_createArrow(e){const i=this._register(new Ami(e));this.domNode.domNode.appendChild(i.bgDomNode),this.domNode.domNode.appendChild(i.domNode)}_createSlider(e,i,n,s){this.slider=_u(document.createElement("div")),this.slider.setClassName("slider"),this.slider.setPosition("absolute"),this.slider.setTop(e),this.slider.setLeft(i),typeof n=="number"&&this.slider.setWidth(n),typeof s=="number"&&this.slider.setHeight(s),this.slider.setLayerHinting(!0),this.slider.setContain("strict"),this.domNode.domNode.appendChild(this.slider.domNode),this._register(en(this.slider.domNode,br.POINTER_DOWN,c=>{c.button===0&&(c.preventDefault(),this._sliderPointerDown(c))})),this.onclick(this.slider.domNode,c=>{c.leftButton&&c.stopPropagation()})}_onElementSize(e){return this._scrollbarState.setVisibleSize(e)&&(this._visibilityController.setIsNeeded(this._scrollbarState.isNeeded()),this._shouldRender=!0,this._lazyRender||this.render()),this._shouldRender}_onElementScrollSize(e){return this._scrollbarState.setScrollSize(e)&&(this._visibilityController.setIsNeeded(this._scrollbarState.isNeeded()),this._shouldRender=!0,this._lazyRender||this.render()),this._shouldRender}_onElementScrollPosition(e){return this._scrollbarState.setScrollPosition(e)&&(this._visibilityController.setIsNeeded(this._scrollbarState.isNeeded()),this._shouldRender=!0,this._lazyRender||this.render()),this._shouldRender}beginReveal(){this._visibilityController.setShouldBeVisible(!0)}beginHide(){this._visibilityController.setShouldBeVisible(!1)}render(){this._shouldRender&&(this._shouldRender=!1,this._renderDomNode(this._scrollbarState.getRectangleLargeSize(),this._scrollbarState.getRectangleSmallSize()),this._updateSlider(this._scrollbarState.getSliderSize(),this._scrollbarState.getArrowSize()+this._scrollbarState.getSliderPosition()))}_domNodePointerDown(e){e.target===this.domNode.domNode&&this._onPointerDown(e)}delegatePointerDown(e){const i=this.domNode.domNode.getClientRects()[0].top,n=i+this._scrollbarState.getSliderPosition(),s=i+this._scrollbarState.getSliderPosition()+this._scrollbarState.getSliderSize(),c=this._sliderPointerPosition(e);n<=c&&c<=s?e.button===0&&(e.preventDefault(),this._sliderPointerDown(e)):this._onPointerDown(e)}_onPointerDown(e){let i,n;if(e.target===this.domNode.domNode&&typeof e.offsetX=="number"&&typeof e.offsetY=="number")i=e.offsetX,n=e.offsetY;else{const c=e_(this.domNode.domNode);i=e.pageX-c.left,n=e.pageY-c.top}const s=this._pointerDownRelativePosition(i,n);this._setDesiredScrollPositionNow(this._scrollByPage?this._scrollbarState.getDesiredScrollPositionFromOffsetPaged(s):this._scrollbarState.getDesiredScrollPositionFromOffset(s)),e.button===0&&(e.preventDefault(),this._sliderPointerDown(e))}_sliderPointerDown(e){if(!e.target||!(e.target instanceof Element))return;const i=this._sliderPointerPosition(e),n=this._sliderOrthogonalPointerPosition(e),s=this._scrollbarState.clone();this.slider.toggleClassName("active",!0),this._pointerMoveMonitor.startMonitoring(e.target,e.pointerId,e.buttons,c=>{const d=this._sliderOrthogonalPointerPosition(c),f=Math.abs(d-n);if(I0&&f>Mmi){this._setDesiredScrollPositionNow(s.getScrollPosition());return}const v=this._sliderPointerPosition(c)-i;this._setDesiredScrollPositionNow(s.getDesiredScrollPositionFromDelta(v))},()=>{this.slider.toggleClassName("active",!1),this._host.onDragEnd()}),this._host.onDragStart()}_setDesiredScrollPositionNow(e){const i={};this.writeScrollPosition(i,e),this._scrollable.setScrollPositionNow(i)}updateScrollbarSize(e){this._updateScrollbarSize(e),this._scrollbarState.setScrollbarSize(e),this._shouldRender=!0,this._lazyRender||this.render()}isNeeded(){return this._scrollbarState.isNeeded()}}const Rmi=20;class O${constructor(e,i,n,s,c,d){this._scrollbarSize=Math.round(i),this._oppositeScrollbarSize=Math.round(n),this._arrowSize=Math.round(e),this._visibleSize=s,this._scrollSize=c,this._scrollPosition=d,this._computedAvailableSize=0,this._computedIsNeeded=!1,this._computedSliderSize=0,this._computedSliderRatio=0,this._computedSliderPosition=0,this._refreshComputedValues()}clone(){return new O$(this._arrowSize,this._scrollbarSize,this._oppositeScrollbarSize,this._visibleSize,this._scrollSize,this._scrollPosition)}setVisibleSize(e){const i=Math.round(e);return this._visibleSize!==i?(this._visibleSize=i,this._refreshComputedValues(),!0):!1}setScrollSize(e){const i=Math.round(e);return this._scrollSize!==i?(this._scrollSize=i,this._refreshComputedValues(),!0):!1}setScrollPosition(e){const i=Math.round(e);return this._scrollPosition!==i?(this._scrollPosition=i,this._refreshComputedValues(),!0):!1}setScrollbarSize(e){this._scrollbarSize=Math.round(e)}setOppositeScrollbarSize(e){this._oppositeScrollbarSize=Math.round(e)}static _computeValues(e,i,n,s,c){const d=Math.max(0,n-e),f=Math.max(0,d-2*i),m=s>0&&s>n;if(!m)return{computedAvailableSize:Math.round(d),computedIsNeeded:m,computedSliderSize:Math.round(f),computedSliderRatio:0,computedSliderPosition:0};const v=Math.round(Math.max(Rmi,Math.floor(n*f/s))),x=(f-v)/(s-n),w=c*x;return{computedAvailableSize:Math.round(d),computedIsNeeded:m,computedSliderSize:Math.round(v),computedSliderRatio:x,computedSliderPosition:Math.round(w)}}_refreshComputedValues(){const e=O$._computeValues(this._oppositeScrollbarSize,this._arrowSize,this._visibleSize,this._scrollSize,this._scrollPosition);this._computedAvailableSize=e.computedAvailableSize,this._computedIsNeeded=e.computedIsNeeded,this._computedSliderSize=e.computedSliderSize,this._computedSliderRatio=e.computedSliderRatio,this._computedSliderPosition=e.computedSliderPosition}getArrowSize(){return this._arrowSize}getScrollPosition(){return this._scrollPosition}getRectangleLargeSize(){return this._computedAvailableSize}getRectangleSmallSize(){return this._scrollbarSize}isNeeded(){return this._computedIsNeeded}getSliderSize(){return this._computedSliderSize}getSliderPosition(){return this._computedSliderPosition}getDesiredScrollPositionFromOffset(e){if(!this._computedIsNeeded)return 0;const i=e-this._arrowSize-this._computedSliderSize/2;return Math.round(i/this._computedSliderRatio)}getDesiredScrollPositionFromOffsetPaged(e){if(!this._computedIsNeeded)return 0;const i=e-this._arrowSize;let n=this._scrollPosition;return i<this._computedSliderPosition?n-=this._visibleSize:n+=this._visibleSize,n}getDesiredScrollPositionFromDelta(e){if(!this._computedIsNeeded)return 0;const i=this._computedSliderPosition+e;return Math.round(i/this._computedSliderRatio)}}class Fmi extends $Tt{constructor(e,i,n){const s=e.getScrollDimensions(),c=e.getCurrentScrollPosition();if(super({lazyRender:i.lazyRender,host:n,scrollbarState:new O$(i.horizontalHasArrows?i.arrowSize:0,i.horizontal===2?0:i.horizontalScrollbarSize,i.vertical===2?0:i.verticalScrollbarSize,s.width,s.scrollWidth,c.scrollLeft),visibility:i.horizontal,extraScrollbarClassName:"horizontal",scrollable:e,scrollByPage:i.scrollByPage}),i.horizontalHasArrows){const d=(i.arrowSize-A$)/2,f=(i.horizontalScrollbarSize-A$)/2;this._createArrow({className:"scra",icon:pr.scrollbarButtonLeft,top:f,left:d,bottom:void 0,right:void 0,bgWidth:i.arrowSize,bgHeight:i.horizontalScrollbarSize,onActivate:()=>this._host.onMouseWheel(new xB(null,1,0))}),this._createArrow({className:"scra",icon:pr.scrollbarButtonRight,top:f,left:void 0,bottom:void 0,right:d,bgWidth:i.arrowSize,bgHeight:i.horizontalScrollbarSize,onActivate:()=>this._host.onMouseWheel(new xB(null,-1,0))})}this._createSlider(Math.floor((i.horizontalScrollbarSize-i.horizontalSliderSize)/2),0,void 0,i.horizontalSliderSize)}_updateSlider(e,i){this.slider.setWidth(e),this.slider.setLeft(i)}_renderDomNode(e,i){this.domNode.setWidth(e),this.domNode.setHeight(i),this.domNode.setLeft(0),this.domNode.setBottom(0)}onDidScroll(e){return this._shouldRender=this._onElementScrollSize(e.scrollWidth)||this._shouldRender,this._shouldRender=this._onElementScrollPosition(e.scrollLeft)||this._shouldRender,this._shouldRender=this._onElementSize(e.width)||this._shouldRender,this._shouldRender}_pointerDownRelativePosition(e,i){return e}_sliderPointerPosition(e){return e.pageX}_sliderOrthogonalPointerPosition(e){return e.pageY}_updateScrollbarSize(e){this.slider.setHeight(e)}writeScrollPosition(e,i){e.scrollLeft=i}updateOptions(e){this.updateScrollbarSize(e.horizontal===2?0:e.horizontalScrollbarSize),this._scrollbarState.setOppositeScrollbarSize(e.vertical===2?0:e.verticalScrollbarSize),this._visibilityController.setVisibility(e.horizontal),this._scrollByPage=e.scrollByPage}}class Bmi extends $Tt{constructor(e,i,n){const s=e.getScrollDimensions(),c=e.getCurrentScrollPosition();if(super({lazyRender:i.lazyRender,host:n,scrollbarState:new O$(i.verticalHasArrows?i.arrowSize:0,i.vertical===2?0:i.verticalScrollbarSize,0,s.height,s.scrollHeight,c.scrollTop),visibility:i.vertical,extraScrollbarClassName:"vertical",scrollable:e,scrollByPage:i.scrollByPage}),i.verticalHasArrows){const d=(i.arrowSize-A$)/2,f=(i.verticalScrollbarSize-A$)/2;this._createArrow({className:"scra",icon:pr.scrollbarButtonUp,top:d,left:f,bottom:void 0,right:void 0,bgWidth:i.verticalScrollbarSize,bgHeight:i.arrowSize,onActivate:()=>this._host.onMouseWheel(new xB(null,0,1))}),this._createArrow({className:"scra",icon:pr.scrollbarButtonDown,top:void 0,left:f,bottom:d,right:void 0,bgWidth:i.verticalScrollbarSize,bgHeight:i.arrowSize,onActivate:()=>this._host.onMouseWheel(new xB(null,0,-1))})}this._createSlider(0,Math.floor((i.verticalScrollbarSize-i.verticalSliderSize)/2),i.verticalSliderSize,void 0)}_updateSlider(e,i){this.slider.setHeight(e),this.slider.setTop(i)}_renderDomNode(e,i){this.domNode.setWidth(i),this.domNode.setHeight(e),this.domNode.setRight(0),this.domNode.setTop(0)}onDidScroll(e){return this._shouldRender=this._onElementScrollSize(e.scrollHeight)||this._shouldRender,this._shouldRender=this._onElementScrollPosition(e.scrollTop)||this._shouldRender,this._shouldRender=this._onElementSize(e.height)||this._shouldRender,this._shouldRender}_pointerDownRelativePosition(e,i){return i}_sliderPointerPosition(e){return e.pageY}_sliderOrthogonalPointerPosition(e){return e.pageX}_updateScrollbarSize(e){this.slider.setWidth(e)}writeScrollPosition(e,i){e.scrollTop=i}updateOptions(e){this.updateScrollbarSize(e.vertical===2?0:e.verticalScrollbarSize),this._scrollbarState.setOppositeScrollbarSize(0),this._visibilityController.setVisibility(e.vertical),this._scrollByPage=e.scrollByPage}}class Dye{constructor(e,i,n,s,c,d,f){this._forceIntegerValues=e,this._scrollStateBrand=void 0,this._forceIntegerValues&&(i=i|0,n=n|0,s=s|0,c=c|0,d=d|0,f=f|0),this.rawScrollLeft=s,this.rawScrollTop=f,i<0&&(i=0),s+i>n&&(s=n-i),s<0&&(s=0),c<0&&(c=0),f+c>d&&(f=d-c),f<0&&(f=0),this.width=i,this.scrollWidth=n,this.scrollLeft=s,this.height=c,this.scrollHeight=d,this.scrollTop=f}equals(e){return this.rawScrollLeft===e.rawScrollLeft&&this.rawScrollTop===e.rawScrollTop&&this.width===e.width&&this.scrollWidth===e.scrollWidth&&this.scrollLeft===e.scrollLeft&&this.height===e.height&&this.scrollHeight===e.scrollHeight&&this.scrollTop===e.scrollTop}withScrollDimensions(e,i){return new Dye(this._forceIntegerValues,typeof e.width<"u"?e.width:this.width,typeof e.scrollWidth<"u"?e.scrollWidth:this.scrollWidth,i?this.rawScrollLeft:this.scrollLeft,typeof e.height<"u"?e.height:this.height,typeof e.scrollHeight<"u"?e.scrollHeight:this.scrollHeight,i?this.rawScrollTop:this.scrollTop)}withScrollPosition(e){return new Dye(this._forceIntegerValues,this.width,this.scrollWidth,typeof e.scrollLeft<"u"?e.scrollLeft:this.rawScrollLeft,this.height,this.scrollHeight,typeof e.scrollTop<"u"?e.scrollTop:this.rawScrollTop)}createScrollEvent(e,i){const n=this.width!==e.width,s=this.scrollWidth!==e.scrollWidth,c=this.scrollLeft!==e.scrollLeft,d=this.height!==e.height,f=this.scrollHeight!==e.scrollHeight,m=this.scrollTop!==e.scrollTop;return{inSmoothScrolling:i,oldWidth:e.width,oldScrollWidth:e.scrollWidth,oldScrollLeft:e.scrollLeft,width:this.width,scrollWidth:this.scrollWidth,scrollLeft:this.scrollLeft,oldHeight:e.height,oldScrollHeight:e.scrollHeight,oldScrollTop:e.scrollTop,height:this.height,scrollHeight:this.scrollHeight,scrollTop:this.scrollTop,widthChanged:n,scrollWidthChanged:s,scrollLeftChanged:c,heightChanged:d,scrollHeightChanged:f,scrollTopChanged:m}}}class CJ extends xi{constructor(e){super(),this._scrollableBrand=void 0,this._onScroll=this._register(new gi),this.onScroll=this._onScroll.event,this._smoothScrollDuration=e.smoothScrollDuration,this._scheduleAtNextAnimationFrame=e.scheduleAtNextAnimationFrame,this._state=new Dye(e.forceIntegerValues,0,0,0,0,0,0),this._smoothScrolling=null}dispose(){this._smoothScrolling&&(this._smoothScrolling.dispose(),this._smoothScrolling=null),super.dispose()}setSmoothScrollDuration(e){this._smoothScrollDuration=e}validateScrollPosition(e){return this._state.withScrollPosition(e)}getScrollDimensions(){return this._state}setScrollDimensions(e,i){var s;const n=this._state.withScrollDimensions(e,i);this._setState(n,!!this._smoothScrolling),(s=this._smoothScrolling)==null||s.acceptScrollDimensions(this._state)}getFutureScrollPosition(){return this._smoothScrolling?this._smoothScrolling.to:this._state}getCurrentScrollPosition(){return this._state}setScrollPositionNow(e){const i=this._state.withScrollPosition(e);this._smoothScrolling&&(this._smoothScrolling.dispose(),this._smoothScrolling=null),this._setState(i,!1)}setScrollPositionSmooth(e,i){if(this._smoothScrollDuration===0)return this.setScrollPositionNow(e);if(this._smoothScrolling){e={scrollLeft:typeof e.scrollLeft>"u"?this._smoothScrolling.to.scrollLeft:e.scrollLeft,scrollTop:typeof e.scrollTop>"u"?this._smoothScrolling.to.scrollTop:e.scrollTop};const n=this._state.withScrollPosition(e);if(this._smoothScrolling.to.scrollLeft===n.scrollLeft&&this._smoothScrolling.to.scrollTop===n.scrollTop)return;let s;i?s=new Yne(this._smoothScrolling.from,n,this._smoothScrolling.startTime,this._smoothScrolling.duration):s=this._smoothScrolling.combine(this._state,n,this._smoothScrollDuration),this._smoothScrolling.dispose(),this._smoothScrolling=s}else{const n=this._state.withScrollPosition(e);this._smoothScrolling=Yne.start(this._state,n,this._smoothScrollDuration)}this._smoothScrolling.animationFrameDisposable=this._scheduleAtNextAnimationFrame(()=>{this._smoothScrolling&&(this._smoothScrolling.animationFrameDisposable=null,this._performSmoothScrolling())})}hasPendingScrollAnimation(){return!!this._smoothScrolling}_performSmoothScrolling(){if(!this._smoothScrolling)return;const e=this._smoothScrolling.tick(),i=this._state.withScrollPosition(e);if(this._setState(i,!0),!!this._smoothScrolling){if(e.isDone){this._smoothScrolling.dispose(),this._smoothScrolling=null;return}this._smoothScrolling.animationFrameDisposable=this._scheduleAtNextAnimationFrame(()=>{this._smoothScrolling&&(this._smoothScrolling.animationFrameDisposable=null,this._performSmoothScrolling())})}}_setState(e,i){const n=this._state;n.equals(e)||(this._state=e,this._onScroll.fire(this._state.createScrollEvent(n,i)))}}class Obt{constructor(e,i,n){this.scrollLeft=e,this.scrollTop=i,this.isDone=n}}function PFe(l,e){const i=e-l;return function(n){return l+i*Hmi(n)}}function Wmi(l,e,i){return function(n){return n<i?l(n/i):e((n-i)/(1-i))}}class Yne{constructor(e,i,n,s){this.from=e,this.to=i,this.duration=s,this.startTime=n,this.animationFrameDisposable=null,this._initAnimations()}_initAnimations(){this.scrollLeft=this._initAnimation(this.from.scrollLeft,this.to.scrollLeft,this.to.width),this.scrollTop=this._initAnimation(this.from.scrollTop,this.to.scrollTop,this.to.height)}_initAnimation(e,i,n){if(Math.abs(e-i)>2.5*n){let c,d;return e<i?(c=e+.75*n,d=i-.75*n):(c=e-.75*n,d=i+.75*n),Wmi(PFe(e,c),PFe(d,i),.33)}return PFe(e,i)}dispose(){this.animationFrameDisposable!==null&&(this.animationFrameDisposable.dispose(),this.animationFrameDisposable=null)}acceptScrollDimensions(e){this.to=e.withScrollPosition(this.to),this._initAnimations()}tick(){return this._tick(Date.now())}_tick(e){const i=(e-this.startTime)/this.duration;if(i<1){const n=this.scrollLeft(i),s=this.scrollTop(i);return new Obt(n,s,!1)}return new Obt(this.to.scrollLeft,this.to.scrollTop,!0)}combine(e,i,n){return Yne.start(e,i,n)}static start(e,i,n){n=n+10;const s=Date.now()-10;return new Yne(e,i,s,n)}}function Vmi(l){return Math.pow(l,3)}function Hmi(l){return 1-Vmi(1-l)}const jmi=500,Mbt=50;class zmi{constructor(e,i,n){this.timestamp=e,this.deltaX=i,this.deltaY=n,this.score=0}}const nCe=class nCe{constructor(){this._capacity=5,this._memory=[],this._front=-1,this._rear=-1}isPhysicalMouseWheel(){if(this._front===-1&&this._rear===-1)return!1;let e=1,i=0,n=1,s=this._rear;do{const c=s===this._front?e:Math.pow(2,-n);if(e-=c,i+=this._memory[s].score*c,s===this._front)break;s=(this._capacity+s-1)%this._capacity,n++}while(!0);return i<=.5}acceptStandardWheelEvent(e){if(hse){const i=Eo(e.browserEvent),n=oui(i);this.accept(Date.now(),e.deltaX*n,e.deltaY*n)}else this.accept(Date.now(),e.deltaX,e.deltaY)}accept(e,i,n){let s=null;const c=new zmi(e,i,n);this._front===-1&&this._rear===-1?(this._memory[0]=c,this._front=0,this._rear=0):(s=this._memory[this._rear],this._rear=(this._rear+1)%this._capacity,this._rear===this._front&&(this._front=(this._front+1)%this._capacity),this._memory[this._rear]=c),c.score=this._computeScore(c,s)}_computeScore(e,i){if(Math.abs(e.deltaX)>0&&Math.abs(e.deltaY)>0)return 1;let n=.5;if((!this._isAlmostInt(e.deltaX)||!this._isAlmostInt(e.deltaY))&&(n+=.25),i){const s=Math.abs(e.deltaX),c=Math.abs(e.deltaY),d=Math.abs(i.deltaX),f=Math.abs(i.deltaY),m=Math.max(Math.min(s,d),1),v=Math.max(Math.min(c,f),1),x=Math.max(s,d),w=Math.max(c,f);x%m===0&&w%v===0&&(n-=.5)}return Math.min(Math.max(n,0),1)}_isAlmostInt(e){return Math.abs(Math.round(e)-e)<.01}};nCe.INSTANCE=new nCe;let Eye=nCe;class V$e extends Aw{get options(){return this._options}constructor(e,i,n){super(),this._onScroll=this._register(new gi),this.onScroll=this._onScroll.event,this._onWillScroll=this._register(new gi),e.style.overflow="hidden",this._options=Umi(i),this._scrollable=n,this._register(this._scrollable.onScroll(c=>{this._onWillScroll.fire(c),this._onDidScroll(c),this._onScroll.fire(c)}));const s={onMouseWheel:c=>this._onMouseWheel(c),onDragStart:()=>this._onDragStart(),onDragEnd:()=>this._onDragEnd()};this._verticalScrollbar=this._register(new Bmi(this._scrollable,this._options,s)),this._horizontalScrollbar=this._register(new Fmi(this._scrollable,this._options,s)),this._domNode=document.createElement("div"),this._domNode.className="monaco-scrollable-element "+this._options.className,this._domNode.setAttribute("role","presentation"),this._domNode.style.position="relative",this._domNode.style.overflow="hidden",this._domNode.appendChild(e),this._domNode.appendChild(this._horizontalScrollbar.domNode.domNode),this._domNode.appendChild(this._verticalScrollbar.domNode.domNode),this._options.useShadows?(this._leftShadowDomNode=_u(document.createElement("div")),this._leftShadowDomNode.setClassName("shadow"),this._domNode.appendChild(this._leftShadowDomNode.domNode),this._topShadowDomNode=_u(document.createElement("div")),this._topShadowDomNode.setClassName("shadow"),this._domNode.appendChild(this._topShadowDomNode.domNode),this._topLeftShadowDomNode=_u(document.createElement("div")),this._topLeftShadowDomNode.setClassName("shadow"),this._domNode.appendChild(this._topLeftShadowDomNode.domNode)):(this._leftShadowDomNode=null,this._topShadowDomNode=null,this._topLeftShadowDomNode=null),this._listenOnDomNode=this._options.listenOnDomNode||this._domNode,this._mouseWheelToDispose=[],this._setListeningToMouseWheel(this._options.handleMouseWheel),this.onmouseover(this._listenOnDomNode,c=>this._onMouseOver(c)),this.onmouseleave(this._listenOnDomNode,c=>this._onMouseLeave(c)),this._hideTimeout=this._register(new TT),this._isDragging=!1,this._mouseIsOver=!1,this._shouldRender=!0,this._revealOnScroll=!0}dispose(){this._mouseWheelToDispose=vd(this._mouseWheelToDispose),super.dispose()}getDomNode(){return this._domNode}getOverviewRulerLayoutInfo(){return{parent:this._domNode,insertBefore:this._verticalScrollbar.domNode.domNode}}delegateVerticalScrollbarPointerDown(e){this._verticalScrollbar.delegatePointerDown(e)}getScrollDimensions(){return this._scrollable.getScrollDimensions()}setScrollDimensions(e){this._scrollable.setScrollDimensions(e,!1)}updateClassName(e){this._options.className=e,Hc&&(this._options.className+=" mac"),this._domNode.className="monaco-scrollable-element "+this._options.className}updateOptions(e){typeof e.handleMouseWheel<"u"&&(this._options.handleMouseWheel=e.handleMouseWheel,this._setListeningToMouseWheel(this._options.handleMouseWheel)),typeof e.mouseWheelScrollSensitivity<"u"&&(this._options.mouseWheelScrollSensitivity=e.mouseWheelScrollSensitivity),typeof e.fastScrollSensitivity<"u"&&(this._options.fastScrollSensitivity=e.fastScrollSensitivity),typeof e.scrollPredominantAxis<"u"&&(this._options.scrollPredominantAxis=e.scrollPredominantAxis),typeof e.horizontal<"u"&&(this._options.horizontal=e.horizontal),typeof e.vertical<"u"&&(this._options.vertical=e.vertical),typeof e.horizontalScrollbarSize<"u"&&(this._options.horizontalScrollbarSize=e.horizontalScrollbarSize),typeof e.verticalScrollbarSize<"u"&&(this._options.verticalScrollbarSize=e.verticalScrollbarSize),typeof e.scrollByPage<"u"&&(this._options.scrollByPage=e.scrollByPage),this._horizontalScrollbar.updateOptions(this._options),this._verticalScrollbar.updateOptions(this._options),this._options.lazyRender||this._render()}delegateScrollFromMouseWheelEvent(e){this._onMouseWheel(new xB(e))}_setListeningToMouseWheel(e){if(this._mouseWheelToDispose.length>0!==e&&(this._mouseWheelToDispose=vd(this._mouseWheelToDispose),e)){const n=s=>{this._onMouseWheel(new xB(s))};this._mouseWheelToDispose.push(en(this._listenOnDomNode,br.MOUSE_WHEEL,n,{passive:!1}))}}_onMouseWheel(e){var c;if((c=e.browserEvent)!=null&&c.defaultPrevented)return;const i=Eye.INSTANCE;i.acceptStandardWheelEvent(e);let n=!1;if(e.deltaY||e.deltaX){let d=e.deltaY*this._options.mouseWheelScrollSensitivity,f=e.deltaX*this._options.mouseWheelScrollSensitivity;this._options.scrollPredominantAxis&&(this._options.scrollYToX&&f+d===0?f=d=0:Math.abs(d)>=Math.abs(f)?f=0:d=0),this._options.flipAxes&&([d,f]=[f,d]);const m=!Hc&&e.browserEvent&&e.browserEvent.shiftKey;(this._options.scrollYToX||m)&&!f&&(f=d,d=0),e.browserEvent&&e.browserEvent.altKey&&(f=f*this._options.fastScrollSensitivity,d=d*this._options.fastScrollSensitivity);const v=this._scrollable.getFutureScrollPosition();let x={};if(d){const w=Mbt*d,I=v.scrollTop-(w<0?Math.floor(w):Math.ceil(w));this._verticalScrollbar.writeScrollPosition(x,I)}if(f){const w=Mbt*f,I=v.scrollLeft-(w<0?Math.floor(w):Math.ceil(w));this._horizontalScrollbar.writeScrollPosition(x,I)}x=this._scrollable.validateScrollPosition(x),(v.scrollLeft!==x.scrollLeft||v.scrollTop!==x.scrollTop)&&(this._options.mouseWheelSmoothScroll&&i.isPhysicalMouseWheel()?this._scrollable.setScrollPositionSmooth(x):this._scrollable.setScrollPositionNow(x),n=!0)}let s=n;!s&&this._options.alwaysConsumeMouseWheel&&(s=!0),!s&&this._options.consumeMouseWheelIfScrollbarIsNeeded&&(this._verticalScrollbar.isNeeded()||this._horizontalScrollbar.isNeeded())&&(s=!0),s&&(e.preventDefault(),e.stopPropagation())}_onDidScroll(e){this._shouldRender=this._horizontalScrollbar.onDidScroll(e)||this._shouldRender,this._shouldRender=this._verticalScrollbar.onDidScroll(e)||this._shouldRender,this._options.useShadows&&(this._shouldRender=!0),this._revealOnScroll&&this._reveal(),this._options.lazyRender||this._render()}renderNow(){if(!this._options.lazyRender)throw new Error("Please use `lazyRender` together with `renderNow`!");this._render()}_render(){if(this._shouldRender&&(this._shouldRender=!1,this._horizontalScrollbar.render(),this._verticalScrollbar.render(),this._options.useShadows)){const e=this._scrollable.getCurrentScrollPosition(),i=e.scrollTop>0,n=e.scrollLeft>0,s=n?" left":"",c=i?" top":"",d=n||i?" top-left-corner":"";this._leftShadowDomNode.setClassName(`shadow${s}`),this._topShadowDomNode.setClassName(`shadow${c}`),this._topLeftShadowDomNode.setClassName(`shadow${d}${c}${s}`)}}_onDragStart(){this._isDragging=!0,this._reveal()}_onDragEnd(){this._isDragging=!1,this._hide()}_onMouseLeave(e){this._mouseIsOver=!1,this._hide()}_onMouseOver(e){this._mouseIsOver=!0,this._reveal()}_reveal(){this._verticalScrollbar.beginReveal(),this._horizontalScrollbar.beginReveal(),this._scheduleHide()}_hide(){!this._mouseIsOver&&!this._isDragging&&(this._verticalScrollbar.beginHide(),this._horizontalScrollbar.beginHide())}_scheduleHide(){!this._mouseIsOver&&!this._isDragging&&this._hideTimeout.cancelAndSet(()=>this._hide(),jmi)}}class JTt extends V$e{constructor(e,i){i=i||{},i.mouseWheelSmoothScroll=!1;const n=new CJ({forceIntegerValues:!0,smoothScrollDuration:0,scheduleAtNextAnimationFrame:s=>ZC(Eo(e),s)});super(e,i,n),this._register(n)}setScrollPosition(e){this._scrollable.setScrollPositionNow(e)}}class owe extends V$e{constructor(e,i,n){super(e,i,n)}setScrollPosition(e){e.reuseAnimation?this._scrollable.setScrollPositionSmooth(e,e.reuseAnimation):this._scrollable.setScrollPositionNow(e)}getScrollPosition(){return this._scrollable.getCurrentScrollPosition()}}class vse extends V$e{constructor(e,i){i=i||{},i.mouseWheelSmoothScroll=!1;const n=new CJ({forceIntegerValues:!1,smoothScrollDuration:0,scheduleAtNextAnimationFrame:s=>ZC(Eo(e),s)});super(e,i,n),this._register(n),this._element=e,this._register(this.onScroll(s=>{s.scrollTopChanged&&(this._element.scrollTop=s.scrollTop),s.scrollLeftChanged&&(this._element.scrollLeft=s.scrollLeft)})),this.scanDomNode()}setScrollPosition(e){this._scrollable.setScrollPositionNow(e)}getScrollPosition(){return this._scrollable.getCurrentScrollPosition()}scanDomNode(){this.setScrollDimensions({width:this._element.clientWidth,scrollWidth:this._element.scrollWidth,height:this._element.clientHeight,scrollHeight:this._element.scrollHeight}),this.setScrollPosition({scrollLeft:this._element.scrollLeft,scrollTop:this._element.scrollTop})}}function Umi(l){const e={lazyRender:typeof l.lazyRender<"u"?l.lazyRender:!1,className:typeof l.className<"u"?l.className:"",useShadows:typeof l.useShadows<"u"?l.useShadows:!0,handleMouseWheel:typeof l.handleMouseWheel<"u"?l.handleMouseWheel:!0,flipAxes:typeof l.flipAxes<"u"?l.flipAxes:!1,consumeMouseWheelIfScrollbarIsNeeded:typeof l.consumeMouseWheelIfScrollbarIsNeeded<"u"?l.consumeMouseWheelIfScrollbarIsNeeded:!1,alwaysConsumeMouseWheel:typeof l.alwaysConsumeMouseWheel<"u"?l.alwaysConsumeMouseWheel:!1,scrollYToX:typeof l.scrollYToX<"u"?l.scrollYToX:!1,mouseWheelScrollSensitivity:typeof l.mouseWheelScrollSensitivity<"u"?l.mouseWheelScrollSensitivity:1,fastScrollSensitivity:typeof l.fastScrollSensitivity<"u"?l.fastScrollSensitivity:5,scrollPredominantAxis:typeof l.scrollPredominantAxis<"u"?l.scrollPredominantAxis:!0,mouseWheelSmoothScroll:typeof l.mouseWheelSmoothScroll<"u"?l.mouseWheelSmoothScroll:!0,arrowSize:typeof l.arrowSize<"u"?l.arrowSize:11,listenOnDomNode:typeof l.listenOnDomNode<"u"?l.listenOnDomNode:null,horizontal:typeof l.horizontal<"u"?l.horizontal:1,horizontalScrollbarSize:typeof l.horizontalScrollbarSize<"u"?l.horizontalScrollbarSize:10,horizontalSliderSize:typeof l.horizontalSliderSize<"u"?l.horizontalSliderSize:0,horizontalHasArrows:typeof l.horizontalHasArrows<"u"?l.horizontalHasArrows:!1,vertical:typeof l.vertical<"u"?l.vertical:1,verticalScrollbarSize:typeof l.verticalScrollbarSize<"u"?l.verticalScrollbarSize:10,verticalHasArrows:typeof l.verticalHasArrows<"u"?l.verticalHasArrows:!1,verticalSliderSize:typeof l.verticalSliderSize<"u"?l.verticalSliderSize:0,scrollByPage:typeof l.scrollByPage<"u"?l.scrollByPage:!1};return e.horizontalSliderSize=typeof l.horizontalSliderSize<"u"?l.horizontalSliderSize:e.horizontalScrollbarSize,e.verticalSliderSize=typeof l.verticalSliderSize<"u"?l.verticalSliderSize:e.verticalScrollbarSize,Hc&&(e.className+=" mac"),e}const t0e=Cr;let H$e=class extends xi{constructor(){super(),this.containerDomNode=document.createElement("div"),this.containerDomNode.className="monaco-hover",this.containerDomNode.tabIndex=0,this.containerDomNode.setAttribute("role","tooltip"),this.contentsDomNode=document.createElement("div"),this.contentsDomNode.className="monaco-hover-content",this.scrollbar=this._register(new vse(this.contentsDomNode,{consumeMouseWheelIfScrollbarIsNeeded:!0})),this.containerDomNode.appendChild(this.scrollbar.getDomNode())}onContentsChanged(){this.scrollbar.scanDomNode()}};class awe extends xi{static render(e,i,n){return new awe(e,i,n)}constructor(e,i,n){super(),this.actionLabel=i.label,this.actionKeybindingLabel=n,this.actionContainer=jn(e,t0e("div.action-container")),this.actionContainer.setAttribute("tabindex","0"),this.action=jn(this.actionContainer,t0e("a.action")),this.action.setAttribute("role","button"),i.iconClass&&jn(this.action,t0e(`span.icon.${i.iconClass}`));const s=jn(this.action,t0e("span"));s.textContent=n?`${i.label} (${n})`:i.label,this._store.add(new KTt(this.actionContainer,i.run)),this._store.add(new XTt(this.actionContainer,i.run,[3,10])),this.setEnabled(!0)}setEnabled(e){e?(this.actionContainer.classList.remove("disabled"),this.actionContainer.removeAttribute("aria-disabled")):(this.actionContainer.classList.add("disabled"),this.actionContainer.setAttribute("aria-disabled","true"))}}function GTt(l,e){return l&&e?W("acessibleViewHint","Inspect this in the accessible view with {0}.",e):l?W("acessibleViewHintNoKbOpen","Inspect this in the accessible view via the command Open Accessible View which is currently not triggerable via keybinding."):""}class KTt extends xi{constructor(e,i){super(),this._register(en(e,br.CLICK,n=>{n.stopPropagation(),n.preventDefault(),i(e)}))}}class XTt extends xi{constructor(e,i,n){super(),this._register(en(e,br.KEY_DOWN,s=>{const c=new Id(s);n.some(d=>c.equals(d))&&(s.stopPropagation(),s.preventDefault(),i(e))}))}}const Rb=jc("openerService");function qmi(l){let e;const i=/^L?(\d+)(?:,(\d+))?(-L?(\d+)(?:,(\d+))?)?/.exec(l.fragment);return i&&(e={startLineNumber:parseInt(i[1]),startColumn:i[2]?parseInt(i[2]):1,endLineNumber:i[4]?parseInt(i[4]):void 0,endColumn:i[4]?i[5]?parseInt(i[5]):1:void 0},l=l.with({fragment:""})),{selection:e,uri:l}}class Xc{get event(){return this.emitter.event}constructor(e,i,n){const s=c=>this.emitter.fire(c);this.emitter=new gi({onWillAddFirstListener:()=>e.addEventListener(i,s,n),onDidRemoveLastListener:()=>e.removeEventListener(i,s,n)})}dispose(){this.emitter.dispose()}}function $mi(l,e={}){const i=j$e(e);return i.textContent=l,i}function Jmi(l,e={}){const i=j$e(e);return QTt(i,Kmi(l,!!e.renderCodeSegments),e.actionHandler,e.renderCodeSegments),i}function j$e(l){const e=l.inline?"span":"div",i=document.createElement(e);return l.className&&(i.className=l.className),i}class Gmi{constructor(e){this.source=e,this.index=0}eos(){return this.index>=this.source.length}next(){const e=this.peek();return this.advance(),e}peek(){return this.source[this.index]}advance(){this.index++}}function QTt(l,e,i,n){let s;if(e.type===2)s=document.createTextNode(e.content||"");else if(e.type===3)s=document.createElement("b");else if(e.type===4)s=document.createElement("i");else if(e.type===7&&n)s=document.createElement("code");else if(e.type===5&&i){const c=document.createElement("a");i.disposables.add(ph(c,"click",d=>{i.callback(String(e.index),d)})),s=c}else e.type===8?s=document.createElement("br"):e.type===1&&(s=l);s&&l!==s&&l.appendChild(s),s&&Array.isArray(e.children)&&e.children.forEach(c=>{QTt(s,c,i,n)})}function Kmi(l,e){const i={type:1,children:[]};let n=0,s=i;const c=[],d=new Gmi(l);for(;!d.eos();){let f=d.next();const m=f==="\\"&&dVe(d.peek(),e)!==0;if(m&&(f=d.next()),!m&&Xmi(f,e)&&f===d.peek()){d.advance(),s.type===2&&(s=c.pop());const v=dVe(f,e);if(s.type===v||s.type===5&&v===6)s=c.pop();else{const x={type:v,children:[]};v===5&&(x.index=n,n++),s.children.push(x),c.push(s),s=x}}else if(f===`
+`)s.type===2&&(s=c.pop()),s.children.push({type:8});else if(s.type!==2){const v={type:2,content:f};s.children.push(v),c.push(s),s=v}else s.content+=f}return s.type===2&&(s=c.pop()),i}function Xmi(l,e){return dVe(l,e)!==0}function dVe(l,e){switch(l){case"*":return 3;case"_":return 4;case"[":return 5;case"]":return 6;case"`":return e?7:0;default:return 0}}const Qmi=new RegExp(`(\\\\)?\\$\\((${zo.iconNameExpression}(?:${zo.iconModifierExpression})?)\\)`,"g");function JM(l){const e=new Array;let i,n=0,s=0;for(;(i=Qmi.exec(l))!==null;){s=i.index||0,n<s&&e.push(l.substring(n,s)),n=(i.index||0)+i[0].length;const[,c,d]=i;e.push(c?`$(${d})`:IB({id:d}))}return n<l.length&&e.push(l.substring(n)),e}function IB(l){const e=Cr("span");return e.classList.add(...zo.asClassNameArray(l)),e}function Zmi(l){const e=Ymi(l);if(e&&e.length>0)return new Uint32Array(e)}let lw=0;const SM=new Uint32Array(10);function Ymi(l){if(lw=0,UN(l,AFe,4352),lw>0||(UN(l,OFe,4449),lw>0)||(UN(l,MFe,4520),lw>0)||(UN(l,hF,12593),lw))return SM.subarray(0,lw);if(l>=44032&&l<=55203){const e=l-44032,i=e%588,n=Math.floor(e/588),s=Math.floor(i/28),c=i%28-1;if(n<AFe.length?UN(n,AFe,0):4352+n-12593<hF.length&&UN(4352+n,hF,12593),s<OFe.length?UN(s,OFe,0):4449+s-12593<hF.length&&UN(4449+s-12593,hF,12593),c>=0&&(c<MFe.length?UN(c,MFe,0):4520+c-12593<hF.length&&UN(4520+c-12593,hF,12593)),lw>0)return SM.subarray(0,lw)}}function UN(l,e,i){l>=i&&l<i+e.length&&egi(e[l-i])}function egi(l){l!==0&&(SM[lw++]=l&255,l>>8&&(SM[lw++]=l>>8&255),l>>16&&(SM[lw++]=l>>16&255))}const AFe=new Uint8Array([114,82,115,101,69,102,97,113,81,116,84,100,119,87,99,122,120,118,103]),OFe=new Uint16Array([107,111,105,79,106,112,117,80,104,27496,28520,27752,121,110,27246,28782,27758,98,109,27757,108]),MFe=new Uint16Array([114,82,29810,115,30579,26483,101,102,29286,24934,29030,29798,30822,30310,26470,97,113,29809,116,84,100,119,99,122,120,118,103]),hF=new Uint16Array([114,82,29810,115,30579,26483,101,69,102,29286,24934,29030,29798,30822,30310,26470,97,113,81,29809,116,84,100,119,87,99,122,120,118,103,107,111,105,79,106,112,117,80,104,27496,28520,27752,121,110,27246,28782,27758,98,109,27757,108]);function z$e(...l){return function(e,i){for(let n=0,s=l.length;n<s;n++){const c=l[n](e,i);if(c)return c}return null}}ZTt.bind(void 0,!1);const ere=ZTt.bind(void 0,!0);function ZTt(l,e,i){if(!i||i.length<e.length)return null;let n;return l?n=Yqe(i,e):n=i.indexOf(e)===0,n?e.length>0?[{start:0,end:e.length}]:[]:null}function YTt(l,e){const i=e.toLowerCase().indexOf(l.toLowerCase());return i===-1?null:[{start:i,end:i+l.length}]}function eDt(l,e){return fVe(l.toLowerCase(),e.toLowerCase(),0,0)}function fVe(l,e,i,n){if(i===l.length)return[];if(n===e.length)return null;if(l[i]===e[n]){let s=null;return(s=fVe(l,e,i+1,n+1))?$$e({start:n,end:n+1},s):null}return fVe(l,e,i,n+1)}function U$e(l){return 97<=l&&l<=122}function cwe(l){return 65<=l&&l<=90}function q$e(l){return 48<=l&&l<=57}function tDt(l){return l===32||l===9||l===10||l===13}const iDt=new Set;"()[]{}<>`'\"-/;:,.?!".split("").forEach(l=>iDt.add(l.charCodeAt(0)));function Iye(l){return tDt(l)||iDt.has(l)}function Rbt(l,e){return l===e||Iye(l)&&Iye(e)}const RFe=new Map;function Fbt(l){if(RFe.has(l))return RFe.get(l);let e;const i=Zmi(l);return i&&(e=i),RFe.set(l,e),e}function nDt(l){return U$e(l)||cwe(l)||q$e(l)}function $$e(l,e){return e.length===0?e=[l]:l.end===e[0].start?e[0].start=l.start:e.unshift(l),e}function rDt(l,e){for(let i=e;i<l.length;i++){const n=l.charCodeAt(i);if(cwe(n)||q$e(n)||i>0&&!nDt(l.charCodeAt(i-1)))return i}return l.length}function hVe(l,e,i,n){if(i===l.length)return[];if(n===e.length)return null;if(l[i]!==e[n].toLowerCase())return null;{let s=null,c=n+1;for(s=hVe(l,e,i+1,n+1);!s&&(c=rDt(e,c))<e.length;)s=hVe(l,e,i+1,c),c++;return s===null?null:$$e({start:n,end:n+1},s)}}function tgi(l){let e=0,i=0,n=0,s=0,c=0;for(let x=0;x<l.length;x++)c=l.charCodeAt(x),cwe(c)&&e++,U$e(c)&&i++,nDt(c)&&n++,q$e(c)&&s++;const d=e/l.length,f=i/l.length,m=n/l.length,v=s/l.length;return{upperPercent:d,lowerPercent:f,alphaPercent:m,numericPercent:v}}function igi(l){const{upperPercent:e,lowerPercent:i}=l;return i===0&&e>.6}function ngi(l){const{upperPercent:e,lowerPercent:i,alphaPercent:n,numericPercent:s}=l;return i>.2&&e<.8&&n>.6&&s<.2}function rgi(l){let e=0,i=0,n=0,s=0;for(let c=0;c<l.length;c++)n=l.charCodeAt(c),cwe(n)&&e++,U$e(n)&&i++,tDt(n)&&s++;return(e===0||i===0)&&s===0?l.length<=30:e<=5}function sDt(l,e){if(!e||(e=e.trim(),e.length===0)||!rgi(l))return null;e.length>60&&(e=e.substring(0,60));const i=tgi(e);if(!ngi(i)){if(!igi(i))return null;e=e.toLowerCase()}let n=null,s=0;for(l=l.toLowerCase();s<e.length&&(n=hVe(l,e,0,s))===null;)s=rDt(e,s+1);return n}function sgi(l,e,i=!1){if(!e||e.length===0)return null;let n=null,s=0;for(l=l.toLowerCase(),e=e.toLowerCase();s<e.length&&(n=_Ve(l,e,0,s,i),n===null);)s=oDt(e,s+1);return n}function _Ve(l,e,i,n,s){let c=0;if(i===l.length)return[];if(n===e.length)return null;if(!Rbt(l.charCodeAt(i),e.charCodeAt(n))){const m=Fbt(l.charCodeAt(i));if(!m)return null;for(let v=0;v<m.length;v++)if(!Rbt(m[v],e.charCodeAt(n+v)))return null;c+=m.length-1}let d=null,f=n+c+1;if(d=_Ve(l,e,i+1,f,s),!s)for(;!d&&(f=oDt(e,f))<e.length;)d=_Ve(l,e,i+1,f,s),f++;if(!d)return null;if(l.charCodeAt(i)!==e.charCodeAt(n)){const m=Fbt(l.charCodeAt(i));if(!m)return d;for(let v=0;v<m.length;v++)if(m[v]!==e.charCodeAt(n+v))return d}return $$e({start:n,end:n+c+1},d)}function oDt(l,e){for(let i=e;i<l.length;i++)if(Iye(l.charCodeAt(i))||i>0&&Iye(l.charCodeAt(i-1)))return i;return l.length}const ogi=z$e(ere,sDt,YTt),agi=z$e(ere,sDt,eDt),Bbt=new VL(1e4);function Wbt(l,e,i=!1){if(typeof l!="string"||typeof e!="string")return null;let n=Bbt.get(l);n||(n=new RegExp($li(l),"i"),Bbt.set(l,n));const s=n.exec(e);return s?[{start:s.index,end:s.index+s[0].length}]:i?agi(l,e):ogi(l,e)}function cgi(l,e){const i=NB(l,l.toLowerCase(),0,e,e.toLowerCase(),0,{firstMatchCanBeWeak:!0,boostFullMatch:!0});return i?bse(i):null}function lgi(l,e,i,n,s,c){const d=Math.min(13,l.length);for(;i<d;i++){const f=NB(l,e,i,n,s,c,{firstMatchCanBeWeak:!0,boostFullMatch:!0});if(f)return f}return[0,c]}function bse(l){if(typeof l>"u")return[];const e=[],i=l[1];for(let n=l.length-1;n>1;n--){const s=l[n]+i,c=e[e.length-1];c&&c.end===s?c.end=s+1:e.push({start:s,end:s+1})}return e}const OM=128;function J$e(){const l=[],e=[];for(let i=0;i<=OM;i++)e[i]=0;for(let i=0;i<=OM;i++)l.push(e.slice(0));return l}function aDt(l){const e=[];for(let i=0;i<=l;i++)e[i]=0;return e}const cDt=aDt(2*OM),pVe=aDt(2*OM),u4=J$e(),_F=J$e(),i0e=J$e();function n0e(l,e){if(e<0||e>=l.length)return!1;const i=l.codePointAt(e);switch(i){case 95:case 45:case 46:case 32:case 47:case 92:case 39:case 34:case 58:case 36:case 60:case 62:case 40:case 41:case 91:case 93:case 123:case 125:return!0;case void 0:return!1;default:return!!n$e(i)}}function Vbt(l,e){if(e<0||e>=l.length)return!1;switch(l.charCodeAt(e)){case 32:case 9:return!0;default:return!1}}function h1e(l,e,i){return e[l]!==i[l]}function ugi(l,e,i,n,s,c,d=!1){for(;e<i&&s<c;)l[e]===n[s]&&(d&&(cDt[e]=s),e+=1),s+=1;return e===i}var BE;(function(l){l.Default=[-100,0];function e(i){return!i||i.length===2&&i[0]===-100&&i[1]===0}l.isDefault=e})(BE||(BE={}));const kKe=class kKe{constructor(e,i){this.firstMatchCanBeWeak=e,this.boostFullMatch=i}};kKe.default={boostFullMatch:!0,firstMatchCanBeWeak:!1};let tre=kKe;function NB(l,e,i,n,s,c,d=tre.default){const f=l.length>OM?OM:l.length,m=n.length>OM?OM:n.length;if(i>=f||c>=m||f-i>m-c||!ugi(e,i,f,s,c,m,!0))return;dgi(f,m,i,c,e,s);let v=1,x=1,w=i,I=c;const P=[!1];for(v=1,w=i;w<f;v++,w++){const ae=cDt[w],me=pVe[w],ye=w+1<f?pVe[w+1]:m;for(x=ae-c+1,I=ae;I<ye;x++,I++){let Ce=Number.MIN_SAFE_INTEGER,Fe=!1;I<=me&&(Ce=fgi(l,e,w,i,n,s,I,m,c,u4[v-1][x-1]===0,P));let rt=0;Ce!==Number.MAX_SAFE_INTEGER&&(Fe=!0,rt=Ce+_F[v-1][x-1]);const ct=I>ae,Mt=ct?_F[v][x-1]+(u4[v][x-1]>0?-5:0):0,Yt=I>ae+1&&u4[v][x-1]>0,Bi=Yt?_F[v][x-2]+(u4[v][x-2]>0?-5:0):0;if(Yt&&(!ct||Bi>=Mt)&&(!Fe||Bi>=rt))_F[v][x]=Bi,i0e[v][x]=3,u4[v][x]=0;else if(ct&&(!Fe||Mt>=rt))_F[v][x]=Mt,i0e[v][x]=2,u4[v][x]=0;else if(Fe)_F[v][x]=rt,i0e[v][x]=1,u4[v][x]=u4[v-1][x-1]+1;else throw new Error("not possible")}}if(!P[0]&&!d.firstMatchCanBeWeak)return;v--,x--;const O=[_F[v][x],c];let z=0,J=0;for(;v>=1;){let ae=x;do{const me=i0e[v][ae];if(me===3)ae=ae-2;else if(me===2)ae=ae-1;else break}while(ae>=1);z>1&&e[i+v-1]===s[c+x-1]&&!h1e(ae+c-1,n,s)&&z+1>u4[v][ae]&&(ae=x),ae===x?z++:z=1,J||(J=ae),v--,x=ae-1,O.push(x)}m-c===f&&d.boostFullMatch&&(O[0]+=2);const Y=J-f;return O[0]-=Y,O}function dgi(l,e,i,n,s,c){let d=l-1,f=e-1;for(;d>=i&&f>=n;)s[d]===c[f]&&(pVe[d]=f,d--),f--}function fgi(l,e,i,n,s,c,d,f,m,v,x){if(e[i]!==c[d])return Number.MIN_SAFE_INTEGER;let w=1,I=!1;return d===i-n?w=l[i]===s[d]?7:5:h1e(d,s,c)&&(d===0||!h1e(d-1,s,c))?(w=l[i]===s[d]?7:5,I=!0):n0e(c,d)&&(d===0||!n0e(c,d-1))?w=5:(n0e(c,d-1)||Vbt(c,d-1))&&(w=5,I=!0),w>1&&i===n&&(x[0]=!0),I||(I=h1e(d,s,c)||n0e(c,d-1)||Vbt(c,d-1)),i===n?d>m&&(w-=I?3:5):v?w+=I?2:0:w+=I?0:1,d+1===f&&(w-=I?3:5),w}function hgi(l,e,i,n,s,c,d){return _gi(l,e,i,n,s,c,!0,d)}function _gi(l,e,i,n,s,c,d,f){let m=NB(l,e,i,n,s,c,f);if(m&&!d)return m;if(l.length>=3){const v=Math.min(7,l.length-1);for(let x=i+1;x<v;x++){const w=pgi(l,x);if(w){const I=NB(w,w.toLowerCase(),i,n,s,c,f);I&&(I[0]-=3,(!m||I[0]>m[0])&&(m=I))}}}return m}function pgi(l,e){if(e+1>=l.length)return;const i=l[e],n=l[e+1];if(i!==n)return l.slice(0,e)+n+i+l.slice(e+2)}const mgi="$(",G$e=new RegExp(`\\$\\(${zo.iconNameExpression}(?:${zo.iconModifierExpression})?\\)`,"g"),ggi=new RegExp(`(\\\\)?${G$e.source}`,"g");function ygi(l){return l.replace(ggi,(e,i)=>i?e:`\\${e}`)}const vgi=new RegExp(`\\\\${G$e.source}`,"g");function bgi(l){return l.replace(vgi,e=>`\\${e}`)}const Cgi=new RegExp(`(\\s)?(\\\\)?${G$e.source}(\\s)?`,"g");function K$e(l){return l.indexOf(mgi)===-1?l:l.replace(Cgi,(e,i,n,s)=>n?e:i||s||"")}function Sgi(l){return l?l.replace(/\$\((.*?)\)/g,(e,i)=>` ${i} `).trim():""}const FFe=new RegExp(`\\$\\(${zo.iconNameCharacter}+\\)`,"g");function rie(l){FFe.lastIndex=0;let e="";const i=[];let n=0;for(;;){const s=FFe.lastIndex,c=FFe.exec(l),d=l.substring(s,c==null?void 0:c.index);if(d.length>0){e+=d;for(let f=0;f<d.length;f++)i.push(n)}if(!c)break;n+=c[0].length}return{text:e,iconOffsets:i}}function BFe(l,e,i=!1){const{text:n,iconOffsets:s}=e;if(!s||s.length===0)return Wbt(l,n,i);const c=use(n," "),d=n.length-c.length,f=Wbt(l,c,i);if(f)for(const m of f){const v=s[m.start+d]+d;m.start+=v,m.end+=v}return f}function YN(l){return Z1e(l,!0)}class wgi{constructor(e){this._ignorePathCasing=e}compare(e,i,n=!1){return e===i?0:Rne(this.getComparisonKey(e,n),this.getComparisonKey(i,n))}isEqual(e,i,n=!1){return e===i?!0:!e||!i?!1:this.getComparisonKey(e,n)===this.getComparisonKey(i,n)}getComparisonKey(e,i=!1){return e.with({path:this._ignorePathCasing(e)?e.path.toLowerCase():void 0,fragment:i?null:void 0}).toString()}isEqualOrParent(e,i,n=!1){if(e.scheme===i.scheme){if(e.scheme===Ma.file)return iVe(YN(e),YN(i),this._ignorePathCasing(e))&&e.query===i.query&&(n||e.fragment===i.fragment);if(jbt(e.authority,i.authority))return iVe(e.path,i.path,this._ignorePathCasing(e),"/")&&e.query===i.query&&(n||e.fragment===i.fragment)}return!1}joinPath(e,...i){return yo.joinPath(e,...i)}basenameOrAuthority(e){return wk(e)||e.authority}basename(e){return q_.basename(e.path)}extname(e){return q_.extname(e.path)}dirname(e){if(e.path.length===0)return e;let i;return e.scheme===Ma.file?i=yo.file(zkt(YN(e))).path:(i=q_.dirname(e.path),e.authority&&i.length&&i.charCodeAt(0)!==47&&(console.error(`dirname("${e.toString})) resulted in a relative path`),i="/")),e.with({path:i})}normalizePath(e){if(!e.path.length)return e;let i;return e.scheme===Ma.file?i=yo.file(jkt(YN(e))).path:i=q_.normalize(e.path),e.with({path:i})}relativePath(e,i){if(e.scheme!==i.scheme||!jbt(e.authority,i.authority))return;if(e.scheme===Ma.file){const c=wli(YN(e),YN(i));return I0?CTt(c):c}let n=e.path||"/";const s=i.path||"/";if(this._ignorePathCasing(e)){let c=0;for(const d=Math.min(n.length,s.length);c<d&&!(n.charCodeAt(c)!==s.charCodeAt(c)&&n.charAt(c).toLowerCase()!==s.charAt(c).toLowerCase());c++);n=s.substr(0,c)+n.substr(c)}return q_.relative(n,s)}resolvePath(e,i){if(e.scheme===Ma.file){const n=yo.file(Sli(YN(e),i));return e.with({authority:n.authority,path:n.path})}return i=T_i(i),e.with({path:q_.resolve(e.path,i)})}isAbsolutePath(e){return!!e.path&&e.path[0]==="/"}isEqualAuthority(e,i){return e===i||e!==void 0&&i!==void 0&&eq(e,i)}hasTrailingPathSeparator(e,i=AE){if(e.scheme===Ma.file){const n=YN(e);return n.length>_bt(n).length&&n[n.length-1]===i}else{const n=e.path;return n.length>1&&n.charCodeAt(n.length-1)===47&&!/^[a-zA-Z]:(\/$|\\$)/.test(e.fsPath)}}removeTrailingPathSeparator(e,i=AE){return zbt(e,i)?e.with({path:e.path.substr(0,e.path.length-1)}):e}addTrailingPathSeparator(e,i=AE){let n=!1;if(e.scheme===Ma.file){const s=YN(e);n=s!==void 0&&s.length===_bt(s).length&&s[s.length-1]===i}else{i="/";const s=e.path;n=s.length===1&&s.charCodeAt(s.length-1)===47}return!n&&!zbt(e,i)?e.with({path:e.path+"/"}):e}}const vf=new wgi(()=>!1),ire=vf.isEqual.bind(vf);vf.isEqualOrParent.bind(vf);vf.getComparisonKey.bind(vf);const xgi=vf.basenameOrAuthority.bind(vf),wk=vf.basename.bind(vf),kgi=vf.extname.bind(vf),lwe=vf.dirname.bind(vf),Tgi=vf.joinPath.bind(vf),Dgi=vf.normalizePath.bind(vf),Egi=vf.relativePath.bind(vf),Hbt=vf.resolvePath.bind(vf);vf.isAbsolutePath.bind(vf);const jbt=vf.isEqualAuthority.bind(vf),zbt=vf.hasTrailingPathSeparator.bind(vf);vf.removeTrailingPathSeparator.bind(vf);vf.addTrailingPathSeparator.bind(vf);var kR;(function(l){l.META_DATA_LABEL="label",l.META_DATA_DESCRIPTION="description",l.META_DATA_SIZE="size",l.META_DATA_MIME="mime";function e(i){const n=new Map;i.path.substring(i.path.indexOf(";")+1,i.path.lastIndexOf(";")).split(";").forEach(d=>{const[f,m]=d.split(":");f&&m&&n.set(f,m)});const c=i.path.substring(0,i.path.indexOf(";"));return c&&n.set(l.META_DATA_MIME,c),n}l.parseMetaData=e})(kR||(kR={}));class m1{constructor(e="",i=!1){if(this.value=e,typeof this.value!="string")throw gk("value");typeof i=="boolean"?(this.isTrusted=i,this.supportThemeIcons=!1,this.supportHtml=!1):(this.isTrusted=i.isTrusted??void 0,this.supportThemeIcons=i.supportThemeIcons??!1,this.supportHtml=i.supportHtml??!1)}appendText(e,i=0){return this.value+=Ngi(this.supportThemeIcons?ygi(e):e).replace(/([ \t]+)/g,(n,s)=>"&nbsp;".repeat(s.length)).replace(/\>/gm,"\\>").replace(/\n/g,i===1?`\\
+`:`
+
+`),this}appendMarkdown(e){return this.value+=e,this}appendCodeblock(e,i){return this.value+=`
+${Lgi(i,e)}
+`,this}appendLink(e,i,n){return this.value+="[",this.value+=this._escape(i,"]"),this.value+="](",this.value+=this._escape(String(e),")"),n&&(this.value+=` "${this._escape(this._escape(n,'"'),")")}"`),this.value+=")",this}_escape(e,i){const n=new RegExp(Tw(i),"g");return e.replace(n,(s,c)=>e.charAt(c-1)!=="\\"?`\\${s}`:s)}}function M$(l){return WE(l)?!l.value:Array.isArray(l)?l.every(M$):!0}function WE(l){return l instanceof m1?!0:l&&typeof l=="object"?typeof l.value=="string"&&(typeof l.isTrusted=="boolean"||typeof l.isTrusted=="object"||l.isTrusted===void 0)&&(typeof l.supportThemeIcons=="boolean"||l.supportThemeIcons===void 0):!1}function Igi(l,e){return l===e?!0:!l||!e?!1:l.value===e.value&&l.isTrusted===e.isTrusted&&l.supportThemeIcons===e.supportThemeIcons&&l.supportHtml===e.supportHtml&&(l.baseUri===e.baseUri||!!l.baseUri&&!!e.baseUri&&ire(yo.from(l.baseUri),yo.from(e.baseUri)))}function Ngi(l){return l.replace(/[\\`*_{}[\]()#+\-!~]/g,"\\$&")}function Lgi(l,e){var s;const i=((s=l.match(/^`+/gm))==null?void 0:s.reduce((c,d)=>c.length>d.length?c:d).length)??0,n=i>=3?i+1:3;return[`${"`".repeat(n)}${e}`,l,`${"`".repeat(n)}`].join(`
+`)}function r0e(l){return l.replace(/"/g,"&quot;")}function WFe(l){return l&&l.replace(/\\([\\`*_{}[\]()#+\-.!~])/g,"$1")}function Pgi(l){const e=[],i=l.split("|").map(s=>s.trim());l=i[0];const n=i[1];if(n){const s=/height=(\d+)/.exec(n),c=/width=(\d+)/.exec(n),d=s?s[1]:"",f=c?c[1]:"",m=isFinite(parseInt(f)),v=isFinite(parseInt(d));m&&e.push(`width="${f}"`),v&&e.push(`height="${d}"`)}return{href:l,dimensions:e}}class X$e{constructor(e){this._prefix=e,this._lastId=0}nextId(){return this._prefix+ ++this._lastId}}const mVe=new X$e("id#");let C1={};(function(){function l(e,i){i(C1)}l.amd=!0,function(e,i){typeof l=="function"&&l.amd?l(["exports"],i):typeof exports=="object"&&typeof module<"u"?i(exports):(e=typeof globalThis<"u"?globalThis:e||self,i(e.marked={}))}(this,function(e){function i(){return{async:!1,breaks:!1,extensions:null,gfm:!0,hooks:null,pedantic:!1,renderer:null,silent:!1,tokenizer:null,walkTokens:null}}e.defaults=i();function n(Fc){e.defaults=Fc}const s=/[&<>"']/,c=new RegExp(s.source,"g"),d=/[<>"']|&(?!(#\d{1,7}|#[Xx][a-fA-F0-9]{1,6}|\w+);)/,f=new RegExp(d.source,"g"),m={"&":"&amp;","<":"&lt;",">":"&gt;",'"':"&quot;","'":"&#39;"},v=Fc=>m[Fc];function x(Fc,Jt){if(Jt){if(s.test(Fc))return Fc.replace(c,v)}else if(d.test(Fc))return Fc.replace(f,v);return Fc}const w=/(^|[^\[])\^/g;function I(Fc,Jt){let ti=typeof Fc=="string"?Fc:Fc.source;Jt=Jt||"";const oi={replace:(Vi,Li)=>{let hr=typeof Li=="string"?Li:Li.source;return hr=hr.replace(w,"$1"),ti=ti.replace(Vi,hr),oi},getRegex:()=>new RegExp(ti,Jt)};return oi}function P(Fc){try{Fc=encodeURI(Fc).replace(/%25/g,"%")}catch{return null}return Fc}const O={exec:()=>null};function z(Fc,Jt){const ti=Fc.replace(/\|/g,(Li,hr,wr)=>{let vo=!1,So=hr;for(;--So>=0&&wr[So]==="\\";)vo=!vo;return vo?"|":" |"}),oi=ti.split(/ \|/);let Vi=0;if(oi[0].trim()||oi.shift(),oi.length>0&&!oi[oi.length-1].trim()&&oi.pop(),Jt)if(oi.length>Jt)oi.splice(Jt);else for(;oi.length<Jt;)oi.push("");for(;Vi<oi.length;Vi++)oi[Vi]=oi[Vi].trim().replace(/\\\|/g,"|");return oi}function J(Fc,Jt,ti){const oi=Fc.length;if(oi===0)return"";let Vi=0;for(;Vi<oi;){const Li=Fc.charAt(oi-Vi-1);if(Li===Jt&&!ti)Vi++;else if(Li!==Jt&&ti)Vi++;else break}return Fc.slice(0,oi-Vi)}function Y(Fc,Jt){if(Fc.indexOf(Jt[1])===-1)return-1;let ti=0;for(let oi=0;oi<Fc.length;oi++)if(Fc[oi]==="\\")oi++;else if(Fc[oi]===Jt[0])ti++;else if(Fc[oi]===Jt[1]&&(ti--,ti<0))return oi;return-1}function ae(Fc,Jt,ti,oi){const Vi=Jt.href,Li=Jt.title?x(Jt.title):null,hr=Fc[1].replace(/\\([\[\]])/g,"$1");if(Fc[0].charAt(0)!=="!"){oi.state.inLink=!0;const wr={type:"link",raw:ti,href:Vi,title:Li,text:hr,tokens:oi.inlineTokens(hr)};return oi.state.inLink=!1,wr}return{type:"image",raw:ti,href:Vi,title:Li,text:x(hr)}}function me(Fc,Jt){const ti=Fc.match(/^(\s+)(?:```)/);if(ti===null)return Jt;const oi=ti[1];return Jt.split(`
+`).map(Vi=>{const Li=Vi.match(/^\s+/);if(Li===null)return Vi;const[hr]=Li;return hr.length>=oi.length?Vi.slice(oi.length):Vi}).join(`
+`)}class ye{constructor(Jt){fp(this,"options");fp(this,"rules");fp(this,"lexer");this.options=Jt||e.defaults}space(Jt){const ti=this.rules.block.newline.exec(Jt);if(ti&&ti[0].length>0)return{type:"space",raw:ti[0]}}code(Jt){const ti=this.rules.block.code.exec(Jt);if(ti){const oi=ti[0].replace(/^ {1,4}/gm,"");return{type:"code",raw:ti[0],codeBlockStyle:"indented",text:this.options.pedantic?oi:J(oi,`
+`)}}}fences(Jt){const ti=this.rules.block.fences.exec(Jt);if(ti){const oi=ti[0],Vi=me(oi,ti[3]||"");return{type:"code",raw:oi,lang:ti[2]?ti[2].trim().replace(this.rules.inline.anyPunctuation,"$1"):ti[2],text:Vi}}}heading(Jt){const ti=this.rules.block.heading.exec(Jt);if(ti){let oi=ti[2].trim();if(/#$/.test(oi)){const Vi=J(oi,"#");(this.options.pedantic||!Vi||/ $/.test(Vi))&&(oi=Vi.trim())}return{type:"heading",raw:ti[0],depth:ti[1].length,text:oi,tokens:this.lexer.inline(oi)}}}hr(Jt){const ti=this.rules.block.hr.exec(Jt);if(ti)return{type:"hr",raw:J(ti[0],`
+`)}}blockquote(Jt){const ti=this.rules.block.blockquote.exec(Jt);if(ti){let oi=J(ti[0],`
+`).split(`
+`),Vi="",Li="";const hr=[];for(;oi.length>0;){let wr=!1;const vo=[];let So;for(So=0;So<oi.length;So++)if(/^ {0,3}>/.test(oi[So]))vo.push(oi[So]),wr=!0;else if(!wr)vo.push(oi[So]);else break;oi=oi.slice(So);const zc=vo.join(`
+`),as=zc.replace(/\n {0,3}((?:=+|-+) *)(?=\n|$)/g,`
+ $1`).replace(/^ {0,3}>[ \t]?/gm,"");Vi=Vi?`${Vi}
+${zc}`:zc,Li=Li?`${Li}
+${as}`:as;const $u=this.lexer.state.top;if(this.lexer.state.top=!0,this.lexer.blockTokens(as,hr,!0),this.lexer.state.top=$u,oi.length===0)break;const Af=hr[hr.length-1];if((Af==null?void 0:Af.type)==="code")break;if((Af==null?void 0:Af.type)==="blockquote"){const Ld=Af,Sa=Ld.raw+`
+`+oi.join(`
+`),Cn=this.blockquote(Sa);hr[hr.length-1]=Cn,Vi=Vi.substring(0,Vi.length-Ld.raw.length)+Cn.raw,Li=Li.substring(0,Li.length-Ld.text.length)+Cn.text;break}else if((Af==null?void 0:Af.type)==="list"){const Ld=Af,Sa=Ld.raw+`
+`+oi.join(`
+`),Cn=this.list(Sa);hr[hr.length-1]=Cn,Vi=Vi.substring(0,Vi.length-Af.raw.length)+Cn.raw,Li=Li.substring(0,Li.length-Ld.raw.length)+Cn.raw,oi=Sa.substring(hr[hr.length-1].raw.length).split(`
+`);continue}}return{type:"blockquote",raw:Vi,tokens:hr,text:Li}}}list(Jt){let ti=this.rules.block.list.exec(Jt);if(ti){let oi=ti[1].trim();const Vi=oi.length>1,Li={type:"list",raw:"",ordered:Vi,start:Vi?+oi.slice(0,-1):"",loose:!1,items:[]};oi=Vi?`\\d{1,9}\\${oi.slice(-1)}`:`\\${oi}`,this.options.pedantic&&(oi=Vi?oi:"[*+-]");const hr=new RegExp(`^( {0,3}${oi})((?:[ ][^\\n]*)?(?:\\n|$))`);let wr=!1;for(;Jt;){let vo=!1,So="",zc="";if(!(ti=hr.exec(Jt))||this.rules.block.hr.test(Jt))break;So=ti[0],Jt=Jt.substring(So.length);let as=ti[2].split(`
+`,1)[0].replace(/^\t+/,qs=>" ".repeat(3*qs.length)),$u=Jt.split(`
+`,1)[0],Af=!as.trim(),Ld=0;if(this.options.pedantic?(Ld=2,zc=as.trimStart()):Af?Ld=ti[1].length+1:(Ld=ti[2].search(/[^ ]/),Ld=Ld>4?1:Ld,zc=as.slice(Ld),Ld+=ti[1].length),Af&&/^ *$/.test($u)&&(So+=$u+`
+`,Jt=Jt.substring($u.length+1),vo=!0),!vo){const qs=new RegExp(`^ {0,${Math.min(3,Ld-1)}}(?:[*+-]|\\d{1,9}[.)])((?:[ ][^\\n]*)?(?:\\n|$))`),ra=new RegExp(`^ {0,${Math.min(3,Ld-1)}}((?:- *){3,}|(?:_ *){3,}|(?:\\* *){3,})(?:\\n+|$)`),Jd=new RegExp(`^ {0,${Math.min(3,Ld-1)}}(?:\`\`\`|~~~)`),T_=new RegExp(`^ {0,${Math.min(3,Ld-1)}}#`);for(;Jt;){const wg=Jt.split(`
+`,1)[0];if($u=wg,this.options.pedantic&&($u=$u.replace(/^ {1,4}(?=( {4})*[^ ])/g," ")),Jd.test($u)||T_.test($u)||qs.test($u)||ra.test(Jt))break;if($u.search(/[^ ]/)>=Ld||!$u.trim())zc+=`
+`+$u.slice(Ld);else{if(Af||as.search(/[^ ]/)>=4||Jd.test(as)||T_.test(as)||ra.test(as))break;zc+=`
+`+$u}!Af&&!$u.trim()&&(Af=!0),So+=wg+`
+`,Jt=Jt.substring(wg.length+1),as=$u.slice(Ld)}}Li.loose||(wr?Li.loose=!0:/\n *\n *$/.test(So)&&(wr=!0));let Sa=null,Cn;this.options.gfm&&(Sa=/^\[[ xX]\] /.exec(zc),Sa&&(Cn=Sa[0]!=="[ ] ",zc=zc.replace(/^\[[ xX]\] +/,""))),Li.items.push({type:"list_item",raw:So,task:!!Sa,checked:Cn,loose:!1,text:zc,tokens:[]}),Li.raw+=So}Li.items[Li.items.length-1].raw=Li.items[Li.items.length-1].raw.trimEnd(),Li.items[Li.items.length-1].text=Li.items[Li.items.length-1].text.trimEnd(),Li.raw=Li.raw.trimEnd();for(let vo=0;vo<Li.items.length;vo++)if(this.lexer.state.top=!1,Li.items[vo].tokens=this.lexer.blockTokens(Li.items[vo].text,[]),!Li.loose){const So=Li.items[vo].tokens.filter(as=>as.type==="space"),zc=So.length>0&&So.some(as=>/\n.*\n/.test(as.raw));Li.loose=zc}if(Li.loose)for(let vo=0;vo<Li.items.length;vo++)Li.items[vo].loose=!0;return Li}}html(Jt){const ti=this.rules.block.html.exec(Jt);if(ti)return{type:"html",block:!0,raw:ti[0],pre:ti[1]==="pre"||ti[1]==="script"||ti[1]==="style",text:ti[0]}}def(Jt){const ti=this.rules.block.def.exec(Jt);if(ti){const oi=ti[1].toLowerCase().replace(/\s+/g," "),Vi=ti[2]?ti[2].replace(/^<(.*)>$/,"$1").replace(this.rules.inline.anyPunctuation,"$1"):"",Li=ti[3]?ti[3].substring(1,ti[3].length-1).replace(this.rules.inline.anyPunctuation,"$1"):ti[3];return{type:"def",tag:oi,raw:ti[0],href:Vi,title:Li}}}table(Jt){const ti=this.rules.block.table.exec(Jt);if(!ti||!/[:|]/.test(ti[2]))return;const oi=z(ti[1]),Vi=ti[2].replace(/^\||\| *$/g,"").split("|"),Li=ti[3]&&ti[3].trim()?ti[3].replace(/\n[ \t]*$/,"").split(`
+`):[],hr={type:"table",raw:ti[0],header:[],align:[],rows:[]};if(oi.length===Vi.length){for(const wr of Vi)/^ *-+: *$/.test(wr)?hr.align.push("right"):/^ *:-+: *$/.test(wr)?hr.align.push("center"):/^ *:-+ *$/.test(wr)?hr.align.push("left"):hr.align.push(null);for(let wr=0;wr<oi.length;wr++)hr.header.push({text:oi[wr],tokens:this.lexer.inline(oi[wr]),header:!0,align:hr.align[wr]});for(const wr of Li)hr.rows.push(z(wr,hr.header.length).map((vo,So)=>({text:vo,tokens:this.lexer.inline(vo),header:!1,align:hr.align[So]})));return hr}}lheading(Jt){const ti=this.rules.block.lheading.exec(Jt);if(ti)return{type:"heading",raw:ti[0],depth:ti[2].charAt(0)==="="?1:2,text:ti[1],tokens:this.lexer.inline(ti[1])}}paragraph(Jt){const ti=this.rules.block.paragraph.exec(Jt);if(ti){const oi=ti[1].charAt(ti[1].length-1)===`
+`?ti[1].slice(0,-1):ti[1];return{type:"paragraph",raw:ti[0],text:oi,tokens:this.lexer.inline(oi)}}}text(Jt){const ti=this.rules.block.text.exec(Jt);if(ti)return{type:"text",raw:ti[0],text:ti[0],tokens:this.lexer.inline(ti[0])}}escape(Jt){const ti=this.rules.inline.escape.exec(Jt);if(ti)return{type:"escape",raw:ti[0],text:x(ti[1])}}tag(Jt){const ti=this.rules.inline.tag.exec(Jt);if(ti)return!this.lexer.state.inLink&&/^<a /i.test(ti[0])?this.lexer.state.inLink=!0:this.lexer.state.inLink&&/^<\/a>/i.test(ti[0])&&(this.lexer.state.inLink=!1),!this.lexer.state.inRawBlock&&/^<(pre|code|kbd|script)(\s|>)/i.test(ti[0])?this.lexer.state.inRawBlock=!0:this.lexer.state.inRawBlock&&/^<\/(pre|code|kbd|script)(\s|>)/i.test(ti[0])&&(this.lexer.state.inRawBlock=!1),{type:"html",raw:ti[0],inLink:this.lexer.state.inLink,inRawBlock:this.lexer.state.inRawBlock,block:!1,text:ti[0]}}link(Jt){const ti=this.rules.inline.link.exec(Jt);if(ti){const oi=ti[2].trim();if(!this.options.pedantic&&/^</.test(oi)){if(!/>$/.test(oi))return;const hr=J(oi.slice(0,-1),"\\");if((oi.length-hr.length)%2===0)return}else{const hr=Y(ti[2],"()");if(hr>-1){const vo=(ti[0].indexOf("!")===0?5:4)+ti[1].length+hr;ti[2]=ti[2].substring(0,hr),ti[0]=ti[0].substring(0,vo).trim(),ti[3]=""}}let Vi=ti[2],Li="";if(this.options.pedantic){const hr=/^([^'"]*[^\s])\s+(['"])(.*)\2/.exec(Vi);hr&&(Vi=hr[1],Li=hr[3])}else Li=ti[3]?ti[3].slice(1,-1):"";return Vi=Vi.trim(),/^</.test(Vi)&&(this.options.pedantic&&!/>$/.test(oi)?Vi=Vi.slice(1):Vi=Vi.slice(1,-1)),ae(ti,{href:Vi&&Vi.replace(this.rules.inline.anyPunctuation,"$1"),title:Li&&Li.replace(this.rules.inline.anyPunctuation,"$1")},ti[0],this.lexer)}}reflink(Jt,ti){let oi;if((oi=this.rules.inline.reflink.exec(Jt))||(oi=this.rules.inline.nolink.exec(Jt))){const Vi=(oi[2]||oi[1]).replace(/\s+/g," "),Li=ti[Vi.toLowerCase()];if(!Li){const hr=oi[0].charAt(0);return{type:"text",raw:hr,text:hr}}return ae(oi,Li,oi[0],this.lexer)}}emStrong(Jt,ti,oi=""){let Vi=this.rules.inline.emStrongLDelim.exec(Jt);if(!Vi||Vi[3]&&oi.match(/[\p{L}\p{N}]/u))return;if(!(Vi[1]||Vi[2]||"")||!oi||this.rules.inline.punctuation.exec(oi)){const hr=[...Vi[0]].length-1;let wr,vo,So=hr,zc=0;const as=Vi[0][0]==="*"?this.rules.inline.emStrongRDelimAst:this.rules.inline.emStrongRDelimUnd;for(as.lastIndex=0,ti=ti.slice(-1*Jt.length+hr);(Vi=as.exec(ti))!=null;){if(wr=Vi[1]||Vi[2]||Vi[3]||Vi[4]||Vi[5]||Vi[6],!wr)continue;if(vo=[...wr].length,Vi[3]||Vi[4]){So+=vo;continue}else if((Vi[5]||Vi[6])&&hr%3&&!((hr+vo)%3)){zc+=vo;continue}if(So-=vo,So>0)continue;vo=Math.min(vo,vo+So+zc);const $u=[...Vi[0]][0].length,Af=Jt.slice(0,hr+Vi.index+$u+vo);if(Math.min(hr,vo)%2){const Sa=Af.slice(1,-1);return{type:"em",raw:Af,text:Sa,tokens:this.lexer.inlineTokens(Sa)}}const Ld=Af.slice(2,-2);return{type:"strong",raw:Af,text:Ld,tokens:this.lexer.inlineTokens(Ld)}}}}codespan(Jt){const ti=this.rules.inline.code.exec(Jt);if(ti){let oi=ti[2].replace(/\n/g," ");const Vi=/[^ ]/.test(oi),Li=/^ /.test(oi)&&/ $/.test(oi);return Vi&&Li&&(oi=oi.substring(1,oi.length-1)),oi=x(oi,!0),{type:"codespan",raw:ti[0],text:oi}}}br(Jt){const ti=this.rules.inline.br.exec(Jt);if(ti)return{type:"br",raw:ti[0]}}del(Jt){const ti=this.rules.inline.del.exec(Jt);if(ti)return{type:"del",raw:ti[0],text:ti[2],tokens:this.lexer.inlineTokens(ti[2])}}autolink(Jt){const ti=this.rules.inline.autolink.exec(Jt);if(ti){let oi,Vi;return ti[2]==="@"?(oi=x(ti[1]),Vi="mailto:"+oi):(oi=x(ti[1]),Vi=oi),{type:"link",raw:ti[0],text:oi,href:Vi,tokens:[{type:"text",raw:oi,text:oi}]}}}url(Jt){var oi;let ti;if(ti=this.rules.inline.url.exec(Jt)){let Vi,Li;if(ti[2]==="@")Vi=x(ti[0]),Li="mailto:"+Vi;else{let hr;do hr=ti[0],ti[0]=((oi=this.rules.inline._backpedal.exec(ti[0]))==null?void 0:oi[0])??"";while(hr!==ti[0]);Vi=x(ti[0]),ti[1]==="www."?Li="http://"+ti[0]:Li=ti[0]}return{type:"link",raw:ti[0],text:Vi,href:Li,tokens:[{type:"text",raw:Vi,text:Vi}]}}}inlineText(Jt){const ti=this.rules.inline.text.exec(Jt);if(ti){let oi;return this.lexer.state.inRawBlock?oi=ti[0]:oi=x(ti[0]),{type:"text",raw:ti[0],text:oi}}}}const Ce=/^(?: *(?:\n|$))+/,Fe=/^( {4}[^\n]+(?:\n(?: *(?:\n|$))*)?)+/,rt=/^ {0,3}(`{3,}(?=[^`\n]*(?:\n|$))|~{3,})([^\n]*)(?:\n|$)(?:|([\s\S]*?)(?:\n|$))(?: {0,3}\1[~`]* *(?=\n|$)|$)/,ct=/^ {0,3}((?:-[\t ]*){3,}|(?:_[ \t]*){3,}|(?:\*[ \t]*){3,})(?:\n+|$)/,Mt=/^ {0,3}(#{1,6})(?=\s|$)(.*)(?:\n+|$)/,Yt=/(?:[*+-]|\d{1,9}[.)])/,Bi=I(/^(?!bull |blockCode|fences|blockquote|heading|html)((?:.|\n(?!\s*?\n|bull |blockCode|fences|blockquote|heading|html))+?)\n {0,3}(=+|-+) *(?:\n+|$)/).replace(/bull/g,Yt).replace(/blockCode/g,/ {4}/).replace(/fences/g,/ {0,3}(?:`{3,}|~{3,})/).replace(/blockquote/g,/ {0,3}>/).replace(/heading/g,/ {0,3}#{1,6}/).replace(/html/g,/ {0,3}<[^\n>]+>\n/).getRegex(),$i=/^([^\n]+(?:\n(?!hr|heading|lheading|blockquote|fences|list|html|table| +\n)[^\n]+)*)/,$t=/^[^\n]+/,Hi=/(?!\s*\])(?:\\.|[^\[\]\\])+/,An=I(/^ {0,3}\[(label)\]: *(?:\n *)?([^<\s][^\s]*|<.*?>)(?:(?: +(?:\n *)?| *\n *)(title))? *(?:\n+|$)/).replace("label",Hi).replace("title",/(?:"(?:\\"?|[^"\\])*"|'[^'\n]*(?:\n[^'\n]+)*\n?'|\([^()]*\))/).getRegex(),qt=I(/^( {0,3}bull)([ \t][^\n]+?)?(?:\n|$)/).replace(/bull/g,Yt).getRegex(),ms="address|article|aside|base|basefont|blockquote|body|caption|center|col|colgroup|dd|details|dialog|dir|div|dl|dt|fieldset|figcaption|figure|footer|form|frame|frameset|h[1-6]|head|header|hr|html|iframe|legend|li|link|main|menu|menuitem|meta|nav|noframes|ol|optgroup|option|p|param|search|section|summary|table|tbody|td|tfoot|th|thead|title|tr|track|ul",Wr=/<!--(?:-?>|[\s\S]*?(?:-->|$))/,Dr=I("^ {0,3}(?:<(script|pre|style|textarea)[\\s>][\\s\\S]*?(?:</\\1>[^\\n]*\\n+|$)|comment[^\\n]*(\\n+|$)|<\\?[\\s\\S]*?(?:\\?>\\n*|$)|<![A-Z][\\s\\S]*?(?:>\\n*|$)|<!\\[CDATA\\[[\\s\\S]*?(?:\\]\\]>\\n*|$)|</?(tag)(?: +|\\n|/?>)[\\s\\S]*?(?:(?:\\n *)+\\n|$)|<(?!script|pre|style|textarea)([a-z][\\w-]*)(?:attribute)*? */?>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n *)+\\n|$)|</(?!script|pre|style|textarea)[a-z][\\w-]*\\s*>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n *)+\\n|$))","i").replace("comment",Wr).replace("tag",ms).replace("attribute",/ +[a-zA-Z:_][\w.:-]*(?: *= *"[^"\n]*"| *= *'[^'\n]*'| *= *[^\s"'=<>`]+)?/).getRegex(),Rr=I($i).replace("hr",ct).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("|table","").replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",ms).getRegex(),$d={blockquote:I(/^( {0,3}> ?(paragraph|[^\n]*)(?:\n|$))+/).replace("paragraph",Rr).getRegex(),code:Fe,def:An,fences:rt,heading:Mt,hr:ct,html:Dr,lheading:Bi,list:qt,newline:Ce,paragraph:Rr,table:O,text:$t},Ca=I("^ *([^\\n ].*)\\n {0,3}((?:\\| *)?:?-+:? *(?:\\| *:?-+:? *)*(?:\\| *)?)(?:\\n((?:(?! *\\n|hr|heading|blockquote|code|fences|list|html).*(?:\\n|$))*)\\n*|$)").replace("hr",ct).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("blockquote"," {0,3}>").replace("code"," {4}[^\\n]").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",ms).getRegex(),jl={...$d,table:Ca,paragraph:I($i).replace("hr",ct).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("table",Ca).replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",ms).getRegex()},es={...$d,html:I(`^ *(?:comment *(?:\\n|\\s*$)|<(tag)[\\s\\S]+?</\\1> *(?:\\n{2,}|\\s*$)|<tag(?:"[^"]*"|'[^']*'|\\s[^'"/>\\s]*)*?/?> *(?:\\n{2,}|\\s*$))`).replace("comment",Wr).replace(/tag/g,"(?!(?:a|em|strong|small|s|cite|q|dfn|abbr|data|time|code|var|samp|kbd|sub|sup|i|b|u|mark|ruby|rt|rp|bdi|bdo|span|br|wbr|ins|del|img)\\b)\\w+(?!:|[^\\w\\s@]*@)\\b").getRegex(),def:/^ *\[([^\]]+)\]: *<?([^\s>]+)>?(?: +(["(][^\n]+[")]))? *(?:\n+|$)/,heading:/^(#{1,6})(.*)(?:\n+|$)/,fences:O,lheading:/^(.+?)\n {0,3}(=+|-+) *(?:\n+|$)/,paragraph:I($i).replace("hr",ct).replace("heading",` *#{1,6} *[^
+]`).replace("lheading",Bi).replace("|table","").replace("blockquote"," {0,3}>").replace("|fences","").replace("|list","").replace("|html","").replace("|tag","").getRegex()},ld=/^\\([!"#$%&'()*+,\-./:;<=>?@\[\]\\^_`{|}~])/,mp=/^(`+)([^`]|[^`][\s\S]*?[^`])\1(?!`)/,of=/^( {2,}|\\)\n(?!\s*$)/,Bb=/^(`+|[^`])(?:(?= {2,}\n)|[\s\S]*?(?:(?=[\\<!\[`*_]|\b_|$)|[^ ](?= {2,}\n)))/,nm="\\p{P}\\p{S}",Nv=I(/^((?![*_])[\spunctuation])/,"u").replace(/punctuation/g,nm).getRegex(),Ft=/\[[^[\]]*?\]\([^\(\)]*?\)|`[^`]*?`|<[^<>]*?>/g,Ey=I(/^(?:\*+(?:((?!\*)[punct])|[^\s*]))|^_+(?:((?!_)[punct])|([^\s_]))/,"u").replace(/punct/g,nm).getRegex(),Js=I("^[^_*]*?__[^_*]*?\\*[^_*]*?(?=__)|[^*]+(?=[^*])|(?!\\*)[punct](\\*+)(?=[\\s]|$)|[^punct\\s](\\*+)(?!\\*)(?=[punct\\s]|$)|(?!\\*)[punct\\s](\\*+)(?=[^punct\\s])|[\\s](\\*+)(?!\\*)(?=[punct])|(?!\\*)[punct](\\*+)(?!\\*)(?=[punct])|[^punct\\s](\\*+)(?=[^punct\\s])","gu").replace(/punct/g,nm).getRegex(),k6=I("^[^_*]*?\\*\\*[^_*]*?_[^_*]*?(?=\\*\\*)|[^_]+(?=[^_])|(?!_)[punct](_+)(?=[\\s]|$)|[^punct\\s](_+)(?!_)(?=[punct\\s]|$)|(?!_)[punct\\s](_+)(?=[^punct\\s])|[\\s](_+)(?!_)(?=[punct])|(?!_)[punct](_+)(?!_)(?=[punct])","gu").replace(/punct/g,nm).getRegex(),Nk=I(/\\([punct])/,"gu").replace(/punct/g,nm).getRegex(),_W=I(/^<(scheme:[^\s\x00-\x1f<>]*|email)>/).replace("scheme",/[a-zA-Z][a-zA-Z0-9+.-]{1,31}/).replace("email",/[a-zA-Z0-9.!#$%&'*+/=?^_`{|}~-]+(@)[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)+(?![-_])/).getRegex(),pW=I(Wr).replace("(?:-->|$)","-->").getRegex(),Wb=I("^comment|^</[a-zA-Z][\\w:-]*\\s*>|^<[a-zA-Z][\\w-]*(?:attribute)*?\\s*/?>|^<\\?[\\s\\S]*?\\?>|^<![a-zA-Z]+\\s[\\s\\S]*?>|^<!\\[CDATA\\[[\\s\\S]*?\\]\\]>").replace("comment",pW).replace("attribute",/\s+[a-zA-Z:_][\w.:-]*(?:\s*=\s*"[^"]*"|\s*=\s*'[^']*'|\s*=\s*[^\s"'=<>`]+)?/).getRegex(),eI=/(?:\[(?:\\.|[^\[\]\\])*\]|\\.|`[^`]*`|[^\[\]\\`])*?/,Lk=I(/^!?\[(label)\]\(\s*(href)(?:\s+(title))?\s*\)/).replace("label",eI).replace("href",/<(?:\\.|[^\n<>\\])+>|[^\s\x00-\x1f]*/).replace("title",/"(?:\\"?|[^"\\])*"|'(?:\\'?|[^'\\])*'|\((?:\\\)?|[^)\\])*\)/).getRegex(),rm=I(/^!?\[(label)\]\[(ref)\]/).replace("label",eI).replace("ref",Hi).getRegex(),Iy=I(/^!?\[(ref)\](?:\[\])?/).replace("ref",Hi).getRegex(),i_=I("reflink|nolink(?!\\()","g").replace("reflink",rm).replace("nolink",Iy).getRegex(),Rw={_backpedal:O,anyPunctuation:Nk,autolink:_W,blockSkip:Ft,br:of,code:mp,del:O,emStrongLDelim:Ey,emStrongRDelimAst:Js,emStrongRDelimUnd:k6,escape:ld,link:Lk,nolink:Iy,punctuation:Nv,reflink:rm,reflinkSearch:i_,tag:Wb,text:Bb,url:O},T6={...Rw,link:I(/^!?\[(label)\]\((.*?)\)/).replace("label",eI).getRegex(),reflink:I(/^!?\[(label)\]\s*\[([^\]]*)\]/).replace("label",eI).getRegex()},fn={...Rw,escape:I(ld).replace("])","~|])").getRegex(),url:I(/^((?:ftp|https?):\/\/|www\.)(?:[a-zA-Z0-9\-]+\.?)+[^\s<]*|^email/,"i").replace("email",/[A-Za-z0-9._+-]+(@)[a-zA-Z0-9-_]+(?:\.[a-zA-Z0-9-_]*[a-zA-Z0-9])+(?![-_])/).getRegex(),_backpedal:/(?:[^?!.,:;*_'"~()&]+|\([^)]*\)|&(?![a-zA-Z0-9]+;$)|[?!.,:;*_'"~)]+(?!$))+/,del:/^(~~?)(?=[^\s~])([\s\S]*?[^\s~])\1(?=[^~]|$)/,text:/^([`~]+|[^`~])(?:(?= {2,}\n)|(?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)|[\s\S]*?(?:(?=[\\<!\[`*~_]|\b_|https?:\/\/|ftp:\/\/|www\.|$)|[^ ](?= {2,}\n)|[^a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-](?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)))/},rS={...fn,br:I(of).replace("{2,}","*").getRegex(),text:I(fn.text).replace("\\b_","\\b_| {2,}\\n").replace(/\{2,\}/g,"*").getRegex()},Fw={normal:$d,gfm:jl,pedantic:es},Sr={normal:Rw,gfm:fn,breaks:rS,pedantic:T6};class mu{constructor(Jt){fp(this,"tokens");fp(this,"options");fp(this,"state");fp(this,"tokenizer");fp(this,"inlineQueue");this.tokens=[],this.tokens.links=Object.create(null),this.options=Jt||e.defaults,this.options.tokenizer=this.options.tokenizer||new ye,this.tokenizer=this.options.tokenizer,this.tokenizer.options=this.options,this.tokenizer.lexer=this,this.inlineQueue=[],this.state={inLink:!1,inRawBlock:!1,top:!0};const ti={block:Fw.normal,inline:Sr.normal};this.options.pedantic?(ti.block=Fw.pedantic,ti.inline=Sr.pedantic):this.options.gfm&&(ti.block=Fw.gfm,this.options.breaks?ti.inline=Sr.breaks:ti.inline=Sr.gfm),this.tokenizer.rules=ti}static get rules(){return{block:Fw,inline:Sr}}static lex(Jt,ti){return new mu(ti).lex(Jt)}static lexInline(Jt,ti){return new mu(ti).inlineTokens(Jt)}lex(Jt){Jt=Jt.replace(/\r\n|\r/g,`
+`),this.blockTokens(Jt,this.tokens);for(let ti=0;ti<this.inlineQueue.length;ti++){const oi=this.inlineQueue[ti];this.inlineTokens(oi.src,oi.tokens)}return this.inlineQueue=[],this.tokens}blockTokens(Jt,ti=[],oi=!1){this.options.pedantic?Jt=Jt.replace(/\t/g," ").replace(/^ +$/gm,""):Jt=Jt.replace(/^( *)(\t+)/gm,(wr,vo,So)=>vo+" ".repeat(So.length));let Vi,Li,hr;for(;Jt;)if(!(this.options.extensions&&this.options.extensions.block&&this.options.extensions.block.some(wr=>(Vi=wr.call({lexer:this},Jt,ti))?(Jt=Jt.substring(Vi.raw.length),ti.push(Vi),!0):!1))){if(Vi=this.tokenizer.space(Jt)){Jt=Jt.substring(Vi.raw.length),Vi.raw.length===1&&ti.length>0?ti[ti.length-1].raw+=`
+`:ti.push(Vi);continue}if(Vi=this.tokenizer.code(Jt)){Jt=Jt.substring(Vi.raw.length),Li=ti[ti.length-1],Li&&(Li.type==="paragraph"||Li.type==="text")?(Li.raw+=`
+`+Vi.raw,Li.text+=`
+`+Vi.text,this.inlineQueue[this.inlineQueue.length-1].src=Li.text):ti.push(Vi);continue}if(Vi=this.tokenizer.fences(Jt)){Jt=Jt.substring(Vi.raw.length),ti.push(Vi);continue}if(Vi=this.tokenizer.heading(Jt)){Jt=Jt.substring(Vi.raw.length),ti.push(Vi);continue}if(Vi=this.tokenizer.hr(Jt)){Jt=Jt.substring(Vi.raw.length),ti.push(Vi);continue}if(Vi=this.tokenizer.blockquote(Jt)){Jt=Jt.substring(Vi.raw.length),ti.push(Vi);continue}if(Vi=this.tokenizer.list(Jt)){Jt=Jt.substring(Vi.raw.length),ti.push(Vi);continue}if(Vi=this.tokenizer.html(Jt)){Jt=Jt.substring(Vi.raw.length),ti.push(Vi);continue}if(Vi=this.tokenizer.def(Jt)){Jt=Jt.substring(Vi.raw.length),Li=ti[ti.length-1],Li&&(Li.type==="paragraph"||Li.type==="text")?(Li.raw+=`
+`+Vi.raw,Li.text+=`
+`+Vi.raw,this.inlineQueue[this.inlineQueue.length-1].src=Li.text):this.tokens.links[Vi.tag]||(this.tokens.links[Vi.tag]={href:Vi.href,title:Vi.title});continue}if(Vi=this.tokenizer.table(Jt)){Jt=Jt.substring(Vi.raw.length),ti.push(Vi);continue}if(Vi=this.tokenizer.lheading(Jt)){Jt=Jt.substring(Vi.raw.length),ti.push(Vi);continue}if(hr=Jt,this.options.extensions&&this.options.extensions.startBlock){let wr=1/0;const vo=Jt.slice(1);let So;this.options.extensions.startBlock.forEach(zc=>{So=zc.call({lexer:this},vo),typeof So=="number"&&So>=0&&(wr=Math.min(wr,So))}),wr<1/0&&wr>=0&&(hr=Jt.substring(0,wr+1))}if(this.state.top&&(Vi=this.tokenizer.paragraph(hr))){Li=ti[ti.length-1],oi&&(Li==null?void 0:Li.type)==="paragraph"?(Li.raw+=`
+`+Vi.raw,Li.text+=`
+`+Vi.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=Li.text):ti.push(Vi),oi=hr.length!==Jt.length,Jt=Jt.substring(Vi.raw.length);continue}if(Vi=this.tokenizer.text(Jt)){Jt=Jt.substring(Vi.raw.length),Li=ti[ti.length-1],Li&&Li.type==="text"?(Li.raw+=`
+`+Vi.raw,Li.text+=`
+`+Vi.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=Li.text):ti.push(Vi);continue}if(Jt){const wr="Infinite loop on byte: "+Jt.charCodeAt(0);if(this.options.silent){console.error(wr);break}else throw new Error(wr)}}return this.state.top=!0,ti}inline(Jt,ti=[]){return this.inlineQueue.push({src:Jt,tokens:ti}),ti}inlineTokens(Jt,ti=[]){let oi,Vi,Li,hr=Jt,wr,vo,So;if(this.tokens.links){const zc=Object.keys(this.tokens.links);if(zc.length>0)for(;(wr=this.tokenizer.rules.inline.reflinkSearch.exec(hr))!=null;)zc.includes(wr[0].slice(wr[0].lastIndexOf("[")+1,-1))&&(hr=hr.slice(0,wr.index)+"["+"a".repeat(wr[0].length-2)+"]"+hr.slice(this.tokenizer.rules.inline.reflinkSearch.lastIndex))}for(;(wr=this.tokenizer.rules.inline.blockSkip.exec(hr))!=null;)hr=hr.slice(0,wr.index)+"["+"a".repeat(wr[0].length-2)+"]"+hr.slice(this.tokenizer.rules.inline.blockSkip.lastIndex);for(;(wr=this.tokenizer.rules.inline.anyPunctuation.exec(hr))!=null;)hr=hr.slice(0,wr.index)+"++"+hr.slice(this.tokenizer.rules.inline.anyPunctuation.lastIndex);for(;Jt;)if(vo||(So=""),vo=!1,!(this.options.extensions&&this.options.extensions.inline&&this.options.extensions.inline.some(zc=>(oi=zc.call({lexer:this},Jt,ti))?(Jt=Jt.substring(oi.raw.length),ti.push(oi),!0):!1))){if(oi=this.tokenizer.escape(Jt)){Jt=Jt.substring(oi.raw.length),ti.push(oi);continue}if(oi=this.tokenizer.tag(Jt)){Jt=Jt.substring(oi.raw.length),Vi=ti[ti.length-1],Vi&&oi.type==="text"&&Vi.type==="text"?(Vi.raw+=oi.raw,Vi.text+=oi.text):ti.push(oi);continue}if(oi=this.tokenizer.link(Jt)){Jt=Jt.substring(oi.raw.length),ti.push(oi);continue}if(oi=this.tokenizer.reflink(Jt,this.tokens.links)){Jt=Jt.substring(oi.raw.length),Vi=ti[ti.length-1],Vi&&oi.type==="text"&&Vi.type==="text"?(Vi.raw+=oi.raw,Vi.text+=oi.text):ti.push(oi);continue}if(oi=this.tokenizer.emStrong(Jt,hr,So)){Jt=Jt.substring(oi.raw.length),ti.push(oi);continue}if(oi=this.tokenizer.codespan(Jt)){Jt=Jt.substring(oi.raw.length),ti.push(oi);continue}if(oi=this.tokenizer.br(Jt)){Jt=Jt.substring(oi.raw.length),ti.push(oi);continue}if(oi=this.tokenizer.del(Jt)){Jt=Jt.substring(oi.raw.length),ti.push(oi);continue}if(oi=this.tokenizer.autolink(Jt)){Jt=Jt.substring(oi.raw.length),ti.push(oi);continue}if(!this.state.inLink&&(oi=this.tokenizer.url(Jt))){Jt=Jt.substring(oi.raw.length),ti.push(oi);continue}if(Li=Jt,this.options.extensions&&this.options.extensions.startInline){let zc=1/0;const as=Jt.slice(1);let $u;this.options.extensions.startInline.forEach(Af=>{$u=Af.call({lexer:this},as),typeof $u=="number"&&$u>=0&&(zc=Math.min(zc,$u))}),zc<1/0&&zc>=0&&(Li=Jt.substring(0,zc+1))}if(oi=this.tokenizer.inlineText(Li)){Jt=Jt.substring(oi.raw.length),oi.raw.slice(-1)!=="_"&&(So=oi.raw.slice(-1)),vo=!0,Vi=ti[ti.length-1],Vi&&Vi.type==="text"?(Vi.raw+=oi.raw,Vi.text+=oi.text):ti.push(oi);continue}if(Jt){const zc="Infinite loop on byte: "+Jt.charCodeAt(0);if(this.options.silent){console.error(zc);break}else throw new Error(zc)}}return ti}}class n_{constructor(Jt){fp(this,"options");fp(this,"parser");this.options=Jt||e.defaults}space(Jt){return""}code({text:Jt,lang:ti,escaped:oi}){var hr;const Vi=(hr=(ti||"").match(/^\S*/))==null?void 0:hr[0],Li=Jt.replace(/\n$/,"")+`
+`;return Vi?'<pre><code class="language-'+x(Vi)+'">'+(oi?Li:x(Li,!0))+`</code></pre>
+`:"<pre><code>"+(oi?Li:x(Li,!0))+`</code></pre>
+`}blockquote({tokens:Jt}){return`<blockquote>
+${this.parser.parse(Jt)}</blockquote>
+`}html({text:Jt}){return Jt}heading({tokens:Jt,depth:ti}){return`<h${ti}>${this.parser.parseInline(Jt)}</h${ti}>
+`}hr(Jt){return`<hr>
+`}list(Jt){const ti=Jt.ordered,oi=Jt.start;let Vi="";for(let wr=0;wr<Jt.items.length;wr++){const vo=Jt.items[wr];Vi+=this.listitem(vo)}const Li=ti?"ol":"ul",hr=ti&&oi!==1?' start="'+oi+'"':"";return"<"+Li+hr+`>
+`+Vi+"</"+Li+`>
+`}listitem(Jt){let ti="";if(Jt.task){const oi=this.checkbox({checked:!!Jt.checked});Jt.loose?Jt.tokens.length>0&&Jt.tokens[0].type==="paragraph"?(Jt.tokens[0].text=oi+" "+Jt.tokens[0].text,Jt.tokens[0].tokens&&Jt.tokens[0].tokens.length>0&&Jt.tokens[0].tokens[0].type==="text"&&(Jt.tokens[0].tokens[0].text=oi+" "+Jt.tokens[0].tokens[0].text)):Jt.tokens.unshift({type:"text",raw:oi+" ",text:oi+" "}):ti+=oi+" "}return ti+=this.parser.parse(Jt.tokens,!!Jt.loose),`<li>${ti}</li>
+`}checkbox({checked:Jt}){return"<input "+(Jt?'checked="" ':"")+'disabled="" type="checkbox">'}paragraph({tokens:Jt}){return`<p>${this.parser.parseInline(Jt)}</p>
+`}table(Jt){let ti="",oi="";for(let Li=0;Li<Jt.header.length;Li++)oi+=this.tablecell(Jt.header[Li]);ti+=this.tablerow({text:oi});let Vi="";for(let Li=0;Li<Jt.rows.length;Li++){const hr=Jt.rows[Li];oi="";for(let wr=0;wr<hr.length;wr++)oi+=this.tablecell(hr[wr]);Vi+=this.tablerow({text:oi})}return Vi&&(Vi=`<tbody>${Vi}</tbody>`),`<table>
+<thead>
+`+ti+`</thead>
+`+Vi+`</table>
+`}tablerow({text:Jt}){return`<tr>
+${Jt}</tr>
+`}tablecell(Jt){const ti=this.parser.parseInline(Jt.tokens),oi=Jt.header?"th":"td";return(Jt.align?`<${oi} align="${Jt.align}">`:`<${oi}>`)+ti+`</${oi}>
+`}strong({tokens:Jt}){return`<strong>${this.parser.parseInline(Jt)}</strong>`}em({tokens:Jt}){return`<em>${this.parser.parseInline(Jt)}</em>`}codespan({text:Jt}){return`<code>${Jt}</code>`}br(Jt){return"<br>"}del({tokens:Jt}){return`<del>${this.parser.parseInline(Jt)}</del>`}link({href:Jt,title:ti,tokens:oi}){const Vi=this.parser.parseInline(oi),Li=P(Jt);if(Li===null)return Vi;Jt=Li;let hr='<a href="'+Jt+'"';return ti&&(hr+=' title="'+ti+'"'),hr+=">"+Vi+"</a>",hr}image({href:Jt,title:ti,text:oi}){const Vi=P(Jt);if(Vi===null)return oi;Jt=Vi;let Li=`<img src="${Jt}" alt="${oi}"`;return ti&&(Li+=` title="${ti}"`),Li+=">",Li}text(Jt){return"tokens"in Jt&&Jt.tokens?this.parser.parseInline(Jt.tokens):Jt.text}}class tI{strong({text:Jt}){return Jt}em({text:Jt}){return Jt}codespan({text:Jt}){return Jt}del({text:Jt}){return Jt}html({text:Jt}){return Jt}text({text:Jt}){return Jt}link({text:Jt}){return""+Jt}image({text:Jt}){return""+Jt}br(){return""}}class Mu{constructor(Jt){fp(this,"options");fp(this,"renderer");fp(this,"textRenderer");this.options=Jt||e.defaults,this.options.renderer=this.options.renderer||new n_,this.renderer=this.options.renderer,this.renderer.options=this.options,this.renderer.parser=this,this.textRenderer=new tI}static parse(Jt,ti){return new Mu(ti).parse(Jt)}static parseInline(Jt,ti){return new Mu(ti).parseInline(Jt)}parse(Jt,ti=!0){let oi="";for(let Vi=0;Vi<Jt.length;Vi++){const Li=Jt[Vi];if(this.options.extensions&&this.options.extensions.renderers&&this.options.extensions.renderers[Li.type]){const wr=Li,vo=this.options.extensions.renderers[wr.type].call({parser:this},wr);if(vo!==!1||!["space","hr","heading","code","table","blockquote","list","html","paragraph","text"].includes(wr.type)){oi+=vo||"";continue}}const hr=Li;switch(hr.type){case"space":{oi+=this.renderer.space(hr);continue}case"hr":{oi+=this.renderer.hr(hr);continue}case"heading":{oi+=this.renderer.heading(hr);continue}case"code":{oi+=this.renderer.code(hr);continue}case"table":{oi+=this.renderer.table(hr);continue}case"blockquote":{oi+=this.renderer.blockquote(hr);continue}case"list":{oi+=this.renderer.list(hr);continue}case"html":{oi+=this.renderer.html(hr);continue}case"paragraph":{oi+=this.renderer.paragraph(hr);continue}case"text":{let wr=hr,vo=this.renderer.text(wr);for(;Vi+1<Jt.length&&Jt[Vi+1].type==="text";)wr=Jt[++Vi],vo+=`
+`+this.renderer.text(wr);ti?oi+=this.renderer.paragraph({type:"paragraph",raw:vo,text:vo,tokens:[{type:"text",raw:vo,text:vo}]}):oi+=vo;continue}default:{const wr='Token with "'+hr.type+'" type was not found.';if(this.options.silent)return console.error(wr),"";throw new Error(wr)}}}return oi}parseInline(Jt,ti){ti=ti||this.renderer;let oi="";for(let Vi=0;Vi<Jt.length;Vi++){const Li=Jt[Vi];if(this.options.extensions&&this.options.extensions.renderers&&this.options.extensions.renderers[Li.type]){const wr=this.options.extensions.renderers[Li.type].call({parser:this},Li);if(wr!==!1||!["escape","html","link","image","strong","em","codespan","br","del","text"].includes(Li.type)){oi+=wr||"";continue}}const hr=Li;switch(hr.type){case"escape":{oi+=ti.text(hr);break}case"html":{oi+=ti.html(hr);break}case"link":{oi+=ti.link(hr);break}case"image":{oi+=ti.image(hr);break}case"strong":{oi+=ti.strong(hr);break}case"em":{oi+=ti.em(hr);break}case"codespan":{oi+=ti.codespan(hr);break}case"br":{oi+=ti.br(hr);break}case"del":{oi+=ti.del(hr);break}case"text":{oi+=ti.text(hr);break}default:{const wr='Token with "'+hr.type+'" type was not found.';if(this.options.silent)return console.error(wr),"";throw new Error(wr)}}}return oi}}class Bw{constructor(Jt){fp(this,"options");this.options=Jt||e.defaults}preprocess(Jt){return Jt}postprocess(Jt){return Jt}processAllTokens(Jt){return Jt}}fp(Bw,"passThroughHooks",new Set(["preprocess","postprocess","processAllTokens"]));class Vb{constructor(...Jt){fp(this,"defaults",i());fp(this,"options",this.setOptions);fp(this,"parse",this.parseMarkdown(mu.lex,Mu.parse));fp(this,"parseInline",this.parseMarkdown(mu.lexInline,Mu.parseInline));fp(this,"Parser",Mu);fp(this,"Renderer",n_);fp(this,"TextRenderer",tI);fp(this,"Lexer",mu);fp(this,"Tokenizer",ye);fp(this,"Hooks",Bw);this.use(...Jt)}walkTokens(Jt,ti){var Vi,Li;let oi=[];for(const hr of Jt)switch(oi=oi.concat(ti.call(this,hr)),hr.type){case"table":{const wr=hr;for(const vo of wr.header)oi=oi.concat(this.walkTokens(vo.tokens,ti));for(const vo of wr.rows)for(const So of vo)oi=oi.concat(this.walkTokens(So.tokens,ti));break}case"list":{const wr=hr;oi=oi.concat(this.walkTokens(wr.items,ti));break}default:{const wr=hr;(Li=(Vi=this.defaults.extensions)==null?void 0:Vi.childTokens)!=null&&Li[wr.type]?this.defaults.extensions.childTokens[wr.type].forEach(vo=>{const So=wr[vo].flat(1/0);oi=oi.concat(this.walkTokens(So,ti))}):wr.tokens&&(oi=oi.concat(this.walkTokens(wr.tokens,ti)))}}return oi}use(...Jt){const ti=this.defaults.extensions||{renderers:{},childTokens:{}};return Jt.forEach(oi=>{const Vi={...oi};if(Vi.async=this.defaults.async||Vi.async||!1,oi.extensions&&(oi.extensions.forEach(Li=>{if(!Li.name)throw new Error("extension name required");if("renderer"in Li){const hr=ti.renderers[Li.name];hr?ti.renderers[Li.name]=function(...wr){let vo=Li.renderer.apply(this,wr);return vo===!1&&(vo=hr.apply(this,wr)),vo}:ti.renderers[Li.name]=Li.renderer}if("tokenizer"in Li){if(!Li.level||Li.level!=="block"&&Li.level!=="inline")throw new Error("extension level must be 'block' or 'inline'");const hr=ti[Li.level];hr?hr.unshift(Li.tokenizer):ti[Li.level]=[Li.tokenizer],Li.start&&(Li.level==="block"?ti.startBlock?ti.startBlock.push(Li.start):ti.startBlock=[Li.start]:Li.level==="inline"&&(ti.startInline?ti.startInline.push(Li.start):ti.startInline=[Li.start]))}"childTokens"in Li&&Li.childTokens&&(ti.childTokens[Li.name]=Li.childTokens)}),Vi.extensions=ti),oi.renderer){const Li=this.defaults.renderer||new n_(this.defaults);for(const hr in oi.renderer){if(!(hr in Li))throw new Error(`renderer '${hr}' does not exist`);if(["options","parser"].includes(hr))continue;const wr=hr,vo=oi.renderer[wr],So=Li[wr];Li[wr]=(...zc)=>{let as=vo.apply(Li,zc);return as===!1&&(as=So.apply(Li,zc)),as||""}}Vi.renderer=Li}if(oi.tokenizer){const Li=this.defaults.tokenizer||new ye(this.defaults);for(const hr in oi.tokenizer){if(!(hr in Li))throw new Error(`tokenizer '${hr}' does not exist`);if(["options","rules","lexer"].includes(hr))continue;const wr=hr,vo=oi.tokenizer[wr],So=Li[wr];Li[wr]=(...zc)=>{let as=vo.apply(Li,zc);return as===!1&&(as=So.apply(Li,zc)),as}}Vi.tokenizer=Li}if(oi.hooks){const Li=this.defaults.hooks||new Bw;for(const hr in oi.hooks){if(!(hr in Li))throw new Error(`hook '${hr}' does not exist`);if(hr==="options")continue;const wr=hr,vo=oi.hooks[wr],So=Li[wr];Bw.passThroughHooks.has(hr)?Li[wr]=zc=>{if(this.defaults.async)return Promise.resolve(vo.call(Li,zc)).then($u=>So.call(Li,$u));const as=vo.call(Li,zc);return So.call(Li,as)}:Li[wr]=(...zc)=>{let as=vo.apply(Li,zc);return as===!1&&(as=So.apply(Li,zc)),as}}Vi.hooks=Li}if(oi.walkTokens){const Li=this.defaults.walkTokens,hr=oi.walkTokens;Vi.walkTokens=function(wr){let vo=[];return vo.push(hr.call(this,wr)),Li&&(vo=vo.concat(Li.call(this,wr))),vo}}this.defaults={...this.defaults,...Vi}}),this}setOptions(Jt){return this.defaults={...this.defaults,...Jt},this}lexer(Jt,ti){return mu.lex(Jt,ti??this.defaults)}parser(Jt,ti){return Mu.parse(Jt,ti??this.defaults)}parseMarkdown(Jt,ti){return(Vi,Li)=>{const hr={...Li},wr={...this.defaults,...hr},vo=this.onError(!!wr.silent,!!wr.async);if(this.defaults.async===!0&&hr.async===!1)return vo(new Error("marked(): The async option was set to true by an extension. Remove async: false from the parse options object to return a Promise."));if(typeof Vi>"u"||Vi===null)return vo(new Error("marked(): input parameter is undefined or null"));if(typeof Vi!="string")return vo(new Error("marked(): input parameter is of type "+Object.prototype.toString.call(Vi)+", string expected"));if(wr.hooks&&(wr.hooks.options=wr),wr.async)return Promise.resolve(wr.hooks?wr.hooks.preprocess(Vi):Vi).then(So=>Jt(So,wr)).then(So=>wr.hooks?wr.hooks.processAllTokens(So):So).then(So=>wr.walkTokens?Promise.all(this.walkTokens(So,wr.walkTokens)).then(()=>So):So).then(So=>ti(So,wr)).then(So=>wr.hooks?wr.hooks.postprocess(So):So).catch(vo);try{wr.hooks&&(Vi=wr.hooks.preprocess(Vi));let So=Jt(Vi,wr);wr.hooks&&(So=wr.hooks.processAllTokens(So)),wr.walkTokens&&this.walkTokens(So,wr.walkTokens);let zc=ti(So,wr);return wr.hooks&&(zc=wr.hooks.postprocess(zc)),zc}catch(So){return vo(So)}}}onError(Jt,ti){return oi=>{if(oi.message+=`
+Please report this to https://github.com/markedjs/marked.`,Jt){const Vi="<p>An error occurred:</p><pre>"+x(oi.message+"",!0)+"</pre>";return ti?Promise.resolve(Vi):Vi}if(ti)return Promise.reject(oi);throw oi}}}const sm=new Vb;function Ra(Fc,Jt){return sm.parse(Fc,Jt)}Ra.options=Ra.setOptions=function(Fc){return sm.setOptions(Fc),Ra.defaults=sm.defaults,n(Ra.defaults),Ra},Ra.getDefaults=i,Ra.defaults=e.defaults,Ra.use=function(...Fc){return sm.use(...Fc),Ra.defaults=sm.defaults,n(Ra.defaults),Ra},Ra.walkTokens=function(Fc,Jt){return sm.walkTokens(Fc,Jt)},Ra.parseInline=sm.parseInline,Ra.Parser=Mu,Ra.parser=Mu.parse,Ra.Renderer=n_,Ra.TextRenderer=tI,Ra.Lexer=mu,Ra.lexer=mu.lex,Ra.Tokenizer=ye,Ra.Hooks=Bw,Ra.parse=Ra;const k_=Ra.options,ya=Ra.setOptions,D6=Ra.use,rl=Ra.walkTokens,va=Ra.parseInline,Bm=Ra,$L=Mu.parse,Sg=mu.lex;e.Hooks=Bw,e.Lexer=mu,e.Marked=Vb,e.Parser=Mu,e.Renderer=n_,e.TextRenderer=tI,e.Tokenizer=ye,e.getDefaults=i,e.lexer=Sg,e.marked=Ra,e.options=k_,e.parse=Bm,e.parseInline=va,e.parser=$L,e.setOptions=ya,e.use=D6,e.walkTokens=rl})})();C1.Hooks||exports.Hooks;C1.Lexer||exports.Lexer;C1.Marked||exports.Marked;C1.Parser||exports.Parser;var lDt=C1.Renderer||exports.Renderer;C1.TextRenderer||exports.TextRenderer;C1.Tokenizer||exports.Tokenizer;var Agi=C1.defaults||exports.defaults;C1.getDefaults||exports.getDefaults;var uwe=C1.lexer||exports.lexer;C1.marked||exports.marked;C1.options||exports.options;var uDt=C1.parse||exports.parse;C1.parseInline||exports.parseInline;var Ogi=C1.parser||exports.parser;C1.setOptions||exports.setOptions;C1.use||exports.use;C1.walkTokens||exports.walkTokens;function Mgi(l){return JSON.stringify(l,Rgi)}function gVe(l){let e=JSON.parse(l);return e=yVe(e),e}function Rgi(l,e){return e instanceof RegExp?{$mid:2,source:e.source,flags:e.flags}:e}function yVe(l,e=0){if(!l||e>200)return l;if(typeof l=="object"){switch(l.$mid){case 1:return yo.revive(l);case 2:return new RegExp(l.source,l.flags);case 17:return new Date(l.source)}if(l instanceof JSe||l instanceof Uint8Array)return l;if(Array.isArray(l))for(let i=0;i<l.length;++i)l[i]=yVe(l[i],e+1);else for(const i in l)Object.hasOwnProperty.call(l,i)&&(l[i]=yVe(l[i],e+1))}return l}const VFe=Object.freeze({image:({href:l,title:e,text:i})=>{let n=[],s=[];return l&&({href:l,dimensions:n}=Pgi(l),s.push(`src="${r0e(l)}"`)),i&&s.push(`alt="${r0e(i)}"`),e&&s.push(`title="${r0e(e)}"`),n.length&&(s=s.concat(n)),"<img "+s.join(" ")+">"},paragraph({tokens:l}){return`<p>${this.parser.parseInline(l)}</p>`},link({href:l,title:e,tokens:i}){let n=this.parser.parseInline(i);return typeof l!="string"?"":(l===n&&(n=WFe(n)),e=typeof e=="string"?r0e(WFe(e)):"",l=WFe(l),l=l.replace(/&/g,"&amp;").replace(/</g,"&lt;").replace(/>/g,"&gt;").replace(/"/g,"&quot;").replace(/'/g,"&#39;"),`<a href="${l}" title="${e||l}" draggable="false">${n}</a>`)}});function dwe(l,e={},i={}){const n=new wn;let s=!1;const c=j$e(e),d=function(z){let J;try{J=gVe(decodeURIComponent(z))}catch{}return J?(J=wkt(J,Y=>{if(l.uris&&l.uris[Y])return yo.revive(l.uris[Y])}),encodeURIComponent(JSON.stringify(J))):z},f=function(z,J){const Y=l.uris&&l.uris[z];let ae=yo.revive(Y);return J?z.startsWith(Ma.data+":")?z:(ae||(ae=yo.parse(z)),LSe.uriToBrowserUri(ae).toString(!0)):!ae||yo.parse(z).toString()===ae.toString()?z:(ae.query&&(ae=ae.with({query:d(ae.query)})),ae.toString())},m=new lDt;m.image=VFe.image,m.link=VFe.link,m.paragraph=VFe.paragraph;const v=[],x=[];if(e.codeBlockRendererSync?m.code=({text:z,lang:J})=>{const Y=mVe.nextId(),ae=e.codeBlockRendererSync(Ubt(J),z);return x.push([Y,ae]),`<div class="code" data-code="${Y}">${wie(z)}</div>`}:e.codeBlockRenderer&&(m.code=({text:z,lang:J})=>{const Y=mVe.nextId(),ae=e.codeBlockRenderer(Ubt(J),z);return v.push(ae.then(me=>[Y,me])),`<div class="code" data-code="${Y}">${wie(z)}</div>`}),e.actionHandler){const z=function(ae){let me=ae.target;if(!(me.tagName!=="A"&&(me=me.parentElement,!me||me.tagName!=="A")))try{let ye=me.dataset.href;ye&&(l.baseUri&&(ye=HFe(yo.from(l.baseUri),ye)),e.actionHandler.callback(ye,ae))}catch(ye){Pa(ye)}finally{ae.preventDefault()}},J=e.actionHandler.disposables.add(new Xc(c,"click")),Y=e.actionHandler.disposables.add(new Xc(c,"auxclick"));e.actionHandler.disposables.add(Tr.any(J.event,Y.event)(ae=>{const me=new hT(Eo(c),ae);!me.leftButton&&!me.middleButton||z(me)})),e.actionHandler.disposables.add(en(c,"keydown",ae=>{const me=new Id(ae);!me.equals(10)&&!me.equals(3)||z(me)}))}l.supportHtml||(m.html=({text:z})=>{var Y;return(Y=e.sanitizerOptions)!=null&&Y.replaceWithPlaintext?wie(z):(l.isTrusted?z.match(/^(<span[^>]+>)|(<\/\s*span>)$/):void 0)?z:""}),i.renderer=m;let w=l.value??"";w.length>1e5&&(w=`${w.substr(0,1e5)}…`),l.supportThemeIcons&&(w=bgi(w));let I;if(e.fillInIncompleteTokens){const z={...Agi,...i},J=uwe(w,z),Y=Kgi(J);I=Ogi(Y,z)}else I=uDt(w,{...i,async:!1});l.supportThemeIcons&&(I=JM(I).map(J=>typeof J=="string"?J:J.outerHTML).join(""));const O=new DOMParser().parseFromString(vVe({isTrusted:l.isTrusted,...e.sanitizerOptions},I),"text/html");if(O.body.querySelectorAll("img, audio, video, source").forEach(z=>{const J=z.getAttribute("src");if(J){let Y=J;try{l.baseUri&&(Y=HFe(yo.from(l.baseUri),Y))}catch{}if(z.setAttribute("src",f(Y,!0)),e.remoteImageIsAllowed){const ae=yo.parse(Y);ae.scheme!==Ma.file&&ae.scheme!==Ma.data&&!e.remoteImageIsAllowed(ae)&&z.replaceWith(Cr("",void 0,z.outerHTML))}}}),O.body.querySelectorAll("a").forEach(z=>{const J=z.getAttribute("href");if(z.setAttribute("href",""),!J||/^data:|javascript:/i.test(J)||/^command:/i.test(J)&&!l.isTrusted||/^command:(\/\/\/)?_workbench\.downloadResource/i.test(J))z.replaceWith(...z.childNodes);else{let Y=f(J,!1);l.baseUri&&(Y=HFe(yo.from(l.baseUri),J)),z.dataset.href=Y}}),c.innerHTML=vVe({isTrusted:l.isTrusted,...e.sanitizerOptions},O.body.innerHTML),v.length>0)Promise.all(v).then(z=>{var ae;if(s)return;const J=new Map(z),Y=c.querySelectorAll("div[data-code]");for(const me of Y){const ye=J.get(me.dataset.code??"");ye&&o0(me,ye)}(ae=e.asyncRenderCallback)==null||ae.call(e)});else if(x.length>0){const z=new Map(x),J=c.querySelectorAll("div[data-code]");for(const Y of J){const ae=z.get(Y.dataset.code??"");ae&&o0(Y,ae)}}if(e.asyncRenderCallback)for(const z of c.getElementsByTagName("img")){const J=n.add(en(z,"load",()=>{J.dispose(),e.asyncRenderCallback()}))}return{element:c,dispose:()=>{s=!0,n.dispose()}}}function Ubt(l){if(!l)return"";const e=l.split(/[\s+|:|,|\{|\?]/,1);return e.length?e[0]:l}function HFe(l,e){return/^\w[\w\d+.-]*:/.test(e)?e:l.path.endsWith("/")?Hbt(l,e).toString():Hbt(lwe(l),e).toString()}const Fgi=["area","base","br","col","command","embed","hr","img","input","keygen","link","meta","param","source","track","wbr"];function vVe(l,e){const{config:i,allowedSchemes:n}=Wgi(l),s=new wn;s.add(qbt("uponSanitizeAttribute",(c,d)=>{var f;if(d.attrName==="style"||d.attrName==="class"){if(c.tagName==="SPAN"){if(d.attrName==="style"){d.keepAttr=/^(color\:(#[0-9a-fA-F]+|var\(--vscode(-[a-zA-Z]+)+\));)?(background-color\:(#[0-9a-fA-F]+|var\(--vscode(-[a-zA-Z]+)+\));)?(border-radius:[0-9]+px;)?$/.test(d.attrValue);return}else if(d.attrName==="class"){d.keepAttr=/^codicon codicon-[a-z\-]+( codicon-modifier-[a-z\-]+)?$/.test(d.attrValue);return}}d.keepAttr=!1;return}else if(c.tagName==="INPUT"&&((f=c.attributes.getNamedItem("type"))==null?void 0:f.value)==="checkbox"){if(d.attrName==="type"&&d.attrValue==="checkbox"||d.attrName==="disabled"||d.attrName==="checked"){d.keepAttr=!0;return}d.keepAttr=!1}})),s.add(qbt("uponSanitizeElement",(c,d)=>{var f;if(d.tagName==="input"&&(((f=c.attributes.getNamedItem("type"))==null?void 0:f.value)==="checkbox"?c.setAttribute("disabled",""):l.replaceWithPlaintext||c.remove()),l.replaceWithPlaintext&&!d.allowedTags[d.tagName]&&d.tagName!=="body"&&c.parentElement){let m,v;if(d.tagName==="#comment")m=`<!--${c.textContent}-->`;else{const P=Fgi.includes(d.tagName),O=c.attributes.length?" "+Array.from(c.attributes).map(z=>`${z.name}="${z.value}"`).join(" "):"";m=`<${d.tagName}${O}>`,P||(v=`</${d.tagName}>`)}const x=document.createDocumentFragment(),w=c.parentElement.ownerDocument.createTextNode(m);x.appendChild(w);const I=v?c.parentElement.ownerDocument.createTextNode(v):void 0;for(;c.firstChild;)x.appendChild(c.firstChild);I&&x.appendChild(I),c.parentElement.replaceChild(x,c)}})),s.add(ydi(n));try{return m2t(e,{...i,RETURN_TRUSTED_TYPE:!0})}finally{s.dispose()}}const Bgi=["align","autoplay","alt","checked","class","colspan","controls","data-code","data-href","disabled","draggable","height","href","loop","muted","playsinline","poster","rowspan","src","style","target","title","type","width","start"];function Wgi(l){const e=[Ma.http,Ma.https,Ma.mailto,Ma.data,Ma.file,Ma.vscodeFileResource,Ma.vscodeRemote,Ma.vscodeRemoteResource];return l.isTrusted&&e.push(Ma.command),{config:{ALLOWED_TAGS:l.allowedTags??[...vdi],ALLOWED_ATTR:Bgi,ALLOW_UNKNOWN_PROTOCOLS:!0},allowedSchemes:e}}function Vgi(l){return typeof l=="string"?l:Hgi(l)}function Hgi(l,e){let i=l.value??"";i.length>1e5&&(i=`${i.substr(0,1e5)}…`);const n=uDt(i,{async:!1,renderer:Ugi.value}).replace(/&(#\d+|[a-zA-Z]+);/g,s=>jgi.get(s)??s);return vVe({isTrusted:!1},n).toString()}const jgi=new Map([["&quot;",'"'],["&nbsp;"," "],["&amp;","&"],["&#39;","'"],["&lt;","<"],["&gt;",">"]]);function zgi(){const l=new lDt;return l.code=({text:e})=>e,l.blockquote=({text:e})=>e+`
+`,l.html=e=>"",l.heading=function({tokens:e}){return this.parser.parseInline(e)+`
+`},l.hr=()=>"",l.list=function({items:e}){return e.map(i=>this.listitem(i)).join(`
+`)+`
+`},l.listitem=({text:e})=>e+`
+`,l.paragraph=function({tokens:e}){return this.parser.parseInline(e)+`
+`},l.table=function({header:e,rows:i}){return e.map(n=>this.tablecell(n)).join(" ")+`
+`+i.map(n=>n.map(s=>this.tablecell(s)).join(" ")).join(`
+`)+`
+`},l.tablerow=({text:e})=>e,l.tablecell=function({tokens:e}){return this.parser.parseInline(e)},l.strong=({text:e})=>e,l.em=({text:e})=>e,l.codespan=({text:e})=>e,l.br=e=>`
+`,l.del=({text:e})=>e,l.image=e=>"",l.text=({text:e})=>e,l.link=({text:e})=>e,l}const Ugi=new HE(l=>zgi());function Nye(l){let e="";return l.forEach(i=>{e+=i.raw}),e}function dDt(l){var e,i;if(l.tokens)for(let n=l.tokens.length-1;n>=0;n--){const s=l.tokens[n];if(s.type==="text"){const c=s.raw.split(`
+`),d=c[c.length-1];if(d.includes("`"))return Qgi(l);if(d.includes("**"))return n0i(l);if(d.match(/\*\w/))return Zgi(l);if(d.match(/(^|\s)__\w/))return r0i(l);if(d.match(/(^|\s)_\w/))return Ygi(l);if(qgi(d)||$gi(d)&&l.tokens.slice(0,n).some(f=>f.type==="text"&&f.raw.match(/\[[^\]]*$/))){const f=l.tokens.slice(n+1);return((e=f[0])==null?void 0:e.type)==="link"&&((i=f[1])==null?void 0:i.type)==="text"&&f[1].raw.match(/^ *"[^"]*$/)||d.match(/^[^"]* +"[^"]*$/)?t0i(l):e0i(l)}else if(d.match(/(^|\s)\[\w*/))return i0i(l)}}}function qgi(l){return!!l.match(/(^|\s)\[.*\]\(\w*/)}function $gi(l){return!!l.match(/^[^\[]*\]\([^\)]*$/)}function Jgi(l){var m;const e=l.items[l.items.length-1],i=e.tokens?e.tokens[e.tokens.length-1]:void 0;let n;if((i==null?void 0:i.type)==="text"&&!("inRawBlock"in e)&&(n=dDt(i)),!n||n.type!=="paragraph")return;const s=Nye(l.items.slice(0,-1)),c=(m=e.raw.match(/^(\s*(-|\d+\.|\*) +)/))==null?void 0:m[0];if(!c)return;const d=c+Nye(e.tokens.slice(0,-1))+n.raw,f=uwe(s+d)[0];if(f.type==="list")return f}const Ggi=3;function Kgi(l){for(let e=0;e<Ggi;e++){const i=Xgi(l);if(i)l=i;else break}return l}function Xgi(l){let e,i;for(e=0;e<l.length;e++){const n=l[e];if(n.type==="paragraph"&&n.raw.match(/(\n|^)\|/)){i=s0i(l.slice(e));break}if(e===l.length-1&&n.type==="list"){const s=Jgi(n);if(s){i=[s];break}}if(e===l.length-1&&n.type==="paragraph"){const s=dDt(n);if(s){i=[s];break}}}if(i){const n=[...l.slice(0,e),...i];return n.links=l.links,n}return null}function Qgi(l){return BR(l,"`")}function Zgi(l){return BR(l,"*")}function Ygi(l){return BR(l,"_")}function e0i(l){return BR(l,")")}function t0i(l){return BR(l,'")')}function i0i(l){return BR(l,"](https://microsoft.com)")}function n0i(l){return BR(l,"**")}function r0i(l){return BR(l,"__")}function BR(l,e){const i=Nye(Array.isArray(l)?l:[l]);return uwe(i+e)[0]}function s0i(l){const e=Nye(l),i=e.split(`
+`);let n,s=!1;for(let c=0;c<i.length;c++){const d=i[c].trim();if(typeof n>"u"&&d.match(/^\s*\|/)){const f=d.match(/(\|[^\|]+)(?=\||$)/g);f&&(n=f.length)}else if(typeof n=="number")if(d.match(/^\s*\|/)){if(c!==i.length-1)return;s=!0}else return}if(typeof n=="number"&&n>0){const c=s?i.slice(0,-1).join(`
+`):e,d=!!c.match(/\|\s*$/),f=c+(d?"":"|")+`
+|${" --- |".repeat(n)}`;return uwe(f)}}function qbt(l,e){return g2t(l,e),fo(()=>y2t(l))}const k4=class k4{static createEmpty(e,i){const n=k4.defaultTokenMetadata,s=new Uint32Array(2);return s[0]=e.length,s[1]=n,new k4(s,e,i)}static createFromTextAndMetadata(e,i){let n=0,s="";const c=new Array;for(const{text:d,metadata:f}of e)c.push(n+d.length,f),n+=d.length,s+=d;return new k4(new Uint32Array(c),s,i)}constructor(e,i,n){this._lineTokensBrand=void 0,this._tokens=e,this._tokensCount=this._tokens.length>>>1,this._text=i,this.languageIdCodec=n}equals(e){return e instanceof k4?this.slicedEquals(e,0,this._tokensCount):!1}slicedEquals(e,i,n){if(this._text!==e._text||this._tokensCount!==e._tokensCount)return!1;const s=i<<1,c=s+(n<<1);for(let d=s;d<c;d++)if(this._tokens[d]!==e._tokens[d])return!1;return!0}getLineContent(){return this._text}getCount(){return this._tokensCount}getStartOffset(e){return e>0?this._tokens[e-1<<1]:0}getMetadata(e){return this._tokens[(e<<1)+1]}getLanguageId(e){const i=this._tokens[(e<<1)+1],n=kb.getLanguageId(i);return this.languageIdCodec.decodeLanguageId(n)}getStandardTokenType(e){const i=this._tokens[(e<<1)+1];return kb.getTokenType(i)}getForeground(e){const i=this._tokens[(e<<1)+1];return kb.getForeground(i)}getClassName(e){const i=this._tokens[(e<<1)+1];return kb.getClassNameFromMetadata(i)}getInlineStyle(e,i){const n=this._tokens[(e<<1)+1];return kb.getInlineStyleFromMetadata(n,i)}getPresentation(e){const i=this._tokens[(e<<1)+1];return kb.getPresentationFromMetadata(i)}getEndOffset(e){return this._tokens[e<<1]}findTokenIndexAtOffset(e){return k4.findIndexInTokensArray(this._tokens,e)}inflate(){return this}sliceAndInflate(e,i,n){return new Q$e(this,e,i,n)}static convertToEndOffset(e,i){const s=(e.length>>>1)-1;for(let c=0;c<s;c++)e[c<<1]=e[c+1<<1];e[s<<1]=i}static findIndexInTokensArray(e,i){if(e.length<=2)return 0;let n=0,s=(e.length>>>1)-1;for(;n<s;){const c=n+Math.floor((s-n)/2),d=e[c<<1];if(d===i)return c+1;d<i?n=c+1:d>i&&(s=c)}return n}withInserted(e){if(e.length===0)return this;let i=0,n=0,s="";const c=new Array;let d=0;for(;;){const f=i<this._tokensCount?this._tokens[i<<1]:-1,m=n<e.length?e[n]:null;if(f!==-1&&(m===null||f<=m.offset)){s+=this._text.substring(d,f);const v=this._tokens[(i<<1)+1];c.push(s.length,v),i++,d=f}else if(m){if(m.offset>d){s+=this._text.substring(d,m.offset);const v=this._tokens[(i<<1)+1];c.push(s.length,v),d=m.offset}s+=m.text,c.push(s.length,m.tokenMetadata),n++}else break}return new k4(new Uint32Array(c),s,this.languageIdCodec)}getTokenText(e){const i=this.getStartOffset(e),n=this.getEndOffset(e);return this._text.substring(i,n)}forEach(e){const i=this.getCount();for(let n=0;n<i;n++)e(n)}};k4.defaultTokenMetadata=(32768|2<<24)>>>0;let Cg=k4;class Q$e{constructor(e,i,n,s){this._source=e,this._startOffset=i,this._endOffset=n,this._deltaOffset=s,this._firstTokenIndex=e.findTokenIndexAtOffset(i),this.languageIdCodec=e.languageIdCodec,this._tokensCount=0;for(let c=this._firstTokenIndex,d=e.getCount();c<d&&!(e.getStartOffset(c)>=n);c++)this._tokensCount++}getMetadata(e){return this._source.getMetadata(this._firstTokenIndex+e)}getLanguageId(e){return this._source.getLanguageId(this._firstTokenIndex+e)}getLineContent(){return this._source.getLineContent().substring(this._startOffset,this._endOffset)}equals(e){return e instanceof Q$e?this._startOffset===e._startOffset&&this._endOffset===e._endOffset&&this._deltaOffset===e._deltaOffset&&this._source.slicedEquals(e._source,this._firstTokenIndex,this._tokensCount):!1}getCount(){return this._tokensCount}getStandardTokenType(e){return this._source.getStandardTokenType(this._firstTokenIndex+e)}getForeground(e){return this._source.getForeground(this._firstTokenIndex+e)}getEndOffset(e){const i=this._source.getEndOffset(this._firstTokenIndex+e);return Math.min(this._endOffset,i)-this._startOffset+this._deltaOffset}getClassName(e){return this._source.getClassName(this._firstTokenIndex+e)}getInlineStyle(e,i){return this._source.getInlineStyle(this._firstTokenIndex+e,i)}getPresentation(e){return this._source.getPresentation(this._firstTokenIndex+e)}findTokenIndexAtOffset(e){return this._source.findTokenIndexAtOffset(e+this._startOffset-this._deltaOffset)-this._firstTokenIndex}getTokenText(e){const i=this._firstTokenIndex+e,n=this._source.getStartOffset(i),s=this._source.getEndOffset(i);let c=this._source.getTokenText(i);return n<this._startOffset&&(c=c.substring(this._startOffset-n)),s>this._endOffset&&(c=c.substring(0,c.length-(s-this._endOffset))),c}forEach(e){for(let i=0;i<this.getCount();i++)e(i)}}function o0i(l,e){const i=e.lineNumber;if(!l.tokenization.isCheapToTokenize(i))return;l.tokenization.forceTokenization(i);const n=l.tokenization.getLineTokens(i),s=n.findTokenIndexAtOffset(e.column-1);return n.getStandardTokenType(s)}const R$=new class{clone(){return this}equals(l){return this===l}};function Z$e(l,e){return new Qqe([new Pne(0,"",l)],e)}function fwe(l,e){const i=new Uint32Array(2);return i[0]=0,i[1]=(l<<0|0|0|32768|2<<24)>>>0,new ISe(i,e===null?R$:e)}const $bt={getInitialState:()=>R$,tokenizeEncoded:(l,e,i)=>fwe(0,i)};async function a0i(l,e,i){if(!i)return Jbt(e,l.languageIdCodec,$bt);const n=await Oh.getOrCreate(i);return Jbt(e,l.languageIdCodec,n||$bt)}function c0i(l,e,i,n,s,c,d){let f="<div>",m=n,v=0,x=!0;for(let w=0,I=e.getCount();w<I;w++){const P=e.getEndOffset(w);if(P<=n)continue;let O="";for(;m<P&&m<s;m++){const z=l.charCodeAt(m);switch(z){case 9:{let J=c-(m+v)%c;for(v+=J-1;J>0;)d&&x?(O+="&#160;",x=!1):(O+=" ",x=!0),J--;break}case 60:O+="&lt;",x=!1;break;case 62:O+="&gt;",x=!1;break;case 38:O+="&amp;",x=!1;break;case 0:O+="&#00;",x=!1;break;case 65279:case 8232:case 8233:case 133:O+="�",x=!1;break;case 13:O+="&#8203",x=!1;break;case 32:d&&x?(O+="&#160;",x=!1):(O+=" ",x=!0);break;default:O+=String.fromCharCode(z),x=!1}}if(f+=`<span style="${e.getInlineStyle(w,i)}">${O}</span>`,P>s||m>=s)break}return f+="</div>",f}function Jbt(l,e,i){let n='<div class="monaco-tokenized-source">';const s=BL(l);let c=i.getInitialState();for(let d=0,f=s.length;d<f;d++){const m=s[d];d>0&&(n+="<br/>");const v=i.tokenizeEncoded(m,!0,c);Cg.convertToEndOffset(v.tokens,m.length);const w=new Cg(v.tokens,m,e).inflate();let I=0;for(let P=0,O=w.getCount();P<O;P++){const z=w.getClassName(P),J=w.getEndOffset(P);n+=`<span class="${z}">${wie(m.substring(I,J))}</span>`,I=J}c=v.endState}return n+="</div>",n}var l0i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Gbt=function(l,e){return function(i,n){e(i,n,l)}},bVe,k9;let d6=(k9=class{constructor(e,i,n){this._options=e,this._languageService=i,this._openerService=n,this._onDidRenderAsync=new gi,this.onDidRenderAsync=this._onDidRenderAsync.event}dispose(){this._onDidRenderAsync.dispose()}render(e,i,n){if(!e)return{element:document.createElement("span"),dispose:()=>{}};const s=new wn,c=s.add(dwe(e,{...this._getRenderOptions(e,s),...i},n));return c.element.classList.add("rendered-markdown"),{element:c.element,dispose:()=>s.dispose()}}_getRenderOptions(e,i){return{codeBlockRenderer:async(n,s)=>{var m,v;let c;n?c=this._languageService.getLanguageIdByLanguageName(n):this._options.editor&&(c=(m=this._options.editor.getModel())==null?void 0:m.getLanguageId()),c||(c=bv);const d=await a0i(this._languageService,s,c),f=document.createElement("span");if(f.innerHTML=((v=bVe._ttpTokenizer)==null?void 0:v.createHTML(d))??d,this._options.editor){const x=this._options.editor.getOption(50);a0(f,x)}else this._options.codeBlockFontFamily&&(f.style.fontFamily=this._options.codeBlockFontFamily);return this._options.codeBlockFontSize!==void 0&&(f.style.fontSize=this._options.codeBlockFontSize),f},asyncRenderCallback:()=>this._onDidRenderAsync.fire(),actionHandler:{callback:n=>Y$e(this._openerService,n,e.isTrusted),disposables:i}}}},bVe=k9,k9._ttpTokenizer=v6("tokenizeToString",{createHTML(e){return e}}),k9);d6=bVe=l0i([Gbt(1,Pf),Gbt(2,Rb)],d6);async function Y$e(l,e,i){try{return await l.open(e,{fromUserGesture:!0,allowContributedOpeners:!0,allowCommands:u0i(i)})}catch(n){return Pa(n),!1}}function u0i(l){return l===!0?!0:l&&Array.isArray(l.enabledCommands)?l.enabledCommands:!1}const tS=jc("accessibilityService"),Cse=new rs("accessibilityModeEnabled",!1),Kbt=2e4;let HF,_1e,CVe,p1e,SVe;function d0i(l){HF=document.createElement("div"),HF.className="monaco-aria-container";const e=()=>{const n=document.createElement("div");return n.className="monaco-alert",n.setAttribute("role","alert"),n.setAttribute("aria-atomic","true"),HF.appendChild(n),n};_1e=e(),CVe=e();const i=()=>{const n=document.createElement("div");return n.className="monaco-status",n.setAttribute("aria-live","polite"),n.setAttribute("aria-atomic","true"),HF.appendChild(n),n};p1e=i(),SVe=i(),l.appendChild(HF)}function xv(l){HF&&(_1e.textContent!==l?(s0(CVe),Lye(_1e,l)):(s0(_1e),Lye(CVe,l)))}function PL(l){HF&&(p1e.textContent!==l?(s0(SVe),Lye(p1e,l)):(s0(p1e),Lye(SVe,l)))}function Lye(l,e){s0(l),e.length>Kbt&&(e=e.substr(0,Kbt)),l.textContent=e,l.style.visibility="hidden",l.style.visibility="visible"}var f0i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Mte=function(l,e){return function(i,n){e(i,n,l)}};const qN=Cr;let wVe=class extends Aw{get _targetWindow(){return Eo(this._target.targetElements[0])}get _targetDocumentElement(){return Eo(this._target.targetElements[0]).document.documentElement}get isDisposed(){return this._isDisposed}get isMouseIn(){return this._lockMouseTracker.isMouseIn}get domNode(){return this._hover.containerDomNode}get onDispose(){return this._onDispose.event}get onRequestLayout(){return this._onRequestLayout.event}get anchor(){return this._hoverPosition===2?0:1}get x(){return this._x}get y(){return this._y}get isLocked(){return this._isLocked}set isLocked(e){this._isLocked!==e&&(this._isLocked=e,this._hoverContainer.classList.toggle("locked",this._isLocked))}constructor(e,i,n,s,c,d){var I,P,O,z,J,Y,ae;super(),this._keybindingService=i,this._configurationService=n,this._openerService=s,this._instantiationService=c,this._accessibilityService=d,this._messageListeners=new wn,this._isDisposed=!1,this._forcePosition=!1,this._x=0,this._y=0,this._isLocked=!1,this._enableFocusTraps=!1,this._addedFocusTrap=!1,this._onDispose=this._register(new gi),this._onRequestLayout=this._register(new gi),this._linkHandler=e.linkHandler||(me=>Y$e(this._openerService,me,WE(e.content)?e.content.isTrusted:void 0)),this._target="targetElements"in e.target?e.target:new h0i(e.target),this._hoverPointer=(I=e.appearance)!=null&&I.showPointer?qN("div.workbench-hover-pointer"):void 0,this._hover=this._register(new H$e),this._hover.containerDomNode.classList.add("workbench-hover","fadeIn"),(P=e.appearance)!=null&&P.compact&&this._hover.containerDomNode.classList.add("workbench-hover","compact"),(O=e.appearance)!=null&&O.skipFadeInAnimation&&this._hover.containerDomNode.classList.add("skip-fade-in"),e.additionalClasses&&this._hover.containerDomNode.classList.add(...e.additionalClasses),(z=e.position)!=null&&z.forcePosition&&(this._forcePosition=!0),e.trapFocus&&(this._enableFocusTraps=!0),this._hoverPosition=((J=e.position)==null?void 0:J.hoverPosition)??3,this.onmousedown(this._hover.containerDomNode,me=>me.stopPropagation()),this.onkeydown(this._hover.containerDomNode,me=>{me.equals(9)&&this.dispose()}),this._register(en(this._targetWindow,"blur",()=>this.dispose()));const f=qN("div.hover-row.markdown-hover"),m=qN("div.hover-contents");if(typeof e.content=="string")m.textContent=e.content,m.style.whiteSpace="pre-wrap";else if(Mm(e.content))m.appendChild(e.content),m.classList.add("html-hover-contents");else{const me=e.content,ye=this._instantiationService.createInstance(d6,{codeBlockFontFamily:this._configurationService.getValue("editor").fontFamily||vv.fontFamily}),{element:Ce}=ye.render(me,{actionHandler:{callback:Fe=>this._linkHandler(Fe),disposables:this._messageListeners},asyncRenderCallback:()=>{m.classList.add("code-hover-contents"),this.layout(),this._onRequestLayout.fire()}});m.appendChild(Ce)}if(f.appendChild(m),this._hover.contentsDomNode.appendChild(f),e.actions&&e.actions.length>0){const me=qN("div.hover-row.status-bar"),ye=qN("div.actions");e.actions.forEach(Ce=>{const Fe=this._keybindingService.lookupKeybinding(Ce.commandId),rt=Fe?Fe.getLabel():null;awe.render(ye,{label:Ce.label,commandId:Ce.commandId,run:ct=>{Ce.run(ct),this.dispose()},iconClass:Ce.iconClass},rt)}),me.appendChild(ye),this._hover.containerDomNode.appendChild(me)}this._hoverContainer=qN("div.workbench-hover-container"),this._hoverPointer&&this._hoverContainer.appendChild(this._hoverPointer),this._hoverContainer.appendChild(this._hover.containerDomNode);let v;if(e.actions&&e.actions.length>0?v=!1:((Y=e.persistence)==null?void 0:Y.hideOnHover)===void 0?v=typeof e.content=="string"||WE(e.content)&&!e.content.value.includes("](")&&!e.content.value.includes("</a>"):v=e.persistence.hideOnHover,(ae=e.appearance)!=null&&ae.showHoverHint){const me=qN("div.hover-row.status-bar"),ye=qN("div.info");ye.textContent=W("hoverhint","Hold {0} key to mouse over",Hc?"Option":"Alt"),me.appendChild(ye),this._hover.containerDomNode.appendChild(me)}const x=[...this._target.targetElements];v||x.push(this._hoverContainer);const w=this._register(new Xbt(x));if(this._register(w.onMouseOut(()=>{this._isLocked||this.dispose()})),v){const me=[...this._target.targetElements,this._hoverContainer];this._lockMouseTracker=this._register(new Xbt(me)),this._register(this._lockMouseTracker.onMouseOut(()=>{this._isLocked||this.dispose()}))}else this._lockMouseTracker=w}addFocusTrap(){if(!this._enableFocusTraps||this._addedFocusTrap)return;this._addedFocusTrap=!0;const e=this._hover.containerDomNode,i=this.findLastFocusableChild(this._hover.containerDomNode);if(i){const n=f$e(this._hoverContainer,qN("div")),s=jn(this._hoverContainer,qN("div"));n.tabIndex=0,s.tabIndex=0,this._register(en(s,"focus",c=>{e.focus(),c.preventDefault()})),this._register(en(n,"focus",c=>{i.focus(),c.preventDefault()}))}}findLastFocusableChild(e){if(e.hasChildNodes())for(let i=0;i<e.childNodes.length;i++){const n=e.childNodes.item(e.childNodes.length-i-1);if(n.nodeType===n.ELEMENT_NODE){const c=n;if(typeof c.tabIndex=="number"&&c.tabIndex>=0)return c}const s=this.findLastFocusableChild(n);if(s)return s}}render(e){var s;e.appendChild(this._hoverContainer);const n=this._hoverContainer.contains(this._hoverContainer.ownerDocument.activeElement)&&GTt(this._configurationService.getValue("accessibility.verbosity.hover")===!0&&this._accessibilityService.isScreenReaderOptimized(),(s=this._keybindingService.lookupKeybinding("editor.action.accessibleView"))==null?void 0:s.getAriaLabel());n&&PL(n),this.layout(),this.addFocusTrap()}layout(){this._hover.containerDomNode.classList.remove("right-aligned"),this._hover.contentsDomNode.style.maxHeight="";const e=x=>{const w=w2t(x),I=x.getBoundingClientRect();return{top:I.top*w,bottom:I.bottom*w,right:I.right*w,left:I.left*w}},i=this._target.targetElements.map(x=>e(x)),{top:n,right:s,bottom:c,left:d}=i[0],f=s-d,m=c-n,v={top:n,right:s,bottom:c,left:d,width:f,height:m,center:{x:d+f/2,y:n+m/2}};if(this.adjustHorizontalHoverPosition(v),this.adjustVerticalHoverPosition(v),this.adjustHoverMaxHeight(v),this._hoverContainer.style.padding="",this._hoverContainer.style.margin="",this._hoverPointer){switch(this._hoverPosition){case 1:v.left+=3,v.right+=3,this._hoverContainer.style.paddingLeft="3px",this._hoverContainer.style.marginLeft="-3px";break;case 0:v.left-=3,v.right-=3,this._hoverContainer.style.paddingRight="3px",this._hoverContainer.style.marginRight="-3px";break;case 2:v.top+=3,v.bottom+=3,this._hoverContainer.style.paddingTop="3px",this._hoverContainer.style.marginTop="-3px";break;case 3:v.top-=3,v.bottom-=3,this._hoverContainer.style.paddingBottom="3px",this._hoverContainer.style.marginBottom="-3px";break}v.center.x=v.left+f/2,v.center.y=v.top+m/2}this.computeXCordinate(v),this.computeYCordinate(v),this._hoverPointer&&(this._hoverPointer.classList.remove("top"),this._hoverPointer.classList.remove("left"),this._hoverPointer.classList.remove("right"),this._hoverPointer.classList.remove("bottom"),this.setHoverPointerPosition(v)),this._hover.onContentsChanged()}computeXCordinate(e){const i=this._hover.containerDomNode.clientWidth+2;this._target.x!==void 0?this._x=this._target.x:this._hoverPosition===1?this._x=e.right:this._hoverPosition===0?this._x=e.left-i:(this._hoverPointer?this._x=e.center.x-this._hover.containerDomNode.clientWidth/2:this._x=e.left,this._x+i>=this._targetDocumentElement.clientWidth&&(this._hover.containerDomNode.classList.add("right-aligned"),this._x=Math.max(this._targetDocumentElement.clientWidth-i-2,this._targetDocumentElement.clientLeft))),this._x<this._targetDocumentElement.clientLeft&&(this._x=e.left+2)}computeYCordinate(e){this._target.y!==void 0?this._y=this._target.y:this._hoverPosition===3?this._y=e.top:this._hoverPosition===2?this._y=e.bottom-2:this._hoverPointer?this._y=e.center.y+this._hover.containerDomNode.clientHeight/2:this._y=e.bottom,this._y>this._targetWindow.innerHeight&&(this._y=e.bottom)}adjustHorizontalHoverPosition(e){if(this._target.x!==void 0)return;const i=this._hoverPointer?3:0;if(this._forcePosition){const n=i+2;this._hoverPosition===1?this._hover.containerDomNode.style.maxWidth=`${this._targetDocumentElement.clientWidth-e.right-n}px`:this._hoverPosition===0&&(this._hover.containerDomNode.style.maxWidth=`${e.left-n}px`);return}this._hoverPosition===1?this._targetDocumentElement.clientWidth-e.right<this._hover.containerDomNode.clientWidth+i&&(e.left>=this._hover.containerDomNode.clientWidth+i?this._hoverPosition=0:this._hoverPosition=2):this._hoverPosition===0&&(e.left<this._hover.containerDomNode.clientWidth+i&&(this._targetDocumentElement.clientWidth-e.right>=this._hover.containerDomNode.clientWidth+i?this._hoverPosition=1:this._hoverPosition=2),e.left-this._hover.containerDomNode.clientWidth-i<=this._targetDocumentElement.clientLeft&&(this._hoverPosition=1))}adjustVerticalHoverPosition(e){if(this._target.y!==void 0||this._forcePosition)return;const i=this._hoverPointer?3:0;this._hoverPosition===3?e.top-this._hover.containerDomNode.clientHeight-i<0&&(this._hoverPosition=2):this._hoverPosition===2&&e.bottom+this._hover.containerDomNode.clientHeight+i>this._targetWindow.innerHeight&&(this._hoverPosition=3)}adjustHoverMaxHeight(e){let i=this._targetWindow.innerHeight/2;if(this._forcePosition){const n=(this._hoverPointer?3:0)+2;this._hoverPosition===3?i=Math.min(i,e.top-n):this._hoverPosition===2&&(i=Math.min(i,this._targetWindow.innerHeight-e.bottom-n))}if(this._hover.containerDomNode.style.maxHeight=`${i}px`,this._hover.contentsDomNode.clientHeight<this._hover.contentsDomNode.scrollHeight){const n=`${this._hover.scrollbar.options.verticalScrollbarSize}px`;this._hover.contentsDomNode.style.paddingRight!==n&&(this._hover.contentsDomNode.style.paddingRight=n)}}setHoverPointerPosition(e){if(this._hoverPointer)switch(this._hoverPosition){case 0:case 1:{this._hoverPointer.classList.add(this._hoverPosition===0?"right":"left");const i=this._hover.containerDomNode.clientHeight;i>e.height?this._hoverPointer.style.top=`${e.center.y-(this._y-i)-3}px`:this._hoverPointer.style.top=`${Math.round(i/2)-3}px`;break}case 3:case 2:{this._hoverPointer.classList.add(this._hoverPosition===3?"bottom":"top");const i=this._hover.containerDomNode.clientWidth;let n=Math.round(i/2)-3;const s=this._x+n;(s<e.left||s>e.right)&&(n=e.center.x-this._x-3),this._hoverPointer.style.left=`${n}px`;break}}}focus(){this._hover.containerDomNode.focus()}dispose(){this._isDisposed||(this._onDispose.fire(),this._hoverContainer.remove(),this._messageListeners.dispose(),this._target.dispose(),super.dispose()),this._isDisposed=!0}};wVe=f0i([Mte(1,pu),Mte(2,Cc),Mte(3,Rb),Mte(4,ho),Mte(5,tS)],wVe);class Xbt extends Aw{get onMouseOut(){return this._onMouseOut.event}get isMouseIn(){return this._isMouseIn}constructor(e){super(),this._elements=e,this._isMouseIn=!0,this._onMouseOut=this._register(new gi),this._elements.forEach(i=>this.onmouseover(i,()=>this._onTargetMouseOver(i))),this._elements.forEach(i=>this.onmouseleave(i,()=>this._onTargetMouseLeave(i)))}_onTargetMouseOver(e){this._isMouseIn=!0,this._clearEvaluateMouseStateTimeout(e)}_onTargetMouseLeave(e){this._isMouseIn=!1,this._evaluateMouseState(e)}_evaluateMouseState(e){this._clearEvaluateMouseStateTimeout(e),this._mouseTimeout=Eo(e).setTimeout(()=>this._fireIfMouseOutside(),0)}_clearEvaluateMouseStateTimeout(e){this._mouseTimeout&&(Eo(e).clearTimeout(this._mouseTimeout),this._mouseTimeout=void 0)}_fireIfMouseOutside(){this._isMouseIn||this._onMouseOut.fire()}}class h0i{constructor(e){this._element=e,this.targetElements=[this._element]}dispose(){}}var h1;(function(l){function e(c,d){if(c.start>=d.end||d.start>=c.end)return{start:0,end:0};const f=Math.max(c.start,d.start),m=Math.min(c.end,d.end);return m-f<=0?{start:0,end:0}:{start:f,end:m}}l.intersect=e;function i(c){return c.end-c.start<=0}l.isEmpty=i;function n(c,d){return!i(e(c,d))}l.intersects=n;function s(c,d){const f=[],m={start:c.start,end:Math.min(d.start,c.end)},v={start:Math.max(d.end,c.start),end:c.end};return i(m)||f.push(m),i(v)||f.push(v),f}l.relativeComplement=s})(h1||(h1={}));function _0i(l){const e=l;return!!e&&typeof e.x=="number"&&typeof e.y=="number"}var MM;(function(l){l[l.AVOID=0]="AVOID",l[l.ALIGN=1]="ALIGN"})(MM||(MM={}));function sq(l,e,i){const n=i.mode===MM.ALIGN?i.offset:i.offset+i.size,s=i.mode===MM.ALIGN?i.offset+i.size:i.offset;return i.position===0?e<=l-n?n:e<=s?s-e:Math.max(l-e,0):e<=s?s-e:e<=l-n?n:0}const Nq=class Nq extends xi{constructor(e,i){super(),this.container=null,this.useFixedPosition=!1,this.useShadowDOM=!1,this.delegate=null,this.toDisposeOnClean=xi.None,this.toDisposeOnSetContainer=xi.None,this.shadowRoot=null,this.shadowRootHostElement=null,this.view=Cr(".context-view"),dv(this.view),this.setContainer(e,i),this._register(fo(()=>this.setContainer(null,1)))}setContainer(e,i){var s;this.useFixedPosition=i!==1;const n=this.useShadowDOM;if(this.useShadowDOM=i===3,!(e===this.container&&n===this.useShadowDOM)&&(this.container&&(this.toDisposeOnSetContainer.dispose(),this.view.remove(),this.shadowRoot&&(this.shadowRoot=null,(s=this.shadowRootHostElement)==null||s.remove(),this.shadowRootHostElement=null),this.container=null),e)){if(this.container=e,this.useShadowDOM){this.shadowRootHostElement=Cr(".shadow-root-host"),this.container.appendChild(this.shadowRootHostElement),this.shadowRoot=this.shadowRootHostElement.attachShadow({mode:"open"});const d=document.createElement("style");d.textContent=p0i,this.shadowRoot.appendChild(d),this.shadowRoot.appendChild(this.view),this.shadowRoot.appendChild(Cr("slot"))}else this.container.appendChild(this.view);const c=new wn;Nq.BUBBLE_UP_EVENTS.forEach(d=>{c.add(ph(this.container,d,f=>{this.onDOMEvent(f,!1)}))}),Nq.BUBBLE_DOWN_EVENTS.forEach(d=>{c.add(ph(this.container,d,f=>{this.onDOMEvent(f,!0)},!0))}),this.toDisposeOnSetContainer=c}}show(e){var i,n;this.isVisible()&&this.hide(),s0(this.view),this.view.className="context-view monaco-component",this.view.style.top="0px",this.view.style.left="0px",this.view.style.zIndex=`${2575+(e.layer??0)}`,this.view.style.position=this.useFixedPosition?"fixed":"absolute",HC(this.view),this.toDisposeOnClean=e.render(this.view)||xi.None,this.delegate=e,this.doLayout(),(n=(i=this.delegate).focus)==null||n.call(i)}getViewElement(){return this.view}layout(){var e,i;if(this.isVisible()){if(this.delegate.canRelayout===!1&&!(VE&&s$e.pointerEvents)){this.hide();return}(i=(e=this.delegate)==null?void 0:e.layout)==null||i.call(e),this.doLayout()}}doLayout(){if(!this.isVisible())return;const e=this.delegate.getAnchor();let i;if(Mm(e)){const I=e_(e),P=w2t(e);i={top:I.top*P,left:I.left*P,width:I.width*P,height:I.height*P}}else _0i(e)?i={top:e.y,left:e.x,width:e.width||1,height:e.height||2}:i={top:e.posy,left:e.posx,width:2,height:2};const n=FC(this.view),s=B4(this.view),c=this.delegate.anchorPosition||0,d=this.delegate.anchorAlignment||0,f=this.delegate.anchorAxisAlignment||0;let m,v;const x=eie();if(f===0){const I={offset:i.top-x.pageYOffset,size:i.height,position:c===0?0:1},P={offset:i.left,size:i.width,position:d===0?0:1,mode:MM.ALIGN};m=sq(x.innerHeight,s,I)+x.pageYOffset,h1.intersects({start:m,end:m+s},{start:I.offset,end:I.offset+I.size})&&(P.mode=MM.AVOID),v=sq(x.innerWidth,n,P)}else{const I={offset:i.left,size:i.width,position:d===0?0:1},P={offset:i.top,size:i.height,position:c===0?0:1,mode:MM.ALIGN};v=sq(x.innerWidth,n,I),h1.intersects({start:v,end:v+n},{start:I.offset,end:I.offset+I.size})&&(P.mode=MM.AVOID),m=sq(x.innerHeight,s,P)+x.pageYOffset}this.view.classList.remove("top","bottom","left","right"),this.view.classList.add(c===0?"bottom":"top"),this.view.classList.add(d===0?"left":"right"),this.view.classList.toggle("fixed",this.useFixedPosition);const w=e_(this.container);this.view.style.top=`${m-(this.useFixedPosition?e_(this.view).top:w.top)}px`,this.view.style.left=`${v-(this.useFixedPosition?e_(this.view).left:w.left)}px`,this.view.style.width="initial"}hide(e){const i=this.delegate;this.delegate=null,i!=null&&i.onHide&&i.onHide(e),this.toDisposeOnClean.dispose(),dv(this.view)}isVisible(){return!!this.delegate}onDOMEvent(e,i){this.delegate&&(this.delegate.onDOMEvent?this.delegate.onDOMEvent(e,Eo(e).document.activeElement):i&&!Am(e.target,this.container)&&this.hide())}dispose(){this.hide(),super.dispose()}};Nq.BUBBLE_UP_EVENTS=["click","keydown","focus","blur"],Nq.BUBBLE_DOWN_EVENTS=["click"];let xVe=Nq;const p0i=`
+ :host {
+ all: initial; /* 1st rule so subsequent properties are reset. */
+ }
+
+ .codicon[class*='codicon-'] {
+ font: normal normal normal 16px/1 codicon;
+ display: inline-block;
+ text-decoration: none;
+ text-rendering: auto;
+ text-align: center;
+ -webkit-font-smoothing: antialiased;
+ -moz-osx-font-smoothing: grayscale;
+ user-select: none;
+ -webkit-user-select: none;
+ -ms-user-select: none;
+ }
+
+ :host {
+ font-family: -apple-system, BlinkMacSystemFont, "Segoe WPC", "Segoe UI", "HelveticaNeue-Light", system-ui, "Ubuntu", "Droid Sans", sans-serif;
+ }
+
+ :host-context(.mac) { font-family: -apple-system, BlinkMacSystemFont, sans-serif; }
+ :host-context(.mac:lang(zh-Hans)) { font-family: -apple-system, BlinkMacSystemFont, "PingFang SC", "Hiragino Sans GB", sans-serif; }
+ :host-context(.mac:lang(zh-Hant)) { font-family: -apple-system, BlinkMacSystemFont, "PingFang TC", sans-serif; }
+ :host-context(.mac:lang(ja)) { font-family: -apple-system, BlinkMacSystemFont, "Hiragino Kaku Gothic Pro", sans-serif; }
+ :host-context(.mac:lang(ko)) { font-family: -apple-system, BlinkMacSystemFont, "Nanum Gothic", "Apple SD Gothic Neo", "AppleGothic", sans-serif; }
+
+ :host-context(.windows) { font-family: "Segoe WPC", "Segoe UI", sans-serif; }
+ :host-context(.windows:lang(zh-Hans)) { font-family: "Segoe WPC", "Segoe UI", "Microsoft YaHei", sans-serif; }
+ :host-context(.windows:lang(zh-Hant)) { font-family: "Segoe WPC", "Segoe UI", "Microsoft Jhenghei", sans-serif; }
+ :host-context(.windows:lang(ja)) { font-family: "Segoe WPC", "Segoe UI", "Yu Gothic UI", "Meiryo UI", sans-serif; }
+ :host-context(.windows:lang(ko)) { font-family: "Segoe WPC", "Segoe UI", "Malgun Gothic", "Dotom", sans-serif; }
+
+ :host-context(.linux) { font-family: system-ui, "Ubuntu", "Droid Sans", sans-serif; }
+ :host-context(.linux:lang(zh-Hans)) { font-family: system-ui, "Ubuntu", "Droid Sans", "Source Han Sans SC", "Source Han Sans CN", "Source Han Sans", sans-serif; }
+ :host-context(.linux:lang(zh-Hant)) { font-family: system-ui, "Ubuntu", "Droid Sans", "Source Han Sans TC", "Source Han Sans TW", "Source Han Sans", sans-serif; }
+ :host-context(.linux:lang(ja)) { font-family: system-ui, "Ubuntu", "Droid Sans", "Source Han Sans J", "Source Han Sans JP", "Source Han Sans", sans-serif; }
+ :host-context(.linux:lang(ko)) { font-family: system-ui, "Ubuntu", "Droid Sans", "Source Han Sans K", "Source Han Sans JR", "Source Han Sans", "UnDotum", "FBaekmuk Gulim", sans-serif; }
+`;var m0i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},g0i=function(l,e){return function(i,n){e(i,n,l)}};let Pye=class extends xi{constructor(e){super(),this.layoutService=e,this.contextView=this._register(new xVe(this.layoutService.mainContainer,1)),this.layout(),this._register(e.onDidLayoutContainer(()=>this.layout()))}showContextView(e,i,n){let s;i?i===this.layoutService.getContainer(Eo(i))?s=1:n?s=3:s=2:s=1,this.contextView.setContainer(i??this.layoutService.activeContainer,s),this.contextView.show(e);const c={close:()=>{this.openContextView===c&&this.hideContextView()}};return this.openContextView=c,c}layout(){this.contextView.layout()}hideContextView(e){this.contextView.hide(e),this.openContextView=void 0}};Pye=m0i([g0i(0,FR)],Pye);class fDt extends Pye{getContextViewElement(){return this.contextView.getViewElement()}}class y0i{constructor(e,i,n){this.hoverDelegate=e,this.target=i,this.fadeInAnimation=n}async update(e,i,n){if(this._cancellationTokenSource&&(this._cancellationTokenSource.dispose(!0),this._cancellationTokenSource=void 0),this.isDisposed)return;let s;if(e===void 0||Eb(e)||Mm(e))s=e;else if(!Ine(e.markdown))s=e.markdown??e.markdownNotSupportedFallback;else{this._hoverWidget||this.show(W("iconLabel.loading","Loading..."),i,n),this._cancellationTokenSource=new ih;const c=this._cancellationTokenSource.token;if(s=await e.markdown(c),s===void 0&&(s=e.markdownNotSupportedFallback),this.isDisposed||c.isCancellationRequested)return}this.show(s,i,n)}show(e,i,n){var c;const s=this._hoverWidget;if(this.hasContent(e)){const d={content:e,target:this.target,actions:n==null?void 0:n.actions,linkHandler:n==null?void 0:n.linkHandler,trapFocus:n==null?void 0:n.trapFocus,appearance:{showPointer:this.hoverDelegate.placement==="element",skipFadeInAnimation:!this.fadeInAnimation||!!s,showHoverHint:(c=n==null?void 0:n.appearance)==null?void 0:c.showHoverHint},position:{hoverPosition:2}};this._hoverWidget=this.hoverDelegate.showHover(d,i)}s==null||s.dispose()}hasContent(e){return e?WE(e)?!!e.value:!0:!1}get isDisposed(){var e;return(e=this._hoverWidget)==null?void 0:e.isDisposed}dispose(){var e,i;(e=this._hoverWidget)==null||e.dispose(),(i=this._cancellationTokenSource)==null||i.dispose(!0),this._cancellationTokenSource=void 0}}var v0i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Rte=function(l,e){return function(i,n){e(i,n,l)}};let kVe=class extends xi{constructor(e,i,n,s,c){super(),this._instantiationService=e,this._keybindingService=n,this._layoutService=s,this._accessibilityService=c,this._managedHovers=new Map,i.onDidShowContextMenu(()=>this.hideHover()),this._contextViewHandler=this._register(new Pye(this._layoutService))}showHover(e,i,n){var m,v,x,w;if(Qbt(this._currentHoverOptions)===Qbt(e)||this._currentHover&&((v=(m=this._currentHoverOptions)==null?void 0:m.persistence)!=null&&v.sticky))return;this._currentHoverOptions=e,this._lastHoverOptions=e;const s=e.trapFocus||this._accessibilityService.isScreenReaderOptimized(),c=D0();n||(s&&c?c.classList.contains("monaco-hover")||(this._lastFocusedElementBeforeOpen=c):this._lastFocusedElementBeforeOpen=void 0);const d=new wn,f=this._instantiationService.createInstance(wVe,e);if((x=e.persistence)!=null&&x.sticky&&(f.isLocked=!0),f.onDispose(()=>{var P,O;((P=this._currentHover)==null?void 0:P.domNode)&&k2t(this._currentHover.domNode)&&((O=this._lastFocusedElementBeforeOpen)==null||O.focus()),this._currentHoverOptions===e&&(this._currentHoverOptions=void 0),d.dispose()},void 0,d),!e.container){const I=Mm(e.target)?e.target:e.target.targetElements[0];e.container=this._layoutService.getContainer(Eo(I))}if(this._contextViewHandler.showContextView(new b0i(f,i),e.container),f.onRequestLayout(()=>this._contextViewHandler.layout(),void 0,d),(w=e.persistence)!=null&&w.sticky)d.add(en(Eo(e.container).document,br.MOUSE_DOWN,I=>{Am(I.target,f.domNode)||this.doHideHover()}));else{if("targetElements"in e.target)for(const P of e.target.targetElements)d.add(en(P,br.CLICK,()=>this.hideHover()));else d.add(en(e.target,br.CLICK,()=>this.hideHover()));const I=D0();if(I){const P=Eo(I).document;d.add(en(I,br.KEY_DOWN,O=>{var z;return this._keyDown(O,f,!!((z=e.persistence)!=null&&z.hideOnKeyDown))})),d.add(en(P,br.KEY_DOWN,O=>{var z;return this._keyDown(O,f,!!((z=e.persistence)!=null&&z.hideOnKeyDown))})),d.add(en(I,br.KEY_UP,O=>this._keyUp(O,f))),d.add(en(P,br.KEY_UP,O=>this._keyUp(O,f)))}}if("IntersectionObserver"in cd){const I=new IntersectionObserver(O=>this._intersectionChange(O,f),{threshold:0}),P="targetElements"in e.target?e.target.targetElements[0]:e.target;I.observe(P),d.add(fo(()=>I.disconnect()))}return this._currentHover=f,f}hideHover(){var e;(e=this._currentHover)!=null&&e.isLocked||!this._currentHoverOptions||this.doHideHover()}doHideHover(){this._currentHover=void 0,this._currentHoverOptions=void 0,this._contextViewHandler.hideContextView()}_intersectionChange(e,i){e[e.length-1].isIntersecting||i.dispose()}showAndFocusLastHover(){this._lastHoverOptions&&this.showHover(this._lastHoverOptions,!0,!0)}_keyDown(e,i,n){var d,f;if(e.key==="Alt"){i.isLocked=!0;return}const s=new Id(e);this._keybindingService.resolveKeyboardEvent(s).getSingleModifierDispatchChords().some(m=>!!m)||this._keybindingService.softDispatch(s,s.target).kind!==0||n&&(!((d=this._currentHoverOptions)!=null&&d.trapFocus)||e.key!=="Tab")&&(this.hideHover(),(f=this._lastFocusedElementBeforeOpen)==null||f.focus())}_keyUp(e,i){var n;e.key==="Alt"&&(i.isLocked=!1,i.isMouseIn||(this.hideHover(),(n=this._lastFocusedElementBeforeOpen)==null||n.focus()))}setupManagedHover(e,i,n,s){i.setAttribute("custom-hover","true"),i.title!==""&&(console.warn("HTML element already has a title attribute, which will conflict with the custom hover. Please remove the title attribute."),console.trace("Stack trace:",i.title),i.title="");let c,d;const f=(me,ye)=>{var Fe;const Ce=d!==void 0;me&&(d==null||d.dispose(),d=void 0),ye&&(c==null||c.dispose(),c=void 0),Ce&&((Fe=e.onDidHideHover)==null||Fe.call(e),d=void 0)},m=(me,ye,Ce,Fe)=>new TT(async()=>{(!d||d.isDisposed)&&(d=new y0i(e,Ce||i,me>0),await d.update(typeof n=="function"?n():n,ye,{...s,trapFocus:Fe}))},me);let v=!1;const x=en(i,br.MOUSE_DOWN,()=>{v=!0,f(!0,!0)},!0),w=en(i,br.MOUSE_UP,()=>{v=!1},!0),I=en(i,br.MOUSE_LEAVE,me=>{v=!1,f(!1,me.fromElement===i)},!0),P=me=>{if(c)return;const ye=new wn,Ce={targetElements:[i],dispose:()=>{}};if(e.placement===void 0||e.placement==="mouse"){const Fe=rt=>{Ce.x=rt.x+10,Mm(rt.target)&&Zbt(rt.target,i)!==i&&f(!0,!0)};ye.add(en(i,br.MOUSE_MOVE,Fe,!0))}c=ye,!(Mm(me.target)&&Zbt(me.target,i)!==i)&&ye.add(m(e.delay,!1,Ce))},O=en(i,br.MOUSE_OVER,P,!0),z=()=>{if(v||c)return;const me={targetElements:[i],dispose:()=>{}},ye=new wn,Ce=()=>f(!0,!0);ye.add(en(i,br.BLUR,Ce,!0)),ye.add(m(e.delay,!1,me)),c=ye};let J;const Y=i.tagName.toLowerCase();Y!=="input"&&Y!=="textarea"&&(J=en(i,br.FOCUS,z,!0));const ae={show:me=>{f(!1,!0),m(0,me,void 0,me)},hide:()=>{f(!0,!0)},update:async(me,ye)=>{n=me,await(d==null?void 0:d.update(n,void 0,ye))},dispose:()=>{this._managedHovers.delete(i),O.dispose(),I.dispose(),x.dispose(),w.dispose(),J==null||J.dispose(),f(!0,!0)}};return this._managedHovers.set(i,ae),ae}showManagedHover(e){const i=this._managedHovers.get(e);i&&i.show(!0)}dispose(){this._managedHovers.forEach(e=>e.dispose()),super.dispose()}};kVe=v0i([Rte(0,ho),Rte(1,eS),Rte(2,pu),Rte(3,FR),Rte(4,tS)],kVe);function Qbt(l){if(l!==void 0)return(l==null?void 0:l.id)??l}class b0i{get anchorPosition(){return this._hover.anchor}constructor(e,i=!1){this._hover=e,this._focus=i,this.layer=1}render(e){return this._hover.render(e),this._focus&&this._hover.focus(),this._hover}getAnchor(){return{x:this._hover.x,y:this._hover.y}}layout(){this._hover.layout()}}function Zbt(l,e){for(e=e??Eo(l).document.body;!l.hasAttribute("custom-hover")&&l!==e;)l=l.parentElement;return l}nl(jL,kVe,1);Dk((l,e)=>{const i=l.getColor(OTt);i&&(e.addRule(`.monaco-workbench .workbench-hover .hover-row:not(:first-child):not(:empty) { border-top: 1px solid ${i.transparent(.5)}; }`),e.addRule(`.monaco-workbench .workbench-hover hr { border-top: 1px solid ${i.transparent(.5)}; }`))});const Sse=jc("IWorkspaceEditService");class eJe{constructor(e){this.metadata=e}static convert(e){return e.edits.map(i=>{if(GM.is(i))return GM.lift(i);if($q.is(i))return $q.lift(i);throw new Error("Unsupported edit")})}}class GM extends eJe{static is(e){return e instanceof GM?!0:gg(e)&&yo.isUri(e.resource)&&gg(e.textEdit)}static lift(e){return e instanceof GM?e:new GM(e.resource,e.textEdit,e.versionId,e.metadata)}constructor(e,i,n=void 0,s){super(s),this.resource=e,this.textEdit=i,this.versionId=n}}class $q extends eJe{static is(e){return e instanceof $q?!0:gg(e)&&(!!e.newResource||!!e.oldResource)}static lift(e){return e instanceof $q?e:new $q(e.oldResource,e.newResource,e.options,e.metadata)}constructor(e,i,n={},s){super(s),this.oldResource=e,this.newResource=i,this.options=n}}const b0={enableSplitViewResizing:!0,splitViewDefaultRatio:.5,renderSideBySide:!0,renderMarginRevertIcon:!0,renderGutterMenu:!0,maxComputationTime:5e3,maxFileSize:50,ignoreTrimWhitespace:!0,renderIndicators:!0,originalEditable:!1,diffCodeLens:!1,renderOverviewRuler:!0,diffWordWrap:"inherit",diffAlgorithm:"advanced",accessibilityVerbose:!1,experimental:{showMoves:!1,showEmptyDecorations:!0,useTrueInlineView:!1},hideUnchangedRegions:{enabled:!1,contextLineCount:3,minimumLineCount:3,revealLineCount:20},isInEmbeddedEditor:!1,onlyShowAccessibleDiffViewer:!1,renderSideBySideInlineBreakpoint:900,useInlineViewWhenSpaceIsLimited:!0,compactMode:!1},wse=Object.freeze({id:"editor",order:5,type:"object",title:W("editorConfigurationTitle","Editor"),scope:5}),Aye={...wse,properties:{"editor.tabSize":{type:"number",default:p1.tabSize,minimum:1,markdownDescription:W("tabSize","The number of spaces a tab is equal to. This setting is overridden based on the file contents when {0} is on.","`#editor.detectIndentation#`")},"editor.indentSize":{anyOf:[{type:"string",enum:["tabSize"]},{type:"number",minimum:1}],default:"tabSize",markdownDescription:W("indentSize",'The number of spaces used for indentation or `"tabSize"` to use the value from `#editor.tabSize#`. This setting is overridden based on the file contents when `#editor.detectIndentation#` is on.')},"editor.insertSpaces":{type:"boolean",default:p1.insertSpaces,markdownDescription:W("insertSpaces","Insert spaces when pressing `Tab`. This setting is overridden based on the file contents when {0} is on.","`#editor.detectIndentation#`")},"editor.detectIndentation":{type:"boolean",default:p1.detectIndentation,markdownDescription:W("detectIndentation","Controls whether {0} and {1} will be automatically detected when a file is opened based on the file contents.","`#editor.tabSize#`","`#editor.insertSpaces#`")},"editor.trimAutoWhitespace":{type:"boolean",default:p1.trimAutoWhitespace,description:W("trimAutoWhitespace","Remove trailing auto inserted whitespace.")},"editor.largeFileOptimizations":{type:"boolean",default:p1.largeFileOptimizations,description:W("largeFileOptimizations","Special handling for large files to disable certain memory intensive features.")},"editor.wordBasedSuggestions":{enum:["off","currentDocument","matchingDocuments","allDocuments"],default:"matchingDocuments",enumDescriptions:[W("wordBasedSuggestions.off","Turn off Word Based Suggestions."),W("wordBasedSuggestions.currentDocument","Only suggest words from the active document."),W("wordBasedSuggestions.matchingDocuments","Suggest words from all open documents of the same language."),W("wordBasedSuggestions.allDocuments","Suggest words from all open documents.")],description:W("wordBasedSuggestions","Controls whether completions should be computed based on words in the document and from which documents they are computed.")},"editor.semanticHighlighting.enabled":{enum:[!0,!1,"configuredByTheme"],enumDescriptions:[W("semanticHighlighting.true","Semantic highlighting enabled for all color themes."),W("semanticHighlighting.false","Semantic highlighting disabled for all color themes."),W("semanticHighlighting.configuredByTheme","Semantic highlighting is configured by the current color theme's `semanticHighlighting` setting.")],default:"configuredByTheme",description:W("semanticHighlighting.enabled","Controls whether the semanticHighlighting is shown for the languages that support it.")},"editor.stablePeek":{type:"boolean",default:!1,markdownDescription:W("stablePeek","Keep peek editors open even when double-clicking their content or when hitting `Escape`.")},"editor.maxTokenizationLineLength":{type:"integer",default:2e4,description:W("maxTokenizationLineLength","Lines above this length will not be tokenized for performance reasons")},"editor.experimental.asyncTokenization":{type:"boolean",default:!0,description:W("editor.experimental.asyncTokenization","Controls whether the tokenization should happen asynchronously on a web worker."),tags:["experimental"]},"editor.experimental.asyncTokenizationLogging":{type:"boolean",default:!1,description:W("editor.experimental.asyncTokenizationLogging","Controls whether async tokenization should be logged. For debugging only.")},"editor.experimental.asyncTokenizationVerification":{type:"boolean",default:!1,description:W("editor.experimental.asyncTokenizationVerification","Controls whether async tokenization should be verified against legacy background tokenization. Might slow down tokenization. For debugging only."),tags:["experimental"]},"editor.experimental.treeSitterTelemetry":{type:"boolean",default:!1,markdownDescription:W("editor.experimental.treeSitterTelemetry","Controls whether tree sitter parsing should be turned on and telemetry collected. Setting `editor.experimental.preferTreeSitter` for specific languages will take precedence."),tags:["experimental"]},"editor.language.brackets":{type:["array","null"],default:null,description:W("schema.brackets","Defines the bracket symbols that increase or decrease the indentation."),items:{type:"array",items:[{type:"string",description:W("schema.openBracket","The opening bracket character or string sequence.")},{type:"string",description:W("schema.closeBracket","The closing bracket character or string sequence.")}]}},"editor.language.colorizedBracketPairs":{type:["array","null"],default:null,description:W("schema.colorizedBracketPairs","Defines the bracket pairs that are colorized by their nesting level if bracket pair colorization is enabled."),items:{type:"array",items:[{type:"string",description:W("schema.openBracket","The opening bracket character or string sequence.")},{type:"string",description:W("schema.closeBracket","The closing bracket character or string sequence.")}]}},"diffEditor.maxComputationTime":{type:"number",default:b0.maxComputationTime,description:W("maxComputationTime","Timeout in milliseconds after which diff computation is cancelled. Use 0 for no timeout.")},"diffEditor.maxFileSize":{type:"number",default:b0.maxFileSize,description:W("maxFileSize","Maximum file size in MB for which to compute diffs. Use 0 for no limit.")},"diffEditor.renderSideBySide":{type:"boolean",default:b0.renderSideBySide,description:W("sideBySide","Controls whether the diff editor shows the diff side by side or inline.")},"diffEditor.renderSideBySideInlineBreakpoint":{type:"number",default:b0.renderSideBySideInlineBreakpoint,description:W("renderSideBySideInlineBreakpoint","If the diff editor width is smaller than this value, the inline view is used.")},"diffEditor.useInlineViewWhenSpaceIsLimited":{type:"boolean",default:b0.useInlineViewWhenSpaceIsLimited,description:W("useInlineViewWhenSpaceIsLimited","If enabled and the editor width is too small, the inline view is used.")},"diffEditor.renderMarginRevertIcon":{type:"boolean",default:b0.renderMarginRevertIcon,description:W("renderMarginRevertIcon","When enabled, the diff editor shows arrows in its glyph margin to revert changes.")},"diffEditor.renderGutterMenu":{type:"boolean",default:b0.renderGutterMenu,description:W("renderGutterMenu","When enabled, the diff editor shows a special gutter for revert and stage actions.")},"diffEditor.ignoreTrimWhitespace":{type:"boolean",default:b0.ignoreTrimWhitespace,description:W("ignoreTrimWhitespace","When enabled, the diff editor ignores changes in leading or trailing whitespace.")},"diffEditor.renderIndicators":{type:"boolean",default:b0.renderIndicators,description:W("renderIndicators","Controls whether the diff editor shows +/- indicators for added/removed changes.")},"diffEditor.codeLens":{type:"boolean",default:b0.diffCodeLens,description:W("codeLens","Controls whether the editor shows CodeLens.")},"diffEditor.wordWrap":{type:"string",enum:["off","on","inherit"],default:b0.diffWordWrap,markdownEnumDescriptions:[W("wordWrap.off","Lines will never wrap."),W("wordWrap.on","Lines will wrap at the viewport width."),W("wordWrap.inherit","Lines will wrap according to the {0} setting.","`#editor.wordWrap#`")]},"diffEditor.diffAlgorithm":{type:"string",enum:["legacy","advanced"],default:b0.diffAlgorithm,markdownEnumDescriptions:[W("diffAlgorithm.legacy","Uses the legacy diffing algorithm."),W("diffAlgorithm.advanced","Uses the advanced diffing algorithm.")],tags:["experimental"]},"diffEditor.hideUnchangedRegions.enabled":{type:"boolean",default:b0.hideUnchangedRegions.enabled,markdownDescription:W("hideUnchangedRegions.enabled","Controls whether the diff editor shows unchanged regions.")},"diffEditor.hideUnchangedRegions.revealLineCount":{type:"integer",default:b0.hideUnchangedRegions.revealLineCount,markdownDescription:W("hideUnchangedRegions.revealLineCount","Controls how many lines are used for unchanged regions."),minimum:1},"diffEditor.hideUnchangedRegions.minimumLineCount":{type:"integer",default:b0.hideUnchangedRegions.minimumLineCount,markdownDescription:W("hideUnchangedRegions.minimumLineCount","Controls how many lines are used as a minimum for unchanged regions."),minimum:1},"diffEditor.hideUnchangedRegions.contextLineCount":{type:"integer",default:b0.hideUnchangedRegions.contextLineCount,markdownDescription:W("hideUnchangedRegions.contextLineCount","Controls how many lines are used as context when comparing unchanged regions."),minimum:1},"diffEditor.experimental.showMoves":{type:"boolean",default:b0.experimental.showMoves,markdownDescription:W("showMoves","Controls whether the diff editor should show detected code moves.")},"diffEditor.experimental.showEmptyDecorations":{type:"boolean",default:b0.experimental.showEmptyDecorations,description:W("showEmptyDecorations","Controls whether the diff editor shows empty decorations to see where characters got inserted or deleted.")},"diffEditor.experimental.useTrueInlineView":{type:"boolean",default:b0.experimental.useTrueInlineView,description:W("useTrueInlineView","If enabled and the editor uses the inline view, word changes are rendered inline.")}}};function C0i(l){return typeof l.type<"u"||typeof l.anyOf<"u"}for(const l of YU){const e=l.schema;if(typeof e<"u")if(C0i(e))Aye.properties[`editor.${l.name}`]=e;else for(const i in e)Object.hasOwnProperty.call(e,i)&&(Aye.properties[i]=e[i])}let s0e=null;function hDt(){return s0e===null&&(s0e=Object.create(null),Object.keys(Aye.properties).forEach(l=>{s0e[l]=!0})),s0e}function S0i(l){return hDt()[`editor.${l}`]||!1}function w0i(l){return hDt()[`diffEditor.${l}`]||!1}const x0i=nh.as(DT.Configuration);x0i.registerConfiguration(Aye);class eh{static insert(e,i){return{range:new nt(e.lineNumber,e.column,e.lineNumber,e.column),text:i,forceMoveMarkers:!0}}static delete(e){return{range:e,text:null}}static replace(e,i){return{range:e,text:i}}static replaceMove(e,i){return{range:e,text:i,forceMoveMarkers:!0}}}function o0e(l){return Object.isFrozen(l)?l:zai(l)}class r0{static createEmptyModel(e){return new r0({},[],[],void 0,e)}constructor(e,i,n,s,c){this._contents=e,this._keys=i,this._overrides=n,this.raw=s,this.logService=c,this.overrideConfigurations=new Map}get rawConfiguration(){var e;if(!this._rawConfiguration)if((e=this.raw)!=null&&e.length){const i=this.raw.map(n=>{if(n instanceof r0)return n;const s=new k0i("",this.logService);return s.parseRaw(n),s.configurationModel});this._rawConfiguration=i.reduce((n,s)=>s===n?s:n.merge(s),i[0])}else this._rawConfiguration=this;return this._rawConfiguration}get contents(){return this._contents}get overrides(){return this._overrides}get keys(){return this._keys}isEmpty(){return this._keys.length===0&&Object.keys(this._contents).length===0&&this._overrides.length===0}getValue(e){return e?Tvt(this.contents,e):this.contents}inspect(e,i){const n=this;return{get value(){return o0e(n.rawConfiguration.getValue(e))},get override(){return i?o0e(n.rawConfiguration.getOverrideValue(e,i)):void 0},get merged(){return o0e(i?n.rawConfiguration.override(i).getValue(e):n.rawConfiguration.getValue(e))},get overrides(){const s=[];for(const{contents:c,identifiers:d,keys:f}of n.rawConfiguration.overrides){const m=new r0(c,f,[],void 0,n.logService).getValue(e);m!==void 0&&s.push({identifiers:d,value:m})}return s.length?o0e(s):void 0}}}getOverrideValue(e,i){const n=this.getContentsForOverrideIdentifer(i);return n?e?Tvt(n,e):n:void 0}override(e){let i=this.overrideConfigurations.get(e);return i||(i=this.createOverrideConfigurationModel(e),this.overrideConfigurations.set(e,i)),i}merge(...e){var d,f;const i=D4(this.contents),n=D4(this.overrides),s=[...this.keys],c=(d=this.raw)!=null&&d.length?[...this.raw]:[this];for(const m of e)if(c.push(...(f=m.raw)!=null&&f.length?m.raw:[m]),!m.isEmpty()){this.mergeContents(i,m.contents);for(const v of m.overrides){const[x]=n.filter(w=>Lf(w.identifiers,v.identifiers));x?(this.mergeContents(x.contents,v.contents),x.keys.push(...v.keys),x.keys=r6(x.keys)):n.push(D4(v))}for(const v of m.keys)s.indexOf(v)===-1&&s.push(v)}return new r0(i,s,n,c.every(m=>m instanceof r0)?void 0:c,this.logService)}createOverrideConfigurationModel(e){const i=this.getContentsForOverrideIdentifer(e);if(!i||typeof i!="object"||!Object.keys(i).length)return this;const n={};for(const s of r6([...Object.keys(this.contents),...Object.keys(i)])){let c=this.contents[s];const d=i[s];d&&(typeof c=="object"&&typeof d=="object"?(c=D4(c),this.mergeContents(c,d)):c=d),n[s]=c}return new r0(n,this.keys,this.overrides,void 0,this.logService)}mergeContents(e,i){for(const n of Object.keys(i)){if(n in e&&gg(e[n])&&gg(i[n])){this.mergeContents(e[n],i[n]);continue}e[n]=D4(i[n])}}getContentsForOverrideIdentifer(e){let i=null,n=null;const s=c=>{c&&(n?this.mergeContents(n,c):n=D4(c))};for(const c of this.overrides)c.identifiers.length===1&&c.identifiers[0]===e?i=c.contents:c.identifiers.includes(e)&&s(c.contents);return s(i),n}toJSON(){return{contents:this.contents,overrides:this.overrides,keys:this.keys}}setValue(e,i){this.updateValue(e,i,!1)}removeValue(e){const i=this.keys.indexOf(e);i!==-1&&(this.keys.splice(i,1),Lfi(this.contents,e),wR.test(e)&&this.overrides.splice(this.overrides.findIndex(n=>Lf(n.identifiers,hye(e))),1))}updateValue(e,i,n){if(G2t(this.contents,e,i,s=>this.logService.error(s)),n=n||this.keys.indexOf(e)===-1,n&&this.keys.push(e),wR.test(e)){const s=hye(e),c={identifiers:s,keys:Object.keys(this.contents[e]),contents:MWe(this.contents[e],f=>this.logService.error(f))},d=this.overrides.findIndex(f=>Lf(f.identifiers,s));d!==-1?this.overrides[d]=c:this.overrides.push(c)}}}class k0i{constructor(e,i){this._name=e,this.logService=i,this._raw=null,this._configurationModel=null,this._restrictedConfigurations=[]}get configurationModel(){return this._configurationModel||r0.createEmptyModel(this.logService)}parseRaw(e,i){this._raw=e;const{contents:n,keys:s,overrides:c,restricted:d,hasExcludedProperties:f}=this.doParseRaw(e,i);this._configurationModel=new r0(n,s,c,f?[e]:void 0,this.logService),this._restrictedConfigurations=d||[]}doParseRaw(e,i){const n=nh.as(DT.Configuration).getConfigurationProperties(),s=this.filter(e,n,!0,i);e=s.raw;const c=MWe(e,m=>this.logService.error(`Conflict in settings file ${this._name}: ${m}`)),d=Object.keys(e),f=this.toOverrides(e,m=>this.logService.error(`Conflict in settings file ${this._name}: ${m}`));return{contents:c,keys:d,overrides:f,restricted:s.restricted,hasExcludedProperties:s.hasExcludedProperties}}filter(e,i,n,s){var m,v,x;let c=!1;if(!(s!=null&&s.scopes)&&!(s!=null&&s.skipRestricted)&&!((m=s==null?void 0:s.exclude)!=null&&m.length))return{raw:e,restricted:[],hasExcludedProperties:c};const d={},f=[];for(const w in e)if(wR.test(w)&&n){const I=this.filter(e[w],i,!1,s);d[w]=I.raw,c=c||I.hasExcludedProperties,f.push(...I.restricted)}else{const I=i[w],P=I?typeof I.scope<"u"?I.scope:3:void 0;I!=null&&I.restricted&&f.push(w),!((v=s.exclude)!=null&&v.includes(w))&&((x=s.include)!=null&&x.includes(w)||(P===void 0||s.scopes===void 0||s.scopes.includes(P))&&!(s.skipRestricted&&(I!=null&&I.restricted)))?d[w]=e[w]:c=!0}return{raw:d,restricted:f,hasExcludedProperties:c}}toOverrides(e,i){const n=[];for(const s of Object.keys(e))if(wR.test(s)){const c={};for(const d in e[s])c[d]=e[s][d];n.push({identifiers:hye(s),keys:Object.keys(c),contents:MWe(c,i)})}return n}}class T0i{constructor(e,i,n,s,c,d,f,m,v,x,w,I,P){this.key=e,this.overrides=i,this._value=n,this.overrideIdentifiers=s,this.defaultConfiguration=c,this.policyConfiguration=d,this.applicationConfiguration=f,this.userConfiguration=m,this.localUserConfiguration=v,this.remoteUserConfiguration=x,this.workspaceConfiguration=w,this.folderConfigurationModel=I,this.memoryConfigurationModel=P}toInspectValue(e){return(e==null?void 0:e.value)!==void 0||(e==null?void 0:e.override)!==void 0||(e==null?void 0:e.overrides)!==void 0?e:void 0}get userInspectValue(){return this._userInspectValue||(this._userInspectValue=this.userConfiguration.inspect(this.key,this.overrides.overrideIdentifier)),this._userInspectValue}get user(){return this.toInspectValue(this.userInspectValue)}}class hwe{constructor(e,i,n,s,c,d,f,m,v,x){this._defaultConfiguration=e,this._policyConfiguration=i,this._applicationConfiguration=n,this._localUserConfiguration=s,this._remoteUserConfiguration=c,this._workspaceConfiguration=d,this._folderConfigurations=f,this._memoryConfiguration=m,this._memoryConfigurationByResource=v,this.logService=x,this._workspaceConsolidatedConfiguration=null,this._foldersConsolidatedConfigurations=new vg,this._userConfiguration=null}getValue(e,i,n){return this.getConsolidatedConfigurationModel(e,i,n).getValue(e)}updateValue(e,i,n={}){let s;n.resource?(s=this._memoryConfigurationByResource.get(n.resource),s||(s=r0.createEmptyModel(this.logService),this._memoryConfigurationByResource.set(n.resource,s))):s=this._memoryConfiguration,i===void 0?s.removeValue(e):s.setValue(e,i),n.resource||(this._workspaceConsolidatedConfiguration=null)}inspect(e,i,n){const s=this.getConsolidatedConfigurationModel(e,i,n),c=this.getFolderConfigurationModelForResource(i.resource,n),d=i.resource?this._memoryConfigurationByResource.get(i.resource)||this._memoryConfiguration:this._memoryConfiguration,f=new Set;for(const m of s.overrides)for(const v of m.identifiers)s.getOverrideValue(e,v)!==void 0&&f.add(v);return new T0i(e,i,s.getValue(e),f.size?[...f]:void 0,this._defaultConfiguration,this._policyConfiguration.isEmpty()?void 0:this._policyConfiguration,this.applicationConfiguration.isEmpty()?void 0:this.applicationConfiguration,this.userConfiguration,this.localUserConfiguration,this.remoteUserConfiguration,n?this._workspaceConfiguration:void 0,c||void 0,d)}get applicationConfiguration(){return this._applicationConfiguration}get userConfiguration(){return this._userConfiguration||(this._userConfiguration=this._remoteUserConfiguration.isEmpty()?this._localUserConfiguration:this._localUserConfiguration.merge(this._remoteUserConfiguration)),this._userConfiguration}get localUserConfiguration(){return this._localUserConfiguration}get remoteUserConfiguration(){return this._remoteUserConfiguration}getConsolidatedConfigurationModel(e,i,n){let s=this.getConsolidatedConfigurationModelForResource(i,n);return i.overrideIdentifier&&(s=s.override(i.overrideIdentifier)),!this._policyConfiguration.isEmpty()&&this._policyConfiguration.getValue(e)!==void 0&&(s=s.merge(this._policyConfiguration)),s}getConsolidatedConfigurationModelForResource({resource:e},i){let n=this.getWorkspaceConsolidatedConfiguration();if(i&&e){const s=i.getFolder(e);s&&(n=this.getFolderConsolidatedConfiguration(s.uri)||n);const c=this._memoryConfigurationByResource.get(e);c&&(n=n.merge(c))}return n}getWorkspaceConsolidatedConfiguration(){return this._workspaceConsolidatedConfiguration||(this._workspaceConsolidatedConfiguration=this._defaultConfiguration.merge(this.applicationConfiguration,this.userConfiguration,this._workspaceConfiguration,this._memoryConfiguration)),this._workspaceConsolidatedConfiguration}getFolderConsolidatedConfiguration(e){let i=this._foldersConsolidatedConfigurations.get(e);if(!i){const n=this.getWorkspaceConsolidatedConfiguration(),s=this._folderConfigurations.get(e);s?(i=n.merge(s),this._foldersConsolidatedConfigurations.set(e,i)):i=n}return i}getFolderConfigurationModelForResource(e,i){if(i&&e){const n=i.getFolder(e);if(n)return this._folderConfigurations.get(n.uri)}}toData(){return{defaults:{contents:this._defaultConfiguration.contents,overrides:this._defaultConfiguration.overrides,keys:this._defaultConfiguration.keys},policy:{contents:this._policyConfiguration.contents,overrides:this._policyConfiguration.overrides,keys:this._policyConfiguration.keys},application:{contents:this.applicationConfiguration.contents,overrides:this.applicationConfiguration.overrides,keys:this.applicationConfiguration.keys},user:{contents:this.userConfiguration.contents,overrides:this.userConfiguration.overrides,keys:this.userConfiguration.keys},workspace:{contents:this._workspaceConfiguration.contents,overrides:this._workspaceConfiguration.overrides,keys:this._workspaceConfiguration.keys},folders:[...this._folderConfigurations.keys()].reduce((e,i)=>{const{contents:n,overrides:s,keys:c}=this._folderConfigurations.get(i);return e.push([i,{contents:n,overrides:s,keys:c}]),e},[])}}static parse(e,i){const n=this.parseConfigurationModel(e.defaults,i),s=this.parseConfigurationModel(e.policy,i),c=this.parseConfigurationModel(e.application,i),d=this.parseConfigurationModel(e.user,i),f=this.parseConfigurationModel(e.workspace,i),m=e.folders.reduce((v,x)=>(v.set(yo.revive(x[0]),this.parseConfigurationModel(x[1],i)),v),new vg);return new hwe(n,s,c,d,r0.createEmptyModel(i),f,m,r0.createEmptyModel(i),new vg,i)}static parseConfigurationModel(e,i){return new r0(e.contents,e.keys,e.overrides,void 0,i)}}class D0i{constructor(e,i,n,s,c){this.change=e,this.previous=i,this.currentConfiguraiton=n,this.currentWorkspace=s,this.logService=c,this._marker=`
+`,this._markerCode1=this._marker.charCodeAt(0),this._markerCode2=46,this.affectedKeys=new Set,this._previousConfiguration=void 0;for(const d of e.keys)this.affectedKeys.add(d);for(const[,d]of e.overrides)for(const f of d)this.affectedKeys.add(f);this._affectsConfigStr=this._marker;for(const d of this.affectedKeys)this._affectsConfigStr+=d+this._marker}get previousConfiguration(){return!this._previousConfiguration&&this.previous&&(this._previousConfiguration=hwe.parse(this.previous.data,this.logService)),this._previousConfiguration}affectsConfiguration(e,i){var f;const n=this._marker+e,s=this._affectsConfigStr.indexOf(n);if(s<0)return!1;const c=s+n.length;if(c>=this._affectsConfigStr.length)return!1;const d=this._affectsConfigStr.charCodeAt(c);if(d!==this._markerCode1&&d!==this._markerCode2)return!1;if(i){const m=this.previousConfiguration?this.previousConfiguration.getValue(e,i,(f=this.previous)==null?void 0:f.workspace):void 0,v=this.currentConfiguraiton.getValue(e,i,this.currentWorkspace);return!qC(m,v)}return!0}}class E0i{constructor(){this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this._enabled=!0}get enabled(){return this._enabled}enable(){this._enabled=!0,this._onDidChange.fire()}disable(){this._enabled=!1,this._onDidChange.fire()}}const Eie=new E0i,Oye={kind:0},I0i={kind:1};function N0i(l,e,i){return{kind:2,commandId:l,commandArgs:e,isBubble:i}}class Iie{constructor(e,i,n){var s;this._log=n,this._defaultKeybindings=e,this._defaultBoundCommands=new Map;for(const c of e){const d=c.command;d&&d.charAt(0)!=="-"&&this._defaultBoundCommands.set(d,!0)}this._map=new Map,this._lookupMap=new Map,this._keybindings=Iie.handleRemovals([].concat(e).concat(i));for(let c=0,d=this._keybindings.length;c<d;c++){const f=this._keybindings[c];if(f.chords.length===0)continue;const m=(s=f.when)==null?void 0:s.substituteConstants();m&&m.type===0||this._addKeyPress(f.chords[0],f)}}static _isTargetedForRemoval(e,i,n){if(i){for(let s=0;s<i.length;s++)if(i[s]!==e.chords[s])return!1}return!(n&&n.type!==1&&(!e.when||!zdi(n,e.when)))}static handleRemovals(e){const i=new Map;for(let s=0,c=e.length;s<c;s++){const d=e[s];if(d.command&&d.command.charAt(0)==="-"){const f=d.command.substring(1);i.has(f)?i.get(f).push(d):i.set(f,[d])}}if(i.size===0)return e;const n=[];for(let s=0,c=e.length;s<c;s++){const d=e[s];if(!d.command||d.command.length===0){n.push(d);continue}if(d.command.charAt(0)==="-")continue;const f=i.get(d.command);if(!f||!d.isDefault){n.push(d);continue}let m=!1;for(const v of f){const x=v.when;if(this._isTargetedForRemoval(d,v.chords,x)){m=!0;break}}if(!m){n.push(d);continue}}return n}_addKeyPress(e,i){const n=this._map.get(e);if(typeof n>"u"){this._map.set(e,[i]),this._addToLookupMap(i);return}for(let s=n.length-1;s>=0;s--){const c=n[s];if(c.command===i.command)continue;let d=!0;for(let f=1;f<c.chords.length&&f<i.chords.length;f++)if(c.chords[f]!==i.chords[f]){d=!1;break}d&&Iie.whenIsEntirelyIncluded(c.when,i.when)&&this._removeFromLookupMap(c)}n.push(i),this._addToLookupMap(i)}_addToLookupMap(e){if(!e.command)return;let i=this._lookupMap.get(e.command);typeof i>"u"?(i=[e],this._lookupMap.set(e.command,i)):i.push(e)}_removeFromLookupMap(e){if(!e.command)return;const i=this._lookupMap.get(e.command);if(!(typeof i>"u")){for(let n=0,s=i.length;n<s;n++)if(i[n]===e){i.splice(n,1);return}}}static whenIsEntirelyIncluded(e,i){return!i||i.type===1?!0:!e||e.type===1?!1:LWe(e,i)}getKeybindings(){return this._keybindings}lookupPrimaryKeybinding(e,i){const n=this._lookupMap.get(e);if(typeof n>"u"||n.length===0)return null;if(n.length===1)return n[0];for(let s=n.length-1;s>=0;s--){const c=n[s];if(i.contextMatchesRules(c.when))return c}return n[n.length-1]}resolve(e,i,n){const s=[...i,n];this._log(`| Resolving ${s}`);const c=this._map.get(s[0]);if(c===void 0)return this._log("\\ No keybinding entries."),Oye;let d=null;if(s.length<2)d=c;else{d=[];for(let m=0,v=c.length;m<v;m++){const x=c[m];if(s.length>x.chords.length)continue;let w=!0;for(let I=1;I<s.length;I++)if(x.chords[I]!==s[I]){w=!1;break}w&&d.push(x)}}const f=this._findCommand(e,d);return f?s.length<f.chords.length?(this._log(`\\ From ${d.length} keybinding entries, awaiting ${f.chords.length-s.length} more chord(s), when: ${Ybt(f.when)}, source: ${eCt(f)}.`),I0i):(this._log(`\\ From ${d.length} keybinding entries, matched ${f.command}, when: ${Ybt(f.when)}, source: ${eCt(f)}.`),N0i(f.command,f.commandArgs,f.bubble)):(this._log(`\\ From ${d.length} keybinding entries, no when clauses matched the context.`),Oye)}_findCommand(e,i){for(let n=i.length-1;n>=0;n--){const s=i[n];if(Iie._contextMatchesRules(e,s.when))return s}return null}static _contextMatchesRules(e,i){return i?i.evaluate(e):!0}}function Ybt(l){return l?`${l.serialize()}`:"no when condition"}function eCt(l){return l.extensionId?l.isBuiltinExtension?`built-in extension ${l.extensionId}`:`user extension ${l.extensionId}`:l.isDefault?"built-in":"user"}const L0i=/^(cursor|delete|undo|redo|tab|editor\.action\.clipboard)/;class P0i extends xi{get onDidUpdateKeybindings(){return this._onDidUpdateKeybindings?this._onDidUpdateKeybindings.event:Tr.None}get inChordMode(){return this._currentChords.length>0}constructor(e,i,n,s,c){super(),this._contextKeyService=e,this._commandService=i,this._telemetryService=n,this._notificationService=s,this._logService=c,this._onDidUpdateKeybindings=this._register(new gi),this._currentChords=[],this._currentChordChecker=new a$e,this._currentChordStatusMessage=null,this._ignoreSingleModifiers=oq.EMPTY,this._currentSingleModifier=null,this._currentSingleModifierClearTimeout=new TT,this._currentlyDispatchingCommandId=null,this._logging=!1}dispose(){super.dispose()}_log(e){this._logging&&this._logService.info(`[KeybindingService]: ${e}`)}getKeybindings(){return this._getResolver().getKeybindings()}lookupKeybinding(e,i){const n=this._getResolver().lookupPrimaryKeybinding(e,i||this._contextKeyService);if(n)return n.resolvedKeybinding}dispatchEvent(e,i){return this._dispatch(e,i)}softDispatch(e,i){this._log("/ Soft dispatching keyboard event");const n=this.resolveKeyboardEvent(e);if(n.hasMultipleChords())return console.warn("keyboard event should not be mapped to multiple chords"),Oye;const[s]=n.getDispatchChords();if(s===null)return this._log("\\ Keyboard event cannot be dispatched"),Oye;const c=this._contextKeyService.getContext(i),d=this._currentChords.map(({keypress:f})=>f);return this._getResolver().resolve(c,d,s)}_scheduleLeaveChordMode(){const e=Date.now();this._currentChordChecker.cancelAndSet(()=>{if(!this._documentHasFocus()){this._leaveChordMode();return}Date.now()-e>5e3&&this._leaveChordMode()},500)}_expectAnotherChord(e,i){switch(this._currentChords.push({keypress:e,label:i}),this._currentChords.length){case 0:throw $qe("impossible");case 1:this._currentChordStatusMessage=this._notificationService.status(W("first.chord","({0}) was pressed. Waiting for second key of chord...",i));break;default:{const n=this._currentChords.map(({label:s})=>s).join(", ");this._currentChordStatusMessage=this._notificationService.status(W("next.chord","({0}) was pressed. Waiting for next key of chord...",n))}}this._scheduleLeaveChordMode(),Eie.enabled&&Eie.disable()}_leaveChordMode(){this._currentChordStatusMessage&&(this._currentChordStatusMessage.dispose(),this._currentChordStatusMessage=null),this._currentChordChecker.cancel(),this._currentChords=[],Eie.enable()}_dispatch(e,i){return this._doDispatch(this.resolveKeyboardEvent(e),i,!1)}_singleModifierDispatch(e,i){const n=this.resolveKeyboardEvent(e),[s]=n.getSingleModifierDispatchChords();if(s)return this._ignoreSingleModifiers.has(s)?(this._log(`+ Ignoring single modifier ${s} due to it being pressed together with other keys.`),this._ignoreSingleModifiers=oq.EMPTY,this._currentSingleModifierClearTimeout.cancel(),this._currentSingleModifier=null,!1):(this._ignoreSingleModifiers=oq.EMPTY,this._currentSingleModifier===null?(this._log(`+ Storing single modifier for possible chord ${s}.`),this._currentSingleModifier=s,this._currentSingleModifierClearTimeout.cancelAndSet(()=>{this._log("+ Clearing single modifier due to 300ms elapsed."),this._currentSingleModifier=null},300),!1):s===this._currentSingleModifier?(this._log(`/ Dispatching single modifier chord ${s} ${s}`),this._currentSingleModifierClearTimeout.cancel(),this._currentSingleModifier=null,this._doDispatch(n,i,!0)):(this._log(`+ Clearing single modifier due to modifier mismatch: ${this._currentSingleModifier} ${s}`),this._currentSingleModifierClearTimeout.cancel(),this._currentSingleModifier=null,!1));const[c]=n.getChords();return this._ignoreSingleModifiers=new oq(c),this._currentSingleModifier!==null&&this._log("+ Clearing single modifier due to other key up."),this._currentSingleModifierClearTimeout.cancel(),this._currentSingleModifier=null,!1}_doDispatch(e,i,n=!1){let s=!1;if(e.hasMultipleChords())return console.warn("Unexpected keyboard event mapped to multiple chords"),!1;let c=null,d=null;if(n){const[x]=e.getSingleModifierDispatchChords();c=x,d=x?[x]:[]}else[c]=e.getDispatchChords(),d=this._currentChords.map(({keypress:x})=>x);if(c===null)return this._log("\\ Keyboard event cannot be dispatched in keydown phase."),s;const f=this._contextKeyService.getContext(i),m=e.getLabel(),v=this._getResolver().resolve(f,d,c);switch(v.kind){case 0:{if(this._logService.trace("KeybindingService#dispatch",m,"[ No matching keybinding ]"),this.inChordMode){const x=this._currentChords.map(({label:w})=>w).join(", ");this._log(`+ Leaving multi-chord mode: Nothing bound to "${x}, ${m}".`),this._notificationService.status(W("missing.chord","The key combination ({0}, {1}) is not a command.",x,m),{hideAfter:10*1e3}),this._leaveChordMode(),s=!0}return s}case 1:return this._logService.trace("KeybindingService#dispatch",m,"[ Several keybindings match - more chords needed ]"),s=!0,this._expectAnotherChord(c,m),this._log(this._currentChords.length===1?"+ Entering multi-chord mode...":"+ Continuing multi-chord mode..."),s;case 2:{if(this._logService.trace("KeybindingService#dispatch",m,`[ Will dispatch command ${v.commandId} ]`),v.commandId===null||v.commandId===""){if(this.inChordMode){const x=this._currentChords.map(({label:w})=>w).join(", ");this._log(`+ Leaving chord mode: Nothing bound to "${x}, ${m}".`),this._notificationService.status(W("missing.chord","The key combination ({0}, {1}) is not a command.",x,m),{hideAfter:10*1e3}),this._leaveChordMode(),s=!0}}else{this.inChordMode&&this._leaveChordMode(),v.isBubble||(s=!0),this._log(`+ Invoking command ${v.commandId}.`),this._currentlyDispatchingCommandId=v.commandId;try{typeof v.commandArgs>"u"?this._commandService.executeCommand(v.commandId).then(void 0,x=>this._notificationService.warn(x)):this._commandService.executeCommand(v.commandId,v.commandArgs).then(void 0,x=>this._notificationService.warn(x))}finally{this._currentlyDispatchingCommandId=null}L0i.test(v.commandId)||this._telemetryService.publicLog2("workbenchActionExecuted",{id:v.commandId,from:"keybinding",detail:e.getUserSettingsLabel()??void 0})}return s}}}mightProducePrintableCharacter(e){return e.ctrlKey||e.metaKey?!1:e.keyCode>=31&&e.keyCode<=56||e.keyCode>=21&&e.keyCode<=30}}const rCe=class rCe{constructor(e){this._ctrlKey=e?e.ctrlKey:!1,this._shiftKey=e?e.shiftKey:!1,this._altKey=e?e.altKey:!1,this._metaKey=e?e.metaKey:!1}has(e){switch(e){case"ctrl":return this._ctrlKey;case"shift":return this._shiftKey;case"alt":return this._altKey;case"meta":return this._metaKey}}};rCe.EMPTY=new rCe(null);let oq=rCe;class tCt{constructor(e,i,n,s,c,d,f){this._resolvedKeybindingItemBrand=void 0,this.resolvedKeybinding=e,this.chords=e?TVe(e.getDispatchChords()):[],e&&this.chords.length===0&&(this.chords=TVe(e.getSingleModifierDispatchChords())),this.bubble=i?i.charCodeAt(0)===94:!1,this.command=this.bubble?i.substr(1):i,this.commandArgs=n,this.when=s,this.isDefault=c,this.extensionId=d,this.isBuiltinExtension=f}}function TVe(l){const e=[];for(let i=0,n=l.length;i<n;i++){const s=l[i];if(!s)return[];e.push(s)}return e}class _we{constructor(e,i,n=i){this.modifierLabels=[null],this.modifierLabels[2]=e,this.modifierLabels[1]=i,this.modifierLabels[3]=n}toLabel(e,i,n){if(i.length===0)return null;const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=n(f);if(m===null)return null;s[c]=R0i(f,m,this.modifierLabels[e])}return s.join(" ")}}const tJe=new _we({ctrlKey:"⌃",shiftKey:"⇧",altKey:"⌥",metaKey:"⌘",separator:""},{ctrlKey:W({key:"ctrlKey",comment:["This is the short form for the Control key on the keyboard"]},"Ctrl"),shiftKey:W({key:"shiftKey",comment:["This is the short form for the Shift key on the keyboard"]},"Shift"),altKey:W({key:"altKey",comment:["This is the short form for the Alt key on the keyboard"]},"Alt"),metaKey:W({key:"windowsKey",comment:["This is the short form for the Windows key on the keyboard"]},"Windows"),separator:"+"},{ctrlKey:W({key:"ctrlKey",comment:["This is the short form for the Control key on the keyboard"]},"Ctrl"),shiftKey:W({key:"shiftKey",comment:["This is the short form for the Shift key on the keyboard"]},"Shift"),altKey:W({key:"altKey",comment:["This is the short form for the Alt key on the keyboard"]},"Alt"),metaKey:W({key:"superKey",comment:["This is the short form for the Super key on the keyboard"]},"Super"),separator:"+"}),A0i=new _we({ctrlKey:W({key:"ctrlKey.long",comment:["This is the long form for the Control key on the keyboard"]},"Control"),shiftKey:W({key:"shiftKey.long",comment:["This is the long form for the Shift key on the keyboard"]},"Shift"),altKey:W({key:"optKey.long",comment:["This is the long form for the Alt/Option key on the keyboard"]},"Option"),metaKey:W({key:"cmdKey.long",comment:["This is the long form for the Command key on the keyboard"]},"Command"),separator:"+"},{ctrlKey:W({key:"ctrlKey.long",comment:["This is the long form for the Control key on the keyboard"]},"Control"),shiftKey:W({key:"shiftKey.long",comment:["This is the long form for the Shift key on the keyboard"]},"Shift"),altKey:W({key:"altKey.long",comment:["This is the long form for the Alt key on the keyboard"]},"Alt"),metaKey:W({key:"windowsKey.long",comment:["This is the long form for the Windows key on the keyboard"]},"Windows"),separator:"+"},{ctrlKey:W({key:"ctrlKey.long",comment:["This is the long form for the Control key on the keyboard"]},"Control"),shiftKey:W({key:"shiftKey.long",comment:["This is the long form for the Shift key on the keyboard"]},"Shift"),altKey:W({key:"altKey.long",comment:["This is the long form for the Alt key on the keyboard"]},"Alt"),metaKey:W({key:"superKey.long",comment:["This is the long form for the Super key on the keyboard"]},"Super"),separator:"+"}),O0i=new _we({ctrlKey:"Ctrl",shiftKey:"Shift",altKey:"Alt",metaKey:"Cmd",separator:"+"},{ctrlKey:"Ctrl",shiftKey:"Shift",altKey:"Alt",metaKey:"Super",separator:"+"}),M0i=new _we({ctrlKey:"ctrl",shiftKey:"shift",altKey:"alt",metaKey:"cmd",separator:"+"},{ctrlKey:"ctrl",shiftKey:"shift",altKey:"alt",metaKey:"win",separator:"+"},{ctrlKey:"ctrl",shiftKey:"shift",altKey:"alt",metaKey:"meta",separator:"+"});function R0i(l,e,i){if(e===null)return"";const n=[];return l.ctrlKey&&n.push(i.ctrlKey),l.shiftKey&&n.push(i.shiftKey),l.altKey&&n.push(i.altKey),l.metaKey&&n.push(i.metaKey),e!==""&&n.push(e),n.join(i.separator)}class F0i extends lui{constructor(e,i){if(super(),i.length===0)throw gk("chords");this._os=e,this._chords=i}getLabel(){return tJe.toLabel(this._os,this._chords,e=>this._getLabel(e))}getAriaLabel(){return A0i.toLabel(this._os,this._chords,e=>this._getAriaLabel(e))}getElectronAccelerator(){return this._chords.length>1||this._chords[0].isDuplicateModifierCase()?null:O0i.toLabel(this._os,this._chords,e=>this._getElectronAccelerator(e))}getUserSettingsLabel(){return M0i.toLabel(this._os,this._chords,e=>this._getUserSettingsLabel(e))}hasMultipleChords(){return this._chords.length>1}getChords(){return this._chords.map(e=>this._getChord(e))}_getChord(e){return new cui(e.ctrlKey,e.shiftKey,e.altKey,e.metaKey,this._getLabel(e),this._getAriaLabel(e))}getDispatchChords(){return this._chords.map(e=>this._getChordDispatch(e))}getSingleModifierDispatchChords(){return this._chords.map(e=>this._getSingleModifierChordDispatch(e))}}class nre extends F0i{constructor(e,i){super(i,e)}_keyCodeToUILabel(e){if(this._os===2)switch(e){case 15:return"←";case 16:return"↑";case 17:return"→";case 18:return"↓"}return P4.toString(e)}_getLabel(e){return e.isDuplicateModifierCase()?"":this._keyCodeToUILabel(e.keyCode)}_getAriaLabel(e){return e.isDuplicateModifierCase()?"":P4.toString(e.keyCode)}_getElectronAccelerator(e){return P4.toElectronAccelerator(e.keyCode)}_getUserSettingsLabel(e){if(e.isDuplicateModifierCase())return"";const i=P4.toUserSettingsUS(e.keyCode);return i&&i.toLowerCase()}_getChordDispatch(e){return nre.getDispatchStr(e)}static getDispatchStr(e){if(e.isModifierKey())return null;let i="";return e.ctrlKey&&(i+="ctrl+"),e.shiftKey&&(i+="shift+"),e.altKey&&(i+="alt+"),e.metaKey&&(i+="meta+"),i+=P4.toString(e.keyCode),i}_getSingleModifierChordDispatch(e){return e.keyCode===5&&!e.shiftKey&&!e.altKey&&!e.metaKey?"ctrl":e.keyCode===4&&!e.ctrlKey&&!e.altKey&&!e.metaKey?"shift":e.keyCode===6&&!e.ctrlKey&&!e.shiftKey&&!e.metaKey?"alt":e.keyCode===57&&!e.ctrlKey&&!e.shiftKey&&!e.altKey?"meta":null}static _scanCodeToKeyCode(e){const i=Xqe[e];if(i!==-1)return i;switch(e){case 10:return 31;case 11:return 32;case 12:return 33;case 13:return 34;case 14:return 35;case 15:return 36;case 16:return 37;case 17:return 38;case 18:return 39;case 19:return 40;case 20:return 41;case 21:return 42;case 22:return 43;case 23:return 44;case 24:return 45;case 25:return 46;case 26:return 47;case 27:return 48;case 28:return 49;case 29:return 50;case 30:return 51;case 31:return 52;case 32:return 53;case 33:return 54;case 34:return 55;case 35:return 56;case 36:return 22;case 37:return 23;case 38:return 24;case 39:return 25;case 40:return 26;case 41:return 27;case 42:return 28;case 43:return 29;case 44:return 30;case 45:return 21;case 51:return 88;case 52:return 86;case 53:return 92;case 54:return 94;case 55:return 93;case 56:return 0;case 57:return 85;case 58:return 95;case 59:return 91;case 60:return 87;case 61:return 89;case 62:return 90;case 106:return 97}return 0}static _toKeyCodeChord(e){if(!e)return null;if(e instanceof a6)return e;const i=this._scanCodeToKeyCode(e.scanCode);return i===0?null:new a6(e.ctrlKey,e.shiftKey,e.altKey,e.metaKey,i)}static resolveKeybinding(e,i){const n=TVe(e.chords.map(s=>this._toKeyCodeChord(s)));return n.length>0?[new nre(n,i)]:[]}}const F$=jc("labelService"),_Dt=jc("progressService"),TKe=class TKe{constructor(e){this.callback=e}report(e){this._value=e,this.callback(this._value)}};TKe.None=Object.freeze({report(){}});let NE=TKe;const WR=jc("editorProgressService");class B0i{constructor(){this._value="",this._pos=0}reset(e){return this._value=e,this._pos=0,this}next(){return this._pos+=1,this}hasNext(){return this._pos<this._value.length-1}cmp(e){const i=e.charCodeAt(0),n=this._value.charCodeAt(this._pos);return i-n}value(){return this._value[this._pos]}}class W0i{constructor(e=!0){this._caseSensitive=e}reset(e){return this._value=e,this._from=0,this._to=0,this.next()}hasNext(){return this._to<this._value.length}next(){this._from=this._to;let e=!0;for(;this._to<this._value.length;this._to++)if(this._value.charCodeAt(this._to)===46)if(e)this._from++;else break;else e=!1;return this}cmp(e){return this._caseSensitive?Zqe(e,this._value,0,e.length,this._from,this._to):dse(e,this._value,0,e.length,this._from,this._to)}value(){return this._value.substring(this._from,this._to)}}class V0i{constructor(e=!0,i=!0){this._splitOnBackslash=e,this._caseSensitive=i}reset(e){this._from=0,this._to=0,this._value=e,this._valueLen=e.length;for(let i=e.length-1;i>=0;i--,this._valueLen--){const n=this._value.charCodeAt(i);if(!(n===47||this._splitOnBackslash&&n===92))break}return this.next()}hasNext(){return this._to<this._valueLen}next(){this._from=this._to;let e=!0;for(;this._to<this._valueLen;this._to++){const i=this._value.charCodeAt(this._to);if(i===47||this._splitOnBackslash&&i===92)if(e)this._from++;else break;else e=!1}return this}cmp(e){return this._caseSensitive?Zqe(e,this._value,0,e.length,this._from,this._to):dse(e,this._value,0,e.length,this._from,this._to)}value(){return this._value.substring(this._from,this._to)}}class H0i{constructor(e,i){this._ignorePathCasing=e,this._ignoreQueryAndFragment=i,this._states=[],this._stateIdx=0}reset(e){return this._value=e,this._states=[],this._value.scheme&&this._states.push(1),this._value.authority&&this._states.push(2),this._value.path&&(this._pathIterator=new V0i(!1,!this._ignorePathCasing(e)),this._pathIterator.reset(e.path),this._pathIterator.value()&&this._states.push(3)),this._ignoreQueryAndFragment(e)||(this._value.query&&this._states.push(4),this._value.fragment&&this._states.push(5)),this._stateIdx=0,this}next(){return this._states[this._stateIdx]===3&&this._pathIterator.hasNext()?this._pathIterator.next():this._stateIdx+=1,this}hasNext(){return this._states[this._stateIdx]===3&&this._pathIterator.hasNext()||this._stateIdx<this._states.length-1}cmp(e){if(this._states[this._stateIdx]===1)return pWe(e,this._value.scheme);if(this._states[this._stateIdx]===2)return pWe(e,this._value.authority);if(this._states[this._stateIdx]===3)return this._pathIterator.cmp(e);if(this._states[this._stateIdx]===4)return Rne(e,this._value.query);if(this._states[this._stateIdx]===5)return Rne(e,this._value.fragment);throw new Error}value(){if(this._states[this._stateIdx]===1)return this._value.scheme;if(this._states[this._stateIdx]===2)return this._value.authority;if(this._states[this._stateIdx]===3)return this._pathIterator.value();if(this._states[this._stateIdx]===4)return this._value.query;if(this._states[this._stateIdx]===5)return this._value.fragment;throw new Error}}class a0e{constructor(){this.height=1}rotateLeft(){const e=this.right;return this.right=e.left,e.left=this,this.updateHeight(),e.updateHeight(),e}rotateRight(){const e=this.left;return this.left=e.right,e.right=this,this.updateHeight(),e.updateHeight(),e}updateHeight(){this.height=1+Math.max(this.heightLeft,this.heightRight)}balanceFactor(){return this.heightRight-this.heightLeft}get heightLeft(){var e;return((e=this.left)==null?void 0:e.height)??0}get heightRight(){var e;return((e=this.right)==null?void 0:e.height)??0}}class Jq{static forUris(e=()=>!1,i=()=>!1){return new Jq(new H0i(e,i))}static forStrings(){return new Jq(new B0i)}static forConfigKeys(){return new Jq(new W0i)}constructor(e){this._iter=e}clear(){this._root=void 0}set(e,i){const n=this._iter.reset(e);let s;this._root||(this._root=new a0e,this._root.segment=n.value());const c=[];for(s=this._root;;){const f=n.cmp(s.segment);if(f>0)s.left||(s.left=new a0e,s.left.segment=n.value()),c.push([-1,s]),s=s.left;else if(f<0)s.right||(s.right=new a0e,s.right.segment=n.value()),c.push([1,s]),s=s.right;else if(n.hasNext())n.next(),s.mid||(s.mid=new a0e,s.mid.segment=n.value()),c.push([0,s]),s=s.mid;else break}const d=s.value;s.value=i,s.key=e;for(let f=c.length-1;f>=0;f--){const m=c[f][1];m.updateHeight();const v=m.balanceFactor();if(v<-1||v>1){const x=c[f][0],w=c[f+1][0];if(x===1&&w===1)c[f][1]=m.rotateLeft();else if(x===-1&&w===-1)c[f][1]=m.rotateRight();else if(x===1&&w===-1)m.right=c[f+1][1]=c[f+1][1].rotateRight(),c[f][1]=m.rotateLeft();else if(x===-1&&w===1)m.left=c[f+1][1]=c[f+1][1].rotateLeft(),c[f][1]=m.rotateRight();else throw new Error;if(f>0)switch(c[f-1][0]){case-1:c[f-1][1].left=c[f][1];break;case 1:c[f-1][1].right=c[f][1];break;case 0:c[f-1][1].mid=c[f][1];break}else this._root=c[0][1]}}return d}get(e){var i;return(i=this._getNode(e))==null?void 0:i.value}_getNode(e){const i=this._iter.reset(e);let n=this._root;for(;n;){const s=i.cmp(n.segment);if(s>0)n=n.left;else if(s<0)n=n.right;else if(i.hasNext())i.next(),n=n.mid;else break}return n}has(e){const i=this._getNode(e);return!((i==null?void 0:i.value)===void 0&&(i==null?void 0:i.mid)===void 0)}delete(e){return this._delete(e,!1)}deleteSuperstr(e){return this._delete(e,!0)}_delete(e,i){const n=this._iter.reset(e),s=[];let c=this._root;for(;c;){const d=n.cmp(c.segment);if(d>0)s.push([-1,c]),c=c.left;else if(d<0)s.push([1,c]),c=c.right;else if(n.hasNext())n.next(),s.push([0,c]),c=c.mid;else break}if(c){if(i?(c.left=void 0,c.mid=void 0,c.right=void 0,c.height=1):(c.key=void 0,c.value=void 0),!c.mid&&!c.value)if(c.left&&c.right){const d=this._min(c.right);if(d.key){const{key:f,value:m,segment:v}=d;this._delete(d.key,!1),c.key=f,c.value=m,c.segment=v}}else{const d=c.left??c.right;if(s.length>0){const[f,m]=s[s.length-1];switch(f){case-1:m.left=d;break;case 0:m.mid=d;break;case 1:m.right=d;break}}else this._root=d}for(let d=s.length-1;d>=0;d--){const f=s[d][1];f.updateHeight();const m=f.balanceFactor();if(m>1?(f.right.balanceFactor()>=0||(f.right=f.right.rotateRight()),s[d][1]=f.rotateLeft()):m<-1&&(f.left.balanceFactor()<=0||(f.left=f.left.rotateLeft()),s[d][1]=f.rotateRight()),d>0)switch(s[d-1][0]){case-1:s[d-1][1].left=s[d][1];break;case 1:s[d-1][1].right=s[d][1];break;case 0:s[d-1][1].mid=s[d][1];break}else this._root=s[0][1]}}}_min(e){for(;e.left;)e=e.left;return e}findSubstr(e){const i=this._iter.reset(e);let n=this._root,s;for(;n;){const c=i.cmp(n.segment);if(c>0)n=n.left;else if(c<0)n=n.right;else if(i.hasNext())i.next(),s=n.value||s,n=n.mid;else break}return n&&n.value||s}findSuperstr(e){return this._findSuperstrOrElement(e,!1)}_findSuperstrOrElement(e,i){const n=this._iter.reset(e);let s=this._root;for(;s;){const c=n.cmp(s.segment);if(c>0)s=s.left;else if(c<0)s=s.right;else if(n.hasNext())n.next(),s=s.mid;else return s.mid?this._entries(s.mid):i?s.value:void 0}}forEach(e){for(const[i,n]of this)e(n,i)}*[Symbol.iterator](){yield*this._entries(this._root)}_entries(e){const i=[];return this._dfsEntries(e,i),i[Symbol.iterator]()}_dfsEntries(e,i){e&&(e.left&&this._dfsEntries(e.left,i),e.value&&i.push([e.key,e.value]),e.mid&&this._dfsEntries(e.mid,i),e.right&&this._dfsEntries(e.right,i))}}const LB=jc("contextService");function DVe(l){const e=l;return typeof(e==null?void 0:e.id)=="string"&&yo.isUri(e.uri)}function j0i(l){const e=l;return typeof(e==null?void 0:e.id)=="string"&&!DVe(l)&&!q0i(l)}const z0i={id:"empty-window"};function U0i(l,e){if(typeof l=="string"||typeof l>"u")return typeof l=="string"?{id:qM(l)}:z0i;const i=l;return i.configuration?{id:i.id,configPath:i.configuration}:i.folders.length===1?{id:i.id,uri:i.folders[0].uri}:{id:i.id}}function q0i(l){const e=l;return typeof(e==null?void 0:e.id)=="string"&&yo.isUri(e.configPath)}class $0i{constructor(e,i){this.raw=i,this.uri=e.uri,this.index=e.index,this.name=e.name}toJSON(){return{uri:this.uri,name:this.name,index:this.index}}}const EVe="code-workspace";W("codeWorkspace","Code Workspace");const pDt="4064f6ec-cb38-4ad0-af64-ee6467e63c82";function J0i(l){return l.id===pDt}var IVe;(function(l){l.inspectTokensAction=W("inspectTokens","Developer: Inspect Tokens")})(IVe||(IVe={}));var Mye;(function(l){l.gotoLineActionLabel=W("gotoLineActionLabel","Go to Line/Column...")})(Mye||(Mye={}));var NVe;(function(l){l.helpQuickAccessActionLabel=W("helpQuickAccess","Show all Quick Access Providers")})(NVe||(NVe={}));var Rye;(function(l){l.quickCommandActionLabel=W("quickCommandActionLabel","Command Palette"),l.quickCommandHelp=W("quickCommandActionHelp","Show And Run Commands")})(Rye||(Rye={}));var rre;(function(l){l.quickOutlineActionLabel=W("quickOutlineActionLabel","Go to Symbol..."),l.quickOutlineByCategoryActionLabel=W("quickOutlineByCategoryActionLabel","Go to Symbol by Category...")})(rre||(rre={}));var LVe;(function(l){l.editorViewAccessibleLabel=W("editorViewAccessibleLabel","Editor content")})(LVe||(LVe={}));var PVe;(function(l){l.toggleHighContrast=W("toggleHighContrast","Toggle High Contrast Theme")})(PVe||(PVe={}));var AVe;(function(l){l.bulkEditServiceSummary=W("bulkEditServiceSummary","Made {0} edits in {1} files")})(AVe||(AVe={}));const mDt=jc("workspaceTrustManagementService");let B$=[],iJe=[],gDt=[];function c0e(l,e=!1){G0i(l,!1,e)}function G0i(l,e,i){const n=K0i(l,e);B$.push(n),n.userConfigured?gDt.push(n):iJe.push(n),i&&!n.userConfigured&&B$.forEach(s=>{s.mime===n.mime||s.userConfigured||(n.extension&&s.extension===n.extension&&console.warn(`Overwriting extension <<${n.extension}>> to now point to mime <<${n.mime}>>`),n.filename&&s.filename===n.filename&&console.warn(`Overwriting filename <<${n.filename}>> to now point to mime <<${n.mime}>>`),n.filepattern&&s.filepattern===n.filepattern&&console.warn(`Overwriting filepattern <<${n.filepattern}>> to now point to mime <<${n.mime}>>`),n.firstline&&s.firstline===n.firstline&&console.warn(`Overwriting firstline <<${n.firstline}>> to now point to mime <<${n.mime}>>`))})}function K0i(l,e){return{id:l.id,mime:l.mime,filename:l.filename,extension:l.extension,filepattern:l.filepattern,firstline:l.firstline,userConfigured:e,filenameLowercase:l.filename?l.filename.toLowerCase():void 0,extensionLowercase:l.extension?l.extension.toLowerCase():void 0,filepatternLowercase:l.filepattern?xTt(l.filepattern.toLowerCase()):void 0,filepatternOnPath:l.filepattern?l.filepattern.indexOf(q_.sep)>=0:!1}}function X0i(){B$=B$.filter(l=>l.userConfigured),iJe=[]}function Q0i(l,e){return Z0i(l,e).map(i=>i.id)}function Z0i(l,e){let i;if(l)switch(l.scheme){case Ma.file:i=l.fsPath;break;case Ma.data:{i=kR.parseMetaData(l).get(kR.META_DATA_LABEL);break}case Ma.vscodeNotebookCell:i=void 0;break;default:i=l.path}if(!i)return[{id:"unknown",mime:Yh.unknown}];i=i.toLowerCase();const n=qM(i),s=iCt(i,n,gDt);if(s)return[s,{id:bv,mime:Yh.text}];const c=iCt(i,n,iJe);if(c)return[c,{id:bv,mime:Yh.text}];if(e){const d=Y0i(e);if(d)return[d,{id:bv,mime:Yh.text}]}return[{id:"unknown",mime:Yh.unknown}]}function iCt(l,e,i){var d;let n,s,c;for(let f=i.length-1;f>=0;f--){const m=i[f];if(e===m.filenameLowercase){n=m;break}if(m.filepattern&&(!s||m.filepattern.length>s.filepattern.length)){const v=m.filepatternOnPath?l:e;(d=m.filepatternLowercase)!=null&&d.call(m,v)&&(s=m)}m.extension&&(!c||m.extension.length>c.extension.length)&&e.endsWith(m.extensionLowercase)&&(c=m)}if(n)return n;if(s)return s;if(c)return c}function Y0i(l){if(r$e(l)&&(l=l.substr(1)),l.length>0)for(let e=B$.length-1;e>=0;e--){const i=B$[e];if(!i.firstline)continue;const n=l.match(i.firstline);if(n&&n.length>0)return i}}const l0e=Object.prototype.hasOwnProperty,nCt="vs.editor.nullLanguage";class e1i{constructor(){this._languageIdToLanguage=[],this._languageToLanguageId=new Map,this._register(nCt,0),this._register(bv,1),this._nextLanguageId=2}_register(e,i){this._languageIdToLanguage[i]=e,this._languageToLanguageId.set(e,i)}register(e){if(this._languageToLanguageId.has(e))return;const i=this._nextLanguageId++;this._register(e,i)}encodeLanguageId(e){return this._languageToLanguageId.get(e)||0}decodeLanguageId(e){return this._languageIdToLanguage[e]||nCt}}const hne=class hne extends xi{constructor(e=!0,i=!1){super(),this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event,hne.instanceCount++,this._warnOnOverwrite=i,this.languageIdCodec=new e1i,this._dynamicLanguages=[],this._languages={},this._mimeTypesMap={},this._nameMap={},this._lowercaseNameMap={},e&&(this._initializeFromRegistry(),this._register(D$.onDidChangeLanguages(n=>{this._initializeFromRegistry()})))}dispose(){hne.instanceCount--,super.dispose()}_initializeFromRegistry(){this._languages={},this._mimeTypesMap={},this._nameMap={},this._lowercaseNameMap={},X0i();const e=[].concat(D$.getLanguages()).concat(this._dynamicLanguages);this._registerLanguages(e)}_registerLanguages(e){for(const i of e)this._registerLanguage(i);this._mimeTypesMap={},this._nameMap={},this._lowercaseNameMap={},Object.keys(this._languages).forEach(i=>{const n=this._languages[i];n.name&&(this._nameMap[n.name]=n.identifier),n.aliases.forEach(s=>{this._lowercaseNameMap[s.toLowerCase()]=n.identifier}),n.mimetypes.forEach(s=>{this._mimeTypesMap[s]=n.identifier})}),nh.as(DT.Configuration).registerOverrideIdentifiers(this.getRegisteredLanguageIds()),this._onDidChange.fire()}_registerLanguage(e){const i=e.id;let n;l0e.call(this._languages,i)?n=this._languages[i]:(this.languageIdCodec.register(i),n={identifier:i,name:null,mimetypes:[],aliases:[],extensions:[],filenames:[],configurationFiles:[],icons:[]},this._languages[i]=n),this._mergeLanguage(n,e)}_mergeLanguage(e,i){const n=i.id;let s=null;if(Array.isArray(i.mimetypes)&&i.mimetypes.length>0&&(e.mimetypes.push(...i.mimetypes),s=i.mimetypes[0]),s||(s=`text/x-${n}`,e.mimetypes.push(s)),Array.isArray(i.extensions)){i.configuration?e.extensions=i.extensions.concat(e.extensions):e.extensions=e.extensions.concat(i.extensions);for(const f of i.extensions)c0e({id:n,mime:s,extension:f},this._warnOnOverwrite)}if(Array.isArray(i.filenames))for(const f of i.filenames)c0e({id:n,mime:s,filename:f},this._warnOnOverwrite),e.filenames.push(f);if(Array.isArray(i.filenamePatterns))for(const f of i.filenamePatterns)c0e({id:n,mime:s,filepattern:f},this._warnOnOverwrite);if(typeof i.firstLine=="string"&&i.firstLine.length>0){let f=i.firstLine;f.charAt(0)!=="^"&&(f="^"+f);try{const m=new RegExp(f);Gli(m)||c0e({id:n,mime:s,firstline:m},this._warnOnOverwrite)}catch(m){console.warn(`[${i.id}]: Invalid regular expression \`${f}\`: `,m)}}e.aliases.push(n);let c=null;if(typeof i.aliases<"u"&&Array.isArray(i.aliases)&&(i.aliases.length===0?c=[null]:c=i.aliases),c!==null)for(const f of c)!f||f.length===0||e.aliases.push(f);const d=c!==null&&c.length>0;if(!(d&&c[0]===null)){const f=(d?c[0]:null)||n;(d||!e.name)&&(e.name=f)}i.configuration&&e.configurationFiles.push(i.configuration),i.icon&&e.icons.push(i.icon)}isRegisteredLanguageId(e){return e?l0e.call(this._languages,e):!1}getRegisteredLanguageIds(){return Object.keys(this._languages)}getLanguageIdByLanguageName(e){const i=e.toLowerCase();return l0e.call(this._lowercaseNameMap,i)?this._lowercaseNameMap[i]:null}getLanguageIdByMimeType(e){return e&&l0e.call(this._mimeTypesMap,e)?this._mimeTypesMap[e]:null}guessLanguageIdByFilepathOrFirstLine(e,i){return!e&&!i?[]:Q0i(e,i)}};hne.instanceCount=0;let OVe=hne;const Ew=(l,e)=>l===e;function Fye(l=Ew){return(e,i)=>Lf(e,i,l)}function t1i(){return(l,e)=>l.equals(e)}function MVe(l,e,i){if(i!==void 0){const n=l;return n==null||e===void 0||e===null?e===n:i(n,e)}else{const n=l;return(s,c)=>s==null||c===void 0||c===null?c===s:n(s,c)}}function Bye(l,e){if(l===e)return!0;if(Array.isArray(l)&&Array.isArray(e)){if(l.length!==e.length)return!1;for(let i=0;i<l.length;i++)if(!Bye(l[i],e[i]))return!1;return!0}if(l&&typeof l=="object"&&e&&typeof e=="object"&&Object.getPrototypeOf(l)===Object.prototype&&Object.getPrototypeOf(e)===Object.prototype){const i=l,n=e,s=Object.keys(i),c=Object.keys(n),d=new Set(c);if(s.length!==c.length)return!1;for(const f of s)if(!d.has(f)||!Bye(i[f],n[f]))return!1;return!0}return!1}class v1{constructor(e,i,n){this.owner=e,this.debugNameSource=i,this.referenceFn=n}getDebugName(e){return i1i(e,this)}}const rCt=new Map,RVe=new WeakMap;function i1i(l,e){const i=RVe.get(l);if(i)return i;const n=n1i(l,e);if(n){let s=rCt.get(n)??0;s++,rCt.set(n,s);const c=s===1?n:`${n}#${s}`;return RVe.set(l,c),c}}function n1i(l,e){const i=RVe.get(l);if(i)return i;const n=e.owner?s1i(e.owner)+".":"";let s;const c=e.debugNameSource;if(c!==void 0)if(typeof c=="function"){if(s=c(),s!==void 0)return n+s}else return n+c;const d=e.referenceFn;if(d!==void 0&&(s=nJe(d),s!==void 0))return n+s;if(e.owner!==void 0){const f=r1i(e.owner,l);if(f!==void 0)return n+f}}function r1i(l,e){for(const i in l)if(l[i]===e)return i}const sCt=new Map,oCt=new WeakMap;function s1i(l){const e=oCt.get(l);if(e)return e;const i=o1i(l);let n=sCt.get(i)??0;n++,sCt.set(i,n);const s=n===1?i:`${i}#${n}`;return oCt.set(l,s),s}function o1i(l){const e=l.constructor;return e?e.name:"Object"}function nJe(l){const e=l.toString(),n=/\/\*\*\s*@description\s*([^*]*)\*\//.exec(e),s=n?n[1]:void 0;return s==null?void 0:s.trim()}let a1i;function yDt(){return a1i}let vDt;function c1i(l){vDt=l}let bDt;function l1i(l){bDt=l}let FVe;function u1i(l){FVe=l}class CDt{get TChange(){return null}reportChanges(){this.get()}read(e){return e?e.readObservable(this):this.get()}map(e,i){const n=i===void 0?void 0:e,s=i===void 0?e:i;return FVe({owner:n,debugName:()=>{const c=nJe(s);if(c!==void 0)return c;const f=/^\s*\(?\s*([a-zA-Z_$][a-zA-Z_$0-9]*)\s*\)?\s*=>\s*\1(?:\??)\.([a-zA-Z_$][a-zA-Z_$0-9]*)\s*$/.exec(s.toString());if(f)return`${this.debugName}.${f[2]}`;if(!n)return`${this.debugName} (mapped)`},debugReferenceFn:s},c=>s(this.read(c),c))}flatten(){return FVe({owner:void 0,debugName:()=>`${this.debugName} (flattened)`},e=>this.read(e).read(e))}recomputeInitiallyAndOnChange(e,i){return e.add(vDt(this,i)),this}keepObserved(e){return e.add(bDt(this)),this}}class SJ extends CDt{constructor(){super(...arguments),this.observers=new Set}addObserver(e){const i=this.observers.size;this.observers.add(e),i===0&&this.onFirstObserverAdded()}removeObserver(e){this.observers.delete(e)&&this.observers.size===0&&this.onLastObserverRemoved()}onFirstObserverAdded(){}onLastObserverRemoved(){}}function th(l,e){const i=new wJ(l,e);try{l(i)}finally{i.finish()}}let u0e;function sie(l){if(u0e)l(u0e);else{const e=new wJ(l,void 0);u0e=e;try{l(e)}finally{e.finish(),u0e=void 0}}}async function SDt(l,e){const i=new wJ(l,e);try{await l(i)}finally{i.finish()}}function PB(l,e,i){l?e(l):th(e,i)}class wJ{constructor(e,i){this._fn=e,this._getDebugName=i,this.updatingObservers=[]}getDebugName(){return this._getDebugName?this._getDebugName():nJe(this._fn)}updateObserver(e,i){this.updatingObservers.push({observer:e,observable:i}),e.beginUpdate(i)}finish(){const e=this.updatingObservers;for(let i=0;i<e.length;i++){const{observer:n,observable:s}=e[i];n.endUpdate(s)}this.updatingObservers=null}}function bc(l,e){let i;return typeof l=="string"?i=new v1(void 0,l,void 0):i=new v1(l,void 0,void 0),new rJe(i,e,Ew)}class rJe extends SJ{get debugName(){return this._debugNameData.getDebugName(this)??"ObservableValue"}constructor(e,i,n){super(),this._debugNameData=e,this._equalityComparator=n,this._value=i}get(){return this._value}set(e,i,n){var c;if(n===void 0&&this._equalityComparator(this._value,e))return;let s;i||(i=s=new wJ(()=>{},()=>`Setting ${this.debugName}`));try{const d=this._value;this._setValue(e),(c=yDt())==null||c.handleObservableChanged(this,{oldValue:d,newValue:e,change:n,didChange:!0,hadValue:!0});for(const f of this.observers)i.updateObserver(f,this),f.handleChange(this,n)}finally{s&&s.finish()}}toString(){return`${this.debugName}: ${this._value}`}_setValue(e){this._value=e}}function sre(l,e){let i;return typeof l=="string"?i=new v1(void 0,l,void 0):i=new v1(l,void 0,void 0),new d1i(i,e,Ew)}class d1i extends rJe{_setValue(e){this._value!==e&&(this._value&&this._value.dispose(),this._value=e)}dispose(){var e;(e=this._value)==null||e.dispose()}}function ro(l,e){return e!==void 0?new AB(new v1(l,void 0,e),e,void 0,void 0,void 0,Ew):new AB(new v1(void 0,void 0,l),l,void 0,void 0,void 0,Ew)}function xse(l,e,i){return new f1i(new v1(l,void 0,e),e,void 0,void 0,void 0,Ew,i)}function mw(l,e){return new AB(new v1(l.owner,l.debugName,l.debugReferenceFn),e,void 0,void 0,l.onLastObserverRemoved,l.equalsFn??Ew)}u1i(mw);function wDt(l,e){return new AB(new v1(l.owner,l.debugName,void 0),e,l.createEmptyChangeSummary,l.handleChange,void 0,l.equalityComparer??Ew)}function VR(l,e){let i,n;e===void 0?(i=l,n=void 0):(n=l,i=e);const s=new wn;return new AB(new v1(n,void 0,i),c=>(s.clear(),i(c,s)),void 0,void 0,()=>s.dispose(),Ew)}function Cy(l,e){let i,n;e===void 0?(i=l,n=void 0):(n=l,i=e);let s;return new AB(new v1(n,void 0,i),c=>{s?s.clear():s=new wn;const d=i(c);return d&&s.add(d),d},void 0,void 0,()=>{s&&(s.dispose(),s=void 0)},Ew)}class AB extends SJ{get debugName(){return this._debugNameData.getDebugName(this)??"(anonymous)"}constructor(e,i,n,s,c=void 0,d){var f;super(),this._debugNameData=e,this._computeFn=i,this.createChangeSummary=n,this._handleChange=s,this._handleLastObserverRemoved=c,this._equalityComparator=d,this.state=0,this.value=void 0,this.updateCount=0,this.dependencies=new Set,this.dependenciesToBeRemoved=new Set,this.changeSummary=void 0,this.changeSummary=(f=this.createChangeSummary)==null?void 0:f.call(this)}onLastObserverRemoved(){var e;this.state=0,this.value=void 0;for(const i of this.dependencies)i.removeObserver(this);this.dependencies.clear(),(e=this._handleLastObserverRemoved)==null||e.call(this)}get(){var e;if(this.observers.size===0){const i=this._computeFn(this,(e=this.createChangeSummary)==null?void 0:e.call(this));return this.onLastObserverRemoved(),i}else{do{if(this.state===1){for(const i of this.dependencies)if(i.reportChanges(),this.state===2)break}this.state===1&&(this.state=3),this._recomputeIfNeeded()}while(this.state!==3);return this.value}}_recomputeIfNeeded(){var d;if(this.state===3)return;const e=this.dependenciesToBeRemoved;this.dependenciesToBeRemoved=this.dependencies,this.dependencies=e;const i=this.state!==0,n=this.value;this.state=3;const s=this.changeSummary;this.changeSummary=(d=this.createChangeSummary)==null?void 0:d.call(this);try{this.value=this._computeFn(this,s)}finally{for(const f of this.dependenciesToBeRemoved)f.removeObserver(this);this.dependenciesToBeRemoved.clear()}if(i&&!this._equalityComparator(n,this.value))for(const f of this.observers)f.handleChange(this,void 0)}toString(){return`LazyDerived<${this.debugName}>`}beginUpdate(e){this.updateCount++;const i=this.updateCount===1;if(this.state===3&&(this.state=1,!i))for(const n of this.observers)n.handlePossibleChange(this);if(i)for(const n of this.observers)n.beginUpdate(this)}endUpdate(e){if(this.updateCount--,this.updateCount===0){const i=[...this.observers];for(const n of i)n.endUpdate(this)}DB(()=>this.updateCount>=0)}handlePossibleChange(e){if(this.state===3&&this.dependencies.has(e)&&!this.dependenciesToBeRemoved.has(e)){this.state=1;for(const i of this.observers)i.handlePossibleChange(this)}}handleChange(e,i){if(this.dependencies.has(e)&&!this.dependenciesToBeRemoved.has(e)){const n=this._handleChange?this._handleChange({changedObservable:e,change:i,didChange:c=>c===e},this.changeSummary):!0,s=this.state===3;if(n&&(this.state===1||s)&&(this.state=2,s))for(const c of this.observers)c.handlePossibleChange(this)}}readObservable(e){e.addObserver(this);const i=e.get();return this.dependencies.add(e),this.dependenciesToBeRemoved.delete(e),i}addObserver(e){const i=!this.observers.has(e)&&this.updateCount>0;super.addObserver(e),i&&e.beginUpdate(this)}removeObserver(e){const i=this.observers.has(e)&&this.updateCount>0;super.removeObserver(e),i&&e.endUpdate(this)}}class f1i extends AB{constructor(e,i,n,s,c=void 0,d,f){super(e,i,n,s,c,d),this.set=f}}function ba(l){return new pwe(new v1(void 0,void 0,l),l,void 0,void 0)}function kse(l,e){return new pwe(new v1(l.owner,l.debugName,l.debugReferenceFn??e),e,void 0,void 0)}function Tse(l,e){return new pwe(new v1(l.owner,l.debugName,l.debugReferenceFn??e),e,l.createEmptyChangeSummary,l.handleChange)}function h1i(l,e){const i=new wn,n=Tse({owner:l.owner,debugName:l.debugName,debugReferenceFn:l.debugReferenceFn??e,createEmptyChangeSummary:l.createEmptyChangeSummary,handleChange:l.handleChange},(s,c)=>{i.clear(),e(s,c,i)});return fo(()=>{n.dispose(),i.dispose()})}function Ib(l){const e=new wn,i=kse({owner:void 0,debugName:void 0,debugReferenceFn:l},n=>{e.clear(),l(n,e)});return fo(()=>{i.dispose(),e.dispose()})}class pwe{get debugName(){return this._debugNameData.getDebugName(this)??"(anonymous)"}constructor(e,i,n,s){var c;this._debugNameData=e,this._runFn=i,this.createChangeSummary=n,this._handleChange=s,this.state=2,this.updateCount=0,this.disposed=!1,this.dependencies=new Set,this.dependenciesToBeRemoved=new Set,this.changeSummary=(c=this.createChangeSummary)==null?void 0:c.call(this),this._runIfNeeded()}dispose(){this.disposed=!0;for(const e of this.dependencies)e.removeObserver(this);this.dependencies.clear()}_runIfNeeded(){var n,s;if(this.state===3)return;const e=this.dependenciesToBeRemoved;this.dependenciesToBeRemoved=this.dependencies,this.dependencies=e,this.state=3;const i=this.disposed;try{if(!i){(n=yDt())==null||n.handleAutorunTriggered(this);const c=this.changeSummary;this.changeSummary=(s=this.createChangeSummary)==null?void 0:s.call(this),this._runFn(this,c)}}finally{for(const c of this.dependenciesToBeRemoved)c.removeObserver(this);this.dependenciesToBeRemoved.clear()}}toString(){return`Autorun<${this.debugName}>`}beginUpdate(){this.state===3&&(this.state=1),this.updateCount++}endUpdate(){if(this.updateCount===1)do{if(this.state===1){this.state=3;for(const e of this.dependencies)if(e.reportChanges(),this.state===2)break}this._runIfNeeded()}while(this.state!==3);this.updateCount--,DB(()=>this.updateCount>=0)}handlePossibleChange(e){this.state===3&&this.dependencies.has(e)&&!this.dependenciesToBeRemoved.has(e)&&(this.state=1)}handleChange(e,i){this.dependencies.has(e)&&!this.dependenciesToBeRemoved.has(e)&&(!this._handleChange||this._handleChange({changedObservable:e,change:i,didChange:s=>s===e},this.changeSummary))&&(this.state=2)}readObservable(e){if(this.disposed)return e.get();e.addObserver(this);const i=e.get();return this.dependencies.add(e),this.dependenciesToBeRemoved.delete(e),i}}(function(l){l.Observer=pwe})(ba||(ba={}));function mk(l){return new _1i(l)}class _1i extends CDt{constructor(e){super(),this.value=e}get debugName(){return this.toString()}get(){return this.value}addObserver(e){}removeObserver(e){}toString(){return`Const: ${this.value}`}}function Tu(...l){let e,i,n;return l.length===3?[e,i,n]=l:[i,n]=l,new RM(new v1(e,void 0,n),i,n,()=>RM.globalTransaction,Ew)}function p1i(l,e,i){return new RM(new v1(l.owner,l.debugName,l.debugReferenceFn??i),e,i,()=>RM.globalTransaction,l.equalsFn??Ew)}class RM extends SJ{constructor(e,i,n,s,c){super(),this._debugNameData=e,this.event=i,this._getValue=n,this._getTransaction=s,this._equalityComparator=c,this.hasValue=!1,this.handleEvent=d=>{const f=this._getValue(d),m=this.value;(!this.hasValue||!this._equalityComparator(m,f))&&(this.value=f,this.hasValue&&PB(this._getTransaction(),x=>{for(const w of this.observers)x.updateObserver(w,this),w.handleChange(this,void 0)},()=>{const x=this.getDebugName();return"Event fired"+(x?`: ${x}`:"")}),this.hasValue=!0)}}getDebugName(){return this._debugNameData.getDebugName(this)}get debugName(){const e=this.getDebugName();return"From Event"+(e?`: ${e}`:"")}onFirstObserverAdded(){this.subscription=this.event(this.handleEvent)}onLastObserverRemoved(){this.subscription.dispose(),this.subscription=void 0,this.hasValue=!1,this.value=void 0}get(){return this.subscription?(this.hasValue||this.handleEvent(void 0),this.value):this._getValue(void 0)}}(function(l){l.Observer=RM;function e(i,n){let s=!1;RM.globalTransaction===void 0&&(RM.globalTransaction=i,s=!0);try{n()}finally{s&&(RM.globalTransaction=void 0)}}l.batchEventsGlobally=e})(Tu||(Tu={}));function k0(l,e){return new m1i(l,e)}class m1i extends SJ{constructor(e,i){super(),this.debugName=e,this.event=i,this.handleEvent=()=>{th(n=>{for(const s of this.observers)n.updateObserver(s,this),s.handleChange(this,void 0)},()=>this.debugName)}}onFirstObserverAdded(){this.subscription=this.event(this.handleEvent)}onLastObserverRemoved(){this.subscription.dispose(),this.subscription=void 0}get(){}}function xJ(l){return typeof l=="string"?new aCt(l):new aCt(void 0,l)}class aCt extends SJ{get debugName(){return new v1(this._owner,this._debugName,void 0).getDebugName(this)??"Observable Signal"}toString(){return this.debugName}constructor(e,i){super(),this._debugName=e,this._owner=i}trigger(e,i){if(!e){th(n=>{this.trigger(n,i)},()=>`Trigger signal ${this.debugName}`);return}for(const n of this.observers)e.updateObserver(n,this),n.handleChange(this,i)}get(){}}function g1i(l){const e=new xDt(!1,void 0);return l.addObserver(e),fo(()=>{l.removeObserver(e)})}l1i(g1i);function kJ(l,e){const i=new xDt(!0,e);return l.addObserver(i),e?e(l.get()):l.reportChanges(),fo(()=>{l.removeObserver(i)})}c1i(kJ);class xDt{constructor(e,i){this._forceRecompute=e,this._handleValue=i,this._counter=0}beginUpdate(e){this._counter++}endUpdate(e){this._counter--,this._counter===0&&this._forceRecompute&&(this._handleValue?this._handleValue(e.get()):e.reportChanges())}handlePossibleChange(e){}handleChange(e,i){}}function Dse(l,e){let i;return mw({owner:l,debugReferenceFn:e},s=>(i=e(s,i),i))}function y1i(l,e,i,n){let s=new cCt(i,n);return mw({debugReferenceFn:i,owner:l,onLastObserverRemoved:()=>{s.dispose(),s=new cCt(i)}},d=>(s.setItems(e.read(d)),s.getItems()))}class cCt{constructor(e,i){this._map=e,this._keySelector=i,this._cache=new Map,this._items=[]}dispose(){this._cache.forEach(e=>e.store.dispose()),this._cache.clear()}setItems(e){const i=[],n=new Set(this._cache.keys());for(const s of e){const c=this._keySelector?this._keySelector(s):s;let d=this._cache.get(c);if(d)n.delete(c);else{const f=new wn;d={out:this._map(s,f),store:f},this._cache.set(c,d)}i.push(d.out)}for(const s of n)this._cache.get(s).store.dispose(),this._cache.delete(s);this._items=i}getItems(){return this._items}}function v1i(l,e){return Dse(l,(i,n)=>n??e(i))}class mwe{static fromFn(e){return new mwe(e())}constructor(e){this._value=bc(this,void 0),this.promiseResult=this._value,this.promise=e.then(i=>(th(n=>{this._value.set(new lCt(i,void 0),n)}),i),i=>{throw th(n=>{this._value.set(new lCt(void 0,i),n)}),i})}}class lCt{constructor(e,i){this.data=e,this.error=i}}function kDt(l,e,i,n){return e||(e=s=>s!=null),new Promise((s,c)=>{let d=!0,f=!1;const m=l.map(x=>({isFinished:e(x),error:i?i(x):!1,state:x})),v=ba(x=>{const{isFinished:w,error:I,state:P}=m.read(x);(w||I)&&(d?f=!0:v.dispose(),I?c(I===!0?P:I):s(P))});if(n){const x=n.onCancellationRequested(()=>{v.dispose(),x.dispose(),c(new vT)});if(n.isCancellationRequested){v.dispose(),x.dispose(),c(new vT);return}}d=!1,f&&v.dispose()})}class b1i extends SJ{get debugName(){return this._debugNameData.getDebugName(this)??"LazyObservableValue"}constructor(e,i,n){super(),this._debugNameData=e,this._equalityComparator=n,this._isUpToDate=!0,this._deltas=[],this._updateCounter=0,this._value=i}get(){return this._update(),this._value}_update(){if(!this._isUpToDate)if(this._isUpToDate=!0,this._deltas.length>0){for(const e of this.observers)for(const i of this._deltas)e.handleChange(this,i);this._deltas.length=0}else for(const e of this.observers)e.handleChange(this,void 0)}_beginUpdate(){if(this._updateCounter++,this._updateCounter===1)for(const e of this.observers)e.beginUpdate(this)}_endUpdate(){if(this._updateCounter--,this._updateCounter===0){this._update();const e=[...this.observers];for(const i of e)i.endUpdate(this)}}addObserver(e){const i=!this.observers.has(e)&&this._updateCounter>0;super.addObserver(e),i&&e.beginUpdate(this)}removeObserver(e){const i=this.observers.has(e)&&this._updateCounter>0;super.removeObserver(e),i&&e.endUpdate(this)}set(e,i,n){if(n===void 0&&this._equalityComparator(this._value,e))return;let s;i||(i=s=new wJ(()=>{},()=>`Setting ${this.debugName}`));try{if(this._isUpToDate=!1,this._setValue(e),n!==void 0&&this._deltas.push(n),i.updateObserver({beginUpdate:()=>this._beginUpdate(),endUpdate:()=>this._endUpdate(),handleChange:(c,d)=>{},handlePossibleChange:c=>{}},this),this._updateCounter>1)for(const c of this.observers)c.handlePossibleChange(this)}finally{s&&s.finish()}}toString(){return`${this.debugName}: ${this._value}`}_setValue(e){this._value=e}}function BVe(l,e){return l.lazy?new b1i(new v1(l.owner,l.debugName,void 0),e,l.equalsFn??Ew):new rJe(new v1(l.owner,l.debugName,void 0),e,l.equalsFn??Ew)}const _ne=class _ne extends xi{constructor(e=!1){super(),this._onDidRequestBasicLanguageFeatures=this._register(new gi),this.onDidRequestBasicLanguageFeatures=this._onDidRequestBasicLanguageFeatures.event,this._onDidRequestRichLanguageFeatures=this._register(new gi),this.onDidRequestRichLanguageFeatures=this._onDidRequestRichLanguageFeatures.event,this._onDidChange=this._register(new gi({leakWarningThreshold:200})),this.onDidChange=this._onDidChange.event,this._requestedBasicLanguages=new Set,this._requestedRichLanguages=new Set,_ne.instanceCount++,this._registry=this._register(new OVe(!0,e)),this.languageIdCodec=this._registry.languageIdCodec,this._register(this._registry.onDidChange(()=>this._onDidChange.fire()))}dispose(){_ne.instanceCount--,super.dispose()}isRegisteredLanguageId(e){return this._registry.isRegisteredLanguageId(e)}getLanguageIdByLanguageName(e){return this._registry.getLanguageIdByLanguageName(e)}getLanguageIdByMimeType(e){return this._registry.getLanguageIdByMimeType(e)}guessLanguageIdByFilepathOrFirstLine(e,i){const n=this._registry.guessLanguageIdByFilepathOrFirstLine(e,i);return Wqe(n,null)}createById(e){return new uCt(this.onDidChange,()=>this._createAndGetLanguageIdentifier(e))}createByFilepathOrFirstLine(e,i){return new uCt(this.onDidChange,()=>{const n=this.guessLanguageIdByFilepathOrFirstLine(e,i);return this._createAndGetLanguageIdentifier(n)})}_createAndGetLanguageIdentifier(e){return(!e||!this.isRegisteredLanguageId(e))&&(e=bv),e}requestBasicLanguageFeatures(e){this._requestedBasicLanguages.has(e)||(this._requestedBasicLanguages.add(e),this._onDidRequestBasicLanguageFeatures.fire(e))}requestRichLanguageFeatures(e){this._requestedRichLanguages.has(e)||(this._requestedRichLanguages.add(e),this.requestBasicLanguageFeatures(e),Oh.getOrCreate(e),this._onDidRequestRichLanguageFeatures.fire(e))}};_ne.instanceCount=0;let WVe=_ne;class uCt{constructor(e,i){this._value=Tu(this,e,()=>i()),this.onDidChange=Tr.fromObservable(this._value)}get languageId(){return this._value.get()}}const ore={RESOURCES:"ResourceURLs",DOWNLOAD_URL:"DownloadURL",FILES:"Files",TEXT:Yh.text,INTERNAL_URI_LIST:"application/vnd.code.uri-list"},C1i=()=>({get delay(){return-1},dispose:()=>{},showHover:()=>{}});let gwe=C1i;const S1i=new HE(()=>gwe("mouse",!1)),w1i=new HE(()=>gwe("element",!1));function x1i(l){gwe=l}function Dv(l){return l==="element"?w1i.value:S1i.value}function W$(){return gwe("element",!0)}let TDt={showHover:()=>{},hideHover:()=>{},showAndFocusLastHover:()=>{},setupManagedHover:()=>null,showManagedHover:()=>{}};function k1i(l){TDt=l}function QE(){return TDt}class T1i{constructor(e){this.spliceables=e}splice(e,i,n){this.spliceables.forEach(s=>s.splice(e,i,n))}}class pF extends Error{constructor(e,i){super(`ListError [${e}] ${i}`)}}function dCt(l,e){const i=[];for(const n of e){if(l.start>=n.range.end)continue;if(l.end<n.range.start)break;const s=h1.intersect(l,n.range);h1.isEmpty(s)||i.push({range:s,size:n.size})}return i}function VVe({start:l,end:e},i){return{start:l+i,end:e+i}}function D1i(l){const e=[];let i=null;for(const n of l){const s=n.range.start,c=n.range.end,d=n.size;if(i&&d===i.size){i.range.end=c;continue}i={range:{start:s,end:c},size:d},e.push(i)}return e}function E1i(...l){return D1i(l.reduce((e,i)=>e.concat(i),[]))}class I1i{get paddingTop(){return this._paddingTop}set paddingTop(e){this._size=this._size+e-this._paddingTop,this._paddingTop=e}constructor(e){this.groups=[],this._size=0,this._paddingTop=0,this._paddingTop=e??0,this._size=this._paddingTop}splice(e,i,n=[]){const s=n.length-i,c=dCt({start:0,end:e},this.groups),d=dCt({start:e+i,end:Number.POSITIVE_INFINITY},this.groups).map(m=>({range:VVe(m.range,s),size:m.size})),f=n.map((m,v)=>({range:{start:e+v,end:e+v+1},size:m.size}));this.groups=E1i(c,f,d),this._size=this._paddingTop+this.groups.reduce((m,v)=>m+v.size*(v.range.end-v.range.start),0)}get count(){const e=this.groups.length;return e?this.groups[e-1].range.end:0}get size(){return this._size}indexAt(e){if(e<0)return-1;if(e<this._paddingTop)return 0;let i=0,n=this._paddingTop;for(const s of this.groups){const c=s.range.end-s.range.start,d=n+c*s.size;if(e<d)return i+Math.floor((e-n)/s.size);i+=c,n=d}return i}indexAfter(e){return Math.min(this.indexAt(e)+1,this.count)}positionAt(e){if(e<0)return-1;let i=0,n=0;for(const s of this.groups){const c=s.range.end-s.range.start,d=n+c;if(e<d)return this._paddingTop+i+(e-n)*s.size;i+=c*s.size,n=d}return-1}}class N1i{constructor(e){this.renderers=e,this.cache=new Map,this.transactionNodesPendingRemoval=new Set,this.inTransaction=!1}alloc(e){let i=this.getTemplateCache(e).pop(),n=!1;if(i)n=this.transactionNodesPendingRemoval.has(i.domNode),n&&this.transactionNodesPendingRemoval.delete(i.domNode);else{const s=Cr(".monaco-list-row"),d=this.getRenderer(e).renderTemplate(s);i={domNode:s,templateId:e,templateData:d}}return{row:i,isReusingConnectedDomNode:n}}release(e){e&&this.releaseRow(e)}transact(e){if(this.inTransaction)throw new Error("Already in transaction");this.inTransaction=!0;try{e()}finally{for(const i of this.transactionNodesPendingRemoval)this.doRemoveNode(i);this.transactionNodesPendingRemoval.clear(),this.inTransaction=!1}}releaseRow(e){const{domNode:i,templateId:n}=e;i&&(this.inTransaction?this.transactionNodesPendingRemoval.add(i):this.doRemoveNode(i)),this.getTemplateCache(n).push(e)}doRemoveNode(e){e.classList.remove("scrolling"),e.remove()}getTemplateCache(e){let i=this.cache.get(e);return i||(i=[],this.cache.set(e,i)),i}dispose(){this.cache.forEach((e,i)=>{for(const n of e)this.getRenderer(i).disposeTemplate(n.templateData),n.templateData=null}),this.cache.clear(),this.transactionNodesPendingRemoval.clear()}getRenderer(e){const i=this.renderers.get(e);if(!i)throw new Error(`No renderer found for ${e}`);return i}}var C6=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c};const mF={CurrentDragAndDropData:void 0},$N={useShadows:!0,verticalScrollMode:1,setRowLineHeight:!0,setRowHeight:!0,supportDynamicHeights:!1,dnd:{getDragElements(l){return[l]},getDragURI(){return null},onDragStart(){},onDragOver(){return!1},drop(){},dispose(){}},horizontalScrolling:!1,transformOptimization:!0,alwaysConsumeMouseWheel:!0};class Ese{constructor(e){this.elements=e}update(){}getData(){return this.elements}}class L1i{constructor(e){this.elements=e}update(){}getData(){return this.elements}}class P1i{constructor(){this.types=[],this.files=[]}update(e){if(e.types&&this.types.splice(0,this.types.length,...e.types),e.files){this.files.splice(0,this.files.length);for(let i=0;i<e.files.length;i++){const n=e.files.item(i);n&&(n.size||n.type)&&this.files.push(n)}}}getData(){return{types:this.types,files:this.files}}}function A1i(l,e){return Array.isArray(l)&&Array.isArray(e)?Lf(l,e):l===e}class O1i{constructor(e){e!=null&&e.getSetSize?this.getSetSize=e.getSetSize.bind(e):this.getSetSize=(i,n,s)=>s,e!=null&&e.getPosInSet?this.getPosInSet=e.getPosInSet.bind(e):this.getPosInSet=(i,n)=>n+1,e!=null&&e.getRole?this.getRole=e.getRole.bind(e):this.getRole=i=>"listitem",e!=null&&e.isChecked?this.isChecked=e.isChecked.bind(e):this.isChecked=i=>{}}}const sCe=class sCe{get contentHeight(){return this.rangeMap.size}get onDidScroll(){return this.scrollableElement.onScroll}get scrollableElementDomNode(){return this.scrollableElement.getDomNode()}get horizontalScrolling(){return this._horizontalScrolling}set horizontalScrolling(e){if(e!==this._horizontalScrolling){if(e&&this.supportDynamicHeights)throw new Error("Horizontal scrolling and dynamic heights not supported simultaneously");if(this._horizontalScrolling=e,this.domNode.classList.toggle("horizontal-scrolling",this._horizontalScrolling),this._horizontalScrolling){for(const i of this.items)this.measureItemWidth(i);this.updateScrollWidth(),this.scrollableElement.setScrollDimensions({width:uFe(this.domNode)}),this.rowsContainer.style.width=`${Math.max(this.scrollWidth||0,this.renderWidth)}px`}else this.scrollableElementWidthDelayer.cancel(),this.scrollableElement.setScrollDimensions({width:this.renderWidth,scrollWidth:this.renderWidth}),this.rowsContainer.style.width=""}}constructor(e,i,n,s=$N){var d,f;if(this.virtualDelegate=i,this.domId=`list_id_${++sCe.InstanceCount}`,this.renderers=new Map,this.renderWidth=0,this._scrollHeight=0,this.scrollableElementUpdateDisposable=null,this.scrollableElementWidthDelayer=new Ck(50),this.splicing=!1,this.dragOverAnimationStopDisposable=xi.None,this.dragOverMouseY=0,this.canDrop=!1,this.currentDragFeedbackDisposable=xi.None,this.onDragLeaveTimeout=xi.None,this.disposables=new wn,this._onDidChangeContentHeight=new gi,this._onDidChangeContentWidth=new gi,this.onDidChangeContentHeight=Tr.latch(this._onDidChangeContentHeight.event,void 0,this.disposables),this._horizontalScrolling=!1,s.horizontalScrolling&&s.supportDynamicHeights)throw new Error("Horizontal scrolling and dynamic heights not supported simultaneously");this.items=[],this.itemId=0,this.rangeMap=this.createRangeMap(s.paddingTop??0);for(const m of n)this.renderers.set(m.templateId,m);this.cache=this.disposables.add(new N1i(this.renderers)),this.lastRenderTop=0,this.lastRenderHeight=0,this.domNode=document.createElement("div"),this.domNode.className="monaco-list",this.domNode.classList.add(this.domId),this.domNode.tabIndex=0,this.domNode.classList.toggle("mouse-support",typeof s.mouseSupport=="boolean"?s.mouseSupport:!0),this._horizontalScrolling=s.horizontalScrolling??$N.horizontalScrolling,this.domNode.classList.toggle("horizontal-scrolling",this._horizontalScrolling),this.paddingBottom=typeof s.paddingBottom>"u"?0:s.paddingBottom,this.accessibilityProvider=new O1i(s.accessibilityProvider),this.rowsContainer=document.createElement("div"),this.rowsContainer.className="monaco-list-rows",(s.transformOptimization??$N.transformOptimization)&&(this.rowsContainer.style.transform="translate3d(0px, 0px, 0px)",this.rowsContainer.style.overflow="hidden",this.rowsContainer.style.contain="strict"),this.disposables.add(y1.addTarget(this.rowsContainer)),this.scrollable=this.disposables.add(new CJ({forceIntegerValues:!0,smoothScrollDuration:s.smoothScrolling??!1?125:0,scheduleAtNextAnimationFrame:m=>ZC(Eo(this.domNode),m)})),this.scrollableElement=this.disposables.add(new owe(this.rowsContainer,{alwaysConsumeMouseWheel:s.alwaysConsumeMouseWheel??$N.alwaysConsumeMouseWheel,horizontal:1,vertical:s.verticalScrollMode??$N.verticalScrollMode,useShadows:s.useShadows??$N.useShadows,mouseWheelScrollSensitivity:s.mouseWheelScrollSensitivity,fastScrollSensitivity:s.fastScrollSensitivity,scrollByPage:s.scrollByPage},this.scrollable)),this.domNode.appendChild(this.scrollableElement.getDomNode()),e.appendChild(this.domNode),this.scrollableElement.onScroll(this.onScroll,this,this.disposables),this.disposables.add(en(this.rowsContainer,Ud.Change,m=>this.onTouchChange(m))),this.disposables.add(en(this.scrollableElement.getDomNode(),"scroll",m=>m.target.scrollTop=0)),this.disposables.add(en(this.domNode,"dragover",m=>this.onDragOver(this.toDragEvent(m)))),this.disposables.add(en(this.domNode,"drop",m=>this.onDrop(this.toDragEvent(m)))),this.disposables.add(en(this.domNode,"dragleave",m=>this.onDragLeave(this.toDragEvent(m)))),this.disposables.add(en(this.domNode,"dragend",m=>this.onDragEnd(m))),this.setRowLineHeight=s.setRowLineHeight??$N.setRowLineHeight,this.setRowHeight=s.setRowHeight??$N.setRowHeight,this.supportDynamicHeights=s.supportDynamicHeights??$N.supportDynamicHeights,this.dnd=s.dnd??this.disposables.add($N.dnd),this.layout((d=s.initialSize)==null?void 0:d.height,(f=s.initialSize)==null?void 0:f.width)}updateOptions(e){e.paddingBottom!==void 0&&(this.paddingBottom=e.paddingBottom,this.scrollableElement.setScrollDimensions({scrollHeight:this.scrollHeight})),e.smoothScrolling!==void 0&&this.scrollable.setSmoothScrollDuration(e.smoothScrolling?125:0),e.horizontalScrolling!==void 0&&(this.horizontalScrolling=e.horizontalScrolling);let i;if(e.scrollByPage!==void 0&&(i={...i??{},scrollByPage:e.scrollByPage}),e.mouseWheelScrollSensitivity!==void 0&&(i={...i??{},mouseWheelScrollSensitivity:e.mouseWheelScrollSensitivity}),e.fastScrollSensitivity!==void 0&&(i={...i??{},fastScrollSensitivity:e.fastScrollSensitivity}),i&&this.scrollableElement.updateOptions(i),e.paddingTop!==void 0&&e.paddingTop!==this.rangeMap.paddingTop){const n=this.getRenderRange(this.lastRenderTop,this.lastRenderHeight),s=e.paddingTop-this.rangeMap.paddingTop;this.rangeMap.paddingTop=e.paddingTop,this.render(n,Math.max(0,this.lastRenderTop+s),this.lastRenderHeight,void 0,void 0,!0),this.setScrollTop(this.lastRenderTop),this.eventuallyUpdateScrollDimensions(),this.supportDynamicHeights&&this._rerender(this.lastRenderTop,this.lastRenderHeight)}}createRangeMap(e){return new I1i(e)}splice(e,i,n=[]){if(this.splicing)throw new Error("Can't run recursive splices.");this.splicing=!0;try{return this._splice(e,i,n)}finally{this.splicing=!1,this._onDidChangeContentHeight.fire(this.contentHeight)}}_splice(e,i,n=[]){const s=this.getRenderRange(this.lastRenderTop,this.lastRenderHeight),c={start:e,end:e+i},d=h1.intersect(s,c),f=new Map;for(let Ce=d.end-1;Ce>=d.start;Ce--){const Fe=this.items[Ce];if(Fe.dragStartDisposable.dispose(),Fe.checkedDisposable.dispose(),Fe.row){let rt=f.get(Fe.templateId);rt||(rt=[],f.set(Fe.templateId,rt));const ct=this.renderers.get(Fe.templateId);ct&&ct.disposeElement&&ct.disposeElement(Fe.element,Ce,Fe.row.templateData,Fe.size),rt.unshift(Fe.row)}Fe.row=null,Fe.stale=!0}const m={start:e+i,end:this.items.length},v=h1.intersect(m,s),x=h1.relativeComplement(m,s),w=n.map(Ce=>({id:String(this.itemId++),element:Ce,templateId:this.virtualDelegate.getTemplateId(Ce),size:this.virtualDelegate.getHeight(Ce),width:void 0,hasDynamicHeight:!!this.virtualDelegate.hasDynamicHeight&&this.virtualDelegate.hasDynamicHeight(Ce),lastDynamicHeightWidth:void 0,row:null,uri:void 0,dropTarget:!1,dragStartDisposable:xi.None,checkedDisposable:xi.None,stale:!1}));let I;e===0&&i>=this.items.length?(this.rangeMap=this.createRangeMap(this.rangeMap.paddingTop),this.rangeMap.splice(0,0,w),I=this.items,this.items=w):(this.rangeMap.splice(e,i,w),I=this.items.splice(e,i,...w));const P=n.length-i,O=this.getRenderRange(this.lastRenderTop,this.lastRenderHeight),z=VVe(v,P),J=h1.intersect(O,z);for(let Ce=J.start;Ce<J.end;Ce++)this.updateItemInDOM(this.items[Ce],Ce);const Y=h1.relativeComplement(z,O);for(const Ce of Y)for(let Fe=Ce.start;Fe<Ce.end;Fe++)this.removeItemFromDOM(Fe);const ae=x.map(Ce=>VVe(Ce,P)),ye=[{start:e,end:e+n.length},...ae].map(Ce=>h1.intersect(O,Ce)).reverse();for(const Ce of ye)for(let Fe=Ce.end-1;Fe>=Ce.start;Fe--){const rt=this.items[Fe],ct=f.get(rt.templateId),Mt=ct==null?void 0:ct.pop();this.insertItemInDOM(Fe,Mt)}for(const Ce of f.values())for(const Fe of Ce)this.cache.release(Fe);return this.eventuallyUpdateScrollDimensions(),this.supportDynamicHeights&&this._rerender(this.scrollTop,this.renderHeight),I.map(Ce=>Ce.element)}eventuallyUpdateScrollDimensions(){this._scrollHeight=this.contentHeight,this.rowsContainer.style.height=`${this._scrollHeight}px`,this.scrollableElementUpdateDisposable||(this.scrollableElementUpdateDisposable=ZC(Eo(this.domNode),()=>{this.scrollableElement.setScrollDimensions({scrollHeight:this.scrollHeight}),this.updateScrollWidth(),this.scrollableElementUpdateDisposable=null}))}eventuallyUpdateScrollWidth(){if(!this.horizontalScrolling){this.scrollableElementWidthDelayer.cancel();return}this.scrollableElementWidthDelayer.trigger(()=>this.updateScrollWidth())}updateScrollWidth(){if(!this.horizontalScrolling)return;let e=0;for(const i of this.items)typeof i.width<"u"&&(e=Math.max(e,i.width));this.scrollWidth=e,this.scrollableElement.setScrollDimensions({scrollWidth:e===0?0:e+10}),this._onDidChangeContentWidth.fire(this.scrollWidth)}rerender(){if(this.supportDynamicHeights){for(const e of this.items)e.lastDynamicHeightWidth=void 0;this._rerender(this.lastRenderTop,this.lastRenderHeight)}}get length(){return this.items.length}get renderHeight(){return this.scrollableElement.getScrollDimensions().height}get firstVisibleIndex(){return this.getRenderRange(this.lastRenderTop,this.lastRenderHeight).start}element(e){return this.items[e].element}indexOf(e){return this.items.findIndex(i=>i.element===e)}domElement(e){const i=this.items[e].row;return i&&i.domNode}elementHeight(e){return this.items[e].size}elementTop(e){return this.rangeMap.positionAt(e)}indexAt(e){return this.rangeMap.indexAt(e)}indexAfter(e){return this.rangeMap.indexAfter(e)}layout(e,i){const n={height:typeof e=="number"?e:rdi(this.domNode)};this.scrollableElementUpdateDisposable&&(this.scrollableElementUpdateDisposable.dispose(),this.scrollableElementUpdateDisposable=null,n.scrollHeight=this.scrollHeight),this.scrollableElement.setScrollDimensions(n),typeof i<"u"&&(this.renderWidth=i,this.supportDynamicHeights&&this._rerender(this.scrollTop,this.renderHeight)),this.horizontalScrolling&&this.scrollableElement.setScrollDimensions({width:typeof i=="number"?i:uFe(this.domNode)})}render(e,i,n,s,c,d=!1){const f=this.getRenderRange(i,n),m=h1.relativeComplement(f,e).reverse(),v=h1.relativeComplement(e,f);if(d){const x=h1.intersect(e,f);for(let w=x.start;w<x.end;w++)this.updateItemInDOM(this.items[w],w)}this.cache.transact(()=>{for(const x of v)for(let w=x.start;w<x.end;w++)this.removeItemFromDOM(w);for(const x of m)for(let w=x.end-1;w>=x.start;w--)this.insertItemInDOM(w)}),s!==void 0&&(this.rowsContainer.style.left=`-${s}px`),this.rowsContainer.style.top=`-${i}px`,this.horizontalScrolling&&c!==void 0&&(this.rowsContainer.style.width=`${Math.max(c,this.renderWidth)}px`),this.lastRenderTop=i,this.lastRenderHeight=n}insertItemInDOM(e,i){var m,v;const n=this.items[e];if(!n.row)if(i)n.row=i,n.stale=!0;else{const x=this.cache.alloc(n.templateId);n.row=x.row,n.stale||(n.stale=x.isReusingConnectedDomNode)}const s=this.accessibilityProvider.getRole(n.element)||"listitem";n.row.domNode.setAttribute("role",s);const c=this.accessibilityProvider.isChecked(n.element);if(typeof c=="boolean")n.row.domNode.setAttribute("aria-checked",String(!!c));else if(c){const x=w=>n.row.domNode.setAttribute("aria-checked",String(!!w));x(c.value),n.checkedDisposable=c.onDidChange(()=>x(c.value))}if(n.stale||!n.row.domNode.parentElement){const x=((v=(m=this.items.at(e+1))==null?void 0:m.row)==null?void 0:v.domNode)??null;(n.row.domNode.parentElement!==this.rowsContainer||n.row.domNode.nextElementSibling!==x)&&this.rowsContainer.insertBefore(n.row.domNode,x),n.stale=!1}this.updateItemInDOM(n,e);const d=this.renderers.get(n.templateId);if(!d)throw new Error(`No renderer found for template id ${n.templateId}`);d==null||d.renderElement(n.element,e,n.row.templateData,n.size);const f=this.dnd.getDragURI(n.element);n.dragStartDisposable.dispose(),n.row.domNode.draggable=!!f,f&&(n.dragStartDisposable=en(n.row.domNode,"dragstart",x=>this.onDragStart(n.element,f,x))),this.horizontalScrolling&&(this.measureItemWidth(n),this.eventuallyUpdateScrollWidth())}measureItemWidth(e){if(!e.row||!e.row.domNode)return;e.row.domNode.style.width="fit-content",e.width=uFe(e.row.domNode);const i=Eo(e.row.domNode).getComputedStyle(e.row.domNode);i.paddingLeft&&(e.width+=parseFloat(i.paddingLeft)),i.paddingRight&&(e.width+=parseFloat(i.paddingRight)),e.row.domNode.style.width=""}updateItemInDOM(e,i){e.row.domNode.style.top=`${this.elementTop(i)}px`,this.setRowHeight&&(e.row.domNode.style.height=`${e.size}px`),this.setRowLineHeight&&(e.row.domNode.style.lineHeight=`${e.size}px`),e.row.domNode.setAttribute("data-index",`${i}`),e.row.domNode.setAttribute("data-last-element",i===this.length-1?"true":"false"),e.row.domNode.setAttribute("data-parity",i%2===0?"even":"odd"),e.row.domNode.setAttribute("aria-setsize",String(this.accessibilityProvider.getSetSize(e.element,i,this.length))),e.row.domNode.setAttribute("aria-posinset",String(this.accessibilityProvider.getPosInSet(e.element,i))),e.row.domNode.setAttribute("id",this.getElementDomId(i)),e.row.domNode.classList.toggle("drop-target",e.dropTarget)}removeItemFromDOM(e){const i=this.items[e];if(i.dragStartDisposable.dispose(),i.checkedDisposable.dispose(),i.row){const n=this.renderers.get(i.templateId);n&&n.disposeElement&&n.disposeElement(i.element,e,i.row.templateData,i.size),this.cache.release(i.row),i.row=null}this.horizontalScrolling&&this.eventuallyUpdateScrollWidth()}getScrollTop(){return this.scrollableElement.getScrollPosition().scrollTop}setScrollTop(e,i){this.scrollableElementUpdateDisposable&&(this.scrollableElementUpdateDisposable.dispose(),this.scrollableElementUpdateDisposable=null,this.scrollableElement.setScrollDimensions({scrollHeight:this.scrollHeight})),this.scrollableElement.setScrollPosition({scrollTop:e,reuseAnimation:i})}get scrollTop(){return this.getScrollTop()}set scrollTop(e){this.setScrollTop(e)}get scrollHeight(){return this._scrollHeight+(this.horizontalScrolling?10:0)+this.paddingBottom}get onMouseClick(){return Tr.map(this.disposables.add(new Xc(this.domNode,"click")).event,e=>this.toMouseEvent(e),this.disposables)}get onMouseDblClick(){return Tr.map(this.disposables.add(new Xc(this.domNode,"dblclick")).event,e=>this.toMouseEvent(e),this.disposables)}get onMouseMiddleClick(){return Tr.filter(Tr.map(this.disposables.add(new Xc(this.domNode,"auxclick")).event,e=>this.toMouseEvent(e),this.disposables),e=>e.browserEvent.button===1,this.disposables)}get onMouseDown(){return Tr.map(this.disposables.add(new Xc(this.domNode,"mousedown")).event,e=>this.toMouseEvent(e),this.disposables)}get onMouseOver(){return Tr.map(this.disposables.add(new Xc(this.domNode,"mouseover")).event,e=>this.toMouseEvent(e),this.disposables)}get onMouseOut(){return Tr.map(this.disposables.add(new Xc(this.domNode,"mouseout")).event,e=>this.toMouseEvent(e),this.disposables)}get onContextMenu(){return Tr.any(Tr.map(this.disposables.add(new Xc(this.domNode,"contextmenu")).event,e=>this.toMouseEvent(e),this.disposables),Tr.map(this.disposables.add(new Xc(this.domNode,Ud.Contextmenu)).event,e=>this.toGestureEvent(e),this.disposables))}get onTouchStart(){return Tr.map(this.disposables.add(new Xc(this.domNode,"touchstart")).event,e=>this.toTouchEvent(e),this.disposables)}get onTap(){return Tr.map(this.disposables.add(new Xc(this.rowsContainer,Ud.Tap)).event,e=>this.toGestureEvent(e),this.disposables)}toMouseEvent(e){const i=this.getItemIndexFromEventTarget(e.target||null),n=typeof i>"u"?void 0:this.items[i],s=n&&n.element;return{browserEvent:e,index:i,element:s}}toTouchEvent(e){const i=this.getItemIndexFromEventTarget(e.target||null),n=typeof i>"u"?void 0:this.items[i],s=n&&n.element;return{browserEvent:e,index:i,element:s}}toGestureEvent(e){const i=this.getItemIndexFromEventTarget(e.initialTarget||null),n=typeof i>"u"?void 0:this.items[i],s=n&&n.element;return{browserEvent:e,index:i,element:s}}toDragEvent(e){const i=this.getItemIndexFromEventTarget(e.target||null),n=typeof i>"u"?void 0:this.items[i],s=n&&n.element,c=this.getTargetSector(e,i);return{browserEvent:e,index:i,element:s,sector:c}}onScroll(e){try{const i=this.getRenderRange(this.lastRenderTop,this.lastRenderHeight);this.render(i,e.scrollTop,e.height,e.scrollLeft,e.scrollWidth),this.supportDynamicHeights&&this._rerender(e.scrollTop,e.height,e.inSmoothScrolling)}catch(i){throw console.error("Got bad scroll event:",e),i}}onTouchChange(e){e.preventDefault(),e.stopPropagation(),this.scrollTop-=e.translationY}onDragStart(e,i,n){var c,d;if(!n.dataTransfer)return;const s=this.dnd.getDragElements(e);if(n.dataTransfer.effectAllowed="copyMove",n.dataTransfer.setData(ore.TEXT,i),n.dataTransfer.setDragImage){let f;this.dnd.getDragLabel&&(f=this.dnd.getDragLabel(s,n)),typeof f>"u"&&(f=String(s.length));const m=Cr(".monaco-drag-image");m.textContent=f,(w=>{for(;w&&!w.classList.contains("monaco-workbench");)w=w.parentElement;return w||this.domNode.ownerDocument})(this.domNode).appendChild(m),n.dataTransfer.setDragImage(m,-10,-10),setTimeout(()=>m.remove(),0)}this.domNode.classList.add("dragging"),this.currentDragData=new Ese(s),mF.CurrentDragAndDropData=new L1i(s),(d=(c=this.dnd).onDragStart)==null||d.call(c,this.currentDragData,n)}onDragOver(e){var c,d;if(e.browserEvent.preventDefault(),this.onDragLeaveTimeout.dispose(),mF.CurrentDragAndDropData&&mF.CurrentDragAndDropData.getData()==="vscode-ui"||(this.setupDragAndDropScrollTopAnimation(e.browserEvent),!e.browserEvent.dataTransfer))return!1;if(!this.currentDragData)if(mF.CurrentDragAndDropData)this.currentDragData=mF.CurrentDragAndDropData;else{if(!e.browserEvent.dataTransfer.types)return!1;this.currentDragData=new P1i}const i=this.dnd.onDragOver(this.currentDragData,e.element,e.index,e.sector,e.browserEvent);if(this.canDrop=typeof i=="boolean"?i:i.accept,!this.canDrop)return this.currentDragFeedback=void 0,this.currentDragFeedbackDisposable.dispose(),!1;e.browserEvent.dataTransfer.dropEffect=typeof i!="boolean"&&((c=i.effect)==null?void 0:c.type)===0?"copy":"move";let n;typeof i!="boolean"&&i.feedback?n=i.feedback:typeof e.index>"u"?n=[-1]:n=[e.index],n=r6(n).filter(f=>f>=-1&&f<this.length).sort((f,m)=>f-m),n=n[0]===-1?[-1]:n;let s=typeof i!="boolean"&&i.effect&&i.effect.position?i.effect.position:"drop-target";if(A1i(this.currentDragFeedback,n)&&this.currentDragFeedbackPosition===s)return!0;if(this.currentDragFeedback=n,this.currentDragFeedbackPosition=s,this.currentDragFeedbackDisposable.dispose(),n[0]===-1)this.domNode.classList.add(s),this.rowsContainer.classList.add(s),this.currentDragFeedbackDisposable=fo(()=>{this.domNode.classList.remove(s),this.rowsContainer.classList.remove(s)});else{if(n.length>1&&s!=="drop-target")throw new Error("Can't use multiple feedbacks with position different than 'over'");s==="drop-target-after"&&n[0]<this.length-1&&(n[0]+=1,s="drop-target-before");for(const f of n){const m=this.items[f];m.dropTarget=!0,(d=m.row)==null||d.domNode.classList.add(s)}this.currentDragFeedbackDisposable=fo(()=>{var f;for(const m of n){const v=this.items[m];v.dropTarget=!1,(f=v.row)==null||f.domNode.classList.remove(s)}})}return!0}onDragLeave(e){var i,n;this.onDragLeaveTimeout.dispose(),this.onDragLeaveTimeout=CR(()=>this.clearDragOverFeedback(),100,this.disposables),this.currentDragData&&((n=(i=this.dnd).onDragLeave)==null||n.call(i,this.currentDragData,e.element,e.index,e.browserEvent))}onDrop(e){if(!this.canDrop)return;const i=this.currentDragData;this.teardownDragAndDropScrollTopAnimation(),this.clearDragOverFeedback(),this.domNode.classList.remove("dragging"),this.currentDragData=void 0,mF.CurrentDragAndDropData=void 0,!(!i||!e.browserEvent.dataTransfer)&&(e.browserEvent.preventDefault(),i.update(e.browserEvent.dataTransfer),this.dnd.drop(i,e.element,e.index,e.sector,e.browserEvent))}onDragEnd(e){var i,n;this.canDrop=!1,this.teardownDragAndDropScrollTopAnimation(),this.clearDragOverFeedback(),this.domNode.classList.remove("dragging"),this.currentDragData=void 0,mF.CurrentDragAndDropData=void 0,(n=(i=this.dnd).onDragEnd)==null||n.call(i,e)}clearDragOverFeedback(){this.currentDragFeedback=void 0,this.currentDragFeedbackPosition=void 0,this.currentDragFeedbackDisposable.dispose(),this.currentDragFeedbackDisposable=xi.None}setupDragAndDropScrollTopAnimation(e){if(!this.dragOverAnimationDisposable){const i=S2t(this.domNode).top;this.dragOverAnimationDisposable=gdi(Eo(this.domNode),this.animateDragAndDropScrollTop.bind(this,i))}this.dragOverAnimationStopDisposable.dispose(),this.dragOverAnimationStopDisposable=CR(()=>{this.dragOverAnimationDisposable&&(this.dragOverAnimationDisposable.dispose(),this.dragOverAnimationDisposable=void 0)},1e3,this.disposables),this.dragOverMouseY=e.pageY}animateDragAndDropScrollTop(e){if(this.dragOverMouseY===void 0)return;const i=this.dragOverMouseY-e,n=this.renderHeight-35;i<35?this.scrollTop+=Math.max(-14,Math.floor(.3*(i-35))):i>n&&(this.scrollTop+=Math.min(14,Math.floor(.3*(i-n))))}teardownDragAndDropScrollTopAnimation(){this.dragOverAnimationStopDisposable.dispose(),this.dragOverAnimationDisposable&&(this.dragOverAnimationDisposable.dispose(),this.dragOverAnimationDisposable=void 0)}getTargetSector(e,i){if(i===void 0)return;const n=e.offsetY/this.items[i].size,s=Math.floor(n/.25);return uv(s,0,3)}getItemIndexFromEventTarget(e){const i=this.scrollableElement.getDomNode();let n=e;for(;(Mm(n)||udi(n))&&n!==this.rowsContainer&&i.contains(n);){const s=n.getAttribute("data-index");if(s){const c=Number(s);if(!isNaN(c))return c}n=n.parentElement}}getRenderRange(e,i){return{start:this.rangeMap.indexAt(e),end:this.rangeMap.indexAfter(e+i-1)}}_rerender(e,i,n){const s=this.getRenderRange(e,i);let c,d;e===this.elementTop(s.start)?(c=s.start,d=0):s.end-s.start>1&&(c=s.start+1,d=this.elementTop(c)-e);let f=0;for(;;){const m=this.getRenderRange(e,i);let v=!1;for(let x=m.start;x<m.end;x++){const w=this.probeDynamicHeight(x);w!==0&&this.rangeMap.splice(x,1,[this.items[x]]),f+=w,v=v||w!==0}if(!v){f!==0&&this.eventuallyUpdateScrollDimensions();const x=h1.relativeComplement(s,m);for(const I of x)for(let P=I.start;P<I.end;P++)this.items[P].row&&this.removeItemFromDOM(P);const w=h1.relativeComplement(m,s).reverse();for(const I of w)for(let P=I.end-1;P>=I.start;P--)this.insertItemInDOM(P);for(let I=m.start;I<m.end;I++)this.items[I].row&&this.updateItemInDOM(this.items[I],I);if(typeof c=="number"){const I=this.scrollable.getFutureScrollPosition().scrollTop-e,P=this.elementTop(c)-d+I;this.setScrollTop(P,n)}this._onDidChangeContentHeight.fire(this.contentHeight);return}}}probeDynamicHeight(e){var d,f,m;const i=this.items[e];if(this.virtualDelegate.getDynamicHeight){const v=this.virtualDelegate.getDynamicHeight(i.element);if(v!==null){const x=i.size;return i.size=v,i.lastDynamicHeightWidth=this.renderWidth,v-x}}if(!i.hasDynamicHeight||i.lastDynamicHeightWidth===this.renderWidth||this.virtualDelegate.hasDynamicHeight&&!this.virtualDelegate.hasDynamicHeight(i.element))return 0;const n=i.size;if(i.row)return i.row.domNode.style.height="",i.size=i.row.domNode.offsetHeight,i.size===0&&!Am(i.row.domNode,Eo(i.row.domNode).document.body)&&console.warn("Measuring item node that is not in DOM! Add ListView to the DOM before measuring row height!",new Error().stack),i.lastDynamicHeightWidth=this.renderWidth,i.size-n;const{row:s}=this.cache.alloc(i.templateId);s.domNode.style.height="",this.rowsContainer.appendChild(s.domNode);const c=this.renderers.get(i.templateId);if(!c)throw new tu("Missing renderer for templateId: "+i.templateId);return c.renderElement(i.element,e,s.templateData,void 0),i.size=s.domNode.offsetHeight,(d=c.disposeElement)==null||d.call(c,i.element,e,s.templateData,void 0),(m=(f=this.virtualDelegate).setDynamicHeight)==null||m.call(f,i.element,i.size),i.lastDynamicHeightWidth=this.renderWidth,s.domNode.remove(),this.cache.release(s),i.size-n}getElementDomId(e){return`${this.domId}_${e}`}dispose(){var e,i,n;for(const s of this.items)if(s.dragStartDisposable.dispose(),s.checkedDisposable.dispose(),s.row){const c=this.renderers.get(s.row.templateId);c&&((e=c.disposeElement)==null||e.call(c,s.element,-1,s.row.templateData,void 0),c.disposeTemplate(s.row.templateData))}this.items=[],(i=this.domNode)==null||i.remove(),(n=this.dragOverAnimationDisposable)==null||n.dispose(),this.disposables.dispose()}};sCe.InstanceCount=0;let xT=sCe;C6([x_],xT.prototype,"onMouseClick",null);C6([x_],xT.prototype,"onMouseDblClick",null);C6([x_],xT.prototype,"onMouseMiddleClick",null);C6([x_],xT.prototype,"onMouseDown",null);C6([x_],xT.prototype,"onMouseOver",null);C6([x_],xT.prototype,"onMouseOut",null);C6([x_],xT.prototype,"onContextMenu",null);C6([x_],xT.prototype,"onTouchStart",null);C6([x_],xT.prototype,"onTap",null);var HR=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c};class M1i{constructor(e){this.trait=e,this.renderedElements=[]}get templateId(){return`template:${this.trait.name}`}renderTemplate(e){return e}renderElement(e,i,n){const s=this.renderedElements.findIndex(c=>c.templateData===n);if(s>=0){const c=this.renderedElements[s];this.trait.unrender(n),c.index=i}else{const c={index:i,templateData:n};this.renderedElements.push(c)}this.trait.renderIndex(i,n)}splice(e,i,n){const s=[];for(const c of this.renderedElements)c.index<e?s.push(c):c.index>=e+i&&s.push({index:c.index+n-i,templateData:c.templateData});this.renderedElements=s}renderIndexes(e){for(const{index:i,templateData:n}of this.renderedElements)e.indexOf(i)>-1&&this.trait.renderIndex(i,n)}disposeTemplate(e){const i=this.renderedElements.findIndex(n=>n.templateData===e);i<0||this.renderedElements.splice(i,1)}}let Wye=class{get name(){return this._trait}get renderer(){return new M1i(this)}constructor(e){this._trait=e,this.indexes=[],this.sortedIndexes=[],this._onChange=new gi,this.onChange=this._onChange.event}splice(e,i,n){const s=n.length-i,c=e+i,d=[];let f=0;for(;f<this.sortedIndexes.length&&this.sortedIndexes[f]<e;)d.push(this.sortedIndexes[f++]);for(let m=0;m<n.length;m++)n[m]&&d.push(m+e);for(;f<this.sortedIndexes.length&&this.sortedIndexes[f]>=c;)d.push(this.sortedIndexes[f++]+s);this.renderer.splice(e,i,n.length),this._set(d,d)}renderIndex(e,i){i.classList.toggle(this._trait,this.contains(e))}unrender(e){e.classList.remove(this._trait)}set(e,i){return this._set(e,[...e].sort(hCt),i)}_set(e,i,n){const s=this.indexes,c=this.sortedIndexes;this.indexes=e,this.sortedIndexes=i;const d=HVe(c,e);return this.renderer.renderIndexes(d),this._onChange.fire({indexes:e,browserEvent:n}),s}get(){return this.indexes}contains(e){return Dne(this.sortedIndexes,e,hCt)>=0}dispose(){vd(this._onChange)}};HR([x_],Wye.prototype,"renderer",null);class R1i extends Wye{constructor(e){super("selected"),this.setAriaSelected=e}renderIndex(e,i){super.renderIndex(e,i),this.setAriaSelected&&(this.contains(e)?i.setAttribute("aria-selected","true"):i.setAttribute("aria-selected","false"))}}class jFe{constructor(e,i,n){this.trait=e,this.view=i,this.identityProvider=n}splice(e,i,n){if(!this.identityProvider)return this.trait.splice(e,i,new Array(n.length).fill(!1));const s=this.trait.get().map(f=>this.identityProvider.getId(this.view.element(f)).toString());if(s.length===0)return this.trait.splice(e,i,new Array(n.length).fill(!1));const c=new Set(s),d=n.map(f=>c.has(this.identityProvider.getId(f).toString()));this.trait.splice(e,i,d)}}function KM(l){return l.tagName==="INPUT"||l.tagName==="TEXTAREA"}function Ise(l,e){return l.classList.contains(e)?!0:l.classList.contains("monaco-list")||!l.parentElement?!1:Ise(l.parentElement,e)}function oie(l){return Ise(l,"monaco-editor")}function F1i(l){return Ise(l,"monaco-custom-toggle")}function B1i(l){return Ise(l,"action-item")}function Nie(l){return Ise(l,"monaco-tree-sticky-row")}function are(l){return l.classList.contains("monaco-tree-sticky-container")}function DDt(l){return l.tagName==="A"&&l.classList.contains("monaco-button")||l.tagName==="DIV"&&l.classList.contains("monaco-button-dropdown")?!0:l.classList.contains("monaco-list")||!l.parentElement?!1:DDt(l.parentElement)}class EDt{get onKeyDown(){return Tr.chain(this.disposables.add(new Xc(this.view.domNode,"keydown")).event,e=>e.filter(i=>!KM(i.target)).map(i=>new Id(i)))}constructor(e,i,n){this.list=e,this.view=i,this.disposables=new wn,this.multipleSelectionDisposables=new wn,this.multipleSelectionSupport=n.multipleSelectionSupport,this.disposables.add(this.onKeyDown(s=>{switch(s.keyCode){case 3:return this.onEnter(s);case 16:return this.onUpArrow(s);case 18:return this.onDownArrow(s);case 11:return this.onPageUpArrow(s);case 12:return this.onPageDownArrow(s);case 9:return this.onEscape(s);case 31:this.multipleSelectionSupport&&(Hc?s.metaKey:s.ctrlKey)&&this.onCtrlA(s)}}))}updateOptions(e){e.multipleSelectionSupport!==void 0&&(this.multipleSelectionSupport=e.multipleSelectionSupport)}onEnter(e){e.preventDefault(),e.stopPropagation(),this.list.setSelection(this.list.getFocus(),e.browserEvent)}onUpArrow(e){e.preventDefault(),e.stopPropagation(),this.list.focusPrevious(1,!1,e.browserEvent);const i=this.list.getFocus()[0];this.list.setAnchor(i),this.list.reveal(i),this.view.domNode.focus()}onDownArrow(e){e.preventDefault(),e.stopPropagation(),this.list.focusNext(1,!1,e.browserEvent);const i=this.list.getFocus()[0];this.list.setAnchor(i),this.list.reveal(i),this.view.domNode.focus()}onPageUpArrow(e){e.preventDefault(),e.stopPropagation(),this.list.focusPreviousPage(e.browserEvent);const i=this.list.getFocus()[0];this.list.setAnchor(i),this.list.reveal(i),this.view.domNode.focus()}onPageDownArrow(e){e.preventDefault(),e.stopPropagation(),this.list.focusNextPage(e.browserEvent);const i=this.list.getFocus()[0];this.list.setAnchor(i),this.list.reveal(i),this.view.domNode.focus()}onCtrlA(e){e.preventDefault(),e.stopPropagation(),this.list.setSelection(Sb(this.list.length),e.browserEvent),this.list.setAnchor(void 0),this.view.domNode.focus()}onEscape(e){this.list.getSelection().length&&(e.preventDefault(),e.stopPropagation(),this.list.setSelection([],e.browserEvent),this.list.setAnchor(void 0),this.view.domNode.focus())}dispose(){this.disposables.dispose(),this.multipleSelectionDisposables.dispose()}}HR([x_],EDt.prototype,"onKeyDown",null);var gL;(function(l){l[l.Automatic=0]="Automatic",l[l.Trigger=1]="Trigger"})(gL||(gL={}));var aq;(function(l){l[l.Idle=0]="Idle",l[l.Typing=1]="Typing"})(aq||(aq={}));const W1i=new class{mightProducePrintableCharacter(l){return l.ctrlKey||l.metaKey||l.altKey?!1:l.keyCode>=31&&l.keyCode<=56||l.keyCode>=21&&l.keyCode<=30||l.keyCode>=98&&l.keyCode<=107||l.keyCode>=85&&l.keyCode<=95}};class V1i{constructor(e,i,n,s,c){this.list=e,this.view=i,this.keyboardNavigationLabelProvider=n,this.keyboardNavigationEventFilter=s,this.delegate=c,this.enabled=!1,this.state=aq.Idle,this.mode=gL.Automatic,this.triggered=!1,this.previouslyFocused=-1,this.enabledDisposables=new wn,this.disposables=new wn,this.updateOptions(e.options)}updateOptions(e){e.typeNavigationEnabled??!0?this.enable():this.disable(),this.mode=e.typeNavigationMode??gL.Automatic}enable(){if(this.enabled)return;let e=!1;const i=Tr.chain(this.enabledDisposables.add(new Xc(this.view.domNode,"keydown")).event,c=>c.filter(d=>!KM(d.target)).filter(()=>this.mode===gL.Automatic||this.triggered).map(d=>new Id(d)).filter(d=>e||this.keyboardNavigationEventFilter(d)).filter(d=>this.delegate.mightProducePrintableCharacter(d)).forEach(d=>il.stop(d,!0)).map(d=>d.browserEvent.key)),n=Tr.debounce(i,()=>null,800,void 0,void 0,void 0,this.enabledDisposables);Tr.reduce(Tr.any(i,n),(c,d)=>d===null?null:(c||"")+d,void 0,this.enabledDisposables)(this.onInput,this,this.enabledDisposables),n(this.onClear,this,this.enabledDisposables),i(()=>e=!0,void 0,this.enabledDisposables),n(()=>e=!1,void 0,this.enabledDisposables),this.enabled=!0,this.triggered=!1}disable(){this.enabled&&(this.enabledDisposables.clear(),this.enabled=!1,this.triggered=!1)}onClear(){var i;const e=this.list.getFocus();if(e.length>0&&e[0]===this.previouslyFocused){const n=(i=this.list.options.accessibilityProvider)==null?void 0:i.getAriaLabel(this.list.element(e[0]));typeof n=="string"?xv(n):n&&xv(n.get())}this.previouslyFocused=-1}onInput(e){if(!e){this.state=aq.Idle,this.triggered=!1;return}const i=this.list.getFocus(),n=i.length>0?i[0]:0,s=this.state===aq.Idle?1:0;this.state=aq.Typing;for(let c=0;c<this.list.length;c++){const d=(n+c+s)%this.list.length,f=this.keyboardNavigationLabelProvider.getKeyboardNavigationLabel(this.view.element(d)),m=f&&f.toString();if(this.list.options.typeNavigationEnabled){if(typeof m<"u"){if(ere(e,m)){this.previouslyFocused=n,this.list.setFocus([d]),this.list.reveal(d);return}const v=cgi(e,m);if(v&&v[0].end-v[0].start>1&&v.length===1){this.previouslyFocused=n,this.list.setFocus([d]),this.list.reveal(d);return}}}else if(typeof m>"u"||ere(e,m)){this.previouslyFocused=n,this.list.setFocus([d]),this.list.reveal(d);return}}}dispose(){this.disable(),this.enabledDisposables.dispose(),this.disposables.dispose()}}class H1i{constructor(e,i){this.list=e,this.view=i,this.disposables=new wn;const n=Tr.chain(this.disposables.add(new Xc(i.domNode,"keydown")).event,c=>c.filter(d=>!KM(d.target)).map(d=>new Id(d)));Tr.chain(n,c=>c.filter(d=>d.keyCode===2&&!d.ctrlKey&&!d.metaKey&&!d.shiftKey&&!d.altKey))(this.onTab,this,this.disposables)}onTab(e){if(e.target!==this.view.domNode)return;const i=this.list.getFocus();if(i.length===0)return;const n=this.view.domElement(i[0]);if(!n)return;const s=n.querySelector("[tabIndex]");if(!s||!Mm(s)||s.tabIndex===-1)return;const c=Eo(s).getComputedStyle(s);c.visibility==="hidden"||c.display==="none"||(e.preventDefault(),e.stopPropagation(),s.focus())}dispose(){this.disposables.dispose()}}function IDt(l){return Hc?l.browserEvent.metaKey:l.browserEvent.ctrlKey}function NDt(l){return l.browserEvent.shiftKey}function j1i(l){return d$e(l)&&l.button===2}const fCt={isSelectionSingleChangeEvent:IDt,isSelectionRangeChangeEvent:NDt};class LDt{constructor(e){this.list=e,this.disposables=new wn,this._onPointer=new gi,this.onPointer=this._onPointer.event,e.options.multipleSelectionSupport!==!1&&(this.multipleSelectionController=this.list.options.multipleSelectionController||fCt),this.mouseSupport=typeof e.options.mouseSupport>"u"||!!e.options.mouseSupport,this.mouseSupport&&(e.onMouseDown(this.onMouseDown,this,this.disposables),e.onContextMenu(this.onContextMenu,this,this.disposables),e.onMouseDblClick(this.onDoubleClick,this,this.disposables),e.onTouchStart(this.onMouseDown,this,this.disposables),this.disposables.add(y1.addTarget(e.getHTMLElement()))),Tr.any(e.onMouseClick,e.onMouseMiddleClick,e.onTap)(this.onViewPointer,this,this.disposables)}updateOptions(e){e.multipleSelectionSupport!==void 0&&(this.multipleSelectionController=void 0,e.multipleSelectionSupport&&(this.multipleSelectionController=this.list.options.multipleSelectionController||fCt))}isSelectionSingleChangeEvent(e){return this.multipleSelectionController?this.multipleSelectionController.isSelectionSingleChangeEvent(e):!1}isSelectionRangeChangeEvent(e){return this.multipleSelectionController?this.multipleSelectionController.isSelectionRangeChangeEvent(e):!1}isSelectionChangeEvent(e){return this.isSelectionSingleChangeEvent(e)||this.isSelectionRangeChangeEvent(e)}onMouseDown(e){oie(e.browserEvent.target)||D0()!==e.browserEvent.target&&this.list.domFocus()}onContextMenu(e){if(KM(e.browserEvent.target)||oie(e.browserEvent.target))return;const i=typeof e.index>"u"?[]:[e.index];this.list.setFocus(i,e.browserEvent)}onViewPointer(e){if(!this.mouseSupport||KM(e.browserEvent.target)||oie(e.browserEvent.target)||e.browserEvent.isHandledByList)return;e.browserEvent.isHandledByList=!0;const i=e.index;if(typeof i>"u"){this.list.setFocus([],e.browserEvent),this.list.setSelection([],e.browserEvent),this.list.setAnchor(void 0);return}if(this.isSelectionChangeEvent(e))return this.changeSelection(e);this.list.setFocus([i],e.browserEvent),this.list.setAnchor(i),j1i(e.browserEvent)||this.list.setSelection([i],e.browserEvent),this._onPointer.fire(e)}onDoubleClick(e){if(KM(e.browserEvent.target)||oie(e.browserEvent.target)||this.isSelectionChangeEvent(e)||e.browserEvent.isHandledByList)return;e.browserEvent.isHandledByList=!0;const i=this.list.getFocus();this.list.setSelection(i,e.browserEvent)}changeSelection(e){const i=e.index;let n=this.list.getAnchor();if(this.isSelectionRangeChangeEvent(e)){typeof n>"u"&&(n=this.list.getFocus()[0]??i,this.list.setAnchor(n));const s=Math.min(n,i),c=Math.max(n,i),d=Sb(s,c+1),f=this.list.getSelection(),m=q1i(HVe(f,[n]),n);if(m.length===0)return;const v=HVe(d,$1i(f,m));this.list.setSelection(v,e.browserEvent),this.list.setFocus([i],e.browserEvent)}else if(this.isSelectionSingleChangeEvent(e)){const s=this.list.getSelection(),c=s.filter(d=>d!==i);this.list.setFocus([i]),this.list.setAnchor(i),s.length===c.length?this.list.setSelection([...c,i],e.browserEvent):this.list.setSelection(c,e.browserEvent)}}dispose(){this.disposables.dispose()}}class PDt{constructor(e,i){this.styleElement=e,this.selectorSuffix=i}style(e){const i=this.selectorSuffix&&`.${this.selectorSuffix}`,n=[];e.listBackground&&n.push(`.monaco-list${i} .monaco-list-rows { background: ${e.listBackground}; }`),e.listFocusBackground&&(n.push(`.monaco-list${i}:focus .monaco-list-row.focused { background-color: ${e.listFocusBackground}; }`),n.push(`.monaco-list${i}:focus .monaco-list-row.focused:hover { background-color: ${e.listFocusBackground}; }`)),e.listFocusForeground&&n.push(`.monaco-list${i}:focus .monaco-list-row.focused { color: ${e.listFocusForeground}; }`),e.listActiveSelectionBackground&&(n.push(`.monaco-list${i}:focus .monaco-list-row.selected { background-color: ${e.listActiveSelectionBackground}; }`),n.push(`.monaco-list${i}:focus .monaco-list-row.selected:hover { background-color: ${e.listActiveSelectionBackground}; }`)),e.listActiveSelectionForeground&&n.push(`.monaco-list${i}:focus .monaco-list-row.selected { color: ${e.listActiveSelectionForeground}; }`),e.listActiveSelectionIconForeground&&n.push(`.monaco-list${i}:focus .monaco-list-row.selected .codicon { color: ${e.listActiveSelectionIconForeground}; }`),e.listFocusAndSelectionBackground&&n.push(`
+ .monaco-drag-image,
+ .monaco-list${i}:focus .monaco-list-row.selected.focused { background-color: ${e.listFocusAndSelectionBackground}; }
+ `),e.listFocusAndSelectionForeground&&n.push(`
+ .monaco-drag-image,
+ .monaco-list${i}:focus .monaco-list-row.selected.focused { color: ${e.listFocusAndSelectionForeground}; }
+ `),e.listInactiveFocusForeground&&(n.push(`.monaco-list${i} .monaco-list-row.focused { color: ${e.listInactiveFocusForeground}; }`),n.push(`.monaco-list${i} .monaco-list-row.focused:hover { color: ${e.listInactiveFocusForeground}; }`)),e.listInactiveSelectionIconForeground&&n.push(`.monaco-list${i} .monaco-list-row.focused .codicon { color: ${e.listInactiveSelectionIconForeground}; }`),e.listInactiveFocusBackground&&(n.push(`.monaco-list${i} .monaco-list-row.focused { background-color: ${e.listInactiveFocusBackground}; }`),n.push(`.monaco-list${i} .monaco-list-row.focused:hover { background-color: ${e.listInactiveFocusBackground}; }`)),e.listInactiveSelectionBackground&&(n.push(`.monaco-list${i} .monaco-list-row.selected { background-color: ${e.listInactiveSelectionBackground}; }`),n.push(`.monaco-list${i} .monaco-list-row.selected:hover { background-color: ${e.listInactiveSelectionBackground}; }`)),e.listInactiveSelectionForeground&&n.push(`.monaco-list${i} .monaco-list-row.selected { color: ${e.listInactiveSelectionForeground}; }`),e.listHoverBackground&&n.push(`.monaco-list${i}:not(.drop-target):not(.dragging) .monaco-list-row:hover:not(.selected):not(.focused) { background-color: ${e.listHoverBackground}; }`),e.listHoverForeground&&n.push(`.monaco-list${i}:not(.drop-target):not(.dragging) .monaco-list-row:hover:not(.selected):not(.focused) { color: ${e.listHoverForeground}; }`);const s=Z4(e.listFocusAndSelectionOutline,Z4(e.listSelectionOutline,e.listFocusOutline??""));s&&n.push(`.monaco-list${i}:focus .monaco-list-row.focused.selected { outline: 1px solid ${s}; outline-offset: -1px;}`),e.listFocusOutline&&n.push(`
+ .monaco-drag-image,
+ .monaco-list${i}:focus .monaco-list-row.focused { outline: 1px solid ${e.listFocusOutline}; outline-offset: -1px; }
+ .monaco-workbench.context-menu-visible .monaco-list${i}.last-focused .monaco-list-row.focused { outline: 1px solid ${e.listFocusOutline}; outline-offset: -1px; }
+ `);const c=Z4(e.listSelectionOutline,e.listInactiveFocusOutline??"");c&&n.push(`.monaco-list${i} .monaco-list-row.focused.selected { outline: 1px dotted ${c}; outline-offset: -1px; }`),e.listSelectionOutline&&n.push(`.monaco-list${i} .monaco-list-row.selected { outline: 1px dotted ${e.listSelectionOutline}; outline-offset: -1px; }`),e.listInactiveFocusOutline&&n.push(`.monaco-list${i} .monaco-list-row.focused { outline: 1px dotted ${e.listInactiveFocusOutline}; outline-offset: -1px; }`),e.listHoverOutline&&n.push(`.monaco-list${i} .monaco-list-row:hover { outline: 1px dashed ${e.listHoverOutline}; outline-offset: -1px; }`),e.listDropOverBackground&&n.push(`
+ .monaco-list${i}.drop-target,
+ .monaco-list${i} .monaco-list-rows.drop-target,
+ .monaco-list${i} .monaco-list-row.drop-target { background-color: ${e.listDropOverBackground} !important; color: inherit !important; }
+ `),e.listDropBetweenBackground&&(n.push(`
+ .monaco-list${i} .monaco-list-rows.drop-target-before .monaco-list-row:first-child::before,
+ .monaco-list${i} .monaco-list-row.drop-target-before::before {
+ content: ""; position: absolute; top: 0px; left: 0px; width: 100%; height: 1px;
+ background-color: ${e.listDropBetweenBackground};
+ }`),n.push(`
+ .monaco-list${i} .monaco-list-rows.drop-target-after .monaco-list-row:last-child::after,
+ .monaco-list${i} .monaco-list-row.drop-target-after::after {
+ content: ""; position: absolute; bottom: 0px; left: 0px; width: 100%; height: 1px;
+ background-color: ${e.listDropBetweenBackground};
+ }`)),e.tableColumnsBorder&&n.push(`
+ .monaco-table > .monaco-split-view2,
+ .monaco-table > .monaco-split-view2 .monaco-sash.vertical::before,
+ .monaco-workbench:not(.reduce-motion) .monaco-table:hover > .monaco-split-view2,
+ .monaco-workbench:not(.reduce-motion) .monaco-table:hover > .monaco-split-view2 .monaco-sash.vertical::before {
+ border-color: ${e.tableColumnsBorder};
+ }
+
+ .monaco-workbench:not(.reduce-motion) .monaco-table > .monaco-split-view2,
+ .monaco-workbench:not(.reduce-motion) .monaco-table > .monaco-split-view2 .monaco-sash.vertical::before {
+ border-color: transparent;
+ }
+ `),e.tableOddRowsBackgroundColor&&n.push(`
+ .monaco-table .monaco-list-row[data-parity=odd]:not(.focused):not(.selected):not(:hover) .monaco-table-tr,
+ .monaco-table .monaco-list:not(:focus) .monaco-list-row[data-parity=odd].focused:not(.selected):not(:hover) .monaco-table-tr,
+ .monaco-table .monaco-list:not(.focused) .monaco-list-row[data-parity=odd].focused:not(.selected):not(:hover) .monaco-table-tr {
+ background-color: ${e.tableOddRowsBackgroundColor};
+ }
+ `),this.styleElement.textContent=n.join(`
+`)}}const z1i={listFocusBackground:"#7FB0D0",listActiveSelectionBackground:"#0E639C",listActiveSelectionForeground:"#FFFFFF",listActiveSelectionIconForeground:"#FFFFFF",listFocusAndSelectionOutline:"#90C2F9",listFocusAndSelectionBackground:"#094771",listFocusAndSelectionForeground:"#FFFFFF",listInactiveSelectionBackground:"#3F3F46",listInactiveSelectionIconForeground:"#FFFFFF",listHoverBackground:"#2A2D2E",listDropOverBackground:"#383B3D",listDropBetweenBackground:"#EEEEEE",treeIndentGuidesStroke:"#a9a9a9",treeInactiveIndentGuidesStroke:In.fromHex("#a9a9a9").transparent(.4).toString(),tableColumnsBorder:In.fromHex("#cccccc").transparent(.2).toString(),tableOddRowsBackgroundColor:In.fromHex("#cccccc").transparent(.04).toString(),listBackground:void 0,listFocusForeground:void 0,listInactiveSelectionForeground:void 0,listInactiveFocusForeground:void 0,listInactiveFocusBackground:void 0,listHoverForeground:void 0,listFocusOutline:void 0,listInactiveFocusOutline:void 0,listSelectionOutline:void 0,listHoverOutline:void 0,treeStickyScrollBackground:void 0,treeStickyScrollBorder:void 0,treeStickyScrollShadow:void 0},U1i={keyboardSupport:!0,mouseSupport:!0,multipleSelectionSupport:!0,dnd:{getDragURI(){return null},onDragStart(){},onDragOver(){return!1},drop(){},dispose(){}}};function q1i(l,e){const i=l.indexOf(e);if(i===-1)return[];const n=[];let s=i-1;for(;s>=0&&l[s]===e-(i-s);)n.push(l[s--]);for(n.reverse(),s=i;s<l.length&&l[s]===e+(s-i);)n.push(l[s++]);return n}function HVe(l,e){const i=[];let n=0,s=0;for(;n<l.length||s<e.length;)if(n>=l.length)i.push(e[s++]);else if(s>=e.length)i.push(l[n++]);else if(l[n]===e[s]){i.push(l[n]),n++,s++;continue}else l[n]<e[s]?i.push(l[n++]):i.push(e[s++]);return i}function $1i(l,e){const i=[];let n=0,s=0;for(;n<l.length||s<e.length;)if(n>=l.length)i.push(e[s++]);else if(s>=e.length)i.push(l[n++]);else if(l[n]===e[s]){n++,s++;continue}else l[n]<e[s]?i.push(l[n++]):s++;return i}const hCt=(l,e)=>l-e;class J1i{constructor(e,i){this._templateId=e,this.renderers=i}get templateId(){return this._templateId}renderTemplate(e){return this.renderers.map(i=>i.renderTemplate(e))}renderElement(e,i,n,s){let c=0;for(const d of this.renderers)d.renderElement(e,i,n[c++],s)}disposeElement(e,i,n,s){var d;let c=0;for(const f of this.renderers)(d=f.disposeElement)==null||d.call(f,e,i,n[c],s),c+=1}disposeTemplate(e){let i=0;for(const n of this.renderers)n.disposeTemplate(e[i++])}}class G1i{constructor(e){this.accessibilityProvider=e,this.templateId="a18n"}renderTemplate(e){return{container:e,disposables:new wn}}renderElement(e,i,n){const s=this.accessibilityProvider.getAriaLabel(e),c=s&&typeof s!="string"?s:mk(s);n.disposables.add(ba(f=>{this.setAriaLabel(f.readObservable(c),n.container)}));const d=this.accessibilityProvider.getAriaLevel&&this.accessibilityProvider.getAriaLevel(e);typeof d=="number"?n.container.setAttribute("aria-level",`${d}`):n.container.removeAttribute("aria-level")}setAriaLabel(e,i){e?i.setAttribute("aria-label",e):i.removeAttribute("aria-label")}disposeElement(e,i,n,s){n.disposables.clear()}disposeTemplate(e){e.disposables.dispose()}}class K1i{constructor(e,i){this.list=e,this.dnd=i}getDragElements(e){const i=this.list.getSelectedElements();return i.indexOf(e)>-1?i:[e]}getDragURI(e){return this.dnd.getDragURI(e)}getDragLabel(e,i){if(this.dnd.getDragLabel)return this.dnd.getDragLabel(e,i)}onDragStart(e,i){var n,s;(s=(n=this.dnd).onDragStart)==null||s.call(n,e,i)}onDragOver(e,i,n,s,c){return this.dnd.onDragOver(e,i,n,s,c)}onDragLeave(e,i,n,s){var c,d;(d=(c=this.dnd).onDragLeave)==null||d.call(c,e,i,n,s)}onDragEnd(e){var i,n;(n=(i=this.dnd).onDragEnd)==null||n.call(i,e)}drop(e,i,n,s,c){this.dnd.drop(e,i,n,s,c)}dispose(){this.dnd.dispose()}}class Ow{get onDidChangeFocus(){return Tr.map(this.eventBufferer.wrapEvent(this.focus.onChange),e=>this.toListEvent(e),this.disposables)}get onDidChangeSelection(){return Tr.map(this.eventBufferer.wrapEvent(this.selection.onChange),e=>this.toListEvent(e),this.disposables)}get domId(){return this.view.domId}get onDidScroll(){return this.view.onDidScroll}get onMouseClick(){return this.view.onMouseClick}get onMouseDblClick(){return this.view.onMouseDblClick}get onMouseMiddleClick(){return this.view.onMouseMiddleClick}get onPointer(){return this.mouseController.onPointer}get onMouseDown(){return this.view.onMouseDown}get onMouseOver(){return this.view.onMouseOver}get onMouseOut(){return this.view.onMouseOut}get onTouchStart(){return this.view.onTouchStart}get onTap(){return this.view.onTap}get onContextMenu(){let e=!1;const i=Tr.chain(this.disposables.add(new Xc(this.view.domNode,"keydown")).event,c=>c.map(d=>new Id(d)).filter(d=>e=d.keyCode===58||d.shiftKey&&d.keyCode===68).map(d=>il.stop(d,!0)).filter(()=>!1)),n=Tr.chain(this.disposables.add(new Xc(this.view.domNode,"keyup")).event,c=>c.forEach(()=>e=!1).map(d=>new Id(d)).filter(d=>d.keyCode===58||d.shiftKey&&d.keyCode===68).map(d=>il.stop(d,!0)).map(({browserEvent:d})=>{const f=this.getFocus(),m=f.length?f[0]:void 0,v=typeof m<"u"?this.view.element(m):void 0,x=typeof m<"u"?this.view.domElement(m):this.view.domNode;return{index:m,element:v,anchor:x,browserEvent:d}})),s=Tr.chain(this.view.onContextMenu,c=>c.filter(d=>!e).map(({element:d,index:f,browserEvent:m})=>({element:d,index:f,anchor:new hT(Eo(this.view.domNode),m),browserEvent:m})));return Tr.any(i,n,s)}get onKeyDown(){return this.disposables.add(new Xc(this.view.domNode,"keydown")).event}get onDidFocus(){return Tr.signal(this.disposables.add(new Xc(this.view.domNode,"focus",!0)).event)}get onDidBlur(){return Tr.signal(this.disposables.add(new Xc(this.view.domNode,"blur",!0)).event)}constructor(e,i,n,s,c=U1i){var v,x,w;this.user=e,this._options=c,this.focus=new Wye("focused"),this.anchor=new Wye("anchor"),this.eventBufferer=new lse,this._ariaLabel="",this.disposables=new wn,this._onDidDispose=new gi,this.onDidDispose=this._onDidDispose.event;const d=this._options.accessibilityProvider&&this._options.accessibilityProvider.getWidgetRole?(v=this._options.accessibilityProvider)==null?void 0:v.getWidgetRole():"list";this.selection=new R1i(d!=="listbox");const f=[this.focus.renderer,this.selection.renderer];this.accessibilityProvider=c.accessibilityProvider,this.accessibilityProvider&&(f.push(new G1i(this.accessibilityProvider)),(w=(x=this.accessibilityProvider).onDidChangeActiveDescendant)==null||w.call(x,this.onDidChangeActiveDescendant,this,this.disposables)),s=s.map(I=>new J1i(I.templateId,[...f,I]));const m={...c,dnd:c.dnd&&new K1i(this,c.dnd)};if(this.view=this.createListView(i,n,s,m),this.view.domNode.setAttribute("role",d),c.styleController)this.styleController=c.styleController(this.view.domId);else{const I=Dw(this.view.domNode);this.styleController=new PDt(I,this.view.domId)}if(this.spliceable=new T1i([new jFe(this.focus,this.view,c.identityProvider),new jFe(this.selection,this.view,c.identityProvider),new jFe(this.anchor,this.view,c.identityProvider),this.view]),this.disposables.add(this.focus),this.disposables.add(this.selection),this.disposables.add(this.anchor),this.disposables.add(this.view),this.disposables.add(this._onDidDispose),this.disposables.add(new H1i(this,this.view)),(typeof c.keyboardSupport!="boolean"||c.keyboardSupport)&&(this.keyboardController=new EDt(this,this.view,c),this.disposables.add(this.keyboardController)),c.keyboardNavigationLabelProvider){const I=c.keyboardNavigationDelegate||W1i;this.typeNavigationController=new V1i(this,this.view,c.keyboardNavigationLabelProvider,c.keyboardNavigationEventFilter??(()=>!0),I),this.disposables.add(this.typeNavigationController)}this.mouseController=this.createMouseController(c),this.disposables.add(this.mouseController),this.onDidChangeFocus(this._onFocusChange,this,this.disposables),this.onDidChangeSelection(this._onSelectionChange,this,this.disposables),this.accessibilityProvider&&(this.ariaLabel=this.accessibilityProvider.getWidgetAriaLabel()),this._options.multipleSelectionSupport!==!1&&this.view.domNode.setAttribute("aria-multiselectable","true")}createListView(e,i,n,s){return new xT(e,i,n,s)}createMouseController(e){return new LDt(this)}updateOptions(e={}){var i,n;this._options={...this._options,...e},(i=this.typeNavigationController)==null||i.updateOptions(this._options),this._options.multipleSelectionController!==void 0&&(this._options.multipleSelectionSupport?this.view.domNode.setAttribute("aria-multiselectable","true"):this.view.domNode.removeAttribute("aria-multiselectable")),this.mouseController.updateOptions(e),(n=this.keyboardController)==null||n.updateOptions(e),this.view.updateOptions(e)}get options(){return this._options}splice(e,i,n=[]){if(e<0||e>this.view.length)throw new pF(this.user,`Invalid start index: ${e}`);if(i<0)throw new pF(this.user,`Invalid delete count: ${i}`);i===0&&n.length===0||this.eventBufferer.bufferEvents(()=>this.spliceable.splice(e,i,n))}rerender(){this.view.rerender()}element(e){return this.view.element(e)}indexOf(e){return this.view.indexOf(e)}indexAt(e){return this.view.indexAt(e)}get length(){return this.view.length}get contentHeight(){return this.view.contentHeight}get onDidChangeContentHeight(){return this.view.onDidChangeContentHeight}get scrollTop(){return this.view.getScrollTop()}set scrollTop(e){this.view.setScrollTop(e)}get scrollHeight(){return this.view.scrollHeight}get renderHeight(){return this.view.renderHeight}get firstVisibleIndex(){return this.view.firstVisibleIndex}get ariaLabel(){return this._ariaLabel}set ariaLabel(e){this._ariaLabel=e,this.view.domNode.setAttribute("aria-label",e)}domFocus(){this.view.domNode.focus({preventScroll:!0})}layout(e,i){this.view.layout(e,i)}setSelection(e,i){for(const n of e)if(n<0||n>=this.length)throw new pF(this.user,`Invalid index ${n}`);this.selection.set(e,i)}getSelection(){return this.selection.get()}getSelectedElements(){return this.getSelection().map(e=>this.view.element(e))}setAnchor(e){if(typeof e>"u"){this.anchor.set([]);return}if(e<0||e>=this.length)throw new pF(this.user,`Invalid index ${e}`);this.anchor.set([e])}getAnchor(){return Wqe(this.anchor.get(),void 0)}getAnchorElement(){const e=this.getAnchor();return typeof e>"u"?void 0:this.element(e)}setFocus(e,i){for(const n of e)if(n<0||n>=this.length)throw new pF(this.user,`Invalid index ${n}`);this.focus.set(e,i)}focusNext(e=1,i=!1,n,s){if(this.length===0)return;const c=this.focus.get(),d=this.findNextIndex(c.length>0?c[0]+e:0,i,s);d>-1&&this.setFocus([d],n)}focusPrevious(e=1,i=!1,n,s){if(this.length===0)return;const c=this.focus.get(),d=this.findPreviousIndex(c.length>0?c[0]-e:0,i,s);d>-1&&this.setFocus([d],n)}async focusNextPage(e,i){let n=this.view.indexAt(this.view.getScrollTop()+this.view.renderHeight);n=n===0?0:n-1;const s=this.getFocus()[0];if(s!==n&&(s===void 0||n>s)){const c=this.findPreviousIndex(n,!1,i);c>-1&&s!==c?this.setFocus([c],e):this.setFocus([n],e)}else{const c=this.view.getScrollTop();let d=c+this.view.renderHeight;n>s&&(d-=this.view.elementHeight(n)),this.view.setScrollTop(d),this.view.getScrollTop()!==c&&(this.setFocus([]),await c6(0),await this.focusNextPage(e,i))}}async focusPreviousPage(e,i,n=()=>0){let s;const c=n(),d=this.view.getScrollTop()+c;d===0?s=this.view.indexAt(d):s=this.view.indexAfter(d-1);const f=this.getFocus()[0];if(f!==s&&(f===void 0||f>=s)){const m=this.findNextIndex(s,!1,i);m>-1&&f!==m?this.setFocus([m],e):this.setFocus([s],e)}else{const m=d;this.view.setScrollTop(d-this.view.renderHeight-c),this.view.getScrollTop()+n()!==m&&(this.setFocus([]),await c6(0),await this.focusPreviousPage(e,i,n))}}focusLast(e,i){if(this.length===0)return;const n=this.findPreviousIndex(this.length-1,!1,i);n>-1&&this.setFocus([n],e)}focusFirst(e,i){this.focusNth(0,e,i)}focusNth(e,i,n){if(this.length===0)return;const s=this.findNextIndex(e,!1,n);s>-1&&this.setFocus([s],i)}findNextIndex(e,i=!1,n){for(let s=0;s<this.length;s++){if(e>=this.length&&!i)return-1;if(e=e%this.length,!n||n(this.element(e)))return e;e++}return-1}findPreviousIndex(e,i=!1,n){for(let s=0;s<this.length;s++){if(e<0&&!i)return-1;if(e=(this.length+e%this.length)%this.length,!n||n(this.element(e)))return e;e--}return-1}getFocus(){return this.focus.get()}getFocusedElements(){return this.getFocus().map(e=>this.view.element(e))}reveal(e,i,n=0){if(e<0||e>=this.length)throw new pF(this.user,`Invalid index ${e}`);const s=this.view.getScrollTop(),c=this.view.elementTop(e),d=this.view.elementHeight(e);if(pR(i)){const f=d-this.view.renderHeight+n;this.view.setScrollTop(f*uv(i,0,1)+c-n)}else{const f=c+d,m=s+this.view.renderHeight;c<s+n&&f>=m||(c<s+n||f>=m&&d>=this.view.renderHeight?this.view.setScrollTop(c-n):f>=m&&this.view.setScrollTop(f-this.view.renderHeight))}}getRelativeTop(e,i=0){if(e<0||e>=this.length)throw new pF(this.user,`Invalid index ${e}`);const n=this.view.getScrollTop(),s=this.view.elementTop(e),c=this.view.elementHeight(e);if(s<n+i||s+c>n+this.view.renderHeight)return null;const d=c-this.view.renderHeight+i;return Math.abs((n+i-s)/d)}getHTMLElement(){return this.view.domNode}getScrollableElement(){return this.view.scrollableElementDomNode}getElementID(e){return this.view.getElementDomId(e)}getElementTop(e){return this.view.elementTop(e)}style(e){this.styleController.style(e)}toListEvent({indexes:e,browserEvent:i}){return{indexes:e,elements:e.map(n=>this.view.element(n)),browserEvent:i}}_onFocusChange(){const e=this.focus.get();this.view.domNode.classList.toggle("element-focused",e.length>0),this.onDidChangeActiveDescendant()}onDidChangeActiveDescendant(){var i;const e=this.focus.get();if(e.length>0){let n;(i=this.accessibilityProvider)!=null&&i.getActiveDescendantId&&(n=this.accessibilityProvider.getActiveDescendantId(this.view.element(e[0]))),this.view.domNode.setAttribute("aria-activedescendant",n||this.view.getElementDomId(e[0]))}else this.view.domNode.removeAttribute("aria-activedescendant")}_onSelectionChange(){const e=this.selection.get();this.view.domNode.classList.toggle("selection-none",e.length===0),this.view.domNode.classList.toggle("selection-single",e.length===1),this.view.domNode.classList.toggle("selection-multiple",e.length>1)}dispose(){this._onDidDispose.fire(),this.disposables.dispose(),this._onDidDispose.dispose()}}HR([x_],Ow.prototype,"onDidChangeFocus",null);HR([x_],Ow.prototype,"onDidChangeSelection",null);HR([x_],Ow.prototype,"onContextMenu",null);HR([x_],Ow.prototype,"onKeyDown",null);HR([x_],Ow.prototype,"onDidFocus",null);HR([x_],Ow.prototype,"onDidBlur",null);const r9=Cr,ADt="selectOption.entry.template";class X1i{get templateId(){return ADt}renderTemplate(e){const i=Object.create(null);return i.root=e,i.text=jn(e,r9(".option-text")),i.detail=jn(e,r9(".option-detail")),i.decoratorRight=jn(e,r9(".option-decorator-right")),i}renderElement(e,i,n){const s=n,c=e.text,d=e.detail,f=e.decoratorRight,m=e.isDisabled;s.text.textContent=c,s.detail.textContent=d||"",s.decoratorRight.innerText=f||"",m?s.root.classList.add("option-disabled"):s.root.classList.remove("option-disabled")}disposeTemplate(e){}}const sL=class sL extends xi{constructor(e,i,n,s,c){super(),this.options=[],this._currentSelection=0,this._hasDetails=!1,this._skipLayout=!1,this._sticky=!1,this._isVisible=!1,this.styles=s,this.selectBoxOptions=c||Object.create(null),typeof this.selectBoxOptions.minBottomMargin!="number"?this.selectBoxOptions.minBottomMargin=sL.DEFAULT_DROPDOWN_MINIMUM_BOTTOM_MARGIN:this.selectBoxOptions.minBottomMargin<0&&(this.selectBoxOptions.minBottomMargin=0),this.selectElement=document.createElement("select"),this.selectElement.className="monaco-select-box monaco-select-box-dropdown-padding",typeof this.selectBoxOptions.ariaLabel=="string"&&this.selectElement.setAttribute("aria-label",this.selectBoxOptions.ariaLabel),typeof this.selectBoxOptions.ariaDescription=="string"&&this.selectElement.setAttribute("aria-description",this.selectBoxOptions.ariaDescription),this._onDidSelect=new gi,this._register(this._onDidSelect),this.registerListeners(),this.constructSelectDropDown(n),this.selected=i||0,e&&this.setOptions(e,i),this.initStyleSheet()}setTitle(e){!this._hover&&e?this._hover=this._register(QE().setupManagedHover(Dv("mouse"),this.selectElement,e)):this._hover&&this._hover.update(e)}getHeight(){return 22}getTemplateId(){return ADt}constructSelectDropDown(e){this.contextViewProvider=e,this.selectDropDownContainer=Cr(".monaco-select-box-dropdown-container"),this.selectDropDownContainer.classList.add("monaco-select-box-dropdown-padding"),this.selectionDetailsPane=jn(this.selectDropDownContainer,r9(".select-box-details-pane"));const i=jn(this.selectDropDownContainer,r9(".select-box-dropdown-container-width-control")),n=jn(i,r9(".width-control-div"));this.widthControlElement=document.createElement("span"),this.widthControlElement.className="option-text-width-control",jn(n,this.widthControlElement),this._dropDownPosition=0,this.styleElement=Dw(this.selectDropDownContainer),this.selectDropDownContainer.setAttribute("draggable","true"),this._register(en(this.selectDropDownContainer,br.DRAG_START,s=>{il.stop(s,!0)}))}registerListeners(){this._register(ph(this.selectElement,"change",i=>{this.selected=i.target.selectedIndex,this._onDidSelect.fire({index:i.target.selectedIndex,selected:i.target.value}),this.options[this.selected]&&this.options[this.selected].text&&this.setTitle(this.options[this.selected].text)})),this._register(en(this.selectElement,br.CLICK,i=>{il.stop(i),this._isVisible?this.hideSelectDropDown(!0):this.showSelectDropDown()})),this._register(en(this.selectElement,br.MOUSE_DOWN,i=>{il.stop(i)}));let e;this._register(en(this.selectElement,"touchstart",i=>{e=this._isVisible})),this._register(en(this.selectElement,"touchend",i=>{il.stop(i),e?this.hideSelectDropDown(!0):this.showSelectDropDown()})),this._register(en(this.selectElement,br.KEY_DOWN,i=>{const n=new Id(i);let s=!1;Hc?(n.keyCode===18||n.keyCode===16||n.keyCode===10||n.keyCode===3)&&(s=!0):(n.keyCode===18&&n.altKey||n.keyCode===16&&n.altKey||n.keyCode===10||n.keyCode===3)&&(s=!0),s&&(this.showSelectDropDown(),il.stop(i,!0))}))}get onDidSelect(){return this._onDidSelect.event}setOptions(e,i){Lf(this.options,e)||(this.options=e,this.selectElement.options.length=0,this._hasDetails=!1,this._cachedMaxDetailsHeight=void 0,this.options.forEach((n,s)=>{this.selectElement.add(this.createOption(n.text,s,n.isDisabled)),typeof n.description=="string"&&(this._hasDetails=!0)})),i!==void 0&&(this.select(i),this._currentSelection=this.selected)}setOptionsList(){var e;(e=this.selectList)==null||e.splice(0,this.selectList.length,this.options)}select(e){e>=0&&e<this.options.length?this.selected=e:e>this.options.length-1?this.select(this.options.length-1):this.selected<0&&(this.selected=0),this.selectElement.selectedIndex=this.selected,this.options[this.selected]&&this.options[this.selected].text&&this.setTitle(this.options[this.selected].text)}focus(){this.selectElement&&(this.selectElement.tabIndex=0,this.selectElement.focus())}blur(){this.selectElement&&(this.selectElement.tabIndex=-1,this.selectElement.blur())}setFocusable(e){this.selectElement.tabIndex=e?0:-1}render(e){this.container=e,e.classList.add("select-container"),e.appendChild(this.selectElement),this.styleSelectElement()}initStyleSheet(){const e=[];this.styles.listFocusBackground&&e.push(`.monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row.focused { background-color: ${this.styles.listFocusBackground} !important; }`),this.styles.listFocusForeground&&e.push(`.monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row.focused { color: ${this.styles.listFocusForeground} !important; }`),this.styles.decoratorRightForeground&&e.push(`.monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row:not(.focused) .option-decorator-right { color: ${this.styles.decoratorRightForeground}; }`),this.styles.selectBackground&&this.styles.selectBorder&&this.styles.selectBorder!==this.styles.selectBackground?(e.push(`.monaco-select-box-dropdown-container { border: 1px solid ${this.styles.selectBorder} } `),e.push(`.monaco-select-box-dropdown-container > .select-box-details-pane.border-top { border-top: 1px solid ${this.styles.selectBorder} } `),e.push(`.monaco-select-box-dropdown-container > .select-box-details-pane.border-bottom { border-bottom: 1px solid ${this.styles.selectBorder} } `)):this.styles.selectListBorder&&(e.push(`.monaco-select-box-dropdown-container > .select-box-details-pane.border-top { border-top: 1px solid ${this.styles.selectListBorder} } `),e.push(`.monaco-select-box-dropdown-container > .select-box-details-pane.border-bottom { border-bottom: 1px solid ${this.styles.selectListBorder} } `)),this.styles.listHoverForeground&&e.push(`.monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row:not(.option-disabled):not(.focused):hover { color: ${this.styles.listHoverForeground} !important; }`),this.styles.listHoverBackground&&e.push(`.monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row:not(.option-disabled):not(.focused):hover { background-color: ${this.styles.listHoverBackground} !important; }`),this.styles.listFocusOutline&&e.push(`.monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row.focused { outline: 1.6px dotted ${this.styles.listFocusOutline} !important; outline-offset: -1.6px !important; }`),this.styles.listHoverOutline&&e.push(`.monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row:not(.option-disabled):not(.focused):hover { outline: 1.6px dashed ${this.styles.listHoverOutline} !important; outline-offset: -1.6px !important; }`),e.push(".monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row.option-disabled.focused { background-color: transparent !important; color: inherit !important; outline: none !important; }"),e.push(".monaco-select-box-dropdown-container > .select-box-dropdown-list-container .monaco-list .monaco-list-row.option-disabled:hover { background-color: transparent !important; color: inherit !important; outline: none !important; }"),this.styleElement.textContent=e.join(`
+`)}styleSelectElement(){const e=this.styles.selectBackground??"",i=this.styles.selectForeground??"",n=this.styles.selectBorder??"";this.selectElement.style.backgroundColor=e,this.selectElement.style.color=i,this.selectElement.style.borderColor=n}styleList(){const e=this.styles.selectBackground??"",i=Z4(this.styles.selectListBackground,e);this.selectDropDownListContainer.style.backgroundColor=i,this.selectionDetailsPane.style.backgroundColor=i;const n=this.styles.focusBorder??"";this.selectDropDownContainer.style.outlineColor=n,this.selectDropDownContainer.style.outlineOffset="-1px",this.selectList.style(this.styles)}createOption(e,i,n){const s=document.createElement("option");return s.value=e,s.text=e,s.disabled=!!n,s}showSelectDropDown(){this.selectionDetailsPane.innerText="",!(!this.contextViewProvider||this._isVisible)&&(this.createSelectList(this.selectDropDownContainer),this.setOptionsList(),this.contextViewProvider.showContextView({getAnchor:()=>this.selectElement,render:e=>this.renderSelectDropDown(e,!0),layout:()=>{this.layoutSelectDropDown()},onHide:()=>{this.selectDropDownContainer.classList.remove("visible"),this.selectElement.classList.remove("synthetic-focus")},anchorPosition:this._dropDownPosition},this.selectBoxOptions.optionsAsChildren?this.container:void 0),this._isVisible=!0,this.hideSelectDropDown(!1),this.contextViewProvider.showContextView({getAnchor:()=>this.selectElement,render:e=>this.renderSelectDropDown(e),layout:()=>this.layoutSelectDropDown(),onHide:()=>{this.selectDropDownContainer.classList.remove("visible"),this.selectElement.classList.remove("synthetic-focus")},anchorPosition:this._dropDownPosition},this.selectBoxOptions.optionsAsChildren?this.container:void 0),this._currentSelection=this.selected,this._isVisible=!0,this.selectElement.setAttribute("aria-expanded","true"))}hideSelectDropDown(e){!this.contextViewProvider||!this._isVisible||(this._isVisible=!1,this.selectElement.setAttribute("aria-expanded","false"),e&&this.selectElement.focus(),this.contextViewProvider.hideContextView())}renderSelectDropDown(e,i){return e.appendChild(this.selectDropDownContainer),this.layoutSelectDropDown(i),{dispose:()=>{this.selectDropDownContainer.remove()}}}measureMaxDetailsHeight(){let e=0;return this.options.forEach((i,n)=>{this.updateDetail(n),this.selectionDetailsPane.offsetHeight>e&&(e=this.selectionDetailsPane.offsetHeight)}),e}layoutSelectDropDown(e){if(this._skipLayout)return!1;if(this.selectList){this.selectDropDownContainer.classList.add("visible");const i=Eo(this.selectElement),n=e_(this.selectElement),s=Eo(this.selectElement).getComputedStyle(this.selectElement),c=parseFloat(s.getPropertyValue("--dropdown-padding-top"))+parseFloat(s.getPropertyValue("--dropdown-padding-bottom")),d=i.innerHeight-n.top-n.height-(this.selectBoxOptions.minBottomMargin||0),f=n.top-sL.DEFAULT_DROPDOWN_MINIMUM_TOP_MARGIN,m=this.selectElement.offsetWidth,v=this.setWidthControlElement(this.widthControlElement),x=Math.max(v,Math.round(m)).toString()+"px";this.selectDropDownContainer.style.width=x,this.selectList.getHTMLElement().style.height="",this.selectList.layout();let w=this.selectList.contentHeight;this._hasDetails&&this._cachedMaxDetailsHeight===void 0&&(this._cachedMaxDetailsHeight=this.measureMaxDetailsHeight());const I=this._hasDetails?this._cachedMaxDetailsHeight:0,P=w+c+I,O=Math.floor((d-c-I)/this.getHeight()),z=Math.floor((f-c-I)/this.getHeight());if(e)return n.top+n.height>i.innerHeight-22||n.top<sL.DEFAULT_DROPDOWN_MINIMUM_TOP_MARGIN||O<1&&z<1?!1:(O<sL.DEFAULT_MINIMUM_VISIBLE_OPTIONS&&z>O&&this.options.length>O?(this._dropDownPosition=1,this.selectDropDownListContainer.remove(),this.selectionDetailsPane.remove(),this.selectDropDownContainer.appendChild(this.selectionDetailsPane),this.selectDropDownContainer.appendChild(this.selectDropDownListContainer),this.selectionDetailsPane.classList.remove("border-top"),this.selectionDetailsPane.classList.add("border-bottom")):(this._dropDownPosition=0,this.selectDropDownListContainer.remove(),this.selectionDetailsPane.remove(),this.selectDropDownContainer.appendChild(this.selectDropDownListContainer),this.selectDropDownContainer.appendChild(this.selectionDetailsPane),this.selectionDetailsPane.classList.remove("border-bottom"),this.selectionDetailsPane.classList.add("border-top")),!0);if(n.top+n.height>i.innerHeight-22||n.top<sL.DEFAULT_DROPDOWN_MINIMUM_TOP_MARGIN||this._dropDownPosition===0&&O<1||this._dropDownPosition===1&&z<1)return this.hideSelectDropDown(!0),!1;if(this._dropDownPosition===0){if(this._isVisible&&O+z<1)return this.hideSelectDropDown(!0),!1;P>d&&(w=O*this.getHeight())}else P>f&&(w=z*this.getHeight());return this.selectList.layout(w),this.selectList.domFocus(),this.selectList.length>0&&(this.selectList.setFocus([this.selected||0]),this.selectList.reveal(this.selectList.getFocus()[0]||0)),this._hasDetails?(this.selectList.getHTMLElement().style.height=w+c+"px",this.selectDropDownContainer.style.height=""):this.selectDropDownContainer.style.height=w+c+"px",this.updateDetail(this.selected),this.selectDropDownContainer.style.width=x,this.selectDropDownListContainer.setAttribute("tabindex","0"),this.selectElement.classList.add("synthetic-focus"),this.selectDropDownContainer.classList.add("synthetic-focus"),!0}else return!1}setWidthControlElement(e){let i=0;if(e){let n=0,s=0;this.options.forEach((c,d)=>{const f=c.detail?c.detail.length:0,m=c.decoratorRight?c.decoratorRight.length:0,v=c.text.length+f+m;v>s&&(n=d,s=v)}),e.textContent=this.options[n].text+(this.options[n].decoratorRight?this.options[n].decoratorRight+" ":""),i=FC(e)}return i}createSelectList(e){if(this.selectList)return;this.selectDropDownListContainer=jn(e,r9(".select-box-dropdown-list-container")),this.listRenderer=new X1i,this.selectList=this._register(new Ow("SelectBoxCustom",this.selectDropDownListContainer,this,[this.listRenderer],{useShadows:!1,verticalScrollMode:3,keyboardSupport:!1,mouseSupport:!1,accessibilityProvider:{getAriaLabel:s=>{let c=s.text;return s.detail&&(c+=`. ${s.detail}`),s.decoratorRight&&(c+=`. ${s.decoratorRight}`),s.description&&(c+=`. ${s.description}`),c},getWidgetAriaLabel:()=>W({key:"selectBox",comment:["Behave like native select dropdown element."]},"Select Box"),getRole:()=>Hc?"":"option",getWidgetRole:()=>"listbox"}})),this.selectBoxOptions.ariaLabel&&(this.selectList.ariaLabel=this.selectBoxOptions.ariaLabel);const i=this._register(new Xc(this.selectDropDownListContainer,"keydown")),n=Tr.chain(i.event,s=>s.filter(()=>this.selectList.length>0).map(c=>new Id(c)));this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===3))(this.onEnter,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===2))(this.onEnter,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===9))(this.onEscape,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===16))(this.onUpArrow,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===18))(this.onDownArrow,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===12))(this.onPageDown,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===11))(this.onPageUp,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===14))(this.onHome,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode===13))(this.onEnd,this)),this._register(Tr.chain(n,s=>s.filter(c=>c.keyCode>=21&&c.keyCode<=56||c.keyCode>=85&&c.keyCode<=113))(this.onCharacter,this)),this._register(en(this.selectList.getHTMLElement(),br.POINTER_UP,s=>this.onPointerUp(s))),this._register(this.selectList.onMouseOver(s=>typeof s.index<"u"&&this.selectList.setFocus([s.index]))),this._register(this.selectList.onDidChangeFocus(s=>this.onListFocus(s))),this._register(en(this.selectDropDownContainer,br.FOCUS_OUT,s=>{!this._isVisible||Am(s.relatedTarget,this.selectDropDownContainer)||this.onListBlur()})),this.selectList.getHTMLElement().setAttribute("aria-label",this.selectBoxOptions.ariaLabel||""),this.selectList.getHTMLElement().setAttribute("aria-expanded","true"),this.styleList()}onPointerUp(e){if(!this.selectList.length)return;il.stop(e);const i=e.target;if(!i||i.classList.contains("slider"))return;const n=i.closest(".monaco-list-row");if(!n)return;const s=Number(n.getAttribute("data-index")),c=n.classList.contains("option-disabled");s>=0&&s<this.options.length&&!c&&(this.selected=s,this.select(this.selected),this.selectList.setFocus([this.selected]),this.selectList.reveal(this.selectList.getFocus()[0]),this.selected!==this._currentSelection&&(this._currentSelection=this.selected,this._onDidSelect.fire({index:this.selectElement.selectedIndex,selected:this.options[this.selected].text}),this.options[this.selected]&&this.options[this.selected].text&&this.setTitle(this.options[this.selected].text)),this.hideSelectDropDown(!0))}onListBlur(){this._sticky||(this.selected!==this._currentSelection&&this.select(this._currentSelection),this.hideSelectDropDown(!1))}renderDescriptionMarkdown(e,i){const n=c=>{for(let d=0;d<c.childNodes.length;d++){const f=c.childNodes.item(d);(f.tagName&&f.tagName.toLowerCase())==="img"?f.remove():n(f)}},s=dwe({value:e,supportThemeIcons:!0},{actionHandler:i});return s.element.classList.add("select-box-description-markdown"),n(s.element),s.element}onListFocus(e){!this._isVisible||!this._hasDetails||this.updateDetail(e.indexes[0])}updateDetail(e){this.selectionDetailsPane.innerText="";const i=this.options[e],n=(i==null?void 0:i.description)??"",s=(i==null?void 0:i.descriptionIsMarkdown)??!1;if(n){if(s){const c=i.descriptionMarkdownActionHandler;this.selectionDetailsPane.appendChild(this.renderDescriptionMarkdown(n,c))}else this.selectionDetailsPane.innerText=n;this.selectionDetailsPane.style.display="block"}else this.selectionDetailsPane.style.display="none";this._skipLayout=!0,this.contextViewProvider.layout(),this._skipLayout=!1}onEscape(e){il.stop(e),this.select(this._currentSelection),this.hideSelectDropDown(!0)}onEnter(e){il.stop(e),this.selected!==this._currentSelection&&(this._currentSelection=this.selected,this._onDidSelect.fire({index:this.selectElement.selectedIndex,selected:this.options[this.selected].text}),this.options[this.selected]&&this.options[this.selected].text&&this.setTitle(this.options[this.selected].text)),this.hideSelectDropDown(!0)}onDownArrow(e){if(this.selected<this.options.length-1){il.stop(e,!0);const i=this.options[this.selected+1].isDisabled;if(i&&this.options.length>this.selected+2)this.selected+=2;else{if(i)return;this.selected++}this.select(this.selected),this.selectList.setFocus([this.selected]),this.selectList.reveal(this.selectList.getFocus()[0])}}onUpArrow(e){this.selected>0&&(il.stop(e,!0),this.options[this.selected-1].isDisabled&&this.selected>1?this.selected-=2:this.selected--,this.select(this.selected),this.selectList.setFocus([this.selected]),this.selectList.reveal(this.selectList.getFocus()[0]))}onPageUp(e){il.stop(e),this.selectList.focusPreviousPage(),setTimeout(()=>{this.selected=this.selectList.getFocus()[0],this.options[this.selected].isDisabled&&this.selected<this.options.length-1&&(this.selected++,this.selectList.setFocus([this.selected])),this.selectList.reveal(this.selected),this.select(this.selected)},1)}onPageDown(e){il.stop(e),this.selectList.focusNextPage(),setTimeout(()=>{this.selected=this.selectList.getFocus()[0],this.options[this.selected].isDisabled&&this.selected>0&&(this.selected--,this.selectList.setFocus([this.selected])),this.selectList.reveal(this.selected),this.select(this.selected)},1)}onHome(e){il.stop(e),!(this.options.length<2)&&(this.selected=0,this.options[this.selected].isDisabled&&this.selected>1&&this.selected++,this.selectList.setFocus([this.selected]),this.selectList.reveal(this.selected),this.select(this.selected))}onEnd(e){il.stop(e),!(this.options.length<2)&&(this.selected=this.options.length-1,this.options[this.selected].isDisabled&&this.selected>1&&this.selected--,this.selectList.setFocus([this.selected]),this.selectList.reveal(this.selected),this.select(this.selected))}onCharacter(e){const i=P4.toString(e.keyCode);let n=-1;for(let s=0;s<this.options.length-1;s++)if(n=(s+this.selected+1)%this.options.length,this.options[n].text.charAt(0).toUpperCase()===i&&!this.options[n].isDisabled){this.select(n),this.selectList.setFocus([n]),this.selectList.reveal(this.selectList.getFocus()[0]),il.stop(e);break}}dispose(){this.hideSelectDropDown(!1),super.dispose()}};sL.DEFAULT_DROPDOWN_MINIMUM_BOTTOM_MARGIN=32,sL.DEFAULT_DROPDOWN_MINIMUM_TOP_MARGIN=2,sL.DEFAULT_MINIMUM_VISIBLE_OPTIONS=3;let jVe=sL;class Q1i extends xi{constructor(e,i,n,s){super(),this.selected=0,this.selectBoxOptions=s||Object.create(null),this.options=[],this.selectElement=document.createElement("select"),this.selectElement.className="monaco-select-box",typeof this.selectBoxOptions.ariaLabel=="string"&&this.selectElement.setAttribute("aria-label",this.selectBoxOptions.ariaLabel),typeof this.selectBoxOptions.ariaDescription=="string"&&this.selectElement.setAttribute("aria-description",this.selectBoxOptions.ariaDescription),this._onDidSelect=this._register(new gi),this.styles=n,this.registerListeners(),this.setOptions(e,i)}registerListeners(){this._register(y1.addTarget(this.selectElement)),[Ud.Tap].forEach(e=>{this._register(en(this.selectElement,e,i=>{this.selectElement.focus()}))}),this._register(ph(this.selectElement,"click",e=>{il.stop(e,!0)})),this._register(ph(this.selectElement,"change",e=>{this.selectElement.title=e.target.value,this._onDidSelect.fire({index:e.target.selectedIndex,selected:e.target.value})})),this._register(ph(this.selectElement,"keydown",e=>{let i=!1;Hc?(e.keyCode===18||e.keyCode===16||e.keyCode===10)&&(i=!0):(e.keyCode===18&&e.altKey||e.keyCode===10||e.keyCode===3)&&(i=!0),i&&e.stopPropagation()}))}get onDidSelect(){return this._onDidSelect.event}setOptions(e,i){(!this.options||!Lf(this.options,e))&&(this.options=e,this.selectElement.options.length=0,this.options.forEach((n,s)=>{this.selectElement.add(this.createOption(n.text,s,n.isDisabled))})),i!==void 0&&this.select(i)}select(e){this.options.length===0?this.selected=0:e>=0&&e<this.options.length?this.selected=e:e>this.options.length-1?this.select(this.options.length-1):this.selected<0&&(this.selected=0),this.selectElement.selectedIndex=this.selected,this.selected<this.options.length&&typeof this.options[this.selected].text=="string"?this.selectElement.title=this.options[this.selected].text:this.selectElement.title=""}focus(){this.selectElement&&(this.selectElement.tabIndex=0,this.selectElement.focus())}blur(){this.selectElement&&(this.selectElement.tabIndex=-1,this.selectElement.blur())}setFocusable(e){this.selectElement.tabIndex=e?0:-1}render(e){e.classList.add("select-container"),e.appendChild(this.selectElement),this.setOptions(this.options,this.selected),this.applyStyles()}applyStyles(){this.selectElement&&(this.selectElement.style.backgroundColor=this.styles.selectBackground??"",this.selectElement.style.color=this.styles.selectForeground??"",this.selectElement.style.borderColor=this.styles.selectBorder??"")}createOption(e,i,n){const s=document.createElement("option");return s.value=e,s.text=e,s.disabled=!!n,s}}class Z1i extends Aw{constructor(e,i,n,s,c){super(),Hc&&!(c!=null&&c.useCustomDrawn)?this.selectBoxDelegate=new Q1i(e,i,s,c):this.selectBoxDelegate=new jVe(e,i,n,s,c),this._register(this.selectBoxDelegate)}get onDidSelect(){return this.selectBoxDelegate.onDidSelect}setOptions(e,i){this.selectBoxDelegate.setOptions(e,i)}select(e){this.selectBoxDelegate.select(e)}focus(){this.selectBoxDelegate.focus()}blur(){this.selectBoxDelegate.blur()}setFocusable(e){this.selectBoxDelegate.setFocusable(e)}render(e){this.selectBoxDelegate.render(e)}}class xE extends xi{get action(){return this._action}constructor(e,i,n={}){super(),this.options=n,this._context=e||this,this._action=i,i instanceof $C&&this._register(i.onDidChange(s=>{this.element&&this.handleActionChangeEvent(s)}))}handleActionChangeEvent(e){e.enabled!==void 0&&this.updateEnabled(),e.checked!==void 0&&this.updateChecked(),e.class!==void 0&&this.updateClass(),e.label!==void 0&&(this.updateLabel(),this.updateTooltip()),e.tooltip!==void 0&&this.updateTooltip()}get actionRunner(){return this._actionRunner||(this._actionRunner=this._register(new TB)),this._actionRunner}set actionRunner(e){this._actionRunner=e}isEnabled(){return this._action.enabled}setActionContext(e){this._context=e}render(e){const i=this.element=e;this._register(y1.addTarget(e));const n=this.options&&this.options.draggable;n&&(e.draggable=!0,bk&&this._register(en(e,br.DRAG_START,s=>{var c;return(c=s.dataTransfer)==null?void 0:c.setData(ore.TEXT,this._action.label)}))),this._register(en(i,Ud.Tap,s=>this.onClick(s,!0))),this._register(en(i,br.MOUSE_DOWN,s=>{n||il.stop(s,!0),this._action.enabled&&s.button===0&&i.classList.add("active")})),Hc&&this._register(en(i,br.CONTEXT_MENU,s=>{s.button===0&&s.ctrlKey===!0&&this.onClick(s)})),this._register(en(i,br.CLICK,s=>{il.stop(s,!0),this.options&&this.options.isMenu||this.onClick(s)})),this._register(en(i,br.DBLCLICK,s=>{il.stop(s,!0)})),[br.MOUSE_UP,br.MOUSE_OUT].forEach(s=>{this._register(en(i,s,c=>{il.stop(c),i.classList.remove("active")}))})}onClick(e,i=!1){var s;il.stop(e,!0);const n=pw(this._context)?(s=this.options)!=null&&s.useEventAsContext?e:{preserveFocus:i}:this._context;this.actionRunner.run(this._action,n)}focus(){this.element&&(this.element.tabIndex=0,this.element.focus(),this.element.classList.add("focused"))}blur(){this.element&&(this.element.blur(),this.element.tabIndex=-1,this.element.classList.remove("focused"))}setFocusable(e){this.element&&(this.element.tabIndex=e?0:-1)}get trapsArrowNavigation(){return!1}updateEnabled(){}updateLabel(){}getClass(){return this.action.class}getTooltip(){return this.action.tooltip}updateTooltip(){var i;if(!this.element)return;const e=this.getTooltip()??"";if(this.updateAriaLabel(),(i=this.options.hoverDelegate)!=null&&i.showNativeHover)this.element.title=e;else if(!this.customHover&&e!==""){const n=this.options.hoverDelegate??Dv("element");this.customHover=this._store.add(QE().setupManagedHover(n,this.element,e))}else this.customHover&&this.customHover.update(e)}updateAriaLabel(){if(this.element){const e=this.getTooltip()??"";this.element.setAttribute("aria-label",e)}}updateClass(){}updateChecked(){}dispose(){this.element&&(this.element.remove(),this.element=void 0),this._context=void 0,super.dispose()}}class V$ extends xE{constructor(e,i,n){super(e,i,n),this.options=n,this.options.icon=n.icon!==void 0?n.icon:!1,this.options.label=n.label!==void 0?n.label:!0,this.cssClass=""}render(e){super.render(e),Fl(this.element);const i=document.createElement("a");if(i.classList.add("action-label"),i.setAttribute("role",this.getDefaultAriaRole()),this.label=i,this.element.appendChild(i),this.options.label&&this.options.keybinding){const n=document.createElement("span");n.classList.add("keybinding"),n.textContent=this.options.keybinding,this.element.appendChild(n)}this.updateClass(),this.updateLabel(),this.updateTooltip(),this.updateEnabled(),this.updateChecked()}getDefaultAriaRole(){return this._action.id===Rm.ID?"presentation":this.options.isMenu?"menuitem":this.options.isTabList?"tab":"button"}focus(){this.label&&(this.label.tabIndex=0,this.label.focus())}blur(){this.label&&(this.label.tabIndex=-1)}setFocusable(e){this.label&&(this.label.tabIndex=e?0:-1)}updateLabel(){this.options.label&&this.label&&(this.label.textContent=this.action.label)}getTooltip(){let e=null;return this.action.tooltip?e=this.action.tooltip:!this.options.label&&this.action.label&&this.options.icon&&(e=this.action.label,this.options.keybinding&&(e=W({key:"titleLabel",comment:["action title","action keybinding"]},"{0} ({1})",e,this.options.keybinding))),e??void 0}updateClass(){var e;this.cssClass&&this.label&&this.label.classList.remove(...this.cssClass.split(" ")),this.options.icon?(this.cssClass=this.getClass(),this.label&&(this.label.classList.add("codicon"),this.cssClass&&this.label.classList.add(...this.cssClass.split(" "))),this.updateEnabled()):(e=this.label)==null||e.classList.remove("codicon")}updateEnabled(){var e,i;this.action.enabled?(this.label&&(this.label.removeAttribute("aria-disabled"),this.label.classList.remove("disabled")),(e=this.element)==null||e.classList.remove("disabled")):(this.label&&(this.label.setAttribute("aria-disabled","true"),this.label.classList.add("disabled")),(i=this.element)==null||i.classList.add("disabled"))}updateAriaLabel(){if(this.label){const e=this.getTooltip()??"";this.label.setAttribute("aria-label",e)}}updateChecked(){this.label&&(this.action.checked!==void 0?(this.label.classList.toggle("checked",this.action.checked),this.options.isTabList?this.label.setAttribute("aria-selected",this.action.checked?"true":"false"):(this.label.setAttribute("aria-checked",this.action.checked?"true":"false"),this.label.setAttribute("role","checkbox"))):(this.label.classList.remove("checked"),this.label.removeAttribute(this.options.isTabList?"aria-selected":"aria-checked"),this.label.setAttribute("role",this.getDefaultAriaRole())))}}class Y1i extends xE{constructor(e,i,n,s,c,d,f){super(e,i),this.selectBox=new Z1i(n,s,c,d,f),this.selectBox.setFocusable(!1),this._register(this.selectBox),this.registerListeners()}select(e){this.selectBox.select(e)}registerListeners(){this._register(this.selectBox.onDidSelect(e=>this.runAction(e.selected,e.index)))}runAction(e,i){this.actionRunner.run(this._action,this.getActionContext(e,i))}getActionContext(e,i){return e}setFocusable(e){this.selectBox.setFocusable(e)}focus(){var e;(e=this.selectBox)==null||e.focus()}blur(){var e;(e=this.selectBox)==null||e.blur()}render(e){this.selectBox.render(e)}}class eyi extends TB{constructor(e,i){super(),this._onDidChangeVisibility=this._register(new gi),this.onDidChangeVisibility=this._onDidChangeVisibility.event,this._element=jn(e,Cr(".monaco-dropdown")),this._label=jn(this._element,Cr(".dropdown-label"));let n=i.labelRenderer;n||(n=c=>(c.textContent=i.label||"",null));for(const c of[br.CLICK,br.MOUSE_DOWN,Ud.Tap])this._register(en(this.element,c,d=>il.stop(d,!0)));for(const c of[br.MOUSE_DOWN,Ud.Tap])this._register(en(this._label,c,d=>{d$e(d)&&(d.detail>1||d.button!==0)||(this.visible?this.hide():this.show())}));this._register(en(this._label,br.KEY_UP,c=>{const d=new Id(c);(d.equals(3)||d.equals(10))&&(il.stop(c,!0),this.visible?this.hide():this.show())}));const s=n(this._label);s&&this._register(s),this._register(y1.addTarget(this._label))}get element(){return this._element}show(){this.visible||(this.visible=!0,this._onDidChangeVisibility.fire(!0))}hide(){this.visible&&(this.visible=!1,this._onDidChangeVisibility.fire(!1))}dispose(){super.dispose(),this.hide(),this.boxContainer&&(this.boxContainer.remove(),this.boxContainer=void 0),this.contents&&(this.contents.remove(),this.contents=void 0),this._label&&(this._label.remove(),this._label=void 0)}}class tyi extends eyi{constructor(e,i){super(e,i),this._options=i,this._actions=[],this.actions=i.actions||[]}set menuOptions(e){this._menuOptions=e}get menuOptions(){return this._menuOptions}get actions(){return this._options.actionProvider?this._options.actionProvider.getActions():this._actions}set actions(e){this._actions=e}show(){super.show(),this.element.classList.add("active"),this._options.contextMenuProvider.showContextMenu({getAnchor:()=>this.element,getActions:()=>this.actions,getActionsContext:()=>this.menuOptions?this.menuOptions.context:null,getActionViewItem:(e,i)=>this.menuOptions&&this.menuOptions.actionViewItemProvider?this.menuOptions.actionViewItemProvider(e,i):void 0,getKeyBinding:e=>this.menuOptions&&this.menuOptions.getKeyBinding?this.menuOptions.getKeyBinding(e):void 0,getMenuClassName:()=>this._options.menuClassName||"",onHide:()=>this.onHide(),actionRunner:this.menuOptions?this.menuOptions.actionRunner:void 0,anchorAlignment:this.menuOptions?this.menuOptions.anchorAlignment:0,domForShadowRoot:this._options.menuAsChild?this.element:void 0,skipTelemetry:this._options.skipTelemetry})}hide(){super.hide()}onHide(){this.hide(),this.element.classList.remove("active")}}class Vye extends xE{constructor(e,i,n,s=Object.create(null)){super(null,e,s),this.actionItem=null,this._onDidChangeVisibility=this._register(new gi),this.onDidChangeVisibility=this._onDidChangeVisibility.event,this.menuActionsOrProvider=i,this.contextMenuProvider=n,this.options=s,this.options.actionRunner&&(this.actionRunner=this.options.actionRunner)}render(e){this.actionItem=e;const i=c=>{this.element=jn(c,Cr("a.action-label"));let d=[];return typeof this.options.classNames=="string"?d=this.options.classNames.split(/\s+/g).filter(f=>!!f):this.options.classNames&&(d=this.options.classNames),d.find(f=>f==="icon")||d.push("codicon"),this.element.classList.add(...d),this.element.setAttribute("role","button"),this.element.setAttribute("aria-haspopup","true"),this.element.setAttribute("aria-expanded","false"),this._action.label&&this._register(QE().setupManagedHover(this.options.hoverDelegate??Dv("mouse"),this.element,this._action.label)),this.element.ariaLabel=this._action.label||"",null},n=Array.isArray(this.menuActionsOrProvider),s={contextMenuProvider:this.contextMenuProvider,labelRenderer:i,menuAsChild:this.options.menuAsChild,actions:n?this.menuActionsOrProvider:void 0,actionProvider:n?void 0:this.menuActionsOrProvider,skipTelemetry:this.options.skipTelemetry};if(this.dropdownMenu=this._register(new tyi(e,s)),this._register(this.dropdownMenu.onDidChangeVisibility(c=>{var d;(d=this.element)==null||d.setAttribute("aria-expanded",`${c}`),this._onDidChangeVisibility.fire(c)})),this.dropdownMenu.menuOptions={actionViewItemProvider:this.options.actionViewItemProvider,actionRunner:this.actionRunner,getKeyBinding:this.options.keybindingProvider,context:this._context},this.options.anchorAlignmentProvider){const c=this;this.dropdownMenu.menuOptions={...this.dropdownMenu.menuOptions,get anchorAlignment(){return c.options.anchorAlignmentProvider()}}}this.updateTooltip(),this.updateEnabled()}getTooltip(){let e=null;return this.action.tooltip?e=this.action.tooltip:this.action.label&&(e=this.action.label),e??void 0}setActionContext(e){super.setActionContext(e),this.dropdownMenu&&(this.dropdownMenu.menuOptions?this.dropdownMenu.menuOptions.context=e:this.dropdownMenu.menuOptions={context:e})}show(){var e;(e=this.dropdownMenu)==null||e.show()}updateEnabled(){var i,n;const e=!this.action.enabled;(i=this.actionItem)==null||i.classList.toggle("disabled",e),(n=this.element)==null||n.classList.toggle("disabled",e)}}function iyi(l){return l&&typeof l=="object"&&typeof l.original=="string"&&typeof l.value=="string"}function nyi(l){return l?l.condition!==void 0:!1}var Gq;(function(l){l[l.STORAGE_DOES_NOT_EXIST=0]="STORAGE_DOES_NOT_EXIST",l[l.STORAGE_IN_MEMORY=1]="STORAGE_IN_MEMORY"})(Gq||(Gq={}));var cq;(function(l){l[l.None=0]="None",l[l.Initialized=1]="Initialized",l[l.Closed=2]="Closed"})(cq||(cq={}));const oCe=class oCe extends xi{constructor(e,i=Object.create(null)){super(),this.database=e,this.options=i,this._onDidChangeStorage=this._register(new CB),this.onDidChangeStorage=this._onDidChangeStorage.event,this.state=cq.None,this.cache=new Map,this.flushDelayer=this._register(new l2t(oCe.DEFAULT_FLUSH_DELAY)),this.pendingDeletes=new Set,this.pendingInserts=new Map,this.whenFlushedCallbacks=[],this.registerListeners()}registerListeners(){this._register(this.database.onDidChangeItemsExternal(e=>this.onDidChangeItemsExternal(e)))}onDidChangeItemsExternal(e){var i,n;this._onDidChangeStorage.pause();try{(i=e.changed)==null||i.forEach((s,c)=>this.acceptExternal(c,s)),(n=e.deleted)==null||n.forEach(s=>this.acceptExternal(s,void 0))}finally{this._onDidChangeStorage.resume()}}acceptExternal(e,i){if(this.state===cq.Closed)return;let n=!1;pw(i)?n=this.cache.delete(e):this.cache.get(e)!==i&&(this.cache.set(e,i),n=!0),n&&this._onDidChangeStorage.fire({key:e,external:!0})}get(e,i){const n=this.cache.get(e);return pw(n)?i:n}getBoolean(e,i){const n=this.get(e);return pw(n)?i:n==="true"}getNumber(e,i){const n=this.get(e);return pw(n)?i:parseInt(n,10)}async set(e,i,n=!1){if(this.state===cq.Closed)return;if(pw(i))return this.delete(e,n);const s=gg(i)||Array.isArray(i)?Mgi(i):String(i);if(this.cache.get(e)!==s)return this.cache.set(e,s),this.pendingInserts.set(e,s),this.pendingDeletes.delete(e),this._onDidChangeStorage.fire({key:e,external:n}),this.doFlush()}async delete(e,i=!1){if(!(this.state===cq.Closed||!this.cache.delete(e)))return this.pendingDeletes.has(e)||this.pendingDeletes.add(e),this.pendingInserts.delete(e),this._onDidChangeStorage.fire({key:e,external:i}),this.doFlush()}get hasPending(){return this.pendingInserts.size>0||this.pendingDeletes.size>0}async flushPending(){if(!this.hasPending)return;const e={insert:this.pendingInserts,delete:this.pendingDeletes};return this.pendingDeletes=new Set,this.pendingInserts=new Map,this.database.updateItems(e).finally(()=>{var i;if(!this.hasPending)for(;this.whenFlushedCallbacks.length;)(i=this.whenFlushedCallbacks.pop())==null||i()})}async doFlush(e){return this.options.hint===Gq.STORAGE_IN_MEMORY?this.flushPending():this.flushDelayer.trigger(()=>this.flushPending(),e)}};oCe.DEFAULT_FLUSH_DELAY=100;let Lie=oCe;class zFe{constructor(){this.onDidChangeItemsExternal=Tr.None,this.items=new Map}async updateItems(e){var i,n;(i=e.insert)==null||i.forEach((s,c)=>this.items.set(c,s)),(n=e.delete)==null||n.forEach(s=>this.items.delete(s))}}const m1e="__$__targetStorageMarker",ET=jc("storageService");var cre;(function(l){l[l.NONE=0]="NONE",l[l.SHUTDOWN=1]="SHUTDOWN"})(cre||(cre={}));function ryi(l){const e=l.get(m1e);if(e)try{return JSON.parse(e)}catch{}return Object.create(null)}const aCe=class aCe extends xi{constructor(e={flushInterval:aCe.DEFAULT_FLUSH_INTERVAL}){super(),this.options=e,this._onDidChangeValue=this._register(new CB),this._onDidChangeTarget=this._register(new CB),this._onWillSaveState=this._register(new gi),this.onWillSaveState=this._onWillSaveState.event,this._workspaceKeyTargets=void 0,this._profileKeyTargets=void 0,this._applicationKeyTargets=void 0}onDidChangeValue(e,i,n){return Tr.filter(this._onDidChangeValue.event,s=>s.scope===e&&(i===void 0||s.key===i),n)}emitDidChangeValue(e,i){const{key:n,external:s}=i;if(n===m1e){switch(e){case-1:this._applicationKeyTargets=void 0;break;case 0:this._profileKeyTargets=void 0;break;case 1:this._workspaceKeyTargets=void 0;break}this._onDidChangeTarget.fire({scope:e})}else this._onDidChangeValue.fire({scope:e,key:n,target:this.getKeyTargets(e)[n],external:s})}get(e,i,n){var s;return(s=this.getStorage(i))==null?void 0:s.get(e,n)}getBoolean(e,i,n){var s;return(s=this.getStorage(i))==null?void 0:s.getBoolean(e,n)}getNumber(e,i,n){var s;return(s=this.getStorage(i))==null?void 0:s.getNumber(e,n)}store(e,i,n,s,c=!1){if(pw(i)){this.remove(e,n,c);return}this.withPausedEmitters(()=>{var d;this.updateKeyTarget(e,n,s),(d=this.getStorage(n))==null||d.set(e,i,c)})}remove(e,i,n=!1){this.withPausedEmitters(()=>{var s;this.updateKeyTarget(e,i,void 0),(s=this.getStorage(i))==null||s.delete(e,n)})}withPausedEmitters(e){this._onDidChangeValue.pause(),this._onDidChangeTarget.pause();try{e()}finally{this._onDidChangeValue.resume(),this._onDidChangeTarget.resume()}}updateKeyTarget(e,i,n,s=!1){var d,f;const c=this.getKeyTargets(i);typeof n=="number"?c[e]!==n&&(c[e]=n,(d=this.getStorage(i))==null||d.set(m1e,JSON.stringify(c),s)):typeof c[e]=="number"&&(delete c[e],(f=this.getStorage(i))==null||f.set(m1e,JSON.stringify(c),s))}get workspaceKeyTargets(){return this._workspaceKeyTargets||(this._workspaceKeyTargets=this.loadKeyTargets(1)),this._workspaceKeyTargets}get profileKeyTargets(){return this._profileKeyTargets||(this._profileKeyTargets=this.loadKeyTargets(0)),this._profileKeyTargets}get applicationKeyTargets(){return this._applicationKeyTargets||(this._applicationKeyTargets=this.loadKeyTargets(-1)),this._applicationKeyTargets}getKeyTargets(e){switch(e){case-1:return this.applicationKeyTargets;case 0:return this.profileKeyTargets;default:return this.workspaceKeyTargets}}loadKeyTargets(e){const i=this.getStorage(e);return i?ryi(i):Object.create(null)}};aCe.DEFAULT_FLUSH_INTERVAL=60*1e3;let zVe=aCe;class syi extends zVe{constructor(){super(),this.applicationStorage=this._register(new Lie(new zFe,{hint:Gq.STORAGE_IN_MEMORY})),this.profileStorage=this._register(new Lie(new zFe,{hint:Gq.STORAGE_IN_MEMORY})),this.workspaceStorage=this._register(new Lie(new zFe,{hint:Gq.STORAGE_IN_MEMORY})),this._register(this.workspaceStorage.onDidChangeStorage(e=>this.emitDidChangeValue(1,e))),this._register(this.profileStorage.onDidChangeStorage(e=>this.emitDidChangeValue(0,e))),this._register(this.applicationStorage.onDidChangeStorage(e=>this.emitDidChangeValue(-1,e)))}getStorage(e){switch(e){case-1:return this.applicationStorage;case 0:return this.profileStorage;default:return this.workspaceStorage}}}function oyi(l,e){const i={...e};for(const n in l){const s=l[n];i[n]=s!==void 0?cs(s):void 0}return i}const ayi={keybindingLabelBackground:cs(Zpi),keybindingLabelForeground:cs(Ypi),keybindingLabelBorder:cs(emi),keybindingLabelBottomBorder:cs(tmi),keybindingLabelShadow:cs(vJ)},cyi={buttonForeground:cs(VTt),buttonSeparator:cs(Bpi),buttonBackground:cs(iie),buttonHoverBackground:cs(Wpi),buttonSecondaryForeground:cs(Hpi),buttonSecondaryBackground:cs(uVe),buttonSecondaryHoverBackground:cs(jpi),buttonBorder:cs(Vpi)},lyi={progressBarBackground:cs(Z_i)},Hye={inputActiveOptionBorder:cs(nwe),inputActiveOptionForeground:cs(rwe),inputActiveOptionBackground:cs(yse)};cs(nie),cs(zpi),cs(Upi),cs(qpi),cs($pi),cs(Jpi),cs(Gpi);cs(Kpi),cs(Qpi),cs(Xpi);cs(Cw),cs(ewe),cs(vJ),cs(bl),cs(vpi),cs(bpi),cs(Cpi),cs(X_i);const jye={inputBackground:cs(lVe),inputForeground:cs(BTt),inputBorder:cs(WTt),inputValidationInfoBorder:cs(Npi),inputValidationInfoBackground:cs(Epi),inputValidationInfoForeground:cs(Ipi),inputValidationWarningBorder:cs(Api),inputValidationWarningBackground:cs(Lpi),inputValidationWarningForeground:cs(Ppi),inputValidationErrorBorder:cs(Rpi),inputValidationErrorBackground:cs(Opi),inputValidationErrorForeground:cs(Mpi)},uyi={listFilterWidgetBackground:cs(_mi),listFilterWidgetOutline:cs(pmi),listFilterWidgetNoMatchesOutline:cs(mmi),listFilterWidgetShadow:cs(gmi),inputBoxStyles:jye,toggleStyles:Hye},ODt={badgeBackground:cs(f1e),badgeForeground:cs(Q_i),badgeBorder:cs(bl)};cs(gpi),cs(mpi),cs(Tbt),cs(Tbt),cs(ypi);const tW={listBackground:void 0,listInactiveFocusForeground:void 0,listFocusBackground:cs(imi),listFocusForeground:cs(nmi),listFocusOutline:cs(rmi),listActiveSelectionBackground:cs(EB),listActiveSelectionForeground:cs(Xne),listActiveSelectionIconForeground:cs(HTt),listFocusAndSelectionOutline:cs(smi),listFocusAndSelectionBackground:cs(EB),listFocusAndSelectionForeground:cs(Xne),listInactiveSelectionBackground:cs(omi),listInactiveSelectionIconForeground:cs(cmi),listInactiveSelectionForeground:cs(ami),listInactiveFocusBackground:cs(lmi),listInactiveFocusOutline:cs(umi),listHoverBackground:cs(jTt),listHoverForeground:cs(zTt),listDropOverBackground:cs(dmi),listDropBetweenBackground:cs(fmi),listSelectionOutline:cs(yf),listHoverOutline:cs(yf),treeIndentGuidesStroke:cs(UTt),treeInactiveIndentGuidesStroke:cs(ymi),treeStickyScrollBackground:void 0,treeStickyScrollBorder:void 0,treeStickyScrollShadow:cs(L$e),tableColumnsBorder:cs(vmi),tableOddRowsBackgroundColor:cs(bmi)};function iW(l){return oyi(l,tW)}const dyi={selectBackground:cs(swe),selectListBackground:cs(Fpi),selectForeground:cs(F$e),decoratorRightForeground:cs(qTt),selectBorder:cs(B$e),focusBorder:cs(NL),listFocusBackground:cs(Zne),listInactiveSelectionIconForeground:cs(W$e),listFocusForeground:cs(Qne),listFocusOutline:U_i(yf,In.transparent.toString()),listHoverBackground:cs(jTt),listHoverForeground:cs(zTt),listHoverOutline:cs(yf),selectListBorder:cs(P$e),listBackground:void 0,listActiveSelectionBackground:void 0,listActiveSelectionForeground:void 0,listActiveSelectionIconForeground:void 0,listFocusAndSelectionBackground:void 0,listDropOverBackground:void 0,listDropBetweenBackground:void 0,listInactiveSelectionBackground:void 0,listInactiveSelectionForeground:void 0,listInactiveFocusBackground:void 0,listInactiveFocusOutline:void 0,listSelectionOutline:void 0,listFocusAndSelectionForeground:void 0,listFocusAndSelectionOutline:void 0,listInactiveFocusForeground:void 0,tableColumnsBorder:void 0,tableOddRowsBackgroundColor:void 0,treeIndentGuidesStroke:void 0,treeInactiveIndentGuidesStroke:void 0,treeStickyScrollBackground:void 0,treeStickyScrollBorder:void 0,treeStickyScrollShadow:void 0},fyi={shadowColor:cs(vJ),borderColor:cs(Cmi),foregroundColor:cs(Smi),backgroundColor:cs(wmi),selectionForegroundColor:cs(xmi),selectionBackgroundColor:cs(kmi),selectionBorderColor:cs(Tmi),separatorColor:cs(Dmi),scrollbarShadow:cs(L$e),scrollbarSliderBackground:cs(NTt),scrollbarSliderHoverBackground:cs(LTt),scrollbarSliderActiveBackground:cs(PTt)};var ywe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Tb=function(l,e){return function(i,n){e(i,n,l)}};function hyi(l,e,i,n){let s,c,d;if(Array.isArray(l))d=l,s=e,c=i;else{const v=e;d=l.getActions(v),s=i,c=n}const f=W4.getInstance(),m=f.keyStatus.altKey||(I0||Cv)&&f.keyStatus.shiftKey;MDt(d,s,m,c?v=>v===c:v=>v==="navigation")}function vwe(l,e,i,n,s,c){let d,f,m,v,x;if(Array.isArray(l))x=l,d=e,f=i,m=n,v=s;else{const I=e;x=l.getActions(I),d=i,f=n,m=s,v=c}MDt(x,d,!1,typeof f=="string"?I=>I===f:f,m,v)}function MDt(l,e,i,n=d=>d==="navigation",s=()=>!1,c=!1){let d,f;Array.isArray(e)?(d=e,f=e):(d=e.primary,f=e.secondary);const m=new Set;for(const[v,x]of l){let w;n(v)?(w=d,w.length>0&&c&&w.push(new Rm)):(w=f,w.length>0&&w.push(new Rm));for(let I of x){i&&(I=I instanceof JC&&I.alt?I.alt:I);const P=w.push(I);I instanceof T$&&m.add({group:v,action:I,index:P-1})}}for(const{group:v,action:x,index:w}of m){const I=n(v)?d:f,P=x.actions;s(x,v,I.length)&&I.splice(w,1,...P)}}let TR=class extends V${constructor(e,i,n,s,c,d,f,m){super(void 0,e,{icon:!!(e.class||e.item.icon),label:!e.class&&!e.item.icon,draggable:i==null?void 0:i.draggable,keybinding:i==null?void 0:i.keybinding,hoverDelegate:i==null?void 0:i.hoverDelegate}),this._options=i,this._keybindingService=n,this._notificationService=s,this._contextKeyService=c,this._themeService=d,this._contextMenuService=f,this._accessibilityService=m,this._wantsAltCommand=!1,this._itemClassDispose=this._register(new Fm),this._altKey=W4.getInstance()}get _menuItemAction(){return this._action}get _commandAction(){return this._wantsAltCommand&&this._menuItemAction.alt||this._menuItemAction}async onClick(e){e.preventDefault(),e.stopPropagation();try{await this.actionRunner.run(this._commandAction,this._context)}catch(i){this._notificationService.error(i)}}render(e){if(super.render(e),e.classList.add("menu-entry"),this.options.icon&&this._updateItemClass(this._menuItemAction.item),this._menuItemAction.alt){let i=!1;const n=()=>{var c;const s=!!((c=this._menuItemAction.alt)!=null&&c.enabled)&&(!this._accessibilityService.isMotionReduced()||i)&&(this._altKey.keyStatus.altKey||this._altKey.keyStatus.shiftKey&&i);s!==this._wantsAltCommand&&(this._wantsAltCommand=s,this.updateLabel(),this.updateTooltip(),this.updateClass())};this._register(this._altKey.event(n)),this._register(en(e,"mouseleave",s=>{i=!1,n()})),this._register(en(e,"mouseenter",s=>{i=!0,n()})),n()}}updateLabel(){this.options.label&&this.label&&(this.label.textContent=this._commandAction.label)}getTooltip(){var c;const e=this._keybindingService.lookupKeybinding(this._commandAction.id,this._contextKeyService),i=e&&e.getLabel(),n=this._commandAction.tooltip||this._commandAction.label;let s=i?W("titleAndKb","{0} ({1})",n,i):n;if(!this._wantsAltCommand&&((c=this._menuItemAction.alt)!=null&&c.enabled)){const d=this._menuItemAction.alt.tooltip||this._menuItemAction.alt.label,f=this._keybindingService.lookupKeybinding(this._menuItemAction.alt.id,this._contextKeyService),m=f&&f.getLabel(),v=m?W("titleAndKb","{0} ({1})",d,m):d;s=W("titleAndKbAndAlt",`{0}
+[{1}] {2}`,s,tJe.modifierLabels[UC].altKey,v)}return s}updateClass(){this.options.icon&&(this._commandAction!==this._menuItemAction?this._menuItemAction.alt&&this._updateItemClass(this._menuItemAction.alt.item):this._updateItemClass(this._menuItemAction.item))}_updateItemClass(e){this._itemClassDispose.value=void 0;const{element:i,label:n}=this;if(!i||!n)return;const s=this._commandAction.checked&&nyi(e.toggled)&&e.toggled.icon?e.toggled.icon:e.icon;if(s)if(zo.isThemeIcon(s)){const c=zo.asClassNameArray(s);n.classList.add(...c),this._itemClassDispose.value=fo(()=>{n.classList.remove(...c)})}else n.style.backgroundImage=I$(this._themeService.getColorTheme().type)?l6(s.dark):l6(s.light),n.classList.add("icon"),this._itemClassDispose.value=gT(fo(()=>{n.style.backgroundImage="",n.classList.remove("icon")}),this._themeService.onDidColorThemeChange(()=>{this.updateClass()}))}};TR=ywe([Tb(2,pu),Tb(3,w_),Tb(4,Ko),Tb(5,im),Tb(6,eS),Tb(7,tS)],TR);class sJe extends TR{render(e){var i;this.options.label=!0,this.options.icon=!1,super.render(e),e.classList.add("text-only"),e.classList.toggle("use-comma",((i=this._options)==null?void 0:i.useComma)??!1)}updateLabel(){var i;const e=this._keybindingService.lookupKeybinding(this._action.id,this._contextKeyService);if(!e)return super.updateLabel();if(this.label){const n=sJe._symbolPrintEnter(e);(i=this._options)!=null&&i.conversational?this.label.textContent=W({key:"content2",comment:['A label with keybindg like "ESC to dismiss"']},"{1} to {0}",this._action.label,n):this.label.textContent=W({key:"content",comment:["A label","A keybinding"]},"{0} ({1})",this._action.label,n)}}static _symbolPrintEnter(e){var i;return(i=e.getLabel())==null?void 0:i.replace(/\benter\b/gi,"⏎").replace(/\bEscape\b/gi,"Esc")}}let UVe=class extends Vye{constructor(e,i,n,s,c){const d={...i,menuAsChild:(i==null?void 0:i.menuAsChild)??!1,classNames:(i==null?void 0:i.classNames)??(zo.isThemeIcon(e.item.icon)?zo.asClassName(e.item.icon):void 0),keybindingProvider:(i==null?void 0:i.keybindingProvider)??(f=>n.lookupKeybinding(f.id))};super(e,{getActions:()=>e.actions},s,d),this._keybindingService=n,this._contextMenuService=s,this._themeService=c}render(e){super.render(e),Fl(this.element),e.classList.add("menu-entry");const i=this._action,{icon:n}=i.item;if(n&&!zo.isThemeIcon(n)){this.element.classList.add("icon");const s=()=>{this.element&&(this.element.style.backgroundImage=I$(this._themeService.getColorTheme().type)?l6(n.dark):l6(n.light))};s(),this._register(this._themeService.onDidColorThemeChange(()=>{s()}))}}};UVe=ywe([Tb(2,pu),Tb(3,eS),Tb(4,im)],UVe);let qVe=class extends xE{constructor(e,i,n,s,c,d,f,m){super(null,e),this._keybindingService=n,this._notificationService=s,this._contextMenuService=c,this._menuService=d,this._instaService=f,this._storageService=m,this._container=null,this._options=i,this._storageKey=`${e.item.submenu.id}_lastActionId`;let v;const x=i!=null&&i.persistLastActionId?m.get(this._storageKey,1):void 0;x&&(v=e.actions.find(I=>x===I.id)),v||(v=e.actions[0]),this._defaultAction=this._instaService.createInstance(TR,v,{keybinding:this._getDefaultActionKeybindingLabel(v)});const w={keybindingProvider:I=>this._keybindingService.lookupKeybinding(I.id),...i,menuAsChild:(i==null?void 0:i.menuAsChild)??!0,classNames:(i==null?void 0:i.classNames)??["codicon","codicon-chevron-down"],actionRunner:(i==null?void 0:i.actionRunner)??new TB};this._dropdown=new Vye(e,e.actions,this._contextMenuService,w),this._register(this._dropdown.actionRunner.onDidRun(I=>{I.action instanceof JC&&this.update(I.action)}))}update(e){var i;(i=this._options)!=null&&i.persistLastActionId&&this._storageService.store(this._storageKey,e.id,1,1),this._defaultAction.dispose(),this._defaultAction=this._instaService.createInstance(TR,e,{keybinding:this._getDefaultActionKeybindingLabel(e)}),this._defaultAction.actionRunner=new class extends TB{async runAction(n,s){await n.run(void 0)}},this._container&&this._defaultAction.render(f$e(this._container,Cr(".action-container")))}_getDefaultActionKeybindingLabel(e){var n;let i;if((n=this._options)!=null&&n.renderKeybindingWithDefaultActionLabel){const s=this._keybindingService.lookupKeybinding(e.id);s&&(i=`(${s.getLabel()})`)}return i}setActionContext(e){super.setActionContext(e),this._defaultAction.setActionContext(e),this._dropdown.setActionContext(e)}render(e){this._container=e,super.render(this._container),this._container.classList.add("monaco-dropdown-with-default");const i=Cr(".action-container");this._defaultAction.render(jn(this._container,i)),this._register(en(i,br.KEY_DOWN,s=>{const c=new Id(s);c.equals(17)&&(this._defaultAction.element.tabIndex=-1,this._dropdown.focus(),c.stopPropagation())}));const n=Cr(".dropdown-action-container");this._dropdown.render(jn(this._container,n)),this._register(en(n,br.KEY_DOWN,s=>{var d;const c=new Id(s);c.equals(15)&&(this._defaultAction.element.tabIndex=0,this._dropdown.setFocusable(!1),(d=this._defaultAction.element)==null||d.focus(),c.stopPropagation())}))}focus(e){e?this._dropdown.focus():(this._defaultAction.element.tabIndex=0,this._defaultAction.element.focus())}blur(){this._defaultAction.element.tabIndex=-1,this._dropdown.blur(),this._container.blur()}setFocusable(e){e?this._defaultAction.element.tabIndex=0:(this._defaultAction.element.tabIndex=-1,this._dropdown.setFocusable(!1))}dispose(){this._defaultAction.dispose(),this._dropdown.dispose(),super.dispose()}};qVe=ywe([Tb(2,pu),Tb(3,w_),Tb(4,eS),Tb(5,Lw),Tb(6,ho),Tb(7,ET)],qVe);let $Ve=class extends Y1i{constructor(e,i){super(null,e,e.actions.map(n=>({text:n.id===Rm.ID?"─────────":n.label,isDisabled:!n.enabled})),0,i,dyi,{ariaLabel:e.tooltip,optionsAsChildren:!0}),this.select(Math.max(0,e.actions.findIndex(n=>n.checked)))}render(e){super.render(e),e.style.borderColor=cs(B$e)}runAction(e,i){const n=this.action.actions[i];n&&this.actionRunner.run(n)}};$Ve=ywe([Tb(1,b6)],$Ve);function RDt(l,e,i){return e instanceof JC?l.createInstance(TR,e,i):e instanceof zq?e.item.isSelection?l.createInstance($Ve,e):e.item.rememberDefaultAction?l.createInstance(qVe,e,{...i,persistLastActionId:!0}):l.createInstance(UVe,e,i):void 0}class kw extends xi{constructor(e,i={}){var c,d;super(),this._actionRunnerDisposables=this._register(new wn),this.viewItemDisposables=this._register(new Jqe),this.triggerKeyDown=!1,this.focusable=!0,this._onDidBlur=this._register(new gi),this.onDidBlur=this._onDidBlur.event,this._onDidCancel=this._register(new gi({onWillAddFirstListener:()=>this.cancelHasListener=!0})),this.onDidCancel=this._onDidCancel.event,this.cancelHasListener=!1,this._onDidRun=this._register(new gi),this.onDidRun=this._onDidRun.event,this._onWillRun=this._register(new gi),this.onWillRun=this._onWillRun.event,this.options=i,this._context=i.context??null,this._orientation=this.options.orientation??0,this._triggerKeys={keyDown:((c=this.options.triggerKeys)==null?void 0:c.keyDown)??!1,keys:((d=this.options.triggerKeys)==null?void 0:d.keys)??[3,10]},this._hoverDelegate=i.hoverDelegate??this._register(W$()),this.options.actionRunner?this._actionRunner=this.options.actionRunner:(this._actionRunner=new TB,this._actionRunnerDisposables.add(this._actionRunner)),this._actionRunnerDisposables.add(this._actionRunner.onDidRun(f=>this._onDidRun.fire(f))),this._actionRunnerDisposables.add(this._actionRunner.onWillRun(f=>this._onWillRun.fire(f))),this.viewItems=[],this.focusedItem=void 0,this.domNode=document.createElement("div"),this.domNode.className="monaco-action-bar";let n,s;switch(this._orientation){case 0:n=[15],s=[17];break;case 1:n=[16],s=[18],this.domNode.className+=" vertical";break}this._register(en(this.domNode,br.KEY_DOWN,f=>{const m=new Id(f);let v=!0;const x=typeof this.focusedItem=="number"?this.viewItems[this.focusedItem]:void 0;n&&(m.equals(n[0])||m.equals(n[1]))?v=this.focusPrevious():s&&(m.equals(s[0])||m.equals(s[1]))?v=this.focusNext():m.equals(9)&&this.cancelHasListener?this._onDidCancel.fire():m.equals(14)?v=this.focusFirst():m.equals(13)?v=this.focusLast():m.equals(2)&&x instanceof xE&&x.trapsArrowNavigation?v=this.focusNext(void 0,!0):this.isTriggerKeyEvent(m)?this._triggerKeys.keyDown?this.doTrigger(m):this.triggerKeyDown=!0:v=!1,v&&(m.preventDefault(),m.stopPropagation())})),this._register(en(this.domNode,br.KEY_UP,f=>{const m=new Id(f);this.isTriggerKeyEvent(m)?(!this._triggerKeys.keyDown&&this.triggerKeyDown&&(this.triggerKeyDown=!1,this.doTrigger(m)),m.preventDefault(),m.stopPropagation()):(m.equals(2)||m.equals(1026)||m.equals(16)||m.equals(18)||m.equals(15)||m.equals(17))&&this.updateFocusedItem()})),this.focusTracker=this._register(zE(this.domNode)),this._register(this.focusTracker.onDidBlur(()=>{(D0()===this.domNode||!Am(D0(),this.domNode))&&(this._onDidBlur.fire(),this.previouslyFocusedItem=this.focusedItem,this.focusedItem=void 0,this.triggerKeyDown=!1)})),this._register(this.focusTracker.onDidFocus(()=>this.updateFocusedItem())),this.actionsList=document.createElement("ul"),this.actionsList.className="actions-container",this.options.highlightToggledItems&&this.actionsList.classList.add("highlight-toggled"),this.actionsList.setAttribute("role",this.options.ariaRole||"toolbar"),this.options.ariaLabel&&this.actionsList.setAttribute("aria-label",this.options.ariaLabel),this.domNode.appendChild(this.actionsList),e.appendChild(this.domNode)}refreshRole(){this.length()>=1?this.actionsList.setAttribute("role",this.options.ariaRole||"toolbar"):this.actionsList.setAttribute("role","presentation")}setFocusable(e){if(this.focusable=e,this.focusable){const i=this.viewItems.find(n=>n instanceof xE&&n.isEnabled());i instanceof xE&&i.setFocusable(!0)}else this.viewItems.forEach(i=>{i instanceof xE&&i.setFocusable(!1)})}isTriggerKeyEvent(e){let i=!1;return this._triggerKeys.keys.forEach(n=>{i=i||e.equals(n)}),i}updateFocusedItem(){var e,i;for(let n=0;n<this.actionsList.children.length;n++){const s=this.actionsList.children[n];if(Am(D0(),s)){this.focusedItem=n,(i=(e=this.viewItems[this.focusedItem])==null?void 0:e.showHover)==null||i.call(e);break}}}get context(){return this._context}set context(e){this._context=e,this.viewItems.forEach(i=>i.setActionContext(e))}get actionRunner(){return this._actionRunner}set actionRunner(e){this._actionRunner=e,this._actionRunnerDisposables.clear(),this._actionRunnerDisposables.add(this._actionRunner.onDidRun(i=>this._onDidRun.fire(i))),this._actionRunnerDisposables.add(this._actionRunner.onWillRun(i=>this._onWillRun.fire(i))),this.viewItems.forEach(i=>i.actionRunner=e)}getContainer(){return this.domNode}getAction(e){var i;if(typeof e=="number")return(i=this.viewItems[e])==null?void 0:i.action;if(Mm(e)){for(;e.parentElement!==this.actionsList;){if(!e.parentElement)return;e=e.parentElement}for(let n=0;n<this.actionsList.childNodes.length;n++)if(this.actionsList.childNodes[n]===e)return this.viewItems[n].action}}push(e,i={}){const n=Array.isArray(e)?e:[e];let s=pR(i.index)?i.index:null;n.forEach(c=>{const d=document.createElement("li");d.className="action-item",d.setAttribute("role","presentation");let f;const m={hoverDelegate:this._hoverDelegate,...i,isTabList:this.options.ariaRole==="tablist"};this.options.actionViewItemProvider&&(f=this.options.actionViewItemProvider(c,m)),f||(f=new V$(this.context,c,m)),this.options.allowContextMenu||this.viewItemDisposables.set(f,en(d,br.CONTEXT_MENU,v=>{il.stop(v,!0)})),f.actionRunner=this._actionRunner,f.setActionContext(this.context),f.render(d),this.focusable&&f instanceof xE&&this.viewItems.length===0&&f.setFocusable(!0),s===null||s<0||s>=this.actionsList.children.length?(this.actionsList.appendChild(d),this.viewItems.push(f)):(this.actionsList.insertBefore(d,this.actionsList.children[s]),this.viewItems.splice(s,0,f),s++)}),typeof this.focusedItem=="number"&&this.focus(this.focusedItem),this.refreshRole()}clear(){this.isEmpty()||(this.viewItems=vd(this.viewItems),this.viewItemDisposables.clearAndDisposeAll(),s0(this.actionsList),this.refreshRole())}length(){return this.viewItems.length}isEmpty(){return this.viewItems.length===0}focus(e){let i=!1,n;if(e===void 0?i=!0:typeof e=="number"?n=e:typeof e=="boolean"&&(i=e),i&&typeof this.focusedItem>"u"){const s=this.viewItems.findIndex(c=>c.isEnabled());this.focusedItem=s===-1?void 0:s,this.updateFocus(void 0,void 0,!0)}else n!==void 0&&(this.focusedItem=n),this.updateFocus(void 0,void 0,!0)}focusFirst(){return this.focusedItem=this.length()-1,this.focusNext(!0)}focusLast(){return this.focusedItem=0,this.focusPrevious(!0)}focusNext(e,i){if(typeof this.focusedItem>"u")this.focusedItem=this.viewItems.length-1;else if(this.viewItems.length<=1)return!1;const n=this.focusedItem;let s;do{if(!e&&this.options.preventLoopNavigation&&this.focusedItem+1>=this.viewItems.length)return this.focusedItem=n,!1;this.focusedItem=(this.focusedItem+1)%this.viewItems.length,s=this.viewItems[this.focusedItem]}while(this.focusedItem!==n&&(this.options.focusOnlyEnabledItems&&!s.isEnabled()||s.action.id===Rm.ID));return this.updateFocus(void 0,void 0,i),!0}focusPrevious(e){if(typeof this.focusedItem>"u")this.focusedItem=0;else if(this.viewItems.length<=1)return!1;const i=this.focusedItem;let n;do{if(this.focusedItem=this.focusedItem-1,this.focusedItem<0){if(!e&&this.options.preventLoopNavigation)return this.focusedItem=i,!1;this.focusedItem=this.viewItems.length-1}n=this.viewItems[this.focusedItem]}while(this.focusedItem!==i&&(this.options.focusOnlyEnabledItems&&!n.isEnabled()||n.action.id===Rm.ID));return this.updateFocus(!0),!0}updateFocus(e,i,n=!1){var c,d;typeof this.focusedItem>"u"&&this.actionsList.focus({preventScroll:i}),this.previouslyFocusedItem!==void 0&&this.previouslyFocusedItem!==this.focusedItem&&((c=this.viewItems[this.previouslyFocusedItem])==null||c.blur());const s=this.focusedItem!==void 0?this.viewItems[this.focusedItem]:void 0;if(s){let f=!0;Ine(s.focus)||(f=!1),this.options.focusOnlyEnabledItems&&Ine(s.isEnabled)&&!s.isEnabled()&&(f=!1),s.action.id===Rm.ID&&(f=!1),f?(n||this.previouslyFocusedItem!==this.focusedItem)&&(s.focus(e),this.previouslyFocusedItem=this.focusedItem):(this.actionsList.focus({preventScroll:i}),this.previouslyFocusedItem=void 0),f&&((d=s.showHover)==null||d.call(s))}}doTrigger(e){if(typeof this.focusedItem>"u")return;const i=this.viewItems[this.focusedItem];if(i instanceof xE){const n=i._context===null||i._context===void 0?e:i._context;this.run(i._action,n)}}async run(e,i){await this._actionRunner.run(e,i)}dispose(){this._context=void 0,this.viewItems=vd(this.viewItems),this.getContainer().remove(),super.dispose()}}const JVe=/\(&([^\s&])\)|(^|[^&])&([^\s&])/,UFe=/(&amp;)?(&amp;)([^\s&])/g;var zye;(function(l){l[l.Right=0]="Right",l[l.Left=1]="Left"})(zye||(zye={}));var GVe;(function(l){l[l.Above=0]="Above",l[l.Below=1]="Below"})(GVe||(GVe={}));class Kq extends kw{constructor(e,i,n,s){e.classList.add("monaco-menu-container"),e.setAttribute("role","presentation");const c=document.createElement("div");c.classList.add("monaco-menu"),c.setAttribute("role","presentation"),super(c,{orientation:1,actionViewItemProvider:v=>this.doGetActionViewItem(v,n,d),context:n.context,actionRunner:n.actionRunner,ariaLabel:n.ariaLabel,ariaRole:"menu",focusOnlyEnabledItems:!0,triggerKeys:{keys:[3,...Hc||Cv?[10]:[]],keyDown:!0}}),this.menuStyles=s,this.menuElement=c,this.actionsList.tabIndex=0,this.initializeOrUpdateStyleSheet(e,s),this._register(y1.addTarget(c)),this._register(en(c,br.KEY_DOWN,v=>{new Id(v).equals(2)&&v.preventDefault()})),n.enableMnemonics&&this._register(en(c,br.KEY_DOWN,v=>{const x=v.key.toLocaleLowerCase();if(this.mnemonics.has(x)){il.stop(v,!0);const w=this.mnemonics.get(x);if(w.length===1&&(w[0]instanceof _Ct&&w[0].container&&this.focusItemByElement(w[0].container),w[0].onClick(v)),w.length>1){const I=w.shift();I&&I.container&&(this.focusItemByElement(I.container),w.push(I)),this.mnemonics.set(x,w)}}})),Cv&&this._register(en(c,br.KEY_DOWN,v=>{const x=new Id(v);x.equals(14)||x.equals(11)?(this.focusedItem=this.viewItems.length-1,this.focusNext(),il.stop(v,!0)):(x.equals(13)||x.equals(12))&&(this.focusedItem=0,this.focusPrevious(),il.stop(v,!0))})),this._register(en(this.domNode,br.MOUSE_OUT,v=>{const x=v.relatedTarget;Am(x,this.domNode)||(this.focusedItem=void 0,this.updateFocus(),v.stopPropagation())})),this._register(en(this.actionsList,br.MOUSE_OVER,v=>{let x=v.target;if(!(!x||!Am(x,this.actionsList)||x===this.actionsList)){for(;x.parentElement!==this.actionsList&&x.parentElement!==null;)x=x.parentElement;if(x.classList.contains("action-item")){const w=this.focusedItem;this.setFocusedItem(x),w!==this.focusedItem&&this.updateFocus()}}})),this._register(y1.addTarget(this.actionsList)),this._register(en(this.actionsList,Ud.Tap,v=>{let x=v.initialTarget;if(!(!x||!Am(x,this.actionsList)||x===this.actionsList)){for(;x.parentElement!==this.actionsList&&x.parentElement!==null;)x=x.parentElement;if(x.classList.contains("action-item")){const w=this.focusedItem;this.setFocusedItem(x),w!==this.focusedItem&&this.updateFocus()}}}));const d={parent:this};this.mnemonics=new Map,this.scrollableElement=this._register(new vse(c,{alwaysConsumeMouseWheel:!0,horizontal:2,vertical:3,verticalScrollbarSize:7,handleMouseWheel:!0,useShadows:!0}));const f=this.scrollableElement.getDomNode();f.style.position="",this.styleScrollElement(f,s),this._register(en(c,Ud.Change,v=>{il.stop(v,!0);const x=this.scrollableElement.getScrollPosition().scrollTop;this.scrollableElement.setScrollPosition({scrollTop:x-v.translationY})})),this._register(en(f,br.MOUSE_UP,v=>{v.preventDefault()}));const m=Eo(e);c.style.maxHeight=`${Math.max(10,m.innerHeight-e.getBoundingClientRect().top-35)}px`,i=i.filter((v,x)=>{var w;return(w=n.submenuIds)!=null&&w.has(v.id)?(console.warn(`Found submenu cycle: ${v.id}`),!1):!(v instanceof Rm&&(x===i.length-1||x===0||i[x-1]instanceof Rm))}),this.push(i,{icon:!0,label:!0,isMenu:!0}),e.appendChild(this.scrollableElement.getDomNode()),this.scrollableElement.scanDomNode(),this.viewItems.filter(v=>!(v instanceof pCt)).forEach((v,x,w)=>{v.updatePositionInSet(x+1,w.length)})}initializeOrUpdateStyleSheet(e,i){this.styleSheet||(cye(e)?this.styleSheet=Dw(e):(Kq.globalStyleSheet||(Kq.globalStyleSheet=Dw()),this.styleSheet=Kq.globalStyleSheet)),this.styleSheet.textContent=pyi(i,cye(e))}styleScrollElement(e,i){const n=i.foregroundColor??"",s=i.backgroundColor??"",c=i.borderColor?`1px solid ${i.borderColor}`:"",d="5px",f=i.shadowColor?`0 2px 8px ${i.shadowColor}`:"";e.style.outline=c,e.style.borderRadius=d,e.style.color=n,e.style.backgroundColor=s,e.style.boxShadow=f}getContainer(){return this.scrollableElement.getDomNode()}get onScroll(){return this.scrollableElement.onScroll}focusItemByElement(e){const i=this.focusedItem;this.setFocusedItem(e),i!==this.focusedItem&&this.updateFocus()}setFocusedItem(e){for(let i=0;i<this.actionsList.children.length;i++){const n=this.actionsList.children[i];if(e===n){this.focusedItem=i;break}}}updateFocus(e){super.updateFocus(e,!0,!0),typeof this.focusedItem<"u"&&this.scrollableElement.setScrollPosition({scrollTop:Math.round(this.menuElement.scrollTop)})}doGetActionViewItem(e,i,n){if(e instanceof Rm)return new pCt(i.context,e,{icon:!0},this.menuStyles);if(e instanceof T$){const s=new _Ct(e,e.actions,n,{...i,submenuIds:new Set([...i.submenuIds||[],e.id])},this.menuStyles);if(i.enableMnemonics){const c=s.getMnemonic();if(c&&s.isEnabled()){let d=[];this.mnemonics.has(c)&&(d=this.mnemonics.get(c)),d.push(s),this.mnemonics.set(c,d)}}return s}else{const s={enableMnemonics:i.enableMnemonics,useEventAsContext:i.useEventAsContext};if(i.getKeyBinding){const d=i.getKeyBinding(e);if(d){const f=d.getLabel();f&&(s.keybinding=f)}}const c=new FDt(i.context,e,s,this.menuStyles);if(i.enableMnemonics){const d=c.getMnemonic();if(d&&c.isEnabled()){let f=[];this.mnemonics.has(d)&&(f=this.mnemonics.get(d)),f.push(c),this.mnemonics.set(d,f)}}return c}}}class FDt extends xE{constructor(e,i,n,s){if(n.isMenu=!0,super(i,i,n),this.menuStyle=s,this.options=n,this.options.icon=n.icon!==void 0?n.icon:!1,this.options.label=n.label!==void 0?n.label:!0,this.cssClass="",this.options.label&&n.enableMnemonics){const c=this.action.label;if(c){const d=JVe.exec(c);d&&(this.mnemonic=(d[1]?d[1]:d[3]).toLocaleLowerCase())}}this.runOnceToEnableMouseUp=new qu(()=>{this.element&&(this._register(en(this.element,br.MOUSE_UP,c=>{if(il.stop(c,!0),bk){if(new hT(Eo(this.element),c).rightButton)return;this.onClick(c)}else setTimeout(()=>{this.onClick(c)},0)})),this._register(en(this.element,br.CONTEXT_MENU,c=>{il.stop(c,!0)})))},100),this._register(this.runOnceToEnableMouseUp)}render(e){super.render(e),this.element&&(this.container=e,this.item=jn(this.element,Cr("a.action-menu-item")),this._action.id===Rm.ID?this.item.setAttribute("role","presentation"):(this.item.setAttribute("role","menuitem"),this.mnemonic&&this.item.setAttribute("aria-keyshortcuts",`${this.mnemonic}`)),this.check=jn(this.item,Cr("span.menu-item-check"+zo.asCSSSelector(pr.menuSelection))),this.check.setAttribute("role","none"),this.label=jn(this.item,Cr("span.action-label")),this.options.label&&this.options.keybinding&&(jn(this.item,Cr("span.keybinding")).textContent=this.options.keybinding),this.runOnceToEnableMouseUp.schedule(),this.updateClass(),this.updateLabel(),this.updateTooltip(),this.updateEnabled(),this.updateChecked(),this.applyStyle())}blur(){super.blur(),this.applyStyle()}focus(){var e;super.focus(),(e=this.item)==null||e.focus(),this.applyStyle()}updatePositionInSet(e,i){this.item&&(this.item.setAttribute("aria-posinset",`${e}`),this.item.setAttribute("aria-setsize",`${i}`))}updateLabel(){var e;if(this.label&&this.options.label){s0(this.label);let i=K$e(this.action.label);if(i){const n=_yi(i);this.options.enableMnemonics||(i=n),this.label.setAttribute("aria-label",n.replace(/&&/g,"&"));const s=JVe.exec(i);if(s){i=wie(i),UFe.lastIndex=0;let c=UFe.exec(i);for(;c&&c[1];)c=UFe.exec(i);const d=f=>f.replace(/&amp;&amp;/g,"&amp;");c?this.label.append(use(d(i.substr(0,c.index))," "),Cr("u",{"aria-hidden":"true"},c[3]),Ykt(d(i.substr(c.index+c[0].length))," ")):this.label.innerText=d(i).trim(),(e=this.item)==null||e.setAttribute("aria-keyshortcuts",(s[1]?s[1]:s[3]).toLocaleLowerCase())}else this.label.innerText=i.replace(/&&/g,"&").trim()}}}updateTooltip(){}updateClass(){this.cssClass&&this.item&&this.item.classList.remove(...this.cssClass.split(" ")),this.options.icon&&this.label?(this.cssClass=this.action.class||"",this.label.classList.add("icon"),this.cssClass&&this.label.classList.add(...this.cssClass.split(" ")),this.updateEnabled()):this.label&&this.label.classList.remove("icon")}updateEnabled(){this.action.enabled?(this.element&&(this.element.classList.remove("disabled"),this.element.removeAttribute("aria-disabled")),this.item&&(this.item.classList.remove("disabled"),this.item.removeAttribute("aria-disabled"),this.item.tabIndex=0)):(this.element&&(this.element.classList.add("disabled"),this.element.setAttribute("aria-disabled","true")),this.item&&(this.item.classList.add("disabled"),this.item.setAttribute("aria-disabled","true")))}updateChecked(){if(!this.item)return;const e=this.action.checked;this.item.classList.toggle("checked",!!e),e!==void 0?(this.item.setAttribute("role","menuitemcheckbox"),this.item.setAttribute("aria-checked",e?"true":"false")):(this.item.setAttribute("role","menuitem"),this.item.setAttribute("aria-checked",""))}getMnemonic(){return this.mnemonic}applyStyle(){const e=this.element&&this.element.classList.contains("focused"),i=e&&this.menuStyle.selectionForegroundColor?this.menuStyle.selectionForegroundColor:this.menuStyle.foregroundColor,n=e&&this.menuStyle.selectionBackgroundColor?this.menuStyle.selectionBackgroundColor:void 0,s=e&&this.menuStyle.selectionBorderColor?`1px solid ${this.menuStyle.selectionBorderColor}`:"",c=e&&this.menuStyle.selectionBorderColor?"-1px":"";this.item&&(this.item.style.color=i??"",this.item.style.backgroundColor=n??"",this.item.style.outline=s,this.item.style.outlineOffset=c),this.check&&(this.check.style.color=i??"")}}class _Ct extends FDt{constructor(e,i,n,s,c){super(e,e,s,c),this.submenuActions=i,this.parentData=n,this.submenuOptions=s,this.mysubmenu=null,this.submenuDisposables=this._register(new wn),this.mouseOver=!1,this.expandDirection=s&&s.expandDirection!==void 0?s.expandDirection:{horizontal:zye.Right,vertical:GVe.Below},this.showScheduler=new qu(()=>{this.mouseOver&&(this.cleanupExistingSubmenu(!1),this.createSubmenu(!1))},250),this.hideScheduler=new qu(()=>{this.element&&!Am(D0(),this.element)&&this.parentData.submenu===this.mysubmenu&&(this.parentData.parent.focus(!1),this.cleanupExistingSubmenu(!0))},750)}render(e){super.render(e),this.element&&(this.item&&(this.item.classList.add("monaco-submenu-item"),this.item.tabIndex=0,this.item.setAttribute("aria-haspopup","true"),this.updateAriaExpanded("false"),this.submenuIndicator=jn(this.item,Cr("span.submenu-indicator"+zo.asCSSSelector(pr.menuSubmenu))),this.submenuIndicator.setAttribute("aria-hidden","true")),this._register(en(this.element,br.KEY_UP,i=>{const n=new Id(i);(n.equals(17)||n.equals(3))&&(il.stop(i,!0),this.createSubmenu(!0))})),this._register(en(this.element,br.KEY_DOWN,i=>{const n=new Id(i);D0()===this.item&&(n.equals(17)||n.equals(3))&&il.stop(i,!0)})),this._register(en(this.element,br.MOUSE_OVER,i=>{this.mouseOver||(this.mouseOver=!0,this.showScheduler.schedule())})),this._register(en(this.element,br.MOUSE_LEAVE,i=>{this.mouseOver=!1})),this._register(en(this.element,br.FOCUS_OUT,i=>{this.element&&!Am(D0(),this.element)&&this.hideScheduler.schedule()})),this._register(this.parentData.parent.onScroll(()=>{this.parentData.submenu===this.mysubmenu&&(this.parentData.parent.focus(!1),this.cleanupExistingSubmenu(!0))})))}updateEnabled(){}onClick(e){il.stop(e,!0),this.cleanupExistingSubmenu(!1),this.createSubmenu(!0)}cleanupExistingSubmenu(e){if(this.parentData.submenu&&(e||this.parentData.submenu!==this.mysubmenu)){try{this.parentData.submenu.dispose()}catch{}this.parentData.submenu=void 0,this.updateAriaExpanded("false"),this.submenuContainer&&(this.submenuDisposables.clear(),this.submenuContainer=void 0)}}calculateSubmenuMenuLayout(e,i,n,s){const c={top:0,left:0};return c.left=sq(e.width,i.width,{position:s.horizontal===zye.Right?0:1,offset:n.left,size:n.width}),c.left>=n.left&&c.left<n.left+n.width&&(n.left+10+i.width<=e.width&&(c.left=n.left+10),n.top+=10,n.height=0),c.top=sq(e.height,i.height,{position:0,offset:n.top,size:0}),c.top+i.height===n.top&&c.top+n.height+i.height<=e.height&&(c.top+=n.height),c}createSubmenu(e=!0){if(this.element)if(this.parentData.submenu)this.parentData.submenu.focus(!1);else{this.updateAriaExpanded("true"),this.submenuContainer=jn(this.element,Cr("div.monaco-submenu")),this.submenuContainer.classList.add("menubar-menu-items-holder","context-view");const i=Eo(this.parentData.parent.domNode).getComputedStyle(this.parentData.parent.domNode),n=parseFloat(i.paddingTop||"0")||0;this.submenuContainer.style.zIndex="1",this.submenuContainer.style.position="fixed",this.submenuContainer.style.top="0",this.submenuContainer.style.left="0",this.parentData.submenu=new Kq(this.submenuContainer,this.submenuActions.length?this.submenuActions:[new IWe],this.submenuOptions,this.menuStyle);const s=this.element.getBoundingClientRect(),c={top:s.top-n,left:s.left,height:s.height+2*n,width:s.width},d=this.submenuContainer.getBoundingClientRect(),f=Eo(this.element),{top:m,left:v}=this.calculateSubmenuMenuLayout(new Du(f.innerWidth,f.innerHeight),Du.lift(d),c,this.expandDirection);this.submenuContainer.style.left=`${v-d.left}px`,this.submenuContainer.style.top=`${m-d.top}px`,this.submenuDisposables.add(en(this.submenuContainer,br.KEY_UP,x=>{new Id(x).equals(15)&&(il.stop(x,!0),this.parentData.parent.focus(),this.cleanupExistingSubmenu(!0))})),this.submenuDisposables.add(en(this.submenuContainer,br.KEY_DOWN,x=>{new Id(x).equals(15)&&il.stop(x,!0)})),this.submenuDisposables.add(this.parentData.submenu.onDidCancel(()=>{this.parentData.parent.focus(),this.cleanupExistingSubmenu(!0)})),this.parentData.submenu.focus(e),this.mysubmenu=this.parentData.submenu}}updateAriaExpanded(e){var i;this.item&&((i=this.item)==null||i.setAttribute("aria-expanded",e))}applyStyle(){super.applyStyle();const i=this.element&&this.element.classList.contains("focused")&&this.menuStyle.selectionForegroundColor?this.menuStyle.selectionForegroundColor:this.menuStyle.foregroundColor;this.submenuIndicator&&(this.submenuIndicator.style.color=i??"")}dispose(){super.dispose(),this.hideScheduler.dispose(),this.mysubmenu&&(this.mysubmenu.dispose(),this.mysubmenu=null),this.submenuContainer&&(this.submenuContainer=void 0)}}class pCt extends V${constructor(e,i,n,s){super(e,i,n),this.menuStyles=s}render(e){super.render(e),this.label&&(this.label.style.borderBottomColor=this.menuStyles.separatorColor?`${this.menuStyles.separatorColor}`:"")}}function _yi(l){const e=JVe,i=e.exec(l);if(!i)return l;const n=!i[1];return l.replace(e,n?"$2$3":"").trim()}function mCt(l){const e=Jkt()[l.id];return`.codicon-${l.id}:before { content: '\\${e.toString(16)}'; }`}function pyi(l,e){let i=`
+.monaco-menu {
+ font-size: 13px;
+ border-radius: 5px;
+ min-width: 160px;
+}
+
+${mCt(pr.menuSelection)}
+${mCt(pr.menuSubmenu)}
+
+.monaco-menu .monaco-action-bar {
+ text-align: right;
+ overflow: hidden;
+ white-space: nowrap;
+}
+
+.monaco-menu .monaco-action-bar .actions-container {
+ display: flex;
+ margin: 0 auto;
+ padding: 0;
+ width: 100%;
+ justify-content: flex-end;
+}
+
+.monaco-menu .monaco-action-bar.vertical .actions-container {
+ display: inline-block;
+}
+
+.monaco-menu .monaco-action-bar.reverse .actions-container {
+ flex-direction: row-reverse;
+}
+
+.monaco-menu .monaco-action-bar .action-item {
+ cursor: pointer;
+ display: inline-block;
+ transition: transform 50ms ease;
+ position: relative; /* DO NOT REMOVE - this is the key to preventing the ghosting icon bug in Chrome 42 */
+}
+
+.monaco-menu .monaco-action-bar .action-item.disabled {
+ cursor: default;
+}
+
+.monaco-menu .monaco-action-bar .action-item .icon,
+.monaco-menu .monaco-action-bar .action-item .codicon {
+ display: inline-block;
+}
+
+.monaco-menu .monaco-action-bar .action-item .codicon {
+ display: flex;
+ align-items: center;
+}
+
+.monaco-menu .monaco-action-bar .action-label {
+ font-size: 11px;
+ margin-right: 4px;
+}
+
+.monaco-menu .monaco-action-bar .action-item.disabled .action-label,
+.monaco-menu .monaco-action-bar .action-item.disabled .action-label:hover {
+ color: var(--vscode-disabledForeground);
+}
+
+/* Vertical actions */
+
+.monaco-menu .monaco-action-bar.vertical {
+ text-align: left;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-item {
+ display: block;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-label.separator {
+ display: block;
+ border-bottom: 1px solid var(--vscode-menu-separatorBackground);
+ padding-top: 1px;
+ padding: 30px;
+}
+
+.monaco-menu .secondary-actions .monaco-action-bar .action-label {
+ margin-left: 6px;
+}
+
+/* Action Items */
+.monaco-menu .monaco-action-bar .action-item.select-container {
+ overflow: hidden; /* somehow the dropdown overflows its container, we prevent it here to not push */
+ flex: 1;
+ max-width: 170px;
+ min-width: 60px;
+ display: flex;
+ align-items: center;
+ justify-content: center;
+ margin-right: 10px;
+}
+
+.monaco-menu .monaco-action-bar.vertical {
+ margin-left: 0;
+ overflow: visible;
+}
+
+.monaco-menu .monaco-action-bar.vertical .actions-container {
+ display: block;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-item {
+ padding: 0;
+ transform: none;
+ display: flex;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-item.active {
+ transform: none;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-menu-item {
+ flex: 1 1 auto;
+ display: flex;
+ height: 2em;
+ align-items: center;
+ position: relative;
+ margin: 0 4px;
+ border-radius: 4px;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-menu-item:hover .keybinding,
+.monaco-menu .monaco-action-bar.vertical .action-menu-item:focus .keybinding {
+ opacity: unset;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-label {
+ flex: 1 1 auto;
+ text-decoration: none;
+ padding: 0 1em;
+ background: none;
+ font-size: 12px;
+ line-height: 1;
+}
+
+.monaco-menu .monaco-action-bar.vertical .keybinding,
+.monaco-menu .monaco-action-bar.vertical .submenu-indicator {
+ display: inline-block;
+ flex: 2 1 auto;
+ padding: 0 1em;
+ text-align: right;
+ font-size: 12px;
+ line-height: 1;
+}
+
+.monaco-menu .monaco-action-bar.vertical .submenu-indicator {
+ height: 100%;
+}
+
+.monaco-menu .monaco-action-bar.vertical .submenu-indicator.codicon {
+ font-size: 16px !important;
+ display: flex;
+ align-items: center;
+}
+
+.monaco-menu .monaco-action-bar.vertical .submenu-indicator.codicon::before {
+ margin-left: auto;
+ margin-right: -20px;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-item.disabled .keybinding,
+.monaco-menu .monaco-action-bar.vertical .action-item.disabled .submenu-indicator {
+ opacity: 0.4;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-label:not(.separator) {
+ display: inline-block;
+ box-sizing: border-box;
+ margin: 0;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-item {
+ position: static;
+ overflow: visible;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-item .monaco-submenu {
+ position: absolute;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-label.separator {
+ width: 100%;
+ height: 0px !important;
+ opacity: 1;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-label.separator.text {
+ padding: 0.7em 1em 0.1em 1em;
+ font-weight: bold;
+ opacity: 1;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-label:hover {
+ color: inherit;
+}
+
+.monaco-menu .monaco-action-bar.vertical .menu-item-check {
+ position: absolute;
+ visibility: hidden;
+ width: 1em;
+ height: 100%;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-menu-item.checked .menu-item-check {
+ visibility: visible;
+ display: flex;
+ align-items: center;
+ justify-content: center;
+}
+
+/* Context Menu */
+
+.context-view.monaco-menu-container {
+ outline: 0;
+ border: none;
+ animation: fadeIn 0.083s linear;
+ -webkit-app-region: no-drag;
+}
+
+.context-view.monaco-menu-container :focus,
+.context-view.monaco-menu-container .monaco-action-bar.vertical:focus,
+.context-view.monaco-menu-container .monaco-action-bar.vertical :focus {
+ outline: 0;
+}
+
+.hc-black .context-view.monaco-menu-container,
+.hc-light .context-view.monaco-menu-container,
+:host-context(.hc-black) .context-view.monaco-menu-container,
+:host-context(.hc-light) .context-view.monaco-menu-container {
+ box-shadow: none;
+}
+
+.hc-black .monaco-menu .monaco-action-bar.vertical .action-item.focused,
+.hc-light .monaco-menu .monaco-action-bar.vertical .action-item.focused,
+:host-context(.hc-black) .monaco-menu .monaco-action-bar.vertical .action-item.focused,
+:host-context(.hc-light) .monaco-menu .monaco-action-bar.vertical .action-item.focused {
+ background: none;
+}
+
+/* Vertical Action Bar Styles */
+
+.monaco-menu .monaco-action-bar.vertical {
+ padding: 4px 0;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-menu-item {
+ height: 2em;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-label:not(.separator),
+.monaco-menu .monaco-action-bar.vertical .keybinding {
+ font-size: inherit;
+ padding: 0 2em;
+ max-height: 100%;
+}
+
+.monaco-menu .monaco-action-bar.vertical .menu-item-check {
+ font-size: inherit;
+ width: 2em;
+}
+
+.monaco-menu .monaco-action-bar.vertical .action-label.separator {
+ font-size: inherit;
+ margin: 5px 0 !important;
+ padding: 0;
+ border-radius: 0;
+}
+
+.linux .monaco-menu .monaco-action-bar.vertical .action-label.separator,
+:host-context(.linux) .monaco-menu .monaco-action-bar.vertical .action-label.separator {
+ margin-left: 0;
+ margin-right: 0;
+}
+
+.monaco-menu .monaco-action-bar.vertical .submenu-indicator {
+ font-size: 60%;
+ padding: 0 1.8em;
+}
+
+.linux .monaco-menu .monaco-action-bar.vertical .submenu-indicator,
+:host-context(.linux) .monaco-menu .monaco-action-bar.vertical .submenu-indicator {
+ height: 100%;
+ mask-size: 10px 10px;
+ -webkit-mask-size: 10px 10px;
+}
+
+.monaco-menu .action-item {
+ cursor: default;
+}`;if(e){i+=`
+ /* Arrows */
+ .monaco-scrollable-element > .scrollbar > .scra {
+ cursor: pointer;
+ font-size: 11px !important;
+ }
+
+ .monaco-scrollable-element > .visible {
+ opacity: 1;
+
+ /* Background rule added for IE9 - to allow clicks on dom node */
+ background:rgba(0,0,0,0);
+
+ transition: opacity 100ms linear;
+ }
+ .monaco-scrollable-element > .invisible {
+ opacity: 0;
+ pointer-events: none;
+ }
+ .monaco-scrollable-element > .invisible.fade {
+ transition: opacity 800ms linear;
+ }
+
+ /* Scrollable Content Inset Shadow */
+ .monaco-scrollable-element > .shadow {
+ position: absolute;
+ display: none;
+ }
+ .monaco-scrollable-element > .shadow.top {
+ display: block;
+ top: 0;
+ left: 3px;
+ height: 3px;
+ width: 100%;
+ }
+ .monaco-scrollable-element > .shadow.left {
+ display: block;
+ top: 3px;
+ left: 0;
+ height: 100%;
+ width: 3px;
+ }
+ .monaco-scrollable-element > .shadow.top-left-corner {
+ display: block;
+ top: 0;
+ left: 0;
+ height: 3px;
+ width: 3px;
+ }
+ `;const n=l.scrollbarShadow;n&&(i+=`
+ .monaco-scrollable-element > .shadow.top {
+ box-shadow: ${n} 0 6px 6px -6px inset;
+ }
+
+ .monaco-scrollable-element > .shadow.left {
+ box-shadow: ${n} 6px 0 6px -6px inset;
+ }
+
+ .monaco-scrollable-element > .shadow.top.left {
+ box-shadow: ${n} 6px 6px 6px -6px inset;
+ }
+ `);const s=l.scrollbarSliderBackground;s&&(i+=`
+ .monaco-scrollable-element > .scrollbar > .slider {
+ background: ${s};
+ }
+ `);const c=l.scrollbarSliderHoverBackground;c&&(i+=`
+ .monaco-scrollable-element > .scrollbar > .slider:hover {
+ background: ${c};
+ }
+ `);const d=l.scrollbarSliderActiveBackground;d&&(i+=`
+ .monaco-scrollable-element > .scrollbar > .slider.active {
+ background: ${d};
+ }
+ `)}return i}class myi{constructor(e,i,n,s){this.contextViewService=e,this.telemetryService=i,this.notificationService=n,this.keybindingService=s,this.focusToReturn=null,this.lastContainer=null,this.block=null,this.blockDisposable=null,this.options={blockMouse:!0}}configure(e){this.options=e}showContextMenu(e){const i=e.getActions();if(!i.length)return;this.focusToReturn=D0();let n;const s=Mm(e.domForShadowRoot)?e.domForShadowRoot:void 0;this.contextViewService.showContextView({getAnchor:()=>e.getAnchor(),canRelayout:!1,anchorAlignment:e.anchorAlignment,anchorAxisAlignment:e.anchorAxisAlignment,render:c=>{var x;this.lastContainer=c;const d=e.getMenuClassName?e.getMenuClassName():"";d&&(c.className+=" "+d),this.options.blockMouse&&(this.block=c.appendChild(Cr(".context-view-block")),this.block.style.position="fixed",this.block.style.cursor="initial",this.block.style.left="0",this.block.style.top="0",this.block.style.width="100%",this.block.style.height="100%",this.block.style.zIndex="-1",(x=this.blockDisposable)==null||x.dispose(),this.blockDisposable=en(this.block,br.MOUSE_DOWN,w=>w.stopPropagation()));const f=new wn,m=e.actionRunner||new TB;m.onWillRun(w=>this.onActionRun(w,!e.skipTelemetry),this,f),m.onDidRun(this.onDidActionRun,this,f),n=new Kq(c,i,{actionViewItemProvider:e.getActionViewItem,context:e.getActionsContext?e.getActionsContext():null,actionRunner:m,getKeyBinding:e.getKeyBinding?e.getKeyBinding:w=>this.keybindingService.lookupKeybinding(w.id)},fyi),n.onDidCancel(()=>this.contextViewService.hideContextView(!0),null,f),n.onDidBlur(()=>this.contextViewService.hideContextView(!0),null,f);const v=Eo(c);return f.add(en(v,br.BLUR,()=>this.contextViewService.hideContextView(!0))),f.add(en(v,br.MOUSE_DOWN,w=>{if(w.defaultPrevented)return;const I=new hT(v,w);let P=I.target;if(!I.rightButton){for(;P;){if(P===c)return;P=P.parentElement}this.contextViewService.hideContextView(!0)}})),gT(f,n)},focus:()=>{n==null||n.focus(!!e.autoSelectFirstItem)},onHide:c=>{var d,f,m;(d=e.onHide)==null||d.call(e,!!c),this.block&&(this.block.remove(),this.block=null),(f=this.blockDisposable)==null||f.dispose(),this.blockDisposable=null,this.lastContainer&&(D0()===this.lastContainer||Am(D0(),this.lastContainer))&&((m=this.focusToReturn)==null||m.focus()),this.lastContainer=null}},s,!!s)}onActionRun(e,i){i&&this.telemetryService.publicLog2("workbenchActionExecuted",{id:e.action.id,from:"contextMenu"}),this.contextViewService.hideContextView(!1)}onDidActionRun(e){e.error&&!Tk(e.error)&&this.notificationService.error(e.error)}}var gyi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},DU=function(l,e){return function(i,n){e(i,n,l)}};let KVe=class extends xi{get contextMenuHandler(){return this._contextMenuHandler||(this._contextMenuHandler=new myi(this.contextViewService,this.telemetryService,this.notificationService,this.keybindingService)),this._contextMenuHandler}constructor(e,i,n,s,c,d){super(),this.telemetryService=e,this.notificationService=i,this.contextViewService=n,this.keybindingService=s,this.menuService=c,this.contextKeyService=d,this._contextMenuHandler=void 0,this._onDidShowContextMenu=this._store.add(new gi),this.onDidShowContextMenu=this._onDidShowContextMenu.event,this._onDidHideContextMenu=this._store.add(new gi)}configure(e){this.contextMenuHandler.configure(e)}showContextMenu(e){e=XVe.transform(e,this.menuService,this.contextKeyService),this.contextMenuHandler.showContextMenu({...e,onHide:i=>{var n;(n=e.onHide)==null||n.call(e,i),this._onDidHideContextMenu.fire()}}),W4.getInstance().resetKeyStatus(),this._onDidShowContextMenu.fire()}};KVe=gyi([DU(0,b1),DU(1,w_),DU(2,b6),DU(3,pu),DU(4,Lw),DU(5,Ko)],KVe);var XVe;(function(l){function e(n){return n&&n.menuId instanceof As}function i(n,s,c){if(!e(n))return n;const{menuId:d,menuActionOptions:f,contextKeyService:m}=n;return{...n,getActions:()=>{const v=[];if(d){const x=s.getMenuActions(d,m??c,f);hyi(x,v)}return n.getActions?Rm.join(n.getActions(),v):v}}}l.transform=i})(XVe||(XVe={}));var Uye;(function(l){l[l.API=0]="API",l[l.USER=1]="USER"})(Uye||(Uye={}));var oJe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},qye=function(l,e){return function(i,n){e(i,n,l)}};let QVe=class{constructor(e){this._commandService=e}async open(e,i){if(!NSe(e,Ma.command))return!1;if(!(i!=null&&i.allowCommands)||(typeof e=="string"&&(e=yo.parse(e)),Array.isArray(i.allowCommands)&&!i.allowCommands.includes(e.path)))return!0;let n=[];try{n=gVe(decodeURIComponent(e.query))}catch{try{n=gVe(e.query)}catch{}}return Array.isArray(n)||(n=[n]),await this._commandService.executeCommand(e.path,...n),!0}};QVe=oJe([qye(0,qd)],QVe);let ZVe=class{constructor(e){this._editorService=e}async open(e,i){typeof e=="string"&&(e=yo.parse(e));const{selection:n,uri:s}=qmi(e);return e=s,e.scheme===Ma.file&&(e=Dgi(e)),await this._editorService.openCodeEditor({resource:e,options:{selection:n,source:i!=null&&i.fromUserGesture?Uye.USER:Uye.API,...i==null?void 0:i.editorOptions}},this._editorService.getFocusedCodeEditor(),i==null?void 0:i.openToSide),!0}};ZVe=oJe([qye(0,Bl)],ZVe);let YVe=class{constructor(e,i){this._openers=new _v,this._validators=new _v,this._resolvers=new _v,this._resolvedUriTargets=new vg(n=>n.with({path:null,fragment:null,query:null}).toString()),this._externalOpeners=new _v,this._defaultExternalOpener={openExternal:async n=>(SWe(n,Ma.http,Ma.https)?N2t(n):cd.location.href=n,!0)},this._openers.push({open:async(n,s)=>s!=null&&s.openExternal||SWe(n,Ma.mailto,Ma.http,Ma.https,Ma.vsls)?(await this._doOpenExternal(n,s),!0):!1}),this._openers.push(new QVe(i)),this._openers.push(new ZVe(e))}registerOpener(e){return{dispose:this._openers.unshift(e)}}async open(e,i){const n=typeof e=="string"?yo.parse(e):e,s=this._resolvedUriTargets.get(n)??e;for(const c of this._validators)if(!await c.shouldOpen(s,i))return!1;for(const c of this._openers)if(await c.open(e,i))return!0;return!1}async resolveExternalUri(e,i){for(const n of this._resolvers)try{const s=await n.resolveExternalUri(e,i);if(s)return this._resolvedUriTargets.has(s.resolved)||this._resolvedUriTargets.set(s.resolved,e),s}catch{}throw new Error("Could not resolve external URI: "+e.toString())}async _doOpenExternal(e,i){const n=typeof e=="string"?yo.parse(e):e;let s;try{s=(await this.resolveExternalUri(n,i)).resolved}catch{s=n}let c;if(typeof e=="string"&&n.toString()===s.toString()?c=e:c=encodeURI(s.toString(!0)),i!=null&&i.allowContributedOpeners){const d=typeof(i==null?void 0:i.allowContributedOpeners)=="string"?i==null?void 0:i.allowContributedOpeners:void 0;for(const f of this._externalOpeners)if(await f.openExternal(c,{sourceUri:n,preferredOpenerId:d},cc.None))return!0}return this._defaultExternalOpener.openExternal(c,{sourceUri:n},cc.None)}dispose(){this._validators.clear()}};YVe=oJe([qye(0,Bl),qye(1,qd)],YVe);const Fb=jc("editorWorkerService");var Rh;(function(l){l[l.Hint=1]="Hint",l[l.Info=2]="Info",l[l.Warning=4]="Warning",l[l.Error=8]="Error"})(Rh||(Rh={}));(function(l){function e(d,f){return f-d}l.compare=e;const i=Object.create(null);i[l.Error]=W("sev.error","Error"),i[l.Warning]=W("sev.warning","Warning"),i[l.Info]=W("sev.info","Info");function n(d){return i[d]||""}l.toString=n;function s(d){switch(d){case S_.Error:return l.Error;case S_.Warning:return l.Warning;case S_.Info:return l.Info;case S_.Ignore:return l.Hint}}l.fromSeverity=s;function c(d){switch(d){case l.Error:return S_.Error;case l.Warning:return S_.Warning;case l.Info:return S_.Info;case l.Hint:return S_.Ignore}}l.toSeverity=c})(Rh||(Rh={}));var $ye;(function(l){const e="";function i(s){return n(s,!0)}l.makeKey=i;function n(s,c){const d=[e];return s.source?d.push(s.source.replace("¦","\\¦")):d.push(e),s.code?typeof s.code=="string"?d.push(s.code.replace("¦","\\¦")):d.push(s.code.value.replace("¦","\\¦")):d.push(e),s.severity!==void 0&&s.severity!==null?d.push(Rh.toString(s.severity)):d.push(e),s.message&&c?d.push(s.message.replace("¦","\\¦")):d.push(e),s.startLineNumber!==void 0&&s.startLineNumber!==null?d.push(s.startLineNumber.toString()):d.push(e),s.startColumn!==void 0&&s.startColumn!==null?d.push(s.startColumn.toString()):d.push(e),s.endLineNumber!==void 0&&s.endLineNumber!==null?d.push(s.endLineNumber.toString()):d.push(e),s.endColumn!==void 0&&s.endColumn!==null?d.push(s.endColumn.toString()):d.push(e),d.push(e),d.join("¦")}l.makeKeyOptionalMessage=n})($ye||($ye={}));const zL=jc("markerService"),BDt=It("editor.lineHighlightBackground",null,W("lineHighlight","Background color for the highlight of line at the cursor position.")),gCt=It("editor.lineHighlightBorder",{dark:"#282828",light:"#eeeeee",hcDark:"#f38518",hcLight:bl},W("lineHighlightBorderBox","Background color for the border around the line at the cursor position."));It("editor.rangeHighlightBackground",{dark:"#ffffff0b",light:"#fdff0033",hcDark:null,hcLight:null},W("rangeHighlight","Background color of highlighted ranges, like by quick open and find features. The color must not be opaque so as not to hide underlying decorations."),!0);It("editor.rangeHighlightBorder",{dark:null,light:null,hcDark:yf,hcLight:yf},W("rangeHighlightBorder","Background color of the border around highlighted ranges."));It("editor.symbolHighlightBackground",{dark:H4,light:H4,hcDark:null,hcLight:null},W("symbolHighlight","Background color of highlighted symbol, like for go to definition or go next/previous symbol. The color must not be opaque so as not to hide underlying decorations."),!0);It("editor.symbolHighlightBorder",{dark:null,light:null,hcDark:yf,hcLight:yf},W("symbolHighlightBorder","Background color of the border around highlighted symbols."));const bwe=It("editorCursor.foreground",{dark:"#AEAFAD",light:In.black,hcDark:In.white,hcLight:"#0F4A85"},W("caret","Color of the editor cursor.")),aJe=It("editorCursor.background",null,W("editorCursorBackground","The background color of the editor cursor. Allows customizing the color of a character overlapped by a block cursor.")),WDt=It("editorMultiCursor.primary.foreground",bwe,W("editorMultiCursorPrimaryForeground","Color of the primary editor cursor when multiple cursors are present.")),yyi=It("editorMultiCursor.primary.background",aJe,W("editorMultiCursorPrimaryBackground","The background color of the primary editor cursor when multiple cursors are present. Allows customizing the color of a character overlapped by a block cursor.")),VDt=It("editorMultiCursor.secondary.foreground",bwe,W("editorMultiCursorSecondaryForeground","Color of secondary editor cursors when multiple cursors are present.")),vyi=It("editorMultiCursor.secondary.background",aJe,W("editorMultiCursorSecondaryBackground","The background color of secondary editor cursors when multiple cursors are present. Allows customizing the color of a character overlapped by a block cursor.")),cJe=It("editorWhitespace.foreground",{dark:"#e3e4e229",light:"#33333333",hcDark:"#e3e4e229",hcLight:"#CCCCCC"},W("editorWhitespaces","Color of whitespace characters in the editor.")),byi=It("editorLineNumber.foreground",{dark:"#858585",light:"#237893",hcDark:In.white,hcLight:"#292929"},W("editorLineNumbers","Color of editor line numbers.")),Cyi=It("editorIndentGuide.background",cJe,W("editorIndentGuides","Color of the editor indentation guides."),!1,W("deprecatedEditorIndentGuides","'editorIndentGuide.background' is deprecated. Use 'editorIndentGuide.background1' instead.")),Syi=It("editorIndentGuide.activeBackground",cJe,W("editorActiveIndentGuide","Color of the active editor indentation guides."),!1,W("deprecatedEditorActiveIndentGuide","'editorIndentGuide.activeBackground' is deprecated. Use 'editorIndentGuide.activeBackground1' instead.")),Nse=It("editorIndentGuide.background1",Cyi,W("editorIndentGuides1","Color of the editor indentation guides (1).")),wyi=It("editorIndentGuide.background2","#00000000",W("editorIndentGuides2","Color of the editor indentation guides (2).")),xyi=It("editorIndentGuide.background3","#00000000",W("editorIndentGuides3","Color of the editor indentation guides (3).")),kyi=It("editorIndentGuide.background4","#00000000",W("editorIndentGuides4","Color of the editor indentation guides (4).")),Tyi=It("editorIndentGuide.background5","#00000000",W("editorIndentGuides5","Color of the editor indentation guides (5).")),Dyi=It("editorIndentGuide.background6","#00000000",W("editorIndentGuides6","Color of the editor indentation guides (6).")),Lse=It("editorIndentGuide.activeBackground1",Syi,W("editorActiveIndentGuide1","Color of the active editor indentation guides (1).")),Eyi=It("editorIndentGuide.activeBackground2","#00000000",W("editorActiveIndentGuide2","Color of the active editor indentation guides (2).")),Iyi=It("editorIndentGuide.activeBackground3","#00000000",W("editorActiveIndentGuide3","Color of the active editor indentation guides (3).")),Nyi=It("editorIndentGuide.activeBackground4","#00000000",W("editorActiveIndentGuide4","Color of the active editor indentation guides (4).")),Lyi=It("editorIndentGuide.activeBackground5","#00000000",W("editorActiveIndentGuide5","Color of the active editor indentation guides (5).")),Pyi=It("editorIndentGuide.activeBackground6","#00000000",W("editorActiveIndentGuide6","Color of the active editor indentation guides (6).")),Ayi=It("editorActiveLineNumber.foreground",{dark:"#c6c6c6",light:"#0B216F",hcDark:yf,hcLight:yf},W("editorActiveLineNumber","Color of editor active line number"),!1,W("deprecatedEditorActiveLineNumber","Id is deprecated. Use 'editorLineNumber.activeForeground' instead."));It("editorLineNumber.activeForeground",Ayi,W("editorActiveLineNumber","Color of editor active line number"));const Oyi=It("editorLineNumber.dimmedForeground",null,W("editorDimmedLineNumber","Color of the final editor line when editor.renderFinalNewline is set to dimmed."));It("editorRuler.foreground",{dark:"#5A5A5A",light:In.lightgrey,hcDark:In.white,hcLight:"#292929"},W("editorRuler","Color of the editor rulers."));It("editorCodeLens.foreground",{dark:"#999999",light:"#919191",hcDark:"#999999",hcLight:"#292929"},W("editorCodeLensForeground","Foreground color of editor CodeLens"));It("editorBracketMatch.background",{dark:"#0064001a",light:"#0064001a",hcDark:"#0064001a",hcLight:"#0000"},W("editorBracketMatchBackground","Background color behind matching brackets"));It("editorBracketMatch.border",{dark:"#888",light:"#B9B9B9",hcDark:bl,hcLight:bl},W("editorBracketMatchBorder","Color for matching brackets boxes"));const Myi=It("editorOverviewRuler.border",{dark:"#7f7f7f4d",light:"#7f7f7f4d",hcDark:"#7f7f7f4d",hcLight:"#666666"},W("editorOverviewRulerBorder","Color of the overview ruler border.")),Ryi=It("editorOverviewRuler.background",null,W("editorOverviewRulerBackground","Background color of the editor overview ruler."));It("editorGutter.background",wT,W("editorGutter","Background color of the editor gutter. The gutter contains the glyph margins and the line numbers."));It("editorUnnecessaryCode.border",{dark:null,light:null,hcDark:In.fromHex("#fff").transparent(.8),hcLight:bl},W("unnecessaryCodeBorder","Border color of unnecessary (unused) source code in the editor."));const Fyi=It("editorUnnecessaryCode.opacity",{dark:In.fromHex("#000a"),light:In.fromHex("#0007"),hcDark:null,hcLight:null},W("unnecessaryCodeOpacity",`Opacity of unnecessary (unused) source code in the editor. For example, "#000000c0" will render the code with 75% opacity. For high contrast themes, use the 'editorUnnecessaryCode.border' theme color to underline unnecessary code instead of fading it out.`));It("editorGhostText.border",{dark:null,light:null,hcDark:In.fromHex("#fff").transparent(.8),hcLight:In.fromHex("#292929").transparent(.8)},W("editorGhostTextBorder","Border color of ghost text in the editor."));const Byi=It("editorGhostText.foreground",{dark:In.fromHex("#ffffff56"),light:In.fromHex("#0007"),hcDark:null,hcLight:null},W("editorGhostTextForeground","Foreground color of the ghost text in the editor."));It("editorGhostText.background",null,W("editorGhostTextBackground","Background color of the ghost text in the editor."));const Wyi=new In(new El(0,122,204,.6)),HDt=It("editorOverviewRuler.rangeHighlightForeground",Wyi,W("overviewRulerRangeHighlight","Overview ruler marker color for range highlights. The color must not be opaque so as not to hide underlying decorations."),!0),Vyi=It("editorOverviewRuler.errorForeground",{dark:new In(new El(255,18,18,.7)),light:new In(new El(255,18,18,.7)),hcDark:new In(new El(255,50,50,1)),hcLight:"#B5200D"},W("overviewRuleError","Overview ruler marker color for errors.")),Hyi=It("editorOverviewRuler.warningForeground",{dark:u6,light:u6,hcDark:Gne,hcLight:Gne},W("overviewRuleWarning","Overview ruler marker color for warnings.")),jyi=It("editorOverviewRuler.infoForeground",{dark:LL,light:LL,hcDark:Kne,hcLight:Kne},W("overviewRuleInfo","Overview ruler marker color for infos.")),jDt=It("editorBracketHighlight.foreground1",{dark:"#FFD700",light:"#0431FAFF",hcDark:"#FFD700",hcLight:"#0431FAFF"},W("editorBracketHighlightForeground1","Foreground color of brackets (1). Requires enabling bracket pair colorization.")),zDt=It("editorBracketHighlight.foreground2",{dark:"#DA70D6",light:"#319331FF",hcDark:"#DA70D6",hcLight:"#319331FF"},W("editorBracketHighlightForeground2","Foreground color of brackets (2). Requires enabling bracket pair colorization.")),UDt=It("editorBracketHighlight.foreground3",{dark:"#179FFF",light:"#7B3814FF",hcDark:"#87CEFA",hcLight:"#7B3814FF"},W("editorBracketHighlightForeground3","Foreground color of brackets (3). Requires enabling bracket pair colorization.")),qDt=It("editorBracketHighlight.foreground4","#00000000",W("editorBracketHighlightForeground4","Foreground color of brackets (4). Requires enabling bracket pair colorization.")),$Dt=It("editorBracketHighlight.foreground5","#00000000",W("editorBracketHighlightForeground5","Foreground color of brackets (5). Requires enabling bracket pair colorization.")),JDt=It("editorBracketHighlight.foreground6","#00000000",W("editorBracketHighlightForeground6","Foreground color of brackets (6). Requires enabling bracket pair colorization.")),zyi=It("editorBracketHighlight.unexpectedBracket.foreground",{dark:new In(new El(255,18,18,.8)),light:new In(new El(255,18,18,.8)),hcDark:"new Color(new RGBA(255, 50, 50, 1))",hcLight:"#B5200D"},W("editorBracketHighlightUnexpectedBracketForeground","Foreground color of unexpected brackets.")),Uyi=It("editorBracketPairGuide.background1","#00000000",W("editorBracketPairGuide.background1","Background color of inactive bracket pair guides (1). Requires enabling bracket pair guides.")),qyi=It("editorBracketPairGuide.background2","#00000000",W("editorBracketPairGuide.background2","Background color of inactive bracket pair guides (2). Requires enabling bracket pair guides.")),$yi=It("editorBracketPairGuide.background3","#00000000",W("editorBracketPairGuide.background3","Background color of inactive bracket pair guides (3). Requires enabling bracket pair guides.")),Jyi=It("editorBracketPairGuide.background4","#00000000",W("editorBracketPairGuide.background4","Background color of inactive bracket pair guides (4). Requires enabling bracket pair guides.")),Gyi=It("editorBracketPairGuide.background5","#00000000",W("editorBracketPairGuide.background5","Background color of inactive bracket pair guides (5). Requires enabling bracket pair guides.")),Kyi=It("editorBracketPairGuide.background6","#00000000",W("editorBracketPairGuide.background6","Background color of inactive bracket pair guides (6). Requires enabling bracket pair guides.")),Xyi=It("editorBracketPairGuide.activeBackground1","#00000000",W("editorBracketPairGuide.activeBackground1","Background color of active bracket pair guides (1). Requires enabling bracket pair guides.")),Qyi=It("editorBracketPairGuide.activeBackground2","#00000000",W("editorBracketPairGuide.activeBackground2","Background color of active bracket pair guides (2). Requires enabling bracket pair guides.")),Zyi=It("editorBracketPairGuide.activeBackground3","#00000000",W("editorBracketPairGuide.activeBackground3","Background color of active bracket pair guides (3). Requires enabling bracket pair guides.")),Yyi=It("editorBracketPairGuide.activeBackground4","#00000000",W("editorBracketPairGuide.activeBackground4","Background color of active bracket pair guides (4). Requires enabling bracket pair guides.")),evi=It("editorBracketPairGuide.activeBackground5","#00000000",W("editorBracketPairGuide.activeBackground5","Background color of active bracket pair guides (5). Requires enabling bracket pair guides.")),tvi=It("editorBracketPairGuide.activeBackground6","#00000000",W("editorBracketPairGuide.activeBackground6","Background color of active bracket pair guides (6). Requires enabling bracket pair guides."));It("editorUnicodeHighlight.border",u6,W("editorUnicodeHighlight.border","Border color used to highlight unicode characters."));It("editorUnicodeHighlight.background",epi,W("editorUnicodeHighlight.background","Background color used to highlight unicode characters."));Dk((l,e)=>{const i=l.getColor(wT),n=l.getColor(BDt),s=n&&!n.isTransparent()?n:i;s&&e.addRule(`.monaco-editor .inputarea.ime-input { background-color: ${s}; }`)});function ivi(l,e){const i=[],n=[];for(const s of l)e.has(s)||i.push(s);for(const s of e)l.has(s)||n.push(s);return{removed:i,added:n}}function nvi(l,e){const i=new Set;for(const n of e)l.has(n)&&i.add(n);return i}var rvi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},yCt=function(l,e){return function(i,n){e(i,n,l)}};let eHe=class extends xi{constructor(e,i){super(),this._markerService=i,this._onDidChangeMarker=this._register(new gi),this._markerDecorations=new vg,e.getModels().forEach(n=>this._onModelAdded(n)),this._register(e.onModelAdded(this._onModelAdded,this)),this._register(e.onModelRemoved(this._onModelRemoved,this)),this._register(this._markerService.onMarkerChanged(this._handleMarkerChange,this))}dispose(){super.dispose(),this._markerDecorations.forEach(e=>e.dispose()),this._markerDecorations.clear()}getMarker(e,i){const n=this._markerDecorations.get(e);return n&&n.getMarker(i)||null}_handleMarkerChange(e){e.forEach(i=>{const n=this._markerDecorations.get(i);n&&this._updateDecorations(n)})}_onModelAdded(e){const i=new svi(e);this._markerDecorations.set(e.uri,i),this._updateDecorations(i)}_onModelRemoved(e){var n;const i=this._markerDecorations.get(e.uri);i&&(i.dispose(),this._markerDecorations.delete(e.uri)),(e.uri.scheme===Ma.inMemory||e.uri.scheme===Ma.internal||e.uri.scheme===Ma.vscode)&&((n=this._markerService)==null||n.read({resource:e.uri}).map(s=>s.owner).forEach(s=>this._markerService.remove(s,[e.uri])))}_updateDecorations(e){const i=this._markerService.read({resource:e.model.uri,take:500});e.update(i)&&this._onDidChangeMarker.fire(e.model)}};eHe=rvi([yCt(0,rf),yCt(1,zL)],eHe);class svi extends xi{constructor(e){super(),this.model=e,this._map=new ahi,this._register(fo(()=>{this.model.deltaDecorations([...this._map.values()],[]),this._map.clear()}))}update(e){const{added:i,removed:n}=ivi(new Set(this._map.keys()),new Set(e));if(i.length===0&&n.length===0)return!1;const s=n.map(f=>this._map.get(f)),c=i.map(f=>({range:this._createDecorationRange(this.model,f),options:this._createDecorationOption(f)})),d=this.model.deltaDecorations(s,c);for(const f of n)this._map.delete(f);for(let f=0;f<d.length;f++)this._map.set(i[f],d[f]);return!0}getMarker(e){return this._map.getKey(e.id)}_createDecorationRange(e,i){let n=nt.lift(i);if(i.severity===Rh.Hint&&!this._hasMarkerTag(i,1)&&!this._hasMarkerTag(i,2)&&(n=n.setEndPosition(n.startLineNumber,n.startColumn+2)),n=e.validateRange(n),n.isEmpty()){const s=e.getLineLastNonWhitespaceColumn(n.startLineNumber)||e.getLineMaxColumn(n.startLineNumber);if(s===1||n.endColumn>=s)return n;const c=e.getWordAtPosition(n.getStartPosition());c&&(n=new nt(n.startLineNumber,c.startColumn,n.endLineNumber,c.endColumn))}else if(i.endColumn===Number.MAX_VALUE&&i.startColumn===1&&n.startLineNumber===n.endLineNumber){const s=e.getLineFirstNonWhitespaceColumn(i.startLineNumber);s<n.endColumn&&(n=new nt(n.startLineNumber,s,n.endLineNumber,n.endColumn),i.startColumn=s)}return n}_createDecorationOption(e){let i,n,s,c,d;switch(e.severity){case Rh.Hint:this._hasMarkerTag(e,2)?i=void 0:this._hasMarkerTag(e,1)?i="squiggly-unnecessary":i="squiggly-hint",s=0;break;case Rh.Info:i="squiggly-info",n=Mh(jyi),s=10,d={color:Mh(Spi),position:1};break;case Rh.Warning:i="squiggly-warning",n=Mh(Hyi),s=20,d={color:Mh(wpi),position:1};break;case Rh.Error:default:i="squiggly-error",n=Mh(Vyi),s=30,d={color:Mh(xpi),position:1};break}return e.tags&&(e.tags.indexOf(1)!==-1&&(c="squiggly-inline-unnecessary"),e.tags.indexOf(2)!==-1&&(c="squiggly-inline-deprecated")),{description:"marker-decoration",stickiness:1,className:i,showIfCollapsed:!0,overviewRuler:{color:n,position:ww.Right},minimap:d,zIndex:s,inlineClassName:c}}_hasMarkerTag(e,i){return e.tags?e.tags.indexOf(i)>=0:!1}}const lJe=jc("markerDecorationsService");class em{static _nextVisibleColumn(e,i,n){return e===9?em.nextRenderTabStop(i,n):bR(e)||n$e(e)?i+2:i+1}static visibleColumnFromColumn(e,i,n){const s=Math.min(i-1,e.length),c=e.substring(0,s),d=new rye(c);let f=0;for(;!d.eol();){const m=nye(c,s,d.offset);d.nextGraphemeLength(),f=this._nextVisibleColumn(m,f,n)}return f}static columnFromVisibleColumn(e,i,n){if(i<=0)return 1;const s=e.length,c=new rye(e);let d=0,f=1;for(;!c.eol();){const m=nye(e,s,c.offset);c.nextGraphemeLength();const v=this._nextVisibleColumn(m,d,n),x=c.offset+1;if(v>=i){const w=i-d;return v-i<w?x:f}d=v,f=x}return s+1}static nextRenderTabStop(e,i){return e+i-e%i}static nextIndentTabStop(e,i){return e+i-e%i}static prevRenderTabStop(e,i){return Math.max(0,e-1-(e-1)%i)}static prevIndentTabStop(e,i){return Math.max(0,e-1-(e-1)%i)}}function ovi(l,e,i){let n=0;for(let c=0;c<l.length;c++)l.charAt(c)===" "?n=em.nextIndentTabStop(n,e):n++;let s="";if(!i){const c=Math.floor(n/e);n=n%e;for(let d=0;d<c;d++)s+=" "}for(let c=0;c<n;c++)s+=" ";return s}function uJe(l,e,i){let n=Ty(l);return n===-1&&(n=l.length),ovi(l.substring(0,n),e,i)+l.substring(n)}class vCt{constructor(e,i,n,s){this.range=e,this.nestingLevel=i,this.nestingLevelOfEqualBracketType=n,this.isInvalid=s}}class avi{constructor(e,i,n,s,c,d){this.range=e,this.openingBracketRange=i,this.closingBracketRange=n,this.nestingLevel=s,this.nestingLevelOfEqualBracketType=c,this.bracketPairNode=d}get openingBracketInfo(){return this.bracketPairNode.openingBracket.bracketInfo}}class cvi extends avi{constructor(e,i,n,s,c,d,f){super(e,i,n,s,c,d),this.minVisibleColumnIndentation=f}}function lvi(l,e,i,n){return l!==i?U_(i-l,n):U_(0,n-e)}const pv=0;function Jye(l){return l===0}const Sw=2**26;function U_(l,e){return l*Sw+e}function yk(l){const e=l,i=Math.floor(e/Sw),n=e-i*Sw;return new ST(i,n)}function uvi(l){return Math.floor(l/Sw)}function Fh(l,e){let i=l+e;return e>=Sw&&(i=i-l%Sw),i}function dvi(l,e){return l.reduce((i,n)=>Fh(i,e(n)),pv)}function GDt(l,e){return l===e}function lre(l,e){const i=l,n=e;if(n-i<=0)return pv;const c=Math.floor(i/Sw),d=Math.floor(n/Sw),f=n-d*Sw;if(c===d){const m=i-c*Sw;return U_(0,f-m)}else return U_(d-c,f)}function Xq(l,e){return l<e}function Qq(l,e){return l<=e}function aie(l,e){return l>=e}function lq(l){return U_(l.lineNumber-1,l.column-1)}function m9(l,e){const i=l,n=Math.floor(i/Sw),s=i-n*Sw,c=e,d=Math.floor(c/Sw),f=c-d*Sw;return new nt(n+1,s+1,d+1,f+1)}function fvi(l){const e=BL(l);return U_(e.length-1,e[e.length-1].length)}class j4{static fromModelContentChanges(e){return e.map(n=>{const s=nt.lift(n.range);return new j4(lq(s.getStartPosition()),lq(s.getEndPosition()),fvi(n.text))}).reverse()}constructor(e,i,n){this.startOffset=e,this.endOffset=i,this.newLength=n}toString(){return`[${yk(this.startOffset)}...${yk(this.endOffset)}) -> ${yk(this.newLength)}`}}class hvi{constructor(e){this.nextEditIdx=0,this.deltaOldToNewLineCount=0,this.deltaOldToNewColumnCount=0,this.deltaLineIdxInOld=-1,this.edits=e.map(i=>dJe.from(i))}getOffsetBeforeChange(e){return this.adjustNextEdit(e),this.translateCurToOld(e)}getDistanceToNextChange(e){this.adjustNextEdit(e);const i=this.edits[this.nextEditIdx],n=i?this.translateOldToCur(i.offsetObj):null;return n===null?null:lre(e,n)}translateOldToCur(e){return e.lineCount===this.deltaLineIdxInOld?U_(e.lineCount+this.deltaOldToNewLineCount,e.columnCount+this.deltaOldToNewColumnCount):U_(e.lineCount+this.deltaOldToNewLineCount,e.columnCount)}translateCurToOld(e){const i=yk(e);return i.lineCount-this.deltaOldToNewLineCount===this.deltaLineIdxInOld?U_(i.lineCount-this.deltaOldToNewLineCount,i.columnCount-this.deltaOldToNewColumnCount):U_(i.lineCount-this.deltaOldToNewLineCount,i.columnCount)}adjustNextEdit(e){for(;this.nextEditIdx<this.edits.length;){const i=this.edits[this.nextEditIdx],n=this.translateOldToCur(i.endOffsetAfterObj);if(Qq(n,e)){this.nextEditIdx++;const s=yk(n),c=yk(this.translateOldToCur(i.endOffsetBeforeObj)),d=s.lineCount-c.lineCount;this.deltaOldToNewLineCount+=d;const f=this.deltaLineIdxInOld===i.endOffsetBeforeObj.lineCount?this.deltaOldToNewColumnCount:0,m=s.columnCount-c.columnCount;this.deltaOldToNewColumnCount=f+m,this.deltaLineIdxInOld=i.endOffsetBeforeObj.lineCount}else break}}}class dJe{static from(e){return new dJe(e.startOffset,e.endOffset,e.newLength)}constructor(e,i,n){this.endOffsetBeforeObj=yk(i),this.endOffsetAfterObj=yk(Fh(e,n)),this.offsetObj=yk(e)}}const d0e=[],ak=class ak{static create(e,i){if(e<=128&&i.length===0){let n=ak.cache[e];return n||(n=new ak(e,i),ak.cache[e]=n),n}return new ak(e,i)}static getEmpty(){return this.empty}constructor(e,i){this.items=e,this.additionalItems=i}add(e,i){const n=i.getKey(e);let s=n>>5;if(s===0){const d=1<<n|this.items;return d===this.items?this:ak.create(d,this.additionalItems)}s--;const c=this.additionalItems.slice(0);for(;c.length<s;)c.push(0);return c[s]|=1<<(n&31),ak.create(this.items,c)}merge(e){const i=this.items|e.items;if(this.additionalItems===d0e&&e.additionalItems===d0e)return i===this.items?this:i===e.items?e:ak.create(i,d0e);const n=[];for(let s=0;s<Math.max(this.additionalItems.length,e.additionalItems.length);s++){const c=this.additionalItems[s]||0,d=e.additionalItems[s]||0;n.push(c|d)}return ak.create(i,n)}intersects(e){if(this.items&e.items)return!0;for(let i=0;i<Math.min(this.additionalItems.length,e.additionalItems.length);i++)if(this.additionalItems[i]&e.additionalItems[i])return!0;return!1}};ak.cache=new Array(129),ak.empty=ak.create(0,d0e);let jC=ak;const bCt={getKey(l){return l}};class KDt{constructor(){this.items=new Map}getKey(e){let i=this.items.get(e);return i===void 0&&(i=this.items.size,this.items.set(e,i)),i}}class fJe{get length(){return this._length}constructor(e){this._length=e}}class ure extends fJe{static create(e,i,n){let s=e.length;return i&&(s=Fh(s,i.length)),n&&(s=Fh(s,n.length)),new ure(s,e,i,n,i?i.missingOpeningBracketIds:jC.getEmpty())}get kind(){return 2}get listHeight(){return 0}get childrenLength(){return 3}getChild(e){switch(e){case 0:return this.openingBracket;case 1:return this.child;case 2:return this.closingBracket}throw new Error("Invalid child index")}get children(){const e=[];return e.push(this.openingBracket),this.child&&e.push(this.child),this.closingBracket&&e.push(this.closingBracket),e}constructor(e,i,n,s,c){super(e),this.openingBracket=i,this.child=n,this.closingBracket=s,this.missingOpeningBracketIds=c}canBeReused(e){return!(this.closingBracket===null||e.intersects(this.missingOpeningBracketIds))}deepClone(){return new ure(this.length,this.openingBracket.deepClone(),this.child&&this.child.deepClone(),this.closingBracket&&this.closingBracket.deepClone(),this.missingOpeningBracketIds)}computeMinIndentation(e,i){return this.child?this.child.computeMinIndentation(Fh(e,this.openingBracket.length),i):Number.MAX_SAFE_INTEGER}}class AL extends fJe{static create23(e,i,n,s=!1){let c=e.length,d=e.missingOpeningBracketIds;if(e.listHeight!==i.listHeight)throw new Error("Invalid list heights");if(c=Fh(c,i.length),d=d.merge(i.missingOpeningBracketIds),n){if(e.listHeight!==n.listHeight)throw new Error("Invalid list heights");c=Fh(c,n.length),d=d.merge(n.missingOpeningBracketIds)}return s?new _vi(c,e.listHeight+1,e,i,n,d):new dre(c,e.listHeight+1,e,i,n,d)}static getEmpty(){return new pvi(pv,0,[],jC.getEmpty())}get kind(){return 4}get missingOpeningBracketIds(){return this._missingOpeningBracketIds}constructor(e,i,n){super(e),this.listHeight=i,this._missingOpeningBracketIds=n,this.cachedMinIndentation=-1}throwIfImmutable(){}makeLastElementMutable(){this.throwIfImmutable();const e=this.childrenLength;if(e===0)return;const i=this.getChild(e-1),n=i.kind===4?i.toMutable():i;return i!==n&&this.setChild(e-1,n),n}makeFirstElementMutable(){if(this.throwIfImmutable(),this.childrenLength===0)return;const i=this.getChild(0),n=i.kind===4?i.toMutable():i;return i!==n&&this.setChild(0,n),n}canBeReused(e){if(e.intersects(this.missingOpeningBracketIds)||this.childrenLength===0)return!1;let i=this;for(;i.kind===4;){const n=i.childrenLength;if(n===0)throw new tu;i=i.getChild(n-1)}return i.canBeReused(e)}handleChildrenChanged(){this.throwIfImmutable();const e=this.childrenLength;let i=this.getChild(0).length,n=this.getChild(0).missingOpeningBracketIds;for(let s=1;s<e;s++){const c=this.getChild(s);i=Fh(i,c.length),n=n.merge(c.missingOpeningBracketIds)}this._length=i,this._missingOpeningBracketIds=n,this.cachedMinIndentation=-1}computeMinIndentation(e,i){if(this.cachedMinIndentation!==-1)return this.cachedMinIndentation;let n=Number.MAX_SAFE_INTEGER,s=e;for(let c=0;c<this.childrenLength;c++){const d=this.getChild(c);d&&(n=Math.min(n,d.computeMinIndentation(s,i)),s=Fh(s,d.length))}return this.cachedMinIndentation=n,n}}class dre extends AL{get childrenLength(){return this._item3!==null?3:2}getChild(e){switch(e){case 0:return this._item1;case 1:return this._item2;case 2:return this._item3}throw new Error("Invalid child index")}setChild(e,i){switch(e){case 0:this._item1=i;return;case 1:this._item2=i;return;case 2:this._item3=i;return}throw new Error("Invalid child index")}get children(){return this._item3?[this._item1,this._item2,this._item3]:[this._item1,this._item2]}get item1(){return this._item1}get item2(){return this._item2}get item3(){return this._item3}constructor(e,i,n,s,c,d){super(e,i,d),this._item1=n,this._item2=s,this._item3=c}deepClone(){return new dre(this.length,this.listHeight,this._item1.deepClone(),this._item2.deepClone(),this._item3?this._item3.deepClone():null,this.missingOpeningBracketIds)}appendChildOfSameHeight(e){if(this._item3)throw new Error("Cannot append to a full (2,3) tree node");this.throwIfImmutable(),this._item3=e,this.handleChildrenChanged()}unappendChild(){if(!this._item3)throw new Error("Cannot remove from a non-full (2,3) tree node");this.throwIfImmutable();const e=this._item3;return this._item3=null,this.handleChildrenChanged(),e}prependChildOfSameHeight(e){if(this._item3)throw new Error("Cannot prepend to a full (2,3) tree node");this.throwIfImmutable(),this._item3=this._item2,this._item2=this._item1,this._item1=e,this.handleChildrenChanged()}unprependChild(){if(!this._item3)throw new Error("Cannot remove from a non-full (2,3) tree node");this.throwIfImmutable();const e=this._item1;return this._item1=this._item2,this._item2=this._item3,this._item3=null,this.handleChildrenChanged(),e}toMutable(){return this}}class _vi extends dre{toMutable(){return new dre(this.length,this.listHeight,this.item1,this.item2,this.item3,this.missingOpeningBracketIds)}throwIfImmutable(){throw new Error("this instance is immutable")}}class Gye extends AL{get childrenLength(){return this._children.length}getChild(e){return this._children[e]}setChild(e,i){this._children[e]=i}get children(){return this._children}constructor(e,i,n,s){super(e,i,s),this._children=n}deepClone(){const e=new Array(this._children.length);for(let i=0;i<this._children.length;i++)e[i]=this._children[i].deepClone();return new Gye(this.length,this.listHeight,e,this.missingOpeningBracketIds)}appendChildOfSameHeight(e){this.throwIfImmutable(),this._children.push(e),this.handleChildrenChanged()}unappendChild(){this.throwIfImmutable();const e=this._children.pop();return this.handleChildrenChanged(),e}prependChildOfSameHeight(e){this.throwIfImmutable(),this._children.unshift(e),this.handleChildrenChanged()}unprependChild(){this.throwIfImmutable();const e=this._children.shift();return this.handleChildrenChanged(),e}toMutable(){return this}}class pvi extends Gye{toMutable(){return new Gye(this.length,this.listHeight,[...this.children],this.missingOpeningBracketIds)}throwIfImmutable(){throw new Error("this instance is immutable")}}const mvi=[];class hJe extends fJe{get listHeight(){return 0}get childrenLength(){return 0}getChild(e){return null}get children(){return mvi}deepClone(){return this}}class jF extends hJe{get kind(){return 0}get missingOpeningBracketIds(){return jC.getEmpty()}canBeReused(e){return!0}computeMinIndentation(e,i){const n=yk(e),s=(n.columnCount===0?n.lineCount:n.lineCount+1)+1,c=uvi(Fh(e,this.length))+1;let d=Number.MAX_SAFE_INTEGER;for(let f=s;f<=c;f++){const m=i.getLineFirstNonWhitespaceColumn(f),v=i.getLineContent(f);if(m===0)continue;const x=em.visibleColumnFromColumn(v,m,i.getOptions().tabSize);d=Math.min(d,x)}return d}}class Kye extends hJe{static create(e,i,n){return new Kye(e,i,n)}get kind(){return 1}get missingOpeningBracketIds(){return jC.getEmpty()}constructor(e,i,n){super(e),this.bracketInfo=i,this.bracketIds=n}get text(){return this.bracketInfo.bracketText}get languageId(){return this.bracketInfo.languageId}canBeReused(e){return!1}computeMinIndentation(e,i){return Number.MAX_SAFE_INTEGER}}class gvi extends hJe{get kind(){return 3}constructor(e,i){super(i),this.missingOpeningBracketIds=e}canBeReused(e){return!e.intersects(this.missingOpeningBracketIds)}computeMinIndentation(e,i){return Number.MAX_SAFE_INTEGER}}let wM=class{constructor(e,i,n,s,c){this.length=e,this.kind=i,this.bracketId=n,this.bracketIds=s,this.astNode=c}};class XDt{constructor(e,i){this.textModel=e,this.bracketTokens=i,this.reader=new yvi(this.textModel,this.bracketTokens),this._offset=pv,this.didPeek=!1,this.peeked=null,this.textBufferLineCount=e.getLineCount(),this.textBufferLastLineLength=e.getLineLength(this.textBufferLineCount)}get offset(){return this._offset}get length(){return U_(this.textBufferLineCount-1,this.textBufferLastLineLength)}skip(e){this.didPeek=!1,this._offset=Fh(this._offset,e);const i=yk(this._offset);this.reader.setPosition(i.lineCount,i.columnCount)}read(){let e;return this.peeked?(this.didPeek=!1,e=this.peeked):e=this.reader.read(),e&&(this._offset=Fh(this._offset,e.length)),e}peek(){return this.didPeek||(this.peeked=this.reader.read(),this.didPeek=!0),this.peeked}}class yvi{constructor(e,i){this.textModel=e,this.bracketTokens=i,this.lineIdx=0,this.line=null,this.lineCharOffset=0,this.lineTokens=null,this.lineTokenOffset=0,this.peekedToken=null,this.textBufferLineCount=e.getLineCount(),this.textBufferLastLineLength=e.getLineLength(this.textBufferLineCount)}setPosition(e,i){e===this.lineIdx?(this.lineCharOffset=i,this.line!==null&&(this.lineTokenOffset=this.lineCharOffset===0?0:this.lineTokens.findTokenIndexAtOffset(this.lineCharOffset))):(this.lineIdx=e,this.lineCharOffset=i,this.line=null),this.peekedToken=null}read(){if(this.peekedToken){const c=this.peekedToken;return this.peekedToken=null,this.lineCharOffset+=c.length,c}if(this.lineIdx>this.textBufferLineCount-1||this.lineIdx===this.textBufferLineCount-1&&this.lineCharOffset>=this.textBufferLastLineLength)return null;this.line===null&&(this.lineTokens=this.textModel.tokenization.getLineTokens(this.lineIdx+1),this.line=this.lineTokens.getLineContent(),this.lineTokenOffset=this.lineCharOffset===0?0:this.lineTokens.findTokenIndexAtOffset(this.lineCharOffset));const e=this.lineIdx,i=this.lineCharOffset;let n=0;for(;;){const c=this.lineTokens,d=c.getCount();let f=null;if(this.lineTokenOffset<d){const m=c.getMetadata(this.lineTokenOffset);for(;this.lineTokenOffset+1<d&&m===c.getMetadata(this.lineTokenOffset+1);)this.lineTokenOffset++;const v=kb.getTokenType(m)===0,x=kb.containsBalancedBrackets(m),w=c.getEndOffset(this.lineTokenOffset);if(x&&v&&this.lineCharOffset<w){const I=c.getLanguageId(this.lineTokenOffset),P=this.line.substring(this.lineCharOffset,w),O=this.bracketTokens.getSingleLanguageBracketTokens(I),z=O.regExpGlobal;if(z){z.lastIndex=0;const J=z.exec(P);J&&(f=O.getToken(J[0]),f&&(this.lineCharOffset+=J.index))}}if(n+=w-this.lineCharOffset,f)if(e!==this.lineIdx||i!==this.lineCharOffset){this.peekedToken=f;break}else return this.lineCharOffset+=f.length,f;else this.lineTokenOffset++,this.lineCharOffset=w}else if(this.lineIdx===this.textBufferLineCount-1||(this.lineIdx++,this.lineTokens=this.textModel.tokenization.getLineTokens(this.lineIdx+1),this.lineTokenOffset=0,this.line=this.lineTokens.getLineContent(),this.lineCharOffset=0,n+=33,n>1e3))break;if(n>1500)break}const s=lvi(e,i,this.lineIdx,this.lineCharOffset);return new wM(s,0,-1,jC.getEmpty(),new jF(s))}}class vvi{constructor(e,i){this.text=e,this._offset=pv,this.idx=0;const n=i.getRegExpStr(),s=n?new RegExp(n+`|
+`,"gi"):null,c=[];let d,f=0,m=0,v=0,x=0;const w=[];for(let O=0;O<60;O++)w.push(new wM(U_(0,O),0,-1,jC.getEmpty(),new jF(U_(0,O))));const I=[];for(let O=0;O<60;O++)I.push(new wM(U_(1,O),0,-1,jC.getEmpty(),new jF(U_(1,O))));if(s)for(s.lastIndex=0;(d=s.exec(e))!==null;){const O=d.index,z=d[0];if(z===`
+`)f++,m=O+1;else{if(v!==O){let J;if(x===f){const Y=O-v;if(Y<w.length)J=w[Y];else{const ae=U_(0,Y);J=new wM(ae,0,-1,jC.getEmpty(),new jF(ae))}}else{const Y=f-x,ae=O-m;if(Y===1&&ae<I.length)J=I[ae];else{const me=U_(Y,ae);J=new wM(me,0,-1,jC.getEmpty(),new jF(me))}}c.push(J)}c.push(i.getToken(z)),v=O+z.length,x=f}}const P=e.length;if(v!==P){const O=x===f?U_(0,P-v):U_(f-x,P-m);c.push(new wM(O,0,-1,jC.getEmpty(),new jF(O)))}this.length=U_(f,P-m),this.tokens=c}get offset(){return this._offset}read(){return this.tokens[this.idx++]||null}peek(){return this.tokens[this.idx]||null}skip(e){throw new Yci}}class _Je{static createFromLanguage(e,i){function n(c){return i.getKey(`${c.languageId}:::${c.bracketText}`)}const s=new Map;for(const c of e.bracketsNew.openingBrackets){const d=U_(0,c.bracketText.length),f=n(c),m=jC.getEmpty().add(f,bCt);s.set(c.bracketText,new wM(d,1,f,m,Kye.create(d,c,m)))}for(const c of e.bracketsNew.closingBrackets){const d=U_(0,c.bracketText.length);let f=jC.getEmpty();const m=c.getOpeningBrackets();for(const v of m)f=f.add(n(v),bCt);s.set(c.bracketText,new wM(d,2,n(m[0]),f,Kye.create(d,c,f)))}return new _Je(s)}constructor(e){this.map=e,this.hasRegExp=!1,this._regExpGlobal=null}getRegExpStr(){if(this.isEmpty)return null;{const e=[...this.map.keys()];return e.sort(),e.reverse(),e.map(i=>bvi(i)).join("|")}}get regExpGlobal(){if(!this.hasRegExp){const e=this.getRegExpStr();this._regExpGlobal=e?new RegExp(e,"gi"):null,this.hasRegExp=!0}return this._regExpGlobal}getToken(e){return this.map.get(e.toLowerCase())}findClosingTokenText(e){for(const[i,n]of this.map)if(n.kind===2&&n.bracketIds.intersects(e))return i}get isEmpty(){return this.map.size===0}}function bvi(l){let e=Tw(l);return/^[\w ]+/.test(l)&&(e=`\\b${e}`),/[\w ]+$/.test(l)&&(e=`${e}\\b`),e}class QDt{constructor(e,i){this.denseKeyProvider=e,this.getLanguageConfiguration=i,this.languageIdToBracketTokens=new Map}didLanguageChange(e){return this.languageIdToBracketTokens.has(e)}getSingleLanguageBracketTokens(e){let i=this.languageIdToBracketTokens.get(e);return i||(i=_Je.createFromLanguage(this.getLanguageConfiguration(e),this.denseKeyProvider),this.languageIdToBracketTokens.set(e,i)),i}}function Cvi(l){if(l.length===0)return null;if(l.length===1)return l[0];let e=0;function i(){if(e>=l.length)return null;const d=e,f=l[d].listHeight;for(e++;e<l.length&&l[e].listHeight===f;)e++;return e-d>=2?ZDt(d===0&&e===l.length?l:l.slice(d,e),!1):l[d]}let n=i(),s=i();if(!s)return n;for(let d=i();d;d=i())CCt(n,s)<=CCt(s,d)?(n=qFe(n,s),s=d):s=qFe(s,d);return qFe(n,s)}function ZDt(l,e=!1){if(l.length===0)return null;if(l.length===1)return l[0];let i=l.length;for(;i>3;){const n=i>>1;for(let s=0;s<n;s++){const c=s<<1;l[s]=AL.create23(l[c],l[c+1],c+3===i?l[c+2]:null,e)}i=n}return AL.create23(l[0],l[1],i>=3?l[2]:null,e)}function CCt(l,e){return Math.abs(l.listHeight-e.listHeight)}function qFe(l,e){return l.listHeight===e.listHeight?AL.create23(l,e,null,!1):l.listHeight>e.listHeight?Svi(l,e):wvi(e,l)}function Svi(l,e){l=l.toMutable();let i=l;const n=[];let s;for(;;){if(e.listHeight===i.listHeight){s=e;break}if(i.kind!==4)throw new Error("unexpected");n.push(i),i=i.makeLastElementMutable()}for(let c=n.length-1;c>=0;c--){const d=n[c];s?d.childrenLength>=3?s=AL.create23(d.unappendChild(),s,null,!1):(d.appendChildOfSameHeight(s),s=void 0):d.handleChildrenChanged()}return s?AL.create23(l,s,null,!1):l}function wvi(l,e){l=l.toMutable();let i=l;const n=[];for(;e.listHeight!==i.listHeight;){if(i.kind!==4)throw new Error("unexpected");n.push(i),i=i.makeFirstElementMutable()}let s=e;for(let c=n.length-1;c>=0;c--){const d=n[c];s?d.childrenLength>=3?s=AL.create23(s,d.unprependChild(),null,!1):(d.prependChildOfSameHeight(s),s=void 0):d.handleChildrenChanged()}return s?AL.create23(s,l,null,!1):l}class xvi{constructor(e){this.lastOffset=pv,this.nextNodes=[e],this.offsets=[pv],this.idxs=[]}readLongestNodeAt(e,i){if(Xq(e,this.lastOffset))throw new Error("Invalid offset");for(this.lastOffset=e;;){const n=Fte(this.nextNodes);if(!n)return;const s=Fte(this.offsets);if(Xq(e,s))return;if(Xq(s,e))if(Fh(s,n.length)<=e)this.nextNodeAfterCurrent();else{const c=$Fe(n);c!==-1?(this.nextNodes.push(n.getChild(c)),this.offsets.push(s),this.idxs.push(c)):this.nextNodeAfterCurrent()}else{if(i(n))return this.nextNodeAfterCurrent(),n;{const c=$Fe(n);if(c===-1){this.nextNodeAfterCurrent();return}else this.nextNodes.push(n.getChild(c)),this.offsets.push(s),this.idxs.push(c)}}}}nextNodeAfterCurrent(){for(;;){const e=Fte(this.offsets),i=Fte(this.nextNodes);if(this.nextNodes.pop(),this.offsets.pop(),this.idxs.length===0)break;const n=Fte(this.nextNodes),s=$Fe(n,this.idxs[this.idxs.length-1]);if(s!==-1){this.nextNodes.push(n.getChild(s)),this.offsets.push(Fh(e,i.length)),this.idxs[this.idxs.length-1]=s;break}else this.idxs.pop()}}}function $Fe(l,e=-1){for(;;){if(e++,e>=l.childrenLength)return-1;if(l.getChild(e))return e}}function Fte(l){return l.length>0?l[l.length-1]:void 0}function tHe(l,e,i,n){return new kvi(l,e,i,n).parseDocument()}class kvi{constructor(e,i,n,s){if(this.tokenizer=e,this.createImmutableLists=s,this._itemsConstructed=0,this._itemsFromCache=0,n&&s)throw new Error("Not supported");this.oldNodeReader=n?new xvi(n):void 0,this.positionMapper=new hvi(i)}parseDocument(){this._itemsConstructed=0,this._itemsFromCache=0;let e=this.parseList(jC.getEmpty(),0);return e||(e=AL.getEmpty()),e}parseList(e,i){const n=[];for(;;){let c=this.tryReadChildFromCache(e);if(!c){const d=this.tokenizer.peek();if(!d||d.kind===2&&d.bracketIds.intersects(e))break;c=this.parseChild(e,i+1)}c.kind===4&&c.childrenLength===0||n.push(c)}return this.oldNodeReader?Cvi(n):ZDt(n,this.createImmutableLists)}tryReadChildFromCache(e){if(this.oldNodeReader){const i=this.positionMapper.getDistanceToNextChange(this.tokenizer.offset);if(i===null||!Jye(i)){const n=this.oldNodeReader.readLongestNodeAt(this.positionMapper.getOffsetBeforeChange(this.tokenizer.offset),s=>i!==null&&!Xq(s.length,i)?!1:s.canBeReused(e));if(n)return this._itemsFromCache++,this.tokenizer.skip(n.length),n}}}parseChild(e,i){this._itemsConstructed++;const n=this.tokenizer.read();switch(n.kind){case 2:return new gvi(n.bracketIds,n.length);case 0:return n.astNode;case 1:{if(i>300)return new jF(n.length);const s=e.merge(n.bracketIds),c=this.parseList(s,i+1),d=this.tokenizer.peek();return d&&d.kind===2&&(d.bracketId===n.bracketId||d.bracketIds.intersects(n.bracketIds))?(this.tokenizer.read(),ure.create(n.astNode,c,d.astNode)):ure.create(n.astNode,c,null)}default:throw new Error("unexpected")}}}function Xye(l,e){if(l.length===0)return e;if(e.length===0)return l;const i=new s6(SCt(l)),n=SCt(e);n.push({modified:!1,lengthBefore:void 0,lengthAfter:void 0});let s=i.dequeue();function c(v){if(v===void 0){const w=i.takeWhile(I=>!0)||[];return s&&w.unshift(s),w}const x=[];for(;s&&!Jye(v);){const[w,I]=s.splitAt(v);x.push(w),v=lre(w.lengthAfter,v),s=I??i.dequeue()}return Jye(v)||x.push(new FM(!1,v,v)),x}const d=[];function f(v,x,w){if(d.length>0&&GDt(d[d.length-1].endOffset,v)){const I=d[d.length-1];d[d.length-1]=new j4(I.startOffset,x,Fh(I.newLength,w))}else d.push({startOffset:v,endOffset:x,newLength:w})}let m=pv;for(const v of n){const x=c(v.lengthBefore);if(v.modified){const w=dvi(x,P=>P.lengthBefore),I=Fh(m,w);f(m,I,v.lengthAfter),m=I}else for(const w of x){const I=m;m=Fh(m,w.lengthBefore),w.modified&&f(I,m,w.lengthAfter)}}return d}class FM{constructor(e,i,n){this.modified=e,this.lengthBefore=i,this.lengthAfter=n}splitAt(e){const i=lre(e,this.lengthAfter);return GDt(i,pv)?[this,void 0]:this.modified?[new FM(this.modified,this.lengthBefore,e),new FM(this.modified,pv,i)]:[new FM(this.modified,e,e),new FM(this.modified,i,i)]}toString(){return`${this.modified?"M":"U"}:${yk(this.lengthBefore)} -> ${yk(this.lengthAfter)}`}}function SCt(l){const e=[];let i=pv;for(const n of l){const s=lre(i,n.startOffset);Jye(s)||e.push(new FM(!1,s,s));const c=lre(n.startOffset,n.endOffset);e.push(new FM(!0,c,n.newLength)),i=n.endOffset}return e}class Tvi extends xi{didLanguageChange(e){return this.brackets.didLanguageChange(e)}constructor(e,i){if(super(),this.textModel=e,this.getLanguageConfiguration=i,this.didChangeEmitter=new gi,this.denseKeyProvider=new KDt,this.brackets=new QDt(this.denseKeyProvider,this.getLanguageConfiguration),this.onDidChange=this.didChangeEmitter.event,this.queuedTextEditsForInitialAstWithoutTokens=[],this.queuedTextEdits=[],e.tokenization.hasTokens)e.tokenization.backgroundTokenizationState===2?(this.initialAstWithoutTokens=void 0,this.astWithTokens=this.parseDocumentFromTextBuffer([],void 0,!1)):(this.initialAstWithoutTokens=this.parseDocumentFromTextBuffer([],void 0,!0),this.astWithTokens=this.initialAstWithoutTokens);else{const n=this.brackets.getSingleLanguageBracketTokens(this.textModel.getLanguageId()),s=new vvi(this.textModel.getValue(),n);this.initialAstWithoutTokens=tHe(s,[],void 0,!0),this.astWithTokens=this.initialAstWithoutTokens}}handleDidChangeBackgroundTokenizationState(){if(this.textModel.tokenization.backgroundTokenizationState===2){const e=this.initialAstWithoutTokens===void 0;this.initialAstWithoutTokens=void 0,e||this.didChangeEmitter.fire()}}handleDidChangeTokens({ranges:e}){const i=e.map(n=>new j4(U_(n.fromLineNumber-1,0),U_(n.toLineNumber,0),U_(n.toLineNumber-n.fromLineNumber+1,0)));this.handleEdits(i,!0),this.initialAstWithoutTokens||this.didChangeEmitter.fire()}handleContentChanged(e){const i=j4.fromModelContentChanges(e.changes);this.handleEdits(i,!1)}handleEdits(e,i){const n=Xye(this.queuedTextEdits,e);this.queuedTextEdits=n,this.initialAstWithoutTokens&&!i&&(this.queuedTextEditsForInitialAstWithoutTokens=Xye(this.queuedTextEditsForInitialAstWithoutTokens,e))}flushQueue(){this.queuedTextEdits.length>0&&(this.astWithTokens=this.parseDocumentFromTextBuffer(this.queuedTextEdits,this.astWithTokens,!1),this.queuedTextEdits=[]),this.queuedTextEditsForInitialAstWithoutTokens.length>0&&(this.initialAstWithoutTokens&&(this.initialAstWithoutTokens=this.parseDocumentFromTextBuffer(this.queuedTextEditsForInitialAstWithoutTokens,this.initialAstWithoutTokens,!1)),this.queuedTextEditsForInitialAstWithoutTokens=[])}parseDocumentFromTextBuffer(e,i,n){const s=i,c=new XDt(this.textModel,this.brackets);return tHe(c,e,s,n)}getBracketsInRange(e,i){this.flushQueue();const n=U_(e.startLineNumber-1,e.startColumn-1),s=U_(e.endLineNumber-1,e.endColumn-1);return new u9(c=>{const d=this.initialAstWithoutTokens||this.astWithTokens;iHe(d,pv,d.length,n,s,c,0,0,new Map,i)})}getBracketPairsInRange(e,i){this.flushQueue();const n=lq(e.getStartPosition()),s=lq(e.getEndPosition());return new u9(c=>{const d=this.initialAstWithoutTokens||this.astWithTokens,f=new Dvi(c,i,this.textModel);nHe(d,pv,d.length,n,s,f,0,new Map)})}getFirstBracketAfter(e){this.flushQueue();const i=this.initialAstWithoutTokens||this.astWithTokens;return eEt(i,pv,i.length,lq(e))}getFirstBracketBefore(e){this.flushQueue();const i=this.initialAstWithoutTokens||this.astWithTokens;return YDt(i,pv,i.length,lq(e))}}function YDt(l,e,i,n){if(l.kind===4||l.kind===2){const s=[];for(const c of l.children)i=Fh(e,c.length),s.push({nodeOffsetStart:e,nodeOffsetEnd:i}),e=i;for(let c=s.length-1;c>=0;c--){const{nodeOffsetStart:d,nodeOffsetEnd:f}=s[c];if(Xq(d,n)){const m=YDt(l.children[c],d,f,n);if(m)return m}}return null}else{if(l.kind===3)return null;if(l.kind===1){const s=m9(e,i);return{bracketInfo:l.bracketInfo,range:s}}}return null}function eEt(l,e,i,n){if(l.kind===4||l.kind===2){for(const s of l.children){if(i=Fh(e,s.length),Xq(n,i)){const c=eEt(s,e,i,n);if(c)return c}e=i}return null}else{if(l.kind===3)return null;if(l.kind===1){const s=m9(e,i);return{bracketInfo:l.bracketInfo,range:s}}}return null}function iHe(l,e,i,n,s,c,d,f,m,v,x=!1){if(d>200)return!0;e:for(;;)switch(l.kind){case 4:{const w=l.childrenLength;for(let I=0;I<w;I++){const P=l.getChild(I);if(P){if(i=Fh(e,P.length),Qq(e,s)&&aie(i,n)){if(aie(i,s)){l=P;continue e}if(!iHe(P,e,i,n,s,c,d,0,m,v))return!1}e=i}}return!0}case 2:{const w=!v||!l.closingBracket||l.closingBracket.bracketInfo.closesColorized(l.openingBracket.bracketInfo);let I=0;if(m){let O=m.get(l.openingBracket.text);O===void 0&&(O=0),I=O,w&&(O++,m.set(l.openingBracket.text,O))}const P=l.childrenLength;for(let O=0;O<P;O++){const z=l.getChild(O);if(z){if(i=Fh(e,z.length),Qq(e,s)&&aie(i,n)){if(aie(i,s)&&z.kind!==1){l=z,w?(d++,f=I+1):f=I;continue e}if((w||z.kind!==1||!l.closingBracket)&&!iHe(z,e,i,n,s,c,w?d+1:d,w?I+1:I,m,v,!l.closingBracket))return!1}e=i}}return m==null||m.set(l.openingBracket.text,I),!0}case 3:{const w=m9(e,i);return c(new vCt(w,d-1,0,!0))}case 1:{const w=m9(e,i);return c(new vCt(w,d-1,f-1,x))}case 0:return!0}}class Dvi{constructor(e,i,n){this.push=e,this.includeMinIndentation=i,this.textModel=n}}function nHe(l,e,i,n,s,c,d,f){var v;if(d>200)return!0;let m=!0;if(l.kind===2){let x=0;if(f){let P=f.get(l.openingBracket.text);P===void 0&&(P=0),x=P,P++,f.set(l.openingBracket.text,P)}const w=Fh(e,l.openingBracket.length);let I=-1;if(c.includeMinIndentation&&(I=l.computeMinIndentation(e,c.textModel)),m=c.push(new cvi(m9(e,i),m9(e,w),l.closingBracket?m9(Fh(w,((v=l.child)==null?void 0:v.length)||pv),i):void 0,d,x,l,I)),e=w,m&&l.child){const P=l.child;if(i=Fh(e,P.length),Qq(e,s)&&aie(i,n)&&(m=nHe(P,e,i,n,s,c,d+1,f),!m))return!1}f==null||f.set(l.openingBracket.text,x)}else{let x=e;for(const w of l.children){const I=x;if(x=Fh(x,w.length),Qq(I,s)&&Qq(n,x)&&(m=nHe(w,I,x,n,s,c,d,f),!m))return!1}}return m}class Evi extends xi{get canBuildAST(){return this.textModel.getValueLength()<=5e6}constructor(e,i){super(),this.textModel=e,this.languageConfigurationService=i,this.bracketPairsTree=this._register(new Fm),this.onDidChangeEmitter=new gi,this.onDidChange=this.onDidChangeEmitter.event,this.bracketsRequested=!1}handleLanguageConfigurationServiceChange(e){var i;(!e.languageId||(i=this.bracketPairsTree.value)!=null&&i.object.didLanguageChange(e.languageId))&&(this.bracketPairsTree.clear(),this.updateBracketPairsTree())}handleDidChangeOptions(e){this.bracketPairsTree.clear(),this.updateBracketPairsTree()}handleDidChangeLanguage(e){this.bracketPairsTree.clear(),this.updateBracketPairsTree()}handleDidChangeContent(e){var i;(i=this.bracketPairsTree.value)==null||i.object.handleContentChanged(e)}handleDidChangeBackgroundTokenizationState(){var e;(e=this.bracketPairsTree.value)==null||e.object.handleDidChangeBackgroundTokenizationState()}handleDidChangeTokens(e){var i;(i=this.bracketPairsTree.value)==null||i.object.handleDidChangeTokens(e)}updateBracketPairsTree(){if(this.bracketsRequested&&this.canBuildAST){if(!this.bracketPairsTree.value){const e=new wn;this.bracketPairsTree.value=Ivi(e.add(new Tvi(this.textModel,i=>this.languageConfigurationService.getLanguageConfiguration(i))),e),e.add(this.bracketPairsTree.value.object.onDidChange(i=>this.onDidChangeEmitter.fire(i))),this.onDidChangeEmitter.fire()}}else this.bracketPairsTree.value&&(this.bracketPairsTree.clear(),this.onDidChangeEmitter.fire())}getBracketPairsInRange(e){var i;return this.bracketsRequested=!0,this.updateBracketPairsTree(),((i=this.bracketPairsTree.value)==null?void 0:i.object.getBracketPairsInRange(e,!1))||u9.empty}getBracketPairsInRangeWithMinIndentation(e){var i;return this.bracketsRequested=!0,this.updateBracketPairsTree(),((i=this.bracketPairsTree.value)==null?void 0:i.object.getBracketPairsInRange(e,!0))||u9.empty}getBracketsInRange(e,i=!1){var n;return this.bracketsRequested=!0,this.updateBracketPairsTree(),((n=this.bracketPairsTree.value)==null?void 0:n.object.getBracketsInRange(e,i))||u9.empty}findMatchingBracketUp(e,i,n){const s=this.textModel.validatePosition(i),c=this.textModel.getLanguageIdAtPosition(s.lineNumber,s.column);if(this.canBuildAST){const d=this.languageConfigurationService.getLanguageConfiguration(c).bracketsNew.getClosingBracketInfo(e);if(!d)return null;const f=this.getBracketPairsInRange(nt.fromPositions(i,i)).findLast(m=>d.closes(m.openingBracketInfo));return f?f.openingBracketRange:null}else{const d=e.toLowerCase(),f=this.languageConfigurationService.getLanguageConfiguration(c).brackets;if(!f)return null;const m=f.textIsBracket[d];return m?f0e(this._findMatchingBracketUp(m,s,JFe(n))):null}}matchBracket(e,i){if(this.canBuildAST){const n=this.getBracketPairsInRange(nt.fromPositions(e,e)).filter(s=>s.closingBracketRange!==void 0&&(s.openingBracketRange.containsPosition(e)||s.closingBracketRange.containsPosition(e))).findLastMaxBy(yv(s=>s.openingBracketRange.containsPosition(e)?s.openingBracketRange:s.closingBracketRange,nt.compareRangesUsingStarts));return n?[n.openingBracketRange,n.closingBracketRange]:null}else{const n=JFe(i);return this._matchBracket(this.textModel.validatePosition(e),n)}}_establishBracketSearchOffsets(e,i,n,s){const c=i.getCount(),d=i.getLanguageId(s);let f=Math.max(0,e.column-1-n.maxBracketLength);for(let v=s-1;v>=0;v--){const x=i.getEndOffset(v);if(x<=f)break;if(ZN(i.getStandardTokenType(v))||i.getLanguageId(v)!==d){f=x;break}}let m=Math.min(i.getLineContent().length,e.column-1+n.maxBracketLength);for(let v=s+1;v<c;v++){const x=i.getStartOffset(v);if(x>=m)break;if(ZN(i.getStandardTokenType(v))||i.getLanguageId(v)!==d){m=x;break}}return{searchStartOffset:f,searchEndOffset:m}}_matchBracket(e,i){const n=e.lineNumber,s=this.textModel.tokenization.getLineTokens(n),c=this.textModel.getLineContent(n),d=s.findTokenIndexAtOffset(e.column-1);if(d<0)return null;const f=this.languageConfigurationService.getLanguageConfiguration(s.getLanguageId(d)).brackets;if(f&&!ZN(s.getStandardTokenType(d))){let{searchStartOffset:m,searchEndOffset:v}=this._establishBracketSearchOffsets(e,s,f,d),x=null;for(;;){const w=iT.findNextBracketInRange(f.forwardRegex,n,c,m,v);if(!w)break;if(w.startColumn<=e.column&&e.column<=w.endColumn){const I=c.substring(w.startColumn-1,w.endColumn-1).toLowerCase(),P=this._matchFoundBracket(w,f.textIsBracket[I],f.textIsOpenBracket[I],i);if(P){if(P instanceof I4)return null;x=P}}m=w.endColumn-1}if(x)return x}if(d>0&&s.getStartOffset(d)===e.column-1){const m=d-1,v=this.languageConfigurationService.getLanguageConfiguration(s.getLanguageId(m)).brackets;if(v&&!ZN(s.getStandardTokenType(m))){const{searchStartOffset:x,searchEndOffset:w}=this._establishBracketSearchOffsets(e,s,v,m),I=iT.findPrevBracketInRange(v.reversedRegex,n,c,x,w);if(I&&I.startColumn<=e.column&&e.column<=I.endColumn){const P=c.substring(I.startColumn-1,I.endColumn-1).toLowerCase(),O=this._matchFoundBracket(I,v.textIsBracket[P],v.textIsOpenBracket[P],i);if(O)return O instanceof I4?null:O}}}return null}_matchFoundBracket(e,i,n,s){if(!i)return null;const c=n?this._findMatchingBracketDown(i,e.getEndPosition(),s):this._findMatchingBracketUp(i,e.getStartPosition(),s);return c?c instanceof I4?c:[e,c]:null}_findMatchingBracketUp(e,i,n){const s=e.languageId,c=e.reversedRegex;let d=-1,f=0;const m=(v,x,w,I)=>{for(;;){if(n&&++f%100===0&&!n())return I4.INSTANCE;const P=iT.findPrevBracketInRange(c,v,x,w,I);if(!P)break;const O=x.substring(P.startColumn-1,P.endColumn-1).toLowerCase();if(e.isOpen(O)?d++:e.isClose(O)&&d--,d===0)return P;I=P.startColumn-1}return null};for(let v=i.lineNumber;v>=1;v--){const x=this.textModel.tokenization.getLineTokens(v),w=x.getCount(),I=this.textModel.getLineContent(v);let P=w-1,O=I.length,z=I.length;v===i.lineNumber&&(P=x.findTokenIndexAtOffset(i.column-1),O=i.column-1,z=i.column-1);let J=!0;for(;P>=0;P--){const Y=x.getLanguageId(P)===s&&!ZN(x.getStandardTokenType(P));if(Y)J?O=x.getStartOffset(P):(O=x.getStartOffset(P),z=x.getEndOffset(P));else if(J&&O!==z){const ae=m(v,I,O,z);if(ae)return ae}J=Y}if(J&&O!==z){const Y=m(v,I,O,z);if(Y)return Y}}return null}_findMatchingBracketDown(e,i,n){const s=e.languageId,c=e.forwardRegex;let d=1,f=0;const m=(x,w,I,P)=>{for(;;){if(n&&++f%100===0&&!n())return I4.INSTANCE;const O=iT.findNextBracketInRange(c,x,w,I,P);if(!O)break;const z=w.substring(O.startColumn-1,O.endColumn-1).toLowerCase();if(e.isOpen(z)?d++:e.isClose(z)&&d--,d===0)return O;I=O.endColumn-1}return null},v=this.textModel.getLineCount();for(let x=i.lineNumber;x<=v;x++){const w=this.textModel.tokenization.getLineTokens(x),I=w.getCount(),P=this.textModel.getLineContent(x);let O=0,z=0,J=0;x===i.lineNumber&&(O=w.findTokenIndexAtOffset(i.column-1),z=i.column-1,J=i.column-1);let Y=!0;for(;O<I;O++){const ae=w.getLanguageId(O)===s&&!ZN(w.getStandardTokenType(O));if(ae)Y||(z=w.getStartOffset(O)),J=w.getEndOffset(O);else if(Y&&z!==J){const me=m(x,P,z,J);if(me)return me}Y=ae}if(Y&&z!==J){const ae=m(x,P,z,J);if(ae)return ae}}return null}findPrevBracket(e){var d;const i=this.textModel.validatePosition(e);if(this.canBuildAST)return this.bracketsRequested=!0,this.updateBracketPairsTree(),((d=this.bracketPairsTree.value)==null?void 0:d.object.getFirstBracketBefore(i))||null;let n=null,s=null,c=null;for(let f=i.lineNumber;f>=1;f--){const m=this.textModel.tokenization.getLineTokens(f),v=m.getCount(),x=this.textModel.getLineContent(f);let w=v-1,I=x.length,P=x.length;if(f===i.lineNumber){w=m.findTokenIndexAtOffset(i.column-1),I=i.column-1,P=i.column-1;const z=m.getLanguageId(w);n!==z&&(n=z,s=this.languageConfigurationService.getLanguageConfiguration(n).brackets,c=this.languageConfigurationService.getLanguageConfiguration(n).bracketsNew)}let O=!0;for(;w>=0;w--){const z=m.getLanguageId(w);if(n!==z){if(s&&c&&O&&I!==P){const Y=iT.findPrevBracketInRange(s.reversedRegex,f,x,I,P);if(Y)return this._toFoundBracket(c,Y);O=!1}n=z,s=this.languageConfigurationService.getLanguageConfiguration(n).brackets,c=this.languageConfigurationService.getLanguageConfiguration(n).bracketsNew}const J=!!s&&!ZN(m.getStandardTokenType(w));if(J)O?I=m.getStartOffset(w):(I=m.getStartOffset(w),P=m.getEndOffset(w));else if(c&&s&&O&&I!==P){const Y=iT.findPrevBracketInRange(s.reversedRegex,f,x,I,P);if(Y)return this._toFoundBracket(c,Y)}O=J}if(c&&s&&O&&I!==P){const z=iT.findPrevBracketInRange(s.reversedRegex,f,x,I,P);if(z)return this._toFoundBracket(c,z)}}return null}findNextBracket(e){var f;const i=this.textModel.validatePosition(e);if(this.canBuildAST)return this.bracketsRequested=!0,this.updateBracketPairsTree(),((f=this.bracketPairsTree.value)==null?void 0:f.object.getFirstBracketAfter(i))||null;const n=this.textModel.getLineCount();let s=null,c=null,d=null;for(let m=i.lineNumber;m<=n;m++){const v=this.textModel.tokenization.getLineTokens(m),x=v.getCount(),w=this.textModel.getLineContent(m);let I=0,P=0,O=0;if(m===i.lineNumber){I=v.findTokenIndexAtOffset(i.column-1),P=i.column-1,O=i.column-1;const J=v.getLanguageId(I);s!==J&&(s=J,c=this.languageConfigurationService.getLanguageConfiguration(s).brackets,d=this.languageConfigurationService.getLanguageConfiguration(s).bracketsNew)}let z=!0;for(;I<x;I++){const J=v.getLanguageId(I);if(s!==J){if(d&&c&&z&&P!==O){const ae=iT.findNextBracketInRange(c.forwardRegex,m,w,P,O);if(ae)return this._toFoundBracket(d,ae);z=!1}s=J,c=this.languageConfigurationService.getLanguageConfiguration(s).brackets,d=this.languageConfigurationService.getLanguageConfiguration(s).bracketsNew}const Y=!!c&&!ZN(v.getStandardTokenType(I));if(Y)z||(P=v.getStartOffset(I)),O=v.getEndOffset(I);else if(d&&c&&z&&P!==O){const ae=iT.findNextBracketInRange(c.forwardRegex,m,w,P,O);if(ae)return this._toFoundBracket(d,ae)}z=Y}if(d&&c&&z&&P!==O){const J=iT.findNextBracketInRange(c.forwardRegex,m,w,P,O);if(J)return this._toFoundBracket(d,J)}}return null}findEnclosingBrackets(e,i){const n=this.textModel.validatePosition(e);if(this.canBuildAST){const P=nt.fromPositions(n),O=this.getBracketPairsInRange(nt.fromPositions(n,n)).findLast(z=>z.closingBracketRange!==void 0&&z.range.strictContainsRange(P));return O?[O.openingBracketRange,O.closingBracketRange]:null}const s=JFe(i),c=this.textModel.getLineCount(),d=new Map;let f=[];const m=(P,O)=>{if(!d.has(P)){const z=[];for(let J=0,Y=O?O.brackets.length:0;J<Y;J++)z[J]=0;d.set(P,z)}f=d.get(P)};let v=0;const x=(P,O,z,J,Y)=>{for(;;){if(s&&++v%100===0&&!s())return I4.INSTANCE;const ae=iT.findNextBracketInRange(P.forwardRegex,O,z,J,Y);if(!ae)break;const me=z.substring(ae.startColumn-1,ae.endColumn-1).toLowerCase(),ye=P.textIsBracket[me];if(ye&&(ye.isOpen(me)?f[ye.index]++:ye.isClose(me)&&f[ye.index]--,f[ye.index]===-1))return this._matchFoundBracket(ae,ye,!1,s);J=ae.endColumn-1}return null};let w=null,I=null;for(let P=n.lineNumber;P<=c;P++){const O=this.textModel.tokenization.getLineTokens(P),z=O.getCount(),J=this.textModel.getLineContent(P);let Y=0,ae=0,me=0;if(P===n.lineNumber){Y=O.findTokenIndexAtOffset(n.column-1),ae=n.column-1,me=n.column-1;const Ce=O.getLanguageId(Y);w!==Ce&&(w=Ce,I=this.languageConfigurationService.getLanguageConfiguration(w).brackets,m(w,I))}let ye=!0;for(;Y<z;Y++){const Ce=O.getLanguageId(Y);if(w!==Ce){if(I&&ye&&ae!==me){const rt=x(I,P,J,ae,me);if(rt)return f0e(rt);ye=!1}w=Ce,I=this.languageConfigurationService.getLanguageConfiguration(w).brackets,m(w,I)}const Fe=!!I&&!ZN(O.getStandardTokenType(Y));if(Fe)ye||(ae=O.getStartOffset(Y)),me=O.getEndOffset(Y);else if(I&&ye&&ae!==me){const rt=x(I,P,J,ae,me);if(rt)return f0e(rt)}ye=Fe}if(I&&ye&&ae!==me){const Ce=x(I,P,J,ae,me);if(Ce)return f0e(Ce)}}return null}_toFoundBracket(e,i){if(!i)return null;let n=this.textModel.getValueInRange(i);n=n.toLowerCase();const s=e.getBracketInfo(n);return s?{range:i,bracketInfo:s}:null}}function Ivi(l,e){return{object:l,dispose:()=>e==null?void 0:e.dispose()}}function JFe(l){if(typeof l>"u")return()=>!0;{const e=Date.now();return()=>Date.now()-e<=l}}const cCe=class cCe{constructor(){this._searchCanceledBrand=void 0}};cCe.INSTANCE=new cCe;let I4=cCe;function f0e(l){return l instanceof I4?null:l}class Nvi extends xi{constructor(e){super(),this.textModel=e,this.colorProvider=new tEt,this.onDidChangeEmitter=new gi,this.onDidChange=this.onDidChangeEmitter.event,this.colorizationOptions=e.getOptions().bracketPairColorizationOptions,this._register(e.bracketPairs.onDidChange(i=>{this.onDidChangeEmitter.fire()}))}handleDidChangeOptions(e){this.colorizationOptions=this.textModel.getOptions().bracketPairColorizationOptions}getDecorationsInRange(e,i,n,s){return s?[]:i===void 0?[]:this.colorizationOptions.enabled?this.textModel.bracketPairs.getBracketsInRange(e,!0).map(d=>({id:`bracket${d.range.toString()}-${d.nestingLevel}`,options:{description:"BracketPairColorization",inlineClassName:this.colorProvider.getInlineClassName(d,this.colorizationOptions.independentColorPoolPerBracketType)},ownerId:0,range:d.range})).toArray():[]}getAllDecorations(e,i){return e===void 0?[]:this.colorizationOptions.enabled?this.getDecorationsInRange(new nt(1,1,this.textModel.getLineCount(),1),e,i):[]}}class tEt{constructor(){this.unexpectedClosingBracketClassName="unexpected-closing-bracket"}getInlineClassName(e,i){return e.isInvalid?this.unexpectedClosingBracketClassName:this.getInlineClassNameOfLevel(i?e.nestingLevelOfEqualBracketType:e.nestingLevel)}getInlineClassNameOfLevel(e){return`bracket-highlighting-${e%30}`}}Dk((l,e)=>{const i=[jDt,zDt,UDt,qDt,$Dt,JDt],n=new tEt;e.addRule(`.monaco-editor .${n.unexpectedClosingBracketClassName} { color: ${l.getColor(zyi)}; }`);const s=i.map(c=>l.getColor(c)).filter(c=>!!c).filter(c=>!c.isTransparent());for(let c=0;c<30;c++){const d=s[c%s.length];e.addRule(`.monaco-editor .${n.getInlineClassNameOfLevel(c)} { color: ${d}; }`)}});function h0e(l){return l.replace(/\n/g,"\\n").replace(/\r/g,"\\r")}class x0{get oldLength(){return this.oldText.length}get oldEnd(){return this.oldPosition+this.oldText.length}get newLength(){return this.newText.length}get newEnd(){return this.newPosition+this.newText.length}constructor(e,i,n,s){this.oldPosition=e,this.oldText=i,this.newPosition=n,this.newText=s}toString(){return this.oldText.length===0?`(insert@${this.oldPosition} "${h0e(this.newText)}")`:this.newText.length===0?`(delete@${this.oldPosition} "${h0e(this.oldText)}")`:`(replace@${this.oldPosition} "${h0e(this.oldText)}" with "${h0e(this.newText)}")`}static _writeStringSize(e){return 4+2*e.length}static _writeString(e,i,n){const s=i.length;yE(e,s,n),n+=4;for(let c=0;c<s;c++)yfi(e,i.charCodeAt(c),n),n+=2;return n}static _readString(e,i){const n=gE(e,i);return i+=4,bfi(e,i,n)}writeSize(){return 8+x0._writeStringSize(this.oldText)+x0._writeStringSize(this.newText)}write(e,i){return yE(e,this.oldPosition,i),i+=4,yE(e,this.newPosition,i),i+=4,i=x0._writeString(e,this.oldText,i),i=x0._writeString(e,this.newText,i),i}static read(e,i,n){const s=gE(e,i);i+=4;const c=gE(e,i);i+=4;const d=x0._readString(e,i);i+=x0._writeStringSize(d);const f=x0._readString(e,i);return i+=x0._writeStringSize(f),n.push(new x0(s,d,c,f)),i}}function Lvi(l,e){return l===null||l.length===0?e:new iL(l,e).compress()}class iL{constructor(e,i){this._prevEdits=e,this._currEdits=i,this._result=[],this._resultLen=0,this._prevLen=this._prevEdits.length,this._prevDeltaOffset=0,this._currLen=this._currEdits.length,this._currDeltaOffset=0}compress(){let e=0,i=0,n=this._getPrev(e),s=this._getCurr(i);for(;e<this._prevLen||i<this._currLen;){if(n===null){this._acceptCurr(s),s=this._getCurr(++i);continue}if(s===null){this._acceptPrev(n),n=this._getPrev(++e);continue}if(s.oldEnd<=n.newPosition){this._acceptCurr(s),s=this._getCurr(++i);continue}if(n.newEnd<=s.oldPosition){this._acceptPrev(n),n=this._getPrev(++e);continue}if(s.oldPosition<n.newPosition){const[v,x]=iL._splitCurr(s,n.newPosition-s.oldPosition);this._acceptCurr(v),s=x;continue}if(n.newPosition<s.oldPosition){const[v,x]=iL._splitPrev(n,s.oldPosition-n.newPosition);this._acceptPrev(v),n=x;continue}let f,m;if(s.oldEnd===n.newEnd)f=n,m=s,n=this._getPrev(++e),s=this._getCurr(++i);else if(s.oldEnd<n.newEnd){const[v,x]=iL._splitPrev(n,s.oldLength);f=v,m=s,n=x,s=this._getCurr(++i)}else{const[v,x]=iL._splitCurr(s,n.newLength);f=n,m=v,n=this._getPrev(++e),s=x}this._result[this._resultLen++]=new x0(f.oldPosition,f.oldText,m.newPosition,m.newText),this._prevDeltaOffset+=f.newLength-f.oldLength,this._currDeltaOffset+=m.newLength-m.oldLength}const c=iL._merge(this._result);return iL._removeNoOps(c)}_acceptCurr(e){this._result[this._resultLen++]=iL._rebaseCurr(this._prevDeltaOffset,e),this._currDeltaOffset+=e.newLength-e.oldLength}_getCurr(e){return e<this._currLen?this._currEdits[e]:null}_acceptPrev(e){this._result[this._resultLen++]=iL._rebasePrev(this._currDeltaOffset,e),this._prevDeltaOffset+=e.newLength-e.oldLength}_getPrev(e){return e<this._prevLen?this._prevEdits[e]:null}static _rebaseCurr(e,i){return new x0(i.oldPosition-e,i.oldText,i.newPosition,i.newText)}static _rebasePrev(e,i){return new x0(i.oldPosition,i.oldText,i.newPosition+e,i.newText)}static _splitPrev(e,i){const n=e.newText.substr(0,i),s=e.newText.substr(i);return[new x0(e.oldPosition,e.oldText,e.newPosition,n),new x0(e.oldEnd,"",e.newPosition+i,s)]}static _splitCurr(e,i){const n=e.oldText.substr(0,i),s=e.oldText.substr(i);return[new x0(e.oldPosition,n,e.newPosition,e.newText),new x0(e.oldPosition+i,s,e.newEnd,"")]}static _merge(e){if(e.length===0)return e;const i=[];let n=0,s=e[0];for(let c=1;c<e.length;c++){const d=e[c];s.oldEnd===d.oldPosition?s=new x0(s.oldPosition,s.oldText+d.oldText,s.newPosition,s.newText+d.newText):(i[n++]=s,s=d)}return i[n++]=s,i}static _removeNoOps(e){if(e.length===0)return e;const i=[];let n=0;for(let s=0;s<e.length;s++){const c=e[s];c.oldText!==c.newText&&(i[n++]=c)}return i}}function EU(l){return l.toString()}class _g{static create(e,i){const n=e.getAlternativeVersionId(),s=rHe(e);return new _g(n,n,s,s,i,i,[])}constructor(e,i,n,s,c,d,f){this.beforeVersionId=e,this.afterVersionId=i,this.beforeEOL=n,this.afterEOL=s,this.beforeCursorState=c,this.afterCursorState=d,this.changes=f}append(e,i,n,s,c){i.length>0&&(this.changes=Lvi(this.changes,i)),this.afterEOL=n,this.afterVersionId=s,this.afterCursorState=c}static _writeSelectionsSize(e){return 4+4*4*(e?e.length:0)}static _writeSelections(e,i,n){if(yE(e,i?i.length:0,n),n+=4,i)for(const s of i)yE(e,s.selectionStartLineNumber,n),n+=4,yE(e,s.selectionStartColumn,n),n+=4,yE(e,s.positionLineNumber,n),n+=4,yE(e,s.positionColumn,n),n+=4;return n}static _readSelections(e,i,n){const s=gE(e,i);i+=4;for(let c=0;c<s;c++){const d=gE(e,i);i+=4;const f=gE(e,i);i+=4;const m=gE(e,i);i+=4;const v=gE(e,i);i+=4,n.push(new Us(d,f,m,v))}return i}serialize(){let e=10+_g._writeSelectionsSize(this.beforeCursorState)+_g._writeSelectionsSize(this.afterCursorState)+4;for(const s of this.changes)e+=s.writeSize();const i=new Uint8Array(e);let n=0;yE(i,this.beforeVersionId,n),n+=4,yE(i,this.afterVersionId,n),n+=4,kvt(i,this.beforeEOL,n),n+=1,kvt(i,this.afterEOL,n),n+=1,n=_g._writeSelections(i,this.beforeCursorState,n),n=_g._writeSelections(i,this.afterCursorState,n),yE(i,this.changes.length,n),n+=4;for(const s of this.changes)n=s.write(i,n);return i.buffer}static deserialize(e){const i=new Uint8Array(e);let n=0;const s=gE(i,n);n+=4;const c=gE(i,n);n+=4;const d=xvt(i,n);n+=1;const f=xvt(i,n);n+=1;const m=[];n=_g._readSelections(i,n,m);const v=[];n=_g._readSelections(i,n,v);const x=gE(i,n);n+=4;const w=[];for(let I=0;I<x;I++)n=x0.read(i,n,w);return new _g(s,c,d,f,m,v,w)}}class iEt{get type(){return 0}get resource(){return yo.isUri(this.model)?this.model:this.model.uri}constructor(e,i,n,s){this.label=e,this.code=i,this.model=n,this._data=_g.create(n,s)}toString(){return(this._data instanceof _g?this._data:_g.deserialize(this._data)).changes.map(i=>i.toString()).join(", ")}matchesResource(e){return(yo.isUri(this.model)?this.model:this.model.uri).toString()===e.toString()}setModel(e){this.model=e}canAppend(e){return this.model===e&&this._data instanceof _g}append(e,i,n,s,c){this._data instanceof _g&&this._data.append(e,i,n,s,c)}close(){this._data instanceof _g&&(this._data=this._data.serialize())}open(){this._data instanceof _g||(this._data=_g.deserialize(this._data))}undo(){if(yo.isUri(this.model))throw new Error("Invalid SingleModelEditStackElement");this._data instanceof _g&&(this._data=this._data.serialize());const e=_g.deserialize(this._data);this.model._applyUndo(e.changes,e.beforeEOL,e.beforeVersionId,e.beforeCursorState)}redo(){if(yo.isUri(this.model))throw new Error("Invalid SingleModelEditStackElement");this._data instanceof _g&&(this._data=this._data.serialize());const e=_g.deserialize(this._data);this.model._applyRedo(e.changes,e.afterEOL,e.afterVersionId,e.afterCursorState)}heapSize(){return this._data instanceof _g&&(this._data=this._data.serialize()),this._data.byteLength+168}}class Pvi{get resources(){return this._editStackElementsArr.map(e=>e.resource)}constructor(e,i,n){this.label=e,this.code=i,this.type=1,this._isOpen=!0,this._editStackElementsArr=n.slice(0),this._editStackElementsMap=new Map;for(const s of this._editStackElementsArr){const c=EU(s.resource);this._editStackElementsMap.set(c,s)}this._delegate=null}prepareUndoRedo(){if(this._delegate)return this._delegate.prepareUndoRedo(this)}matchesResource(e){const i=EU(e);return this._editStackElementsMap.has(i)}setModel(e){const i=EU(yo.isUri(e)?e:e.uri);this._editStackElementsMap.has(i)&&this._editStackElementsMap.get(i).setModel(e)}canAppend(e){if(!this._isOpen)return!1;const i=EU(e.uri);return this._editStackElementsMap.has(i)?this._editStackElementsMap.get(i).canAppend(e):!1}append(e,i,n,s,c){const d=EU(e.uri);this._editStackElementsMap.get(d).append(e,i,n,s,c)}close(){this._isOpen=!1}open(){}undo(){this._isOpen=!1;for(const e of this._editStackElementsArr)e.undo()}redo(){for(const e of this._editStackElementsArr)e.redo()}heapSize(e){const i=EU(e);return this._editStackElementsMap.has(i)?this._editStackElementsMap.get(i).heapSize():0}split(){return this._editStackElementsArr}toString(){const e=[];for(const i of this._editStackElementsArr)e.push(`${wk(i.resource)}: ${i}`);return`{${e.join(", ")}}`}}function rHe(l){return l.getEOL()===`
+`?0:1}function N4(l){return l?l instanceof iEt||l instanceof Pvi:!1}class pJe{constructor(e,i){this._model=e,this._undoRedoService=i}pushStackElement(){const e=this._undoRedoService.getLastElement(this._model.uri);N4(e)&&e.close()}popStackElement(){const e=this._undoRedoService.getLastElement(this._model.uri);N4(e)&&e.open()}clear(){this._undoRedoService.removeElements(this._model.uri)}_getOrCreateEditStackElement(e,i){const n=this._undoRedoService.getLastElement(this._model.uri);if(N4(n)&&n.canAppend(this._model))return n;const s=new iEt(W("edit","Typing"),"undoredo.textBufferEdit",this._model,e);return this._undoRedoService.pushElement(s,i),s}pushEOL(e){const i=this._getOrCreateEditStackElement(null,void 0);this._model.setEOL(e),i.append(this._model,[],rHe(this._model),this._model.getAlternativeVersionId(),null)}pushEditOperation(e,i,n,s){const c=this._getOrCreateEditStackElement(e,s),d=this._model.applyEdits(i,!0),f=pJe._computeCursorState(n,d),m=d.map((v,x)=>({index:x,textChange:v.textChange}));return m.sort((v,x)=>v.textChange.oldPosition===x.textChange.oldPosition?v.index-x.index:v.textChange.oldPosition-x.textChange.oldPosition),c.append(this._model,m.map(v=>v.textChange),rHe(this._model),this._model.getAlternativeVersionId(),f),f}static _computeCursorState(e,i){try{return e?e(i):null}catch(n){return Pa(n),null}}}class nEt extends xi{constructor(){super(...arguments),this._isDisposed=!1}dispose(){super.dispose(),this._isDisposed=!0}assertNotDisposed(){if(this._isDisposed)throw new Error("TextModelPart is disposed!")}}function Cwe(l,e){let i=0,n=0;const s=l.length;for(;n<s;){const c=l.charCodeAt(n);if(c===32)i++;else if(c===9)i=i-i%e+e;else break;n++}return n===s?-1:i}var g9;(function(l){l[l.Disabled=0]="Disabled",l[l.EnabledForActive=1]="EnabledForActive",l[l.Enabled=2]="Enabled"})(g9||(g9={}));class s9{constructor(e,i,n,s,c,d){if(this.visibleColumn=e,this.column=i,this.className=n,this.horizontalLine=s,this.forWrappedLinesAfterColumn=c,this.forWrappedLinesBeforeOrAtColumn=d,e!==-1==(i!==-1))throw new Error}}class Pie{constructor(e,i){this.top=e,this.endColumn=i}}class Avi extends nEt{constructor(e,i){super(),this.textModel=e,this.languageConfigurationService=i}getLanguageConfiguration(e){return this.languageConfigurationService.getLanguageConfiguration(e)}_computeIndentLevel(e){return Cwe(this.textModel.getLineContent(e+1),this.textModel.getOptions().tabSize)}getActiveIndentGuide(e,i,n){this.assertNotDisposed();const s=this.textModel.getLineCount();if(e<1||e>s)throw new tu("Illegal value for lineNumber");const c=this.getLanguageConfiguration(this.textModel.getLanguageId()).foldingRules,d=!!(c&&c.offSide);let f=-2,m=-1,v=-2,x=-1;const w=rt=>{if(f!==-1&&(f===-2||f>rt-1)){f=-1,m=-1;for(let ct=rt-2;ct>=0;ct--){const Mt=this._computeIndentLevel(ct);if(Mt>=0){f=ct,m=Mt;break}}}if(v===-2){v=-1,x=-1;for(let ct=rt;ct<s;ct++){const Mt=this._computeIndentLevel(ct);if(Mt>=0){v=ct,x=Mt;break}}}};let I=-2,P=-1,O=-2,z=-1;const J=rt=>{if(I===-2){I=-1,P=-1;for(let ct=rt-2;ct>=0;ct--){const Mt=this._computeIndentLevel(ct);if(Mt>=0){I=ct,P=Mt;break}}}if(O!==-1&&(O===-2||O<rt-1)){O=-1,z=-1;for(let ct=rt;ct<s;ct++){const Mt=this._computeIndentLevel(ct);if(Mt>=0){O=ct,z=Mt;break}}}};let Y=0,ae=!0,me=0,ye=!0,Ce=0,Fe=0;for(let rt=0;ae||ye;rt++){const ct=e-rt,Mt=e+rt;rt>1&&(ct<1||ct<i)&&(ae=!1),rt>1&&(Mt>s||Mt>n)&&(ye=!1),rt>5e4&&(ae=!1,ye=!1);let Yt=-1;if(ae&&ct>=1){const $i=this._computeIndentLevel(ct-1);$i>=0?(v=ct-1,x=$i,Yt=Math.ceil($i/this.textModel.getOptions().indentSize)):(w(ct),Yt=this._getIndentLevelForWhitespaceLine(d,m,x))}let Bi=-1;if(ye&&Mt<=s){const $i=this._computeIndentLevel(Mt-1);$i>=0?(I=Mt-1,P=$i,Bi=Math.ceil($i/this.textModel.getOptions().indentSize)):(J(Mt),Bi=this._getIndentLevelForWhitespaceLine(d,P,z))}if(rt===0){Fe=Yt;continue}if(rt===1){if(Mt<=s&&Bi>=0&&Fe+1===Bi){ae=!1,Y=Mt,me=Mt,Ce=Bi;continue}if(ct>=1&&Yt>=0&&Yt-1===Fe){ye=!1,Y=ct,me=ct,Ce=Yt;continue}if(Y=e,me=e,Ce=Fe,Ce===0)return{startLineNumber:Y,endLineNumber:me,indent:Ce}}ae&&(Yt>=Ce?Y=ct:ae=!1),ye&&(Bi>=Ce?me=Mt:ye=!1)}return{startLineNumber:Y,endLineNumber:me,indent:Ce}}getLinesBracketGuides(e,i,n,s){var w;const c=[];for(let I=e;I<=i;I++)c.push([]);const d=!0,f=this.textModel.bracketPairs.getBracketPairsInRangeWithMinIndentation(new nt(e,1,i,this.textModel.getLineMaxColumn(i))).toArray();let m;if(n&&f.length>0){const I=(e<=n.lineNumber&&n.lineNumber<=i?f:this.textModel.bracketPairs.getBracketPairsInRange(nt.fromPositions(n)).toArray()).filter(P=>nt.strictContainsPosition(P.range,n));m=(w=jne(I,P=>d))==null?void 0:w.range}const v=this.textModel.getOptions().bracketPairColorizationOptions.independentColorPoolPerBracketType,x=new rEt;for(const I of f){if(!I.closingBracketRange)continue;const P=m&&I.range.equalsRange(m);if(!P&&!s.includeInactive)continue;const O=x.getInlineClassName(I.nestingLevel,I.nestingLevelOfEqualBracketType,v)+(s.highlightActive&&P?" "+x.activeClassName:""),z=I.openingBracketRange.getStartPosition(),J=I.closingBracketRange.getStartPosition(),Y=s.horizontalGuides===g9.Enabled||s.horizontalGuides===g9.EnabledForActive&&P;if(I.range.startLineNumber===I.range.endLineNumber){Y&&c[I.range.startLineNumber-e].push(new s9(-1,I.openingBracketRange.getEndPosition().column,O,new Pie(!1,J.column),-1,-1));continue}const ae=this.getVisibleColumnFromPosition(J),me=this.getVisibleColumnFromPosition(I.openingBracketRange.getStartPosition()),ye=Math.min(me,ae,I.minVisibleColumnIndentation+1);let Ce=!1;Ty(this.textModel.getLineContent(I.closingBracketRange.startLineNumber))<I.closingBracketRange.startColumn-1&&(Ce=!0);const ct=Math.max(z.lineNumber,e),Mt=Math.min(J.lineNumber,i),Yt=Ce?1:0;for(let Bi=ct;Bi<Mt+Yt;Bi++)c[Bi-e].push(new s9(ye,-1,O,null,Bi===z.lineNumber?z.column:-1,Bi===J.lineNumber?J.column:-1));Y&&(z.lineNumber>=e&&me>ye&&c[z.lineNumber-e].push(new s9(ye,-1,O,new Pie(!1,z.column),-1,-1)),J.lineNumber<=i&&ae>ye&&c[J.lineNumber-e].push(new s9(ye,-1,O,new Pie(!Ce,J.column),-1,-1)))}for(const I of c)I.sort((P,O)=>P.visibleColumn-O.visibleColumn);return c}getVisibleColumnFromPosition(e){return em.visibleColumnFromColumn(this.textModel.getLineContent(e.lineNumber),e.column,this.textModel.getOptions().tabSize)+1}getLinesIndentGuides(e,i){this.assertNotDisposed();const n=this.textModel.getLineCount();if(e<1||e>n)throw new Error("Illegal value for startLineNumber");if(i<1||i>n)throw new Error("Illegal value for endLineNumber");const s=this.textModel.getOptions(),c=this.getLanguageConfiguration(this.textModel.getLanguageId()).foldingRules,d=!!(c&&c.offSide),f=new Array(i-e+1);let m=-2,v=-1,x=-2,w=-1;for(let I=e;I<=i;I++){const P=I-e,O=this._computeIndentLevel(I-1);if(O>=0){m=I-1,v=O,f[P]=Math.ceil(O/s.indentSize);continue}if(m===-2){m=-1,v=-1;for(let z=I-2;z>=0;z--){const J=this._computeIndentLevel(z);if(J>=0){m=z,v=J;break}}}if(x!==-1&&(x===-2||x<I-1)){x=-1,w=-1;for(let z=I;z<n;z++){const J=this._computeIndentLevel(z);if(J>=0){x=z,w=J;break}}}f[P]=this._getIndentLevelForWhitespaceLine(d,v,w)}return f}_getIndentLevelForWhitespaceLine(e,i,n){const s=this.textModel.getOptions();return i===-1||n===-1?0:i<n?1+Math.floor(i/s.indentSize):i===n||e?Math.ceil(n/s.indentSize):1+Math.floor(n/s.indentSize)}}class rEt{constructor(){this.activeClassName="indent-active"}getInlineClassName(e,i,n){return this.getInlineClassNameOfLevel(n?i:e)}getInlineClassNameOfLevel(e){return`bracket-indent-guide lvl-${e%30}`}}class Ovi{constructor(){this.spacesDiff=0,this.looksLikeAlignment=!1}}function Mvi(l,e,i,n,s){s.spacesDiff=0,s.looksLikeAlignment=!1;let c;for(c=0;c<e&&c<n;c++){const I=l.charCodeAt(c),P=i.charCodeAt(c);if(I!==P)break}let d=0,f=0;for(let I=c;I<e;I++)l.charCodeAt(I)===32?d++:f++;let m=0,v=0;for(let I=c;I<n;I++)i.charCodeAt(I)===32?m++:v++;if(d>0&&f>0||m>0&&v>0)return;const x=Math.abs(f-v),w=Math.abs(d-m);if(x===0){s.spacesDiff=w,w>0&&0<=m-1&&m-1<l.length&&m<i.length&&i.charCodeAt(m)!==32&&l.charCodeAt(m-1)===32&&l.charCodeAt(l.length-1)===44&&(s.looksLikeAlignment=!0);return}if(w%x===0){s.spacesDiff=w/x;return}}function wCt(l,e,i){const n=Math.min(l.getLineCount(),1e4);let s=0,c=0,d="",f=0;const m=[2,4,6,8,3,5,7],v=8,x=[0,0,0,0,0,0,0,0,0],w=new Ovi;for(let O=1;O<=n;O++){const z=l.getLineLength(O),J=l.getLineContent(O),Y=z<=65536;let ae=!1,me=0,ye=0,Ce=0;for(let rt=0,ct=z;rt<ct;rt++){const Mt=Y?J.charCodeAt(rt):l.getLineCharCode(O,rt);if(Mt===9)Ce++;else if(Mt===32)ye++;else{ae=!0,me=rt;break}}if(!ae||(Ce>0?s++:ye>1&&c++,Mvi(d,f,J,me,w),w.looksLikeAlignment&&!(i&&e===w.spacesDiff)))continue;const Fe=w.spacesDiff;Fe<=v&&x[Fe]++,d=J,f=me}let I=i;s!==c&&(I=s<c);let P=e;if(I){let O=I?0:.1*n;m.forEach(z=>{const J=x[z];J>O&&(O=J,P=z)}),P===4&&x[4]>0&&x[2]>0&&x[2]>=x[4]/2&&(P=2)}return{insertSpaces:I,tabSize:P}}function Cb(l){return(l.metadata&1)>>>0}function pf(l,e){l.metadata=l.metadata&254|e<<0}function E0(l){return(l.metadata&2)>>>1===1}function tf(l,e){l.metadata=l.metadata&253|(e?1:0)<<1}function sEt(l){return(l.metadata&4)>>>2===1}function xCt(l,e){l.metadata=l.metadata&251|(e?1:0)<<2}function oEt(l){return(l.metadata&64)>>>6===1}function kCt(l,e){l.metadata=l.metadata&191|(e?1:0)<<6}function Rvi(l){return(l.metadata&24)>>>3}function TCt(l,e){l.metadata=l.metadata&231|e<<3}function Fvi(l){return(l.metadata&32)>>>5===1}function DCt(l,e){l.metadata=l.metadata&223|(e?1:0)<<5}class aEt{constructor(e,i,n){this.metadata=0,this.parent=this,this.left=this,this.right=this,pf(this,1),this.start=i,this.end=n,this.delta=0,this.maxEnd=n,this.id=e,this.ownerId=0,this.options=null,xCt(this,!1),kCt(this,!1),TCt(this,1),DCt(this,!1),this.cachedVersionId=0,this.cachedAbsoluteStart=i,this.cachedAbsoluteEnd=n,this.range=null,tf(this,!1)}reset(e,i,n,s){this.start=i,this.end=n,this.maxEnd=n,this.cachedVersionId=e,this.cachedAbsoluteStart=i,this.cachedAbsoluteEnd=n,this.range=s}setOptions(e){this.options=e;const i=this.options.className;xCt(this,i==="squiggly-error"||i==="squiggly-warning"||i==="squiggly-info"),kCt(this,this.options.glyphMarginClassName!==null),TCt(this,this.options.stickiness),DCt(this,this.options.collapseOnReplaceEdit)}setCachedOffsets(e,i,n){this.cachedVersionId!==n&&(this.range=null),this.cachedVersionId=n,this.cachedAbsoluteStart=e,this.cachedAbsoluteEnd=i}detach(){this.parent=null,this.left=null,this.right=null}}const Vc=new aEt(null,0,0);Vc.parent=Vc;Vc.left=Vc;Vc.right=Vc;pf(Vc,0);class GFe{constructor(){this.root=Vc,this.requestNormalizeDelta=!1}intervalSearch(e,i,n,s,c,d){return this.root===Vc?[]:qvi(this,e,i,n,s,c,d)}search(e,i,n,s){return this.root===Vc?[]:Uvi(this,e,i,n,s)}collectNodesFromOwner(e){return jvi(this,e)}collectNodesPostOrder(){return zvi(this)}insert(e){ECt(this,e),this._normalizeDeltaIfNecessary()}delete(e){ICt(this,e),this._normalizeDeltaIfNecessary()}resolveNode(e,i){const n=e;let s=0;for(;e!==this.root;)e===e.parent.right&&(s+=e.parent.delta),e=e.parent;const c=n.start+s,d=n.end+s;n.setCachedOffsets(c,d,i)}acceptReplace(e,i,n,s){const c=Vvi(this,e,e+i);for(let d=0,f=c.length;d<f;d++){const m=c[d];ICt(this,m)}this._normalizeDeltaIfNecessary(),Hvi(this,e,e+i,n),this._normalizeDeltaIfNecessary();for(let d=0,f=c.length;d<f;d++){const m=c[d];m.start=m.cachedAbsoluteStart,m.end=m.cachedAbsoluteEnd,Wvi(m,e,e+i,n,s),m.maxEnd=m.end,ECt(this,m)}this._normalizeDeltaIfNecessary()}_normalizeDeltaIfNecessary(){this.requestNormalizeDelta&&(this.requestNormalizeDelta=!1,Bvi(this))}}function Bvi(l){let e=l.root,i=0;for(;e!==Vc;){if(e.left!==Vc&&!E0(e.left)){e=e.left;continue}if(e.right!==Vc&&!E0(e.right)){i+=e.delta,e=e.right;continue}e.start=i+e.start,e.end=i+e.end,e.delta=0,DR(e),tf(e,!0),tf(e.left,!1),tf(e.right,!1),e===e.parent.right&&(i-=e.parent.delta),e=e.parent}tf(l.root,!1)}function IU(l,e,i,n){return l<i?!0:l>i||n===1?!1:n===2?!0:e}function Wvi(l,e,i,n,s){const c=Rvi(l),d=c===0||c===2,f=c===1||c===2,m=i-e,v=n,x=Math.min(m,v),w=l.start;let I=!1;const P=l.end;let O=!1;e<=w&&P<=i&&Fvi(l)&&(l.start=e,I=!0,l.end=e,O=!0);{const J=s?1:m>0?2:0;!I&&IU(w,d,e,J)&&(I=!0),!O&&IU(P,f,e,J)&&(O=!0)}if(x>0&&!s){const J=m>v?2:0;!I&&IU(w,d,e+x,J)&&(I=!0),!O&&IU(P,f,e+x,J)&&(O=!0)}{const J=s?1:0;!I&&IU(w,d,i,J)&&(l.start=e+v,I=!0),!O&&IU(P,f,i,J)&&(l.end=e+v,O=!0)}const z=v-m;I||(l.start=Math.max(0,w+z)),O||(l.end=Math.max(0,P+z)),l.start>l.end&&(l.end=l.start)}function Vvi(l,e,i){let n=l.root,s=0,c=0,d=0,f=0;const m=[];let v=0;for(;n!==Vc;){if(E0(n)){tf(n.left,!1),tf(n.right,!1),n===n.parent.right&&(s-=n.parent.delta),n=n.parent;continue}if(!E0(n.left)){if(c=s+n.maxEnd,c<e){tf(n,!0);continue}if(n.left!==Vc){n=n.left;continue}}if(d=s+n.start,d>i){tf(n,!0);continue}if(f=s+n.end,f>=e&&(n.setCachedOffsets(d,f,0),m[v++]=n),tf(n,!0),n.right!==Vc&&!E0(n.right)){s+=n.delta,n=n.right;continue}}return tf(l.root,!1),m}function Hvi(l,e,i,n){let s=l.root,c=0,d=0,f=0;const m=n-(i-e);for(;s!==Vc;){if(E0(s)){tf(s.left,!1),tf(s.right,!1),s===s.parent.right&&(c-=s.parent.delta),DR(s),s=s.parent;continue}if(!E0(s.left)){if(d=c+s.maxEnd,d<e){tf(s,!0);continue}if(s.left!==Vc){s=s.left;continue}}if(f=c+s.start,f>i){s.start+=m,s.end+=m,s.delta+=m,(s.delta<-1073741824||s.delta>1073741824)&&(l.requestNormalizeDelta=!0),tf(s,!0);continue}if(tf(s,!0),s.right!==Vc&&!E0(s.right)){c+=s.delta,s=s.right;continue}}tf(l.root,!1)}function jvi(l,e){let i=l.root;const n=[];let s=0;for(;i!==Vc;){if(E0(i)){tf(i.left,!1),tf(i.right,!1),i=i.parent;continue}if(i.left!==Vc&&!E0(i.left)){i=i.left;continue}if(i.ownerId===e&&(n[s++]=i),tf(i,!0),i.right!==Vc&&!E0(i.right)){i=i.right;continue}}return tf(l.root,!1),n}function zvi(l){let e=l.root;const i=[];let n=0;for(;e!==Vc;){if(E0(e)){tf(e.left,!1),tf(e.right,!1),e=e.parent;continue}if(e.left!==Vc&&!E0(e.left)){e=e.left;continue}if(e.right!==Vc&&!E0(e.right)){e=e.right;continue}i[n++]=e,tf(e,!0)}return tf(l.root,!1),i}function Uvi(l,e,i,n,s){let c=l.root,d=0,f=0,m=0;const v=[];let x=0;for(;c!==Vc;){if(E0(c)){tf(c.left,!1),tf(c.right,!1),c===c.parent.right&&(d-=c.parent.delta),c=c.parent;continue}if(c.left!==Vc&&!E0(c.left)){c=c.left;continue}f=d+c.start,m=d+c.end,c.setCachedOffsets(f,m,n);let w=!0;if(e&&c.ownerId&&c.ownerId!==e&&(w=!1),i&&sEt(c)&&(w=!1),s&&!oEt(c)&&(w=!1),w&&(v[x++]=c),tf(c,!0),c.right!==Vc&&!E0(c.right)){d+=c.delta,c=c.right;continue}}return tf(l.root,!1),v}function qvi(l,e,i,n,s,c,d){let f=l.root,m=0,v=0,x=0,w=0;const I=[];let P=0;for(;f!==Vc;){if(E0(f)){tf(f.left,!1),tf(f.right,!1),f===f.parent.right&&(m-=f.parent.delta),f=f.parent;continue}if(!E0(f.left)){if(v=m+f.maxEnd,v<e){tf(f,!0);continue}if(f.left!==Vc){f=f.left;continue}}if(x=m+f.start,x>i){tf(f,!0);continue}if(w=m+f.end,w>=e){f.setCachedOffsets(x,w,c);let O=!0;n&&f.ownerId&&f.ownerId!==n&&(O=!1),s&&sEt(f)&&(O=!1),d&&!oEt(f)&&(O=!1),O&&(I[P++]=f)}if(tf(f,!0),f.right!==Vc&&!E0(f.right)){m+=f.delta,f=f.right;continue}}return tf(l.root,!1),I}function ECt(l,e){if(l.root===Vc)return e.parent=Vc,e.left=Vc,e.right=Vc,pf(e,0),l.root=e,l.root;$vi(l,e),uM(e.parent);let i=e;for(;i!==l.root&&Cb(i.parent)===1;)if(i.parent===i.parent.parent.left){const n=i.parent.parent.right;Cb(n)===1?(pf(i.parent,0),pf(n,0),pf(i.parent.parent,1),i=i.parent.parent):(i===i.parent.right&&(i=i.parent,Aie(l,i)),pf(i.parent,0),pf(i.parent.parent,1),Oie(l,i.parent.parent))}else{const n=i.parent.parent.left;Cb(n)===1?(pf(i.parent,0),pf(n,0),pf(i.parent.parent,1),i=i.parent.parent):(i===i.parent.left&&(i=i.parent,Oie(l,i)),pf(i.parent,0),pf(i.parent.parent,1),Aie(l,i.parent.parent))}return pf(l.root,0),e}function $vi(l,e){let i=0,n=l.root;const s=e.start,c=e.end;for(;;)if(Gvi(s,c,n.start+i,n.end+i)<0)if(n.left===Vc){e.start-=i,e.end-=i,e.maxEnd-=i,n.left=e;break}else n=n.left;else if(n.right===Vc){e.start-=i+n.delta,e.end-=i+n.delta,e.maxEnd-=i+n.delta,n.right=e;break}else i+=n.delta,n=n.right;e.parent=n,e.left=Vc,e.right=Vc,pf(e,1)}function ICt(l,e){let i,n;if(e.left===Vc?(i=e.right,n=e,i.delta+=e.delta,(i.delta<-1073741824||i.delta>1073741824)&&(l.requestNormalizeDelta=!0),i.start+=e.delta,i.end+=e.delta):e.right===Vc?(i=e.left,n=e):(n=Jvi(e.right),i=n.right,i.start+=n.delta,i.end+=n.delta,i.delta+=n.delta,(i.delta<-1073741824||i.delta>1073741824)&&(l.requestNormalizeDelta=!0),n.start+=e.delta,n.end+=e.delta,n.delta=e.delta,(n.delta<-1073741824||n.delta>1073741824)&&(l.requestNormalizeDelta=!0)),n===l.root){l.root=i,pf(i,0),e.detach(),KFe(),DR(i),l.root.parent=Vc;return}const s=Cb(n)===1;if(n===n.parent.left?n.parent.left=i:n.parent.right=i,n===e?i.parent=n.parent:(n.parent===e?i.parent=n:i.parent=n.parent,n.left=e.left,n.right=e.right,n.parent=e.parent,pf(n,Cb(e)),e===l.root?l.root=n:e===e.parent.left?e.parent.left=n:e.parent.right=n,n.left!==Vc&&(n.left.parent=n),n.right!==Vc&&(n.right.parent=n)),e.detach(),s){uM(i.parent),n!==e&&(uM(n),uM(n.parent)),KFe();return}uM(i),uM(i.parent),n!==e&&(uM(n),uM(n.parent));let c;for(;i!==l.root&&Cb(i)===0;)i===i.parent.left?(c=i.parent.right,Cb(c)===1&&(pf(c,0),pf(i.parent,1),Aie(l,i.parent),c=i.parent.right),Cb(c.left)===0&&Cb(c.right)===0?(pf(c,1),i=i.parent):(Cb(c.right)===0&&(pf(c.left,0),pf(c,1),Oie(l,c),c=i.parent.right),pf(c,Cb(i.parent)),pf(i.parent,0),pf(c.right,0),Aie(l,i.parent),i=l.root)):(c=i.parent.left,Cb(c)===1&&(pf(c,0),pf(i.parent,1),Oie(l,i.parent),c=i.parent.left),Cb(c.left)===0&&Cb(c.right)===0?(pf(c,1),i=i.parent):(Cb(c.left)===0&&(pf(c.right,0),pf(c,1),Aie(l,c),c=i.parent.left),pf(c,Cb(i.parent)),pf(i.parent,0),pf(c.left,0),Oie(l,i.parent),i=l.root));pf(i,0),KFe()}function Jvi(l){for(;l.left!==Vc;)l=l.left;return l}function KFe(){Vc.parent=Vc,Vc.delta=0,Vc.start=0,Vc.end=0}function Aie(l,e){const i=e.right;i.delta+=e.delta,(i.delta<-1073741824||i.delta>1073741824)&&(l.requestNormalizeDelta=!0),i.start+=e.delta,i.end+=e.delta,e.right=i.left,i.left!==Vc&&(i.left.parent=e),i.parent=e.parent,e.parent===Vc?l.root=i:e===e.parent.left?e.parent.left=i:e.parent.right=i,i.left=e,e.parent=i,DR(e),DR(i)}function Oie(l,e){const i=e.left;e.delta-=i.delta,(e.delta<-1073741824||e.delta>1073741824)&&(l.requestNormalizeDelta=!0),e.start-=i.delta,e.end-=i.delta,e.left=i.right,i.right!==Vc&&(i.right.parent=e),i.parent=e.parent,e.parent===Vc?l.root=i:e===e.parent.right?e.parent.right=i:e.parent.left=i,i.right=e,e.parent=i,DR(e),DR(i)}function cEt(l){let e=l.end;if(l.left!==Vc){const i=l.left.maxEnd;i>e&&(e=i)}if(l.right!==Vc){const i=l.right.maxEnd+l.delta;i>e&&(e=i)}return e}function DR(l){l.maxEnd=cEt(l)}function uM(l){for(;l!==Vc;){const e=cEt(l);if(l.maxEnd===e)return;l.maxEnd=e,l=l.parent}}function Gvi(l,e,i,n){return l===i?e-n:l-i}class sHe{constructor(e,i){this.piece=e,this.color=i,this.size_left=0,this.lf_left=0,this.parent=this,this.left=this,this.right=this}next(){if(this.right!==rc)return mJe(this.right);let e=this;for(;e.parent!==rc&&e.parent.left!==e;)e=e.parent;return e.parent===rc?rc:e.parent}prev(){if(this.left!==rc)return lEt(this.left);let e=this;for(;e.parent!==rc&&e.parent.right!==e;)e=e.parent;return e.parent===rc?rc:e.parent}detach(){this.parent=null,this.left=null,this.right=null}}const rc=new sHe(null,0);rc.parent=rc;rc.left=rc;rc.right=rc;rc.color=0;function mJe(l){for(;l.left!==rc;)l=l.left;return l}function lEt(l){for(;l.right!==rc;)l=l.right;return l}function gJe(l){return l===rc?0:l.size_left+l.piece.length+gJe(l.right)}function yJe(l){return l===rc?0:l.lf_left+l.piece.lineFeedCnt+yJe(l.right)}function XFe(){rc.parent=rc}function Mie(l,e){const i=e.right;i.size_left+=e.size_left+(e.piece?e.piece.length:0),i.lf_left+=e.lf_left+(e.piece?e.piece.lineFeedCnt:0),e.right=i.left,i.left!==rc&&(i.left.parent=e),i.parent=e.parent,e.parent===rc?l.root=i:e.parent.left===e?e.parent.left=i:e.parent.right=i,i.left=e,e.parent=i}function Rie(l,e){const i=e.left;e.left=i.right,i.right!==rc&&(i.right.parent=e),i.parent=e.parent,e.size_left-=i.size_left+(i.piece?i.piece.length:0),e.lf_left-=i.lf_left+(i.piece?i.piece.lineFeedCnt:0),e.parent===rc?l.root=i:e===e.parent.right?e.parent.right=i:e.parent.left=i,i.right=e,e.parent=i}function _0e(l,e){let i,n;if(e.left===rc?(n=e,i=n.right):e.right===rc?(n=e,i=n.left):(n=mJe(e.right),i=n.right),n===l.root){l.root=i,i.color=0,e.detach(),XFe(),l.root.parent=rc;return}const s=n.color===1;if(n===n.parent.left?n.parent.left=i:n.parent.right=i,n===e?(i.parent=n.parent,cie(l,i)):(n.parent===e?i.parent=n:i.parent=n.parent,cie(l,i),n.left=e.left,n.right=e.right,n.parent=e.parent,n.color=e.color,e===l.root?l.root=n:e===e.parent.left?e.parent.left=n:e.parent.right=n,n.left!==rc&&(n.left.parent=n),n.right!==rc&&(n.right.parent=n),n.size_left=e.size_left,n.lf_left=e.lf_left,cie(l,n)),e.detach(),i.parent.left===i){const d=gJe(i),f=yJe(i);if(d!==i.parent.size_left||f!==i.parent.lf_left){const m=d-i.parent.size_left,v=f-i.parent.lf_left;i.parent.size_left=d,i.parent.lf_left=f,m4(l,i.parent,m,v)}}if(cie(l,i.parent),s){XFe();return}let c;for(;i!==l.root&&i.color===0;)i===i.parent.left?(c=i.parent.right,c.color===1&&(c.color=0,i.parent.color=1,Mie(l,i.parent),c=i.parent.right),c.left.color===0&&c.right.color===0?(c.color=1,i=i.parent):(c.right.color===0&&(c.left.color=0,c.color=1,Rie(l,c),c=i.parent.right),c.color=i.parent.color,i.parent.color=0,c.right.color=0,Mie(l,i.parent),i=l.root)):(c=i.parent.left,c.color===1&&(c.color=0,i.parent.color=1,Rie(l,i.parent),c=i.parent.left),c.left.color===0&&c.right.color===0?(c.color=1,i=i.parent):(c.left.color===0&&(c.right.color=0,c.color=1,Mie(l,c),c=i.parent.left),c.color=i.parent.color,i.parent.color=0,c.left.color=0,Rie(l,i.parent),i=l.root));i.color=0,XFe()}function NCt(l,e){for(cie(l,e);e!==l.root&&e.parent.color===1;)if(e.parent===e.parent.parent.left){const i=e.parent.parent.right;i.color===1?(e.parent.color=0,i.color=0,e.parent.parent.color=1,e=e.parent.parent):(e===e.parent.right&&(e=e.parent,Mie(l,e)),e.parent.color=0,e.parent.parent.color=1,Rie(l,e.parent.parent))}else{const i=e.parent.parent.left;i.color===1?(e.parent.color=0,i.color=0,e.parent.parent.color=1,e=e.parent.parent):(e===e.parent.left&&(e=e.parent,Rie(l,e)),e.parent.color=0,e.parent.parent.color=1,Mie(l,e.parent.parent))}l.root.color=0}function m4(l,e,i,n){for(;e!==l.root&&e!==rc;)e.parent.left===e&&(e.parent.size_left+=i,e.parent.lf_left+=n),e=e.parent}function cie(l,e){let i=0,n=0;if(e!==l.root){for(;e!==l.root&&e===e.parent.right;)e=e.parent;if(e!==l.root)for(e=e.parent,i=gJe(e.left)-e.size_left,n=yJe(e.left)-e.lf_left,e.size_left+=i,e.lf_left+=n;e!==l.root&&(i!==0||n!==0);)e.parent.left===e&&(e.parent.size_left+=i,e.parent.lf_left+=n),e=e.parent}}const d4=65535;function uEt(l){let e;return l[l.length-1]<65536?e=new Uint16Array(l.length):e=new Uint32Array(l.length),e.set(l,0),e}class Kvi{constructor(e,i,n,s,c){this.lineStarts=e,this.cr=i,this.lf=n,this.crlf=s,this.isBasicASCII=c}}function g4(l,e=!0){const i=[0];let n=1;for(let s=0,c=l.length;s<c;s++){const d=l.charCodeAt(s);d===13?s+1<c&&l.charCodeAt(s+1)===10?(i[n++]=s+2,s++):i[n++]=s+1:d===10&&(i[n++]=s+1)}return e?uEt(i):i}function Xvi(l,e){l.length=0,l[0]=0;let i=1,n=0,s=0,c=0,d=!0;for(let m=0,v=e.length;m<v;m++){const x=e.charCodeAt(m);x===13?m+1<v&&e.charCodeAt(m+1)===10?(c++,l[i++]=m+2,m++):(n++,l[i++]=m+1):x===10?(s++,l[i++]=m+1):d&&x!==9&&(x<32||x>126)&&(d=!1)}const f=new Kvi(uEt(l),n,s,c,d);return l.length=0,f}class AC{constructor(e,i,n,s,c){this.bufferIndex=e,this.start=i,this.end=n,this.lineFeedCnt=s,this.length=c}}class zF{constructor(e,i){this.buffer=e,this.lineStarts=i}}class Qvi{constructor(e,i){this._pieces=[],this._tree=e,this._BOM=i,this._index=0,e.root!==rc&&e.iterate(e.root,n=>(n!==rc&&this._pieces.push(n.piece),!0))}read(){return this._pieces.length===0?this._index===0?(this._index++,this._BOM):null:this._index>this._pieces.length-1?null:this._index===0?this._BOM+this._tree.getPieceContent(this._pieces[this._index++]):this._tree.getPieceContent(this._pieces[this._index++])}}class Zvi{constructor(e){this._limit=e,this._cache=[]}get(e){for(let i=this._cache.length-1;i>=0;i--){const n=this._cache[i];if(n.nodeStartOffset<=e&&n.nodeStartOffset+n.node.piece.length>=e)return n}return null}get2(e){for(let i=this._cache.length-1;i>=0;i--){const n=this._cache[i];if(n.nodeStartLineNumber&&n.nodeStartLineNumber<e&&n.nodeStartLineNumber+n.node.piece.lineFeedCnt>=e)return n}return null}set(e){this._cache.length>=this._limit&&this._cache.shift(),this._cache.push(e)}validate(e){let i=!1;const n=this._cache;for(let s=0;s<n.length;s++){const c=n[s];if(c.node.parent===null||c.nodeStartOffset>=e){n[s]=null,i=!0;continue}}if(i){const s=[];for(const c of n)c!==null&&s.push(c);this._cache=s}}}class Yvi{constructor(e,i,n){this.create(e,i,n)}create(e,i,n){this._buffers=[new zF("",[0])],this._lastChangeBufferPos={line:0,column:0},this.root=rc,this._lineCnt=1,this._length=0,this._EOL=i,this._EOLLength=i.length,this._EOLNormalized=n;let s=null;for(let c=0,d=e.length;c<d;c++)if(e[c].buffer.length>0){e[c].lineStarts||(e[c].lineStarts=g4(e[c].buffer));const f=new AC(c+1,{line:0,column:0},{line:e[c].lineStarts.length-1,column:e[c].buffer.length-e[c].lineStarts[e[c].lineStarts.length-1]},e[c].lineStarts.length-1,e[c].buffer.length);this._buffers.push(e[c]),s=this.rbInsertRight(s,f)}this._searchCache=new Zvi(1),this._lastVisitedLine={lineNumber:0,value:""},this.computeBufferMetadata()}normalizeEOL(e){const i=d4,n=i-Math.floor(i/3),s=n*2;let c="",d=0;const f=[];if(this.iterate(this.root,m=>{const v=this.getNodeContent(m),x=v.length;if(d<=n||d+x<s)return c+=v,d+=x,!0;const w=c.replace(/\r\n|\r|\n/g,e);return f.push(new zF(w,g4(w))),c=v,d=x,!0}),d>0){const m=c.replace(/\r\n|\r|\n/g,e);f.push(new zF(m,g4(m)))}this.create(f,e,!0)}getEOL(){return this._EOL}setEOL(e){this._EOL=e,this._EOLLength=this._EOL.length,this.normalizeEOL(e)}createSnapshot(e){return new Qvi(this,e)}getOffsetAt(e,i){let n=0,s=this.root;for(;s!==rc;)if(s.left!==rc&&s.lf_left+1>=e)s=s.left;else if(s.lf_left+s.piece.lineFeedCnt+1>=e){n+=s.size_left;const c=this.getAccumulatedValue(s,e-s.lf_left-2);return n+=c+i-1}else e-=s.lf_left+s.piece.lineFeedCnt,n+=s.size_left+s.piece.length,s=s.right;return n}getPositionAt(e){e=Math.floor(e),e=Math.max(0,e);let i=this.root,n=0;const s=e;for(;i!==rc;)if(i.size_left!==0&&i.size_left>=e)i=i.left;else if(i.size_left+i.piece.length>=e){const c=this.getIndexOf(i,e-i.size_left);if(n+=i.lf_left+c.index,c.index===0){const d=this.getOffsetAt(n+1,1),f=s-d;return new pi(n+1,f+1)}return new pi(n+1,c.remainder+1)}else if(e-=i.size_left+i.piece.length,n+=i.lf_left+i.piece.lineFeedCnt,i.right===rc){const c=this.getOffsetAt(n+1,1),d=s-e-c;return new pi(n+1,d+1)}else i=i.right;return new pi(1,1)}getValueInRange(e,i){if(e.startLineNumber===e.endLineNumber&&e.startColumn===e.endColumn)return"";const n=this.nodeAt2(e.startLineNumber,e.startColumn),s=this.nodeAt2(e.endLineNumber,e.endColumn),c=this.getValueInRange2(n,s);return i?i!==this._EOL||!this._EOLNormalized?c.replace(/\r\n|\r|\n/g,i):i===this.getEOL()&&this._EOLNormalized?c:c.replace(/\r\n|\r|\n/g,i):c}getValueInRange2(e,i){if(e.node===i.node){const f=e.node,m=this._buffers[f.piece.bufferIndex].buffer,v=this.offsetInBuffer(f.piece.bufferIndex,f.piece.start);return m.substring(v+e.remainder,v+i.remainder)}let n=e.node;const s=this._buffers[n.piece.bufferIndex].buffer,c=this.offsetInBuffer(n.piece.bufferIndex,n.piece.start);let d=s.substring(c+e.remainder,c+n.piece.length);for(n=n.next();n!==rc;){const f=this._buffers[n.piece.bufferIndex].buffer,m=this.offsetInBuffer(n.piece.bufferIndex,n.piece.start);if(n===i.node){d+=f.substring(m,m+i.remainder);break}else d+=f.substr(m,n.piece.length);n=n.next()}return d}getLinesContent(){const e=[];let i=0,n="",s=!1;return this.iterate(this.root,c=>{if(c===rc)return!0;const d=c.piece;let f=d.length;if(f===0)return!0;const m=this._buffers[d.bufferIndex].buffer,v=this._buffers[d.bufferIndex].lineStarts,x=d.start.line,w=d.end.line;let I=v[x]+d.start.column;if(s&&(m.charCodeAt(I)===10&&(I++,f--),e[i++]=n,n="",s=!1,f===0))return!0;if(x===w)return!this._EOLNormalized&&m.charCodeAt(I+f-1)===13?(s=!0,n+=m.substr(I,f-1)):n+=m.substr(I,f),!0;n+=this._EOLNormalized?m.substring(I,Math.max(I,v[x+1]-this._EOLLength)):m.substring(I,v[x+1]).replace(/(\r\n|\r|\n)$/,""),e[i++]=n;for(let P=x+1;P<w;P++)n=this._EOLNormalized?m.substring(v[P],v[P+1]-this._EOLLength):m.substring(v[P],v[P+1]).replace(/(\r\n|\r|\n)$/,""),e[i++]=n;return!this._EOLNormalized&&m.charCodeAt(v[w]+d.end.column-1)===13?(s=!0,d.end.column===0?i--:n=m.substr(v[w],d.end.column-1)):n=m.substr(v[w],d.end.column),!0}),s&&(e[i++]=n,n=""),e[i++]=n,e}getLength(){return this._length}getLineCount(){return this._lineCnt}getLineContent(e){return this._lastVisitedLine.lineNumber===e?this._lastVisitedLine.value:(this._lastVisitedLine.lineNumber=e,e===this._lineCnt?this._lastVisitedLine.value=this.getLineRawContent(e):this._EOLNormalized?this._lastVisitedLine.value=this.getLineRawContent(e,this._EOLLength):this._lastVisitedLine.value=this.getLineRawContent(e).replace(/(\r\n|\r|\n)$/,""),this._lastVisitedLine.value)}_getCharCode(e){if(e.remainder===e.node.piece.length){const i=e.node.next();if(!i)return 0;const n=this._buffers[i.piece.bufferIndex],s=this.offsetInBuffer(i.piece.bufferIndex,i.piece.start);return n.buffer.charCodeAt(s)}else{const i=this._buffers[e.node.piece.bufferIndex],s=this.offsetInBuffer(e.node.piece.bufferIndex,e.node.piece.start)+e.remainder;return i.buffer.charCodeAt(s)}}getLineCharCode(e,i){const n=this.nodeAt2(e,i+1);return this._getCharCode(n)}getLineLength(e){if(e===this.getLineCount()){const i=this.getOffsetAt(e,1);return this.getLength()-i}return this.getOffsetAt(e+1,1)-this.getOffsetAt(e,1)-this._EOLLength}findMatchesInNode(e,i,n,s,c,d,f,m,v,x,w){const I=this._buffers[e.piece.bufferIndex],P=this.offsetInBuffer(e.piece.bufferIndex,e.piece.start),O=this.offsetInBuffer(e.piece.bufferIndex,c),z=this.offsetInBuffer(e.piece.bufferIndex,d);let J;const Y={line:0,column:0};let ae,me;i._wordSeparators?(ae=I.buffer.substring(O,z),me=ye=>ye+O,i.reset(0)):(ae=I.buffer,me=ye=>ye,i.reset(O));do if(J=i.next(ae),J){if(me(J.index)>=z)return x;this.positionInBuffer(e,me(J.index)-P,Y);const ye=this.getLineFeedCnt(e.piece.bufferIndex,c,Y),Ce=Y.line===c.line?Y.column-c.column+s:Y.column+1,Fe=Ce+J[0].length;if(w[x++]=FF(new nt(n+ye,Ce,n+ye,Fe),J,m),me(J.index)+J[0].length>=z||x>=v)return x}while(J);return x}findMatchesLineByLine(e,i,n,s){const c=[];let d=0;const f=new nq(i.wordSeparators,i.regex);let m=this.nodeAt2(e.startLineNumber,e.startColumn);if(m===null)return[];const v=this.nodeAt2(e.endLineNumber,e.endColumn);if(v===null)return[];let x=this.positionInBuffer(m.node,m.remainder);const w=this.positionInBuffer(v.node,v.remainder);if(m.node===v.node)return this.findMatchesInNode(m.node,f,e.startLineNumber,e.startColumn,x,w,i,n,s,d,c),c;let I=e.startLineNumber,P=m.node;for(;P!==v.node;){const z=this.getLineFeedCnt(P.piece.bufferIndex,x,P.piece.end);if(z>=1){const Y=this._buffers[P.piece.bufferIndex].lineStarts,ae=this.offsetInBuffer(P.piece.bufferIndex,P.piece.start),me=Y[x.line+z],ye=I===e.startLineNumber?e.startColumn:1;if(d=this.findMatchesInNode(P,f,I,ye,x,this.positionInBuffer(P,me-ae),i,n,s,d,c),d>=s)return c;I+=z}const J=I===e.startLineNumber?e.startColumn-1:0;if(I===e.endLineNumber){const Y=this.getLineContent(I).substring(J,e.endColumn-1);return d=this._findMatchesInLine(i,f,Y,e.endLineNumber,J,d,c,n,s),c}if(d=this._findMatchesInLine(i,f,this.getLineContent(I).substr(J),I,J,d,c,n,s),d>=s)return c;I++,m=this.nodeAt2(I,1),P=m.node,x=this.positionInBuffer(m.node,m.remainder)}if(I===e.endLineNumber){const z=I===e.startLineNumber?e.startColumn-1:0,J=this.getLineContent(I).substring(z,e.endColumn-1);return d=this._findMatchesInLine(i,f,J,e.endLineNumber,z,d,c,n,s),c}const O=I===e.startLineNumber?e.startColumn:1;return d=this.findMatchesInNode(v.node,f,I,O,x,w,i,n,s,d,c),c}_findMatchesInLine(e,i,n,s,c,d,f,m,v){const x=e.wordSeparators;if(!m&&e.simpleSearch){const I=e.simpleSearch,P=I.length,O=n.length;let z=-P;for(;(z=n.indexOf(I,z+P))!==-1;)if((!x||v$e(x,n,O,z,P))&&(f[d++]=new Hne(new nt(s,z+1+c,s,z+1+P+c),null),d>=v))return d;return d}let w;i.reset(0);do if(w=i.next(n),w&&(f[d++]=FF(new nt(s,w.index+1+c,s,w.index+1+w[0].length+c),w,m),d>=v))return d;while(w);return d}insert(e,i,n=!1){if(this._EOLNormalized=this._EOLNormalized&&n,this._lastVisitedLine.lineNumber=0,this._lastVisitedLine.value="",this.root!==rc){const{node:s,remainder:c,nodeStartOffset:d}=this.nodeAt(e),f=s.piece,m=f.bufferIndex,v=this.positionInBuffer(s,c);if(s.piece.bufferIndex===0&&f.end.line===this._lastChangeBufferPos.line&&f.end.column===this._lastChangeBufferPos.column&&d+f.length===e&&i.length<d4){this.appendToNode(s,i),this.computeBufferMetadata();return}if(d===e)this.insertContentToNodeLeft(i,s),this._searchCache.validate(e);else if(d+s.piece.length>e){const x=[];let w=new AC(f.bufferIndex,v,f.end,this.getLineFeedCnt(f.bufferIndex,v,f.end),this.offsetInBuffer(m,f.end)-this.offsetInBuffer(m,v));if(this.shouldCheckCRLF()&&this.endWithCR(i)&&this.nodeCharCodeAt(s,c)===10){const z={line:w.start.line+1,column:0};w=new AC(w.bufferIndex,z,w.end,this.getLineFeedCnt(w.bufferIndex,z,w.end),w.length-1),i+=`
+`}if(this.shouldCheckCRLF()&&this.startWithLF(i))if(this.nodeCharCodeAt(s,c-1)===13){const z=this.positionInBuffer(s,c-1);this.deleteNodeTail(s,z),i="\r"+i,s.piece.length===0&&x.push(s)}else this.deleteNodeTail(s,v);else this.deleteNodeTail(s,v);const I=this.createNewPieces(i);w.length>0&&this.rbInsertRight(s,w);let P=s;for(let O=0;O<I.length;O++)P=this.rbInsertRight(P,I[O]);this.deleteNodes(x)}else this.insertContentToNodeRight(i,s)}else{const s=this.createNewPieces(i);let c=this.rbInsertLeft(null,s[0]);for(let d=1;d<s.length;d++)c=this.rbInsertRight(c,s[d])}this.computeBufferMetadata()}delete(e,i){if(this._lastVisitedLine.lineNumber=0,this._lastVisitedLine.value="",i<=0||this.root===rc)return;const n=this.nodeAt(e),s=this.nodeAt(e+i),c=n.node,d=s.node;if(c===d){const I=this.positionInBuffer(c,n.remainder),P=this.positionInBuffer(c,s.remainder);if(n.nodeStartOffset===e){if(i===c.piece.length){const O=c.next();_0e(this,c),this.validateCRLFWithPrevNode(O),this.computeBufferMetadata();return}this.deleteNodeHead(c,P),this._searchCache.validate(e),this.validateCRLFWithPrevNode(c),this.computeBufferMetadata();return}if(n.nodeStartOffset+c.piece.length===e+i){this.deleteNodeTail(c,I),this.validateCRLFWithNextNode(c),this.computeBufferMetadata();return}this.shrinkNode(c,I,P),this.computeBufferMetadata();return}const f=[],m=this.positionInBuffer(c,n.remainder);this.deleteNodeTail(c,m),this._searchCache.validate(e),c.piece.length===0&&f.push(c);const v=this.positionInBuffer(d,s.remainder);this.deleteNodeHead(d,v),d.piece.length===0&&f.push(d);const x=c.next();for(let I=x;I!==rc&&I!==d;I=I.next())f.push(I);const w=c.piece.length===0?c.prev():c;this.deleteNodes(f),this.validateCRLFWithNextNode(w),this.computeBufferMetadata()}insertContentToNodeLeft(e,i){const n=[];if(this.shouldCheckCRLF()&&this.endWithCR(e)&&this.startWithLF(i)){const d=i.piece,f={line:d.start.line+1,column:0},m=new AC(d.bufferIndex,f,d.end,this.getLineFeedCnt(d.bufferIndex,f,d.end),d.length-1);i.piece=m,e+=`
+`,m4(this,i,-1,-1),i.piece.length===0&&n.push(i)}const s=this.createNewPieces(e);let c=this.rbInsertLeft(i,s[s.length-1]);for(let d=s.length-2;d>=0;d--)c=this.rbInsertLeft(c,s[d]);this.validateCRLFWithPrevNode(c),this.deleteNodes(n)}insertContentToNodeRight(e,i){this.adjustCarriageReturnFromNext(e,i)&&(e+=`
+`);const n=this.createNewPieces(e),s=this.rbInsertRight(i,n[0]);let c=s;for(let d=1;d<n.length;d++)c=this.rbInsertRight(c,n[d]);this.validateCRLFWithPrevNode(s)}positionInBuffer(e,i,n){const s=e.piece,c=e.piece.bufferIndex,d=this._buffers[c].lineStarts,m=d[s.start.line]+s.start.column+i;let v=s.start.line,x=s.end.line,w=0,I=0,P=0;for(;v<=x&&(w=v+(x-v)/2|0,P=d[w],w!==x);)if(I=d[w+1],m<P)x=w-1;else if(m>=I)v=w+1;else break;return n?(n.line=w,n.column=m-P,null):{line:w,column:m-P}}getLineFeedCnt(e,i,n){if(n.column===0)return n.line-i.line;const s=this._buffers[e].lineStarts;if(n.line===s.length-1)return n.line-i.line;const c=s[n.line+1],d=s[n.line]+n.column;if(c>d+1)return n.line-i.line;const f=d-1;return this._buffers[e].buffer.charCodeAt(f)===13?n.line-i.line+1:n.line-i.line}offsetInBuffer(e,i){return this._buffers[e].lineStarts[i.line]+i.column}deleteNodes(e){for(let i=0;i<e.length;i++)_0e(this,e[i])}createNewPieces(e){if(e.length>d4){const x=[];for(;e.length>d4;){const I=e.charCodeAt(d4-1);let P;I===13||I>=55296&&I<=56319?(P=e.substring(0,d4-1),e=e.substring(d4-1)):(P=e.substring(0,d4),e=e.substring(d4));const O=g4(P);x.push(new AC(this._buffers.length,{line:0,column:0},{line:O.length-1,column:P.length-O[O.length-1]},O.length-1,P.length)),this._buffers.push(new zF(P,O))}const w=g4(e);return x.push(new AC(this._buffers.length,{line:0,column:0},{line:w.length-1,column:e.length-w[w.length-1]},w.length-1,e.length)),this._buffers.push(new zF(e,w)),x}let i=this._buffers[0].buffer.length;const n=g4(e,!1);let s=this._lastChangeBufferPos;if(this._buffers[0].lineStarts[this._buffers[0].lineStarts.length-1]===i&&i!==0&&this.startWithLF(e)&&this.endWithCR(this._buffers[0].buffer)){this._lastChangeBufferPos={line:this._lastChangeBufferPos.line,column:this._lastChangeBufferPos.column+1},s=this._lastChangeBufferPos;for(let x=0;x<n.length;x++)n[x]+=i+1;this._buffers[0].lineStarts=this._buffers[0].lineStarts.concat(n.slice(1)),this._buffers[0].buffer+="_"+e,i+=1}else{if(i!==0)for(let x=0;x<n.length;x++)n[x]+=i;this._buffers[0].lineStarts=this._buffers[0].lineStarts.concat(n.slice(1)),this._buffers[0].buffer+=e}const c=this._buffers[0].buffer.length,d=this._buffers[0].lineStarts.length-1,f=c-this._buffers[0].lineStarts[d],m={line:d,column:f},v=new AC(0,s,m,this.getLineFeedCnt(0,s,m),c-i);return this._lastChangeBufferPos=m,[v]}getLineRawContent(e,i=0){let n=this.root,s="";const c=this._searchCache.get2(e);if(c){n=c.node;const d=this.getAccumulatedValue(n,e-c.nodeStartLineNumber-1),f=this._buffers[n.piece.bufferIndex].buffer,m=this.offsetInBuffer(n.piece.bufferIndex,n.piece.start);if(c.nodeStartLineNumber+n.piece.lineFeedCnt===e)s=f.substring(m+d,m+n.piece.length);else{const v=this.getAccumulatedValue(n,e-c.nodeStartLineNumber);return f.substring(m+d,m+v-i)}}else{let d=0;const f=e;for(;n!==rc;)if(n.left!==rc&&n.lf_left>=e-1)n=n.left;else if(n.lf_left+n.piece.lineFeedCnt>e-1){const m=this.getAccumulatedValue(n,e-n.lf_left-2),v=this.getAccumulatedValue(n,e-n.lf_left-1),x=this._buffers[n.piece.bufferIndex].buffer,w=this.offsetInBuffer(n.piece.bufferIndex,n.piece.start);return d+=n.size_left,this._searchCache.set({node:n,nodeStartOffset:d,nodeStartLineNumber:f-(e-1-n.lf_left)}),x.substring(w+m,w+v-i)}else if(n.lf_left+n.piece.lineFeedCnt===e-1){const m=this.getAccumulatedValue(n,e-n.lf_left-2),v=this._buffers[n.piece.bufferIndex].buffer,x=this.offsetInBuffer(n.piece.bufferIndex,n.piece.start);s=v.substring(x+m,x+n.piece.length);break}else e-=n.lf_left+n.piece.lineFeedCnt,d+=n.size_left+n.piece.length,n=n.right}for(n=n.next();n!==rc;){const d=this._buffers[n.piece.bufferIndex].buffer;if(n.piece.lineFeedCnt>0){const f=this.getAccumulatedValue(n,0),m=this.offsetInBuffer(n.piece.bufferIndex,n.piece.start);return s+=d.substring(m,m+f-i),s}else{const f=this.offsetInBuffer(n.piece.bufferIndex,n.piece.start);s+=d.substr(f,n.piece.length)}n=n.next()}return s}computeBufferMetadata(){let e=this.root,i=1,n=0;for(;e!==rc;)i+=e.lf_left+e.piece.lineFeedCnt,n+=e.size_left+e.piece.length,e=e.right;this._lineCnt=i,this._length=n,this._searchCache.validate(this._length)}getIndexOf(e,i){const n=e.piece,s=this.positionInBuffer(e,i),c=s.line-n.start.line;if(this.offsetInBuffer(n.bufferIndex,n.end)-this.offsetInBuffer(n.bufferIndex,n.start)===i){const d=this.getLineFeedCnt(e.piece.bufferIndex,n.start,s);if(d!==c)return{index:d,remainder:0}}return{index:c,remainder:s.column}}getAccumulatedValue(e,i){if(i<0)return 0;const n=e.piece,s=this._buffers[n.bufferIndex].lineStarts,c=n.start.line+i+1;return c>n.end.line?s[n.end.line]+n.end.column-s[n.start.line]-n.start.column:s[c]-s[n.start.line]-n.start.column}deleteNodeTail(e,i){const n=e.piece,s=n.lineFeedCnt,c=this.offsetInBuffer(n.bufferIndex,n.end),d=i,f=this.offsetInBuffer(n.bufferIndex,d),m=this.getLineFeedCnt(n.bufferIndex,n.start,d),v=m-s,x=f-c,w=n.length+x;e.piece=new AC(n.bufferIndex,n.start,d,m,w),m4(this,e,x,v)}deleteNodeHead(e,i){const n=e.piece,s=n.lineFeedCnt,c=this.offsetInBuffer(n.bufferIndex,n.start),d=i,f=this.getLineFeedCnt(n.bufferIndex,d,n.end),m=this.offsetInBuffer(n.bufferIndex,d),v=f-s,x=c-m,w=n.length+x;e.piece=new AC(n.bufferIndex,d,n.end,f,w),m4(this,e,x,v)}shrinkNode(e,i,n){const s=e.piece,c=s.start,d=s.end,f=s.length,m=s.lineFeedCnt,v=i,x=this.getLineFeedCnt(s.bufferIndex,s.start,v),w=this.offsetInBuffer(s.bufferIndex,i)-this.offsetInBuffer(s.bufferIndex,c);e.piece=new AC(s.bufferIndex,s.start,v,x,w),m4(this,e,w-f,x-m);const I=new AC(s.bufferIndex,n,d,this.getLineFeedCnt(s.bufferIndex,n,d),this.offsetInBuffer(s.bufferIndex,d)-this.offsetInBuffer(s.bufferIndex,n)),P=this.rbInsertRight(e,I);this.validateCRLFWithPrevNode(P)}appendToNode(e,i){this.adjustCarriageReturnFromNext(i,e)&&(i+=`
+`);const n=this.shouldCheckCRLF()&&this.startWithLF(i)&&this.endWithCR(e),s=this._buffers[0].buffer.length;this._buffers[0].buffer+=i;const c=g4(i,!1);for(let P=0;P<c.length;P++)c[P]+=s;if(n){const P=this._buffers[0].lineStarts[this._buffers[0].lineStarts.length-2];this._buffers[0].lineStarts.pop(),this._lastChangeBufferPos={line:this._lastChangeBufferPos.line-1,column:s-P}}this._buffers[0].lineStarts=this._buffers[0].lineStarts.concat(c.slice(1));const d=this._buffers[0].lineStarts.length-1,f=this._buffers[0].buffer.length-this._buffers[0].lineStarts[d],m={line:d,column:f},v=e.piece.length+i.length,x=e.piece.lineFeedCnt,w=this.getLineFeedCnt(0,e.piece.start,m),I=w-x;e.piece=new AC(e.piece.bufferIndex,e.piece.start,m,w,v),this._lastChangeBufferPos=m,m4(this,e,i.length,I)}nodeAt(e){let i=this.root;const n=this._searchCache.get(e);if(n)return{node:n.node,nodeStartOffset:n.nodeStartOffset,remainder:e-n.nodeStartOffset};let s=0;for(;i!==rc;)if(i.size_left>e)i=i.left;else if(i.size_left+i.piece.length>=e){s+=i.size_left;const c={node:i,remainder:e-i.size_left,nodeStartOffset:s};return this._searchCache.set(c),c}else e-=i.size_left+i.piece.length,s+=i.size_left+i.piece.length,i=i.right;return null}nodeAt2(e,i){let n=this.root,s=0;for(;n!==rc;)if(n.left!==rc&&n.lf_left>=e-1)n=n.left;else if(n.lf_left+n.piece.lineFeedCnt>e-1){const c=this.getAccumulatedValue(n,e-n.lf_left-2),d=this.getAccumulatedValue(n,e-n.lf_left-1);return s+=n.size_left,{node:n,remainder:Math.min(c+i-1,d),nodeStartOffset:s}}else if(n.lf_left+n.piece.lineFeedCnt===e-1){const c=this.getAccumulatedValue(n,e-n.lf_left-2);if(c+i-1<=n.piece.length)return{node:n,remainder:c+i-1,nodeStartOffset:s};i-=n.piece.length-c;break}else e-=n.lf_left+n.piece.lineFeedCnt,s+=n.size_left+n.piece.length,n=n.right;for(n=n.next();n!==rc;){if(n.piece.lineFeedCnt>0){const c=this.getAccumulatedValue(n,0),d=this.offsetOfNode(n);return{node:n,remainder:Math.min(i-1,c),nodeStartOffset:d}}else if(n.piece.length>=i-1){const c=this.offsetOfNode(n);return{node:n,remainder:i-1,nodeStartOffset:c}}else i-=n.piece.length;n=n.next()}return null}nodeCharCodeAt(e,i){if(e.piece.lineFeedCnt<1)return-1;const n=this._buffers[e.piece.bufferIndex],s=this.offsetInBuffer(e.piece.bufferIndex,e.piece.start)+i;return n.buffer.charCodeAt(s)}offsetOfNode(e){if(!e)return 0;let i=e.size_left;for(;e!==this.root;)e.parent.right===e&&(i+=e.parent.size_left+e.parent.piece.length),e=e.parent;return i}shouldCheckCRLF(){return!(this._EOLNormalized&&this._EOL===`
+`)}startWithLF(e){if(typeof e=="string")return e.charCodeAt(0)===10;if(e===rc||e.piece.lineFeedCnt===0)return!1;const i=e.piece,n=this._buffers[i.bufferIndex].lineStarts,s=i.start.line,c=n[s]+i.start.column;return s===n.length-1||n[s+1]>c+1?!1:this._buffers[i.bufferIndex].buffer.charCodeAt(c)===10}endWithCR(e){return typeof e=="string"?e.charCodeAt(e.length-1)===13:e===rc||e.piece.lineFeedCnt===0?!1:this.nodeCharCodeAt(e,e.piece.length-1)===13}validateCRLFWithPrevNode(e){if(this.shouldCheckCRLF()&&this.startWithLF(e)){const i=e.prev();this.endWithCR(i)&&this.fixCRLF(i,e)}}validateCRLFWithNextNode(e){if(this.shouldCheckCRLF()&&this.endWithCR(e)){const i=e.next();this.startWithLF(i)&&this.fixCRLF(e,i)}}fixCRLF(e,i){const n=[],s=this._buffers[e.piece.bufferIndex].lineStarts;let c;e.piece.end.column===0?c={line:e.piece.end.line-1,column:s[e.piece.end.line]-s[e.piece.end.line-1]-1}:c={line:e.piece.end.line,column:e.piece.end.column-1};const d=e.piece.length-1,f=e.piece.lineFeedCnt-1;e.piece=new AC(e.piece.bufferIndex,e.piece.start,c,f,d),m4(this,e,-1,-1),e.piece.length===0&&n.push(e);const m={line:i.piece.start.line+1,column:0},v=i.piece.length-1,x=this.getLineFeedCnt(i.piece.bufferIndex,m,i.piece.end);i.piece=new AC(i.piece.bufferIndex,m,i.piece.end,x,v),m4(this,i,-1,-1),i.piece.length===0&&n.push(i);const w=this.createNewPieces(`\r
+`);this.rbInsertRight(e,w[0]);for(let I=0;I<n.length;I++)_0e(this,n[I])}adjustCarriageReturnFromNext(e,i){if(this.shouldCheckCRLF()&&this.endWithCR(e)){const n=i.next();if(this.startWithLF(n)){if(e+=`
+`,n.piece.length===1)_0e(this,n);else{const s=n.piece,c={line:s.start.line+1,column:0},d=s.length-1,f=this.getLineFeedCnt(s.bufferIndex,c,s.end);n.piece=new AC(s.bufferIndex,c,s.end,f,d),m4(this,n,-1,-1)}return!0}}return!1}iterate(e,i){if(e===rc)return i(rc);const n=this.iterate(e.left,i);return n&&i(e)&&this.iterate(e.right,i)}getNodeContent(e){if(e===rc)return"";const i=this._buffers[e.piece.bufferIndex],n=e.piece,s=this.offsetInBuffer(n.bufferIndex,n.start),c=this.offsetInBuffer(n.bufferIndex,n.end);return i.buffer.substring(s,c)}getPieceContent(e){const i=this._buffers[e.bufferIndex],n=this.offsetInBuffer(e.bufferIndex,e.start),s=this.offsetInBuffer(e.bufferIndex,e.end);return i.buffer.substring(n,s)}rbInsertRight(e,i){const n=new sHe(i,1);if(n.left=rc,n.right=rc,n.parent=rc,n.size_left=0,n.lf_left=0,this.root===rc)this.root=n,n.color=0;else if(e.right===rc)e.right=n,n.parent=e;else{const c=mJe(e.right);c.left=n,n.parent=c}return NCt(this,n),n}rbInsertLeft(e,i){const n=new sHe(i,1);if(n.left=rc,n.right=rc,n.parent=rc,n.size_left=0,n.lf_left=0,this.root===rc)this.root=n,n.color=0;else if(e.left===rc)e.left=n,n.parent=e;else{const s=lEt(e.left);s.right=n,n.parent=s}return NCt(this,n),n}}class Zq extends xi{constructor(e,i,n,s,c,d,f){super(),this._onDidChangeContent=this._register(new gi),this._BOM=i,this._mightContainNonBasicASCII=!d,this._mightContainRTL=s,this._mightContainUnusualLineTerminators=c,this._pieceTree=new Yvi(e,n,f)}mightContainRTL(){return this._mightContainRTL}mightContainUnusualLineTerminators(){return this._mightContainUnusualLineTerminators}resetMightContainUnusualLineTerminators(){this._mightContainUnusualLineTerminators=!1}mightContainNonBasicASCII(){return this._mightContainNonBasicASCII}getBOM(){return this._BOM}getEOL(){return this._pieceTree.getEOL()}createSnapshot(e){return this._pieceTree.createSnapshot(e?this._BOM:"")}getOffsetAt(e,i){return this._pieceTree.getOffsetAt(e,i)}getPositionAt(e){return this._pieceTree.getPositionAt(e)}getRangeAt(e,i){const n=e+i,s=this.getPositionAt(e),c=this.getPositionAt(n);return new nt(s.lineNumber,s.column,c.lineNumber,c.column)}getValueInRange(e,i=0){if(e.isEmpty())return"";const n=this._getEndOfLine(i);return this._pieceTree.getValueInRange(e,n)}getValueLengthInRange(e,i=0){if(e.isEmpty())return 0;if(e.startLineNumber===e.endLineNumber)return e.endColumn-e.startColumn;const n=this.getOffsetAt(e.startLineNumber,e.startColumn),s=this.getOffsetAt(e.endLineNumber,e.endColumn);let c=0;const d=this._getEndOfLine(i),f=this.getEOL();if(d.length!==f.length){const m=d.length-f.length,v=e.endLineNumber-e.startLineNumber;c=m*v}return s-n+c}getCharacterCountInRange(e,i=0){if(this._mightContainNonBasicASCII){let n=0;const s=e.startLineNumber,c=e.endLineNumber;for(let d=s;d<=c;d++){const f=this.getLineContent(d),m=d===s?e.startColumn-1:0,v=d===c?e.endColumn-1:f.length;for(let x=m;x<v;x++)Pm(f.charCodeAt(x))?(n=n+1,x=x+1):n=n+1}return n+=this._getEndOfLine(i).length*(c-s),n}return this.getValueLengthInRange(e,i)}getLength(){return this._pieceTree.getLength()}getLineCount(){return this._pieceTree.getLineCount()}getLinesContent(){return this._pieceTree.getLinesContent()}getLineContent(e){return this._pieceTree.getLineContent(e)}getLineCharCode(e,i){return this._pieceTree.getLineCharCode(e,i)}getLineLength(e){return this._pieceTree.getLineLength(e)}getLineFirstNonWhitespaceColumn(e){const i=Ty(this.getLineContent(e));return i===-1?0:i+1}getLineLastNonWhitespaceColumn(e){const i=ME(this.getLineContent(e));return i===-1?0:i+2}_getEndOfLine(e){switch(e){case 1:return`
+`;case 2:return`\r
+`;case 0:return this.getEOL();default:throw new Error("Unknown EOL preference")}}setEOL(e){this._pieceTree.setEOL(e)}applyEdits(e,i,n){let s=this._mightContainRTL,c=this._mightContainUnusualLineTerminators,d=this._mightContainNonBasicASCII,f=!0,m=[];for(let z=0;z<e.length;z++){const J=e[z];f&&J._isTracked&&(f=!1);const Y=J.range;if(J.text){let Fe=!0;d||(Fe=!fse(J.text),d=Fe),!s&&Fe&&(s=k$(J.text)),!c&&Fe&&(c=n2t(J.text))}let ae="",me=0,ye=0,Ce=0;if(J.text){let Fe;[me,ye,Ce,Fe]=xR(J.text);const rt=this.getEOL();Fe===0||Fe===(rt===`\r
+`?2:1)?ae=J.text:ae=J.text.replace(/\r\n|\r|\n/g,rt)}m[z]={sortIndex:z,identifier:J.identifier||null,range:Y,rangeOffset:this.getOffsetAt(Y.startLineNumber,Y.startColumn),rangeLength:this.getValueLengthInRange(Y),text:ae,eolCount:me,firstLineLength:ye,lastLineLength:Ce,forceMoveMarkers:!!J.forceMoveMarkers,isAutoWhitespaceEdit:J.isAutoWhitespaceEdit||!1}}m.sort(Zq._sortOpsAscending);let v=!1;for(let z=0,J=m.length-1;z<J;z++){const Y=m[z].range.getEndPosition(),ae=m[z+1].range.getStartPosition();if(ae.isBeforeOrEqual(Y)){if(ae.isBefore(Y))throw new Error("Overlapping ranges are not allowed!");v=!0}}f&&(m=this._reduceOperations(m));const x=n||i?Zq._getInverseEditRanges(m):[],w=[];if(i)for(let z=0;z<m.length;z++){const J=m[z],Y=x[z];if(J.isAutoWhitespaceEdit&&J.range.isEmpty())for(let ae=Y.startLineNumber;ae<=Y.endLineNumber;ae++){let me="";ae===Y.startLineNumber&&(me=this.getLineContent(J.range.startLineNumber),Ty(me)!==-1)||w.push({lineNumber:ae,oldContent:me})}}let I=null;if(n){let z=0;I=[];for(let J=0;J<m.length;J++){const Y=m[J],ae=x[J],me=this.getValueInRange(Y.range),ye=Y.rangeOffset+z;z+=Y.text.length-me.length,I[J]={sortIndex:Y.sortIndex,identifier:Y.identifier,range:ae,text:me,textChange:new x0(Y.rangeOffset,me,ye,Y.text)}}v||I.sort((J,Y)=>J.sortIndex-Y.sortIndex)}this._mightContainRTL=s,this._mightContainUnusualLineTerminators=c,this._mightContainNonBasicASCII=d;const P=this._doApplyEdits(m);let O=null;if(i&&w.length>0){w.sort((z,J)=>J.lineNumber-z.lineNumber),O=[];for(let z=0,J=w.length;z<J;z++){const Y=w[z].lineNumber;if(z>0&&w[z-1].lineNumber===Y)continue;const ae=w[z].oldContent,me=this.getLineContent(Y);me.length===0||me===ae||Ty(me)!==-1||O.push(Y)}}return this._onDidChangeContent.fire(),new dhi(I,P,O)}_reduceOperations(e){return e.length<1e3?e:[this._toSingleEditOperation(e)]}_toSingleEditOperation(e){let i=!1;const n=e[0].range,s=e[e.length-1].range,c=new nt(n.startLineNumber,n.startColumn,s.endLineNumber,s.endColumn);let d=n.startLineNumber,f=n.startColumn;const m=[];for(let P=0,O=e.length;P<O;P++){const z=e[P],J=z.range;i=i||z.forceMoveMarkers,m.push(this.getValueInRange(new nt(d,f,J.startLineNumber,J.startColumn))),z.text.length>0&&m.push(z.text),d=J.endLineNumber,f=J.endColumn}const v=m.join(""),[x,w,I]=xR(v);return{sortIndex:0,identifier:e[0].identifier,range:c,rangeOffset:this.getOffsetAt(c.startLineNumber,c.startColumn),rangeLength:this.getValueLengthInRange(c,0),text:v,eolCount:x,firstLineLength:w,lastLineLength:I,forceMoveMarkers:i,isAutoWhitespaceEdit:!1}}_doApplyEdits(e){e.sort(Zq._sortOpsDescending);const i=[];for(let n=0;n<e.length;n++){const s=e[n],c=s.range.startLineNumber,d=s.range.startColumn,f=s.range.endLineNumber,m=s.range.endColumn;if(c===f&&d===m&&s.text.length===0)continue;s.text?(this._pieceTree.delete(s.rangeOffset,s.rangeLength),this._pieceTree.insert(s.rangeOffset,s.text,!0)):this._pieceTree.delete(s.rangeOffset,s.rangeLength);const v=new nt(c,d,f,m);i.push({range:v,rangeLength:s.rangeLength,text:s.text,rangeOffset:s.rangeOffset,forceMoveMarkers:s.forceMoveMarkers})}return i}findMatchesLineByLine(e,i,n,s){return this._pieceTree.findMatchesLineByLine(e,i,n,s)}static _getInverseEditRanges(e){const i=[];let n=0,s=0,c=null;for(let d=0,f=e.length;d<f;d++){const m=e[d];let v,x;c?c.range.endLineNumber===m.range.startLineNumber?(v=n,x=s+(m.range.startColumn-c.range.endColumn)):(v=n+(m.range.startLineNumber-c.range.endLineNumber),x=m.range.startColumn):(v=m.range.startLineNumber,x=m.range.startColumn);let w;if(m.text.length>0){const I=m.eolCount+1;I===1?w=new nt(v,x,v,x+m.firstLineLength):w=new nt(v,x,v+I-1,m.lastLineLength+1)}else w=new nt(v,x,v,x);n=w.endLineNumber,s=w.endColumn,i.push(w),c=m}return i}static _sortOpsAscending(e,i){const n=nt.compareRangesUsingEnds(e.range,i.range);return n===0?e.sortIndex-i.sortIndex:n}static _sortOpsDescending(e,i){const n=nt.compareRangesUsingEnds(e.range,i.range);return n===0?i.sortIndex-e.sortIndex:-n}}class ebi{constructor(e,i,n,s,c,d,f,m,v){this._chunks=e,this._bom=i,this._cr=n,this._lf=s,this._crlf=c,this._containsRTL=d,this._containsUnusualLineTerminators=f,this._isBasicASCII=m,this._normalizeEOL=v}_getEOL(e){const i=this._cr+this._lf+this._crlf,n=this._cr+this._crlf;return i===0?e===1?`
+`:`\r
+`:n>i/2?`\r
+`:`
+`}create(e){const i=this._getEOL(e),n=this._chunks;if(this._normalizeEOL&&(i===`\r
+`&&(this._cr>0||this._lf>0)||i===`
+`&&(this._cr>0||this._crlf>0)))for(let c=0,d=n.length;c<d;c++){const f=n[c].buffer.replace(/\r\n|\r|\n/g,i),m=g4(f);n[c]=new zF(f,m)}const s=new Zq(n,this._bom,i,this._containsRTL,this._containsUnusualLineTerminators,this._isBasicASCII,this._normalizeEOL);return{textBuffer:s,disposable:s}}}class dEt{constructor(){this.chunks=[],this.BOM="",this._hasPreviousChar=!1,this._previousChar=0,this._tmpLineStarts=[],this.cr=0,this.lf=0,this.crlf=0,this.containsRTL=!1,this.containsUnusualLineTerminators=!1,this.isBasicASCII=!0}acceptChunk(e){if(e.length===0)return;this.chunks.length===0&&r$e(e)&&(this.BOM=eui,e=e.substr(1));const i=e.charCodeAt(e.length-1);i===13||i>=55296&&i<=56319?(this._acceptChunk1(e.substr(0,e.length-1),!1),this._hasPreviousChar=!0,this._previousChar=i):(this._acceptChunk1(e,!1),this._hasPreviousChar=!1,this._previousChar=i)}_acceptChunk1(e,i){!i&&e.length===0||(this._hasPreviousChar?this._acceptChunk2(String.fromCharCode(this._previousChar)+e):this._acceptChunk2(e))}_acceptChunk2(e){const i=Xvi(this._tmpLineStarts,e);this.chunks.push(new zF(e,i.lineStarts)),this.cr+=i.cr,this.lf+=i.lf,this.crlf+=i.crlf,i.isBasicASCII||(this.isBasicASCII=!1,this.containsRTL||(this.containsRTL=k$(e)),this.containsUnusualLineTerminators||(this.containsUnusualLineTerminators=n2t(e)))}finish(e=!0){return this._finish(),new ebi(this.chunks,this.BOM,this.cr,this.lf,this.crlf,this.containsRTL,this.containsUnusualLineTerminators,this.isBasicASCII,e)}_finish(){if(this.chunks.length===0&&this._acceptChunk1("",!0),this._hasPreviousChar){this._hasPreviousChar=!1;const e=this.chunks[this.chunks.length-1];e.buffer+=String.fromCharCode(this._previousChar);const i=g4(e.buffer);e.lineStarts=i,this._previousChar===13&&this.cr++}}}class tbi{constructor(e){this._default=e,this._store=[]}get(e){return e<this._store.length?this._store[e]:this._default}set(e,i){for(;e>=this._store.length;)this._store[this._store.length]=this._default;this._store[e]=i}replace(e,i,n){if(e>=this._store.length)return;if(i===0){this.insert(e,n);return}else if(n===0){this.delete(e,i);return}const s=this._store.slice(0,e),c=this._store.slice(e+i),d=ibi(n,this._default);this._store=s.concat(d,c)}delete(e,i){i===0||e>=this._store.length||this._store.splice(e,i)}insert(e,i){if(i===0||e>=this._store.length)return;const n=[];for(let s=0;s<i;s++)n[s]=this._default;this._store=kSe(this._store,e,n)}}function ibi(l,e){const i=[];for(let n=0;n<l;n++)i[n]=e;return i}class nbi{get startLineNumber(){return this._startLineNumber}get endLineNumber(){return this._startLineNumber+this._tokens.length-1}constructor(e,i){this._startLineNumber=e,this._tokens=i}getLineTokens(e){return this._tokens[e-this._startLineNumber]}appendLineTokens(e){this._tokens.push(e)}}class oHe{constructor(){this._tokens=[]}add(e,i){if(this._tokens.length>0){const n=this._tokens[this._tokens.length-1];if(n.endLineNumber+1===e){n.appendLineTokens(i);return}}this._tokens.push(new nbi(e,[i]))}finalize(){return this._tokens}}class rbi{constructor(e,i){this.tokenizationSupport=i,this.initialState=this.tokenizationSupport.getInitialState(),this.store=new aHe(e)}getStartState(e){return this.store.getStartState(e,this.initialState)}getFirstInvalidLine(){return this.store.getFirstInvalidLine(this.initialState)}}class sbi extends rbi{constructor(e,i,n,s){super(e,i),this._textModel=n,this._languageIdCodec=s}updateTokensUntilLine(e,i){const n=this._textModel.getLanguageId();for(;;){const s=this.getFirstInvalidLine();if(!s||s.lineNumber>i)break;const c=this._textModel.getLineContent(s.lineNumber),d=Bte(this._languageIdCodec,n,this.tokenizationSupport,c,!0,s.startState);e.add(s.lineNumber,d.tokens),this.store.setEndState(s.lineNumber,d.endState)}}getTokenTypeIfInsertingCharacter(e,i){const n=this.getStartState(e.lineNumber);if(!n)return 0;const s=this._textModel.getLanguageId(),c=this._textModel.getLineContent(e.lineNumber),d=c.substring(0,e.column-1)+i+c.substring(e.column-1),f=Bte(this._languageIdCodec,s,this.tokenizationSupport,d,!0,n),m=new Cg(f.tokens,d,this._languageIdCodec);if(m.getCount()===0)return 0;const v=m.findTokenIndexAtOffset(e.column-1);return m.getStandardTokenType(v)}tokenizeLineWithEdit(e,i,n){const s=e.lineNumber,c=e.column,d=this.getStartState(s);if(!d)return null;const f=this._textModel.getLineContent(s),m=f.substring(0,c-1)+n+f.substring(c-1+i),v=this._textModel.getLanguageIdAtPosition(s,0),x=Bte(this._languageIdCodec,v,this.tokenizationSupport,m,!0,d);return new Cg(x.tokens,m,this._languageIdCodec)}hasAccurateTokensForLine(e){const i=this.store.getFirstInvalidEndStateLineNumberOrMax();return e<i}isCheapToTokenize(e){const i=this.store.getFirstInvalidEndStateLineNumberOrMax();return e<i||e===i&&this._textModel.getLineLength(e)<2048}tokenizeHeuristically(e,i,n){if(n<=this.store.getFirstInvalidEndStateLineNumberOrMax())return{heuristicTokens:!1};if(i<=this.store.getFirstInvalidEndStateLineNumberOrMax())return this.updateTokensUntilLine(e,n),{heuristicTokens:!1};let s=this.guessStartState(i);const c=this._textModel.getLanguageId();for(let d=i;d<=n;d++){const f=this._textModel.getLineContent(d),m=Bte(this._languageIdCodec,c,this.tokenizationSupport,f,!0,s);e.add(d,m.tokens),s=m.endState}return{heuristicTokens:!0}}guessStartState(e){let i=this._textModel.getLineFirstNonWhitespaceColumn(e);const n=[];let s=null;for(let f=e-1;i>1&&f>=1;f--){const m=this._textModel.getLineFirstNonWhitespaceColumn(f);if(m!==0&&m<i&&(n.push(this._textModel.getLineContent(f)),i=m,s=this.getStartState(f),s))break}s||(s=this.tokenizationSupport.getInitialState()),n.reverse();const c=this._textModel.getLanguageId();let d=s;for(const f of n)d=Bte(this._languageIdCodec,c,this.tokenizationSupport,f,!1,d).endState;return d}}class aHe{constructor(e){this.lineCount=e,this._tokenizationStateStore=new obi,this._invalidEndStatesLineNumbers=new abi,this._invalidEndStatesLineNumbers.addRange(new xc(1,e+1))}getEndState(e){return this._tokenizationStateStore.getEndState(e)}setEndState(e,i){if(!i)throw new tu("Cannot set null/undefined state");this._invalidEndStatesLineNumbers.delete(e);const n=this._tokenizationStateStore.setEndState(e,i);return n&&e<this.lineCount&&this._invalidEndStatesLineNumbers.addRange(new xc(e+1,e+2)),n}acceptChange(e,i){this.lineCount+=i-e.length,this._tokenizationStateStore.acceptChange(e,i),this._invalidEndStatesLineNumbers.addRangeAndResize(new xc(e.startLineNumber,e.endLineNumberExclusive),i)}acceptChanges(e){for(const i of e){const[n]=xR(i.text);this.acceptChange(new Za(i.range.startLineNumber,i.range.endLineNumber+1),n+1)}}invalidateEndStateRange(e){this._invalidEndStatesLineNumbers.addRange(new xc(e.startLineNumber,e.endLineNumberExclusive))}getFirstInvalidEndStateLineNumber(){return this._invalidEndStatesLineNumbers.min}getFirstInvalidEndStateLineNumberOrMax(){return this.getFirstInvalidEndStateLineNumber()||Number.MAX_SAFE_INTEGER}allStatesValid(){return this._invalidEndStatesLineNumbers.min===null}getStartState(e,i){return e===1?i:this.getEndState(e-1)}getFirstInvalidLine(e){const i=this.getFirstInvalidEndStateLineNumber();if(i===null)return null;const n=this.getStartState(i,e);if(!n)throw new tu("Start state must be defined");return{lineNumber:i,startState:n}}}class obi{constructor(){this._lineEndStates=new tbi(null)}getEndState(e){return this._lineEndStates.get(e)}setEndState(e,i){const n=this._lineEndStates.get(e);return n&&n.equals(i)?!1:(this._lineEndStates.set(e,i),!0)}acceptChange(e,i){let n=e.length;i>0&&n>0&&(n--,i--),this._lineEndStates.replace(e.startLineNumber,n,i)}}class abi{constructor(){this._ranges=[]}get min(){return this._ranges.length===0?null:this._ranges[0].start}delete(e){const i=this._ranges.findIndex(n=>n.contains(e));if(i!==-1){const n=this._ranges[i];n.start===e?n.endExclusive===e+1?this._ranges.splice(i,1):this._ranges[i]=new xc(e+1,n.endExclusive):n.endExclusive===e+1?this._ranges[i]=new xc(n.start,e):this._ranges.splice(i,1,new xc(n.start,e),new xc(e+1,n.endExclusive))}}addRange(e){xc.addRange(e,this._ranges)}addRangeAndResize(e,i){let n=0;for(;!(n>=this._ranges.length||e.start<=this._ranges[n].endExclusive);)n++;let s=n;for(;!(s>=this._ranges.length||e.endExclusive<this._ranges[s].start);)s++;const c=i-e.length;for(let d=s;d<this._ranges.length;d++)this._ranges[d]=this._ranges[d].delta(c);if(n===s){const d=new xc(e.start,e.start+i);d.isEmpty||this._ranges.splice(n,0,d)}else{const d=Math.min(e.start,this._ranges[n].start),f=Math.max(e.endExclusive,this._ranges[s-1].endExclusive),m=new xc(d,f+c);m.isEmpty?this._ranges.splice(n,s-n):this._ranges.splice(n,s-n,m)}}toString(){return this._ranges.map(e=>e.toString()).join(" + ")}}function Bte(l,e,i,n,s,c){let d=null;if(i)try{d=i.tokenizeEncoded(n,s,c.clone())}catch(f){Pa(f)}return d||(d=fwe(l.encodeLanguageId(e),c)),Cg.convertToEndOffset(d.tokens,n.length),d}class cbi{constructor(e,i){this._tokenizerWithStateStore=e,this._backgroundTokenStore=i,this._isDisposed=!1,this._isScheduled=!1}dispose(){this._isDisposed=!0}handleChanges(){this._beginBackgroundTokenization()}_beginBackgroundTokenization(){this._isScheduled||!this._tokenizerWithStateStore._textModel.isAttachedToEditor()||!this._hasLinesToTokenize()||(this._isScheduled=!0,u2t(e=>{this._isScheduled=!1,this._backgroundTokenizeWithDeadline(e)}))}_backgroundTokenizeWithDeadline(e){const i=Date.now()+e.timeRemaining(),n=()=>{this._isDisposed||!this._tokenizerWithStateStore._textModel.isAttachedToEditor()||!this._hasLinesToTokenize()||(this._backgroundTokenizeForAtLeast1ms(),Date.now()<i?Ikt(n):this._beginBackgroundTokenization())};n()}_backgroundTokenizeForAtLeast1ms(){const e=this._tokenizerWithStateStore._textModel.getLineCount(),i=new oHe,n=bg.create(!1);do if(n.elapsed()>1||this._tokenizeOneInvalidLine(i)>=e)break;while(this._hasLinesToTokenize());this._backgroundTokenStore.setTokens(i.finalize()),this.checkFinished()}_hasLinesToTokenize(){return this._tokenizerWithStateStore?!this._tokenizerWithStateStore.store.allStatesValid():!1}_tokenizeOneInvalidLine(e){var n;const i=(n=this._tokenizerWithStateStore)==null?void 0:n.getFirstInvalidLine();return i?(this._tokenizerWithStateStore.updateTokensUntilLine(e,i.lineNumber),i.lineNumber):this._tokenizerWithStateStore._textModel.getLineCount()+1}checkFinished(){this._isDisposed||this._tokenizerWithStateStore.store.allStatesValid()&&this._backgroundTokenStore.backgroundTokenizationFinished()}requestTokens(e,i){this._tokenizerWithStateStore.store.invalidateEndStateRange(new Za(e,i))}}class lbi{constructor(){this._onDidChangeVisibleRanges=new gi,this.onDidChangeVisibleRanges=this._onDidChangeVisibleRanges.event,this._views=new Set}attachView(){const e=new ubi(i=>{this._onDidChangeVisibleRanges.fire({view:e,state:i})});return this._views.add(e),e}detachView(e){this._views.delete(e),this._onDidChangeVisibleRanges.fire({view:e,state:void 0})}}class ubi{constructor(e){this.handleStateChange=e}setVisibleLines(e,i){const n=e.map(s=>new Za(s.startLineNumber,s.endLineNumber+1));this.handleStateChange({visibleLineRanges:n,stabilized:i})}}class dbi extends xi{get lineRanges(){return this._lineRanges}constructor(e){super(),this._refreshTokens=e,this.runner=this._register(new qu(()=>this.update(),50)),this._computedLineRanges=[],this._lineRanges=[]}update(){Lf(this._computedLineRanges,this._lineRanges,(e,i)=>e.equals(i))||(this._computedLineRanges=this._lineRanges,this._refreshTokens())}handleStateChange(e){this._lineRanges=e.visibleLineRanges,e.stabilized?(this.runner.cancel(),this.update()):this.runner.schedule()}}class fEt extends xi{get backgroundTokenizationState(){return this._backgroundTokenizationState}constructor(e,i,n){super(),this._languageIdCodec=e,this._textModel=i,this.getLanguageId=n,this._backgroundTokenizationState=1,this._onDidChangeBackgroundTokenizationState=this._register(new gi),this.onDidChangeBackgroundTokenizationState=this._onDidChangeBackgroundTokenizationState.event,this._onDidChangeTokens=this._register(new gi),this.onDidChangeTokens=this._onDidChangeTokens.event}tokenizeIfCheap(e){this.isCheapToTokenize(e)&&this.forceTokenization(e)}}class LCt extends fEt{constructor(e,i,n,s){super(i,n,s),this._treeSitterService=e,this._tokenizationSupport=null,this._initialize()}_initialize(){const e=this.getLanguageId();(!this._tokenizationSupport||this._lastLanguageId!==e)&&(this._lastLanguageId=e,this._tokenizationSupport=SBe.get(e))}getLineTokens(e){const i=this._textModel.getLineContent(e);if(this._tokenizationSupport){const n=this._tokenizationSupport.tokenizeEncoded(e,this._textModel);if(n)return new Cg(n,i,this._languageIdCodec)}return Cg.createEmpty(i,this._languageIdCodec)}resetTokenization(e=!0){e&&this._onDidChangeTokens.fire({semanticTokensApplied:!1,ranges:[{fromLineNumber:1,toLineNumber:this._textModel.getLineCount()}]}),this._initialize()}handleDidChangeAttached(){}handleDidChangeContent(e){e.isFlush&&this.resetTokenization(!1)}forceTokenization(e){}hasAccurateTokensForLine(e){return!0}isCheapToTokenize(e){return!0}getTokenTypeIfInsertingCharacter(e,i,n){return 0}tokenizeLineWithEdit(e,i,n){return null}get hasTokens(){return this._treeSitterService.getParseResult(this._textModel)!==void 0}}const hEt=jc("treeSitterParserService"),y4=new Uint32Array(0).buffer;class uL{static deleteBeginning(e,i){return e===null||e===y4?e:uL.delete(e,0,i)}static deleteEnding(e,i){if(e===null||e===y4)return e;const n=O4(e),s=n[n.length-2];return uL.delete(e,i,s)}static delete(e,i,n){if(e===null||e===y4||i===n)return e;const s=O4(e),c=s.length>>>1;if(i===0&&s[s.length-2]===n)return y4;const d=Cg.findIndexInTokensArray(s,i),f=d>0?s[d-1<<1]:0,m=s[d<<1];if(n<m){const P=n-i;for(let O=d;O<c;O++)s[O<<1]-=P;return e}let v,x;f!==i?(s[d<<1]=i,v=d+1<<1,x=i):(v=d<<1,x=f);const w=n-i;for(let P=d+1;P<c;P++){const O=s[P<<1]-w;O>x&&(s[v++]=O,s[v++]=s[(P<<1)+1],x=O)}if(v===s.length)return e;const I=new Uint32Array(v);return I.set(s.subarray(0,v),0),I.buffer}static append(e,i){if(i===y4)return e;if(e===y4)return i;if(e===null)return e;if(i===null)return null;const n=O4(e),s=O4(i),c=s.length>>>1,d=new Uint32Array(n.length+s.length);d.set(n,0);let f=n.length;const m=n[n.length-2];for(let v=0;v<c;v++)d[f++]=s[v<<1]+m,d[f++]=s[(v<<1)+1];return d.buffer}static insert(e,i,n){if(e===null||e===y4)return e;const s=O4(e),c=s.length>>>1;let d=Cg.findIndexInTokensArray(s,i);d>0&&s[d-1<<1]===i&&d--;for(let f=d;f<c;f++)s[f<<1]+=n;return e}}function O4(l){return l instanceof Uint32Array?l:new Uint32Array(l)}class fre{constructor(e){this._lineTokens=[],this._len=0,this._languageIdCodec=e}flush(){this._lineTokens=[],this._len=0}get hasTokens(){return this._lineTokens.length>0}getTokens(e,i,n){let s=null;if(i<this._len&&(s=this._lineTokens[i]),s!==null&&s!==y4)return new Cg(O4(s),n,this._languageIdCodec);const c=new Uint32Array(2);return c[0]=n.length,c[1]=PCt(this._languageIdCodec.encodeLanguageId(e)),new Cg(c,n,this._languageIdCodec)}static _massageTokens(e,i,n){const s=n?O4(n):null;if(i===0){let c=!1;if(s&&s.length>1&&(c=kb.getLanguageId(s[1])!==e),!c)return y4}if(!s||s.length===0){const c=new Uint32Array(2);return c[0]=i,c[1]=PCt(e),c.buffer}return s[s.length-2]=i,s.byteOffset===0&&s.byteLength===s.buffer.byteLength?s.buffer:s}_ensureLine(e){for(;e>=this._len;)this._lineTokens[this._len]=null,this._len++}_deleteLines(e,i){i!==0&&(e+i>this._len&&(i=this._len-e),this._lineTokens.splice(e,i),this._len-=i)}_insertLines(e,i){if(i===0)return;const n=[];for(let s=0;s<i;s++)n[s]=null;this._lineTokens=kSe(this._lineTokens,e,n),this._len+=i}setTokens(e,i,n,s,c){const d=fre._massageTokens(this._languageIdCodec.encodeLanguageId(e),n,s);this._ensureLine(i);const f=this._lineTokens[i];return this._lineTokens[i]=d,c?!fre._equals(f,d):!1}static _equals(e,i){if(!e||!i)return!e&&!i;const n=O4(e),s=O4(i);if(n.length!==s.length)return!1;for(let c=0,d=n.length;c<d;c++)if(n[c]!==s[c])return!1;return!0}acceptEdit(e,i,n){this._acceptDeleteRange(e),this._acceptInsertText(new pi(e.startLineNumber,e.startColumn),i,n)}_acceptDeleteRange(e){const i=e.startLineNumber-1;if(i>=this._len)return;if(e.startLineNumber===e.endLineNumber){if(e.startColumn===e.endColumn)return;this._lineTokens[i]=uL.delete(this._lineTokens[i],e.startColumn-1,e.endColumn-1);return}this._lineTokens[i]=uL.deleteEnding(this._lineTokens[i],e.startColumn-1);const n=e.endLineNumber-1;let s=null;n<this._len&&(s=uL.deleteBeginning(this._lineTokens[n],e.endColumn-1)),this._lineTokens[i]=uL.append(this._lineTokens[i],s),this._deleteLines(e.startLineNumber,e.endLineNumber-e.startLineNumber)}_acceptInsertText(e,i,n){if(i===0&&n===0)return;const s=e.lineNumber-1;if(!(s>=this._len)){if(i===0){this._lineTokens[s]=uL.insert(this._lineTokens[s],e.column-1,n);return}this._lineTokens[s]=uL.deleteEnding(this._lineTokens[s],e.column-1),this._lineTokens[s]=uL.insert(this._lineTokens[s],e.column-1,n),this._insertLines(e.lineNumber,i)}}setMultilineTokens(e,i){if(e.length===0)return{changes:[]};const n=[];for(let s=0,c=e.length;s<c;s++){const d=e[s];let f=0,m=0,v=!1;for(let x=d.startLineNumber;x<=d.endLineNumber;x++)v?(this.setTokens(i.getLanguageId(),x-1,i.getLineLength(x),d.getLineTokens(x),!1),m=x):this.setTokens(i.getLanguageId(),x-1,i.getLineLength(x),d.getLineTokens(x),!0)&&(v=!0,f=x,m=x);v&&n.push({fromLineNumber:f,toLineNumber:m})}return{changes:n}}}function PCt(l){return(l<<0|0|0|32768|2<<24|1024)>>>0}class vJe{constructor(e){this._pieces=[],this._isComplete=!1,this._languageIdCodec=e}flush(){this._pieces=[],this._isComplete=!1}isEmpty(){return this._pieces.length===0}set(e,i){this._pieces=e||[],this._isComplete=i}setPartial(e,i){let n=e;if(i.length>0){const c=i[0].getRange(),d=i[i.length-1].getRange();if(!c||!d)return e;n=e.plusRange(c).plusRange(d)}let s=null;for(let c=0,d=this._pieces.length;c<d;c++){const f=this._pieces[c];if(f.endLineNumber<n.startLineNumber)continue;if(f.startLineNumber>n.endLineNumber){s=s||{index:c};break}if(f.removeTokens(n),f.isEmpty()){this._pieces.splice(c,1),c--,d--;continue}if(f.endLineNumber<n.startLineNumber)continue;if(f.startLineNumber>n.endLineNumber){s=s||{index:c};continue}const[m,v]=f.split(n);if(m.isEmpty()){s=s||{index:c};continue}v.isEmpty()||(this._pieces.splice(c,1,m,v),c++,d++,s=s||{index:c})}return s=s||{index:this._pieces.length},i.length>0&&(this._pieces=kSe(this._pieces,s.index,i)),n}isComplete(){return this._isComplete}addSparseTokens(e,i){if(i.getLineContent().length===0)return i;const n=this._pieces;if(n.length===0)return i;const s=vJe._findFirstPieceWithLine(n,e),c=n[s].getLineTokens(e);if(!c)return i;const d=i.getCount(),f=c.getCount();let m=0;const v=[];let x=0,w=0;const I=(P,O)=>{P!==w&&(w=P,v[x++]=P,v[x++]=O)};for(let P=0;P<f;P++){const O=c.getStartCharacter(P),z=c.getEndCharacter(P),J=c.getMetadata(P),Y=((J&1?2048:0)|(J&2?4096:0)|(J&4?8192:0)|(J&8?16384:0)|(J&16?16744448:0)|(J&32?4278190080:0))>>>0,ae=~Y>>>0;for(;m<d&&i.getEndOffset(m)<=O;)I(i.getEndOffset(m),i.getMetadata(m)),m++;for(m<d&&i.getStartOffset(m)<O&&I(O,i.getMetadata(m));m<d&&i.getEndOffset(m)<z;)I(i.getEndOffset(m),i.getMetadata(m)&ae|J&Y),m++;if(m<d)I(z,i.getMetadata(m)&ae|J&Y),i.getEndOffset(m)===z&&m++;else{const me=Math.min(Math.max(0,m-1),d-1);I(z,i.getMetadata(me)&ae|J&Y)}}for(;m<d;)I(i.getEndOffset(m),i.getMetadata(m)),m++;return new Cg(new Uint32Array(v),i.getLineContent(),this._languageIdCodec)}static _findFirstPieceWithLine(e,i){let n=0,s=e.length-1;for(;n<s;){let c=n+Math.floor((s-n)/2);if(e[c].endLineNumber<i)n=c+1;else if(e[c].startLineNumber>i)s=c-1;else{for(;c>n&&e[c-1].startLineNumber<=i&&i<=e[c-1].endLineNumber;)c--;return c}}return n}acceptEdit(e,i,n,s,c){for(const d of this._pieces)d.acceptEdit(e,i,n,s,c)}}var fbi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},QFe=function(l,e){return function(i,n){e(i,n,l)}},g1e;let cHe=g1e=class extends nEt{constructor(e,i,n,s,c,d,f){super(),this._textModel=e,this._bracketPairsTextModelPart=i,this._languageId=n,this._attachedViews=s,this._languageService=c,this._languageConfigurationService=d,this._treeSitterService=f,this._semanticTokens=new vJe(this._languageService.languageIdCodec),this._onDidChangeLanguage=this._register(new gi),this.onDidChangeLanguage=this._onDidChangeLanguage.event,this._onDidChangeLanguageConfiguration=this._register(new gi),this.onDidChangeLanguageConfiguration=this._onDidChangeLanguageConfiguration.event,this._onDidChangeTokens=this._register(new gi),this.onDidChangeTokens=this._onDidChangeTokens.event,this._tokensDisposables=this._register(new wn),this._register(this._languageConfigurationService.onDidChange(m=>{m.affects(this._languageId)&&this._onDidChangeLanguageConfiguration.fire({})})),this._register(Tr.filter(SBe.onDidChange,m=>m.changedLanguages.includes(this._languageId))(()=>{this.createPreferredTokenProvider()})),this.createPreferredTokenProvider()}createGrammarTokens(){return this._register(new ACt(this._languageService.languageIdCodec,this._textModel,()=>this._languageId,this._attachedViews))}createTreeSitterTokens(){return this._register(new LCt(this._treeSitterService,this._languageService.languageIdCodec,this._textModel,()=>this._languageId))}createTokens(e){var n;const i=this._tokens!==void 0;(n=this._tokens)==null||n.dispose(),this._tokens=e?this.createTreeSitterTokens():this.createGrammarTokens(),this._tokensDisposables.clear(),this._tokensDisposables.add(this._tokens.onDidChangeTokens(s=>{this._emitModelTokensChangedEvent(s)})),this._tokensDisposables.add(this._tokens.onDidChangeBackgroundTokenizationState(s=>{this._bracketPairsTextModelPart.handleDidChangeBackgroundTokenizationState()})),i&&this._tokens.resetTokenization()}createPreferredTokenProvider(){SBe.get(this._languageId)?this._tokens instanceof LCt||this.createTokens(!0):this._tokens instanceof ACt||this.createTokens(!1)}handleLanguageConfigurationServiceChange(e){e.affects(this._languageId)&&this._onDidChangeLanguageConfiguration.fire({})}handleDidChangeContent(e){if(e.isFlush)this._semanticTokens.flush();else if(!e.isEolChange)for(const i of e.changes){const[n,s,c]=xR(i.text);this._semanticTokens.acceptEdit(i.range,n,s,c,i.text.length>0?i.text.charCodeAt(0):0)}this._tokens.handleDidChangeContent(e)}handleDidChangeAttached(){this._tokens.handleDidChangeAttached()}getLineTokens(e){this.validateLineNumber(e);const i=this._tokens.getLineTokens(e);return this._semanticTokens.addSparseTokens(e,i)}_emitModelTokensChangedEvent(e){this._textModel._isDisposing()||(this._bracketPairsTextModelPart.handleDidChangeTokens(e),this._onDidChangeTokens.fire(e))}validateLineNumber(e){if(e<1||e>this._textModel.getLineCount())throw new tu("Illegal value for lineNumber")}get hasTokens(){return this._tokens.hasTokens}resetTokenization(){this._tokens.resetTokenization()}get backgroundTokenizationState(){return this._tokens.backgroundTokenizationState}forceTokenization(e){this.validateLineNumber(e),this._tokens.forceTokenization(e)}hasAccurateTokensForLine(e){return this.validateLineNumber(e),this._tokens.hasAccurateTokensForLine(e)}isCheapToTokenize(e){return this.validateLineNumber(e),this._tokens.isCheapToTokenize(e)}tokenizeIfCheap(e){this.validateLineNumber(e),this._tokens.tokenizeIfCheap(e)}getTokenTypeIfInsertingCharacter(e,i,n){return this._tokens.getTokenTypeIfInsertingCharacter(e,i,n)}tokenizeLineWithEdit(e,i,n){return this._tokens.tokenizeLineWithEdit(e,i,n)}setSemanticTokens(e,i){this._semanticTokens.set(e,i),this._emitModelTokensChangedEvent({semanticTokensApplied:e!==null,ranges:[{fromLineNumber:1,toLineNumber:this._textModel.getLineCount()}]})}hasCompleteSemanticTokens(){return this._semanticTokens.isComplete()}hasSomeSemanticTokens(){return!this._semanticTokens.isEmpty()}setPartialSemanticTokens(e,i){if(this.hasCompleteSemanticTokens())return;const n=this._textModel.validateRange(this._semanticTokens.setPartial(e,i));this._emitModelTokensChangedEvent({semanticTokensApplied:!0,ranges:[{fromLineNumber:n.startLineNumber,toLineNumber:n.endLineNumber}]})}getWordAtPosition(e){this.assertNotDisposed();const i=this._textModel.validatePosition(e),n=this._textModel.getLineContent(i.lineNumber),s=this.getLineTokens(i.lineNumber),c=s.findTokenIndexAtOffset(i.column-1),[d,f]=g1e._findLanguageBoundaries(s,c),m=Nne(i.column,this.getLanguageConfiguration(s.getLanguageId(c)).getWordDefinition(),n.substring(d,f),d);if(m&&m.startColumn<=e.column&&e.column<=m.endColumn)return m;if(c>0&&d===i.column-1){const[v,x]=g1e._findLanguageBoundaries(s,c-1),w=Nne(i.column,this.getLanguageConfiguration(s.getLanguageId(c-1)).getWordDefinition(),n.substring(v,x),v);if(w&&w.startColumn<=e.column&&e.column<=w.endColumn)return w}return null}getLanguageConfiguration(e){return this._languageConfigurationService.getLanguageConfiguration(e)}static _findLanguageBoundaries(e,i){const n=e.getLanguageId(i);let s=0;for(let d=i;d>=0&&e.getLanguageId(d)===n;d--)s=e.getStartOffset(d);let c=e.getLineContent().length;for(let d=i,f=e.getCount();d<f&&e.getLanguageId(d)===n;d++)c=e.getEndOffset(d);return[s,c]}getWordUntilPosition(e){const i=this.getWordAtPosition(e);return i?{word:i.word.substr(0,e.column-i.startColumn),startColumn:i.startColumn,endColumn:e.column}:{word:"",startColumn:e.column,endColumn:e.column}}getLanguageId(){return this._languageId}getLanguageIdAtPosition(e,i){const n=this._textModel.validatePosition(new pi(e,i)),s=this.getLineTokens(n.lineNumber);return s.getLanguageId(s.findTokenIndexAtOffset(n.column-1))}setLanguageId(e,i="api"){if(this._languageId===e)return;const n={oldLanguage:this._languageId,newLanguage:e,source:i};this._languageId=e,this._bracketPairsTextModelPart.handleDidChangeLanguage(n),this._tokens.resetTokenization(),this.createPreferredTokenProvider(),this._onDidChangeLanguage.fire(n),this._onDidChangeLanguageConfiguration.fire({})}};cHe=g1e=fbi([QFe(4,Pf),QFe(5,rh),QFe(6,hEt)],cHe);class ACt extends fEt{constructor(e,i,n,s){super(e,i,n),this._tokenizer=null,this._defaultBackgroundTokenizer=null,this._backgroundTokenizer=this._register(new Fm),this._tokens=new fre(this._languageIdCodec),this._debugBackgroundTokenizer=this._register(new Fm),this._attachedViewStates=this._register(new Jqe),this._register(Oh.onDidChange(c=>{const d=this.getLanguageId();c.changedLanguages.indexOf(d)!==-1&&this.resetTokenization()})),this.resetTokenization(),this._register(s.onDidChangeVisibleRanges(({view:c,state:d})=>{if(d){let f=this._attachedViewStates.get(c);f||(f=new dbi(()=>this.refreshRanges(f.lineRanges)),this._attachedViewStates.set(c,f)),f.handleStateChange(d)}else this._attachedViewStates.deleteAndDispose(c)}))}resetTokenization(e=!0){var c;this._tokens.flush(),(c=this._debugBackgroundTokens)==null||c.flush(),this._debugBackgroundStates&&(this._debugBackgroundStates=new aHe(this._textModel.getLineCount())),e&&this._onDidChangeTokens.fire({semanticTokensApplied:!1,ranges:[{fromLineNumber:1,toLineNumber:this._textModel.getLineCount()}]});const i=()=>{if(this._textModel.isTooLargeForTokenization())return[null,null];const d=Oh.get(this.getLanguageId());if(!d)return[null,null];let f;try{f=d.getInitialState()}catch(m){return Pa(m),[null,null]}return[d,f]},[n,s]=i();if(n&&s?this._tokenizer=new sbi(this._textModel.getLineCount(),n,this._textModel,this._languageIdCodec):this._tokenizer=null,this._backgroundTokenizer.clear(),this._defaultBackgroundTokenizer=null,this._tokenizer){const d={setTokens:f=>{this.setTokens(f)},backgroundTokenizationFinished:()=>{if(this._backgroundTokenizationState===2)return;const f=2;this._backgroundTokenizationState=f,this._onDidChangeBackgroundTokenizationState.fire()},setEndState:(f,m)=>{var x;if(!this._tokenizer)return;const v=this._tokenizer.store.getFirstInvalidEndStateLineNumber();v!==null&&f>=v&&((x=this._tokenizer)==null||x.store.setEndState(f,m))}};n&&n.createBackgroundTokenizer&&!n.backgroundTokenizerShouldOnlyVerifyTokens&&(this._backgroundTokenizer.value=n.createBackgroundTokenizer(this._textModel,d)),!this._backgroundTokenizer.value&&!this._textModel.isTooLargeForTokenization()&&(this._backgroundTokenizer.value=this._defaultBackgroundTokenizer=new cbi(this._tokenizer,d),this._defaultBackgroundTokenizer.handleChanges()),n!=null&&n.backgroundTokenizerShouldOnlyVerifyTokens&&n.createBackgroundTokenizer?(this._debugBackgroundTokens=new fre(this._languageIdCodec),this._debugBackgroundStates=new aHe(this._textModel.getLineCount()),this._debugBackgroundTokenizer.clear(),this._debugBackgroundTokenizer.value=n.createBackgroundTokenizer(this._textModel,{setTokens:f=>{var m;(m=this._debugBackgroundTokens)==null||m.setMultilineTokens(f,this._textModel)},backgroundTokenizationFinished(){},setEndState:(f,m)=>{var v;(v=this._debugBackgroundStates)==null||v.setEndState(f,m)}})):(this._debugBackgroundTokens=void 0,this._debugBackgroundStates=void 0,this._debugBackgroundTokenizer.value=void 0)}this.refreshAllVisibleLineTokens()}handleDidChangeAttached(){var e;(e=this._defaultBackgroundTokenizer)==null||e.handleChanges()}handleDidChangeContent(e){var i,n,s;if(e.isFlush)this.resetTokenization(!1);else if(!e.isEolChange){for(const c of e.changes){const[d,f]=xR(c.text);this._tokens.acceptEdit(c.range,d,f),(i=this._debugBackgroundTokens)==null||i.acceptEdit(c.range,d,f)}(n=this._debugBackgroundStates)==null||n.acceptChanges(e.changes),this._tokenizer&&this._tokenizer.store.acceptChanges(e.changes),(s=this._defaultBackgroundTokenizer)==null||s.handleChanges()}}setTokens(e){const{changes:i}=this._tokens.setMultilineTokens(e,this._textModel);return i.length>0&&this._onDidChangeTokens.fire({semanticTokensApplied:!1,ranges:i}),{changes:i}}refreshAllVisibleLineTokens(){const e=Za.joinMany([...this._attachedViewStates].map(([i,n])=>n.lineRanges));this.refreshRanges(e)}refreshRanges(e){for(const i of e)this.refreshRange(i.startLineNumber,i.endLineNumberExclusive-1)}refreshRange(e,i){var d,f;if(!this._tokenizer)return;e=Math.max(1,Math.min(this._textModel.getLineCount(),e)),i=Math.min(this._textModel.getLineCount(),i);const n=new oHe,{heuristicTokens:s}=this._tokenizer.tokenizeHeuristically(n,e,i),c=this.setTokens(n.finalize());if(s)for(const m of c.changes)(d=this._backgroundTokenizer.value)==null||d.requestTokens(m.fromLineNumber,m.toLineNumber+1);(f=this._defaultBackgroundTokenizer)==null||f.checkFinished()}forceTokenization(e){var n,s;const i=new oHe;(n=this._tokenizer)==null||n.updateTokensUntilLine(i,e),this.setTokens(i.finalize()),(s=this._defaultBackgroundTokenizer)==null||s.checkFinished()}hasAccurateTokensForLine(e){return this._tokenizer?this._tokenizer.hasAccurateTokensForLine(e):!0}isCheapToTokenize(e){return this._tokenizer?this._tokenizer.isCheapToTokenize(e):!0}getLineTokens(e){var s;const i=this._textModel.getLineContent(e),n=this._tokens.getTokens(this._textModel.getLanguageId(),e-1,i);if(this._debugBackgroundTokens&&this._debugBackgroundStates&&this._tokenizer&&this._debugBackgroundStates.getFirstInvalidEndStateLineNumberOrMax()>e&&this._tokenizer.store.getFirstInvalidEndStateLineNumberOrMax()>e){const c=this._debugBackgroundTokens.getTokens(this._textModel.getLanguageId(),e-1,i);!n.equals(c)&&((s=this._debugBackgroundTokenizer.value)!=null&&s.reportMismatchingTokens)&&this._debugBackgroundTokenizer.value.reportMismatchingTokens(e)}return n}getTokenTypeIfInsertingCharacter(e,i,n){if(!this._tokenizer)return 0;const s=this._textModel.validatePosition(new pi(e,i));return this.forceTokenization(s.lineNumber),this._tokenizer.getTokenTypeIfInsertingCharacter(s,n)}tokenizeLineWithEdit(e,i,n){if(!this._tokenizer)return null;const s=this._textModel.validatePosition(e);return this.forceTokenization(s.lineNumber),this._tokenizer.tokenizeLineWithEdit(s,i,n)}get hasTokens(){return this._tokens.hasTokens}}class hbi{constructor(){this.changeType=1}}class UE{static applyInjectedText(e,i){if(!i||i.length===0)return e;let n="",s=0;for(const c of i)n+=e.substring(s,c.column-1),s=c.column-1,n+=c.options.content;return n+=e.substring(s),n}static fromDecorations(e){const i=[];for(const n of e)n.options.before&&n.options.before.content.length>0&&i.push(new UE(n.ownerId,n.range.startLineNumber,n.range.startColumn,n.options.before,0)),n.options.after&&n.options.after.content.length>0&&i.push(new UE(n.ownerId,n.range.endLineNumber,n.range.endColumn,n.options.after,1));return i.sort((n,s)=>n.lineNumber===s.lineNumber?n.column===s.column?n.order-s.order:n.column-s.column:n.lineNumber-s.lineNumber),i}constructor(e,i,n,s,c){this.ownerId=e,this.lineNumber=i,this.column=n,this.options=s,this.order=c}}class OCt{constructor(e,i,n){this.changeType=2,this.lineNumber=e,this.detail=i,this.injectedText=n}}class _bi{constructor(e,i){this.changeType=3,this.fromLineNumber=e,this.toLineNumber=i}}class pbi{constructor(e,i,n,s){this.changeType=4,this.injectedTexts=s,this.fromLineNumber=e,this.toLineNumber=i,this.detail=n}}class mbi{constructor(){this.changeType=5}}class Yq{constructor(e,i,n,s){this.changes=e,this.versionId=i,this.isUndoing=n,this.isRedoing=s,this.resultingSelection=null}containsEvent(e){for(let i=0,n=this.changes.length;i<n;i++)if(this.changes[i].changeType===e)return!0;return!1}static merge(e,i){const n=[].concat(e.changes).concat(i.changes),s=i.versionId,c=e.isUndoing||i.isUndoing,d=e.isRedoing||i.isRedoing;return new Yq(n,s,c,d)}}class _Et{constructor(e){this.changes=e}}class y9{constructor(e,i){this.rawContentChangedEvent=e,this.contentChangedEvent=i}merge(e){const i=Yq.merge(this.rawContentChangedEvent,e.rawContentChangedEvent),n=y9._mergeChangeEvents(this.contentChangedEvent,e.contentChangedEvent);return new y9(i,n)}static _mergeChangeEvents(e,i){const n=[].concat(e.changes).concat(i.changes),s=i.eol,c=i.versionId,d=e.isUndoing||i.isUndoing,f=e.isRedoing||i.isRedoing,m=e.isFlush||i.isFlush,v=e.isEolChange&&i.isEolChange;return{changes:n,eol:s,isEolChange:v,versionId:c,isUndoing:d,isRedoing:f,isFlush:m}}}var gbi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},p0e=function(l,e){return function(i,n){e(i,n,l)}},EF;function ybi(l){const e=new dEt;return e.acceptChunk(l),e.finish()}function vbi(l){const e=new dEt;let i;for(;typeof(i=l.read())=="string";)e.acceptChunk(i);return e.finish()}function MCt(l,e){let i;return typeof l=="string"?i=ybi(l):lhi(l)?i=vbi(l):i=l,i.create(e)}let m0e=0;const bbi=999,Cbi=1e4;class Sbi{constructor(e){this._source=e,this._eos=!1}read(){if(this._eos)return null;const e=[];let i=0,n=0;do{const s=this._source.read();if(s===null)return this._eos=!0,i===0?null:e.join("");if(s.length>0&&(e[i++]=s,n+=s.length),n>=64*1024)return e.join("")}while(!0)}}const Wte=()=>{throw new Error("Invalid change accessor")};var kE;let LE=(kE=class extends xi{static resolveOptions(e,i){if(i.detectIndentation){const n=wCt(e,i.tabSize,i.insertSpaces);return new a1e({tabSize:n.tabSize,indentSize:"tabSize",insertSpaces:n.insertSpaces,trimAutoWhitespace:i.trimAutoWhitespace,defaultEOL:i.defaultEOL,bracketPairColorizationOptions:i.bracketPairColorizationOptions})}return new a1e(i)}get onDidChangeLanguage(){return this._tokenizationTextModelPart.onDidChangeLanguage}get onDidChangeLanguageConfiguration(){return this._tokenizationTextModelPart.onDidChangeLanguageConfiguration}get onDidChangeTokens(){return this._tokenizationTextModelPart.onDidChangeTokens}onDidChangeContent(e){return this._eventEmitter.slowEvent(i=>e(i.contentChangedEvent))}onDidChangeContentOrInjectedText(e){return gT(this._eventEmitter.fastEvent(i=>e(i)),this._onDidChangeInjectedText.event(i=>e(i)))}_isDisposing(){return this.__isDisposing}get tokenization(){return this._tokenizationTextModelPart}get bracketPairs(){return this._bracketPairs}get guides(){return this._guidesTextModelPart}constructor(e,i,n,s=null,c,d,f,m){super(),this._undoRedoService=c,this._languageService=d,this._languageConfigurationService=f,this.instantiationService=m,this._onWillDispose=this._register(new gi),this.onWillDispose=this._onWillDispose.event,this._onDidChangeDecorations=this._register(new Ebi(O=>this.handleBeforeFireDecorationsChangedEvent(O))),this.onDidChangeDecorations=this._onDidChangeDecorations.event,this._onDidChangeOptions=this._register(new gi),this.onDidChangeOptions=this._onDidChangeOptions.event,this._onDidChangeAttached=this._register(new gi),this.onDidChangeAttached=this._onDidChangeAttached.event,this._onDidChangeInjectedText=this._register(new gi),this._eventEmitter=this._register(new Ibi),this._languageSelectionListener=this._register(new Fm),this._deltaDecorationCallCnt=0,this._attachedViews=new lbi,m0e++,this.id="$model"+m0e,this.isForSimpleWidget=n.isForSimpleWidget,typeof s>"u"||s===null?this._associatedResource=yo.parse("inmemory://model/"+m0e):this._associatedResource=s,this._attachedEditorCount=0;const{textBuffer:v,disposable:x}=MCt(e,n.defaultEOL);this._buffer=v,this._bufferDisposable=x,this._options=EF.resolveOptions(this._buffer,n);const w=typeof i=="string"?i:i.languageId;typeof i!="string"&&(this._languageSelectionListener.value=i.onDidChange(()=>this._setLanguage(i.languageId))),this._bracketPairs=this._register(new Evi(this,this._languageConfigurationService)),this._guidesTextModelPart=this._register(new Avi(this,this._languageConfigurationService)),this._decorationProvider=this._register(new Nvi(this)),this._tokenizationTextModelPart=this.instantiationService.createInstance(cHe,this,this._bracketPairs,w,this._attachedViews);const I=this._buffer.getLineCount(),P=this._buffer.getValueLengthInRange(new nt(1,1,I,this._buffer.getLineLength(I)+1),0);n.largeFileOptimizations?(this._isTooLargeForTokenization=P>EF.LARGE_FILE_SIZE_THRESHOLD||I>EF.LARGE_FILE_LINE_COUNT_THRESHOLD,this._isTooLargeForHeapOperation=P>EF.LARGE_FILE_HEAP_OPERATION_THRESHOLD):(this._isTooLargeForTokenization=!1,this._isTooLargeForHeapOperation=!1),this._isTooLargeForSyncing=P>EF._MODEL_SYNC_LIMIT,this._versionId=1,this._alternativeVersionId=1,this._initialUndoRedoSnapshot=null,this._isDisposed=!1,this.__isDisposing=!1,this._instanceId=r2t(m0e),this._lastDecorationId=0,this._decorations=Object.create(null),this._decorationsTree=new RCt,this._commandManager=new pJe(this,this._undoRedoService),this._isUndoing=!1,this._isRedoing=!1,this._trimAutoWhitespaceLines=null,this._register(this._decorationProvider.onDidChange(()=>{this._onDidChangeDecorations.beginDeferredEmit(),this._onDidChangeDecorations.fire(),this._onDidChangeDecorations.endDeferredEmit()})),this._languageService.requestRichLanguageFeatures(w),this._register(this._languageConfigurationService.onDidChange(O=>{this._bracketPairs.handleLanguageConfigurationServiceChange(O),this._tokenizationTextModelPart.handleLanguageConfigurationServiceChange(O)}))}dispose(){this.__isDisposing=!0,this._onWillDispose.fire(),this._tokenizationTextModelPart.dispose(),this._isDisposed=!0,super.dispose(),this._bufferDisposable.dispose(),this.__isDisposing=!1;const e=new Zq([],"",`
+`,!1,!1,!0,!0);e.dispose(),this._buffer=e,this._bufferDisposable=xi.None}_assertNotDisposed(){if(this._isDisposed)throw new tu("Model is disposed!")}_emitContentChangedEvent(e,i){this.__isDisposing||(this._tokenizationTextModelPart.handleDidChangeContent(i),this._bracketPairs.handleDidChangeContent(i),this._eventEmitter.fire(new y9(e,i)))}setValue(e){if(this._assertNotDisposed(),e==null)throw gk();const{textBuffer:i,disposable:n}=MCt(e,this._options.defaultEOL);this._setValueFromTextBuffer(i,n)}_createContentChanged2(e,i,n,s,c,d,f,m){return{changes:[{range:e,rangeOffset:i,rangeLength:n,text:s}],eol:this._buffer.getEOL(),isEolChange:m,versionId:this.getVersionId(),isUndoing:c,isRedoing:d,isFlush:f}}_setValueFromTextBuffer(e,i){this._assertNotDisposed();const n=this.getFullModelRange(),s=this.getValueLengthInRange(n),c=this.getLineCount(),d=this.getLineMaxColumn(c);this._buffer=e,this._bufferDisposable.dispose(),this._bufferDisposable=i,this._increaseVersionId(),this._decorations=Object.create(null),this._decorationsTree=new RCt,this._commandManager.clear(),this._trimAutoWhitespaceLines=null,this._emitContentChangedEvent(new Yq([new hbi],this._versionId,!1,!1),this._createContentChanged2(new nt(1,1,c,d),0,s,this.getValue(),!1,!1,!0,!1))}setEOL(e){this._assertNotDisposed();const i=e===1?`\r
+`:`
+`;if(this._buffer.getEOL()===i)return;const n=this.getFullModelRange(),s=this.getValueLengthInRange(n),c=this.getLineCount(),d=this.getLineMaxColumn(c);this._onBeforeEOLChange(),this._buffer.setEOL(i),this._increaseVersionId(),this._onAfterEOLChange(),this._emitContentChangedEvent(new Yq([new mbi],this._versionId,!1,!1),this._createContentChanged2(new nt(1,1,c,d),0,s,this.getValue(),!1,!1,!1,!0))}_onBeforeEOLChange(){this._decorationsTree.ensureAllNodesHaveRanges(this)}_onAfterEOLChange(){const e=this.getVersionId(),i=this._decorationsTree.collectNodesPostOrder();for(let n=0,s=i.length;n<s;n++){const c=i[n],d=c.range,f=c.cachedAbsoluteStart-c.start,m=this._buffer.getOffsetAt(d.startLineNumber,d.startColumn),v=this._buffer.getOffsetAt(d.endLineNumber,d.endColumn);c.cachedAbsoluteStart=m,c.cachedAbsoluteEnd=v,c.cachedVersionId=e,c.start=m-f,c.end=v-f,DR(c)}}onBeforeAttached(){return this._attachedEditorCount++,this._attachedEditorCount===1&&(this._tokenizationTextModelPart.handleDidChangeAttached(),this._onDidChangeAttached.fire(void 0)),this._attachedViews.attachView()}onBeforeDetached(e){this._attachedEditorCount--,this._attachedEditorCount===0&&(this._tokenizationTextModelPart.handleDidChangeAttached(),this._onDidChangeAttached.fire(void 0)),this._attachedViews.detachView(e)}isAttachedToEditor(){return this._attachedEditorCount>0}getAttachedEditorCount(){return this._attachedEditorCount}isTooLargeForSyncing(){return this._isTooLargeForSyncing}isTooLargeForTokenization(){return this._isTooLargeForTokenization}isTooLargeForHeapOperation(){return this._isTooLargeForHeapOperation}isDisposed(){return this._isDisposed}isDominatedByLongLines(){if(this._assertNotDisposed(),this.isTooLargeForTokenization())return!1;let e=0,i=0;const n=this._buffer.getLineCount();for(let s=1;s<=n;s++){const c=this._buffer.getLineLength(s);c>=Cbi?i+=c:e+=c}return i>e}get uri(){return this._associatedResource}getOptions(){return this._assertNotDisposed(),this._options}getFormattingOptions(){return{tabSize:this._options.indentSize,insertSpaces:this._options.insertSpaces}}updateOptions(e){this._assertNotDisposed();const i=typeof e.tabSize<"u"?e.tabSize:this._options.tabSize,n=typeof e.indentSize<"u"?e.indentSize:this._options.originalIndentSize,s=typeof e.insertSpaces<"u"?e.insertSpaces:this._options.insertSpaces,c=typeof e.trimAutoWhitespace<"u"?e.trimAutoWhitespace:this._options.trimAutoWhitespace,d=typeof e.bracketColorizationOptions<"u"?e.bracketColorizationOptions:this._options.bracketPairColorizationOptions,f=new a1e({tabSize:i,indentSize:n,insertSpaces:s,defaultEOL:this._options.defaultEOL,trimAutoWhitespace:c,bracketPairColorizationOptions:d});if(this._options.equals(f))return;const m=this._options.createChangeEvent(f);this._options=f,this._bracketPairs.handleDidChangeOptions(m),this._decorationProvider.handleDidChangeOptions(m),this._onDidChangeOptions.fire(m)}detectIndentation(e,i){this._assertNotDisposed();const n=wCt(this._buffer,i,e);this.updateOptions({insertSpaces:n.insertSpaces,tabSize:n.tabSize,indentSize:n.tabSize})}normalizeIndentation(e){return this._assertNotDisposed(),uJe(e,this._options.indentSize,this._options.insertSpaces)}getVersionId(){return this._assertNotDisposed(),this._versionId}mightContainRTL(){return this._buffer.mightContainRTL()}mightContainUnusualLineTerminators(){return this._buffer.mightContainUnusualLineTerminators()}removeUnusualLineTerminators(e=null){const i=this.findMatches(i2t.source,!1,!0,!1,null,!1,1073741824);this._buffer.resetMightContainUnusualLineTerminators(),this.pushEditOperations(e,i.map(n=>({range:n.range,text:null})),()=>null)}mightContainNonBasicASCII(){return this._buffer.mightContainNonBasicASCII()}getAlternativeVersionId(){return this._assertNotDisposed(),this._alternativeVersionId}getInitialUndoRedoSnapshot(){return this._assertNotDisposed(),this._initialUndoRedoSnapshot}getOffsetAt(e){this._assertNotDisposed();const i=this._validatePosition(e.lineNumber,e.column,0);return this._buffer.getOffsetAt(i.lineNumber,i.column)}getPositionAt(e){this._assertNotDisposed();const i=Math.min(this._buffer.getLength(),Math.max(0,e));return this._buffer.getPositionAt(i)}_increaseVersionId(){this._versionId=this._versionId+1,this._alternativeVersionId=this._versionId}_overwriteVersionId(e){this._versionId=e}_overwriteAlternativeVersionId(e){this._alternativeVersionId=e}_overwriteInitialUndoRedoSnapshot(e){this._initialUndoRedoSnapshot=e}getValue(e,i=!1){if(this._assertNotDisposed(),this.isTooLargeForHeapOperation())throw new tu("Operation would exceed heap memory limits");const n=this.getFullModelRange(),s=this.getValueInRange(n,e);return i?this._buffer.getBOM()+s:s}createSnapshot(e=!1){return new Sbi(this._buffer.createSnapshot(e))}getValueLength(e,i=!1){this._assertNotDisposed();const n=this.getFullModelRange(),s=this.getValueLengthInRange(n,e);return i?this._buffer.getBOM().length+s:s}getValueInRange(e,i=0){return this._assertNotDisposed(),this._buffer.getValueInRange(this.validateRange(e),i)}getValueLengthInRange(e,i=0){return this._assertNotDisposed(),this._buffer.getValueLengthInRange(this.validateRange(e),i)}getCharacterCountInRange(e,i=0){return this._assertNotDisposed(),this._buffer.getCharacterCountInRange(this.validateRange(e),i)}getLineCount(){return this._assertNotDisposed(),this._buffer.getLineCount()}getLineContent(e){if(this._assertNotDisposed(),e<1||e>this.getLineCount())throw new tu("Illegal value for lineNumber");return this._buffer.getLineContent(e)}getLineLength(e){if(this._assertNotDisposed(),e<1||e>this.getLineCount())throw new tu("Illegal value for lineNumber");return this._buffer.getLineLength(e)}getLinesContent(){if(this._assertNotDisposed(),this.isTooLargeForHeapOperation())throw new tu("Operation would exceed heap memory limits");return this._buffer.getLinesContent()}getEOL(){return this._assertNotDisposed(),this._buffer.getEOL()}getEndOfLineSequence(){return this._assertNotDisposed(),this._buffer.getEOL()===`
+`?0:1}getLineMinColumn(e){return this._assertNotDisposed(),1}getLineMaxColumn(e){if(this._assertNotDisposed(),e<1||e>this.getLineCount())throw new tu("Illegal value for lineNumber");return this._buffer.getLineLength(e)+1}getLineFirstNonWhitespaceColumn(e){if(this._assertNotDisposed(),e<1||e>this.getLineCount())throw new tu("Illegal value for lineNumber");return this._buffer.getLineFirstNonWhitespaceColumn(e)}getLineLastNonWhitespaceColumn(e){if(this._assertNotDisposed(),e<1||e>this.getLineCount())throw new tu("Illegal value for lineNumber");return this._buffer.getLineLastNonWhitespaceColumn(e)}_validateRangeRelaxedNoAllocations(e){const i=this._buffer.getLineCount(),n=e.startLineNumber,s=e.startColumn;let c=Math.floor(typeof n=="number"&&!isNaN(n)?n:1),d=Math.floor(typeof s=="number"&&!isNaN(s)?s:1);if(c<1)c=1,d=1;else if(c>i)c=i,d=this.getLineMaxColumn(c);else if(d<=1)d=1;else{const w=this.getLineMaxColumn(c);d>=w&&(d=w)}const f=e.endLineNumber,m=e.endColumn;let v=Math.floor(typeof f=="number"&&!isNaN(f)?f:1),x=Math.floor(typeof m=="number"&&!isNaN(m)?m:1);if(v<1)v=1,x=1;else if(v>i)v=i,x=this.getLineMaxColumn(v);else if(x<=1)x=1;else{const w=this.getLineMaxColumn(v);x>=w&&(x=w)}return n===c&&s===d&&f===v&&m===x&&e instanceof nt&&!(e instanceof Us)?e:new nt(c,d,v,x)}_isValidPosition(e,i,n){if(typeof e!="number"||typeof i!="number"||isNaN(e)||isNaN(i)||e<1||i<1||(e|0)!==e||(i|0)!==i)return!1;const s=this._buffer.getLineCount();if(e>s)return!1;if(i===1)return!0;const c=this.getLineMaxColumn(e);if(i>c)return!1;if(n===1){const d=this._buffer.getLineCharCode(e,i-2);if(Pm(d))return!1}return!0}_validatePosition(e,i,n){const s=Math.floor(typeof e=="number"&&!isNaN(e)?e:1),c=Math.floor(typeof i=="number"&&!isNaN(i)?i:1),d=this._buffer.getLineCount();if(s<1)return new pi(1,1);if(s>d)return new pi(d,this.getLineMaxColumn(d));if(c<=1)return new pi(s,1);const f=this.getLineMaxColumn(s);if(c>=f)return new pi(s,f);if(n===1){const m=this._buffer.getLineCharCode(s,c-2);if(Pm(m))return new pi(s,c-1)}return new pi(s,c)}validatePosition(e){return this._assertNotDisposed(),e instanceof pi&&this._isValidPosition(e.lineNumber,e.column,1)?e:this._validatePosition(e.lineNumber,e.column,1)}_isValidRange(e,i){const n=e.startLineNumber,s=e.startColumn,c=e.endLineNumber,d=e.endColumn;if(!this._isValidPosition(n,s,0)||!this._isValidPosition(c,d,0))return!1;if(i===1){const f=s>1?this._buffer.getLineCharCode(n,s-2):0,m=d>1&&d<=this._buffer.getLineLength(c)?this._buffer.getLineCharCode(c,d-2):0,v=Pm(f),x=Pm(m);return!v&&!x}return!0}validateRange(e){if(this._assertNotDisposed(),e instanceof nt&&!(e instanceof Us)&&this._isValidRange(e,1))return e;const n=this._validatePosition(e.startLineNumber,e.startColumn,0),s=this._validatePosition(e.endLineNumber,e.endColumn,0),c=n.lineNumber,d=n.column,f=s.lineNumber,m=s.column;{const v=d>1?this._buffer.getLineCharCode(c,d-2):0,x=m>1&&m<=this._buffer.getLineLength(f)?this._buffer.getLineCharCode(f,m-2):0,w=Pm(v),I=Pm(x);return!w&&!I?new nt(c,d,f,m):c===f&&d===m?new nt(c,d-1,f,m-1):w&&I?new nt(c,d-1,f,m+1):w?new nt(c,d-1,f,m):new nt(c,d,f,m+1)}}modifyPosition(e,i){this._assertNotDisposed();const n=this.getOffsetAt(e)+i;return this.getPositionAt(Math.min(this._buffer.getLength(),Math.max(0,n)))}getFullModelRange(){this._assertNotDisposed();const e=this.getLineCount();return new nt(1,1,e,this.getLineMaxColumn(e))}findMatchesLineByLine(e,i,n,s){return this._buffer.findMatchesLineByLine(e,i,n,s)}findMatches(e,i,n,s,c,d,f=bbi){this._assertNotDisposed();let m=null;i!==null&&(Array.isArray(i)||(i=[i]),i.every(w=>nt.isIRange(w))&&(m=i.map(w=>this.validateRange(w)))),m===null&&(m=[this.getFullModelRange()]),m=m.sort((w,I)=>w.startLineNumber-I.startLineNumber||w.startColumn-I.startColumn);const v=[];v.push(m.reduce((w,I)=>nt.areIntersecting(w,I)?w.plusRange(I):(v.push(w),I)));let x;if(!n&&e.indexOf(`
+`)<0){const I=new DF(e,n,s,c).parseSearchRequest();if(!I)return[];x=P=>this.findMatchesLineByLine(P,I,d,f)}else x=w=>Xge.findMatches(this,new DF(e,n,s,c),w,d,f);return v.map(x).reduce((w,I)=>w.concat(I),[])}findNextMatch(e,i,n,s,c,d){this._assertNotDisposed();const f=this.validatePosition(i);if(!n&&e.indexOf(`
+`)<0){const v=new DF(e,n,s,c).parseSearchRequest();if(!v)return null;const x=this.getLineCount();let w=new nt(f.lineNumber,f.column,x,this.getLineMaxColumn(x)),I=this.findMatchesLineByLine(w,v,d,1);return Xge.findNextMatch(this,new DF(e,n,s,c),f,d),I.length>0||(w=new nt(1,1,f.lineNumber,this.getLineMaxColumn(f.lineNumber)),I=this.findMatchesLineByLine(w,v,d,1),I.length>0)?I[0]:null}return Xge.findNextMatch(this,new DF(e,n,s,c),f,d)}findPreviousMatch(e,i,n,s,c,d){this._assertNotDisposed();const f=this.validatePosition(i);return Xge.findPreviousMatch(this,new DF(e,n,s,c),f,d)}pushStackElement(){this._commandManager.pushStackElement()}popStackElement(){this._commandManager.popStackElement()}pushEOL(e){if((this.getEOL()===`
+`?0:1)!==e)try{this._onDidChangeDecorations.beginDeferredEmit(),this._eventEmitter.beginDeferredEmit(),this._initialUndoRedoSnapshot===null&&(this._initialUndoRedoSnapshot=this._undoRedoService.createSnapshot(this.uri)),this._commandManager.pushEOL(e)}finally{this._eventEmitter.endDeferredEmit(),this._onDidChangeDecorations.endDeferredEmit()}}_validateEditOperation(e){return e instanceof xFe?e:new xFe(e.identifier||null,this.validateRange(e.range),e.text,e.forceMoveMarkers||!1,e.isAutoWhitespaceEdit||!1,e._isTracked||!1)}_validateEditOperations(e){const i=[];for(let n=0,s=e.length;n<s;n++)i[n]=this._validateEditOperation(e[n]);return i}pushEditOperations(e,i,n,s){try{return this._onDidChangeDecorations.beginDeferredEmit(),this._eventEmitter.beginDeferredEmit(),this._pushEditOperations(e,this._validateEditOperations(i),n,s)}finally{this._eventEmitter.endDeferredEmit(),this._onDidChangeDecorations.endDeferredEmit()}}_pushEditOperations(e,i,n,s){if(this._options.trimAutoWhitespace&&this._trimAutoWhitespaceLines){const c=i.map(f=>({range:this.validateRange(f.range),text:f.text}));let d=!0;if(e)for(let f=0,m=e.length;f<m;f++){const v=e[f];let x=!1;for(let w=0,I=c.length;w<I;w++){const P=c[w].range,O=P.startLineNumber>v.endLineNumber,z=v.startLineNumber>P.endLineNumber;if(!O&&!z){x=!0;break}}if(!x){d=!1;break}}if(d)for(let f=0,m=this._trimAutoWhitespaceLines.length;f<m;f++){const v=this._trimAutoWhitespaceLines[f],x=this.getLineMaxColumn(v);let w=!0;for(let I=0,P=c.length;I<P;I++){const O=c[I].range,z=c[I].text;if(!(v<O.startLineNumber||v>O.endLineNumber)&&!(v===O.startLineNumber&&O.startColumn===x&&O.isEmpty()&&z&&z.length>0&&z.charAt(0)===`
+`)&&!(v===O.startLineNumber&&O.startColumn===1&&O.isEmpty()&&z&&z.length>0&&z.charAt(z.length-1)===`
+`)){w=!1;break}}if(w){const I=new nt(v,1,v,x);i.push(new xFe(null,I,null,!1,!1,!1))}}this._trimAutoWhitespaceLines=null}return this._initialUndoRedoSnapshot===null&&(this._initialUndoRedoSnapshot=this._undoRedoService.createSnapshot(this.uri)),this._commandManager.pushEditOperation(e,i,n,s)}_applyUndo(e,i,n,s){const c=e.map(d=>{const f=this.getPositionAt(d.newPosition),m=this.getPositionAt(d.newEnd);return{range:new nt(f.lineNumber,f.column,m.lineNumber,m.column),text:d.oldText}});this._applyUndoRedoEdits(c,i,!0,!1,n,s)}_applyRedo(e,i,n,s){const c=e.map(d=>{const f=this.getPositionAt(d.oldPosition),m=this.getPositionAt(d.oldEnd);return{range:new nt(f.lineNumber,f.column,m.lineNumber,m.column),text:d.newText}});this._applyUndoRedoEdits(c,i,!1,!0,n,s)}_applyUndoRedoEdits(e,i,n,s,c,d){try{this._onDidChangeDecorations.beginDeferredEmit(),this._eventEmitter.beginDeferredEmit(),this._isUndoing=n,this._isRedoing=s,this.applyEdits(e,!1),this.setEOL(i),this._overwriteAlternativeVersionId(c)}finally{this._isUndoing=!1,this._isRedoing=!1,this._eventEmitter.endDeferredEmit(d),this._onDidChangeDecorations.endDeferredEmit()}}applyEdits(e,i=!1){try{this._onDidChangeDecorations.beginDeferredEmit(),this._eventEmitter.beginDeferredEmit();const n=this._validateEditOperations(e);return this._doApplyEdits(n,i)}finally{this._eventEmitter.endDeferredEmit(),this._onDidChangeDecorations.endDeferredEmit()}}_doApplyEdits(e,i){const n=this._buffer.getLineCount(),s=this._buffer.applyEdits(e,this._options.trimAutoWhitespace,i),c=this._buffer.getLineCount(),d=s.changes;if(this._trimAutoWhitespaceLines=s.trimAutoWhitespaceLineNumbers,d.length!==0){for(let v=0,x=d.length;v<x;v++){const w=d[v];this._decorationsTree.acceptReplace(w.rangeOffset,w.rangeLength,w.text.length,w.forceMoveMarkers)}const f=[];this._increaseVersionId();let m=n;for(let v=0,x=d.length;v<x;v++){const w=d[v],[I]=xR(w.text);this._onDidChangeDecorations.fire();const P=w.range.startLineNumber,O=w.range.endLineNumber,z=O-P,J=I,Y=Math.min(z,J),ae=J-z,me=c-m-ae+P,ye=me,Ce=me+J,Fe=this._decorationsTree.getInjectedTextInInterval(this,this.getOffsetAt(new pi(ye,1)),this.getOffsetAt(new pi(Ce,this.getLineMaxColumn(Ce))),0),rt=UE.fromDecorations(Fe),ct=new s6(rt);for(let Mt=Y;Mt>=0;Mt--){const Yt=P+Mt,Bi=me+Mt;ct.takeFromEndWhile($t=>$t.lineNumber>Bi);const $i=ct.takeFromEndWhile($t=>$t.lineNumber===Bi);f.push(new OCt(Yt,this.getLineContent(Bi),$i))}if(Y<z){const Mt=P+Y;f.push(new _bi(Mt+1,O))}if(Y<J){const Mt=new s6(rt),Yt=P+Y,Bi=J-Y,$i=c-m-Bi+Yt+1,$t=[],Hi=[];for(let An=0;An<Bi;An++){const qt=$i+An;Hi[An]=this.getLineContent(qt),Mt.takeWhile(ms=>ms.lineNumber<qt),$t[An]=Mt.takeWhile(ms=>ms.lineNumber===qt)}f.push(new pbi(Yt+1,P+J,Hi,$t))}m+=ae}this._emitContentChangedEvent(new Yq(f,this.getVersionId(),this._isUndoing,this._isRedoing),{changes:d,eol:this._buffer.getEOL(),isEolChange:!1,versionId:this.getVersionId(),isUndoing:this._isUndoing,isRedoing:this._isRedoing,isFlush:!1})}return s.reverseEdits===null?void 0:s.reverseEdits}undo(){return this._undoRedoService.undo(this.uri)}canUndo(){return this._undoRedoService.canUndo(this.uri)}redo(){return this._undoRedoService.redo(this.uri)}canRedo(){return this._undoRedoService.canRedo(this.uri)}handleBeforeFireDecorationsChangedEvent(e){if(e===null||e.size===0)return;const n=Array.from(e).map(s=>new OCt(s,this.getLineContent(s),this._getInjectedTextInLine(s)));this._onDidChangeInjectedText.fire(new _Et(n))}changeDecorations(e,i=0){this._assertNotDisposed();try{return this._onDidChangeDecorations.beginDeferredEmit(),this._changeDecorations(i,e)}finally{this._onDidChangeDecorations.endDeferredEmit()}}_changeDecorations(e,i){const n={addDecoration:(c,d)=>this._deltaDecorationsImpl(e,[],[{range:c,options:d}])[0],changeDecoration:(c,d)=>{this._changeDecorationImpl(c,d)},changeDecorationOptions:(c,d)=>{this._changeDecorationOptionsImpl(c,BCt(d))},removeDecoration:c=>{this._deltaDecorationsImpl(e,[c],[])},deltaDecorations:(c,d)=>c.length===0&&d.length===0?[]:this._deltaDecorationsImpl(e,c,d)};let s=null;try{s=i(n)}catch(c){Pa(c)}return n.addDecoration=Wte,n.changeDecoration=Wte,n.changeDecorationOptions=Wte,n.removeDecoration=Wte,n.deltaDecorations=Wte,s}deltaDecorations(e,i,n=0){if(this._assertNotDisposed(),e||(e=[]),e.length===0&&i.length===0)return[];try{return this._deltaDecorationCallCnt++,this._deltaDecorationCallCnt>1&&(console.warn("Invoking deltaDecorations recursively could lead to leaking decorations."),Pa(new Error("Invoking deltaDecorations recursively could lead to leaking decorations."))),this._onDidChangeDecorations.beginDeferredEmit(),this._deltaDecorationsImpl(n,e,i)}finally{this._onDidChangeDecorations.endDeferredEmit(),this._deltaDecorationCallCnt--}}_getTrackedRange(e){return this.getDecorationRange(e)}_setTrackedRange(e,i,n){const s=e?this._decorations[e]:null;if(!s)return i?this._deltaDecorationsImpl(0,[],[{range:i,options:FCt[n]}],!0)[0]:null;if(!i)return this._decorationsTree.delete(s),delete this._decorations[s.id],null;const c=this._validateRangeRelaxedNoAllocations(i),d=this._buffer.getOffsetAt(c.startLineNumber,c.startColumn),f=this._buffer.getOffsetAt(c.endLineNumber,c.endColumn);return this._decorationsTree.delete(s),s.reset(this.getVersionId(),d,f,c),s.setOptions(FCt[n]),this._decorationsTree.insert(s),s.id}removeAllDecorationsWithOwnerId(e){if(this._isDisposed)return;const i=this._decorationsTree.collectNodesFromOwner(e);for(let n=0,s=i.length;n<s;n++){const c=i[n];this._decorationsTree.delete(c),delete this._decorations[c.id]}}getDecorationOptions(e){const i=this._decorations[e];return i?i.options:null}getDecorationRange(e){const i=this._decorations[e];return i?this._decorationsTree.getNodeRange(this,i):null}getLineDecorations(e,i=0,n=!1){return e<1||e>this.getLineCount()?[]:this.getLinesDecorations(e,e,i,n)}getLinesDecorations(e,i,n=0,s=!1,c=!1){const d=this.getLineCount(),f=Math.min(d,Math.max(1,e)),m=Math.min(d,Math.max(1,i)),v=this.getLineMaxColumn(m),x=new nt(f,1,m,v),w=this._getDecorationsInRange(x,n,s,c);return aBe(w,this._decorationProvider.getDecorationsInRange(x,n,s)),w}getDecorationsInRange(e,i=0,n=!1,s=!1,c=!1){const d=this.validateRange(e),f=this._getDecorationsInRange(d,i,n,c);return aBe(f,this._decorationProvider.getDecorationsInRange(d,i,n,s)),f}getOverviewRulerDecorations(e=0,i=!1){return this._decorationsTree.getAll(this,e,i,!0,!1)}getInjectedTextDecorations(e=0){return this._decorationsTree.getAllInjectedText(this,e)}_getInjectedTextInLine(e){const i=this._buffer.getOffsetAt(e,1),n=i+this._buffer.getLineLength(e),s=this._decorationsTree.getInjectedTextInInterval(this,i,n,0);return UE.fromDecorations(s).filter(c=>c.lineNumber===e)}getAllDecorations(e=0,i=!1){let n=this._decorationsTree.getAll(this,e,i,!1,!1);return n=n.concat(this._decorationProvider.getAllDecorations(e,i)),n}getAllMarginDecorations(e=0){return this._decorationsTree.getAll(this,e,!1,!1,!0)}_getDecorationsInRange(e,i,n,s){const c=this._buffer.getOffsetAt(e.startLineNumber,e.startColumn),d=this._buffer.getOffsetAt(e.endLineNumber,e.endColumn);return this._decorationsTree.getAllInInterval(this,c,d,i,n,s)}getRangeAt(e,i){return this._buffer.getRangeAt(e,i-e)}_changeDecorationImpl(e,i){const n=this._decorations[e];if(!n)return;if(n.options.after){const f=this.getDecorationRange(e);this._onDidChangeDecorations.recordLineAffectedByInjectedText(f.endLineNumber)}if(n.options.before){const f=this.getDecorationRange(e);this._onDidChangeDecorations.recordLineAffectedByInjectedText(f.startLineNumber)}const s=this._validateRangeRelaxedNoAllocations(i),c=this._buffer.getOffsetAt(s.startLineNumber,s.startColumn),d=this._buffer.getOffsetAt(s.endLineNumber,s.endColumn);this._decorationsTree.delete(n),n.reset(this.getVersionId(),c,d,s),this._decorationsTree.insert(n),this._onDidChangeDecorations.checkAffectedAndFire(n.options),n.options.after&&this._onDidChangeDecorations.recordLineAffectedByInjectedText(s.endLineNumber),n.options.before&&this._onDidChangeDecorations.recordLineAffectedByInjectedText(s.startLineNumber)}_changeDecorationOptionsImpl(e,i){const n=this._decorations[e];if(!n)return;const s=!!(n.options.overviewRuler&&n.options.overviewRuler.color),c=!!(i.overviewRuler&&i.overviewRuler.color);if(this._onDidChangeDecorations.checkAffectedAndFire(n.options),this._onDidChangeDecorations.checkAffectedAndFire(i),n.options.after||i.after){const m=this._decorationsTree.getNodeRange(this,n);this._onDidChangeDecorations.recordLineAffectedByInjectedText(m.endLineNumber)}if(n.options.before||i.before){const m=this._decorationsTree.getNodeRange(this,n);this._onDidChangeDecorations.recordLineAffectedByInjectedText(m.startLineNumber)}const d=s!==c,f=xbi(i)!==y1e(n);d||f?(this._decorationsTree.delete(n),n.setOptions(i),this._decorationsTree.insert(n)):n.setOptions(i)}_deltaDecorationsImpl(e,i,n,s=!1){const c=this.getVersionId(),d=i.length;let f=0;const m=n.length;let v=0;this._onDidChangeDecorations.beginDeferredEmit();try{const x=new Array(m);for(;f<d||v<m;){let w=null;if(f<d){do w=this._decorations[i[f++]];while(!w&&f<d);if(w){if(w.options.after){const I=this._decorationsTree.getNodeRange(this,w);this._onDidChangeDecorations.recordLineAffectedByInjectedText(I.endLineNumber)}if(w.options.before){const I=this._decorationsTree.getNodeRange(this,w);this._onDidChangeDecorations.recordLineAffectedByInjectedText(I.startLineNumber)}this._decorationsTree.delete(w),s||this._onDidChangeDecorations.checkAffectedAndFire(w.options)}}if(v<m){if(!w){const Y=++this._lastDecorationId,ae=`${this._instanceId};${Y}`;w=new aEt(ae,0,0),this._decorations[ae]=w}const I=n[v],P=this._validateRangeRelaxedNoAllocations(I.range),O=BCt(I.options),z=this._buffer.getOffsetAt(P.startLineNumber,P.startColumn),J=this._buffer.getOffsetAt(P.endLineNumber,P.endColumn);w.ownerId=e,w.reset(c,z,J,P),w.setOptions(O),w.options.after&&this._onDidChangeDecorations.recordLineAffectedByInjectedText(P.endLineNumber),w.options.before&&this._onDidChangeDecorations.recordLineAffectedByInjectedText(P.startLineNumber),s||this._onDidChangeDecorations.checkAffectedAndFire(O),this._decorationsTree.insert(w),x[v]=w.id,v++}else w&&delete this._decorations[w.id]}return x}finally{this._onDidChangeDecorations.endDeferredEmit()}}getLanguageId(){return this.tokenization.getLanguageId()}setLanguage(e,i){typeof e=="string"?(this._languageSelectionListener.clear(),this._setLanguage(e,i)):(this._languageSelectionListener.value=e.onDidChange(()=>this._setLanguage(e.languageId,i)),this._setLanguage(e.languageId,i))}_setLanguage(e,i){this.tokenization.setLanguageId(e,i),this._languageService.requestRichLanguageFeatures(e)}getLanguageIdAtPosition(e,i){return this.tokenization.getLanguageIdAtPosition(e,i)}getWordAtPosition(e){return this._tokenizationTextModelPart.getWordAtPosition(e)}getWordUntilPosition(e){return this._tokenizationTextModelPart.getWordUntilPosition(e)}normalizePosition(e,i){return e}getLineIndentColumn(e){return wbi(this.getLineContent(e))+1}},EF=kE,kE._MODEL_SYNC_LIMIT=50*1024*1024,kE.LARGE_FILE_SIZE_THRESHOLD=20*1024*1024,kE.LARGE_FILE_LINE_COUNT_THRESHOLD=300*1e3,kE.LARGE_FILE_HEAP_OPERATION_THRESHOLD=256*1024*1024,kE.DEFAULT_CREATION_OPTIONS={isForSimpleWidget:!1,tabSize:p1.tabSize,indentSize:p1.indentSize,insertSpaces:p1.insertSpaces,detectIndentation:!1,defaultEOL:1,trimAutoWhitespace:p1.trimAutoWhitespace,largeFileOptimizations:p1.largeFileOptimizations,bracketPairColorizationOptions:p1.bracketPairColorizationOptions},kE);LE=EF=gbi([p0e(4,T$e),p0e(5,Pf),p0e(6,rh),p0e(7,ho)],LE);function wbi(l){let e=0;for(const i of l)if(i===" "||i===" ")e++;else break;return e}function ZFe(l){return!!(l.options.overviewRuler&&l.options.overviewRuler.color)}function xbi(l){return!!l.after||!!l.before}function y1e(l){return!!l.options.after||!!l.options.before}class RCt{constructor(){this._decorationsTree0=new GFe,this._decorationsTree1=new GFe,this._injectedTextDecorationsTree=new GFe}ensureAllNodesHaveRanges(e){this.getAll(e,0,!1,!1,!1)}_ensureNodesHaveRanges(e,i){for(const n of i)n.range===null&&(n.range=e.getRangeAt(n.cachedAbsoluteStart,n.cachedAbsoluteEnd));return i}getAllInInterval(e,i,n,s,c,d){const f=e.getVersionId(),m=this._intervalSearch(i,n,s,c,f,d);return this._ensureNodesHaveRanges(e,m)}_intervalSearch(e,i,n,s,c,d){const f=this._decorationsTree0.intervalSearch(e,i,n,s,c,d),m=this._decorationsTree1.intervalSearch(e,i,n,s,c,d),v=this._injectedTextDecorationsTree.intervalSearch(e,i,n,s,c,d);return f.concat(m).concat(v)}getInjectedTextInInterval(e,i,n,s){const c=e.getVersionId(),d=this._injectedTextDecorationsTree.intervalSearch(i,n,s,!1,c,!1);return this._ensureNodesHaveRanges(e,d).filter(f=>f.options.showIfCollapsed||!f.range.isEmpty())}getAllInjectedText(e,i){const n=e.getVersionId(),s=this._injectedTextDecorationsTree.search(i,!1,n,!1);return this._ensureNodesHaveRanges(e,s).filter(c=>c.options.showIfCollapsed||!c.range.isEmpty())}getAll(e,i,n,s,c){const d=e.getVersionId(),f=this._search(i,n,s,d,c);return this._ensureNodesHaveRanges(e,f)}_search(e,i,n,s,c){if(n)return this._decorationsTree1.search(e,i,s,c);{const d=this._decorationsTree0.search(e,i,s,c),f=this._decorationsTree1.search(e,i,s,c),m=this._injectedTextDecorationsTree.search(e,i,s,c);return d.concat(f).concat(m)}}collectNodesFromOwner(e){const i=this._decorationsTree0.collectNodesFromOwner(e),n=this._decorationsTree1.collectNodesFromOwner(e),s=this._injectedTextDecorationsTree.collectNodesFromOwner(e);return i.concat(n).concat(s)}collectNodesPostOrder(){const e=this._decorationsTree0.collectNodesPostOrder(),i=this._decorationsTree1.collectNodesPostOrder(),n=this._injectedTextDecorationsTree.collectNodesPostOrder();return e.concat(i).concat(n)}insert(e){y1e(e)?this._injectedTextDecorationsTree.insert(e):ZFe(e)?this._decorationsTree1.insert(e):this._decorationsTree0.insert(e)}delete(e){y1e(e)?this._injectedTextDecorationsTree.delete(e):ZFe(e)?this._decorationsTree1.delete(e):this._decorationsTree0.delete(e)}getNodeRange(e,i){const n=e.getVersionId();return i.cachedVersionId!==n&&this._resolveNode(i,n),i.range===null&&(i.range=e.getRangeAt(i.cachedAbsoluteStart,i.cachedAbsoluteEnd)),i.range}_resolveNode(e,i){y1e(e)?this._injectedTextDecorationsTree.resolveNode(e,i):ZFe(e)?this._decorationsTree1.resolveNode(e,i):this._decorationsTree0.resolveNode(e,i)}acceptReplace(e,i,n,s){this._decorationsTree0.acceptReplace(e,i,n,s),this._decorationsTree1.acceptReplace(e,i,n,s),this._injectedTextDecorationsTree.acceptReplace(e,i,n,s)}}function JN(l){return l.replace(/[^a-z0-9\-_]/gi," ")}class pEt{constructor(e){this.color=e.color||"",this.darkColor=e.darkColor||""}}class kbi extends pEt{constructor(e){super(e),this._resolvedColor=null,this.position=typeof e.position=="number"?e.position:ww.Center}getColor(e){return this._resolvedColor||(e.type!=="light"&&this.darkColor?this._resolvedColor=this._resolveColor(this.darkColor,e):this._resolvedColor=this._resolveColor(this.color,e)),this._resolvedColor}invalidateCachedColor(){this._resolvedColor=null}_resolveColor(e,i){if(typeof e=="string")return e;const n=e?i.getColor(e.id):null;return n?n.toString():""}}class Tbi{constructor(e){this.position=(e==null?void 0:e.position)??CT.Center,this.persistLane=e==null?void 0:e.persistLane}}class Dbi extends pEt{constructor(e){super(e),this.position=e.position,this.sectionHeaderStyle=e.sectionHeaderStyle??null,this.sectionHeaderText=e.sectionHeaderText??null}getColor(e){return this._resolvedColor||(e.type!=="light"&&this.darkColor?this._resolvedColor=this._resolveColor(this.darkColor,e):this._resolvedColor=this._resolveColor(this.color,e)),this._resolvedColor}invalidateCachedColor(){this._resolvedColor=void 0}_resolveColor(e,i){return typeof e=="string"?In.fromHex(e):i.getColor(e.id)}}class ER{static from(e){return e instanceof ER?e:new ER(e)}constructor(e){this.content=e.content||"",this.inlineClassName=e.inlineClassName||null,this.inlineClassNameAffectsLetterSpacing=e.inlineClassNameAffectsLetterSpacing||!1,this.attachedData=e.attachedData||null,this.cursorStops=e.cursorStops||null}}class qa{static register(e){return new qa(e)}static createDynamic(e){return new qa(e)}constructor(e){this.description=e.description,this.blockClassName=e.blockClassName?JN(e.blockClassName):null,this.blockDoesNotCollapse=e.blockDoesNotCollapse??null,this.blockIsAfterEnd=e.blockIsAfterEnd??null,this.blockPadding=e.blockPadding??null,this.stickiness=e.stickiness||0,this.zIndex=e.zIndex||0,this.className=e.className?JN(e.className):null,this.shouldFillLineOnLineBreak=e.shouldFillLineOnLineBreak??null,this.hoverMessage=e.hoverMessage||null,this.glyphMarginHoverMessage=e.glyphMarginHoverMessage||null,this.lineNumberHoverMessage=e.lineNumberHoverMessage||null,this.isWholeLine=e.isWholeLine||!1,this.showIfCollapsed=e.showIfCollapsed||!1,this.collapseOnReplaceEdit=e.collapseOnReplaceEdit||!1,this.overviewRuler=e.overviewRuler?new kbi(e.overviewRuler):null,this.minimap=e.minimap?new Dbi(e.minimap):null,this.glyphMargin=e.glyphMarginClassName?new Tbi(e.glyphMargin):null,this.glyphMarginClassName=e.glyphMarginClassName?JN(e.glyphMarginClassName):null,this.linesDecorationsClassName=e.linesDecorationsClassName?JN(e.linesDecorationsClassName):null,this.lineNumberClassName=e.lineNumberClassName?JN(e.lineNumberClassName):null,this.linesDecorationsTooltip=e.linesDecorationsTooltip?Uli(e.linesDecorationsTooltip):null,this.firstLineDecorationClassName=e.firstLineDecorationClassName?JN(e.firstLineDecorationClassName):null,this.marginClassName=e.marginClassName?JN(e.marginClassName):null,this.inlineClassName=e.inlineClassName?JN(e.inlineClassName):null,this.inlineClassNameAffectsLetterSpacing=e.inlineClassNameAffectsLetterSpacing||!1,this.beforeContentClassName=e.beforeContentClassName?JN(e.beforeContentClassName):null,this.afterContentClassName=e.afterContentClassName?JN(e.afterContentClassName):null,this.after=e.after?ER.from(e.after):null,this.before=e.before?ER.from(e.before):null,this.hideInCommentTokens=e.hideInCommentTokens??!1,this.hideInStringTokens=e.hideInStringTokens??!1}}qa.EMPTY=qa.register({description:"empty"});const FCt=[qa.register({description:"tracked-range-always-grows-when-typing-at-edges",stickiness:0}),qa.register({description:"tracked-range-never-grows-when-typing-at-edges",stickiness:1}),qa.register({description:"tracked-range-grows-only-when-typing-before",stickiness:2}),qa.register({description:"tracked-range-grows-only-when-typing-after",stickiness:3})];function BCt(l){return l instanceof qa?l:qa.createDynamic(l)}class Ebi extends xi{constructor(e){super(),this.handleBeforeFire=e,this._actual=this._register(new gi),this.event=this._actual.event,this._affectedInjectedTextLines=null,this._deferredCnt=0,this._shouldFireDeferred=!1,this._affectsMinimap=!1,this._affectsOverviewRuler=!1,this._affectsGlyphMargin=!1,this._affectsLineNumber=!1}beginDeferredEmit(){this._deferredCnt++}endDeferredEmit(){var e;this._deferredCnt--,this._deferredCnt===0&&(this._shouldFireDeferred&&this.doFire(),(e=this._affectedInjectedTextLines)==null||e.clear(),this._affectedInjectedTextLines=null)}recordLineAffectedByInjectedText(e){this._affectedInjectedTextLines||(this._affectedInjectedTextLines=new Set),this._affectedInjectedTextLines.add(e)}checkAffectedAndFire(e){var i,n;this._affectsMinimap||(this._affectsMinimap=!!((i=e.minimap)!=null&&i.position)),this._affectsOverviewRuler||(this._affectsOverviewRuler=!!((n=e.overviewRuler)!=null&&n.color)),this._affectsGlyphMargin||(this._affectsGlyphMargin=!!e.glyphMarginClassName),this._affectsLineNumber||(this._affectsLineNumber=!!e.lineNumberClassName),this.tryFire()}fire(){this._affectsMinimap=!0,this._affectsOverviewRuler=!0,this._affectsGlyphMargin=!0,this.tryFire()}tryFire(){this._deferredCnt===0?this.doFire():this._shouldFireDeferred=!0}doFire(){this.handleBeforeFire(this._affectedInjectedTextLines);const e={affectsMinimap:this._affectsMinimap,affectsOverviewRuler:this._affectsOverviewRuler,affectsGlyphMargin:this._affectsGlyphMargin,affectsLineNumber:this._affectsLineNumber};this._shouldFireDeferred=!1,this._affectsMinimap=!1,this._affectsOverviewRuler=!1,this._affectsGlyphMargin=!1,this._actual.fire(e)}}class Ibi extends xi{constructor(){super(),this._fastEmitter=this._register(new gi),this.fastEvent=this._fastEmitter.event,this._slowEmitter=this._register(new gi),this.slowEvent=this._slowEmitter.event,this._deferredCnt=0,this._deferredEvent=null}beginDeferredEmit(){this._deferredCnt++}endDeferredEmit(e=null){if(this._deferredCnt--,this._deferredCnt===0&&this._deferredEvent!==null){this._deferredEvent.rawContentChangedEvent.resultingSelection=e;const i=this._deferredEvent;this._deferredEvent=null,this._fastEmitter.fire(i),this._slowEmitter.fire(i)}}fire(e){if(this._deferredCnt>0){this._deferredEvent?this._deferredEvent=this._deferredEvent.merge(e):this._deferredEvent=e;return}this._fastEmitter.fire(e),this._slowEmitter.fire(e)}}var Nbi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},g0e=function(l,e){return function(i,n){e(i,n,l)}},UU;function gF(l){return l.toString()}let Lbi=class{constructor(e,i,n){this.model=e,this._modelEventListeners=new wn,this.model=e,this._modelEventListeners.add(e.onWillDispose(()=>i(e))),this._modelEventListeners.add(e.onDidChangeLanguage(s=>n(e,s)))}dispose(){this._modelEventListeners.dispose()}};const Pbi=Cv||Hc?1:2;class Abi{constructor(e,i,n,s,c,d,f,m){this.uri=e,this.initialUndoRedoSnapshot=i,this.time=n,this.sharesUndoRedoStack=s,this.heapSize=c,this.sha1=d,this.versionId=f,this.alternativeVersionId=m}}var T9;let lHe=(T9=class extends xi{constructor(e,i,n,s){super(),this._configurationService=e,this._resourcePropertiesService=i,this._undoRedoService=n,this._instantiationService=s,this._onModelAdded=this._register(new gi),this.onModelAdded=this._onModelAdded.event,this._onModelRemoved=this._register(new gi),this.onModelRemoved=this._onModelRemoved.event,this._onModelModeChanged=this._register(new gi),this.onModelLanguageChanged=this._onModelModeChanged.event,this._modelCreationOptionsByLanguageAndResource=Object.create(null),this._models={},this._disposedModels=new Map,this._disposedModelsHeapSize=0,this._register(this._configurationService.onDidChangeConfiguration(c=>this._updateModelOptions(c))),this._updateModelOptions(void 0)}static _readModelOptions(e,i){var I;let n=p1.tabSize;if(e.editor&&typeof e.editor.tabSize<"u"){const P=parseInt(e.editor.tabSize,10);isNaN(P)||(n=P),n<1&&(n=1)}let s="tabSize";if(e.editor&&typeof e.editor.indentSize<"u"&&e.editor.indentSize!=="tabSize"){const P=parseInt(e.editor.indentSize,10);isNaN(P)||(s=Math.max(P,1))}let c=p1.insertSpaces;e.editor&&typeof e.editor.insertSpaces<"u"&&(c=e.editor.insertSpaces==="false"?!1:!!e.editor.insertSpaces);let d=Pbi;const f=e.eol;f===`\r
+`?d=2:f===`
+`&&(d=1);let m=p1.trimAutoWhitespace;e.editor&&typeof e.editor.trimAutoWhitespace<"u"&&(m=e.editor.trimAutoWhitespace==="false"?!1:!!e.editor.trimAutoWhitespace);let v=p1.detectIndentation;e.editor&&typeof e.editor.detectIndentation<"u"&&(v=e.editor.detectIndentation==="false"?!1:!!e.editor.detectIndentation);let x=p1.largeFileOptimizations;e.editor&&typeof e.editor.largeFileOptimizations<"u"&&(x=e.editor.largeFileOptimizations==="false"?!1:!!e.editor.largeFileOptimizations);let w=p1.bracketPairColorizationOptions;return(I=e.editor)!=null&&I.bracketPairColorization&&typeof e.editor.bracketPairColorization=="object"&&(w={enabled:!!e.editor.bracketPairColorization.enabled,independentColorPoolPerBracketType:!!e.editor.bracketPairColorization.independentColorPoolPerBracketType}),{isForSimpleWidget:i,tabSize:n,indentSize:s,insertSpaces:c,detectIndentation:v,defaultEOL:d,trimAutoWhitespace:m,largeFileOptimizations:x,bracketPairColorizationOptions:w}}_getEOL(e,i){if(e)return this._resourcePropertiesService.getEOL(e,i);const n=this._configurationService.getValue("files.eol",{overrideIdentifier:i});return n&&typeof n=="string"&&n!=="auto"?n:UC===3||UC===2?`
+`:`\r
+`}_shouldRestoreUndoStack(){const e=this._configurationService.getValue("files.restoreUndoStack");return typeof e=="boolean"?e:!0}getCreationOptions(e,i,n){const s=typeof e=="string"?e:e.languageId;let c=this._modelCreationOptionsByLanguageAndResource[s+i];if(!c){const d=this._configurationService.getValue("editor",{overrideIdentifier:s,resource:i}),f=this._getEOL(i,s);c=UU._readModelOptions({editor:d,eol:f},n),this._modelCreationOptionsByLanguageAndResource[s+i]=c}return c}_updateModelOptions(e){const i=this._modelCreationOptionsByLanguageAndResource;this._modelCreationOptionsByLanguageAndResource=Object.create(null);const n=Object.keys(this._models);for(let s=0,c=n.length;s<c;s++){const d=n[s],f=this._models[d],m=f.model.getLanguageId(),v=f.model.uri;if(e&&!e.affectsConfiguration("editor",{overrideIdentifier:m,resource:v})&&!e.affectsConfiguration("files.eol",{overrideIdentifier:m,resource:v}))continue;const x=i[m+v],w=this.getCreationOptions(m,v,f.model.isForSimpleWidget);UU._setModelOptionsForModel(f.model,w,x)}}static _setModelOptionsForModel(e,i,n){n&&n.defaultEOL!==i.defaultEOL&&e.getLineCount()===1&&e.setEOL(i.defaultEOL===1?0:1),!(n&&n.detectIndentation===i.detectIndentation&&n.insertSpaces===i.insertSpaces&&n.tabSize===i.tabSize&&n.indentSize===i.indentSize&&n.trimAutoWhitespace===i.trimAutoWhitespace&&qC(n.bracketPairColorizationOptions,i.bracketPairColorizationOptions))&&(i.detectIndentation?(e.detectIndentation(i.insertSpaces,i.tabSize),e.updateOptions({trimAutoWhitespace:i.trimAutoWhitespace,bracketColorizationOptions:i.bracketPairColorizationOptions})):e.updateOptions({insertSpaces:i.insertSpaces,tabSize:i.tabSize,indentSize:i.indentSize,trimAutoWhitespace:i.trimAutoWhitespace,bracketColorizationOptions:i.bracketPairColorizationOptions}))}_insertDisposedModel(e){this._disposedModels.set(gF(e.uri),e),this._disposedModelsHeapSize+=e.heapSize}_removeDisposedModel(e){const i=this._disposedModels.get(gF(e));return i&&(this._disposedModelsHeapSize-=i.heapSize),this._disposedModels.delete(gF(e)),i}_ensureDisposedModelsHeapSize(e){if(this._disposedModelsHeapSize>e){const i=[];for(this._disposedModels.forEach(n=>{n.sharesUndoRedoStack||i.push(n)}),i.sort((n,s)=>n.time-s.time);i.length>0&&this._disposedModelsHeapSize>e;){const n=i.shift();this._removeDisposedModel(n.uri),n.initialUndoRedoSnapshot!==null&&this._undoRedoService.restoreSnapshot(n.initialUndoRedoSnapshot)}}}_createModelData(e,i,n,s){const c=this.getCreationOptions(i,n,s),d=this._instantiationService.createInstance(LE,e,i,c,n);if(n&&this._disposedModels.has(gF(n))){const v=this._removeDisposedModel(n),x=this._undoRedoService.getElements(n),w=this._getSHA1Computer(),I=w.canComputeSHA1(d)?w.computeSHA1(d)===v.sha1:!1;if(I||v.sharesUndoRedoStack){for(const P of x.past)N4(P)&&P.matchesResource(n)&&P.setModel(d);for(const P of x.future)N4(P)&&P.matchesResource(n)&&P.setModel(d);this._undoRedoService.setElementsValidFlag(n,!0,P=>N4(P)&&P.matchesResource(n)),I&&(d._overwriteVersionId(v.versionId),d._overwriteAlternativeVersionId(v.alternativeVersionId),d._overwriteInitialUndoRedoSnapshot(v.initialUndoRedoSnapshot))}else v.initialUndoRedoSnapshot!==null&&this._undoRedoService.restoreSnapshot(v.initialUndoRedoSnapshot)}const f=gF(d.uri);if(this._models[f])throw new Error("ModelService: Cannot add model because it already exists!");const m=new Lbi(d,v=>this._onWillDispose(v),(v,x)=>this._onDidChangeLanguage(v,x));return this._models[f]=m,m}createModel(e,i,n,s=!1){let c;return i?c=this._createModelData(e,i,n,s):c=this._createModelData(e,bv,n,s),this._onModelAdded.fire(c.model),c.model}getModels(){const e=[],i=Object.keys(this._models);for(let n=0,s=i.length;n<s;n++){const c=i[n];e.push(this._models[c].model)}return e}getModel(e){const i=gF(e),n=this._models[i];return n?n.model:null}_schemaShouldMaintainUndoRedoElements(e){return e.scheme===Ma.file||e.scheme===Ma.vscodeRemote||e.scheme===Ma.vscodeUserData||e.scheme===Ma.vscodeNotebookCell||e.scheme==="fake-fs"}_onWillDispose(e){const i=gF(e.uri),n=this._models[i],s=this._undoRedoService.getUriComparisonKey(e.uri)!==e.uri.toString();let c=!1,d=0;if(s||this._shouldRestoreUndoStack()&&this._schemaShouldMaintainUndoRedoElements(e.uri)){const v=this._undoRedoService.getElements(e.uri);if(v.past.length>0||v.future.length>0){for(const x of v.past)N4(x)&&x.matchesResource(e.uri)&&(c=!0,d+=x.heapSize(e.uri),x.setModel(e.uri));for(const x of v.future)N4(x)&&x.matchesResource(e.uri)&&(c=!0,d+=x.heapSize(e.uri),x.setModel(e.uri))}}const f=UU.MAX_MEMORY_FOR_CLOSED_FILES_UNDO_STACK,m=this._getSHA1Computer();if(c)if(!s&&(d>f||!m.canComputeSHA1(e))){const v=n.model.getInitialUndoRedoSnapshot();v!==null&&this._undoRedoService.restoreSnapshot(v)}else this._ensureDisposedModelsHeapSize(f-d),this._undoRedoService.setElementsValidFlag(e.uri,!1,v=>N4(v)&&v.matchesResource(e.uri)),this._insertDisposedModel(new Abi(e.uri,n.model.getInitialUndoRedoSnapshot(),Date.now(),s,d,m.computeSHA1(e),e.getVersionId(),e.getAlternativeVersionId()));else if(!s){const v=n.model.getInitialUndoRedoSnapshot();v!==null&&this._undoRedoService.restoreSnapshot(v)}delete this._models[i],n.dispose(),delete this._modelCreationOptionsByLanguageAndResource[e.getLanguageId()+e.uri],this._onModelRemoved.fire(e)}_onDidChangeLanguage(e,i){const n=i.oldLanguage,s=e.getLanguageId(),c=this.getCreationOptions(n,e.uri,e.isForSimpleWidget),d=this.getCreationOptions(s,e.uri,e.isForSimpleWidget);UU._setModelOptionsForModel(e,d,c),this._onModelModeChanged.fire({model:e,oldLanguageId:n})}_getSHA1Computer(){return new uHe}},UU=T9,T9.MAX_MEMORY_FOR_CLOSED_FILES_UNDO_STACK=20*1024*1024,T9);lHe=UU=Nbi([g0e(0,Cc),g0e(1,uTt),g0e(2,T$e),g0e(3,ho)],lHe);const lCe=class lCe{canComputeSHA1(e){return e.getValueLength()<=lCe.MAX_MODEL_SIZE}computeSHA1(e){const i=new kWe,n=e.createSnapshot();let s;for(;s=n.read();)i.update(s);return i.digest()}};lCe.MAX_MODEL_SIZE=10*1024*1024;let uHe=lCe;var dHe;(function(l){l[l.PRESERVE=0]="PRESERVE",l[l.LAST=1]="LAST"})(dHe||(dHe={}));const nW={Quickaccess:"workbench.contributions.quickaccess"};class Obi{constructor(){this.providers=[],this.defaultProvider=void 0}registerQuickAccessProvider(e){return e.prefix.length===0?this.defaultProvider=e:this.providers.push(e),this.providers.sort((i,n)=>n.prefix.length-i.prefix.length),fo(()=>{this.providers.splice(this.providers.indexOf(e),1),this.defaultProvider===e&&(this.defaultProvider=void 0)})}getQuickAccessProviders(){return yT([this.defaultProvider,...this.providers])}getQuickAccessProvider(e){return e&&this.providers.find(n=>e.startsWith(n.prefix))||void 0||this.defaultProvider}}nh.add(nW.Quickaccess,new Obi);const Mbi={ctrlCmd:!1,alt:!1};var H$;(function(l){l[l.Blur=1]="Blur",l[l.Gesture=2]="Gesture",l[l.Other=3]="Other"})(H$||(H$={}));var vE;(function(l){l[l.NONE=0]="NONE",l[l.FIRST=1]="FIRST",l[l.SECOND=2]="SECOND",l[l.LAST=3]="LAST"})(vE||(vE={}));var Yd;(function(l){l[l.First=1]="First",l[l.Second=2]="Second",l[l.Last=3]="Last",l[l.Next=4]="Next",l[l.Previous=5]="Previous",l[l.NextPage=6]="NextPage",l[l.PreviousPage=7]="PreviousPage",l[l.NextSeparator=8]="NextSeparator",l[l.PreviousSeparator=9]="PreviousSeparator"})(Yd||(Yd={}));var Qye;(function(l){l[l.Title=1]="Title",l[l.Inline=2]="Inline"})(Qye||(Qye={}));const Ek=jc("quickInputService");var Rbi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},WCt=function(l,e){return function(i,n){e(i,n,l)}};let fHe=class extends xi{constructor(e,i){super(),this.quickInputService=e,this.instantiationService=i,this.registry=nh.as(nW.Quickaccess),this.mapProviderToDescriptor=new Map,this.lastAcceptedPickerValues=new Map,this.visibleQuickAccess=void 0}show(e="",i){this.doShowOrPick(e,!1,i)}doShowOrPick(e,i,n){var O,z;const[s,c]=this.getOrInstantiateProvider(e,n==null?void 0:n.enabledProviderPrefixes),d=this.visibleQuickAccess,f=d==null?void 0:d.descriptor;if(d&&c&&f===c){e!==c.prefix&&!(n!=null&&n.preserveValue)&&(d.picker.value=e),this.adjustValueSelection(d.picker,c,n);return}if(c&&!(n!=null&&n.preserveValue)){let J;if(d&&f&&f!==c){const Y=d.value.substr(f.prefix.length);Y&&(J=`${c.prefix}${Y}`)}if(!J){const Y=s==null?void 0:s.defaultFilterValue;Y===dHe.LAST?J=this.lastAcceptedPickerValues.get(c):typeof Y=="string"&&(J=`${c.prefix}${Y}`)}typeof J=="string"&&(e=J)}const m=(O=d==null?void 0:d.picker)==null?void 0:O.valueSelection,v=(z=d==null?void 0:d.picker)==null?void 0:z.value,x=new wn,w=x.add(this.quickInputService.createQuickPick({useSeparators:!0}));w.value=e,this.adjustValueSelection(w,c,n),w.placeholder=(n==null?void 0:n.placeholder)??(c==null?void 0:c.placeholder),w.quickNavigate=n==null?void 0:n.quickNavigateConfiguration,w.hideInput=!!w.quickNavigate&&!d,(typeof(n==null?void 0:n.itemActivation)=="number"||n!=null&&n.quickNavigateConfiguration)&&(w.itemActivation=(n==null?void 0:n.itemActivation)??vE.SECOND),w.contextKey=c==null?void 0:c.contextKey,w.filterValue=J=>J.substring(c?c.prefix.length:0);let I;i&&(I=new hJ,x.add(Tr.once(w.onWillAccept)(J=>{J.veto(),w.hide()}))),x.add(this.registerPickerListeners(w,s,c,e,n));const P=x.add(new ih);if(s&&x.add(s.provide(w,P.token,n==null?void 0:n.providerOptions)),Tr.once(w.onDidHide)(()=>{w.selectedItems.length===0&&P.cancel(),x.dispose(),I==null||I.complete(w.selectedItems.slice(0))}),w.show(),m&&v===e&&(w.valueSelection=m),i)return I==null?void 0:I.p}adjustValueSelection(e,i,n){let s;n!=null&&n.preserveValue?s=[e.value.length,e.value.length]:s=[(i==null?void 0:i.prefix.length)??0,e.value.length],e.valueSelection=s}registerPickerListeners(e,i,n,s,c){const d=new wn,f=this.visibleQuickAccess={picker:e,descriptor:n,value:s};return d.add(fo(()=>{f===this.visibleQuickAccess&&(this.visibleQuickAccess=void 0)})),d.add(e.onDidChangeValue(m=>{const[v]=this.getOrInstantiateProvider(m,c==null?void 0:c.enabledProviderPrefixes);v!==i?this.show(m,{enabledProviderPrefixes:c==null?void 0:c.enabledProviderPrefixes,preserveValue:!0,providerOptions:c==null?void 0:c.providerOptions}):f.value=m})),n&&d.add(e.onDidAccept(()=>{this.lastAcceptedPickerValues.set(n,e.value)})),d}getOrInstantiateProvider(e,i){const n=this.registry.getQuickAccessProvider(e);if(!n||i&&!(i!=null&&i.includes(n.prefix)))return[void 0,void 0];let s=this.mapProviderToDescriptor.get(n);return s||(s=this.instantiationService.createInstance(n.ctor),this.mapProviderToDescriptor.set(n,s)),[s,n]}};fHe=Rbi([WCt(0,Ek),WCt(1,ho)],fHe);class TJ extends Aw{constructor(e){super(),this._onChange=this._register(new gi),this.onChange=this._onChange.event,this._onKeyDown=this._register(new gi),this.onKeyDown=this._onKeyDown.event,this._opts=e,this._checked=this._opts.isChecked;const i=["monaco-custom-toggle"];this._opts.icon&&(this._icon=this._opts.icon,i.push(...zo.asClassNameArray(this._icon))),this._opts.actionClassName&&i.push(...this._opts.actionClassName.split(" ")),this._checked&&i.push("checked"),this.domNode=document.createElement("div"),this._hover=this._register(QE().setupManagedHover(e.hoverDelegate??Dv("mouse"),this.domNode,this._opts.title)),this.domNode.classList.add(...i),this._opts.notFocusable||(this.domNode.tabIndex=0),this.domNode.setAttribute("role","checkbox"),this.domNode.setAttribute("aria-checked",String(this._checked)),this.domNode.setAttribute("aria-label",this._opts.title),this.applyStyles(),this.onclick(this.domNode,n=>{this.enabled&&(this.checked=!this._checked,this._onChange.fire(!1),n.preventDefault())}),this._register(this.ignoreGesture(this.domNode)),this.onkeydown(this.domNode,n=>{if(n.keyCode===10||n.keyCode===3){this.checked=!this._checked,this._onChange.fire(!0),n.preventDefault(),n.stopPropagation();return}this._onKeyDown.fire(n)})}get enabled(){return this.domNode.getAttribute("aria-disabled")!=="true"}focus(){this.domNode.focus()}get checked(){return this._checked}set checked(e){this._checked=e,this.domNode.setAttribute("aria-checked",String(this._checked)),this.domNode.classList.toggle("checked",this._checked),this.applyStyles()}width(){return 22}applyStyles(){this.domNode&&(this.domNode.style.borderColor=this._checked&&this._opts.inputActiveOptionBorder||"",this.domNode.style.color=this._checked&&this._opts.inputActiveOptionForeground||"inherit",this.domNode.style.backgroundColor=this._checked&&this._opts.inputActiveOptionBackground||"")}enable(){this.domNode.setAttribute("aria-disabled",String(!1))}disable(){this.domNode.setAttribute("aria-disabled",String(!0))}}var Fbi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c};class mEt{constructor(e){this.nodes=e}toString(){return this.nodes.map(e=>typeof e=="string"?e:e.label).join("")}}Fbi([x_],mEt.prototype,"toString",null);const Bbi=/\[([^\]]+)\]\(((?:https?:\/\/|command:|file:)[^\)\s]+)(?: (["'])(.+?)(\3))?\)/gi;function Wbi(l){const e=[];let i=0,n;for(;n=Bbi.exec(l);){n.index-i>0&&e.push(l.substring(i,n.index));const[,s,c,,d]=n;d?e.push({label:s,href:c,title:d}):e.push({label:s,href:c}),i=n.index+n[0].length}return i<l.length&&e.push(l.substring(i)),new mEt(e)}const YFe={},Vbi=new X$e("quick-input-button-icon-");function Hbi(l){if(!l)return;let e;const i=l.dark.toString();return YFe[i]?e=YFe[i]:(e=Vbi.nextId(),lye(`.${e}, .hc-light .${e}`,`background-image: ${l6(l.light||l.dark)}`),lye(`.vs-dark .${e}, .hc-black .${e}`,`background-image: ${l6(l.dark)}`),YFe[i]=e),e}function Fie(l,e,i){let n=l.iconClass||Hbi(l.iconPath);return l.alwaysVisible&&(n=n?`${n} always-visible`:"always-visible"),{id:e,label:"",tooltip:l.tooltip||"",class:n,enabled:!0,run:i}}function jbi(l,e,i){o0(e);const n=Wbi(l);let s=0;for(const c of n.nodes)if(typeof c=="string")e.append(...JM(c));else{let d=c.title;!d&&c.href.startsWith("command:")?d=W("executeCommand","Click to execute command '{0}'",c.href.substring(8)):d||(d=c.href);const f=Cr("a",{href:c.href,title:d,tabIndex:s++},c.label);f.style.textDecoration="underline";const m=P=>{ddi(P)&&il.stop(P,!0),i.callback(c.href)},v=i.disposables.add(new Xc(f,br.CLICK)).event,x=i.disposables.add(new Xc(f,br.KEY_DOWN)).event,w=Tr.chain(x,P=>P.filter(O=>{const z=new Id(O);return z.equals(10)||z.equals(3)}));i.disposables.add(y1.addTarget(f));const I=i.disposables.add(new Xc(f,Ud.Tap)).event;Tr.any(v,I,w)(m,null,i.disposables),e.appendChild(f)}}var zbi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},VCt=function(l,e){return function(i,n){e(i,n,l)}};const gEt="inQuickInput",Ubi=new rs(gEt,!1,W("inQuickInput","Whether keyboard focus is inside the quick input control")),qbi=kn.has(gEt),yEt="quickInputType",$bi=new rs(yEt,void 0,W("quickInputType","The type of the currently visible quick input")),vEt="cursorAtEndOfQuickInputBox",Jbi=new rs(vEt,!1,W("cursorAtEndOfQuickInputBox","Whether the cursor in the quick input is at the end of the input box")),Gbi=kn.has(vEt),hHe={iconClass:zo.asClassName(pr.quickInputBack),tooltip:W("quickInput.back","Back"),handle:-1},uCe=class uCe extends xi{constructor(e){super(),this.ui=e,this._widgetUpdated=!1,this.visible=!1,this._enabled=!0,this._busy=!1,this._ignoreFocusOut=!1,this._leftButtons=[],this._rightButtons=[],this._inlineButtons=[],this.buttonsUpdated=!1,this._toggles=[],this.togglesUpdated=!1,this.noValidationMessage=uCe.noPromptMessage,this._severity=S_.Ignore,this.onDidTriggerButtonEmitter=this._register(new gi),this.onDidHideEmitter=this._register(new gi),this.onWillHideEmitter=this._register(new gi),this.onDisposeEmitter=this._register(new gi),this.visibleDisposables=this._register(new wn),this.onDidHide=this.onDidHideEmitter.event}get title(){return this._title}set title(e){this._title=e,this.update()}get description(){return this._description}set description(e){this._description=e,this.update()}get step(){return this._steps}set step(e){this._steps=e,this.update()}get totalSteps(){return this._totalSteps}set totalSteps(e){this._totalSteps=e,this.update()}get enabled(){return this._enabled}set enabled(e){this._enabled=e,this.update()}get contextKey(){return this._contextKey}set contextKey(e){this._contextKey=e,this.update()}get busy(){return this._busy}set busy(e){this._busy=e,this.update()}get ignoreFocusOut(){return this._ignoreFocusOut}set ignoreFocusOut(e){const i=this._ignoreFocusOut!==e&&!VE;this._ignoreFocusOut=e&&!VE,i&&this.update()}get titleButtons(){return this._leftButtons.length?[...this._leftButtons,this._rightButtons]:this._rightButtons}get buttons(){return[...this._leftButtons,...this._rightButtons,...this._inlineButtons]}set buttons(e){this._leftButtons=e.filter(i=>i===hHe),this._rightButtons=e.filter(i=>i!==hHe&&i.location!==Qye.Inline),this._inlineButtons=e.filter(i=>i.location===Qye.Inline),this.buttonsUpdated=!0,this.update()}get toggles(){return this._toggles}set toggles(e){this._toggles=e??[],this.togglesUpdated=!0,this.update()}get validationMessage(){return this._validationMessage}set validationMessage(e){this._validationMessage=e,this.update()}get severity(){return this._severity}set severity(e){this._severity=e,this.update()}show(){this.visible||(this.visibleDisposables.add(this.ui.onDidTriggerButton(e=>{this.buttons.indexOf(e)!==-1&&this.onDidTriggerButtonEmitter.fire(e)})),this.ui.show(this),this.visible=!0,this._lastValidationMessage=void 0,this._lastSeverity=void 0,this.buttons.length&&(this.buttonsUpdated=!0),this.toggles.length&&(this.togglesUpdated=!0),this.update())}hide(){this.visible&&this.ui.hide()}didHide(e=H$.Other){this.visible=!1,this.visibleDisposables.clear(),this.onDidHideEmitter.fire({reason:e})}willHide(e=H$.Other){this.onWillHideEmitter.fire({reason:e})}update(){var s;if(!this.visible)return;const e=this.getTitle();e&&this.ui.title.textContent!==e?this.ui.title.textContent=e:!e&&this.ui.title.innerHTML!=="&nbsp;"&&(this.ui.title.innerText=" ");const i=this.getDescription();if(this.ui.description1.textContent!==i&&(this.ui.description1.textContent=i),this.ui.description2.textContent!==i&&(this.ui.description2.textContent=i),this._widgetUpdated&&(this._widgetUpdated=!1,this._widget?o0(this.ui.widget,this._widget):o0(this.ui.widget)),this.busy&&!this.busyDelay&&(this.busyDelay=new TT,this.busyDelay.setIfNotSet(()=>{this.visible&&this.ui.progressBar.infinite()},800)),!this.busy&&this.busyDelay&&(this.ui.progressBar.stop(),this.busyDelay.cancel(),this.busyDelay=void 0),this.buttonsUpdated){this.buttonsUpdated=!1,this.ui.leftActionBar.clear();const c=this._leftButtons.map((m,v)=>Fie(m,`id-${v}`,async()=>this.onDidTriggerButtonEmitter.fire(m)));this.ui.leftActionBar.push(c,{icon:!0,label:!1}),this.ui.rightActionBar.clear();const d=this._rightButtons.map((m,v)=>Fie(m,`id-${v}`,async()=>this.onDidTriggerButtonEmitter.fire(m)));this.ui.rightActionBar.push(d,{icon:!0,label:!1}),this.ui.inlineActionBar.clear();const f=this._inlineButtons.map((m,v)=>Fie(m,`id-${v}`,async()=>this.onDidTriggerButtonEmitter.fire(m)));this.ui.inlineActionBar.push(f,{icon:!0,label:!1})}if(this.togglesUpdated){this.togglesUpdated=!1;const c=((s=this.toggles)==null?void 0:s.filter(d=>d instanceof TJ))??[];this.ui.inputBox.toggles=c}this.ui.ignoreFocusOut=this.ignoreFocusOut,this.ui.setEnabled(this.enabled),this.ui.setContextKey(this.contextKey);const n=this.validationMessage||this.noValidationMessage;this._lastValidationMessage!==n&&(this._lastValidationMessage=n,o0(this.ui.message),jbi(n,this.ui.message,{callback:c=>{this.ui.linkOpenerDelegate(c)},disposables:this.visibleDisposables})),this._lastSeverity!==this.severity&&(this._lastSeverity=this.severity,this.showMessageDecoration(this.severity))}getTitle(){return this.title&&this.step?`${this.title} (${this.getSteps()})`:this.title?this.title:this.step?this.getSteps():""}getDescription(){return this.description||""}getSteps(){return this.step&&this.totalSteps?W("quickInput.steps","{0}/{1}",this.step,this.totalSteps):this.step?String(this.step):""}showMessageDecoration(e){if(this.ui.inputBox.showDecoration(e),e!==S_.Ignore){const i=this.ui.inputBox.stylesForType(e);this.ui.message.style.color=i.foreground?`${i.foreground}`:"",this.ui.message.style.backgroundColor=i.background?`${i.background}`:"",this.ui.message.style.border=i.border?`1px solid ${i.border}`:"",this.ui.message.style.marginBottom="-2px"}else this.ui.message.style.color="",this.ui.message.style.backgroundColor="",this.ui.message.style.border="",this.ui.message.style.marginBottom=""}dispose(){this.hide(),this.onDisposeEmitter.fire(),super.dispose()}};uCe.noPromptMessage=W("inputModeEntry","Press 'Enter' to confirm your input or 'Escape' to cancel");let Zye=uCe;const dCe=class dCe extends Zye{constructor(){super(...arguments),this._value="",this.onDidChangeValueEmitter=this._register(new gi),this.onWillAcceptEmitter=this._register(new gi),this.onDidAcceptEmitter=this._register(new gi),this.onDidCustomEmitter=this._register(new gi),this._items=[],this.itemsUpdated=!1,this._canSelectMany=!1,this._canAcceptInBackground=!1,this._matchOnDescription=!1,this._matchOnDetail=!1,this._matchOnLabel=!0,this._matchOnLabelMode="fuzzy",this._sortByLabel=!0,this._keepScrollPosition=!1,this._itemActivation=vE.FIRST,this._activeItems=[],this.activeItemsUpdated=!1,this.activeItemsToConfirm=[],this.onDidChangeActiveEmitter=this._register(new gi),this._selectedItems=[],this.selectedItemsUpdated=!1,this.selectedItemsToConfirm=[],this.onDidChangeSelectionEmitter=this._register(new gi),this.onDidTriggerItemButtonEmitter=this._register(new gi),this.onDidTriggerSeparatorButtonEmitter=this._register(new gi),this.valueSelectionUpdated=!0,this._ok="default",this._customButton=!1,this._focusEventBufferer=new lse,this.type="quickPick",this.filterValue=e=>e,this.onDidChangeValue=this.onDidChangeValueEmitter.event,this.onWillAccept=this.onWillAcceptEmitter.event,this.onDidAccept=this.onDidAcceptEmitter.event,this.onDidChangeActive=this.onDidChangeActiveEmitter.event,this.onDidChangeSelection=this.onDidChangeSelectionEmitter.event,this.onDidTriggerItemButton=this.onDidTriggerItemButtonEmitter.event,this.onDidTriggerSeparatorButton=this.onDidTriggerSeparatorButtonEmitter.event}get quickNavigate(){return this._quickNavigate}set quickNavigate(e){this._quickNavigate=e,this.update()}get value(){return this._value}set value(e){this.doSetValue(e)}doSetValue(e,i){this._value!==e&&(this._value=e,i||this.update(),this.visible&&this.ui.list.filter(this.filterValue(this._value))&&this.trySelectFirst(),this.onDidChangeValueEmitter.fire(this._value))}set ariaLabel(e){this._ariaLabel=e,this.update()}get ariaLabel(){return this._ariaLabel}get placeholder(){return this._placeholder}set placeholder(e){this._placeholder=e,this.update()}get items(){return this._items}get scrollTop(){return this.ui.list.scrollTop}set scrollTop(e){this.ui.list.scrollTop=e}set items(e){this._items=e,this.itemsUpdated=!0,this.update()}get canSelectMany(){return this._canSelectMany}set canSelectMany(e){this._canSelectMany=e,this.update()}get canAcceptInBackground(){return this._canAcceptInBackground}set canAcceptInBackground(e){this._canAcceptInBackground=e}get matchOnDescription(){return this._matchOnDescription}set matchOnDescription(e){this._matchOnDescription=e,this.update()}get matchOnDetail(){return this._matchOnDetail}set matchOnDetail(e){this._matchOnDetail=e,this.update()}get matchOnLabel(){return this._matchOnLabel}set matchOnLabel(e){this._matchOnLabel=e,this.update()}get matchOnLabelMode(){return this._matchOnLabelMode}set matchOnLabelMode(e){this._matchOnLabelMode=e,this.update()}get sortByLabel(){return this._sortByLabel}set sortByLabel(e){this._sortByLabel=e,this.update()}get keepScrollPosition(){return this._keepScrollPosition}set keepScrollPosition(e){this._keepScrollPosition=e}get itemActivation(){return this._itemActivation}set itemActivation(e){this._itemActivation=e}get activeItems(){return this._activeItems}set activeItems(e){this._activeItems=e,this.activeItemsUpdated=!0,this.update()}get selectedItems(){return this._selectedItems}set selectedItems(e){this._selectedItems=e,this.selectedItemsUpdated=!0,this.update()}get keyMods(){return this._quickNavigate?Mbi:this.ui.keyMods}get valueSelection(){const e=this.ui.inputBox.getSelection();if(e)return[e.start,e.end]}set valueSelection(e){this._valueSelection=e,this.valueSelectionUpdated=!0,this.update()}get customButton(){return this._customButton}set customButton(e){this._customButton=e,this.update()}get customLabel(){return this._customButtonLabel}set customLabel(e){this._customButtonLabel=e,this.update()}get customHover(){return this._customButtonHover}set customHover(e){this._customButtonHover=e,this.update()}get ok(){return this._ok}set ok(e){this._ok=e,this.update()}get hideInput(){return!!this._hideInput}set hideInput(e){this._hideInput=e,this.update()}trySelectFirst(){this.canSelectMany||this.ui.list.focus(Yd.First)}show(){this.visible||(this.visibleDisposables.add(this.ui.inputBox.onDidChange(e=>{this.doSetValue(e,!0)})),this.visibleDisposables.add(this.ui.onDidAccept(()=>{this.canSelectMany?this.ui.list.getCheckedElements().length||(this._selectedItems=[],this.onDidChangeSelectionEmitter.fire(this.selectedItems)):this.activeItems[0]&&(this._selectedItems=[this.activeItems[0]],this.onDidChangeSelectionEmitter.fire(this.selectedItems)),this.handleAccept(!1)})),this.visibleDisposables.add(this.ui.onDidCustom(()=>{this.onDidCustomEmitter.fire()})),this.visibleDisposables.add(this._focusEventBufferer.wrapEvent(this.ui.list.onDidChangeFocus,(e,i)=>i)(e=>{this.activeItemsUpdated||this.activeItemsToConfirm!==this._activeItems&&Lf(e,this._activeItems,(i,n)=>i===n)||(this._activeItems=e,this.onDidChangeActiveEmitter.fire(e))})),this.visibleDisposables.add(this.ui.list.onDidChangeSelection(({items:e,event:i})=>{if(this.canSelectMany){e.length&&this.ui.list.setSelectedElements([]);return}this.selectedItemsToConfirm!==this._selectedItems&&Lf(e,this._selectedItems,(n,s)=>n===s)||(this._selectedItems=e,this.onDidChangeSelectionEmitter.fire(e),e.length&&this.handleAccept(d$e(i)&&i.button===1))})),this.visibleDisposables.add(this.ui.list.onChangedCheckedElements(e=>{!this.canSelectMany||!this.visible||this.selectedItemsToConfirm!==this._selectedItems&&Lf(e,this._selectedItems,(i,n)=>i===n)||(this._selectedItems=e,this.onDidChangeSelectionEmitter.fire(e))})),this.visibleDisposables.add(this.ui.list.onButtonTriggered(e=>this.onDidTriggerItemButtonEmitter.fire(e))),this.visibleDisposables.add(this.ui.list.onSeparatorButtonTriggered(e=>this.onDidTriggerSeparatorButtonEmitter.fire(e))),this.visibleDisposables.add(this.registerQuickNavigation()),this.valueSelectionUpdated=!0),super.show()}handleAccept(e){let i=!1;this.onWillAcceptEmitter.fire({veto:()=>i=!0}),i||this.onDidAcceptEmitter.fire({inBackground:e})}registerQuickNavigation(){return en(this.ui.container,br.KEY_UP,e=>{if(this.canSelectMany||!this._quickNavigate)return;const i=new Id(e),n=i.keyCode;this._quickNavigate.keybindings.some(d=>{const f=d.getChords();return f.length>1?!1:f[0].shiftKey&&n===4?!(i.ctrlKey||i.altKey||i.metaKey):!!(f[0].altKey&&n===6||f[0].ctrlKey&&n===5||f[0].metaKey&&n===57)})&&(this.activeItems[0]&&(this._selectedItems=[this.activeItems[0]],this.onDidChangeSelectionEmitter.fire(this.selectedItems),this.handleAccept(!1)),this._quickNavigate=void 0)})}update(){if(!this.visible)return;const e=this.keepScrollPosition?this.scrollTop:0,i=!!this.description,n={title:!!this.title||!!this.step||!!this.titleButtons.length,description:i,checkAll:this.canSelectMany&&!this._hideCheckAll,checkBox:this.canSelectMany,inputBox:!this._hideInput,progressBar:!this._hideInput||i,visibleCount:!0,count:this.canSelectMany&&!this._hideCountBadge,ok:this.ok==="default"?this.canSelectMany:this.ok,list:!0,message:!!this.validationMessage,customButton:this.customButton};this.ui.setVisibilities(n),super.update(),this.ui.inputBox.value!==this.value&&(this.ui.inputBox.value=this.value),this.valueSelectionUpdated&&(this.valueSelectionUpdated=!1,this.ui.inputBox.select(this._valueSelection&&{start:this._valueSelection[0],end:this._valueSelection[1]})),this.ui.inputBox.placeholder!==(this.placeholder||"")&&(this.ui.inputBox.placeholder=this.placeholder||"");let s=this.ariaLabel;!s&&n.inputBox&&(s=this.placeholder||dCe.DEFAULT_ARIA_LABEL,this.title&&(s+=` - ${this.title}`)),this.ui.list.ariaLabel!==s&&(this.ui.list.ariaLabel=s??null),this.ui.list.matchOnDescription=this.matchOnDescription,this.ui.list.matchOnDetail=this.matchOnDetail,this.ui.list.matchOnLabel=this.matchOnLabel,this.ui.list.matchOnLabelMode=this.matchOnLabelMode,this.ui.list.sortByLabel=this.sortByLabel,this.itemsUpdated&&(this.itemsUpdated=!1,this._focusEventBufferer.bufferEvents(()=>{switch(this.ui.list.setElements(this.items),this.ui.list.shouldLoop=!this.canSelectMany,this.ui.list.filter(this.filterValue(this.ui.inputBox.value)),this._itemActivation){case vE.NONE:this._itemActivation=vE.FIRST;break;case vE.SECOND:this.ui.list.focus(Yd.Second),this._itemActivation=vE.FIRST;break;case vE.LAST:this.ui.list.focus(Yd.Last),this._itemActivation=vE.FIRST;break;default:this.trySelectFirst();break}})),this.ui.container.classList.contains("show-checkboxes")!==!!this.canSelectMany&&(this.canSelectMany?this.ui.list.clearFocus():this.trySelectFirst()),this.activeItemsUpdated&&(this.activeItemsUpdated=!1,this.activeItemsToConfirm=this._activeItems,this.ui.list.setFocusedElements(this.activeItems),this.activeItemsToConfirm===this._activeItems&&(this.activeItemsToConfirm=null)),this.selectedItemsUpdated&&(this.selectedItemsUpdated=!1,this.selectedItemsToConfirm=this._selectedItems,this.canSelectMany?this.ui.list.setCheckedElements(this.selectedItems):this.ui.list.setSelectedElements(this.selectedItems),this.selectedItemsToConfirm===this._selectedItems&&(this.selectedItemsToConfirm=null)),this.ui.customButton.label=this.customLabel||"",this.ui.customButton.element.title=this.customHover||"",n.inputBox||(this.ui.list.domFocus(),this.canSelectMany&&this.ui.list.focus(Yd.First)),this.keepScrollPosition&&(this.scrollTop=e)}focus(e){this.ui.list.focus(e),this.canSelectMany&&this.ui.list.domFocus()}accept(e){e&&!this._canAcceptInBackground||this.activeItems[0]&&(this._selectedItems=[this.activeItems[0]],this.onDidChangeSelectionEmitter.fire(this.selectedItems),this.handleAccept(e??!1))}};dCe.DEFAULT_ARIA_LABEL=W("quickInputBox.ariaLabel","Type to narrow down results.");let Yye=dCe,Kbi=class extends Zye{constructor(){super(...arguments),this._value="",this.valueSelectionUpdated=!0,this._password=!1,this.onDidValueChangeEmitter=this._register(new gi),this.onDidAcceptEmitter=this._register(new gi),this.type="inputBox",this.onDidChangeValue=this.onDidValueChangeEmitter.event,this.onDidAccept=this.onDidAcceptEmitter.event}get value(){return this._value}set value(e){this._value=e||"",this.update()}get placeholder(){return this._placeholder}set placeholder(e){this._placeholder=e,this.update()}get password(){return this._password}set password(e){this._password=e,this.update()}show(){this.visible||(this.visibleDisposables.add(this.ui.inputBox.onDidChange(e=>{e!==this.value&&(this._value=e,this.onDidValueChangeEmitter.fire(e))})),this.visibleDisposables.add(this.ui.onDidAccept(()=>this.onDidAcceptEmitter.fire())),this.valueSelectionUpdated=!0),super.show()}update(){if(!this.visible)return;this.ui.container.classList.remove("hidden-input");const e={title:!!this.title||!!this.step||!!this.titleButtons.length,description:!!this.description||!!this.step,inputBox:!0,message:!0,progressBar:!0};this.ui.setVisibilities(e),super.update(),this.ui.inputBox.value!==this.value&&(this.ui.inputBox.value=this.value),this.valueSelectionUpdated&&(this.valueSelectionUpdated=!1,this.ui.inputBox.select(this._valueSelection&&{start:this._valueSelection[0],end:this._valueSelection[1]})),this.ui.inputBox.placeholder!==(this.placeholder||"")&&(this.ui.inputBox.placeholder=this.placeholder||""),this.ui.inputBox.password!==this.password&&(this.ui.inputBox.password=this.password)}},_He=class extends P${constructor(e,i){super("element",!1,n=>this.getOverrideOptions(n),e,i)}getOverrideOptions(e){const i=(Mm(e.content)?e.content.textContent??"":typeof e.content=="string"?e.content:e.content.value).includes(`
+`);return{persistence:{hideOnKeyDown:!1},appearance:{showHoverHint:i,skipFadeInAnimation:!0}}}};_He=zbi([VCt(0,Cc),VCt(1,jL)],_He);In.white.toString(),In.white.toString();class eve extends xi{get onDidClick(){return this._onDidClick.event}constructor(e,i){super(),this._label="",this._onDidClick=this._register(new gi),this._onDidEscape=this._register(new gi),this.options=i,this._element=document.createElement("a"),this._element.classList.add("monaco-button"),this._element.tabIndex=0,this._element.setAttribute("role","button"),this._element.classList.toggle("secondary",!!i.secondary);const n=i.secondary?i.buttonSecondaryBackground:i.buttonBackground,s=i.secondary?i.buttonSecondaryForeground:i.buttonForeground;this._element.style.color=s||"",this._element.style.backgroundColor=n||"",i.supportShortLabel&&(this._labelShortElement=document.createElement("div"),this._labelShortElement.classList.add("monaco-button-label-short"),this._element.appendChild(this._labelShortElement),this._labelElement=document.createElement("div"),this._labelElement.classList.add("monaco-button-label"),this._element.appendChild(this._labelElement),this._element.classList.add("monaco-text-button-with-short-label")),typeof i.title=="string"&&this.setTitle(i.title),typeof i.ariaLabel=="string"&&this._element.setAttribute("aria-label",i.ariaLabel),e.appendChild(this._element),this._register(y1.addTarget(this._element)),[br.CLICK,Ud.Tap].forEach(c=>{this._register(en(this._element,c,d=>{if(!this.enabled){il.stop(d);return}this._onDidClick.fire(d)}))}),this._register(en(this._element,br.KEY_DOWN,c=>{const d=new Id(c);let f=!1;this.enabled&&(d.equals(3)||d.equals(10))?(this._onDidClick.fire(c),f=!0):d.equals(9)&&(this._onDidEscape.fire(c),this._element.blur(),f=!0),f&&il.stop(d,!0)})),this._register(en(this._element,br.MOUSE_OVER,c=>{this._element.classList.contains("disabled")||this.updateBackground(!0)})),this._register(en(this._element,br.MOUSE_OUT,c=>{this.updateBackground(!1)})),this.focusTracker=this._register(zE(this._element)),this._register(this.focusTracker.onDidFocus(()=>{this.enabled&&this.updateBackground(!0)})),this._register(this.focusTracker.onDidBlur(()=>{this.enabled&&this.updateBackground(!1)}))}dispose(){super.dispose(),this._element.remove()}getContentElements(e){const i=[];for(let n of JM(e))if(typeof n=="string"){if(n=n.trim(),n==="")continue;const s=document.createElement("span");s.textContent=n,i.push(s)}else i.push(n);return i}updateBackground(e){let i;this.options.secondary?i=e?this.options.buttonSecondaryHoverBackground:this.options.buttonSecondaryBackground:i=e?this.options.buttonHoverBackground:this.options.buttonBackground,i&&(this._element.style.backgroundColor=i)}get element(){return this._element}set label(e){var s;if(this._label===e||WE(this._label)&&WE(e)&&Igi(this._label,e))return;this._element.classList.add("monaco-text-button");const i=this.options.supportShortLabel?this._labelElement:this._element;if(WE(e)){const c=dwe(e,{inline:!0});c.dispose();const d=(s=c.element.querySelector("p"))==null?void 0:s.innerHTML;if(d){const f=m2t(d,{ADD_TAGS:["b","i","u","code","span"],ALLOWED_ATTR:["class"],RETURN_TRUSTED_TYPE:!0});i.innerHTML=f}else o0(i)}else this.options.supportIcons?o0(i,...this.getContentElements(e)):i.textContent=e;let n="";typeof this.options.title=="string"?n=this.options.title:this.options.title&&(n=Vgi(e)),this.setTitle(n),typeof this.options.ariaLabel=="string"?this._element.setAttribute("aria-label",this.options.ariaLabel):this.options.ariaLabel&&this._element.setAttribute("aria-label",n),this._label=e}get label(){return this._label}set icon(e){this._element.classList.add(...zo.asClassNameArray(e))}set enabled(e){e?(this._element.classList.remove("disabled"),this._element.setAttribute("aria-disabled",String(!1)),this._element.tabIndex=0):(this._element.classList.add("disabled"),this._element.setAttribute("aria-disabled",String(!0)))}get enabled(){return!this._element.classList.contains("disabled")}setTitle(e){!this._hover&&e!==""?this._hover=this._register(QE().setupManagedHover(this.options.hoverDelegate??Dv("mouse"),this._element,e)):this._hover&&this._hover.update(e)}}class pHe{constructor(e,i,n){this.options=i,this.styles=n,this.count=0,this.element=jn(e,Cr(".monaco-count-badge")),this.countFormat=this.options.countFormat||"{0}",this.titleFormat=this.options.titleFormat||"",this.setCount(this.options.count||0)}setCount(e){this.count=e,this.render()}setTitleFormat(e){this.titleFormat=e,this.render()}render(){this.element.textContent=SB(this.countFormat,this.count),this.element.title=SB(this.titleFormat,this.count),this.element.style.backgroundColor=this.styles.badgeBackground??"",this.element.style.color=this.styles.badgeForeground??"",this.styles.badgeBorder&&(this.element.style.border=`1px solid ${this.styles.badgeBorder}`)}}const HCt="done",jCt="active",e9e="infinite",t9e="infinite-long-running",zCt="discrete",fCe=class fCe extends xi{constructor(e,i){super(),this.progressSignal=this._register(new Fm),this.workedVal=0,this.showDelayedScheduler=this._register(new qu(()=>HC(this.element),0)),this.longRunningScheduler=this._register(new qu(()=>this.infiniteLongRunning(),fCe.LONG_RUNNING_INFINITE_THRESHOLD)),this.create(e,i)}create(e,i){this.element=document.createElement("div"),this.element.classList.add("monaco-progress-container"),this.element.setAttribute("role","progressbar"),this.element.setAttribute("aria-valuemin","0"),e.appendChild(this.element),this.bit=document.createElement("div"),this.bit.classList.add("progress-bit"),this.bit.style.backgroundColor=(i==null?void 0:i.progressBarBackground)||"#0E70C0",this.element.appendChild(this.bit)}off(){this.bit.style.width="inherit",this.bit.style.opacity="1",this.element.classList.remove(jCt,e9e,t9e,zCt),this.workedVal=0,this.totalWork=void 0,this.longRunningScheduler.cancel(),this.progressSignal.clear()}stop(){return this.doDone(!1)}doDone(e){return this.element.classList.add(HCt),this.element.classList.contains(e9e)?(this.bit.style.opacity="0",e?setTimeout(()=>this.off(),200):this.off()):(this.bit.style.width="inherit",e?setTimeout(()=>this.off(),200):this.off()),this}infinite(){return this.bit.style.width="2%",this.bit.style.opacity="1",this.element.classList.remove(zCt,HCt,t9e),this.element.classList.add(jCt,e9e),this.longRunningScheduler.schedule(),this}infiniteLongRunning(){this.element.classList.add(t9e)}getContainer(){return this.element}};fCe.LONG_RUNNING_INFINITE_THRESHOLD=1e4;let mHe=fCe;const Xbi=W("caseDescription","Match Case"),Qbi=W("wordsDescription","Match Whole Word"),Zbi=W("regexDescription","Use Regular Expression");class bEt extends TJ{constructor(e){super({icon:pr.caseSensitive,title:Xbi+e.appendTitle,isChecked:e.isChecked,hoverDelegate:e.hoverDelegate??Dv("element"),inputActiveOptionBorder:e.inputActiveOptionBorder,inputActiveOptionForeground:e.inputActiveOptionForeground,inputActiveOptionBackground:e.inputActiveOptionBackground})}}class CEt extends TJ{constructor(e){super({icon:pr.wholeWord,title:Qbi+e.appendTitle,isChecked:e.isChecked,hoverDelegate:e.hoverDelegate??Dv("element"),inputActiveOptionBorder:e.inputActiveOptionBorder,inputActiveOptionForeground:e.inputActiveOptionForeground,inputActiveOptionBackground:e.inputActiveOptionBackground})}}class SEt extends TJ{constructor(e){super({icon:pr.regex,title:Zbi+e.appendTitle,isChecked:e.isChecked,hoverDelegate:e.hoverDelegate??Dv("element"),inputActiveOptionBorder:e.inputActiveOptionBorder,inputActiveOptionForeground:e.inputActiveOptionForeground,inputActiveOptionBackground:e.inputActiveOptionBackground})}}class Ybi{constructor(e,i=0,n=e.length,s=i-1){this.items=e,this.start=i,this.end=n,this.index=s}current(){return this.index===this.start-1||this.index===this.end?null:this.items[this.index]}next(){return this.index=Math.min(this.index+1,this.end),this.current()}previous(){return this.index=Math.max(this.index-1,this.start-1),this.current()}first(){return this.index=this.start,this.current()}last(){return this.index=this.end-1,this.current()}}class eCi{constructor(e=[],i=10){this._initialize(e),this._limit=i,this._onChange()}getHistory(){return this._elements}add(e){this._history.delete(e),this._history.add(e),this._onChange()}next(){return this._navigator.next()}previous(){return this._currentPosition()!==0?this._navigator.previous():null}current(){return this._navigator.current()}first(){return this._navigator.first()}last(){return this._navigator.last()}isLast(){return this._currentPosition()>=this._elements.length-1}isNowhere(){return this._navigator.current()===null}has(e){return this._history.has(e)}_onChange(){this._reduceToLimit();const e=this._elements;this._navigator=new Ybi(e,0,e.length,e.length)}_reduceToLimit(){const e=this._elements;e.length>this._limit&&this._initialize(e.slice(e.length-this._limit))}_currentPosition(){const e=this._navigator.current();return e?this._elements.indexOf(e):-1}_initialize(e){this._history=new Set;for(const i of e)this._history.add(i)}get _elements(){const e=[];return this._history.forEach(i=>e.push(i)),e}}const Vte=Cr;class tCi extends Aw{constructor(e,i,n){super(),this.state="idle",this.maxHeight=Number.POSITIVE_INFINITY,this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event,this._onDidHeightChange=this._register(new gi),this.onDidHeightChange=this._onDidHeightChange.event,this.contextViewProvider=i,this.options=n,this.message=null,this.placeholder=this.options.placeholder||"",this.tooltip=this.options.tooltip??(this.placeholder||""),this.ariaLabel=this.options.ariaLabel||"",this.options.validationOptions&&(this.validation=this.options.validationOptions.validation),this.element=jn(e,Vte(".monaco-inputbox.idle"));const s=this.options.flexibleHeight?"textarea":"input",c=jn(this.element,Vte(".ibwrapper"));if(this.input=jn(c,Vte(s+".input.empty")),this.input.setAttribute("autocorrect","off"),this.input.setAttribute("autocapitalize","off"),this.input.setAttribute("spellcheck","false"),this.onfocus(this.input,()=>this.element.classList.add("synthetic-focus")),this.onblur(this.input,()=>this.element.classList.remove("synthetic-focus")),this.options.flexibleHeight){this.maxHeight=typeof this.options.flexibleMaxHeight=="number"?this.options.flexibleMaxHeight:Number.POSITIVE_INFINITY,this.mirror=jn(c,Vte("div.mirror")),this.mirror.innerText=" ",this.scrollableElement=new JTt(this.element,{vertical:1}),this.options.flexibleWidth&&(this.input.setAttribute("wrap","off"),this.mirror.style.whiteSpace="pre",this.mirror.style.wordWrap="initial"),jn(e,this.scrollableElement.getDomNode()),this._register(this.scrollableElement),this._register(this.scrollableElement.onScroll(m=>this.input.scrollTop=m.scrollTop));const d=this._register(new Xc(e.ownerDocument,"selectionchange")),f=Tr.filter(d.event,()=>{const m=e.ownerDocument.getSelection();return(m==null?void 0:m.anchorNode)===c});this._register(f(this.updateScrollDimensions,this)),this._register(this.onDidHeightChange(this.updateScrollDimensions,this))}else this.input.type=this.options.type||"text",this.input.setAttribute("wrap","off");this.ariaLabel&&this.input.setAttribute("aria-label",this.ariaLabel),this.placeholder&&!this.options.showPlaceholderOnFocus&&this.setPlaceHolder(this.placeholder),this.tooltip&&this.setTooltip(this.tooltip),this.oninput(this.input,()=>this.onValueChange()),this.onblur(this.input,()=>this.onBlur()),this.onfocus(this.input,()=>this.onFocus()),this._register(this.ignoreGesture(this.input)),setTimeout(()=>this.updateMirror(),0),this.options.actions&&(this.actionbar=this._register(new kw(this.element)),this.actionbar.push(this.options.actions,{icon:!0,label:!1})),this.applyStyles()}onBlur(){this._hideMessage(),this.options.showPlaceholderOnFocus&&this.input.setAttribute("placeholder","")}onFocus(){this._showMessage(),this.options.showPlaceholderOnFocus&&this.input.setAttribute("placeholder",this.placeholder||"")}setPlaceHolder(e){this.placeholder=e,this.input.setAttribute("placeholder",e)}setTooltip(e){this.tooltip=e,this.hover?this.hover.update(e):this.hover=this._register(QE().setupManagedHover(Dv("mouse"),this.input,e))}get inputElement(){return this.input}get value(){return this.input.value}set value(e){this.input.value!==e&&(this.input.value=e,this.onValueChange())}get height(){return typeof this.cachedHeight=="number"?this.cachedHeight:B4(this.element)}focus(){this.input.focus()}blur(){this.input.blur()}hasFocus(){return RSe(this.input)}select(e=null){this.input.select(),e&&(this.input.setSelectionRange(e.start,e.end),e.end===this.input.value.length&&(this.input.scrollLeft=this.input.scrollWidth))}isSelectionAtEnd(){return this.input.selectionEnd===this.input.value.length&&this.input.selectionStart===this.input.selectionEnd}getSelection(){const e=this.input.selectionStart;if(e===null)return null;const i=this.input.selectionEnd??e;return{start:e,end:i}}enable(){this.input.removeAttribute("disabled")}disable(){this.blur(),this.input.disabled=!0,this._hideMessage()}set paddingRight(e){this.input.style.width=`calc(100% - ${e}px)`,this.mirror&&(this.mirror.style.paddingRight=e+"px")}updateScrollDimensions(){if(typeof this.cachedContentHeight!="number"||typeof this.cachedHeight!="number"||!this.scrollableElement)return;const e=this.cachedContentHeight,i=this.cachedHeight,n=this.input.scrollTop;this.scrollableElement.setScrollDimensions({scrollHeight:e,height:i}),this.scrollableElement.setScrollPosition({scrollTop:n})}showMessage(e,i){if(this.state==="open"&&qC(this.message,e))return;this.message=e,this.element.classList.remove("idle"),this.element.classList.remove("info"),this.element.classList.remove("warning"),this.element.classList.remove("error"),this.element.classList.add(this.classForType(e.type));const n=this.stylesForType(this.message.type);this.element.style.border=`1px solid ${Z4(n.border,"transparent")}`,this.message.content&&(this.hasFocus()||i)&&this._showMessage()}hideMessage(){this.message=null,this.element.classList.remove("info"),this.element.classList.remove("warning"),this.element.classList.remove("error"),this.element.classList.add("idle"),this._hideMessage(),this.applyStyles()}validate(){let e=null;return this.validation&&(e=this.validation(this.value),e?(this.inputElement.setAttribute("aria-invalid","true"),this.showMessage(e)):this.inputElement.hasAttribute("aria-invalid")&&(this.inputElement.removeAttribute("aria-invalid"),this.hideMessage())),e==null?void 0:e.type}stylesForType(e){const i=this.options.inputBoxStyles;switch(e){case 1:return{border:i.inputValidationInfoBorder,background:i.inputValidationInfoBackground,foreground:i.inputValidationInfoForeground};case 2:return{border:i.inputValidationWarningBorder,background:i.inputValidationWarningBackground,foreground:i.inputValidationWarningForeground};default:return{border:i.inputValidationErrorBorder,background:i.inputValidationErrorBackground,foreground:i.inputValidationErrorForeground}}}classForType(e){switch(e){case 1:return"info";case 2:return"warning";default:return"error"}}_showMessage(){if(!this.contextViewProvider||!this.message)return;let e;const i=()=>e.style.width=FC(this.element)+"px";this.contextViewProvider.showContextView({getAnchor:()=>this.element,anchorAlignment:1,render:s=>{if(!this.message)return null;e=jn(s,Vte(".monaco-inputbox-container")),i();const c={inline:!0,className:"monaco-inputbox-message"},d=this.message.formatContent?Jmi(this.message.content,c):$mi(this.message.content,c);d.classList.add(this.classForType(this.message.type));const f=this.stylesForType(this.message.type);return d.style.backgroundColor=f.background??"",d.style.color=f.foreground??"",d.style.border=f.border?`1px solid ${f.border}`:"",jn(e,d),null},onHide:()=>{this.state="closed"},layout:i});let n;this.message.type===3?n=W("alertErrorMessage","Error: {0}",this.message.content):this.message.type===2?n=W("alertWarningMessage","Warning: {0}",this.message.content):n=W("alertInfoMessage","Info: {0}",this.message.content),xv(n),this.state="open"}_hideMessage(){this.contextViewProvider&&(this.state==="open"&&this.contextViewProvider.hideContextView(),this.state="idle")}onValueChange(){this._onDidChange.fire(this.value),this.validate(),this.updateMirror(),this.input.classList.toggle("empty",!this.value),this.state==="open"&&this.contextViewProvider&&this.contextViewProvider.layout()}updateMirror(){if(!this.mirror)return;const e=this.value,n=e.charCodeAt(e.length-1)===10?" ":"";(e+n).replace(/\u000c/g,"")?this.mirror.textContent=e+n:this.mirror.innerText=" ",this.layout()}applyStyles(){const e=this.options.inputBoxStyles,i=e.inputBackground??"",n=e.inputForeground??"",s=e.inputBorder??"";this.element.style.backgroundColor=i,this.element.style.color=n,this.input.style.backgroundColor="inherit",this.input.style.color=n,this.element.style.border=`1px solid ${Z4(s,"transparent")}`}layout(){if(!this.mirror)return;const e=this.cachedContentHeight;this.cachedContentHeight=B4(this.mirror),e!==this.cachedContentHeight&&(this.cachedHeight=Math.min(this.cachedContentHeight,this.maxHeight),this.input.style.height=this.cachedHeight+"px",this._onDidHeightChange.fire(this.cachedContentHeight))}insertAtCursor(e){const i=this.inputElement,n=i.selectionStart,s=i.selectionEnd,c=i.value;n!==null&&s!==null&&(this.value=c.substr(0,n)+e+c.substr(s),i.setSelectionRange(n+1,n+1),this.layout())}dispose(){var e;this._hideMessage(),this.message=null,(e=this.actionbar)==null||e.dispose(),super.dispose()}}class wEt extends tCi{constructor(e,i,n){const s=W({key:"history.inputbox.hint.suffix.noparens",comment:['Text is the suffix of an input field placeholder coming after the action the input field performs, this will be used when the input field ends in a closing parenthesis ")", for example "Filter (e.g. text, !exclude)". The character inserted into the final string is ⇅ to represent the up and down arrow keys.']}," or {0} for history","⇅"),c=W({key:"history.inputbox.hint.suffix.inparens",comment:['Text is the suffix of an input field placeholder coming after the action the input field performs, this will be used when the input field does NOT end in a closing parenthesis (eg. "Find"). The character inserted into the final string is ⇅ to represent the up and down arrow keys.']}," ({0} for history)","⇅");super(e,i,n),this._onDidFocus=this._register(new gi),this.onDidFocus=this._onDidFocus.event,this._onDidBlur=this._register(new gi),this.onDidBlur=this._onDidBlur.event,this.history=new eCi(n.history,100);const d=()=>{if(n.showHistoryHint&&n.showHistoryHint()&&!this.placeholder.endsWith(s)&&!this.placeholder.endsWith(c)&&this.history.getHistory().length){const f=this.placeholder.endsWith(")")?s:c,m=this.placeholder+f;n.showPlaceholderOnFocus&&!RSe(this.input)?this.placeholder=m:this.setPlaceHolder(m)}};this.observer=new MutationObserver((f,m)=>{f.forEach(v=>{v.target.textContent||d()})}),this.observer.observe(this.input,{attributeFilter:["class"]}),this.onfocus(this.input,()=>d()),this.onblur(this.input,()=>{const f=m=>{if(this.placeholder.endsWith(m)){const v=this.placeholder.slice(0,this.placeholder.length-m.length);return n.showPlaceholderOnFocus?this.placeholder=v:this.setPlaceHolder(v),!0}else return!1};f(c)||f(s)})}dispose(){super.dispose(),this.observer&&(this.observer.disconnect(),this.observer=void 0)}addToHistory(e){this.value&&(e||this.value!==this.getCurrentValue())&&this.history.add(this.value)}isAtLastInHistory(){return this.history.isLast()}isNowhereInHistory(){return this.history.isNowhere()}showNextValue(){this.history.has(this.value)||this.addToHistory();let e=this.getNextValue();e&&(e=e===this.value?this.getNextValue():e),this.value=e??"",PL(this.value?this.value:W("clearedInput","Cleared Input"))}showPreviousValue(){this.history.has(this.value)||this.addToHistory();let e=this.getPreviousValue();e&&(e=e===this.value?this.getPreviousValue():e),e&&(this.value=e,PL(this.value))}setPlaceHolder(e){super.setPlaceHolder(e),this.setTooltip(e)}onBlur(){super.onBlur(),this._onDidBlur.fire()}onFocus(){super.onFocus(),this._onDidFocus.fire()}getCurrentValue(){let e=this.history.current();return e||(e=this.history.last(),this.history.next()),e}getPreviousValue(){return this.history.previous()||this.history.first()}getNextValue(){return this.history.next()}}const iCi=W("defaultLabel","input");class xEt extends Aw{constructor(e,i,n){super(),this.fixFocusOnOptionClickEnabled=!0,this.imeSessionInProgress=!1,this.additionalTogglesDisposables=this._register(new Fm),this.additionalToggles=[],this._onDidOptionChange=this._register(new gi),this.onDidOptionChange=this._onDidOptionChange.event,this._onKeyDown=this._register(new gi),this.onKeyDown=this._onKeyDown.event,this._onMouseDown=this._register(new gi),this.onMouseDown=this._onMouseDown.event,this._onInput=this._register(new gi),this._onKeyUp=this._register(new gi),this._onCaseSensitiveKeyDown=this._register(new gi),this.onCaseSensitiveKeyDown=this._onCaseSensitiveKeyDown.event,this._onRegexKeyDown=this._register(new gi),this.onRegexKeyDown=this._onRegexKeyDown.event,this._lastHighlightFindOptions=0,this.placeholder=n.placeholder||"",this.validation=n.validation,this.label=n.label||iCi,this.showCommonFindToggles=!!n.showCommonFindToggles;const s=n.appendCaseSensitiveLabel||"",c=n.appendWholeWordsLabel||"",d=n.appendRegexLabel||"",f=n.history||[],m=!!n.flexibleHeight,v=!!n.flexibleWidth,x=n.flexibleMaxHeight;this.domNode=document.createElement("div"),this.domNode.classList.add("monaco-findInput"),this.inputBox=this._register(new wEt(this.domNode,i,{placeholder:this.placeholder||"",ariaLabel:this.label||"",validationOptions:{validation:this.validation},history:f,showHistoryHint:n.showHistoryHint,flexibleHeight:m,flexibleWidth:v,flexibleMaxHeight:x,inputBoxStyles:n.inputBoxStyles}));const w=this._register(W$());if(this.showCommonFindToggles){this.regex=this._register(new SEt({appendTitle:d,isChecked:!1,hoverDelegate:w,...n.toggleStyles})),this._register(this.regex.onChange(P=>{this._onDidOptionChange.fire(P),!P&&this.fixFocusOnOptionClickEnabled&&this.inputBox.focus(),this.validate()})),this._register(this.regex.onKeyDown(P=>{this._onRegexKeyDown.fire(P)})),this.wholeWords=this._register(new CEt({appendTitle:c,isChecked:!1,hoverDelegate:w,...n.toggleStyles})),this._register(this.wholeWords.onChange(P=>{this._onDidOptionChange.fire(P),!P&&this.fixFocusOnOptionClickEnabled&&this.inputBox.focus(),this.validate()})),this.caseSensitive=this._register(new bEt({appendTitle:s,isChecked:!1,hoverDelegate:w,...n.toggleStyles})),this._register(this.caseSensitive.onChange(P=>{this._onDidOptionChange.fire(P),!P&&this.fixFocusOnOptionClickEnabled&&this.inputBox.focus(),this.validate()})),this._register(this.caseSensitive.onKeyDown(P=>{this._onCaseSensitiveKeyDown.fire(P)}));const I=[this.caseSensitive.domNode,this.wholeWords.domNode,this.regex.domNode];this.onkeydown(this.domNode,P=>{if(P.equals(15)||P.equals(17)||P.equals(9)){const O=I.indexOf(this.domNode.ownerDocument.activeElement);if(O>=0){let z=-1;P.equals(17)?z=(O+1)%I.length:P.equals(15)&&(O===0?z=I.length-1:z=O-1),P.equals(9)?(I[O].blur(),this.inputBox.focus()):z>=0&&I[z].focus(),il.stop(P,!0)}}})}this.controls=document.createElement("div"),this.controls.className="controls",this.controls.style.display=this.showCommonFindToggles?"":"none",this.caseSensitive&&this.controls.append(this.caseSensitive.domNode),this.wholeWords&&this.controls.appendChild(this.wholeWords.domNode),this.regex&&this.controls.appendChild(this.regex.domNode),this.setAdditionalToggles(n==null?void 0:n.additionalToggles),this.controls&&this.domNode.appendChild(this.controls),e==null||e.appendChild(this.domNode),this._register(en(this.inputBox.inputElement,"compositionstart",I=>{this.imeSessionInProgress=!0})),this._register(en(this.inputBox.inputElement,"compositionend",I=>{this.imeSessionInProgress=!1,this._onInput.fire()})),this.onkeydown(this.inputBox.inputElement,I=>this._onKeyDown.fire(I)),this.onkeyup(this.inputBox.inputElement,I=>this._onKeyUp.fire(I)),this.oninput(this.inputBox.inputElement,I=>this._onInput.fire()),this.onmousedown(this.inputBox.inputElement,I=>this._onMouseDown.fire(I))}get onDidChange(){return this.inputBox.onDidChange}layout(e){this.inputBox.layout(),this.updateInputBoxPadding(e.collapsedFindWidget)}enable(){var e,i,n;this.domNode.classList.remove("disabled"),this.inputBox.enable(),(e=this.regex)==null||e.enable(),(i=this.wholeWords)==null||i.enable(),(n=this.caseSensitive)==null||n.enable();for(const s of this.additionalToggles)s.enable()}disable(){var e,i,n;this.domNode.classList.add("disabled"),this.inputBox.disable(),(e=this.regex)==null||e.disable(),(i=this.wholeWords)==null||i.disable(),(n=this.caseSensitive)==null||n.disable();for(const s of this.additionalToggles)s.disable()}setFocusInputOnOptionClick(e){this.fixFocusOnOptionClickEnabled=e}setEnabled(e){e?this.enable():this.disable()}setAdditionalToggles(e){for(const i of this.additionalToggles)i.domNode.remove();this.additionalToggles=[],this.additionalTogglesDisposables.value=new wn;for(const i of e??[])this.additionalTogglesDisposables.value.add(i),this.controls.appendChild(i.domNode),this.additionalTogglesDisposables.value.add(i.onChange(n=>{this._onDidOptionChange.fire(n),!n&&this.fixFocusOnOptionClickEnabled&&this.inputBox.focus()})),this.additionalToggles.push(i);this.additionalToggles.length>0&&(this.controls.style.display=""),this.updateInputBoxPadding()}updateInputBoxPadding(e=!1){var i,n,s;e?this.inputBox.paddingRight=0:this.inputBox.paddingRight=(((i=this.caseSensitive)==null?void 0:i.width())??0)+(((n=this.wholeWords)==null?void 0:n.width())??0)+(((s=this.regex)==null?void 0:s.width())??0)+this.additionalToggles.reduce((c,d)=>c+d.width(),0)}getValue(){return this.inputBox.value}setValue(e){this.inputBox.value!==e&&(this.inputBox.value=e)}select(){this.inputBox.select()}focus(){this.inputBox.focus()}getCaseSensitive(){var e;return((e=this.caseSensitive)==null?void 0:e.checked)??!1}setCaseSensitive(e){this.caseSensitive&&(this.caseSensitive.checked=e)}getWholeWords(){var e;return((e=this.wholeWords)==null?void 0:e.checked)??!1}setWholeWords(e){this.wholeWords&&(this.wholeWords.checked=e)}getRegex(){var e;return((e=this.regex)==null?void 0:e.checked)??!1}setRegex(e){this.regex&&(this.regex.checked=e,this.validate())}focusOnCaseSensitive(){var e;(e=this.caseSensitive)==null||e.focus()}highlightFindOptions(){this.domNode.classList.remove("highlight-"+this._lastHighlightFindOptions),this._lastHighlightFindOptions=1-this._lastHighlightFindOptions,this.domNode.classList.add("highlight-"+this._lastHighlightFindOptions)}validate(){this.inputBox.validate()}showMessage(e){this.inputBox.showMessage(e)}clearMessage(){this.inputBox.hideMessage()}}const nCi=Cr;class rCi extends xi{constructor(e,i,n){super(),this.parent=e,this.onKeyDown=c=>ph(this.findInput.inputBox.inputElement,br.KEY_DOWN,c),this.onDidChange=c=>this.findInput.onDidChange(c),this.container=jn(this.parent,nCi(".quick-input-box")),this.findInput=this._register(new xEt(this.container,void 0,{label:"",inputBoxStyles:i,toggleStyles:n}));const s=this.findInput.inputBox.inputElement;s.role="combobox",s.ariaHasPopup="menu",s.ariaAutoComplete="list",s.ariaExpanded="true"}get value(){return this.findInput.getValue()}set value(e){this.findInput.setValue(e)}select(e=null){this.findInput.inputBox.select(e)}getSelection(){return this.findInput.inputBox.getSelection()}isSelectionAtEnd(){return this.findInput.inputBox.isSelectionAtEnd()}get placeholder(){return this.findInput.inputBox.inputElement.getAttribute("placeholder")||""}set placeholder(e){this.findInput.inputBox.setPlaceHolder(e)}get password(){return this.findInput.inputBox.inputElement.type==="password"}set password(e){this.findInput.inputBox.inputElement.type=e?"password":"text"}set enabled(e){this.findInput.inputBox.inputElement.toggleAttribute("readonly",!e)}set toggles(e){this.findInput.setAdditionalToggles(e)}setAttribute(e,i){this.findInput.inputBox.inputElement.setAttribute(e,i)}showDecoration(e){e===S_.Ignore?this.findInput.clearMessage():this.findInput.showMessage({type:e===S_.Info?1:e===S_.Warning?2:3,content:""})}stylesForType(e){return this.findInput.inputBox.stylesForType(e===S_.Info?1:e===S_.Warning?2:3)}setFocus(){this.findInput.focus()}layout(){this.findInput.inputBox.layout()}}class sCi{get templateId(){return this.renderer.templateId}constructor(e,i){this.renderer=e,this.modelProvider=i}renderTemplate(e){return{data:this.renderer.renderTemplate(e),disposable:xi.None}}renderElement(e,i,n,s){var m;if((m=n.disposable)==null||m.dispose(),!n.data)return;const c=this.modelProvider();if(c.isResolved(e))return this.renderer.renderElement(c.get(e),e,n.data,s);const d=new ih,f=c.resolve(e,d.token);n.disposable={dispose:()=>d.cancel()},this.renderer.renderPlaceholder(e,n.data),f.then(v=>this.renderer.renderElement(v,e,n.data,s))}disposeTemplate(e){e.disposable&&(e.disposable.dispose(),e.disposable=void 0),e.data&&(this.renderer.disposeTemplate(e.data),e.data=void 0)}}class oCi{constructor(e,i){this.modelProvider=e,this.accessibilityProvider=i}getWidgetAriaLabel(){return this.accessibilityProvider.getWidgetAriaLabel()}getAriaLabel(e){const i=this.modelProvider();return i.isResolved(e)?this.accessibilityProvider.getAriaLabel(i.get(e)):null}}function aCi(l,e){return{...e,accessibilityProvider:e.accessibilityProvider&&new oCi(l,e.accessibilityProvider)}}class cCi{constructor(e,i,n,s,c={}){const d=()=>this.model,f=s.map(m=>new sCi(m,d));this.list=new Ow(e,i,n,f,aCi(d,c))}updateOptions(e){this.list.updateOptions(e)}getHTMLElement(){return this.list.getHTMLElement()}get onDidFocus(){return this.list.onDidFocus}get widget(){return this.list}get onDidDispose(){return this.list.onDidDispose}get onMouseDblClick(){return Tr.map(this.list.onMouseDblClick,({element:e,index:i,browserEvent:n})=>({element:e===void 0?void 0:this._model.get(e),index:i,browserEvent:n}))}get onPointer(){return Tr.map(this.list.onPointer,({element:e,index:i,browserEvent:n})=>({element:e===void 0?void 0:this._model.get(e),index:i,browserEvent:n}))}get onDidChangeSelection(){return Tr.map(this.list.onDidChangeSelection,({elements:e,indexes:i,browserEvent:n})=>({elements:e.map(s=>this._model.get(s)),indexes:i,browserEvent:n}))}get model(){return this._model}set model(e){this._model=e,this.list.splice(0,this.list.length,Sb(e.length))}getFocus(){return this.list.getFocus()}getSelection(){return this.list.getSelection()}getSelectedElements(){return this.getSelection().map(e=>this.model.get(e))}style(e){this.list.style(e)}dispose(){this.list.dispose()}}var DJ=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c};const lCi=!1;var tve;(function(l){l.North="north",l.South="south",l.East="east",l.West="west"})(tve||(tve={}));let uCi=4;const dCi=new gi;let fCi=300;const hCi=new gi;class bJe{constructor(e){this.el=e,this.disposables=new wn}get onPointerMove(){return this.disposables.add(new Xc(Eo(this.el),"mousemove")).event}get onPointerUp(){return this.disposables.add(new Xc(Eo(this.el),"mouseup")).event}dispose(){this.disposables.dispose()}}DJ([x_],bJe.prototype,"onPointerMove",null);DJ([x_],bJe.prototype,"onPointerUp",null);class CJe{get onPointerMove(){return this.disposables.add(new Xc(this.el,Ud.Change)).event}get onPointerUp(){return this.disposables.add(new Xc(this.el,Ud.End)).event}constructor(e){this.el=e,this.disposables=new wn}dispose(){this.disposables.dispose()}}DJ([x_],CJe.prototype,"onPointerMove",null);DJ([x_],CJe.prototype,"onPointerUp",null);class ive{get onPointerMove(){return this.factory.onPointerMove}get onPointerUp(){return this.factory.onPointerUp}constructor(e){this.factory=e}dispose(){}}DJ([x_],ive.prototype,"onPointerMove",null);DJ([x_],ive.prototype,"onPointerUp",null);const UCt="pointer-events-disabled";class _1 extends xi{get state(){return this._state}get orthogonalStartSash(){return this._orthogonalStartSash}get orthogonalEndSash(){return this._orthogonalEndSash}set state(e){this._state!==e&&(this.el.classList.toggle("disabled",e===0),this.el.classList.toggle("minimum",e===1),this.el.classList.toggle("maximum",e===2),this._state=e,this.onDidEnablementChange.fire(e))}set orthogonalStartSash(e){if(this._orthogonalStartSash!==e){if(this.orthogonalStartDragHandleDisposables.clear(),this.orthogonalStartSashDisposables.clear(),e){const i=n=>{this.orthogonalStartDragHandleDisposables.clear(),n!==0&&(this._orthogonalStartDragHandle=jn(this.el,Cr(".orthogonal-drag-handle.start")),this.orthogonalStartDragHandleDisposables.add(fo(()=>this._orthogonalStartDragHandle.remove())),this.orthogonalStartDragHandleDisposables.add(new Xc(this._orthogonalStartDragHandle,"mouseenter")).event(()=>_1.onMouseEnter(e),void 0,this.orthogonalStartDragHandleDisposables),this.orthogonalStartDragHandleDisposables.add(new Xc(this._orthogonalStartDragHandle,"mouseleave")).event(()=>_1.onMouseLeave(e),void 0,this.orthogonalStartDragHandleDisposables))};this.orthogonalStartSashDisposables.add(e.onDidEnablementChange.event(i,this)),i(e.state)}this._orthogonalStartSash=e}}set orthogonalEndSash(e){if(this._orthogonalEndSash!==e){if(this.orthogonalEndDragHandleDisposables.clear(),this.orthogonalEndSashDisposables.clear(),e){const i=n=>{this.orthogonalEndDragHandleDisposables.clear(),n!==0&&(this._orthogonalEndDragHandle=jn(this.el,Cr(".orthogonal-drag-handle.end")),this.orthogonalEndDragHandleDisposables.add(fo(()=>this._orthogonalEndDragHandle.remove())),this.orthogonalEndDragHandleDisposables.add(new Xc(this._orthogonalEndDragHandle,"mouseenter")).event(()=>_1.onMouseEnter(e),void 0,this.orthogonalEndDragHandleDisposables),this.orthogonalEndDragHandleDisposables.add(new Xc(this._orthogonalEndDragHandle,"mouseleave")).event(()=>_1.onMouseLeave(e),void 0,this.orthogonalEndDragHandleDisposables))};this.orthogonalEndSashDisposables.add(e.onDidEnablementChange.event(i,this)),i(e.state)}this._orthogonalEndSash=e}}constructor(e,i,n){super(),this.hoverDelay=fCi,this.hoverDelayer=this._register(new Ck(this.hoverDelay)),this._state=3,this.onDidEnablementChange=this._register(new gi),this._onDidStart=this._register(new gi),this._onDidChange=this._register(new gi),this._onDidReset=this._register(new gi),this._onDidEnd=this._register(new gi),this.orthogonalStartSashDisposables=this._register(new wn),this.orthogonalStartDragHandleDisposables=this._register(new wn),this.orthogonalEndSashDisposables=this._register(new wn),this.orthogonalEndDragHandleDisposables=this._register(new wn),this.onDidStart=this._onDidStart.event,this.onDidChange=this._onDidChange.event,this.onDidReset=this._onDidReset.event,this.onDidEnd=this._onDidEnd.event,this.linkedSash=void 0,this.el=jn(e,Cr(".monaco-sash")),n.orthogonalEdge&&this.el.classList.add(`orthogonal-edge-${n.orthogonalEdge}`),Hc&&this.el.classList.add("mac");const s=this._register(new Xc(this.el,"mousedown")).event;this._register(s(w=>this.onPointerStart(w,new bJe(e)),this));const c=this._register(new Xc(this.el,"dblclick")).event;this._register(c(this.onPointerDoublePress,this));const d=this._register(new Xc(this.el,"mouseenter")).event;this._register(d(()=>_1.onMouseEnter(this)));const f=this._register(new Xc(this.el,"mouseleave")).event;this._register(f(()=>_1.onMouseLeave(this))),this._register(y1.addTarget(this.el));const m=this._register(new Xc(this.el,Ud.Start)).event;this._register(m(w=>this.onPointerStart(w,new CJe(this.el)),this));const v=this._register(new Xc(this.el,Ud.Tap)).event;let x;this._register(v(w=>{if(x){clearTimeout(x),x=void 0,this.onPointerDoublePress(w);return}clearTimeout(x),x=setTimeout(()=>x=void 0,250)},this)),typeof n.size=="number"?(this.size=n.size,n.orientation===0?this.el.style.width=`${this.size}px`:this.el.style.height=`${this.size}px`):(this.size=uCi,this._register(dCi.event(w=>{this.size=w,this.layout()}))),this._register(hCi.event(w=>this.hoverDelay=w)),this.layoutProvider=i,this.orthogonalStartSash=n.orthogonalStartSash,this.orthogonalEndSash=n.orthogonalEndSash,this.orientation=n.orientation||0,this.orientation===1?(this.el.classList.add("horizontal"),this.el.classList.remove("vertical")):(this.el.classList.remove("horizontal"),this.el.classList.add("vertical")),this.el.classList.toggle("debug",lCi),this.layout()}onPointerStart(e,i){il.stop(e);let n=!1;if(!e.__orthogonalSashEvent){const O=this.getOrthogonalSash(e);O&&(n=!0,e.__orthogonalSashEvent=!0,O.onPointerStart(e,new ive(i)))}if(this.linkedSash&&!e.__linkedSashEvent&&(e.__linkedSashEvent=!0,this.linkedSash.onPointerStart(e,new ive(i))),!this.state)return;const s=this.el.ownerDocument.getElementsByTagName("iframe");for(const O of s)O.classList.add(UCt);const c=e.pageX,d=e.pageY,f=e.altKey,m={startX:c,currentX:c,startY:d,currentY:d,altKey:f};this.el.classList.add("active"),this._onDidStart.fire(m);const v=Dw(this.el),x=()=>{let O="";n?O="all-scroll":this.orientation===1?this.state===1?O="s-resize":this.state===2?O="n-resize":O=Hc?"row-resize":"ns-resize":this.state===1?O="e-resize":this.state===2?O="w-resize":O=Hc?"col-resize":"ew-resize",v.textContent=`* { cursor: ${O} !important; }`},w=new wn;x(),n||this.onDidEnablementChange.event(x,null,w);const I=O=>{il.stop(O,!1);const z={startX:c,currentX:O.pageX,startY:d,currentY:O.pageY,altKey:f};this._onDidChange.fire(z)},P=O=>{il.stop(O,!1),v.remove(),this.el.classList.remove("active"),this._onDidEnd.fire(),w.dispose();for(const z of s)z.classList.remove(UCt)};i.onPointerMove(I,null,w),i.onPointerUp(P,null,w),w.add(i)}onPointerDoublePress(e){const i=this.getOrthogonalSash(e);i&&i._onDidReset.fire(),this.linkedSash&&this.linkedSash._onDidReset.fire(),this._onDidReset.fire()}static onMouseEnter(e,i=!1){e.el.classList.contains("active")?(e.hoverDelayer.cancel(),e.el.classList.add("hover")):e.hoverDelayer.trigger(()=>e.el.classList.add("hover"),e.hoverDelay).then(void 0,()=>{}),!i&&e.linkedSash&&_1.onMouseEnter(e.linkedSash,!0)}static onMouseLeave(e,i=!1){e.hoverDelayer.cancel(),e.el.classList.remove("hover"),!i&&e.linkedSash&&_1.onMouseLeave(e.linkedSash,!0)}clearSashHoverState(){_1.onMouseLeave(this)}layout(){if(this.orientation===0){const e=this.layoutProvider;this.el.style.left=e.getVerticalSashLeft(this)-this.size/2+"px",e.getVerticalSashTop&&(this.el.style.top=e.getVerticalSashTop(this)+"px"),e.getVerticalSashHeight&&(this.el.style.height=e.getVerticalSashHeight(this)+"px")}else{const e=this.layoutProvider;this.el.style.top=e.getHorizontalSashTop(this)-this.size/2+"px",e.getHorizontalSashLeft&&(this.el.style.left=e.getHorizontalSashLeft(this)+"px"),e.getHorizontalSashWidth&&(this.el.style.width=e.getHorizontalSashWidth(this)+"px")}}getOrthogonalSash(e){const i=e.initialTarget??e.target;if(!(!i||!Mm(i))&&i.classList.contains("orthogonal-drag-handle"))return i.classList.contains("start")?this.orthogonalStartSash:this.orthogonalEndSash}dispose(){super.dispose(),this.el.remove()}}const _Ci={separatorBorder:In.transparent};class kEt{set size(e){this._size=e}get size(){return this._size}get visible(){return typeof this._cachedVisibleSize>"u"}setVisible(e,i){var n,s;if(e!==this.visible){e?(this.size=uv(this._cachedVisibleSize,this.viewMinimumSize,this.viewMaximumSize),this._cachedVisibleSize=void 0):(this._cachedVisibleSize=typeof i=="number"?i:this.size,this.size=0),this.container.classList.toggle("visible",e);try{(s=(n=this.view).setVisible)==null||s.call(n,e)}catch(c){console.error("Splitview: Failed to set visible view"),console.error(c)}}}get minimumSize(){return this.visible?this.view.minimumSize:0}get viewMinimumSize(){return this.view.minimumSize}get maximumSize(){return this.visible?this.view.maximumSize:0}get viewMaximumSize(){return this.view.maximumSize}get priority(){return this.view.priority}get proportionalLayout(){return this.view.proportionalLayout??!0}get snap(){return!!this.view.snap}set enabled(e){this.container.style.pointerEvents=e?"":"none"}constructor(e,i,n,s){this.container=e,this.view=i,this.disposable=s,this._cachedVisibleSize=void 0,typeof n=="number"?(this._size=n,this._cachedVisibleSize=void 0,e.classList.add("visible")):(this._size=0,this._cachedVisibleSize=n.cachedVisibleSize)}layout(e,i){this.layoutContainer(e);try{this.view.layout(this.size,e,i)}catch(n){console.error("Splitview: Failed to layout view"),console.error(n)}}dispose(){this.disposable.dispose()}}class pCi extends kEt{layoutContainer(e){this.container.style.top=`${e}px`,this.container.style.height=`${this.size}px`}}class mCi extends kEt{layoutContainer(e){this.container.style.left=`${e}px`,this.container.style.width=`${this.size}px`}}var v4;(function(l){l[l.Idle=0]="Idle",l[l.Busy=1]="Busy"})(v4||(v4={}));var nve;(function(l){l.Distribute={type:"distribute"};function e(s){return{type:"split",index:s}}l.Split=e;function i(s){return{type:"auto",index:s}}l.Auto=i;function n(s){return{type:"invisible",cachedVisibleSize:s}}l.Invisible=n})(nve||(nve={}));class TEt extends xi{get orthogonalStartSash(){return this._orthogonalStartSash}get orthogonalEndSash(){return this._orthogonalEndSash}get startSnappingEnabled(){return this._startSnappingEnabled}get endSnappingEnabled(){return this._endSnappingEnabled}set orthogonalStartSash(e){for(const i of this.sashItems)i.sash.orthogonalStartSash=e;this._orthogonalStartSash=e}set orthogonalEndSash(e){for(const i of this.sashItems)i.sash.orthogonalEndSash=e;this._orthogonalEndSash=e}set startSnappingEnabled(e){this._startSnappingEnabled!==e&&(this._startSnappingEnabled=e,this.updateSashEnablement())}set endSnappingEnabled(e){this._endSnappingEnabled!==e&&(this._endSnappingEnabled=e,this.updateSashEnablement())}constructor(e,i={}){super(),this.size=0,this._contentSize=0,this.proportions=void 0,this.viewItems=[],this.sashItems=[],this.state=v4.Idle,this._onDidSashChange=this._register(new gi),this._onDidSashReset=this._register(new gi),this._startSnappingEnabled=!0,this._endSnappingEnabled=!0,this.onDidSashChange=this._onDidSashChange.event,this.onDidSashReset=this._onDidSashReset.event,this.orientation=i.orientation??0,this.inverseAltBehavior=i.inverseAltBehavior??!1,this.proportionalLayout=i.proportionalLayout??!0,this.getSashOrthogonalSize=i.getSashOrthogonalSize,this.el=document.createElement("div"),this.el.classList.add("monaco-split-view2"),this.el.classList.add(this.orientation===0?"vertical":"horizontal"),e.appendChild(this.el),this.sashContainer=jn(this.el,Cr(".sash-container")),this.viewContainer=Cr(".split-view-container"),this.scrollable=this._register(new CJ({forceIntegerValues:!0,smoothScrollDuration:125,scheduleAtNextAnimationFrame:s=>ZC(Eo(this.el),s)})),this.scrollableElement=this._register(new owe(this.viewContainer,{vertical:this.orientation===0?i.scrollbarVisibility??1:2,horizontal:this.orientation===1?i.scrollbarVisibility??1:2},this.scrollable));const n=this._register(new Xc(this.viewContainer,"scroll")).event;this._register(n(s=>{const c=this.scrollableElement.getScrollPosition(),d=Math.abs(this.viewContainer.scrollLeft-c.scrollLeft)<=1?void 0:this.viewContainer.scrollLeft,f=Math.abs(this.viewContainer.scrollTop-c.scrollTop)<=1?void 0:this.viewContainer.scrollTop;(d!==void 0||f!==void 0)&&this.scrollableElement.setScrollPosition({scrollLeft:d,scrollTop:f})})),this.onDidScroll=this.scrollableElement.onScroll,this._register(this.onDidScroll(s=>{s.scrollTopChanged&&(this.viewContainer.scrollTop=s.scrollTop),s.scrollLeftChanged&&(this.viewContainer.scrollLeft=s.scrollLeft)})),jn(this.el,this.scrollableElement.getDomNode()),this.style(i.styles||_Ci),i.descriptor&&(this.size=i.descriptor.size,i.descriptor.views.forEach((s,c)=>{const d=xy(s.visible)||s.visible?s.size:{type:"invisible",cachedVisibleSize:s.size},f=s.view;this.doAddView(f,d,c,!0)}),this._contentSize=this.viewItems.reduce((s,c)=>s+c.size,0),this.saveProportions())}style(e){e.separatorBorder.isTransparent()?(this.el.classList.remove("separator-border"),this.el.style.removeProperty("--separator-border")):(this.el.classList.add("separator-border"),this.el.style.setProperty("--separator-border",e.separatorBorder.toString()))}addView(e,i,n=this.viewItems.length,s){this.doAddView(e,i,n,s)}layout(e,i){const n=Math.max(this.size,this._contentSize);if(this.size=e,this.layoutContext=i,this.proportions){let s=0;for(let c=0;c<this.viewItems.length;c++){const d=this.viewItems[c],f=this.proportions[c];typeof f=="number"?s+=f:e-=d.size}for(let c=0;c<this.viewItems.length;c++){const d=this.viewItems[c],f=this.proportions[c];typeof f=="number"&&s>0&&(d.size=uv(Math.round(f*e/s),d.minimumSize,d.maximumSize))}}else{const s=Sb(this.viewItems.length),c=s.filter(f=>this.viewItems[f].priority===1),d=s.filter(f=>this.viewItems[f].priority===2);this.resize(this.viewItems.length-1,e-n,void 0,c,d)}this.distributeEmptySpace(),this.layoutViews()}saveProportions(){this.proportionalLayout&&this._contentSize>0&&(this.proportions=this.viewItems.map(e=>e.proportionalLayout&&e.visible?e.size/this._contentSize:void 0))}onSashStart({sash:e,start:i,alt:n}){for(const f of this.viewItems)f.enabled=!1;const s=this.sashItems.findIndex(f=>f.sash===e),c=gT(en(this.el.ownerDocument.body,"keydown",f=>d(this.sashDragState.current,f.altKey)),en(this.el.ownerDocument.body,"keyup",()=>d(this.sashDragState.current,!1))),d=(f,m)=>{const v=this.viewItems.map(O=>O.size);let x=Number.NEGATIVE_INFINITY,w=Number.POSITIVE_INFINITY;if(this.inverseAltBehavior&&(m=!m),m)if(s===this.sashItems.length-1){const z=this.viewItems[s];x=(z.minimumSize-z.size)/2,w=(z.maximumSize-z.size)/2}else{const z=this.viewItems[s+1];x=(z.size-z.maximumSize)/2,w=(z.size-z.minimumSize)/2}let I,P;if(!m){const O=Sb(s,-1),z=Sb(s+1,this.viewItems.length),J=O.reduce((ct,Mt)=>ct+(this.viewItems[Mt].minimumSize-v[Mt]),0),Y=O.reduce((ct,Mt)=>ct+(this.viewItems[Mt].viewMaximumSize-v[Mt]),0),ae=z.length===0?Number.POSITIVE_INFINITY:z.reduce((ct,Mt)=>ct+(v[Mt]-this.viewItems[Mt].minimumSize),0),me=z.length===0?Number.NEGATIVE_INFINITY:z.reduce((ct,Mt)=>ct+(v[Mt]-this.viewItems[Mt].viewMaximumSize),0),ye=Math.max(J,me),Ce=Math.min(ae,Y),Fe=this.findFirstSnapIndex(O),rt=this.findFirstSnapIndex(z);if(typeof Fe=="number"){const ct=this.viewItems[Fe],Mt=Math.floor(ct.viewMinimumSize/2);I={index:Fe,limitDelta:ct.visible?ye-Mt:ye+Mt,size:ct.size}}if(typeof rt=="number"){const ct=this.viewItems[rt],Mt=Math.floor(ct.viewMinimumSize/2);P={index:rt,limitDelta:ct.visible?Ce+Mt:Ce-Mt,size:ct.size}}}this.sashDragState={start:f,current:f,index:s,sizes:v,minDelta:x,maxDelta:w,alt:m,snapBefore:I,snapAfter:P,disposable:c}};d(i,n)}onSashChange({current:e}){const{index:i,start:n,sizes:s,alt:c,minDelta:d,maxDelta:f,snapBefore:m,snapAfter:v}=this.sashDragState;this.sashDragState.current=e;const x=e-n,w=this.resize(i,x,s,void 0,void 0,d,f,m,v);if(c){const I=i===this.sashItems.length-1,P=this.viewItems.map(me=>me.size),O=I?i:i+1,z=this.viewItems[O],J=z.size-z.maximumSize,Y=z.size-z.minimumSize,ae=I?i-1:i+1;this.resize(ae,-w,P,void 0,void 0,J,Y)}this.distributeEmptySpace(),this.layoutViews()}onSashEnd(e){this._onDidSashChange.fire(e),this.sashDragState.disposable.dispose(),this.saveProportions();for(const i of this.viewItems)i.enabled=!0}onViewChange(e,i){const n=this.viewItems.indexOf(e);n<0||n>=this.viewItems.length||(i=typeof i=="number"?i:e.size,i=uv(i,e.minimumSize,e.maximumSize),this.inverseAltBehavior&&n>0?(this.resize(n-1,Math.floor((e.size-i)/2)),this.distributeEmptySpace(),this.layoutViews()):(e.size=i,this.relayout([n],void 0)))}resizeView(e,i){if(!(e<0||e>=this.viewItems.length)){if(this.state!==v4.Idle)throw new Error("Cant modify splitview");this.state=v4.Busy;try{const n=Sb(this.viewItems.length).filter(f=>f!==e),s=[...n.filter(f=>this.viewItems[f].priority===1),e],c=n.filter(f=>this.viewItems[f].priority===2),d=this.viewItems[e];i=Math.round(i),i=uv(i,d.minimumSize,Math.min(d.maximumSize,this.size)),d.size=i,this.relayout(s,c)}finally{this.state=v4.Idle}}}distributeViewSizes(){const e=[];let i=0;for(const f of this.viewItems)f.maximumSize-f.minimumSize>0&&(e.push(f),i+=f.size);const n=Math.floor(i/e.length);for(const f of e)f.size=uv(n,f.minimumSize,f.maximumSize);const s=Sb(this.viewItems.length),c=s.filter(f=>this.viewItems[f].priority===1),d=s.filter(f=>this.viewItems[f].priority===2);this.relayout(c,d)}getViewSize(e){return e<0||e>=this.viewItems.length?-1:this.viewItems[e].size}doAddView(e,i,n=this.viewItems.length,s){if(this.state!==v4.Idle)throw new Error("Cant modify splitview");this.state=v4.Busy;try{const c=Cr(".split-view-view");n===this.viewItems.length?this.viewContainer.appendChild(c):this.viewContainer.insertBefore(c,this.viewContainer.children.item(n));const d=e.onDidChange(I=>this.onViewChange(x,I)),f=fo(()=>c.remove()),m=gT(d,f);let v;typeof i=="number"?v=i:(i.type==="auto"&&(this.areViewsDistributed()?i={type:"distribute"}:i={type:"split",index:i.index}),i.type==="split"?v=this.getViewSize(i.index)/2:i.type==="invisible"?v={cachedVisibleSize:i.cachedVisibleSize}:v=e.minimumSize);const x=this.orientation===0?new pCi(c,e,v,m):new mCi(c,e,v,m);if(this.viewItems.splice(n,0,x),this.viewItems.length>1){const I={orthogonalStartSash:this.orthogonalStartSash,orthogonalEndSash:this.orthogonalEndSash},P=this.orientation===0?new _1(this.sashContainer,{getHorizontalSashTop:ct=>this.getSashPosition(ct),getHorizontalSashWidth:this.getSashOrthogonalSize},{...I,orientation:1}):new _1(this.sashContainer,{getVerticalSashLeft:ct=>this.getSashPosition(ct),getVerticalSashHeight:this.getSashOrthogonalSize},{...I,orientation:0}),O=this.orientation===0?ct=>({sash:P,start:ct.startY,current:ct.currentY,alt:ct.altKey}):ct=>({sash:P,start:ct.startX,current:ct.currentX,alt:ct.altKey}),J=Tr.map(P.onDidStart,O)(this.onSashStart,this),ae=Tr.map(P.onDidChange,O)(this.onSashChange,this),ye=Tr.map(P.onDidEnd,()=>this.sashItems.findIndex(ct=>ct.sash===P))(this.onSashEnd,this),Ce=P.onDidReset(()=>{const ct=this.sashItems.findIndex($t=>$t.sash===P),Mt=Sb(ct,-1),Yt=Sb(ct+1,this.viewItems.length),Bi=this.findFirstSnapIndex(Mt),$i=this.findFirstSnapIndex(Yt);typeof Bi=="number"&&!this.viewItems[Bi].visible||typeof $i=="number"&&!this.viewItems[$i].visible||this._onDidSashReset.fire(ct)}),Fe=gT(J,ae,ye,Ce,P),rt={sash:P,disposable:Fe};this.sashItems.splice(n-1,0,rt)}c.appendChild(e.element);let w;typeof i!="number"&&i.type==="split"&&(w=[i.index]),s||this.relayout([n],w),!s&&typeof i!="number"&&i.type==="distribute"&&this.distributeViewSizes()}finally{this.state=v4.Idle}}relayout(e,i){const n=this.viewItems.reduce((s,c)=>s+c.size,0);this.resize(this.viewItems.length-1,this.size-n,void 0,e,i),this.distributeEmptySpace(),this.layoutViews(),this.saveProportions()}resize(e,i,n=this.viewItems.map(x=>x.size),s,c,d=Number.NEGATIVE_INFINITY,f=Number.POSITIVE_INFINITY,m,v){if(e<0||e>=this.viewItems.length)return 0;const x=Sb(e,-1),w=Sb(e+1,this.viewItems.length);if(c)for(const rt of c)Q5e(x,rt),Q5e(w,rt);if(s)for(const rt of s)Hge(x,rt),Hge(w,rt);const I=x.map(rt=>this.viewItems[rt]),P=x.map(rt=>n[rt]),O=w.map(rt=>this.viewItems[rt]),z=w.map(rt=>n[rt]),J=x.reduce((rt,ct)=>rt+(this.viewItems[ct].minimumSize-n[ct]),0),Y=x.reduce((rt,ct)=>rt+(this.viewItems[ct].maximumSize-n[ct]),0),ae=w.length===0?Number.POSITIVE_INFINITY:w.reduce((rt,ct)=>rt+(n[ct]-this.viewItems[ct].minimumSize),0),me=w.length===0?Number.NEGATIVE_INFINITY:w.reduce((rt,ct)=>rt+(n[ct]-this.viewItems[ct].maximumSize),0),ye=Math.max(J,me,d),Ce=Math.min(ae,Y,f);let Fe=!1;if(m){const rt=this.viewItems[m.index],ct=i>=m.limitDelta;Fe=ct!==rt.visible,rt.setVisible(ct,m.size)}if(!Fe&&v){const rt=this.viewItems[v.index],ct=i<v.limitDelta;Fe=ct!==rt.visible,rt.setVisible(ct,v.size)}if(Fe)return this.resize(e,i,n,s,c,d,f);i=uv(i,ye,Ce);for(let rt=0,ct=i;rt<I.length;rt++){const Mt=I[rt],Yt=uv(P[rt]+ct,Mt.minimumSize,Mt.maximumSize),Bi=Yt-P[rt];ct-=Bi,Mt.size=Yt}for(let rt=0,ct=i;rt<O.length;rt++){const Mt=O[rt],Yt=uv(z[rt]-ct,Mt.minimumSize,Mt.maximumSize),Bi=Yt-z[rt];ct+=Bi,Mt.size=Yt}return i}distributeEmptySpace(e){const i=this.viewItems.reduce((f,m)=>f+m.size,0);let n=this.size-i;const s=Sb(this.viewItems.length-1,-1),c=s.filter(f=>this.viewItems[f].priority===1),d=s.filter(f=>this.viewItems[f].priority===2);for(const f of d)Q5e(s,f);for(const f of c)Hge(s,f);typeof e=="number"&&Hge(s,e);for(let f=0;n!==0&&f<s.length;f++){const m=this.viewItems[s[f]],v=uv(m.size+n,m.minimumSize,m.maximumSize),x=v-m.size;n-=x,m.size=v}}layoutViews(){this._contentSize=this.viewItems.reduce((i,n)=>i+n.size,0);let e=0;for(const i of this.viewItems)i.layout(e,this.layoutContext),e+=i.size;this.sashItems.forEach(i=>i.sash.layout()),this.updateSashEnablement(),this.updateScrollableElement()}updateScrollableElement(){this.orientation===0?this.scrollableElement.setScrollDimensions({height:this.size,scrollHeight:this._contentSize}):this.scrollableElement.setScrollDimensions({width:this.size,scrollWidth:this._contentSize})}updateSashEnablement(){let e=!1;const i=this.viewItems.map(m=>e=m.size-m.minimumSize>0||e);e=!1;const n=this.viewItems.map(m=>e=m.maximumSize-m.size>0||e),s=[...this.viewItems].reverse();e=!1;const c=s.map(m=>e=m.size-m.minimumSize>0||e).reverse();e=!1;const d=s.map(m=>e=m.maximumSize-m.size>0||e).reverse();let f=0;for(let m=0;m<this.sashItems.length;m++){const{sash:v}=this.sashItems[m],x=this.viewItems[m];f+=x.size;const w=!(i[m]&&d[m+1]),I=!(n[m]&&c[m+1]);if(w&&I){const P=Sb(m,-1),O=Sb(m+1,this.viewItems.length),z=this.findFirstSnapIndex(P),J=this.findFirstSnapIndex(O),Y=typeof z=="number"&&!this.viewItems[z].visible,ae=typeof J=="number"&&!this.viewItems[J].visible;Y&&c[m]&&(f>0||this.startSnappingEnabled)?v.state=1:ae&&i[m]&&(f<this._contentSize||this.endSnappingEnabled)?v.state=2:v.state=0}else w&&!I?v.state=1:!w&&I?v.state=2:v.state=3}}getSashPosition(e){let i=0;for(let n=0;n<this.sashItems.length;n++)if(i+=this.viewItems[n].size,this.sashItems[n].sash===e)return i;return 0}findFirstSnapIndex(e){for(const i of e){const n=this.viewItems[i];if(n.visible&&n.snap)return i}for(const i of e){const n=this.viewItems[i];if(n.visible&&n.maximumSize-n.minimumSize>0)return;if(!n.visible&&n.snap)return i}}areViewsDistributed(){let e,i;for(const n of this.viewItems)if(e=e===void 0?n.size:Math.min(e,n.size),i=i===void 0?n.size:Math.max(i,n.size),i-e>2)return!1;return!0}dispose(){var e;(e=this.sashDragState)==null||e.disposable.dispose(),vd(this.viewItems),this.viewItems=[],this.sashItems.forEach(i=>i.disposable.dispose()),this.sashItems=[],super.dispose()}}const hCe=class hCe{constructor(e,i,n){this.columns=e,this.getColumnSize=n,this.templateId=hCe.TemplateId,this.renderedTemplates=new Set;const s=new Map(i.map(c=>[c.templateId,c]));this.renderers=[];for(const c of e){const d=s.get(c.templateId);if(!d)throw new Error(`Table cell renderer for template id ${c.templateId} not found.`);this.renderers.push(d)}}renderTemplate(e){const i=jn(e,Cr(".monaco-table-tr")),n=[],s=[];for(let d=0;d<this.columns.length;d++){const f=this.renderers[d],m=jn(i,Cr(".monaco-table-td",{"data-col-index":d}));m.style.width=`${this.getColumnSize(d)}px`,n.push(m),s.push(f.renderTemplate(m))}const c={container:e,cellContainers:n,cellTemplateData:s};return this.renderedTemplates.add(c),c}renderElement(e,i,n,s){for(let c=0;c<this.columns.length;c++){const f=this.columns[c].project(e);this.renderers[c].renderElement(f,i,n.cellTemplateData[c],s)}}disposeElement(e,i,n,s){for(let c=0;c<this.columns.length;c++){const d=this.renderers[c];if(d.disposeElement){const m=this.columns[c].project(e);d.disposeElement(m,i,n.cellTemplateData[c],s)}}}disposeTemplate(e){for(let i=0;i<this.columns.length;i++)this.renderers[i].disposeTemplate(e.cellTemplateData[i]);s0(e.container),this.renderedTemplates.delete(e)}layoutColumn(e,i){for(const{cellContainers:n}of this.renderedTemplates)n[e].style.width=`${i}px`}};hCe.TemplateId="row";let rve=hCe;function gCi(l){return{getHeight(e){return l.getHeight(e)},getTemplateId(){return rve.TemplateId}}}class yCi extends xi{get minimumSize(){return this.column.minimumWidth??120}get maximumSize(){return this.column.maximumWidth??Number.POSITIVE_INFINITY}get onDidChange(){return this.column.onDidChangeWidthConstraints??Tr.None}constructor(e,i){super(),this.column=e,this.index=i,this._onDidLayout=new gi,this.onDidLayout=this._onDidLayout.event,this.element=Cr(".monaco-table-th",{"data-col-index":i},e.label),e.tooltip&&this._register(QE().setupManagedHover(Dv("mouse"),this.element,e.tooltip))}layout(e){this._onDidLayout.fire([this.index,e])}}const _Ce=class _Ce{get onDidChangeFocus(){return this.list.onDidChangeFocus}get onDidChangeSelection(){return this.list.onDidChangeSelection}get onDidScroll(){return this.list.onDidScroll}get onMouseDblClick(){return this.list.onMouseDblClick}get onPointer(){return this.list.onPointer}get onDidFocus(){return this.list.onDidFocus}get scrollTop(){return this.list.scrollTop}set scrollTop(e){this.list.scrollTop=e}get scrollHeight(){return this.list.scrollHeight}get renderHeight(){return this.list.renderHeight}get onDidDispose(){return this.list.onDidDispose}constructor(e,i,n,s,c,d){this.virtualDelegate=n,this.columns=s,this.domId=`table_id_${++_Ce.InstanceCount}`,this.disposables=new wn,this.cachedWidth=0,this.cachedHeight=0,this.domNode=jn(i,Cr(`.monaco-table.${this.domId}`));const f=s.map((x,w)=>this.disposables.add(new yCi(x,w))),m={size:f.reduce((x,w)=>x+w.column.weight,0),views:f.map(x=>({size:x.column.weight,view:x}))};this.splitview=this.disposables.add(new TEt(this.domNode,{orientation:1,scrollbarVisibility:2,getSashOrthogonalSize:()=>this.cachedHeight,descriptor:m})),this.splitview.el.style.height=`${n.headerRowHeight}px`,this.splitview.el.style.lineHeight=`${n.headerRowHeight}px`;const v=new rve(s,c,x=>this.splitview.getViewSize(x));this.list=this.disposables.add(new Ow(e,this.domNode,gCi(n),[v],d)),Tr.any(...f.map(x=>x.onDidLayout))(([x,w])=>v.layoutColumn(x,w),null,this.disposables),this.splitview.onDidSashReset(x=>{const w=s.reduce((P,O)=>P+O.weight,0),I=s[x].weight/w*this.cachedWidth;this.splitview.resizeView(x,I)},null,this.disposables),this.styleElement=Dw(this.domNode),this.style(z1i)}updateOptions(e){this.list.updateOptions(e)}splice(e,i,n=[]){this.list.splice(e,i,n)}getHTMLElement(){return this.domNode}style(e){const i=[];i.push(`.monaco-table.${this.domId} > .monaco-split-view2 .monaco-sash.vertical::before {
+ top: ${this.virtualDelegate.headerRowHeight+1}px;
+ height: calc(100% - ${this.virtualDelegate.headerRowHeight}px);
+ }`),this.styleElement.textContent=i.join(`
+`),this.list.style(e)}getSelectedElements(){return this.list.getSelectedElements()}getSelection(){return this.list.getSelection()}getFocus(){return this.list.getFocus()}dispose(){this.disposables.dispose()}};_Ce.InstanceCount=0;let gHe=_Ce;var uw;(function(l){l[l.Expanded=0]="Expanded",l[l.Collapsed=1]="Collapsed",l[l.PreserveOrExpanded=2]="PreserveOrExpanded",l[l.PreserveOrCollapsed=3]="PreserveOrCollapsed"})(uw||(uw={}));var o9;(function(l){l[l.Unknown=0]="Unknown",l[l.Twistie=1]="Twistie",l[l.Element=2]="Element",l[l.Filter=3]="Filter"})(o9||(o9={}));class gw extends Error{constructor(e,i){super(`TreeError [${e}] ${i}`)}}class SJe{constructor(e){this.fn=e,this._map=new WeakMap}map(e){let i=this._map.get(e);return i||(i=this.fn(e),this._map.set(e,i)),i}}function wJe(l){return typeof l=="object"&&"visibility"in l&&"data"in l}function hre(l){switch(l){case!0:return 1;case!1:return 0;default:return l}}function i9e(l){return typeof l.collapsible=="boolean"}class vCi{constructor(e,i,n,s={}){this.user=e,this.list=i,this.rootRef=[],this.eventBufferer=new lse,this._onDidChangeCollapseState=new gi,this.onDidChangeCollapseState=this.eventBufferer.wrapEvent(this._onDidChangeCollapseState.event),this._onDidChangeRenderNodeCount=new gi,this.onDidChangeRenderNodeCount=this.eventBufferer.wrapEvent(this._onDidChangeRenderNodeCount.event),this._onDidSplice=new gi,this.onDidSplice=this._onDidSplice.event,this.refilterDelayer=new Ck(c2t),this.collapseByDefault=typeof s.collapseByDefault>"u"?!1:s.collapseByDefault,this.allowNonCollapsibleParents=s.allowNonCollapsibleParents??!1,this.filter=s.filter,this.autoExpandSingleChildren=typeof s.autoExpandSingleChildren>"u"?!1:s.autoExpandSingleChildren,this.root={parent:void 0,element:n,children:[],depth:0,visibleChildrenCount:0,visibleChildIndex:-1,collapsible:!1,collapsed:!1,renderNodeCount:0,visibility:1,visible:!0,filterData:void 0}}splice(e,i,n=dl.empty(),s={}){if(e.length===0)throw new gw(this.user,"Invalid tree location");s.diffIdentityProvider?this.spliceSmart(s.diffIdentityProvider,e,i,n,s):this.spliceSimple(e,i,n,s)}spliceSmart(e,i,n,s=dl.empty(),c,d=c.diffDepth??0){const{parentNode:f}=this.getParentNodeWithListIndex(i);if(!f.lastDiffIds)return this.spliceSimple(i,n,s,c);const m=[...s],v=i[i.length-1],x=new mL({getElements:()=>f.lastDiffIds},{getElements:()=>[...f.children.slice(0,v),...m,...f.children.slice(v+n)].map(z=>e.getId(z.element).toString())}).ComputeDiff(!1);if(x.quitEarly)return f.lastDiffIds=void 0,this.spliceSimple(i,n,m,c);const w=i.slice(0,-1),I=(z,J,Y)=>{if(d>0)for(let ae=0;ae<Y;ae++)z--,J--,this.spliceSmart(e,[...w,z,0],Number.MAX_SAFE_INTEGER,m[J].children,c,d-1)};let P=Math.min(f.children.length,v+n),O=m.length;for(const z of x.changes.sort((J,Y)=>Y.originalStart-J.originalStart))I(P,O,P-(z.originalStart+z.originalLength)),P=z.originalStart,O=z.modifiedStart-v,this.spliceSimple([...w,P],z.originalLength,dl.slice(m,O,O+z.modifiedLength),c);I(P,O,P)}spliceSimple(e,i,n=dl.empty(),{onDidCreateNode:s,onDidDeleteNode:c,diffIdentityProvider:d}){const{parentNode:f,listIndex:m,revealed:v,visible:x}=this.getParentNodeWithListIndex(e),w=[],I=dl.map(n,Ce=>this.createTreeNode(Ce,f,f.visible?1:0,v,w,s)),P=e[e.length-1];let O=0;for(let Ce=P;Ce>=0&&Ce<f.children.length;Ce--){const Fe=f.children[Ce];if(Fe.visible){O=Fe.visibleChildIndex;break}}const z=[];let J=0,Y=0;for(const Ce of I)z.push(Ce),Y+=Ce.renderNodeCount,Ce.visible&&(Ce.visibleChildIndex=O+J++);const ae=zyt(f.children,P,i,z);d?f.lastDiffIds?zyt(f.lastDiffIds,P,i,z.map(Ce=>d.getId(Ce.element).toString())):f.lastDiffIds=f.children.map(Ce=>d.getId(Ce.element).toString()):f.lastDiffIds=void 0;let me=0;for(const Ce of ae)Ce.visible&&me++;if(me!==0)for(let Ce=P+z.length;Ce<f.children.length;Ce++){const Fe=f.children[Ce];Fe.visible&&(Fe.visibleChildIndex-=me)}if(f.visibleChildrenCount+=J-me,v&&x){const Ce=ae.reduce((Fe,rt)=>Fe+(rt.visible?rt.renderNodeCount:0),0);this._updateAncestorsRenderNodeCount(f,Y-Ce),this.list.splice(m,Ce,w)}if(ae.length>0&&c){const Ce=Fe=>{c(Fe),Fe.children.forEach(Ce)};ae.forEach(Ce)}this._onDidSplice.fire({insertedNodes:z,deletedNodes:ae});let ye=f;for(;ye;){if(ye.visibility===2){this.refilterDelayer.trigger(()=>this.refilter());break}ye=ye.parent}}rerender(e){if(e.length===0)throw new gw(this.user,"Invalid tree location");const{node:i,listIndex:n,revealed:s}=this.getTreeNodeWithListIndex(e);i.visible&&s&&this.list.splice(n,1,[i])}has(e){return this.hasTreeNode(e)}getListIndex(e){const{listIndex:i,visible:n,revealed:s}=this.getTreeNodeWithListIndex(e);return n&&s?i:-1}getListRenderCount(e){return this.getTreeNode(e).renderNodeCount}isCollapsible(e){return this.getTreeNode(e).collapsible}setCollapsible(e,i){const n=this.getTreeNode(e);typeof i>"u"&&(i=!n.collapsible);const s={collapsible:i};return this.eventBufferer.bufferEvents(()=>this._setCollapseState(e,s))}isCollapsed(e){return this.getTreeNode(e).collapsed}setCollapsed(e,i,n){const s=this.getTreeNode(e);typeof i>"u"&&(i=!s.collapsed);const c={collapsed:i,recursive:n||!1};return this.eventBufferer.bufferEvents(()=>this._setCollapseState(e,c))}_setCollapseState(e,i){const{node:n,listIndex:s,revealed:c}=this.getTreeNodeWithListIndex(e),d=this._setListNodeCollapseState(n,s,c,i);if(n!==this.root&&this.autoExpandSingleChildren&&d&&!i9e(i)&&n.collapsible&&!n.collapsed&&!i.recursive){let f=-1;for(let m=0;m<n.children.length;m++)if(n.children[m].visible)if(f>-1){f=-1;break}else f=m;f>-1&&this._setCollapseState([...e,f],i)}return d}_setListNodeCollapseState(e,i,n,s){const c=this._setNodeCollapseState(e,s,!1);if(!n||!e.visible||!c)return c;const d=e.renderNodeCount,f=this.updateNodeAfterCollapseChange(e),m=d-(i===-1?0:1);return this.list.splice(i+1,m,f.slice(1)),c}_setNodeCollapseState(e,i,n){let s;if(e===this.root?s=!1:(i9e(i)?(s=e.collapsible!==i.collapsible,e.collapsible=i.collapsible):e.collapsible?(s=e.collapsed!==i.collapsed,e.collapsed=i.collapsed):s=!1,s&&this._onDidChangeCollapseState.fire({node:e,deep:n})),!i9e(i)&&i.recursive)for(const c of e.children)s=this._setNodeCollapseState(c,i,!0)||s;return s}expandTo(e){this.eventBufferer.bufferEvents(()=>{let i=this.getTreeNode(e);for(;i.parent;)i=i.parent,e=e.slice(0,e.length-1),i.collapsed&&this._setCollapseState(e,{collapsed:!1,recursive:!1})})}refilter(){const e=this.root.renderNodeCount,i=this.updateNodeAfterFilterChange(this.root);this.list.splice(0,e,i),this.refilterDelayer.cancel()}createTreeNode(e,i,n,s,c,d){const f={parent:i,element:e.element,children:[],depth:i.depth+1,visibleChildrenCount:0,visibleChildIndex:-1,collapsible:typeof e.collapsible=="boolean"?e.collapsible:typeof e.collapsed<"u",collapsed:typeof e.collapsed>"u"?this.collapseByDefault:e.collapsed,renderNodeCount:1,visibility:1,visible:!0,filterData:void 0},m=this._filterNode(f,n);f.visibility=m,s&&c.push(f);const v=e.children||dl.empty(),x=s&&m!==0&&!f.collapsed;let w=0,I=1;for(const P of v){const O=this.createTreeNode(P,f,m,x,c,d);f.children.push(O),I+=O.renderNodeCount,O.visible&&(O.visibleChildIndex=w++)}return this.allowNonCollapsibleParents||(f.collapsible=f.collapsible||f.children.length>0),f.visibleChildrenCount=w,f.visible=m===2?w>0:m===1,f.visible?f.collapsed||(f.renderNodeCount=I):(f.renderNodeCount=0,s&&c.pop()),d==null||d(f),f}updateNodeAfterCollapseChange(e){const i=e.renderNodeCount,n=[];return this._updateNodeAfterCollapseChange(e,n),this._updateAncestorsRenderNodeCount(e.parent,n.length-i),n}_updateNodeAfterCollapseChange(e,i){if(e.visible===!1)return 0;if(i.push(e),e.renderNodeCount=1,!e.collapsed)for(const n of e.children)e.renderNodeCount+=this._updateNodeAfterCollapseChange(n,i);return this._onDidChangeRenderNodeCount.fire(e),e.renderNodeCount}updateNodeAfterFilterChange(e){const i=e.renderNodeCount,n=[];return this._updateNodeAfterFilterChange(e,e.visible?1:0,n),this._updateAncestorsRenderNodeCount(e.parent,n.length-i),n}_updateNodeAfterFilterChange(e,i,n,s=!0){let c;if(e!==this.root){if(c=this._filterNode(e,i),c===0)return e.visible=!1,e.renderNodeCount=0,!1;s&&n.push(e)}const d=n.length;e.renderNodeCount=e===this.root?0:1;let f=!1;if(!e.collapsed||c!==0){let m=0;for(const v of e.children)f=this._updateNodeAfterFilterChange(v,c,n,s&&!e.collapsed)||f,v.visible&&(v.visibleChildIndex=m++);e.visibleChildrenCount=m}else e.visibleChildrenCount=0;return e!==this.root&&(e.visible=c===2?f:c===1,e.visibility=c),e.visible?e.collapsed||(e.renderNodeCount+=n.length-d):(e.renderNodeCount=0,s&&n.pop()),this._onDidChangeRenderNodeCount.fire(e),e.visible}_updateAncestorsRenderNodeCount(e,i){if(i!==0)for(;e;)e.renderNodeCount+=i,this._onDidChangeRenderNodeCount.fire(e),e=e.parent}_filterNode(e,i){const n=this.filter?this.filter.filter(e.element,i):1;return typeof n=="boolean"?(e.filterData=void 0,n?1:0):wJe(n)?(e.filterData=n.data,hre(n.visibility)):(e.filterData=void 0,hre(n))}hasTreeNode(e,i=this.root){if(!e||e.length===0)return!0;const[n,...s]=e;return n<0||n>i.children.length?!1:this.hasTreeNode(s,i.children[n])}getTreeNode(e,i=this.root){if(!e||e.length===0)return i;const[n,...s]=e;if(n<0||n>i.children.length)throw new gw(this.user,"Invalid tree location");return this.getTreeNode(s,i.children[n])}getTreeNodeWithListIndex(e){if(e.length===0)return{node:this.root,listIndex:-1,revealed:!0,visible:!1};const{parentNode:i,listIndex:n,revealed:s,visible:c}=this.getParentNodeWithListIndex(e),d=e[e.length-1];if(d<0||d>i.children.length)throw new gw(this.user,"Invalid tree location");const f=i.children[d];return{node:f,listIndex:n,revealed:s,visible:c&&f.visible}}getParentNodeWithListIndex(e,i=this.root,n=0,s=!0,c=!0){const[d,...f]=e;if(d<0||d>i.children.length)throw new gw(this.user,"Invalid tree location");for(let m=0;m<d;m++)n+=i.children[m].renderNodeCount;return s=s&&!i.collapsed,c=c&&i.visible,f.length===0?{parentNode:i,listIndex:n,revealed:s,visible:c}:this.getParentNodeWithListIndex(f,i.children[d],n+1,s,c)}getNode(e=[]){return this.getTreeNode(e)}getNodeLocation(e){const i=[];let n=e;for(;n.parent;)i.push(n.parent.children.indexOf(n)),n=n.parent;return i.reverse()}getParentNodeLocation(e){if(e.length!==0)return e.length===1?[]:Aai(e)[0]}getFirstElementChild(e){const i=this.getTreeNode(e);if(i.children.length!==0)return i.children[0].element}}class bCi extends Ese{constructor(e){super(e.elements.map(i=>i.element)),this.data=e}}function n9e(l){return l instanceof Ese?new bCi(l):l}class CCi{constructor(e,i){this.modelProvider=e,this.dnd=i,this.autoExpandDisposable=xi.None,this.disposables=new wn}getDragURI(e){return this.dnd.getDragURI(e.element)}getDragLabel(e,i){if(this.dnd.getDragLabel)return this.dnd.getDragLabel(e.map(n=>n.element),i)}onDragStart(e,i){var n,s;(s=(n=this.dnd).onDragStart)==null||s.call(n,n9e(e),i)}onDragOver(e,i,n,s,c,d=!0){const f=this.dnd.onDragOver(n9e(e),i&&i.element,n,s,c),m=this.autoExpandNode!==i;if(m&&(this.autoExpandDisposable.dispose(),this.autoExpandNode=i),typeof i>"u")return f;if(m&&typeof f!="boolean"&&f.autoExpand&&(this.autoExpandDisposable=CR(()=>{const P=this.modelProvider(),O=P.getNodeLocation(i);P.isCollapsed(O)&&P.setCollapsed(O,!1),this.autoExpandNode=void 0},500,this.disposables)),typeof f=="boolean"||!f.accept||typeof f.bubble>"u"||f.feedback){if(!d){const P=typeof f=="boolean"?f:f.accept,O=typeof f=="boolean"?void 0:f.effect;return{accept:P,effect:O,feedback:[n]}}return f}if(f.bubble===1){const P=this.modelProvider(),O=P.getNodeLocation(i),z=P.getParentNodeLocation(O),J=P.getNode(z),Y=z&&P.getListIndex(z);return this.onDragOver(e,J,Y,s,c,!1)}const v=this.modelProvider(),x=v.getNodeLocation(i),w=v.getListIndex(x),I=v.getListRenderCount(x);return{...f,feedback:Sb(w,w+I)}}drop(e,i,n,s,c){this.autoExpandDisposable.dispose(),this.autoExpandNode=void 0,this.dnd.drop(n9e(e),i&&i.element,n,s,c)}onDragEnd(e){var i,n;(n=(i=this.dnd).onDragEnd)==null||n.call(i,e)}dispose(){this.disposables.dispose(),this.dnd.dispose()}}function SCi(l,e){return e&&{...e,identityProvider:e.identityProvider&&{getId(i){return e.identityProvider.getId(i.element)}},dnd:e.dnd&&new CCi(l,e.dnd),multipleSelectionController:e.multipleSelectionController&&{isSelectionSingleChangeEvent(i){return e.multipleSelectionController.isSelectionSingleChangeEvent({...i,element:i.element})},isSelectionRangeChangeEvent(i){return e.multipleSelectionController.isSelectionRangeChangeEvent({...i,element:i.element})}},accessibilityProvider:e.accessibilityProvider&&{...e.accessibilityProvider,getSetSize(i){const n=l(),s=n.getNodeLocation(i),c=n.getParentNodeLocation(s);return n.getNode(c).visibleChildrenCount},getPosInSet(i){return i.visibleChildIndex+1},isChecked:e.accessibilityProvider&&e.accessibilityProvider.isChecked?i=>e.accessibilityProvider.isChecked(i.element):void 0,getRole:e.accessibilityProvider&&e.accessibilityProvider.getRole?i=>e.accessibilityProvider.getRole(i.element):()=>"treeitem",getAriaLabel(i){return e.accessibilityProvider.getAriaLabel(i.element)},getWidgetAriaLabel(){return e.accessibilityProvider.getWidgetAriaLabel()},getWidgetRole:e.accessibilityProvider&&e.accessibilityProvider.getWidgetRole?()=>e.accessibilityProvider.getWidgetRole():()=>"tree",getAriaLevel:e.accessibilityProvider&&e.accessibilityProvider.getAriaLevel?i=>e.accessibilityProvider.getAriaLevel(i.element):i=>i.depth,getActiveDescendantId:e.accessibilityProvider.getActiveDescendantId&&(i=>e.accessibilityProvider.getActiveDescendantId(i.element))},keyboardNavigationLabelProvider:e.keyboardNavigationLabelProvider&&{...e.keyboardNavigationLabelProvider,getKeyboardNavigationLabel(i){return e.keyboardNavigationLabelProvider.getKeyboardNavigationLabel(i.element)}}}}class xJe{constructor(e){this.delegate=e}getHeight(e){return this.delegate.getHeight(e.element)}getTemplateId(e){return this.delegate.getTemplateId(e.element)}hasDynamicHeight(e){return!!this.delegate.hasDynamicHeight&&this.delegate.hasDynamicHeight(e.element)}setDynamicHeight(e,i){var n,s;(s=(n=this.delegate).setDynamicHeight)==null||s.call(n,e.element,i)}}var j$;(function(l){l.None="none",l.OnHover="onHover",l.Always="always"})(j$||(j$={}));class wCi{get elements(){return this._elements}constructor(e,i=[]){this._elements=i,this.disposables=new wn,this.onDidChange=Tr.forEach(e,n=>this._elements=n,this.disposables)}dispose(){this.disposables.dispose()}}const pne=class pne{constructor(e,i,n,s,c,d={}){var f;this.renderer=e,this.modelProvider=i,this.activeNodes=s,this.renderedIndentGuides=c,this.renderedElements=new Map,this.renderedNodes=new Map,this.indent=pne.DefaultIndent,this.hideTwistiesOfChildlessElements=!1,this.shouldRenderIndentGuides=!1,this.activeIndentNodes=new Set,this.indentGuidesDisposable=xi.None,this.disposables=new wn,this.templateId=e.templateId,this.updateOptions(d),Tr.map(n,m=>m.node)(this.onDidChangeNodeTwistieState,this,this.disposables),(f=e.onDidChangeTwistieState)==null||f.call(e,this.onDidChangeTwistieState,this,this.disposables)}updateOptions(e={}){if(typeof e.indent<"u"){const i=uv(e.indent,0,40);if(i!==this.indent){this.indent=i;for(const[n,s]of this.renderedNodes)this.renderTreeElement(n,s)}}if(typeof e.renderIndentGuides<"u"){const i=e.renderIndentGuides!==j$.None;if(i!==this.shouldRenderIndentGuides){this.shouldRenderIndentGuides=i;for(const[n,s]of this.renderedNodes)this._renderIndentGuides(n,s);if(this.indentGuidesDisposable.dispose(),i){const n=new wn;this.activeNodes.onDidChange(this._onDidChangeActiveNodes,this,n),this.indentGuidesDisposable=n,this._onDidChangeActiveNodes(this.activeNodes.elements)}}}typeof e.hideTwistiesOfChildlessElements<"u"&&(this.hideTwistiesOfChildlessElements=e.hideTwistiesOfChildlessElements)}renderTemplate(e){const i=jn(e,Cr(".monaco-tl-row")),n=jn(i,Cr(".monaco-tl-indent")),s=jn(i,Cr(".monaco-tl-twistie")),c=jn(i,Cr(".monaco-tl-contents")),d=this.renderer.renderTemplate(c);return{container:e,indent:n,twistie:s,indentGuidesDisposable:xi.None,templateData:d}}renderElement(e,i,n,s){this.renderedNodes.set(e,n),this.renderedElements.set(e.element,e),this.renderTreeElement(e,n),this.renderer.renderElement(e,i,n.templateData,s)}disposeElement(e,i,n,s){var c,d;n.indentGuidesDisposable.dispose(),(d=(c=this.renderer).disposeElement)==null||d.call(c,e,i,n.templateData,s),typeof s=="number"&&(this.renderedNodes.delete(e),this.renderedElements.delete(e.element))}disposeTemplate(e){this.renderer.disposeTemplate(e.templateData)}onDidChangeTwistieState(e){const i=this.renderedElements.get(e);i&&this.onDidChangeNodeTwistieState(i)}onDidChangeNodeTwistieState(e){const i=this.renderedNodes.get(e);i&&(this._onDidChangeActiveNodes(this.activeNodes.elements),this.renderTreeElement(e,i))}renderTreeElement(e,i){const n=pne.DefaultIndent+(e.depth-1)*this.indent;i.twistie.style.paddingLeft=`${n}px`,i.indent.style.width=`${n+this.indent-16}px`,e.collapsible?i.container.setAttribute("aria-expanded",String(!e.collapsed)):i.container.removeAttribute("aria-expanded"),i.twistie.classList.remove(...zo.asClassNameArray(pr.treeItemExpanded));let s=!1;this.renderer.renderTwistie&&(s=this.renderer.renderTwistie(e.element,i.twistie)),e.collapsible&&(!this.hideTwistiesOfChildlessElements||e.visibleChildrenCount>0)?(s||i.twistie.classList.add(...zo.asClassNameArray(pr.treeItemExpanded)),i.twistie.classList.add("collapsible"),i.twistie.classList.toggle("collapsed",e.collapsed)):i.twistie.classList.remove("collapsible","collapsed"),this._renderIndentGuides(e,i)}_renderIndentGuides(e,i){if(s0(i.indent),i.indentGuidesDisposable.dispose(),!this.shouldRenderIndentGuides)return;const n=new wn,s=this.modelProvider();for(;;){const c=s.getNodeLocation(e),d=s.getParentNodeLocation(c);if(!d)break;const f=s.getNode(d),m=Cr(".indent-guide",{style:`width: ${this.indent}px`});this.activeIndentNodes.has(f)&&m.classList.add("active"),i.indent.childElementCount===0?i.indent.appendChild(m):i.indent.insertBefore(m,i.indent.firstElementChild),this.renderedIndentGuides.add(f,m),n.add(fo(()=>this.renderedIndentGuides.delete(f,m))),e=f}i.indentGuidesDisposable=n}_onDidChangeActiveNodes(e){if(!this.shouldRenderIndentGuides)return;const i=new Set,n=this.modelProvider();e.forEach(s=>{const c=n.getNodeLocation(s);try{const d=n.getParentNodeLocation(c);s.collapsible&&s.children.length>0&&!s.collapsed?i.add(s):d&&i.add(n.getNode(d))}catch{}}),this.activeIndentNodes.forEach(s=>{i.has(s)||this.renderedIndentGuides.forEach(s,c=>c.classList.remove("active"))}),i.forEach(s=>{this.activeIndentNodes.has(s)||this.renderedIndentGuides.forEach(s,c=>c.classList.add("active"))}),this.activeIndentNodes=i}dispose(){this.renderedNodes.clear(),this.renderedElements.clear(),this.indentGuidesDisposable.dispose(),vd(this.disposables)}};pne.DefaultIndent=8;let yHe=pne;class xCi{get totalCount(){return this._totalCount}get matchCount(){return this._matchCount}constructor(e,i,n){this.tree=e,this.keyboardNavigationLabelProvider=i,this._filter=n,this._totalCount=0,this._matchCount=0,this._pattern="",this._lowercasePattern="",this.disposables=new wn,e.onWillRefilter(this.reset,this,this.disposables)}filter(e,i){let n=1;if(this._filter){const d=this._filter.filter(e,i);if(typeof d=="boolean"?n=d?1:0:wJe(d)?n=hre(d.visibility):n=d,n===0)return!1}if(this._totalCount++,!this._pattern)return this._matchCount++,{data:BE.Default,visibility:n};const s=this.keyboardNavigationLabelProvider.getKeyboardNavigationLabel(e),c=Array.isArray(s)?s:[s];for(const d of c){const f=d&&d.toString();if(typeof f>"u")return{data:BE.Default,visibility:n};let m;if(this.tree.findMatchType===OB.Contiguous){const v=f.toLowerCase().indexOf(this._lowercasePattern);if(v>-1){m=[Number.MAX_SAFE_INTEGER,0];for(let x=this._lowercasePattern.length;x>0;x--)m.push(v+x-1)}}else m=NB(this._pattern,this._lowercasePattern,0,f,f.toLowerCase(),0,{firstMatchCanBeWeak:!0,boostFullMatch:!0});if(m)return this._matchCount++,c.length===1?{data:m,visibility:n}:{data:{label:f,score:m},visibility:n}}return this.tree.findMode===z4.Filter?typeof this.tree.options.defaultFindVisibility=="number"?this.tree.options.defaultFindVisibility:this.tree.options.defaultFindVisibility?this.tree.options.defaultFindVisibility(e):2:{data:BE.Default,visibility:n}}reset(){this._totalCount=0,this._matchCount=0}dispose(){vd(this.disposables)}}var z4;(function(l){l[l.Highlight=0]="Highlight",l[l.Filter=1]="Filter"})(z4||(z4={}));var OB;(function(l){l[l.Fuzzy=0]="Fuzzy",l[l.Contiguous=1]="Contiguous"})(OB||(OB={}));let kCi=class{get pattern(){return this._pattern}get mode(){return this._mode}set mode(e){e!==this._mode&&(this._mode=e,this.widget&&(this.widget.mode=this._mode),this.tree.refilter(),this.render(),this._onDidChangeMode.fire(e))}get matchType(){return this._matchType}set matchType(e){e!==this._matchType&&(this._matchType=e,this.widget&&(this.widget.matchType=this._matchType),this.tree.refilter(),this.render(),this._onDidChangeMatchType.fire(e))}constructor(e,i,n,s,c,d={}){this.tree=e,this.view=n,this.filter=s,this.contextViewProvider=c,this.options=d,this._pattern="",this.width=0,this._onDidChangeMode=new gi,this.onDidChangeMode=this._onDidChangeMode.event,this._onDidChangeMatchType=new gi,this.onDidChangeMatchType=this._onDidChangeMatchType.event,this._onDidChangePattern=new gi,this._onDidChangeOpenState=new gi,this.onDidChangeOpenState=this._onDidChangeOpenState.event,this.enabledDisposables=new wn,this.disposables=new wn,this._mode=e.options.defaultFindMode??z4.Highlight,this._matchType=e.options.defaultFindMatchType??OB.Fuzzy,i.onDidSplice(this.onDidSpliceModel,this,this.disposables)}updateOptions(e={}){e.defaultFindMode!==void 0&&(this.mode=e.defaultFindMode),e.defaultFindMatchType!==void 0&&(this.matchType=e.defaultFindMatchType)}onDidSpliceModel(){!this.widget||this.pattern.length===0||(this.tree.refilter(),this.render())}render(){var i,n,s;const e=this.filter.totalCount>0&&this.filter.matchCount===0;this.pattern&&e?(xv(W("replFindNoResults","No results")),this.tree.options.showNotFoundMessage??!0?(i=this.widget)==null||i.showMessage({type:2,content:W("not found","No elements found.")}):(n=this.widget)==null||n.showMessage({type:2})):((s=this.widget)==null||s.clearMessage(),this.pattern&&xv(W("replFindResults","{0} results",this.filter.matchCount)))}shouldAllowFocus(e){return!this.widget||!this.pattern||this.filter.totalCount>0&&this.filter.matchCount<=1?!0:!BE.isDefault(e.filterData)}layout(e){var i;this.width=e,(i=this.widget)==null||i.layout(e)}dispose(){this._history=void 0,this._onDidChangePattern.dispose(),this.enabledDisposables.dispose(),this.disposables.dispose()}};function TCi(l,e){return l.position===e.position&&DEt(l,e)}function DEt(l,e){return l.node.element===e.node.element&&l.startIndex===e.startIndex&&l.height===e.height&&l.endIndex===e.endIndex}class DCi{constructor(e=[]){this.stickyNodes=e}get count(){return this.stickyNodes.length}equal(e){return Lf(this.stickyNodes,e.stickyNodes,TCi)}lastNodePartiallyVisible(){if(this.count===0)return!1;const e=this.stickyNodes[this.count-1];if(this.count===1)return e.position!==0;const i=this.stickyNodes[this.count-2];return i.position+i.height!==e.position}animationStateChanged(e){if(!Lf(this.stickyNodes,e.stickyNodes,DEt)||this.count===0)return!1;const i=this.stickyNodes[this.count-1],n=e.stickyNodes[e.count-1];return i.position!==n.position}}class ECi{constrainStickyScrollNodes(e,i,n){for(let s=0;s<e.length;s++){const c=e[s];if(c.position+c.height>n||s>=i)return e.slice(0,s)}return e}}let qCt=class extends xi{constructor(e,i,n,s,c,d={}){super(),this.tree=e,this.model=i,this.view=n,this.treeDelegate=c,this.maxWidgetViewRatio=.4;const f=this.validateStickySettings(d);this.stickyScrollMaxItemCount=f.stickyScrollMaxItemCount,this.stickyScrollDelegate=d.stickyScrollDelegate??new ECi,this._widget=this._register(new ICi(n.getScrollableElement(),n,e,s,c,d.accessibilityProvider)),this.onDidChangeHasFocus=this._widget.onDidChangeHasFocus,this.onContextMenu=this._widget.onContextMenu,this._register(n.onDidScroll(()=>this.update())),this._register(n.onDidChangeContentHeight(()=>this.update())),this._register(e.onDidChangeCollapseState(()=>this.update())),this.update()}get height(){return this._widget.height}getNodeAtHeight(e){let i;if(e===0?i=this.view.firstVisibleIndex:i=this.view.indexAt(e+this.view.scrollTop),!(i<0||i>=this.view.length))return this.view.element(i)}update(){const e=this.getNodeAtHeight(0);if(!e||this.tree.scrollTop===0){this._widget.setState(void 0);return}const i=this.findStickyState(e);this._widget.setState(i)}findStickyState(e){const i=[];let n=e,s=0,c=this.getNextStickyNode(n,void 0,s);for(;c&&(i.push(c),s+=c.height,!(i.length<=this.stickyScrollMaxItemCount&&(n=this.getNextVisibleNode(c),!n)));)c=this.getNextStickyNode(n,c.node,s);const d=this.constrainStickyNodes(i);return d.length?new DCi(d):void 0}getNextVisibleNode(e){return this.getNodeAtHeight(e.position+e.height)}getNextStickyNode(e,i,n){const s=this.getAncestorUnderPrevious(e,i);if(s&&!(s===e&&(!this.nodeIsUncollapsedParent(e)||this.nodeTopAlignsWithStickyNodesBottom(e,n))))return this.createStickyScrollNode(s,n)}nodeTopAlignsWithStickyNodesBottom(e,i){const n=this.getNodeIndex(e),s=this.view.getElementTop(n),c=i;return this.view.scrollTop===s-c}createStickyScrollNode(e,i){const n=this.treeDelegate.getHeight(e),{startIndex:s,endIndex:c}=this.getNodeRange(e),d=this.calculateStickyNodePosition(c,i,n);return{node:e,position:d,height:n,startIndex:s,endIndex:c}}getAncestorUnderPrevious(e,i=void 0){let n=e,s=this.getParentNode(n);for(;s;){if(s===i)return n;n=s,s=this.getParentNode(n)}if(i===void 0)return n}calculateStickyNodePosition(e,i,n){let s=this.view.getRelativeTop(e);if(s===null&&this.view.firstVisibleIndex===e&&e+1<this.view.length){const v=this.treeDelegate.getHeight(this.view.element(e)),x=this.view.getRelativeTop(e+1);s=x?x-v/this.view.renderHeight:null}if(s===null)return i;const c=this.view.element(e),d=this.treeDelegate.getHeight(c),m=s*this.view.renderHeight+d;return i+n>m&&i<=m?m-n:i}constrainStickyNodes(e){if(e.length===0)return[];const i=this.view.renderHeight*this.maxWidgetViewRatio,n=e[e.length-1];if(e.length<=this.stickyScrollMaxItemCount&&n.position+n.height<=i)return e;const s=this.stickyScrollDelegate.constrainStickyScrollNodes(e,this.stickyScrollMaxItemCount,i);if(!s.length)return[];const c=s[s.length-1];if(s.length>this.stickyScrollMaxItemCount||c.position+c.height>i)throw new Error("stickyScrollDelegate violates constraints");return s}getParentNode(e){const i=this.model.getNodeLocation(e),n=this.model.getParentNodeLocation(i);return n?this.model.getNode(n):void 0}nodeIsUncollapsedParent(e){const i=this.model.getNodeLocation(e);return this.model.getListRenderCount(i)>1}getNodeIndex(e){const i=this.model.getNodeLocation(e);return this.model.getListIndex(i)}getNodeRange(e){const i=this.model.getNodeLocation(e),n=this.model.getListIndex(i);if(n<0)throw new Error("Node not found in tree");const s=this.model.getListRenderCount(i),c=n+s-1;return{startIndex:n,endIndex:c}}nodePositionTopBelowWidget(e){const i=[];let n=this.getParentNode(e);for(;n;)i.push(n),n=this.getParentNode(n);let s=0;for(let c=0;c<i.length&&c<this.stickyScrollMaxItemCount;c++)s+=this.treeDelegate.getHeight(i[c]);return s}domFocus(){this._widget.domFocus()}focusedLast(){return this._widget.focusedLast()}updateOptions(e={}){if(!e.stickyScrollMaxItemCount)return;const i=this.validateStickySettings(e);this.stickyScrollMaxItemCount!==i.stickyScrollMaxItemCount&&(this.stickyScrollMaxItemCount=i.stickyScrollMaxItemCount,this.update())}validateStickySettings(e){let i=7;return typeof e.stickyScrollMaxItemCount=="number"&&(i=Math.max(e.stickyScrollMaxItemCount,1)),{stickyScrollMaxItemCount:i}}},ICi=class{constructor(e,i,n,s,c,d){this.view=i,this.tree=n,this.treeRenderers=s,this.treeDelegate=c,this.accessibilityProvider=d,this._previousElements=[],this._previousStateDisposables=new wn,this._rootDomNode=Cr(".monaco-tree-sticky-container.empty"),e.appendChild(this._rootDomNode);const f=Cr(".monaco-tree-sticky-container-shadow");this._rootDomNode.appendChild(f),this.stickyScrollFocus=new NCi(this._rootDomNode,i),this.onDidChangeHasFocus=this.stickyScrollFocus.onDidChangeHasFocus,this.onContextMenu=this.stickyScrollFocus.onContextMenu}get height(){if(!this._previousState)return 0;const e=this._previousState.stickyNodes[this._previousState.count-1];return e.position+e.height}setState(e){const i=!!this._previousState&&this._previousState.count>0,n=!!e&&e.count>0;if(!i&&!n||i&&n&&this._previousState.equal(e))return;if(i!==n&&this.setVisible(n),!n){this._previousState=void 0,this._previousElements=[],this._previousStateDisposables.clear();return}const s=e.stickyNodes[e.count-1];if(this._previousState&&e.animationStateChanged(this._previousState))this._previousElements[this._previousState.count-1].style.top=`${s.position}px`;else{this._previousStateDisposables.clear();const c=Array(e.count);for(let d=e.count-1;d>=0;d--){const f=e.stickyNodes[d],{element:m,disposable:v}=this.createElement(f,d,e.count);c[d]=m,this._rootDomNode.appendChild(m),this._previousStateDisposables.add(v)}this.stickyScrollFocus.updateElements(c,e),this._previousElements=c}this._previousState=e,this._rootDomNode.style.height=`${s.position+s.height}px`}createElement(e,i,n){const s=e.startIndex,c=document.createElement("div");c.style.top=`${e.position}px`,this.tree.options.setRowHeight!==!1&&(c.style.height=`${e.height}px`),this.tree.options.setRowLineHeight!==!1&&(c.style.lineHeight=`${e.height}px`),c.classList.add("monaco-tree-sticky-row"),c.classList.add("monaco-list-row"),c.setAttribute("data-index",`${s}`),c.setAttribute("data-parity",s%2===0?"even":"odd"),c.setAttribute("id",this.view.getElementID(s));const d=this.setAccessibilityAttributes(c,e.node.element,i,n),f=this.treeDelegate.getTemplateId(e.node),m=this.treeRenderers.find(I=>I.templateId===f);if(!m)throw new Error(`No renderer found for template id ${f}`);let v=e.node;v===this.tree.getNode(this.tree.getNodeLocation(e.node))&&(v=new Proxy(e.node,{}));const x=m.renderTemplate(c);m.renderElement(v,e.startIndex,x,e.height);const w=fo(()=>{d.dispose(),m.disposeElement(v,e.startIndex,x,e.height),m.disposeTemplate(x),c.remove()});return{element:c,disposable:w}}setAccessibilityAttributes(e,i,n,s){if(!this.accessibilityProvider)return xi.None;this.accessibilityProvider.getSetSize&&e.setAttribute("aria-setsize",String(this.accessibilityProvider.getSetSize(i,n,s))),this.accessibilityProvider.getPosInSet&&e.setAttribute("aria-posinset",String(this.accessibilityProvider.getPosInSet(i,n))),this.accessibilityProvider.getRole&&e.setAttribute("role",this.accessibilityProvider.getRole(i)??"treeitem");const c=this.accessibilityProvider.getAriaLabel(i),d=c&&typeof c!="string"?c:mk(c),f=ba(v=>{const x=v.readObservable(d);x?e.setAttribute("aria-label",x):e.removeAttribute("aria-label")});typeof c=="string"||c&&e.setAttribute("aria-label",c.get());const m=this.accessibilityProvider.getAriaLevel&&this.accessibilityProvider.getAriaLevel(i);return typeof m=="number"&&e.setAttribute("aria-level",`${m}`),e.setAttribute("aria-selected",String(!1)),f}setVisible(e){this._rootDomNode.classList.toggle("empty",!e),e||this.stickyScrollFocus.updateElements([],void 0)}domFocus(){this.stickyScrollFocus.domFocus()}focusedLast(){return this.stickyScrollFocus.focusedLast()}dispose(){this.stickyScrollFocus.dispose(),this._previousStateDisposables.dispose(),this._rootDomNode.remove()}};class NCi extends xi{get domHasFocus(){return this._domHasFocus}set domHasFocus(e){e!==this._domHasFocus&&(this._onDidChangeHasFocus.fire(e),this._domHasFocus=e)}constructor(e,i){super(),this.container=e,this.view=i,this.focusedIndex=-1,this.elements=[],this._onDidChangeHasFocus=new gi,this.onDidChangeHasFocus=this._onDidChangeHasFocus.event,this._onContextMenu=new gi,this.onContextMenu=this._onContextMenu.event,this._domHasFocus=!1,this._register(en(this.container,"focus",()=>this.onFocus())),this._register(en(this.container,"blur",()=>this.onBlur())),this._register(this.view.onDidFocus(()=>this.toggleStickyScrollFocused(!1))),this._register(this.view.onKeyDown(n=>this.onKeyDown(n))),this._register(this.view.onMouseDown(n=>this.onMouseDown(n))),this._register(this.view.onContextMenu(n=>this.handleContextMenu(n)))}handleContextMenu(e){const i=e.browserEvent.target;if(!are(i)&&!Nie(i)){this.focusedLast()&&this.view.domFocus();return}if(!E4(e.browserEvent)){if(!this.state)throw new Error("Context menu should not be triggered when state is undefined");const d=this.state.stickyNodes.findIndex(f=>{var m;return f.node.element===((m=e.element)==null?void 0:m.element)});if(d===-1)throw new Error("Context menu should not be triggered when element is not in sticky scroll widget");this.container.focus(),this.setFocus(d);return}if(!this.state||this.focusedIndex<0)throw new Error("Context menu key should not be triggered when focus is not in sticky scroll widget");const s=this.state.stickyNodes[this.focusedIndex].node.element,c=this.elements[this.focusedIndex];this._onContextMenu.fire({element:s,anchor:c,browserEvent:e.browserEvent,isStickyScroll:!0})}onKeyDown(e){if(this.domHasFocus&&this.state){if(e.key==="ArrowUp")this.setFocusedElement(Math.max(0,this.focusedIndex-1)),e.preventDefault(),e.stopPropagation();else if(e.key==="ArrowDown"||e.key==="ArrowRight"){if(this.focusedIndex>=this.state.count-1){const i=this.state.stickyNodes[this.state.count-1].startIndex+1;this.view.domFocus(),this.view.setFocus([i]),this.scrollNodeUnderWidget(i,this.state)}else this.setFocusedElement(this.focusedIndex+1);e.preventDefault(),e.stopPropagation()}}}onMouseDown(e){const i=e.browserEvent.target;!are(i)&&!Nie(i)||(e.browserEvent.preventDefault(),e.browserEvent.stopPropagation())}updateElements(e,i){if(i&&i.count===0)throw new Error("Sticky scroll state must be undefined when there are no sticky nodes");if(i&&i.count!==e.length)throw new Error("Sticky scroll focus received illigel state");const n=this.focusedIndex;if(this.removeFocus(),this.elements=e,this.state=i,i){const s=uv(n,0,i.count-1);this.setFocus(s)}else this.domHasFocus&&this.view.domFocus();this.container.tabIndex=i?0:-1}setFocusedElement(e){const i=this.state;if(!i)throw new Error("Cannot set focus when state is undefined");if(this.setFocus(e),!(e<i.count-1)&&i.lastNodePartiallyVisible()){const n=i.stickyNodes[e];this.scrollNodeUnderWidget(n.endIndex+1,i)}}scrollNodeUnderWidget(e,i){const n=i.stickyNodes[i.count-1],s=i.count>1?i.stickyNodes[i.count-2]:void 0,c=this.view.getElementTop(e),d=s?s.position+s.height+n.height:n.height;this.view.scrollTop=c-d}domFocus(){if(!this.state)throw new Error("Cannot focus when state is undefined");this.container.focus()}focusedLast(){return this.state?this.view.getHTMLElement().classList.contains("sticky-scroll-focused"):!1}removeFocus(){this.focusedIndex!==-1&&(this.toggleElementFocus(this.elements[this.focusedIndex],!1),this.focusedIndex=-1)}setFocus(e){if(0>e)throw new Error("addFocus() can not remove focus");if(!this.state&&e>=0)throw new Error("Cannot set focus index when state is undefined");if(this.state&&e>=this.state.count)throw new Error("Cannot set focus index to an index that does not exist");const i=this.focusedIndex;i>=0&&this.toggleElementFocus(this.elements[i],!1),e>=0&&this.toggleElementFocus(this.elements[e],!0),this.focusedIndex=e}toggleElementFocus(e,i){this.toggleElementActiveFocus(e,i&&this.domHasFocus),this.toggleElementPassiveFocus(e,i)}toggleCurrentElementActiveFocus(e){this.focusedIndex!==-1&&this.toggleElementActiveFocus(this.elements[this.focusedIndex],e)}toggleElementActiveFocus(e,i){e.classList.toggle("focused",i)}toggleElementPassiveFocus(e,i){e.classList.toggle("passive-focused",i)}toggleStickyScrollFocused(e){this.view.getHTMLElement().classList.toggle("sticky-scroll-focused",e)}onFocus(){if(!this.state||this.elements.length===0)throw new Error("Cannot focus when state is undefined or elements are empty");this.domHasFocus=!0,this.toggleStickyScrollFocused(!0),this.toggleCurrentElementActiveFocus(!0),this.focusedIndex===-1&&this.setFocus(0)}onBlur(){this.domHasFocus=!1,this.toggleCurrentElementActiveFocus(!1)}dispose(){this.toggleStickyScrollFocused(!1),this._onDidChangeHasFocus.fire(!1),super.dispose()}}function y0e(l){let e=o9.Unknown;return dFe(l.browserEvent.target,"monaco-tl-twistie","monaco-tl-row")?e=o9.Twistie:dFe(l.browserEvent.target,"monaco-tl-contents","monaco-tl-row")?e=o9.Element:dFe(l.browserEvent.target,"monaco-tree-type-filter","monaco-list")&&(e=o9.Filter),{browserEvent:l.browserEvent,element:l.element?l.element.element:null,target:e}}function LCi(l){const e=are(l.browserEvent.target);return{element:l.element?l.element.element:null,browserEvent:l.browserEvent,anchor:l.anchor,isStickyScroll:e}}function v1e(l,e){e(l),l.children.forEach(i=>v1e(i,e))}class r9e{get nodeSet(){return this._nodeSet||(this._nodeSet=this.createNodeSet()),this._nodeSet}constructor(e,i){this.getFirstViewElementWithTrait=e,this.identityProvider=i,this.nodes=[],this._onDidChange=new gi,this.onDidChange=this._onDidChange.event}set(e,i){!(i!=null&&i.__forceEvent)&&Lf(this.nodes,e)||this._set(e,!1,i)}_set(e,i,n){if(this.nodes=[...e],this.elements=void 0,this._nodeSet=void 0,!i){const s=this;this._onDidChange.fire({get elements(){return s.get()},browserEvent:n})}}get(){return this.elements||(this.elements=this.nodes.map(e=>e.element)),[...this.elements]}getNodes(){return this.nodes}has(e){return this.nodeSet.has(e)}onDidModelSplice({insertedNodes:e,deletedNodes:i}){if(!this.identityProvider){const m=this.createNodeSet(),v=x=>m.delete(x);i.forEach(x=>v1e(x,v)),this.set([...m.values()]);return}const n=new Set,s=m=>n.add(this.identityProvider.getId(m.element).toString());i.forEach(m=>v1e(m,s));const c=new Map,d=m=>c.set(this.identityProvider.getId(m.element).toString(),m);e.forEach(m=>v1e(m,d));const f=[];for(const m of this.nodes){const v=this.identityProvider.getId(m.element).toString();if(!n.has(v))f.push(m);else{const w=c.get(v);w&&w.visible&&f.push(w)}}if(this.nodes.length>0&&f.length===0){const m=this.getFirstViewElementWithTrait();m&&f.push(m)}this._set(f,!0)}createNodeSet(){const e=new Set;for(const i of this.nodes)e.add(i);return e}}class PCi extends LDt{constructor(e,i,n){super(e),this.tree=i,this.stickyScrollProvider=n}onViewPointer(e){if(DDt(e.browserEvent.target)||KM(e.browserEvent.target)||oie(e.browserEvent.target)||e.browserEvent.isHandledByList)return;const i=e.element;if(!i)return super.onViewPointer(e);if(this.isSelectionRangeChangeEvent(e)||this.isSelectionSingleChangeEvent(e))return super.onViewPointer(e);const n=e.browserEvent.target,s=n.classList.contains("monaco-tl-twistie")||n.classList.contains("monaco-icon-label")&&n.classList.contains("folder-icon")&&e.browserEvent.offsetX<16,c=Nie(e.browserEvent.target);let d=!1;if(c?d=!0:typeof this.tree.expandOnlyOnTwistieClick=="function"?d=this.tree.expandOnlyOnTwistieClick(i.element):d=!!this.tree.expandOnlyOnTwistieClick,c)this.handleStickyScrollMouseEvent(e,i);else{if(d&&!s&&e.browserEvent.detail!==2)return super.onViewPointer(e);if(!this.tree.expandOnDoubleClick&&e.browserEvent.detail===2)return super.onViewPointer(e)}if(i.collapsible&&(!c||s)){const f=this.tree.getNodeLocation(i),m=e.browserEvent.altKey;if(this.tree.setFocus([f]),this.tree.toggleCollapsed(f,m),s){e.browserEvent.isHandledByList=!0;return}}c||super.onViewPointer(e)}handleStickyScrollMouseEvent(e,i){if(F1i(e.browserEvent.target)||B1i(e.browserEvent.target))return;const n=this.stickyScrollProvider();if(!n)throw new Error("Sticky scroll controller not found");const s=this.list.indexOf(i),c=this.list.getElementTop(s),d=n.nodePositionTopBelowWidget(i);this.tree.scrollTop=c-d,this.list.domFocus(),this.list.setFocus([s]),this.list.setSelection([s])}onDoubleClick(e){e.browserEvent.target.classList.contains("monaco-tl-twistie")||!this.tree.expandOnDoubleClick||e.browserEvent.isHandledByList||super.onDoubleClick(e)}onMouseDown(e){const i=e.browserEvent.target;if(!are(i)&&!Nie(i)){super.onMouseDown(e);return}}onContextMenu(e){const i=e.browserEvent.target;if(!are(i)&&!Nie(i)){super.onContextMenu(e);return}}}class ACi extends Ow{constructor(e,i,n,s,c,d,f,m){super(e,i,n,s,m),this.focusTrait=c,this.selectionTrait=d,this.anchorTrait=f}createMouseController(e){return new PCi(this,e.tree,e.stickyScrollProvider)}splice(e,i,n=[]){if(super.splice(e,i,n),n.length===0)return;const s=[],c=[];let d;n.forEach((f,m)=>{this.focusTrait.has(f)&&s.push(e+m),this.selectionTrait.has(f)&&c.push(e+m),this.anchorTrait.has(f)&&(d=e+m)}),s.length>0&&super.setFocus(r6([...super.getFocus(),...s])),c.length>0&&super.setSelection(r6([...super.getSelection(),...c])),typeof d=="number"&&super.setAnchor(d)}setFocus(e,i,n=!1){super.setFocus(e,i),n||this.focusTrait.set(e.map(s=>this.element(s)),i)}setSelection(e,i,n=!1){super.setSelection(e,i),n||this.selectionTrait.set(e.map(s=>this.element(s)),i)}setAnchor(e,i=!1){super.setAnchor(e),i||(typeof e>"u"?this.anchorTrait.set([]):this.anchorTrait.set([this.element(e)]))}}class EEt{get onDidScroll(){return this.view.onDidScroll}get onDidChangeFocus(){return this.eventBufferer.wrapEvent(this.focus.onDidChange)}get onDidChangeSelection(){return this.eventBufferer.wrapEvent(this.selection.onDidChange)}get onMouseDblClick(){return Tr.filter(Tr.map(this.view.onMouseDblClick,y0e),e=>e.target!==o9.Filter)}get onMouseOver(){return Tr.map(this.view.onMouseOver,y0e)}get onMouseOut(){return Tr.map(this.view.onMouseOut,y0e)}get onContextMenu(){var e;return Tr.any(Tr.filter(Tr.map(this.view.onContextMenu,LCi),i=>!i.isStickyScroll),((e=this.stickyScrollController)==null?void 0:e.onContextMenu)??Tr.None)}get onPointer(){return Tr.map(this.view.onPointer,y0e)}get onKeyDown(){return this.view.onKeyDown}get onDidFocus(){return this.view.onDidFocus}get onDidChangeModel(){return Tr.signal(this.model.onDidSplice)}get onDidChangeCollapseState(){return this.model.onDidChangeCollapseState}get findMode(){var e;return((e=this.findController)==null?void 0:e.mode)??z4.Highlight}set findMode(e){this.findController&&(this.findController.mode=e)}get findMatchType(){var e;return((e=this.findController)==null?void 0:e.matchType)??OB.Fuzzy}set findMatchType(e){this.findController&&(this.findController.matchType=e)}get expandOnDoubleClick(){return typeof this._options.expandOnDoubleClick>"u"?!0:this._options.expandOnDoubleClick}get expandOnlyOnTwistieClick(){return typeof this._options.expandOnlyOnTwistieClick>"u"?!0:this._options.expandOnlyOnTwistieClick}get onDidDispose(){return this.view.onDidDispose}constructor(e,i,n,s,c={}){this._user=e,this._options=c,this.eventBufferer=new lse,this.onDidChangeFindOpenState=Tr.None,this.onDidChangeStickyScrollFocused=Tr.None,this.disposables=new wn,this._onWillRefilter=new gi,this.onWillRefilter=this._onWillRefilter.event,this._onDidUpdateOptions=new gi,this.treeDelegate=new xJe(n);const d=new Xyt,f=new Xyt,m=this.disposables.add(new wCi(f.event)),v=new y$e;this.renderers=s.map(O=>new yHe(O,()=>this.model,d.event,m,v,c));for(const O of this.renderers)this.disposables.add(O);let x;c.keyboardNavigationLabelProvider&&(x=new xCi(this,c.keyboardNavigationLabelProvider,c.filter),c={...c,filter:x},this.disposables.add(x)),this.focus=new r9e(()=>this.view.getFocusedElements()[0],c.identityProvider),this.selection=new r9e(()=>this.view.getSelectedElements()[0],c.identityProvider),this.anchor=new r9e(()=>this.view.getAnchorElement(),c.identityProvider),this.view=new ACi(e,i,this.treeDelegate,this.renderers,this.focus,this.selection,this.anchor,{...SCi(()=>this.model,c),tree:this,stickyScrollProvider:()=>this.stickyScrollController}),this.model=this.createModel(e,this.view,c),d.input=this.model.onDidChangeCollapseState;const w=Tr.forEach(this.model.onDidSplice,O=>{this.eventBufferer.bufferEvents(()=>{this.focus.onDidModelSplice(O),this.selection.onDidModelSplice(O)})},this.disposables);w(()=>null,null,this.disposables);const I=this.disposables.add(new gi),P=this.disposables.add(new Ck(0));if(this.disposables.add(Tr.any(w,this.focus.onDidChange,this.selection.onDidChange)(()=>{P.trigger(()=>{const O=new Set;for(const z of this.focus.getNodes())O.add(z);for(const z of this.selection.getNodes())O.add(z);I.fire([...O.values()])})})),f.input=I.event,c.keyboardSupport!==!1){const O=Tr.chain(this.view.onKeyDown,z=>z.filter(J=>!KM(J.target)).map(J=>new Id(J)));Tr.chain(O,z=>z.filter(J=>J.keyCode===15))(this.onLeftArrow,this,this.disposables),Tr.chain(O,z=>z.filter(J=>J.keyCode===17))(this.onRightArrow,this,this.disposables),Tr.chain(O,z=>z.filter(J=>J.keyCode===10))(this.onSpace,this,this.disposables)}if((c.findWidgetEnabled??!0)&&c.keyboardNavigationLabelProvider&&c.contextViewProvider){const O=this.options.findWidgetStyles?{styles:this.options.findWidgetStyles}:void 0;this.findController=new kCi(this,this.model,this.view,x,c.contextViewProvider,O),this.focusNavigationFilter=z=>this.findController.shouldAllowFocus(z),this.onDidChangeFindOpenState=this.findController.onDidChangeOpenState,this.disposables.add(this.findController),this.onDidChangeFindMode=this.findController.onDidChangeMode,this.onDidChangeFindMatchType=this.findController.onDidChangeMatchType}else this.onDidChangeFindMode=Tr.None,this.onDidChangeFindMatchType=Tr.None;c.enableStickyScroll&&(this.stickyScrollController=new qCt(this,this.model,this.view,this.renderers,this.treeDelegate,c),this.onDidChangeStickyScrollFocused=this.stickyScrollController.onDidChangeHasFocus),this.styleElement=Dw(this.view.getHTMLElement()),this.getHTMLElement().classList.toggle("always",this._options.renderIndentGuides===j$.Always)}updateOptions(e={}){var i;this._options={...this._options,...e};for(const n of this.renderers)n.updateOptions(e);this.view.updateOptions(this._options),(i=this.findController)==null||i.updateOptions(e),this.updateStickyScroll(e),this._onDidUpdateOptions.fire(this._options),this.getHTMLElement().classList.toggle("always",this._options.renderIndentGuides===j$.Always)}get options(){return this._options}updateStickyScroll(e){var i;!this.stickyScrollController&&this._options.enableStickyScroll?(this.stickyScrollController=new qCt(this,this.model,this.view,this.renderers,this.treeDelegate,this._options),this.onDidChangeStickyScrollFocused=this.stickyScrollController.onDidChangeHasFocus):this.stickyScrollController&&!this._options.enableStickyScroll&&(this.onDidChangeStickyScrollFocused=Tr.None,this.stickyScrollController.dispose(),this.stickyScrollController=void 0),(i=this.stickyScrollController)==null||i.updateOptions(e)}getHTMLElement(){return this.view.getHTMLElement()}get scrollTop(){return this.view.scrollTop}set scrollTop(e){this.view.scrollTop=e}get scrollHeight(){return this.view.scrollHeight}get renderHeight(){return this.view.renderHeight}get ariaLabel(){return this.view.ariaLabel}set ariaLabel(e){this.view.ariaLabel=e}domFocus(){var e;(e=this.stickyScrollController)!=null&&e.focusedLast()?this.stickyScrollController.domFocus():this.view.domFocus()}layout(e,i){var n;this.view.layout(e,i),pR(i)&&((n=this.findController)==null||n.layout(i))}style(e){const i=`.${this.view.domId}`,n=[];e.treeIndentGuidesStroke&&(n.push(`.monaco-list${i}:hover .monaco-tl-indent > .indent-guide, .monaco-list${i}.always .monaco-tl-indent > .indent-guide { border-color: ${e.treeInactiveIndentGuidesStroke}; }`),n.push(`.monaco-list${i} .monaco-tl-indent > .indent-guide.active { border-color: ${e.treeIndentGuidesStroke}; }`));const s=e.treeStickyScrollBackground??e.listBackground;s&&(n.push(`.monaco-list${i} .monaco-scrollable-element .monaco-tree-sticky-container { background-color: ${s}; }`),n.push(`.monaco-list${i} .monaco-scrollable-element .monaco-tree-sticky-container .monaco-tree-sticky-row { background-color: ${s}; }`)),e.treeStickyScrollBorder&&n.push(`.monaco-list${i} .monaco-scrollable-element .monaco-tree-sticky-container { border-bottom: 1px solid ${e.treeStickyScrollBorder}; }`),e.treeStickyScrollShadow&&n.push(`.monaco-list${i} .monaco-scrollable-element .monaco-tree-sticky-container .monaco-tree-sticky-container-shadow { box-shadow: ${e.treeStickyScrollShadow} 0 6px 6px -6px inset; height: 3px; }`),e.listFocusForeground&&(n.push(`.monaco-list${i}.sticky-scroll-focused .monaco-scrollable-element .monaco-tree-sticky-container:focus .monaco-list-row.focused { color: ${e.listFocusForeground}; }`),n.push(`.monaco-list${i}:not(.sticky-scroll-focused) .monaco-scrollable-element .monaco-tree-sticky-container .monaco-list-row.focused { color: inherit; }`));const c=Z4(e.listFocusAndSelectionOutline,Z4(e.listSelectionOutline,e.listFocusOutline??""));c&&(n.push(`.monaco-list${i}.sticky-scroll-focused .monaco-scrollable-element .monaco-tree-sticky-container:focus .monaco-list-row.focused.selected { outline: 1px solid ${c}; outline-offset: -1px;}`),n.push(`.monaco-list${i}:not(.sticky-scroll-focused) .monaco-scrollable-element .monaco-tree-sticky-container .monaco-list-row.focused.selected { outline: inherit;}`)),e.listFocusOutline&&(n.push(`.monaco-list${i}.sticky-scroll-focused .monaco-scrollable-element .monaco-tree-sticky-container:focus .monaco-list-row.focused { outline: 1px solid ${e.listFocusOutline}; outline-offset: -1px; }`),n.push(`.monaco-list${i}:not(.sticky-scroll-focused) .monaco-scrollable-element .monaco-tree-sticky-container .monaco-list-row.focused { outline: inherit; }`),n.push(`.monaco-workbench.context-menu-visible .monaco-list${i}.last-focused.sticky-scroll-focused .monaco-scrollable-element .monaco-tree-sticky-container .monaco-list-row.passive-focused { outline: 1px solid ${e.listFocusOutline}; outline-offset: -1px; }`),n.push(`.monaco-workbench.context-menu-visible .monaco-list${i}.last-focused.sticky-scroll-focused .monaco-list-rows .monaco-list-row.focused { outline: inherit; }`),n.push(`.monaco-workbench.context-menu-visible .monaco-list${i}.last-focused:not(.sticky-scroll-focused) .monaco-tree-sticky-container .monaco-list-rows .monaco-list-row.focused { outline: inherit; }`)),this.styleElement.textContent=n.join(`
+`),this.view.style(e)}getParentElement(e){const i=this.model.getParentNodeLocation(e);return this.model.getNode(i).element}getFirstElementChild(e){return this.model.getFirstElementChild(e)}getNode(e){return this.model.getNode(e)}getNodeLocation(e){return this.model.getNodeLocation(e)}collapse(e,i=!1){return this.model.setCollapsed(e,!0,i)}expand(e,i=!1){return this.model.setCollapsed(e,!1,i)}toggleCollapsed(e,i=!1){return this.model.setCollapsed(e,void 0,i)}isCollapsible(e){return this.model.isCollapsible(e)}setCollapsible(e,i){return this.model.setCollapsible(e,i)}isCollapsed(e){return this.model.isCollapsed(e)}refilter(){this._onWillRefilter.fire(void 0),this.model.refilter()}setSelection(e,i){this.eventBufferer.bufferEvents(()=>{const n=e.map(c=>this.model.getNode(c));this.selection.set(n,i);const s=e.map(c=>this.model.getListIndex(c)).filter(c=>c>-1);this.view.setSelection(s,i,!0)})}getSelection(){return this.selection.get()}setFocus(e,i){this.eventBufferer.bufferEvents(()=>{const n=e.map(c=>this.model.getNode(c));this.focus.set(n,i);const s=e.map(c=>this.model.getListIndex(c)).filter(c=>c>-1);this.view.setFocus(s,i,!0)})}focusNext(e=1,i=!1,n,s=E4(n)&&n.altKey?void 0:this.focusNavigationFilter){this.view.focusNext(e,i,n,s)}focusPrevious(e=1,i=!1,n,s=E4(n)&&n.altKey?void 0:this.focusNavigationFilter){this.view.focusPrevious(e,i,n,s)}focusNextPage(e,i=E4(e)&&e.altKey?void 0:this.focusNavigationFilter){return this.view.focusNextPage(e,i)}focusPreviousPage(e,i=E4(e)&&e.altKey?void 0:this.focusNavigationFilter){return this.view.focusPreviousPage(e,i,()=>{var n;return((n=this.stickyScrollController)==null?void 0:n.height)??0})}focusLast(e,i=E4(e)&&e.altKey?void 0:this.focusNavigationFilter){this.view.focusLast(e,i)}focusFirst(e,i=E4(e)&&e.altKey?void 0:this.focusNavigationFilter){this.view.focusFirst(e,i)}getFocus(){return this.focus.get()}reveal(e,i){this.model.expandTo(e);const n=this.model.getListIndex(e);if(n!==-1)if(!this.stickyScrollController)this.view.reveal(n,i);else{const s=this.stickyScrollController.nodePositionTopBelowWidget(this.getNode(e));this.view.reveal(n,i,s)}}onLeftArrow(e){e.preventDefault(),e.stopPropagation();const i=this.view.getFocusedElements();if(i.length===0)return;const n=i[0],s=this.model.getNodeLocation(n);if(!this.model.setCollapsed(s,!0)){const d=this.model.getParentNodeLocation(s);if(!d)return;const f=this.model.getListIndex(d);this.view.reveal(f),this.view.setFocus([f])}}onRightArrow(e){e.preventDefault(),e.stopPropagation();const i=this.view.getFocusedElements();if(i.length===0)return;const n=i[0],s=this.model.getNodeLocation(n);if(!this.model.setCollapsed(s,!1)){if(!n.children.some(m=>m.visible))return;const[d]=this.view.getFocus(),f=d+1;this.view.reveal(f),this.view.setFocus([f])}}onSpace(e){e.preventDefault(),e.stopPropagation();const i=this.view.getFocusedElements();if(i.length===0)return;const n=i[0],s=this.model.getNodeLocation(n),c=e.browserEvent.altKey;this.model.setCollapsed(s,void 0,c)}dispose(){var e;vd(this.disposables),(e=this.stickyScrollController)==null||e.dispose(),this.view.dispose()}}class kJe{constructor(e,i,n={}){this.user=e,this.rootRef=null,this.nodes=new Map,this.nodesByIdentity=new Map,this.model=new vCi(e,i,null,n),this.onDidSplice=this.model.onDidSplice,this.onDidChangeCollapseState=this.model.onDidChangeCollapseState,this.onDidChangeRenderNodeCount=this.model.onDidChangeRenderNodeCount,n.sorter&&(this.sorter={compare(s,c){return n.sorter.compare(s.element,c.element)}}),this.identityProvider=n.identityProvider}setChildren(e,i=dl.empty(),n={}){const s=this.getElementLocation(e);this._setChildren(s,this.preserveCollapseState(i),n)}_setChildren(e,i=dl.empty(),n){const s=new Set,c=new Set,d=m=>{var x;if(m.element===null)return;const v=m;if(s.add(v.element),this.nodes.set(v.element,v),this.identityProvider){const w=this.identityProvider.getId(v.element).toString();c.add(w),this.nodesByIdentity.set(w,v)}(x=n.onDidCreateNode)==null||x.call(n,v)},f=m=>{var x;if(m.element===null)return;const v=m;if(s.has(v.element)||this.nodes.delete(v.element),this.identityProvider){const w=this.identityProvider.getId(v.element).toString();c.has(w)||this.nodesByIdentity.delete(w)}(x=n.onDidDeleteNode)==null||x.call(n,v)};this.model.splice([...e,0],Number.MAX_VALUE,i,{...n,onDidCreateNode:d,onDidDeleteNode:f})}preserveCollapseState(e=dl.empty()){return this.sorter&&(e=[...e].sort(this.sorter.compare.bind(this.sorter))),dl.map(e,i=>{let n=this.nodes.get(i.element);if(!n&&this.identityProvider){const d=this.identityProvider.getId(i.element).toString();n=this.nodesByIdentity.get(d)}if(!n){let d;return typeof i.collapsed>"u"?d=void 0:i.collapsed===uw.Collapsed||i.collapsed===uw.PreserveOrCollapsed?d=!0:i.collapsed===uw.Expanded||i.collapsed===uw.PreserveOrExpanded?d=!1:d=!!i.collapsed,{...i,children:this.preserveCollapseState(i.children),collapsed:d}}const s=typeof i.collapsible=="boolean"?i.collapsible:n.collapsible;let c;return typeof i.collapsed>"u"||i.collapsed===uw.PreserveOrCollapsed||i.collapsed===uw.PreserveOrExpanded?c=n.collapsed:i.collapsed===uw.Collapsed?c=!0:i.collapsed===uw.Expanded?c=!1:c=!!i.collapsed,{...i,collapsible:s,collapsed:c,children:this.preserveCollapseState(i.children)}})}rerender(e){const i=this.getElementLocation(e);this.model.rerender(i)}getFirstElementChild(e=null){const i=this.getElementLocation(e);return this.model.getFirstElementChild(i)}has(e){return this.nodes.has(e)}getListIndex(e){const i=this.getElementLocation(e);return this.model.getListIndex(i)}getListRenderCount(e){const i=this.getElementLocation(e);return this.model.getListRenderCount(i)}isCollapsible(e){const i=this.getElementLocation(e);return this.model.isCollapsible(i)}setCollapsible(e,i){const n=this.getElementLocation(e);return this.model.setCollapsible(n,i)}isCollapsed(e){const i=this.getElementLocation(e);return this.model.isCollapsed(i)}setCollapsed(e,i,n){const s=this.getElementLocation(e);return this.model.setCollapsed(s,i,n)}expandTo(e){const i=this.getElementLocation(e);this.model.expandTo(i)}refilter(){this.model.refilter()}getNode(e=null){if(e===null)return this.model.getNode(this.model.rootRef);const i=this.nodes.get(e);if(!i)throw new gw(this.user,`Tree element not found: ${e}`);return i}getNodeLocation(e){return e.element}getParentNodeLocation(e){if(e===null)throw new gw(this.user,"Invalid getParentNodeLocation call");const i=this.nodes.get(e);if(!i)throw new gw(this.user,`Tree element not found: ${e}`);const n=this.model.getNodeLocation(i),s=this.model.getParentNodeLocation(n);return this.model.getNode(s).element}getElementLocation(e){if(e===null)return[];const i=this.nodes.get(e);if(!i)throw new gw(this.user,`Tree element not found: ${e}`);return this.model.getNodeLocation(i)}}function b1e(l){const e=[l.element],i=l.incompressible||!1;return{element:{elements:e,incompressible:i},children:dl.map(dl.from(l.children),b1e),collapsible:l.collapsible,collapsed:l.collapsed}}function C1e(l){const e=[l.element],i=l.incompressible||!1;let n,s;for(;[s,n]=dl.consume(dl.from(l.children),2),!(s.length!==1||s[0].incompressible);)l=s[0],e.push(l.element);return{element:{elements:e,incompressible:i},children:dl.map(dl.concat(s,n),C1e),collapsible:l.collapsible,collapsed:l.collapsed}}function vHe(l,e=0){let i;return e<l.element.elements.length-1?i=[vHe(l,e+1)]:i=dl.map(dl.from(l.children),n=>vHe(n,0)),e===0&&l.element.incompressible?{element:l.element.elements[e],children:i,incompressible:!0,collapsible:l.collapsible,collapsed:l.collapsed}:{element:l.element.elements[e],children:i,collapsible:l.collapsible,collapsed:l.collapsed}}function $Ct(l){return vHe(l,0)}function IEt(l,e,i){return l.element===e?{...l,children:i}:{...l,children:dl.map(dl.from(l.children),n=>IEt(n,e,i))}}const OCi=l=>({getId(e){return e.elements.map(i=>l.getId(i).toString()).join("\0")}});class MCi{get onDidSplice(){return this.model.onDidSplice}get onDidChangeCollapseState(){return this.model.onDidChangeCollapseState}get onDidChangeRenderNodeCount(){return this.model.onDidChangeRenderNodeCount}constructor(e,i,n={}){this.user=e,this.rootRef=null,this.nodes=new Map,this.model=new kJe(e,i,n),this.enabled=typeof n.compressionEnabled>"u"?!0:n.compressionEnabled,this.identityProvider=n.identityProvider}setChildren(e,i=dl.empty(),n){const s=n.diffIdentityProvider&&OCi(n.diffIdentityProvider);if(e===null){const O=dl.map(i,this.enabled?C1e:b1e);this._setChildren(null,O,{diffIdentityProvider:s,diffDepth:1/0});return}const c=this.nodes.get(e);if(!c)throw new gw(this.user,"Unknown compressed tree node");const d=this.model.getNode(c),f=this.model.getParentNodeLocation(c),m=this.model.getNode(f),v=$Ct(d),x=IEt(v,e,i),w=(this.enabled?C1e:b1e)(x),I=n.diffIdentityProvider?(O,z)=>n.diffIdentityProvider.getId(O)===n.diffIdentityProvider.getId(z):void 0;if(Lf(w.element.elements,d.element.elements,I)){this._setChildren(c,w.children||dl.empty(),{diffIdentityProvider:s,diffDepth:1});return}const P=m.children.map(O=>O===d?w:O);this._setChildren(m.element,P,{diffIdentityProvider:s,diffDepth:d.depth-m.depth})}isCompressionEnabled(){return this.enabled}setCompressionEnabled(e){if(e===this.enabled)return;this.enabled=e;const n=this.model.getNode().children,s=dl.map(n,$Ct),c=dl.map(s,e?C1e:b1e);this._setChildren(null,c,{diffIdentityProvider:this.identityProvider,diffDepth:1/0})}_setChildren(e,i,n){const s=new Set,c=f=>{for(const m of f.element.elements)s.add(m),this.nodes.set(m,f.element)},d=f=>{for(const m of f.element.elements)s.has(m)||this.nodes.delete(m)};this.model.setChildren(e,i,{...n,onDidCreateNode:c,onDidDeleteNode:d})}has(e){return this.nodes.has(e)}getListIndex(e){const i=this.getCompressedNode(e);return this.model.getListIndex(i)}getListRenderCount(e){const i=this.getCompressedNode(e);return this.model.getListRenderCount(i)}getNode(e){if(typeof e>"u")return this.model.getNode();const i=this.getCompressedNode(e);return this.model.getNode(i)}getNodeLocation(e){const i=this.model.getNodeLocation(e);return i===null?null:i.elements[i.elements.length-1]}getParentNodeLocation(e){const i=this.getCompressedNode(e),n=this.model.getParentNodeLocation(i);return n===null?null:n.elements[n.elements.length-1]}getFirstElementChild(e){const i=this.getCompressedNode(e);return this.model.getFirstElementChild(i)}isCollapsible(e){const i=this.getCompressedNode(e);return this.model.isCollapsible(i)}setCollapsible(e,i){const n=this.getCompressedNode(e);return this.model.setCollapsible(n,i)}isCollapsed(e){const i=this.getCompressedNode(e);return this.model.isCollapsed(i)}setCollapsed(e,i,n){const s=this.getCompressedNode(e);return this.model.setCollapsed(s,i,n)}expandTo(e){const i=this.getCompressedNode(e);this.model.expandTo(i)}rerender(e){const i=this.getCompressedNode(e);this.model.rerender(i)}refilter(){this.model.refilter()}getCompressedNode(e){if(e===null)return null;const i=this.nodes.get(e);if(!i)throw new gw(this.user,`Tree element not found: ${e}`);return i}}const RCi=l=>l[l.length-1];class TJe{get element(){return this.node.element===null?null:this.unwrapper(this.node.element)}get children(){return this.node.children.map(e=>new TJe(this.unwrapper,e))}get depth(){return this.node.depth}get visibleChildrenCount(){return this.node.visibleChildrenCount}get visibleChildIndex(){return this.node.visibleChildIndex}get collapsible(){return this.node.collapsible}get collapsed(){return this.node.collapsed}get visible(){return this.node.visible}get filterData(){return this.node.filterData}constructor(e,i){this.unwrapper=e,this.node=i}}function FCi(l,e){return{splice(i,n,s){e.splice(i,n,s.map(c=>l.map(c)))},updateElementHeight(i,n){e.updateElementHeight(i,n)}}}function BCi(l,e){return{...e,identityProvider:e.identityProvider&&{getId(i){return e.identityProvider.getId(l(i))}},sorter:e.sorter&&{compare(i,n){return e.sorter.compare(i.elements[0],n.elements[0])}},filter:e.filter&&{filter(i,n){return e.filter.filter(l(i),n)}}}}class WCi{get onDidSplice(){return Tr.map(this.model.onDidSplice,({insertedNodes:e,deletedNodes:i})=>({insertedNodes:e.map(n=>this.nodeMapper.map(n)),deletedNodes:i.map(n=>this.nodeMapper.map(n))}))}get onDidChangeCollapseState(){return Tr.map(this.model.onDidChangeCollapseState,({node:e,deep:i})=>({node:this.nodeMapper.map(e),deep:i}))}get onDidChangeRenderNodeCount(){return Tr.map(this.model.onDidChangeRenderNodeCount,e=>this.nodeMapper.map(e))}constructor(e,i,n={}){this.rootRef=null,this.elementMapper=n.elementMapper||RCi;const s=c=>this.elementMapper(c.elements);this.nodeMapper=new SJe(c=>new TJe(s,c)),this.model=new MCi(e,FCi(this.nodeMapper,i),BCi(s,n))}setChildren(e,i=dl.empty(),n={}){this.model.setChildren(e,i,n)}isCompressionEnabled(){return this.model.isCompressionEnabled()}setCompressionEnabled(e){this.model.setCompressionEnabled(e)}has(e){return this.model.has(e)}getListIndex(e){return this.model.getListIndex(e)}getListRenderCount(e){return this.model.getListRenderCount(e)}getNode(e){return this.nodeMapper.map(this.model.getNode(e))}getNodeLocation(e){return e.element}getParentNodeLocation(e){return this.model.getParentNodeLocation(e)}getFirstElementChild(e){const i=this.model.getFirstElementChild(e);return i===null||typeof i>"u"?i:this.elementMapper(i.elements)}isCollapsible(e){return this.model.isCollapsible(e)}setCollapsible(e,i){return this.model.setCollapsible(e,i)}isCollapsed(e){return this.model.isCollapsed(e)}setCollapsed(e,i,n){return this.model.setCollapsed(e,i,n)}expandTo(e){return this.model.expandTo(e)}rerender(e){return this.model.rerender(e)}refilter(){return this.model.refilter()}getCompressedTreeNode(e=null){return this.model.getNode(e)}}var VCi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c};class DJe extends EEt{get onDidChangeCollapseState(){return this.model.onDidChangeCollapseState}constructor(e,i,n,s,c={}){super(e,i,n,s,c),this.user=e}setChildren(e,i=dl.empty(),n){this.model.setChildren(e,i,n)}rerender(e){if(e===void 0){this.view.rerender();return}this.model.rerender(e)}hasElement(e){return this.model.has(e)}createModel(e,i,n){return new kJe(e,i,n)}}class NEt{get compressedTreeNodeProvider(){return this._compressedTreeNodeProvider()}constructor(e,i,n){this._compressedTreeNodeProvider=e,this.stickyScrollDelegate=i,this.renderer=n,this.templateId=n.templateId,n.onDidChangeTwistieState&&(this.onDidChangeTwistieState=n.onDidChangeTwistieState)}renderTemplate(e){return{compressedTreeNode:void 0,data:this.renderer.renderTemplate(e)}}renderElement(e,i,n,s){let c=this.stickyScrollDelegate.getCompressedNode(e);c||(c=this.compressedTreeNodeProvider.getCompressedTreeNode(e.element)),c.element.elements.length===1?(n.compressedTreeNode=void 0,this.renderer.renderElement(e,i,n.data,s)):(n.compressedTreeNode=c,this.renderer.renderCompressedElements(c,i,n.data,s))}disposeElement(e,i,n,s){var c,d,f,m;n.compressedTreeNode?(d=(c=this.renderer).disposeCompressedElements)==null||d.call(c,n.compressedTreeNode,i,n.data,s):(m=(f=this.renderer).disposeElement)==null||m.call(f,e,i,n.data,s)}disposeTemplate(e){this.renderer.disposeTemplate(e.data)}renderTwistie(e,i){return this.renderer.renderTwistie?this.renderer.renderTwistie(e,i):!1}}VCi([x_],NEt.prototype,"compressedTreeNodeProvider",null);class HCi{constructor(e){this.modelProvider=e,this.compressedStickyNodes=new Map}getCompressedNode(e){return this.compressedStickyNodes.get(e)}constrainStickyScrollNodes(e,i,n){if(this.compressedStickyNodes.clear(),e.length===0)return[];for(let s=0;s<e.length;s++){const c=e[s],d=c.position+c.height;if(s+1<e.length&&d+e[s+1].height>n||s>=i-1&&i<e.length){const m=e.slice(0,s),v=e.slice(s),x=this.compressStickyNodes(v);return[...m,x]}}return e}compressStickyNodes(e){if(e.length===0)throw new Error("Can't compress empty sticky nodes");const i=this.modelProvider();if(!i.isCompressionEnabled())return e[0];const n=[];for(let v=0;v<e.length;v++){const x=e[v],w=i.getCompressedTreeNode(x.node.element);if(w.element){if(v!==0&&w.element.incompressible)break;n.push(...w.element.elements)}}if(n.length<2)return e[0];const s=e[e.length-1],c={elements:n,incompressible:!1},d={...s.node,children:[],element:c},f=new Proxy(e[0].node,{}),m={node:f,startIndex:e[0].startIndex,endIndex:s.endIndex,position:e[0].position,height:e[0].height};return this.compressedStickyNodes.set(f,d),m}}function jCi(l,e){return e&&{...e,keyboardNavigationLabelProvider:e.keyboardNavigationLabelProvider&&{getKeyboardNavigationLabel(i){let n;try{n=l().getCompressedTreeNode(i)}catch{return e.keyboardNavigationLabelProvider.getKeyboardNavigationLabel(i)}return n.element.elements.length===1?e.keyboardNavigationLabelProvider.getKeyboardNavigationLabel(i):e.keyboardNavigationLabelProvider.getCompressedNodeKeyboardNavigationLabel(n.element.elements)}}}}class LEt extends DJe{constructor(e,i,n,s,c={}){const d=()=>this,f=new HCi(()=>this.model),m=s.map(v=>new NEt(d,f,v));super(e,i,n,m,{...jCi(d,c),stickyScrollDelegate:f})}setChildren(e,i=dl.empty(),n){this.model.setChildren(e,i,n)}createModel(e,i,n){return new WCi(e,i,n)}updateOptions(e={}){super.updateOptions(e),typeof e.compressionEnabled<"u"&&this.model.setCompressionEnabled(e.compressionEnabled)}getCompressedTreeNode(e=null){return this.model.getCompressedTreeNode(e)}}function s9e(l){return{...l,children:[],refreshPromise:void 0,stale:!0,slow:!1,forceExpanded:!1}}function bHe(l,e){return e.parent?e.parent===l?!0:bHe(l,e.parent):!1}function zCi(l,e){return l===e||bHe(l,e)||bHe(e,l)}class EJe{get element(){return this.node.element.element}get children(){return this.node.children.map(e=>new EJe(e))}get depth(){return this.node.depth}get visibleChildrenCount(){return this.node.visibleChildrenCount}get visibleChildIndex(){return this.node.visibleChildIndex}get collapsible(){return this.node.collapsible}get collapsed(){return this.node.collapsed}get visible(){return this.node.visible}get filterData(){return this.node.filterData}constructor(e){this.node=e}}class UCi{constructor(e,i,n){this.renderer=e,this.nodeMapper=i,this.onDidChangeTwistieState=n,this.renderedNodes=new Map,this.templateId=e.templateId}renderTemplate(e){return{templateData:this.renderer.renderTemplate(e)}}renderElement(e,i,n,s){this.renderer.renderElement(this.nodeMapper.map(e),i,n.templateData,s)}renderTwistie(e,i){return e.slow?(i.classList.add(...zo.asClassNameArray(pr.treeItemLoading)),!0):(i.classList.remove(...zo.asClassNameArray(pr.treeItemLoading)),!1)}disposeElement(e,i,n,s){var c,d;(d=(c=this.renderer).disposeElement)==null||d.call(c,this.nodeMapper.map(e),i,n.templateData,s)}disposeTemplate(e){this.renderer.disposeTemplate(e.templateData)}dispose(){this.renderedNodes.clear()}}function JCt(l){return{browserEvent:l.browserEvent,elements:l.elements.map(e=>e.element)}}function GCt(l){return{browserEvent:l.browserEvent,element:l.element&&l.element.element,target:l.target}}class qCi extends Ese{constructor(e){super(e.elements.map(i=>i.element)),this.data=e}}function o9e(l){return l instanceof Ese?new qCi(l):l}class $Ci{constructor(e){this.dnd=e}getDragURI(e){return this.dnd.getDragURI(e.element)}getDragLabel(e,i){if(this.dnd.getDragLabel)return this.dnd.getDragLabel(e.map(n=>n.element),i)}onDragStart(e,i){var n,s;(s=(n=this.dnd).onDragStart)==null||s.call(n,o9e(e),i)}onDragOver(e,i,n,s,c,d=!0){return this.dnd.onDragOver(o9e(e),i&&i.element,n,s,c)}drop(e,i,n,s,c){this.dnd.drop(o9e(e),i&&i.element,n,s,c)}onDragEnd(e){var i,n;(n=(i=this.dnd).onDragEnd)==null||n.call(i,e)}dispose(){this.dnd.dispose()}}function PEt(l){return l&&{...l,collapseByDefault:!0,identityProvider:l.identityProvider&&{getId(e){return l.identityProvider.getId(e.element)}},dnd:l.dnd&&new $Ci(l.dnd),multipleSelectionController:l.multipleSelectionController&&{isSelectionSingleChangeEvent(e){return l.multipleSelectionController.isSelectionSingleChangeEvent({...e,element:e.element})},isSelectionRangeChangeEvent(e){return l.multipleSelectionController.isSelectionRangeChangeEvent({...e,element:e.element})}},accessibilityProvider:l.accessibilityProvider&&{...l.accessibilityProvider,getPosInSet:void 0,getSetSize:void 0,getRole:l.accessibilityProvider.getRole?e=>l.accessibilityProvider.getRole(e.element):()=>"treeitem",isChecked:l.accessibilityProvider.isChecked?e=>{var i;return!!((i=l.accessibilityProvider)!=null&&i.isChecked(e.element))}:void 0,getAriaLabel(e){return l.accessibilityProvider.getAriaLabel(e.element)},getWidgetAriaLabel(){return l.accessibilityProvider.getWidgetAriaLabel()},getWidgetRole:l.accessibilityProvider.getWidgetRole?()=>l.accessibilityProvider.getWidgetRole():()=>"tree",getAriaLevel:l.accessibilityProvider.getAriaLevel&&(e=>l.accessibilityProvider.getAriaLevel(e.element)),getActiveDescendantId:l.accessibilityProvider.getActiveDescendantId&&(e=>l.accessibilityProvider.getActiveDescendantId(e.element))},filter:l.filter&&{filter(e,i){return l.filter.filter(e.element,i)}},keyboardNavigationLabelProvider:l.keyboardNavigationLabelProvider&&{...l.keyboardNavigationLabelProvider,getKeyboardNavigationLabel(e){return l.keyboardNavigationLabelProvider.getKeyboardNavigationLabel(e.element)}},sorter:void 0,expandOnlyOnTwistieClick:typeof l.expandOnlyOnTwistieClick>"u"?void 0:typeof l.expandOnlyOnTwistieClick!="function"?l.expandOnlyOnTwistieClick:e=>l.expandOnlyOnTwistieClick(e.element),defaultFindVisibility:e=>e.hasChildren&&e.stale?1:typeof l.defaultFindVisibility=="number"?l.defaultFindVisibility:typeof l.defaultFindVisibility>"u"?2:l.defaultFindVisibility(e.element)}}function CHe(l,e){e(l),l.children.forEach(i=>CHe(i,e))}class AEt{get onDidScroll(){return this.tree.onDidScroll}get onDidChangeFocus(){return Tr.map(this.tree.onDidChangeFocus,JCt)}get onDidChangeSelection(){return Tr.map(this.tree.onDidChangeSelection,JCt)}get onMouseDblClick(){return Tr.map(this.tree.onMouseDblClick,GCt)}get onPointer(){return Tr.map(this.tree.onPointer,GCt)}get onDidFocus(){return this.tree.onDidFocus}get onDidChangeModel(){return this.tree.onDidChangeModel}get onDidChangeCollapseState(){return this.tree.onDidChangeCollapseState}get onDidChangeFindOpenState(){return this.tree.onDidChangeFindOpenState}get onDidChangeStickyScrollFocused(){return this.tree.onDidChangeStickyScrollFocused}get onDidDispose(){return this.tree.onDidDispose}constructor(e,i,n,s,c,d={}){this.user=e,this.dataSource=c,this.nodes=new Map,this.subTreeRefreshPromises=new Map,this.refreshPromises=new Map,this._onDidRender=new gi,this._onDidChangeNodeSlowState=new gi,this.nodeMapper=new SJe(f=>new EJe(f)),this.disposables=new wn,this.identityProvider=d.identityProvider,this.autoExpandSingleChildren=typeof d.autoExpandSingleChildren>"u"?!1:d.autoExpandSingleChildren,this.sorter=d.sorter,this.getDefaultCollapseState=f=>d.collapseByDefault?d.collapseByDefault(f)?uw.PreserveOrCollapsed:uw.PreserveOrExpanded:void 0,this.tree=this.createTree(e,i,n,s,d),this.onDidChangeFindMode=this.tree.onDidChangeFindMode,this.onDidChangeFindMatchType=this.tree.onDidChangeFindMatchType,this.root=s9e({element:void 0,parent:null,hasChildren:!0,defaultCollapseState:void 0}),this.identityProvider&&(this.root={...this.root,id:null}),this.nodes.set(null,this.root),this.tree.onDidChangeCollapseState(this._onDidChangeCollapseState,this,this.disposables)}createTree(e,i,n,s,c){const d=new xJe(n),f=s.map(v=>new UCi(v,this.nodeMapper,this._onDidChangeNodeSlowState.event)),m=PEt(c)||{};return new DJe(e,i,d,f,m)}updateOptions(e={}){this.tree.updateOptions(e)}getHTMLElement(){return this.tree.getHTMLElement()}get scrollTop(){return this.tree.scrollTop}set scrollTop(e){this.tree.scrollTop=e}get scrollHeight(){return this.tree.scrollHeight}get renderHeight(){return this.tree.renderHeight}domFocus(){this.tree.domFocus()}layout(e,i){this.tree.layout(e,i)}style(e){this.tree.style(e)}getInput(){return this.root.element}async setInput(e,i){this.refreshPromises.forEach(s=>s.cancel()),this.refreshPromises.clear(),this.root.element=e;const n=i&&{viewState:i,focus:[],selection:[]};await this._updateChildren(e,!0,!1,n),n&&(this.tree.setFocus(n.focus),this.tree.setSelection(n.selection)),i&&typeof i.scrollTop=="number"&&(this.scrollTop=i.scrollTop)}async _updateChildren(e=this.root.element,i=!0,n=!1,s,c){if(typeof this.root.element>"u")throw new gw(this.user,"Tree input not set");this.root.refreshPromise&&(await this.root.refreshPromise,await Tr.toPromise(this._onDidRender.event));const d=this.getDataNode(e);if(await this.refreshAndRenderNode(d,i,s,c),n)try{this.tree.rerender(d)}catch{}}rerender(e){if(e===void 0||e===this.root.element){this.tree.rerender();return}const i=this.getDataNode(e);this.tree.rerender(i)}getNode(e=this.root.element){const i=this.getDataNode(e),n=this.tree.getNode(i===this.root?null:i);return this.nodeMapper.map(n)}collapse(e,i=!1){const n=this.getDataNode(e);return this.tree.collapse(n===this.root?null:n,i)}async expand(e,i=!1){if(typeof this.root.element>"u")throw new gw(this.user,"Tree input not set");this.root.refreshPromise&&(await this.root.refreshPromise,await Tr.toPromise(this._onDidRender.event));const n=this.getDataNode(e);if(this.tree.hasElement(n)&&!this.tree.isCollapsible(n)||(n.refreshPromise&&(await this.root.refreshPromise,await Tr.toPromise(this._onDidRender.event)),n!==this.root&&!n.refreshPromise&&!this.tree.isCollapsed(n)))return!1;const s=this.tree.expand(n===this.root?null:n,i);return n.refreshPromise&&(await this.root.refreshPromise,await Tr.toPromise(this._onDidRender.event)),s}setSelection(e,i){const n=e.map(s=>this.getDataNode(s));this.tree.setSelection(n,i)}getSelection(){return this.tree.getSelection().map(i=>i.element)}setFocus(e,i){const n=e.map(s=>this.getDataNode(s));this.tree.setFocus(n,i)}getFocus(){return this.tree.getFocus().map(i=>i.element)}reveal(e,i){this.tree.reveal(this.getDataNode(e),i)}getParentElement(e){const i=this.tree.getParentElement(this.getDataNode(e));return i&&i.element}getFirstElementChild(e=this.root.element){const i=this.getDataNode(e),n=this.tree.getFirstElementChild(i===this.root?null:i);return n&&n.element}getDataNode(e){const i=this.nodes.get(e===this.root.element?null:e);if(!i)throw new gw(this.user,`Data tree node not found: ${e}`);return i}async refreshAndRenderNode(e,i,n,s){await this.refreshNode(e,i,n),!this.disposables.isDisposed&&this.render(e,n,s)}async refreshNode(e,i,n){let s;if(this.subTreeRefreshPromises.forEach((c,d)=>{!s&&zCi(d,e)&&(s=c.then(()=>this.refreshNode(e,i,n)))}),s)return s;if(e!==this.root&&this.tree.getNode(e).collapsed){e.hasChildren=!!this.dataSource.hasChildren(e.element),e.stale=!0,this.setChildren(e,[],i,n);return}return this.doRefreshSubTree(e,i,n)}async doRefreshSubTree(e,i,n){let s;e.refreshPromise=new Promise(c=>s=c),this.subTreeRefreshPromises.set(e,e.refreshPromise),e.refreshPromise.finally(()=>{e.refreshPromise=void 0,this.subTreeRefreshPromises.delete(e)});try{const c=await this.doRefreshNode(e,i,n);e.stale=!1,await vWe.settled(c.map(d=>this.doRefreshSubTree(d,i,n)))}finally{s()}}async doRefreshNode(e,i,n){e.hasChildren=!!this.dataSource.hasChildren(e.element);let s;if(!e.hasChildren)s=Promise.resolve(dl.empty());else{const c=this.doGetChildren(e);if(Uyt(c))s=Promise.resolve(c);else{const d=c6(800);d.then(()=>{e.slow=!0,this._onDidChangeNodeSlowState.fire(e)},f=>null),s=c.finally(()=>d.cancel())}}try{const c=await s;return this.setChildren(e,c,i,n)}catch(c){if(e!==this.root&&this.tree.hasElement(e)&&this.tree.collapse(e),Tk(c))return[];throw c}finally{e.slow&&(e.slow=!1,this._onDidChangeNodeSlowState.fire(e))}}doGetChildren(e){let i=this.refreshPromises.get(e);if(i)return i;const n=this.dataSource.getChildren(e.element);return Uyt(n)?this.processChildren(n):(i=Om(async()=>this.processChildren(await n)),this.refreshPromises.set(e,i),i.finally(()=>{this.refreshPromises.delete(e)}))}_onDidChangeCollapseState({node:e,deep:i}){e.element!==null&&!e.collapsed&&e.element.stale&&(i?this.collapse(e.element.element):this.refreshAndRenderNode(e.element,!1).catch(Pa))}setChildren(e,i,n,s){const c=[...i];if(e.children.length===0&&c.length===0)return[];const d=new Map,f=new Map;for(const x of e.children)d.set(x.element,x),this.identityProvider&&f.set(x.id,{node:x,collapsed:this.tree.hasElement(x)&&this.tree.isCollapsed(x)});const m=[],v=c.map(x=>{const w=!!this.dataSource.hasChildren(x);if(!this.identityProvider){const z=s9e({element:x,parent:e,hasChildren:w,defaultCollapseState:this.getDefaultCollapseState(x)});return w&&z.defaultCollapseState===uw.PreserveOrExpanded&&m.push(z),z}const I=this.identityProvider.getId(x).toString(),P=f.get(I);if(P){const z=P.node;return d.delete(z.element),this.nodes.delete(z.element),this.nodes.set(x,z),z.element=x,z.hasChildren=w,n?P.collapsed?(z.children.forEach(J=>CHe(J,Y=>this.nodes.delete(Y.element))),z.children.splice(0,z.children.length),z.stale=!0):m.push(z):w&&!P.collapsed&&m.push(z),z}const O=s9e({element:x,parent:e,id:I,hasChildren:w,defaultCollapseState:this.getDefaultCollapseState(x)});return s&&s.viewState.focus&&s.viewState.focus.indexOf(I)>-1&&s.focus.push(O),s&&s.viewState.selection&&s.viewState.selection.indexOf(I)>-1&&s.selection.push(O),(s&&s.viewState.expanded&&s.viewState.expanded.indexOf(I)>-1||w&&O.defaultCollapseState===uw.PreserveOrExpanded)&&m.push(O),O});for(const x of d.values())CHe(x,w=>this.nodes.delete(w.element));for(const x of v)this.nodes.set(x.element,x);return e.children.splice(0,e.children.length,...v),e!==this.root&&this.autoExpandSingleChildren&&v.length===1&&m.length===0&&(v[0].forceExpanded=!0,m.push(v[0])),m}render(e,i,n){const s=e.children.map(d=>this.asTreeElement(d,i)),c=n&&{...n,diffIdentityProvider:n.diffIdentityProvider&&{getId(d){return n.diffIdentityProvider.getId(d.element)}}};this.tree.setChildren(e===this.root?null:e,s,c),e!==this.root&&this.tree.setCollapsible(e,e.hasChildren),this._onDidRender.fire()}asTreeElement(e,i){if(e.stale)return{element:e,collapsible:e.hasChildren,collapsed:!0};let n;return i&&i.viewState.expanded&&e.id&&i.viewState.expanded.indexOf(e.id)>-1?n=!1:e.forceExpanded?(n=!1,e.forceExpanded=!1):n=e.defaultCollapseState,{element:e,children:e.hasChildren?dl.map(e.children,s=>this.asTreeElement(s,i)):[],collapsible:e.hasChildren,collapsed:n}}processChildren(e){return this.sorter&&(e=[...e].sort(this.sorter.compare.bind(this.sorter))),e}dispose(){this.disposables.dispose(),this.tree.dispose()}}class IJe{get element(){return{elements:this.node.element.elements.map(e=>e.element),incompressible:this.node.element.incompressible}}get children(){return this.node.children.map(e=>new IJe(e))}get depth(){return this.node.depth}get visibleChildrenCount(){return this.node.visibleChildrenCount}get visibleChildIndex(){return this.node.visibleChildIndex}get collapsible(){return this.node.collapsible}get collapsed(){return this.node.collapsed}get visible(){return this.node.visible}get filterData(){return this.node.filterData}constructor(e){this.node=e}}class JCi{constructor(e,i,n,s){this.renderer=e,this.nodeMapper=i,this.compressibleNodeMapperProvider=n,this.onDidChangeTwistieState=s,this.renderedNodes=new Map,this.disposables=[],this.templateId=e.templateId}renderTemplate(e){return{templateData:this.renderer.renderTemplate(e)}}renderElement(e,i,n,s){this.renderer.renderElement(this.nodeMapper.map(e),i,n.templateData,s)}renderCompressedElements(e,i,n,s){this.renderer.renderCompressedElements(this.compressibleNodeMapperProvider().map(e),i,n.templateData,s)}renderTwistie(e,i){return e.slow?(i.classList.add(...zo.asClassNameArray(pr.treeItemLoading)),!0):(i.classList.remove(...zo.asClassNameArray(pr.treeItemLoading)),!1)}disposeElement(e,i,n,s){var c,d;(d=(c=this.renderer).disposeElement)==null||d.call(c,this.nodeMapper.map(e),i,n.templateData,s)}disposeCompressedElements(e,i,n,s){var c,d;(d=(c=this.renderer).disposeCompressedElements)==null||d.call(c,this.compressibleNodeMapperProvider().map(e),i,n.templateData,s)}disposeTemplate(e){this.renderer.disposeTemplate(e.templateData)}dispose(){this.renderedNodes.clear(),this.disposables=vd(this.disposables)}}function GCi(l){const e=l&&PEt(l);return e&&{...e,keyboardNavigationLabelProvider:e.keyboardNavigationLabelProvider&&{...e.keyboardNavigationLabelProvider,getCompressedNodeKeyboardNavigationLabel(i){return l.keyboardNavigationLabelProvider.getCompressedNodeKeyboardNavigationLabel(i.map(n=>n.element))}}}}class KCi extends AEt{constructor(e,i,n,s,c,d,f={}){super(e,i,n,c,d,f),this.compressionDelegate=s,this.compressibleNodeMapper=new SJe(m=>new IJe(m)),this.filter=f.filter}createTree(e,i,n,s,c){const d=new xJe(n),f=s.map(v=>new JCi(v,this.nodeMapper,()=>this.compressibleNodeMapper,this._onDidChangeNodeSlowState.event)),m=GCi(c)||{};return new LEt(e,i,d,f,m)}asTreeElement(e,i){return{incompressible:this.compressionDelegate.isIncompressible(e.element),...super.asTreeElement(e,i)}}updateOptions(e={}){this.tree.updateOptions(e)}render(e,i,n){if(!this.identityProvider)return super.render(e,i);const s=P=>this.identityProvider.getId(P).toString(),c=P=>{const O=new Set;for(const z of P){const J=this.tree.getCompressedTreeNode(z===this.root?null:z);if(J.element)for(const Y of J.element.elements)O.add(s(Y.element))}return O},d=c(this.tree.getSelection()),f=c(this.tree.getFocus());super.render(e,i,n);const m=this.getSelection();let v=!1;const x=this.getFocus();let w=!1;const I=P=>{const O=P.element;if(O)for(let z=0;z<O.elements.length;z++){const J=s(O.elements[z].element),Y=O.elements[O.elements.length-1].element;d.has(J)&&m.indexOf(Y)===-1&&(m.push(Y),v=!0),f.has(J)&&x.indexOf(Y)===-1&&(x.push(Y),w=!0)}P.children.forEach(I)};I(this.tree.getCompressedTreeNode(e===this.root?null:e)),v&&this.setSelection(m),w&&this.setFocus(x)}processChildren(e){return this.filter&&(e=dl.filter(e,i=>{const n=this.filter.filter(i,1),s=XCi(n);if(s===2)throw new Error("Recursive tree visibility not supported in async data compressed trees");return s===1})),super.processChildren(e)}}function XCi(l){return typeof l=="boolean"?l?1:0:wJe(l)?hre(l.visibility):hre(l)}class QCi extends EEt{constructor(e,i,n,s,c,d={}){super(e,i,n,s,d),this.user=e,this.dataSource=c,this.identityProvider=d.identityProvider}createModel(e,i,n){return new kJe(e,i,n)}}new rs("isMac",Hc,W("isMac","Whether the operating system is macOS"));new rs("isLinux",Cv,W("isLinux","Whether the operating system is Linux"));const Swe=new rs("isWindows",I0,W("isWindows","Whether the operating system is Windows")),OEt=new rs("isWeb",XB,W("isWeb","Whether the platform is a web browser"));new rs("isMacNative",Hc&&!XB,W("isMacNative","Whether the operating system is macOS on a non-browser platform"));new rs("isIOS",VE,W("isIOS","Whether the operating system is iOS"));new rs("isMobile",Ekt,W("isMobile","Whether the platform is a mobile web browser"));new rs("isDevelopment",!1,!0);new rs("productQualityType","",W("productQualityType","Quality type of VS Code"));const MEt="inputFocus",REt=new rs(MEt,!1,W("inputFocus","Whether keyboard focus is inside an input box"));var S6=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},nf=function(l,e){return function(i,n){e(i,n,l)}};const Ik=jc("listService");class ZCi{get lastFocusedList(){return this._lastFocusedWidget}constructor(){this.disposables=new wn,this.lists=[],this._lastFocusedWidget=void 0,this._hasCreatedStyleController=!1}setLastFocusedList(e){var i,n;e!==this._lastFocusedWidget&&((i=this._lastFocusedWidget)==null||i.getHTMLElement().classList.remove("last-focused"),this._lastFocusedWidget=e,(n=this._lastFocusedWidget)==null||n.getHTMLElement().classList.add("last-focused"))}register(e,i){if(this._hasCreatedStyleController||(this._hasCreatedStyleController=!0,new PDt(Dw(),"").style(tW)),this.lists.some(s=>s.widget===e))throw new Error("Cannot register the same widget multiple times");const n={widget:e,extraContextKeys:i};return this.lists.push(n),RSe(e.getHTMLElement())&&this.setLastFocusedList(e),gT(e.onDidFocus(()=>this.setLastFocusedList(e)),fo(()=>this.lists.splice(this.lists.indexOf(n),1)),e.onDidDispose(()=>{this.lists=this.lists.filter(s=>s!==n),this._lastFocusedWidget===e&&this.setLastFocusedList(void 0)}))}dispose(){this.disposables.dispose()}}const _re=new rs("listScrollAtBoundary","none");kn.or(_re.isEqualTo("top"),_re.isEqualTo("both"));kn.or(_re.isEqualTo("bottom"),_re.isEqualTo("both"));const FEt=new rs("listFocus",!0),BEt=new rs("treestickyScrollFocused",!1),wwe=new rs("listSupportsMultiselect",!0),WEt=kn.and(FEt,kn.not(MEt),BEt.negate()),NJe=new rs("listHasSelectionOrFocus",!1),LJe=new rs("listDoubleSelection",!1),PJe=new rs("listMultiSelection",!1),xwe=new rs("listSelectionNavigation",!1),YCi=new rs("listSupportsFind",!0),AJe=new rs("treeElementCanCollapse",!1),eSi=new rs("treeElementHasParent",!1),OJe=new rs("treeElementCanExpand",!1),tSi=new rs("treeElementHasChild",!1),iSi=new rs("treeFindOpen",!1),VEt="listTypeNavigationMode",HEt="listAutomaticKeyboardNavigation";function kwe(l,e){const i=l.createScoped(e.getHTMLElement());return FEt.bindTo(i),i}function Twe(l,e){const i=_re.bindTo(l),n=()=>{const s=e.scrollTop===0,c=e.scrollHeight-e.renderHeight-e.scrollTop<1;s&&c?i.set("both"):s?i.set("top"):c?i.set("bottom"):i.set("none")};return n(),e.onDidScroll(n)}const rW="workbench.list.multiSelectModifier",S1e="workbench.list.openMode",vk="workbench.list.horizontalScrolling",MJe="workbench.list.defaultFindMode",RJe="workbench.list.typeNavigationMode",sve="workbench.list.keyboardNavigation",qE="workbench.list.scrollByPage",FJe="workbench.list.defaultFindMatchType",pre="workbench.tree.indent",ove="workbench.tree.renderIndentGuides",$E="workbench.list.smoothScrolling",OL="workbench.list.mouseWheelScrollSensitivity",ML="workbench.list.fastScrollSensitivity",ave="workbench.tree.expandMode",cve="workbench.tree.enableStickyScroll",lve="workbench.tree.stickyScrollMaxItemCount";function RL(l){return l.getValue(rW)==="alt"}class nSi extends xi{constructor(e){super(),this.configurationService=e,this.useAltAsMultipleSelectionModifier=RL(e),this.registerListeners()}registerListeners(){this._register(this.configurationService.onDidChangeConfiguration(e=>{e.affectsConfiguration(rW)&&(this.useAltAsMultipleSelectionModifier=RL(this.configurationService))}))}isSelectionSingleChangeEvent(e){return this.useAltAsMultipleSelectionModifier?e.browserEvent.altKey:IDt(e)}isSelectionRangeChangeEvent(e){return NDt(e)}}function Dwe(l,e){const i=l.get(Cc),n=l.get(pu),s=new wn;return[{...e,keyboardNavigationDelegate:{mightProducePrintableCharacter(d){return n.mightProducePrintableCharacter(d)}},smoothScrolling:!!i.getValue($E),mouseWheelScrollSensitivity:i.getValue(OL),fastScrollSensitivity:i.getValue(ML),multipleSelectionController:e.multipleSelectionController??s.add(new nSi(i)),keyboardNavigationEventFilter:oSi(n),scrollByPage:!!i.getValue(qE)},s]}let KCt=class extends Ow{constructor(e,i,n,s,c,d,f,m,v){const x=typeof c.horizontalScrolling<"u"?c.horizontalScrolling:!!m.getValue(vk),[w,I]=v.invokeFunction(Dwe,c);super(e,i,n,s,{keyboardSupport:!1,...w,horizontalScrolling:x}),this.disposables.add(I),this.contextKeyService=kwe(d,this),this.disposables.add(Twe(this.contextKeyService,this)),this.listSupportsMultiSelect=wwe.bindTo(this.contextKeyService),this.listSupportsMultiSelect.set(c.multipleSelectionSupport!==!1),xwe.bindTo(this.contextKeyService).set(!!c.selectionNavigation),this.listHasSelectionOrFocus=NJe.bindTo(this.contextKeyService),this.listDoubleSelection=LJe.bindTo(this.contextKeyService),this.listMultiSelection=PJe.bindTo(this.contextKeyService),this.horizontalScrolling=c.horizontalScrolling,this._useAltAsMultipleSelectionModifier=RL(m),this.disposables.add(this.contextKeyService),this.disposables.add(f.register(this)),this.updateStyles(c.overrideStyles),this.disposables.add(this.onDidChangeSelection(()=>{const O=this.getSelection(),z=this.getFocus();this.contextKeyService.bufferChangeEvents(()=>{this.listHasSelectionOrFocus.set(O.length>0||z.length>0),this.listMultiSelection.set(O.length>1),this.listDoubleSelection.set(O.length===2)})})),this.disposables.add(this.onDidChangeFocus(()=>{const O=this.getSelection(),z=this.getFocus();this.listHasSelectionOrFocus.set(O.length>0||z.length>0)})),this.disposables.add(m.onDidChangeConfiguration(O=>{O.affectsConfiguration(rW)&&(this._useAltAsMultipleSelectionModifier=RL(m));let z={};if(O.affectsConfiguration(vk)&&this.horizontalScrolling===void 0){const J=!!m.getValue(vk);z={...z,horizontalScrolling:J}}if(O.affectsConfiguration(qE)){const J=!!m.getValue(qE);z={...z,scrollByPage:J}}if(O.affectsConfiguration($E)){const J=!!m.getValue($E);z={...z,smoothScrolling:J}}if(O.affectsConfiguration(OL)){const J=m.getValue(OL);z={...z,mouseWheelScrollSensitivity:J}}if(O.affectsConfiguration(ML)){const J=m.getValue(ML);z={...z,fastScrollSensitivity:J}}Object.keys(z).length>0&&this.updateOptions(z)})),this.navigator=new jEt(this,{configurationService:m,...c}),this.disposables.add(this.navigator)}updateOptions(e){super.updateOptions(e),e.overrideStyles!==void 0&&this.updateStyles(e.overrideStyles),e.multipleSelectionSupport!==void 0&&this.listSupportsMultiSelect.set(!!e.multipleSelectionSupport)}updateStyles(e){this.style(e?iW(e):tW)}};KCt=S6([nf(5,Ko),nf(6,Ik),nf(7,Cc),nf(8,ho)],KCt);let XCt=class extends cCi{constructor(e,i,n,s,c,d,f,m,v){const x=typeof c.horizontalScrolling<"u"?c.horizontalScrolling:!!m.getValue(vk),[w,I]=v.invokeFunction(Dwe,c);super(e,i,n,s,{keyboardSupport:!1,...w,horizontalScrolling:x}),this.disposables=new wn,this.disposables.add(I),this.contextKeyService=kwe(d,this),this.disposables.add(Twe(this.contextKeyService,this.widget)),this.horizontalScrolling=c.horizontalScrolling,this.listSupportsMultiSelect=wwe.bindTo(this.contextKeyService),this.listSupportsMultiSelect.set(c.multipleSelectionSupport!==!1),xwe.bindTo(this.contextKeyService).set(!!c.selectionNavigation),this._useAltAsMultipleSelectionModifier=RL(m),this.disposables.add(this.contextKeyService),this.disposables.add(f.register(this)),this.updateStyles(c.overrideStyles),this.disposables.add(m.onDidChangeConfiguration(O=>{O.affectsConfiguration(rW)&&(this._useAltAsMultipleSelectionModifier=RL(m));let z={};if(O.affectsConfiguration(vk)&&this.horizontalScrolling===void 0){const J=!!m.getValue(vk);z={...z,horizontalScrolling:J}}if(O.affectsConfiguration(qE)){const J=!!m.getValue(qE);z={...z,scrollByPage:J}}if(O.affectsConfiguration($E)){const J=!!m.getValue($E);z={...z,smoothScrolling:J}}if(O.affectsConfiguration(OL)){const J=m.getValue(OL);z={...z,mouseWheelScrollSensitivity:J}}if(O.affectsConfiguration(ML)){const J=m.getValue(ML);z={...z,fastScrollSensitivity:J}}Object.keys(z).length>0&&this.updateOptions(z)})),this.navigator=new jEt(this,{configurationService:m,...c}),this.disposables.add(this.navigator)}updateOptions(e){super.updateOptions(e),e.overrideStyles!==void 0&&this.updateStyles(e.overrideStyles),e.multipleSelectionSupport!==void 0&&this.listSupportsMultiSelect.set(!!e.multipleSelectionSupport)}updateStyles(e){this.style(e?iW(e):tW)}dispose(){this.disposables.dispose(),super.dispose()}};XCt=S6([nf(5,Ko),nf(6,Ik),nf(7,Cc),nf(8,ho)],XCt);let QCt=class extends gHe{constructor(e,i,n,s,c,d,f,m,v,x){const w=typeof d.horizontalScrolling<"u"?d.horizontalScrolling:!!v.getValue(vk),[I,P]=x.invokeFunction(Dwe,d);super(e,i,n,s,c,{keyboardSupport:!1,...I,horizontalScrolling:w}),this.disposables.add(P),this.contextKeyService=kwe(f,this),this.disposables.add(Twe(this.contextKeyService,this)),this.listSupportsMultiSelect=wwe.bindTo(this.contextKeyService),this.listSupportsMultiSelect.set(d.multipleSelectionSupport!==!1),xwe.bindTo(this.contextKeyService).set(!!d.selectionNavigation),this.listHasSelectionOrFocus=NJe.bindTo(this.contextKeyService),this.listDoubleSelection=LJe.bindTo(this.contextKeyService),this.listMultiSelection=PJe.bindTo(this.contextKeyService),this.horizontalScrolling=d.horizontalScrolling,this._useAltAsMultipleSelectionModifier=RL(v),this.disposables.add(this.contextKeyService),this.disposables.add(m.register(this)),this.updateStyles(d.overrideStyles),this.disposables.add(this.onDidChangeSelection(()=>{const z=this.getSelection(),J=this.getFocus();this.contextKeyService.bufferChangeEvents(()=>{this.listHasSelectionOrFocus.set(z.length>0||J.length>0),this.listMultiSelection.set(z.length>1),this.listDoubleSelection.set(z.length===2)})})),this.disposables.add(this.onDidChangeFocus(()=>{const z=this.getSelection(),J=this.getFocus();this.listHasSelectionOrFocus.set(z.length>0||J.length>0)})),this.disposables.add(v.onDidChangeConfiguration(z=>{z.affectsConfiguration(rW)&&(this._useAltAsMultipleSelectionModifier=RL(v));let J={};if(z.affectsConfiguration(vk)&&this.horizontalScrolling===void 0){const Y=!!v.getValue(vk);J={...J,horizontalScrolling:Y}}if(z.affectsConfiguration(qE)){const Y=!!v.getValue(qE);J={...J,scrollByPage:Y}}if(z.affectsConfiguration($E)){const Y=!!v.getValue($E);J={...J,smoothScrolling:Y}}if(z.affectsConfiguration(OL)){const Y=v.getValue(OL);J={...J,mouseWheelScrollSensitivity:Y}}if(z.affectsConfiguration(ML)){const Y=v.getValue(ML);J={...J,fastScrollSensitivity:Y}}Object.keys(J).length>0&&this.updateOptions(J)})),this.navigator=new rSi(this,{configurationService:v,...d}),this.disposables.add(this.navigator)}updateOptions(e){super.updateOptions(e),e.overrideStyles!==void 0&&this.updateStyles(e.overrideStyles),e.multipleSelectionSupport!==void 0&&this.listSupportsMultiSelect.set(!!e.multipleSelectionSupport)}updateStyles(e){this.style(e?iW(e):tW)}dispose(){this.disposables.dispose(),super.dispose()}};QCt=S6([nf(6,Ko),nf(7,Ik),nf(8,Cc),nf(9,ho)],QCt);class BJe extends xi{constructor(e,i){super(),this.widget=e,this._onDidOpen=this._register(new gi),this.onDidOpen=this._onDidOpen.event,this._register(Tr.filter(this.widget.onDidChangeSelection,n=>E4(n.browserEvent))(n=>this.onSelectionFromKeyboard(n))),this._register(this.widget.onPointer(n=>this.onPointer(n.element,n.browserEvent))),this._register(this.widget.onMouseDblClick(n=>this.onMouseDblClick(n.element,n.browserEvent))),typeof(i==null?void 0:i.openOnSingleClick)!="boolean"&&(i!=null&&i.configurationService)?(this.openOnSingleClick=(i==null?void 0:i.configurationService.getValue(S1e))!=="doubleClick",this._register(i==null?void 0:i.configurationService.onDidChangeConfiguration(n=>{n.affectsConfiguration(S1e)&&(this.openOnSingleClick=(i==null?void 0:i.configurationService.getValue(S1e))!=="doubleClick")}))):this.openOnSingleClick=(i==null?void 0:i.openOnSingleClick)??!0}onSelectionFromKeyboard(e){if(e.elements.length!==1)return;const i=e.browserEvent,n=typeof i.preserveFocus=="boolean"?i.preserveFocus:!0,s=typeof i.pinned=="boolean"?i.pinned:!n;this._open(this.getSelectedElement(),n,s,!1,e.browserEvent)}onPointer(e,i){if(!this.openOnSingleClick||i.detail===2)return;const s=i.button===1,c=!0,d=s,f=i.ctrlKey||i.metaKey||i.altKey;this._open(e,c,d,f,i)}onMouseDblClick(e,i){if(!i)return;const n=i.target;if(n.classList.contains("monaco-tl-twistie")||n.classList.contains("monaco-icon-label")&&n.classList.contains("folder-icon")&&i.offsetX<16)return;const c=!1,d=!0,f=i.ctrlKey||i.metaKey||i.altKey;this._open(e,c,d,f,i)}_open(e,i,n,s,c){e&&this._onDidOpen.fire({editorOptions:{preserveFocus:i,pinned:n,revealIfVisible:!0},sideBySide:s,element:e,browserEvent:c})}}class jEt extends BJe{constructor(e,i){super(e,i),this.widget=e}getSelectedElement(){return this.widget.getSelectedElements()[0]}}class rSi extends BJe{constructor(e,i){super(e,i)}getSelectedElement(){return this.widget.getSelectedElements()[0]}}class sSi extends BJe{constructor(e,i){super(e,i)}getSelectedElement(){return this.widget.getSelection()[0]??void 0}}function oSi(l){let e=!1;return i=>{if(i.toKeyCodeChord().isModifierKey())return!1;if(e)return e=!1,!1;const n=l.softDispatch(i,i.target);return n.kind===1?(e=!0,!1):(e=!1,n.kind===0)}}let SHe=class extends DJe{constructor(e,i,n,s,c,d,f,m,v){const{options:x,getTypeNavigationMode:w,disposable:I}=d.invokeFunction(Pse,c);super(e,i,n,s,x),this.disposables.add(I),this.internals=new MB(this,c,w,c.overrideStyles,f,m,v),this.disposables.add(this.internals)}updateOptions(e){super.updateOptions(e),this.internals.updateOptions(e)}};SHe=S6([nf(5,ho),nf(6,Ko),nf(7,Ik),nf(8,Cc)],SHe);let ZCt=class extends LEt{constructor(e,i,n,s,c,d,f,m,v){const{options:x,getTypeNavigationMode:w,disposable:I}=d.invokeFunction(Pse,c);super(e,i,n,s,x),this.disposables.add(I),this.internals=new MB(this,c,w,c.overrideStyles,f,m,v),this.disposables.add(this.internals)}updateOptions(e={}){super.updateOptions(e),e.overrideStyles&&this.internals.updateStyleOverrides(e.overrideStyles),this.internals.updateOptions(e)}};ZCt=S6([nf(5,ho),nf(6,Ko),nf(7,Ik),nf(8,Cc)],ZCt);let YCt=class extends QCi{constructor(e,i,n,s,c,d,f,m,v,x){const{options:w,getTypeNavigationMode:I,disposable:P}=f.invokeFunction(Pse,d);super(e,i,n,s,c,w),this.disposables.add(P),this.internals=new MB(this,d,I,d.overrideStyles,m,v,x),this.disposables.add(this.internals)}updateOptions(e={}){super.updateOptions(e),e.overrideStyles!==void 0&&this.internals.updateStyleOverrides(e.overrideStyles),this.internals.updateOptions(e)}};YCt=S6([nf(6,ho),nf(7,Ko),nf(8,Ik),nf(9,Cc)],YCt);let wHe=class extends AEt{get onDidOpen(){return this.internals.onDidOpen}constructor(e,i,n,s,c,d,f,m,v,x){const{options:w,getTypeNavigationMode:I,disposable:P}=f.invokeFunction(Pse,d);super(e,i,n,s,c,w),this.disposables.add(P),this.internals=new MB(this,d,I,d.overrideStyles,m,v,x),this.disposables.add(this.internals)}updateOptions(e={}){super.updateOptions(e),e.overrideStyles&&this.internals.updateStyleOverrides(e.overrideStyles),this.internals.updateOptions(e)}};wHe=S6([nf(6,ho),nf(7,Ko),nf(8,Ik),nf(9,Cc)],wHe);let eSt=class extends KCi{constructor(e,i,n,s,c,d,f,m,v,x,w){const{options:I,getTypeNavigationMode:P,disposable:O}=m.invokeFunction(Pse,f);super(e,i,n,s,c,d,I),this.disposables.add(O),this.internals=new MB(this,f,P,f.overrideStyles,v,x,w),this.disposables.add(this.internals)}updateOptions(e){super.updateOptions(e),this.internals.updateOptions(e)}};eSt=S6([nf(7,ho),nf(8,Ko),nf(9,Ik),nf(10,Cc)],eSt);function zEt(l){const e=l.getValue(MJe);if(e==="highlight")return z4.Highlight;if(e==="filter")return z4.Filter;const i=l.getValue(sve);if(i==="simple"||i==="highlight")return z4.Highlight;if(i==="filter")return z4.Filter}function UEt(l){const e=l.getValue(FJe);if(e==="fuzzy")return OB.Fuzzy;if(e==="contiguous")return OB.Contiguous}function Pse(l,e){const i=l.get(Cc),n=l.get(b6),s=l.get(Ko),c=l.get(ho),d=()=>{const I=s.getContextKeyValue(VEt);if(I==="automatic")return gL.Automatic;if(I==="trigger"||s.getContextKeyValue(HEt)===!1)return gL.Trigger;const O=i.getValue(RJe);if(O==="automatic")return gL.Automatic;if(O==="trigger")return gL.Trigger},f=e.horizontalScrolling!==void 0?e.horizontalScrolling:!!i.getValue(vk),[m,v]=c.invokeFunction(Dwe,e),x=e.paddingBottom,w=e.renderIndentGuides!==void 0?e.renderIndentGuides:i.getValue(ove);return{getTypeNavigationMode:d,disposable:v,options:{keyboardSupport:!1,...m,indent:typeof i.getValue(pre)=="number"?i.getValue(pre):void 0,renderIndentGuides:w,smoothScrolling:!!i.getValue($E),defaultFindMode:zEt(i),defaultFindMatchType:UEt(i),horizontalScrolling:f,scrollByPage:!!i.getValue(qE),paddingBottom:x,hideTwistiesOfChildlessElements:e.hideTwistiesOfChildlessElements,expandOnlyOnTwistieClick:e.expandOnlyOnTwistieClick??i.getValue(ave)==="doubleClick",contextViewProvider:n,findWidgetStyles:uyi,enableStickyScroll:!!i.getValue(cve),stickyScrollMaxItemCount:Number(i.getValue(lve))}}}let MB=class{get onDidOpen(){return this.navigator.onDidOpen}constructor(e,i,n,s,c,d,f){this.tree=e,this.disposables=[],this.contextKeyService=kwe(c,e),this.disposables.push(Twe(this.contextKeyService,e)),this.listSupportsMultiSelect=wwe.bindTo(this.contextKeyService),this.listSupportsMultiSelect.set(i.multipleSelectionSupport!==!1),xwe.bindTo(this.contextKeyService).set(!!i.selectionNavigation),this.listSupportFindWidget=YCi.bindTo(this.contextKeyService),this.listSupportFindWidget.set(i.findWidgetEnabled??!0),this.hasSelectionOrFocus=NJe.bindTo(this.contextKeyService),this.hasDoubleSelection=LJe.bindTo(this.contextKeyService),this.hasMultiSelection=PJe.bindTo(this.contextKeyService),this.treeElementCanCollapse=AJe.bindTo(this.contextKeyService),this.treeElementHasParent=eSi.bindTo(this.contextKeyService),this.treeElementCanExpand=OJe.bindTo(this.contextKeyService),this.treeElementHasChild=tSi.bindTo(this.contextKeyService),this.treeFindOpen=iSi.bindTo(this.contextKeyService),this.treeStickyScrollFocused=BEt.bindTo(this.contextKeyService),this._useAltAsMultipleSelectionModifier=RL(f),this.updateStyleOverrides(s);const v=()=>{const w=e.getFocus()[0];if(!w)return;const I=e.getNode(w);this.treeElementCanCollapse.set(I.collapsible&&!I.collapsed),this.treeElementHasParent.set(!!e.getParentElement(w)),this.treeElementCanExpand.set(I.collapsible&&I.collapsed),this.treeElementHasChild.set(!!e.getFirstElementChild(w))},x=new Set;x.add(VEt),x.add(HEt),this.disposables.push(this.contextKeyService,d.register(e),e.onDidChangeSelection(()=>{const w=e.getSelection(),I=e.getFocus();this.contextKeyService.bufferChangeEvents(()=>{this.hasSelectionOrFocus.set(w.length>0||I.length>0),this.hasMultiSelection.set(w.length>1),this.hasDoubleSelection.set(w.length===2)})}),e.onDidChangeFocus(()=>{const w=e.getSelection(),I=e.getFocus();this.hasSelectionOrFocus.set(w.length>0||I.length>0),v()}),e.onDidChangeCollapseState(v),e.onDidChangeModel(v),e.onDidChangeFindOpenState(w=>this.treeFindOpen.set(w)),e.onDidChangeStickyScrollFocused(w=>this.treeStickyScrollFocused.set(w)),f.onDidChangeConfiguration(w=>{let I={};if(w.affectsConfiguration(rW)&&(this._useAltAsMultipleSelectionModifier=RL(f)),w.affectsConfiguration(pre)){const P=f.getValue(pre);I={...I,indent:P}}if(w.affectsConfiguration(ove)&&i.renderIndentGuides===void 0){const P=f.getValue(ove);I={...I,renderIndentGuides:P}}if(w.affectsConfiguration($E)){const P=!!f.getValue($E);I={...I,smoothScrolling:P}}if(w.affectsConfiguration(MJe)||w.affectsConfiguration(sve)){const P=zEt(f);I={...I,defaultFindMode:P}}if(w.affectsConfiguration(RJe)||w.affectsConfiguration(sve)){const P=n();I={...I,typeNavigationMode:P}}if(w.affectsConfiguration(FJe)){const P=UEt(f);I={...I,defaultFindMatchType:P}}if(w.affectsConfiguration(vk)&&i.horizontalScrolling===void 0){const P=!!f.getValue(vk);I={...I,horizontalScrolling:P}}if(w.affectsConfiguration(qE)){const P=!!f.getValue(qE);I={...I,scrollByPage:P}}if(w.affectsConfiguration(ave)&&i.expandOnlyOnTwistieClick===void 0&&(I={...I,expandOnlyOnTwistieClick:f.getValue(ave)==="doubleClick"}),w.affectsConfiguration(cve)){const P=f.getValue(cve);I={...I,enableStickyScroll:P}}if(w.affectsConfiguration(lve)){const P=Math.max(1,f.getValue(lve));I={...I,stickyScrollMaxItemCount:P}}if(w.affectsConfiguration(OL)){const P=f.getValue(OL);I={...I,mouseWheelScrollSensitivity:P}}if(w.affectsConfiguration(ML)){const P=f.getValue(ML);I={...I,fastScrollSensitivity:P}}Object.keys(I).length>0&&e.updateOptions(I)}),this.contextKeyService.onDidChangeContext(w=>{w.affectsSome(x)&&e.updateOptions({typeNavigationMode:n()})})),this.navigator=new sSi(e,{configurationService:f,...i}),this.disposables.push(this.navigator)}updateOptions(e){e.multipleSelectionSupport!==void 0&&this.listSupportsMultiSelect.set(!!e.multipleSelectionSupport)}updateStyleOverrides(e){this.tree.style(e?iW(e):tW)}dispose(){this.disposables=vd(this.disposables)}};MB=S6([nf(4,Ko),nf(5,Ik),nf(6,Cc)],MB);const aSi=nh.as(DT.Configuration);aSi.registerConfiguration({id:"workbench",order:7,title:W("workbenchConfigurationTitle","Workbench"),type:"object",properties:{[rW]:{type:"string",enum:["ctrlCmd","alt"],markdownEnumDescriptions:[W("multiSelectModifier.ctrlCmd","Maps to `Control` on Windows and Linux and to `Command` on macOS."),W("multiSelectModifier.alt","Maps to `Alt` on Windows and Linux and to `Option` on macOS.")],default:"ctrlCmd",description:W({key:"multiSelectModifier",comment:["- `ctrlCmd` refers to a value the setting can take and should not be localized.","- `Control` and `Command` refer to the modifier keys Ctrl or Cmd on the keyboard and can be localized."]},"The modifier to be used to add an item in trees and lists to a multi-selection with the mouse (for example in the explorer, open editors and scm view). The 'Open to Side' mouse gestures - if supported - will adapt such that they do not conflict with the multiselect modifier.")},[S1e]:{type:"string",enum:["singleClick","doubleClick"],default:"singleClick",description:W({key:"openModeModifier",comment:["`singleClick` and `doubleClick` refers to a value the setting can take and should not be localized."]},"Controls how to open items in trees and lists using the mouse (if supported). Note that some trees and lists might choose to ignore this setting if it is not applicable.")},[vk]:{type:"boolean",default:!1,description:W("horizontalScrolling setting","Controls whether lists and trees support horizontal scrolling in the workbench. Warning: turning on this setting has a performance implication.")},[qE]:{type:"boolean",default:!1,description:W("list.scrollByPage","Controls whether clicks in the scrollbar scroll page by page.")},[pre]:{type:"number",default:8,minimum:4,maximum:40,description:W("tree indent setting","Controls tree indentation in pixels.")},[ove]:{type:"string",enum:["none","onHover","always"],default:"onHover",description:W("render tree indent guides","Controls whether the tree should render indent guides.")},[$E]:{type:"boolean",default:!1,description:W("list smoothScrolling setting","Controls whether lists and trees have smooth scrolling.")},[OL]:{type:"number",default:1,markdownDescription:W("Mouse Wheel Scroll Sensitivity","A multiplier to be used on the `deltaX` and `deltaY` of mouse wheel scroll events.")},[ML]:{type:"number",default:5,markdownDescription:W("Fast Scroll Sensitivity","Scrolling speed multiplier when pressing `Alt`.")},[MJe]:{type:"string",enum:["highlight","filter"],enumDescriptions:[W("defaultFindModeSettingKey.highlight","Highlight elements when searching. Further up and down navigation will traverse only the highlighted elements."),W("defaultFindModeSettingKey.filter","Filter elements when searching.")],default:"highlight",description:W("defaultFindModeSettingKey","Controls the default find mode for lists and trees in the workbench.")},[sve]:{type:"string",enum:["simple","highlight","filter"],enumDescriptions:[W("keyboardNavigationSettingKey.simple","Simple keyboard navigation focuses elements which match the keyboard input. Matching is done only on prefixes."),W("keyboardNavigationSettingKey.highlight","Highlight keyboard navigation highlights elements which match the keyboard input. Further up and down navigation will traverse only the highlighted elements."),W("keyboardNavigationSettingKey.filter","Filter keyboard navigation will filter out and hide all the elements which do not match the keyboard input.")],default:"highlight",description:W("keyboardNavigationSettingKey","Controls the keyboard navigation style for lists and trees in the workbench. Can be simple, highlight and filter."),deprecated:!0,deprecationMessage:W("keyboardNavigationSettingKeyDeprecated","Please use 'workbench.list.defaultFindMode' and 'workbench.list.typeNavigationMode' instead.")},[FJe]:{type:"string",enum:["fuzzy","contiguous"],enumDescriptions:[W("defaultFindMatchTypeSettingKey.fuzzy","Use fuzzy matching when searching."),W("defaultFindMatchTypeSettingKey.contiguous","Use contiguous matching when searching.")],default:"fuzzy",description:W("defaultFindMatchTypeSettingKey","Controls the type of matching used when searching lists and trees in the workbench.")},[ave]:{type:"string",enum:["singleClick","doubleClick"],default:"singleClick",description:W("expand mode","Controls how tree folders are expanded when clicking the folder names. Note that some trees and lists might choose to ignore this setting if it is not applicable.")},[cve]:{type:"boolean",default:!0,description:W("sticky scroll","Controls whether sticky scrolling is enabled in trees.")},[lve]:{type:"number",minimum:1,default:7,markdownDescription:W("sticky scroll maximum items","Controls the number of sticky elements displayed in the tree when {0} is enabled.","`#workbench.tree.enableStickyScroll#`")},[RJe]:{type:"string",enum:["automatic","trigger"],default:"automatic",markdownDescription:W("typeNavigationMode2","Controls how type navigation works in lists and trees in the workbench. When set to `trigger`, type navigation begins once the `list.triggerTypeNavigation` command is run.")}}});class XM extends xi{constructor(e,i){super(),this.options=i,this.text="",this.title="",this.highlights=[],this.didEverRender=!1,this.supportIcons=(i==null?void 0:i.supportIcons)??!1,this.domNode=jn(e,Cr("span.monaco-highlighted-label"))}get element(){return this.domNode}set(e,i=[],n="",s){e||(e=""),s&&(e=XM.escapeNewLines(e,i)),!(this.didEverRender&&this.text===e&&this.title===n&&qC(this.highlights,i))&&(this.text=e,this.title=n,this.highlights=i,this.render())}render(){var n,s,c;const e=[];let i=0;for(const d of this.highlights){if(d.end===d.start)continue;if(i<d.start){const v=this.text.substring(i,d.start);this.supportIcons?e.push(...JM(v)):e.push(v),i=d.start}const f=this.text.substring(i,d.end),m=Cr("span.highlight",void 0,...this.supportIcons?JM(f):[f]);d.extraClasses&&m.classList.add(...d.extraClasses),e.push(m),i=d.end}if(i<this.text.length){const d=this.text.substring(i);this.supportIcons?e.push(...JM(d)):e.push(d)}if(o0(this.domNode,...e),(s=(n=this.options)==null?void 0:n.hoverDelegate)!=null&&s.showNativeHover)this.domNode.title=this.title;else if(!this.customHover&&this.title!==""){const d=((c=this.options)==null?void 0:c.hoverDelegate)??Dv("mouse");this.customHover=this._register(QE().setupManagedHover(d,this.domNode,this.title))}else this.customHover&&this.customHover.update(this.title);this.didEverRender=!0}static escapeNewLines(e,i){let n=0,s=0;return e.replace(/\r\n|\r|\n/g,(c,d)=>{s=c===`\r
+`?-1:0,d+=n;for(const f of i)f.end<=d||(f.start>=d&&(f.start+=s),f.end>=d&&(f.end+=s));return n+=s,"⏎"})}}class Hte{constructor(e){this._element=e}get element(){return this._element}set textContent(e){this.disposed||e===this._textContent||(this._textContent=e,this._element.textContent=e)}set classNames(e){this.disposed||qC(e,this._classNames)||(this._classNames=e,this._element.classList.value="",this._element.classList.add(...e))}set empty(e){this.disposed||e===this._empty||(this._empty=e,this._element.style.marginLeft=e?"0":"")}dispose(){this.disposed=!0}}class uve extends xi{constructor(e,i){super(),this.customHovers=new Map,this.creationOptions=i,this.domNode=this._register(new Hte(jn(e,Cr(".monaco-icon-label")))),this.labelContainer=jn(this.domNode.element,Cr(".monaco-icon-label-container")),this.nameContainer=jn(this.labelContainer,Cr("span.monaco-icon-name-container")),i!=null&&i.supportHighlights||i!=null&&i.supportIcons?this.nameNode=this._register(new uSi(this.nameContainer,!!i.supportIcons)):this.nameNode=new cSi(this.nameContainer),this.hoverDelegate=(i==null?void 0:i.hoverDelegate)??Dv("mouse")}get element(){return this.domNode.element}setLabel(e,i,n){const s=["monaco-icon-label"],c=["monaco-icon-label-container"];let d="";n&&(n.extraClasses&&s.push(...n.extraClasses),n.italic&&s.push("italic"),n.strikethrough&&s.push("strikethrough"),n.disabledCommand&&c.push("disabled"),n.title&&(typeof n.title=="string"?d+=n.title:d+=e));const f=this.domNode.element.querySelector(".monaco-icon-label-iconpath");if(n!=null&&n.iconPath){let m;!f||!Mm(f)?(m=Cr(".monaco-icon-label-iconpath"),this.domNode.element.prepend(m)):m=f,m.style.backgroundImage=l6(n==null?void 0:n.iconPath)}else f&&f.remove();if(this.domNode.classNames=s,this.domNode.element.setAttribute("aria-label",d),this.labelContainer.classList.value="",this.labelContainer.classList.add(...c),this.setupHover(n!=null&&n.descriptionTitle?this.labelContainer:this.element,n==null?void 0:n.title),this.nameNode.setLabel(e,n),i||this.descriptionNode){const m=this.getOrCreateDescriptionNode();m instanceof XM?(m.set(i||"",n?n.descriptionMatches:void 0,void 0,n==null?void 0:n.labelEscapeNewLines),this.setupHover(m.element,n==null?void 0:n.descriptionTitle)):(m.textContent=i&&(n!=null&&n.labelEscapeNewLines)?XM.escapeNewLines(i,[]):i||"",this.setupHover(m.element,(n==null?void 0:n.descriptionTitle)||""),m.empty=!i)}if(n!=null&&n.suffix||this.suffixNode){const m=this.getOrCreateSuffixNode();m.textContent=(n==null?void 0:n.suffix)??""}}setupHover(e,i){const n=this.customHovers.get(e);if(n&&(n.dispose(),this.customHovers.delete(e)),!i){e.removeAttribute("title");return}if(this.hoverDelegate.showNativeHover)(function(c,d){Eb(d)?c.title=K$e(d):d!=null&&d.markdownNotSupportedFallback?c.title=d.markdownNotSupportedFallback:c.removeAttribute("title")})(e,i);else{const s=QE().setupManagedHover(this.hoverDelegate,e,i);s&&this.customHovers.set(e,s)}}dispose(){super.dispose();for(const e of this.customHovers.values())e.dispose();this.customHovers.clear()}getOrCreateSuffixNode(){if(!this.suffixNode){const e=this._register(new Hte(_di(this.nameContainer,Cr("span.monaco-icon-suffix-container"))));this.suffixNode=this._register(new Hte(jn(e.element,Cr("span.label-suffix"))))}return this.suffixNode}getOrCreateDescriptionNode(){var e;if(!this.descriptionNode){const i=this._register(new Hte(jn(this.labelContainer,Cr("span.monaco-icon-description-container"))));(e=this.creationOptions)!=null&&e.supportDescriptionHighlights?this.descriptionNode=this._register(new XM(jn(i.element,Cr("span.label-description")),{supportIcons:!!this.creationOptions.supportIcons})):this.descriptionNode=this._register(new Hte(jn(i.element,Cr("span.label-description"))))}return this.descriptionNode}}class cSi{constructor(e){this.container=e,this.label=void 0,this.singleLabel=void 0}setLabel(e,i){if(!(this.label===e&&qC(this.options,i)))if(this.label=e,this.options=i,typeof e=="string")this.singleLabel||(this.container.innerText="",this.container.classList.remove("multiple"),this.singleLabel=jn(this.container,Cr("a.label-name",{id:i==null?void 0:i.domId}))),this.singleLabel.textContent=e;else{this.container.innerText="",this.container.classList.add("multiple"),this.singleLabel=void 0;for(let n=0;n<e.length;n++){const s=e[n],c=(i==null?void 0:i.domId)&&`${i==null?void 0:i.domId}_${n}`;jn(this.container,Cr("a.label-name",{id:c,"data-icon-label-count":e.length,"data-icon-label-index":n,role:"treeitem"},s)),n<e.length-1&&jn(this.container,Cr("span.label-separator",void 0,(i==null?void 0:i.separator)||"/"))}}}}function lSi(l,e,i){if(!i)return;let n=0;return l.map(s=>{const c={start:n,end:n+s.length},d=i.map(f=>h1.intersect(c,f)).filter(f=>!h1.isEmpty(f)).map(({start:f,end:m})=>({start:f-n,end:m-n}));return n=c.end+e.length,d})}class uSi extends xi{constructor(e,i){super(),this.container=e,this.supportIcons=i,this.label=void 0,this.singleLabel=void 0}setLabel(e,i){if(!(this.label===e&&qC(this.options,i)))if(this.label=e,this.options=i,typeof e=="string")this.singleLabel||(this.container.innerText="",this.container.classList.remove("multiple"),this.singleLabel=this._register(new XM(jn(this.container,Cr("a.label-name",{id:i==null?void 0:i.domId})),{supportIcons:this.supportIcons}))),this.singleLabel.set(e,i==null?void 0:i.matches,void 0,i==null?void 0:i.labelEscapeNewLines);else{this.container.innerText="",this.container.classList.add("multiple"),this.singleLabel=void 0;const n=(i==null?void 0:i.separator)||"/",s=lSi(e,n,i==null?void 0:i.matches);for(let c=0;c<e.length;c++){const d=e[c],f=s?s[c]:void 0,m=(i==null?void 0:i.domId)&&`${i==null?void 0:i.domId}_${c}`,v=Cr("a.label-name",{id:m,"data-icon-label-count":e.length,"data-icon-label-index":c,role:"treeitem"});this._register(new XM(jn(this.container,v),{supportIcons:this.supportIcons})).set(d,f,void 0,i==null?void 0:i.labelEscapeNewLines),c<e.length-1&&jn(v,Cr("span.label-separator",void 0,n))}}}}const v0e=Cr,qEt={keybindingLabelBackground:void 0,keybindingLabelForeground:void 0,keybindingLabelBorder:void 0,keybindingLabelBottomBorder:void 0,keybindingLabelShadow:void 0};class EJ extends xi{constructor(e,i,n){super(),this.os=i,this.keyElements=new Set,this.options=n||Object.create(null);const s=this.options.keybindingLabelForeground;this.domNode=jn(e,v0e(".monaco-keybinding")),s&&(this.domNode.style.color=s),this.hover=this._register(QE().setupManagedHover(Dv("mouse"),this.domNode,"")),this.didEverRender=!1,e.appendChild(this.domNode)}get element(){return this.domNode}set(e,i){this.didEverRender&&this.keybinding===e&&EJ.areSame(this.matches,i)||(this.keybinding=e,this.matches=i,this.render())}render(){if(this.clear(),this.keybinding){const e=this.keybinding.getChords();e[0]&&this.renderChord(this.domNode,e[0],this.matches?this.matches.firstPart:null);for(let n=1;n<e.length;n++)jn(this.domNode,v0e("span.monaco-keybinding-key-chord-separator",void 0," ")),this.renderChord(this.domNode,e[n],this.matches?this.matches.chordPart:null);const i=this.options.disableTitle??!1?void 0:this.keybinding.getAriaLabel()||void 0;this.hover.update(i),this.domNode.setAttribute("aria-label",i||"")}else this.options&&this.options.renderUnboundKeybindings&&this.renderUnbound(this.domNode);this.didEverRender=!0}clear(){s0(this.domNode),this.keyElements.clear()}renderChord(e,i,n){const s=tJe.modifierLabels[this.os];i.ctrlKey&&this.renderKey(e,s.ctrlKey,!!(n!=null&&n.ctrlKey),s.separator),i.shiftKey&&this.renderKey(e,s.shiftKey,!!(n!=null&&n.shiftKey),s.separator),i.altKey&&this.renderKey(e,s.altKey,!!(n!=null&&n.altKey),s.separator),i.metaKey&&this.renderKey(e,s.metaKey,!!(n!=null&&n.metaKey),s.separator);const c=i.keyLabel;c&&this.renderKey(e,c,!!(n!=null&&n.keyCode),"")}renderKey(e,i,n,s){jn(e,this.createKeyElement(i,n?".highlight":"")),s&&jn(e,v0e("span.monaco-keybinding-key-separator",void 0,s))}renderUnbound(e){jn(e,this.createKeyElement(W("unbound","Unbound")))}createKeyElement(e,i=""){const n=v0e("span.monaco-keybinding-key"+i,void 0,e);return this.keyElements.add(n),this.options.keybindingLabelBackground&&(n.style.backgroundColor=this.options.keybindingLabelBackground),this.options.keybindingLabelBorder&&(n.style.borderColor=this.options.keybindingLabelBorder),this.options.keybindingLabelBottomBorder&&(n.style.borderBottomColor=this.options.keybindingLabelBottomBorder),this.options.keybindingLabelShadow&&(n.style.boxShadow=`inset 0 -1px 0 ${this.options.keybindingLabelShadow}`),n}static areSame(e,i){return e===i||!e&&!i?!0:!!e&&!!i&&qC(e.firstPart,i.firstPart)&&qC(e.chordPart,i.chordPart)}}const tSt=new HE(()=>{const l=new Intl.Collator(void 0,{numeric:!0,sensitivity:"base"});return{collator:l,collatorIsNumeric:l.resolvedOptions().numeric}});function dSi(l,e,i=!1){const n=l||"",s=e||"",c=tSt.value.collator.compare(n,s);return tSt.value.collatorIsNumeric&&c===0&&n!==s?n<s?-1:1:c}function fSi(l,e,i){const n=l.toLowerCase(),s=e.toLowerCase(),c=hSi(l,e,i);if(c)return c;const d=n.endsWith(i),f=s.endsWith(i);if(d!==f)return d?-1:1;const m=dSi(n,s);return m!==0?m:n.localeCompare(s)}function hSi(l,e,i){const n=l.toLowerCase(),s=e.toLowerCase(),c=n.startsWith(i),d=s.startsWith(i);if(c!==d)return c?-1:1;if(c&&d){if(n.length<s.length)return-1;if(n.length>s.length)return 1}return 0}var Ewe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},xHe=function(l,e){return function(i,n){e(i,n,l)}},kHe;const dE=Cr;class $Et{constructor(e,i,n){this.index=e,this.hasCheckbox=i,this._hidden=!1,this._init=new HE(()=>{const s=n.label??"",c=rie(s).text.trim(),d=n.ariaLabel||[s,this.saneDescription,this.saneDetail].map(f=>Sgi(f)).filter(f=>!!f).join(", ");return{saneLabel:s,saneSortLabel:c,saneAriaLabel:d}}),this._saneDescription=n.description,this._saneTooltip=n.tooltip}get saneLabel(){return this._init.value.saneLabel}get saneSortLabel(){return this._init.value.saneSortLabel}get saneAriaLabel(){return this._init.value.saneAriaLabel}get element(){return this._element}set element(e){this._element=e}get hidden(){return this._hidden}set hidden(e){this._hidden=e}get saneDescription(){return this._saneDescription}set saneDescription(e){this._saneDescription=e}get saneDetail(){return this._saneDetail}set saneDetail(e){this._saneDetail=e}get saneTooltip(){return this._saneTooltip}set saneTooltip(e){this._saneTooltip=e}get labelHighlights(){return this._labelHighlights}set labelHighlights(e){this._labelHighlights=e}get descriptionHighlights(){return this._descriptionHighlights}set descriptionHighlights(e){this._descriptionHighlights=e}get detailHighlights(){return this._detailHighlights}set detailHighlights(e){this._detailHighlights=e}}class C0 extends $Et{constructor(e,i,n,s,c,d){var f,m,v;super(e,i,c),this.fireButtonTriggered=n,this._onChecked=s,this.item=c,this._separator=d,this._checked=!1,this.onChecked=i?Tr.map(Tr.filter(this._onChecked.event,x=>x.element===this),x=>x.checked):Tr.None,this._saneDetail=c.detail,this._labelHighlights=(f=c.highlights)==null?void 0:f.label,this._descriptionHighlights=(m=c.highlights)==null?void 0:m.description,this._detailHighlights=(v=c.highlights)==null?void 0:v.detail}get separator(){return this._separator}set separator(e){this._separator=e}get checked(){return this._checked}set checked(e){e!==this._checked&&(this._checked=e,this._onChecked.fire({element:this,checked:e}))}get checkboxDisabled(){return!!this.item.disabled}}var dL;(function(l){l[l.NONE=0]="NONE",l[l.MOUSE_HOVER=1]="MOUSE_HOVER",l[l.ACTIVE_ITEM=2]="ACTIVE_ITEM"})(dL||(dL={}));class IF extends $Et{constructor(e,i,n){super(e,!1,n),this.fireSeparatorButtonTriggered=i,this.separator=n,this.children=new Array,this.focusInsideSeparator=dL.NONE}}class _Si{getHeight(e){return e instanceof IF?30:e.saneDetail?44:22}getTemplateId(e){return e instanceof C0?dve.ID:fve.ID}}class pSi{getWidgetAriaLabel(){return W("quickInput","Quick Input")}getAriaLabel(e){var i;return(i=e.separator)!=null&&i.label?`${e.saneAriaLabel}, ${e.separator.label}`:e.saneAriaLabel}getWidgetRole(){return"listbox"}getRole(e){return e.hasCheckbox?"checkbox":"option"}isChecked(e){if(!(!e.hasCheckbox||!(e instanceof C0)))return{get value(){return e.checked},onDidChange:i=>e.onChecked(()=>i())}}}class JEt{constructor(e){this.hoverDelegate=e}renderTemplate(e){const i=Object.create(null);i.toDisposeElement=new wn,i.toDisposeTemplate=new wn,i.entry=jn(e,dE(".quick-input-list-entry"));const n=jn(i.entry,dE("label.quick-input-list-label"));i.toDisposeTemplate.add(ph(n,br.CLICK,v=>{i.checkbox.offsetParent||v.preventDefault()})),i.checkbox=jn(n,dE("input.quick-input-list-checkbox")),i.checkbox.type="checkbox";const s=jn(n,dE(".quick-input-list-rows")),c=jn(s,dE(".quick-input-list-row")),d=jn(s,dE(".quick-input-list-row"));i.label=new uve(c,{supportHighlights:!0,supportDescriptionHighlights:!0,supportIcons:!0,hoverDelegate:this.hoverDelegate}),i.toDisposeTemplate.add(i.label),i.icon=f$e(i.label.element,dE(".quick-input-list-icon"));const f=jn(c,dE(".quick-input-list-entry-keybinding"));i.keybinding=new EJ(f,UC),i.toDisposeTemplate.add(i.keybinding);const m=jn(d,dE(".quick-input-list-label-meta"));return i.detail=new uve(m,{supportHighlights:!0,supportIcons:!0,hoverDelegate:this.hoverDelegate}),i.toDisposeTemplate.add(i.detail),i.separator=jn(i.entry,dE(".quick-input-list-separator")),i.actionBar=new kw(i.entry,this.hoverDelegate?{hoverDelegate:this.hoverDelegate}:void 0),i.actionBar.domNode.classList.add("quick-input-list-entry-action-bar"),i.toDisposeTemplate.add(i.actionBar),i}disposeTemplate(e){e.toDisposeElement.dispose(),e.toDisposeTemplate.dispose()}disposeElement(e,i,n){n.toDisposeElement.clear(),n.actionBar.clear()}}var D9;let dve=(D9=class extends JEt{constructor(e,i){super(e),this.themeService=i,this._itemsWithSeparatorsFrequency=new Map}get templateId(){return kHe.ID}renderTemplate(e){const i=super.renderTemplate(e);return i.toDisposeTemplate.add(ph(i.checkbox,br.CHANGE,n=>{i.element.checked=i.checkbox.checked})),i}renderElement(e,i,n){var I;const s=e.element;n.element=s,s.element=n.entry??void 0;const c=s.item;n.checkbox.checked=s.checked,n.toDisposeElement.add(s.onChecked(P=>n.checkbox.checked=P)),n.checkbox.disabled=s.checkboxDisabled;const{labelHighlights:d,descriptionHighlights:f,detailHighlights:m}=s;if(c.iconPath){const P=I$(this.themeService.getColorTheme().type)?c.iconPath.dark:c.iconPath.light??c.iconPath.dark,O=yo.revive(P);n.icon.className="quick-input-list-icon",n.icon.style.backgroundImage=l6(O)}else n.icon.style.backgroundImage="",n.icon.className=c.iconClass?`quick-input-list-icon ${c.iconClass}`:"";let v;!s.saneTooltip&&s.saneDescription&&(v={markdown:{value:s.saneDescription,supportThemeIcons:!0},markdownNotSupportedFallback:s.saneDescription});const x={matches:d||[],descriptionTitle:v,descriptionMatches:f||[],labelEscapeNewLines:!0};if(x.extraClasses=c.iconClasses,x.italic=c.italic,x.strikethrough=c.strikethrough,n.entry.classList.remove("quick-input-list-separator-as-item"),n.label.setLabel(s.saneLabel,s.saneDescription,x),n.keybinding.set(c.keybinding),s.saneDetail){let P;s.saneTooltip||(P={markdown:{value:s.saneDetail,supportThemeIcons:!0},markdownNotSupportedFallback:s.saneDetail}),n.detail.element.style.display="",n.detail.setLabel(s.saneDetail,void 0,{matches:m,title:P,labelEscapeNewLines:!0})}else n.detail.element.style.display="none";(I=s.separator)!=null&&I.label?(n.separator.textContent=s.separator.label,n.separator.style.display="",this.addItemWithSeparator(s)):n.separator.style.display="none",n.entry.classList.toggle("quick-input-list-separator-border",!!s.separator);const w=c.buttons;w&&w.length?(n.actionBar.push(w.map((P,O)=>Fie(P,`id-${O}`,()=>s.fireButtonTriggered({button:P,item:s.item}))),{icon:!0,label:!1}),n.entry.classList.add("has-actions")):n.entry.classList.remove("has-actions")}disposeElement(e,i,n){this.removeItemWithSeparator(e.element),super.disposeElement(e,i,n)}isItemWithSeparatorVisible(e){return this._itemsWithSeparatorsFrequency.has(e)}addItemWithSeparator(e){this._itemsWithSeparatorsFrequency.set(e,(this._itemsWithSeparatorsFrequency.get(e)||0)+1)}removeItemWithSeparator(e){const i=this._itemsWithSeparatorsFrequency.get(e)||0;i>1?this._itemsWithSeparatorsFrequency.set(e,i-1):this._itemsWithSeparatorsFrequency.delete(e)}},kHe=D9,D9.ID="quickpickitem",D9);dve=kHe=Ewe([xHe(1,im)],dve);const pCe=class pCe extends JEt{constructor(){super(...arguments),this._visibleSeparatorsFrequency=new Map}get templateId(){return pCe.ID}get visibleSeparators(){return[...this._visibleSeparatorsFrequency.keys()]}isSeparatorVisible(e){return this._visibleSeparatorsFrequency.has(e)}renderTemplate(e){const i=super.renderTemplate(e);return i.checkbox.style.display="none",i}renderElement(e,i,n){const s=e.element;n.element=s,s.element=n.entry??void 0,s.element.classList.toggle("focus-inside",!!s.focusInsideSeparator);const c=s.separator,{labelHighlights:d,descriptionHighlights:f,detailHighlights:m}=s;n.icon.style.backgroundImage="",n.icon.className="";let v;!s.saneTooltip&&s.saneDescription&&(v={markdown:{value:s.saneDescription,supportThemeIcons:!0},markdownNotSupportedFallback:s.saneDescription});const x={matches:d||[],descriptionTitle:v,descriptionMatches:f||[],labelEscapeNewLines:!0};if(n.entry.classList.add("quick-input-list-separator-as-item"),n.label.setLabel(s.saneLabel,s.saneDescription,x),s.saneDetail){let I;s.saneTooltip||(I={markdown:{value:s.saneDetail,supportThemeIcons:!0},markdownNotSupportedFallback:s.saneDetail}),n.detail.element.style.display="",n.detail.setLabel(s.saneDetail,void 0,{matches:m,title:I,labelEscapeNewLines:!0})}else n.detail.element.style.display="none";n.separator.style.display="none",n.entry.classList.add("quick-input-list-separator-border");const w=c.buttons;w&&w.length?(n.actionBar.push(w.map((I,P)=>Fie(I,`id-${P}`,()=>s.fireSeparatorButtonTriggered({button:I,separator:s.separator}))),{icon:!0,label:!1}),n.entry.classList.add("has-actions")):n.entry.classList.remove("has-actions"),this.addSeparator(s)}disposeElement(e,i,n){var s;this.removeSeparator(e.element),this.isSeparatorVisible(e.element)||(s=e.element.element)==null||s.classList.remove("focus-inside"),super.disposeElement(e,i,n)}addSeparator(e){this._visibleSeparatorsFrequency.set(e,(this._visibleSeparatorsFrequency.get(e)||0)+1)}removeSeparator(e){const i=this._visibleSeparatorsFrequency.get(e)||0;i>1?this._visibleSeparatorsFrequency.set(e,i-1):this._visibleSeparatorsFrequency.delete(e)}};pCe.ID="quickpickseparator";let fve=pCe,mre=class extends xi{constructor(e,i,n,s,c,d){super(),this.parent=e,this.hoverDelegate=i,this.linkOpenerDelegate=n,this.accessibilityService=d,this._onKeyDown=new gi,this._onLeave=new gi,this.onLeave=this._onLeave.event,this._visibleCountObservable=bc("VisibleCount",0),this.onChangedVisibleCount=Tr.fromObservable(this._visibleCountObservable,this._store),this._allVisibleCheckedObservable=bc("AllVisibleChecked",!1),this.onChangedAllVisibleChecked=Tr.fromObservable(this._allVisibleCheckedObservable,this._store),this._checkedCountObservable=bc("CheckedCount",0),this.onChangedCheckedCount=Tr.fromObservable(this._checkedCountObservable,this._store),this._checkedElementsObservable=BVe({equalsFn:Lf},new Array),this.onChangedCheckedElements=Tr.fromObservable(this._checkedElementsObservable,this._store),this._onButtonTriggered=new gi,this.onButtonTriggered=this._onButtonTriggered.event,this._onSeparatorButtonTriggered=new gi,this.onSeparatorButtonTriggered=this._onSeparatorButtonTriggered.event,this._elementChecked=new gi,this._elementCheckedEventBufferer=new lse,this._hasCheckboxes=!1,this._inputElements=new Array,this._elementTree=new Array,this._itemElements=new Array,this._elementDisposable=this._register(new wn),this._matchOnDescription=!1,this._matchOnDetail=!1,this._matchOnLabel=!0,this._matchOnLabelMode="fuzzy",this._sortByLabel=!0,this._shouldLoop=!0,this._container=jn(this.parent,dE(".quick-input-list")),this._separatorRenderer=new fve(i),this._itemRenderer=c.createInstance(dve,i),this._tree=this._register(c.createInstance(SHe,"QuickInput",this._container,new _Si,[this._itemRenderer,this._separatorRenderer],{filter:{filter(f){return f.hidden?0:f instanceof IF?2:1}},sorter:{compare:(f,m)=>{if(!this.sortByLabel||!this._lastQueryString)return 0;const v=this._lastQueryString.toLowerCase();return gSi(f,m,v)}},accessibilityProvider:new pSi,setRowLineHeight:!1,multipleSelectionSupport:!1,hideTwistiesOfChildlessElements:!0,renderIndentGuides:j$.None,findWidgetEnabled:!1,indent:0,horizontalScrolling:!1,allowNonCollapsibleParents:!0,alwaysConsumeMouseWheel:!0})),this._tree.getHTMLElement().id=s,this._registerListeners()}get onDidChangeFocus(){return Tr.map(this._tree.onDidChangeFocus,e=>e.elements.filter(i=>i instanceof C0).map(i=>i.item),this._store)}get onDidChangeSelection(){return Tr.map(this._tree.onDidChangeSelection,e=>({items:e.elements.filter(i=>i instanceof C0).map(i=>i.item),event:e.browserEvent}),this._store)}get displayed(){return this._container.style.display!=="none"}set displayed(e){this._container.style.display=e?"":"none"}get scrollTop(){return this._tree.scrollTop}set scrollTop(e){this._tree.scrollTop=e}get ariaLabel(){return this._tree.ariaLabel}set ariaLabel(e){this._tree.ariaLabel=e??""}set enabled(e){this._tree.getHTMLElement().style.pointerEvents=e?"":"none"}get matchOnDescription(){return this._matchOnDescription}set matchOnDescription(e){this._matchOnDescription=e}get matchOnDetail(){return this._matchOnDetail}set matchOnDetail(e){this._matchOnDetail=e}get matchOnLabel(){return this._matchOnLabel}set matchOnLabel(e){this._matchOnLabel=e}get matchOnLabelMode(){return this._matchOnLabelMode}set matchOnLabelMode(e){this._matchOnLabelMode=e}get sortByLabel(){return this._sortByLabel}set sortByLabel(e){this._sortByLabel=e}get shouldLoop(){return this._shouldLoop}set shouldLoop(e){this._shouldLoop=e}_registerListeners(){this._registerOnKeyDown(),this._registerOnContainerClick(),this._registerOnMouseMiddleClick(),this._registerOnTreeModelChanged(),this._registerOnElementChecked(),this._registerOnContextMenu(),this._registerHoverListeners(),this._registerSelectionChangeListener(),this._registerSeparatorActionShowingListeners()}_registerOnKeyDown(){this._register(this._tree.onKeyDown(e=>{const i=new Id(e);switch(i.keyCode){case 10:this.toggleCheckbox();break}this._onKeyDown.fire(i)}))}_registerOnContainerClick(){this._register(en(this._container,br.CLICK,e=>{(e.x||e.y)&&this._onLeave.fire()}))}_registerOnMouseMiddleClick(){this._register(en(this._container,br.AUXCLICK,e=>{e.button===1&&this._onLeave.fire()}))}_registerOnTreeModelChanged(){this._register(this._tree.onDidChangeModel(()=>{const e=this._itemElements.filter(i=>!i.hidden).length;this._visibleCountObservable.set(e,void 0),this._hasCheckboxes&&this._updateCheckedObservables()}))}_registerOnElementChecked(){this._register(this._elementCheckedEventBufferer.wrapEvent(this._elementChecked.event,(e,i)=>i)(e=>this._updateCheckedObservables()))}_registerOnContextMenu(){this._register(this._tree.onContextMenu(e=>{e.element&&(e.browserEvent.preventDefault(),this._tree.setSelection([e.element]))}))}_registerHoverListeners(){const e=this._register(new l2t(this.hoverDelegate.delay));this._register(this._tree.onMouseOver(async i=>{var n;if(hvt(i.browserEvent.target)){e.cancel();return}if(!(!hvt(i.browserEvent.relatedTarget)&&Am(i.browserEvent.relatedTarget,(n=i.element)==null?void 0:n.element)))try{await e.trigger(async()=>{i.element instanceof C0&&this.showHover(i.element)})}catch(s){if(!Tk(s))throw s}})),this._register(this._tree.onMouseOut(i=>{var n;Am(i.browserEvent.relatedTarget,(n=i.element)==null?void 0:n.element)||e.cancel()}))}_registerSeparatorActionShowingListeners(){this._register(this._tree.onDidChangeFocus(e=>{const i=e.elements[0]?this._tree.getParentElement(e.elements[0]):null;for(const n of this._separatorRenderer.visibleSeparators){const s=n===i;!!(n.focusInsideSeparator&dL.ACTIVE_ITEM)!==s&&(s?n.focusInsideSeparator|=dL.ACTIVE_ITEM:n.focusInsideSeparator&=~dL.ACTIVE_ITEM,this._tree.rerender(n))}})),this._register(this._tree.onMouseOver(e=>{const i=e.element?this._tree.getParentElement(e.element):null;for(const n of this._separatorRenderer.visibleSeparators){if(n!==i)continue;!!(n.focusInsideSeparator&dL.MOUSE_HOVER)||(n.focusInsideSeparator|=dL.MOUSE_HOVER,this._tree.rerender(n))}})),this._register(this._tree.onMouseOut(e=>{const i=e.element?this._tree.getParentElement(e.element):null;for(const n of this._separatorRenderer.visibleSeparators){if(n!==i)continue;!!(n.focusInsideSeparator&dL.MOUSE_HOVER)&&(n.focusInsideSeparator&=~dL.MOUSE_HOVER,this._tree.rerender(n))}}))}_registerSelectionChangeListener(){this._register(this._tree.onDidChangeSelection(e=>{const i=e.elements.filter(n=>n instanceof C0);i.length!==e.elements.length&&(e.elements.length===1&&e.elements[0]instanceof IF&&(this._tree.setFocus([e.elements[0].children[0]]),this._tree.reveal(e.elements[0],0)),this._tree.setSelection(i))}))}setAllVisibleChecked(e){this._elementCheckedEventBufferer.bufferEvents(()=>{this._itemElements.forEach(i=>{!i.hidden&&!i.checkboxDisabled&&(i.checked=e)})})}setElements(e){this._elementDisposable.clear(),this._lastQueryString=void 0,this._inputElements=e,this._hasCheckboxes=this.parent.classList.contains("show-checkboxes");let i;this._itemElements=new Array,this._elementTree=e.reduce((n,s,c)=>{let d;if(s.type==="separator"){if(!s.buttons)return n;i=new IF(c,f=>this._onSeparatorButtonTriggered.fire(f),s),d=i}else{const f=c>0?e[c-1]:void 0;let m;f&&f.type==="separator"&&!f.buttons&&(i=void 0,m=f);const v=new C0(c,this._hasCheckboxes,x=>this._onButtonTriggered.fire(x),this._elementChecked,s,m);if(this._itemElements.push(v),i)return i.children.push(v),n;d=v}return n.push(d),n},new Array),this._setElementsToTree(this._elementTree),this.accessibilityService.isScreenReaderOptimized()&&setTimeout(()=>{const n=this._tree.getHTMLElement().querySelector(".monaco-list-row.focused"),s=n==null?void 0:n.parentNode;if(n&&s){const c=n.nextSibling;n.remove(),s.insertBefore(n,c)}},0)}setFocusedElements(e){const i=e.map(n=>this._itemElements.find(s=>s.item===n)).filter(n=>!!n).filter(n=>!n.hidden);if(this._tree.setFocus(i),e.length>0){const n=this._tree.getFocus()[0];n&&this._tree.reveal(n)}}getActiveDescendant(){return this._tree.getHTMLElement().getAttribute("aria-activedescendant")}setSelectedElements(e){const i=e.map(n=>this._itemElements.find(s=>s.item===n)).filter(n=>!!n);this._tree.setSelection(i)}getCheckedElements(){return this._itemElements.filter(e=>e.checked).map(e=>e.item)}setCheckedElements(e){this._elementCheckedEventBufferer.bufferEvents(()=>{const i=new Set;for(const n of e)i.add(n);for(const n of this._itemElements)n.checked=i.has(n.item)})}focus(e){var i;if(this._itemElements.length)switch(e===Yd.Second&&this._itemElements.length<2&&(e=Yd.First),e){case Yd.First:this._tree.scrollTop=0,this._tree.focusFirst(void 0,n=>n.element instanceof C0);break;case Yd.Second:{this._tree.scrollTop=0;let n=!1;this._tree.focusFirst(void 0,s=>s.element instanceof C0?n?!0:(n=!n,!1):!1);break}case Yd.Last:this._tree.scrollTop=this._tree.scrollHeight,this._tree.focusLast(void 0,n=>n.element instanceof C0);break;case Yd.Next:{const n=this._tree.getFocus();this._tree.focusNext(void 0,this._shouldLoop,void 0,c=>c.element instanceof C0?(this._tree.reveal(c.element),!0):!1);const s=this._tree.getFocus();n.length&&n[0]===s[0]&&n[0]===this._itemElements[this._itemElements.length-1]&&this._onLeave.fire();break}case Yd.Previous:{const n=this._tree.getFocus();this._tree.focusPrevious(void 0,this._shouldLoop,void 0,c=>{if(!(c.element instanceof C0))return!1;const d=this._tree.getParentElement(c.element);return d===null||d.children[0]!==c.element?this._tree.reveal(c.element):this._tree.reveal(d),!0});const s=this._tree.getFocus();n.length&&n[0]===s[0]&&n[0]===this._itemElements[0]&&this._onLeave.fire();break}case Yd.NextPage:this._tree.focusNextPage(void 0,n=>n.element instanceof C0?(this._tree.reveal(n.element),!0):!1);break;case Yd.PreviousPage:this._tree.focusPreviousPage(void 0,n=>{if(!(n.element instanceof C0))return!1;const s=this._tree.getParentElement(n.element);return s===null||s.children[0]!==n.element?this._tree.reveal(n.element):this._tree.reveal(s),!0});break;case Yd.NextSeparator:{let n=!1;const s=this._tree.getFocus()[0];this._tree.focusNext(void 0,!0,void 0,d=>{if(n)return!0;if(d.element instanceof IF)n=!0,this._separatorRenderer.isSeparatorVisible(d.element)?this._tree.reveal(d.element.children[0]):this._tree.reveal(d.element,0);else if(d.element instanceof C0){if(d.element.separator)return this._itemRenderer.isItemWithSeparatorVisible(d.element)?this._tree.reveal(d.element):this._tree.reveal(d.element,0),!0;if(d.element===this._elementTree[0])return this._tree.reveal(d.element,0),!0}return!1});const c=this._tree.getFocus()[0];s===c&&(this._tree.scrollTop=this._tree.scrollHeight,this._tree.focusLast(void 0,d=>d.element instanceof C0));break}case Yd.PreviousSeparator:{let n,s=!!((i=this._tree.getFocus()[0])!=null&&i.separator);this._tree.focusPrevious(void 0,!0,void 0,c=>{if(c.element instanceof IF)s?n||(this._separatorRenderer.isSeparatorVisible(c.element)?this._tree.reveal(c.element):this._tree.reveal(c.element,0),n=c.element.children[0]):s=!0;else if(c.element instanceof C0&&!n){if(c.element.separator)this._itemRenderer.isItemWithSeparatorVisible(c.element)?this._tree.reveal(c.element):this._tree.reveal(c.element,0),n=c.element;else if(c.element===this._elementTree[0])return this._tree.reveal(c.element,0),!0}return!1}),n&&this._tree.setFocus([n]);break}}}clearFocus(){this._tree.setFocus([])}domFocus(){this._tree.domFocus()}layout(e){this._tree.getHTMLElement().style.maxHeight=e?`${Math.floor(e/44)*44+6}px`:"",this._tree.layout()}filter(e){if(this._lastQueryString=e,!(this._sortByLabel||this._matchOnLabel||this._matchOnDescription||this._matchOnDetail))return this._tree.layout(),!1;const i=e;if(e=e.trim(),!e||!(this.matchOnLabel||this.matchOnDescription||this.matchOnDetail))this._itemElements.forEach(n=>{n.labelHighlights=void 0,n.descriptionHighlights=void 0,n.detailHighlights=void 0,n.hidden=!1;const s=n.index&&this._inputElements[n.index-1];n.item&&(n.separator=s&&s.type==="separator"&&!s.buttons?s:void 0)});else{let n;this._itemElements.forEach(s=>{let c;this.matchOnLabelMode==="fuzzy"?c=this.matchOnLabel?BFe(e,rie(s.saneLabel))??void 0:void 0:c=this.matchOnLabel?mSi(i,rie(s.saneLabel))??void 0:void 0;const d=this.matchOnDescription?BFe(e,rie(s.saneDescription||""))??void 0:void 0,f=this.matchOnDetail?BFe(e,rie(s.saneDetail||""))??void 0:void 0;if(c||d||f?(s.labelHighlights=c,s.descriptionHighlights=d,s.detailHighlights=f,s.hidden=!1):(s.labelHighlights=void 0,s.descriptionHighlights=void 0,s.detailHighlights=void 0,s.hidden=s.item?!s.item.alwaysShow:!0),s.item?s.separator=void 0:s.separator&&(s.hidden=!0),!this.sortByLabel){const m=s.index&&this._inputElements[s.index-1]||void 0;(m==null?void 0:m.type)==="separator"&&!m.buttons&&(n=m),n&&!s.hidden&&(s.separator=n,n=void 0)}})}return this._setElementsToTree(this._sortByLabel&&e?this._itemElements:this._elementTree),this._tree.layout(),!0}toggleCheckbox(){this._elementCheckedEventBufferer.bufferEvents(()=>{const e=this._tree.getFocus().filter(n=>n instanceof C0),i=this._allVisibleChecked(e);for(const n of e)n.checkboxDisabled||(n.checked=!i)})}style(e){this._tree.style(e)}toggleHover(){const e=this._tree.getFocus()[0];if(!(e!=null&&e.saneTooltip)||!(e instanceof C0))return;if(this._lastHover&&!this._lastHover.isDisposed){this._lastHover.dispose();return}this.showHover(e);const i=new wn;i.add(this._tree.onDidChangeFocus(n=>{n.elements[0]instanceof C0&&this.showHover(n.elements[0])})),this._lastHover&&i.add(this._lastHover),this._elementDisposable.add(i)}_setElementsToTree(e){const i=new Array;for(const n of e)n instanceof IF?i.push({element:n,collapsible:!1,collapsed:!1,children:n.children.map(s=>({element:s,collapsible:!1,collapsed:!1}))}):i.push({element:n,collapsible:!1,collapsed:!1});this._tree.setChildren(null,i)}_allVisibleChecked(e,i=!0){for(let n=0,s=e.length;n<s;n++){const c=e[n];if(!c.hidden)if(c.checked)i=!0;else return!1}return i}_updateCheckedObservables(){th(e=>{this._allVisibleCheckedObservable.set(this._allVisibleChecked(this._itemElements,!1),e);const i=this._itemElements.filter(n=>n.checked).length;this._checkedCountObservable.set(i,e),this._checkedElementsObservable.set(this.getCheckedElements(),e)})}showHover(e){var i,n,s;this._lastHover&&!this._lastHover.isDisposed&&((n=(i=this.hoverDelegate).onDidHideHover)==null||n.call(i),(s=this._lastHover)==null||s.dispose()),!(!e.element||!e.saneTooltip)&&(this._lastHover=this.hoverDelegate.showHover({content:e.saneTooltip,target:e.element,linkHandler:c=>{this.linkOpenerDelegate(c)},appearance:{showPointer:!0},container:this._container,position:{hoverPosition:1}},!1))}};Ewe([x_],mre.prototype,"onDidChangeFocus",null);Ewe([x_],mre.prototype,"onDidChangeSelection",null);mre=Ewe([xHe(4,ho),xHe(5,tS)],mre);function mSi(l,e){const{text:i,iconOffsets:n}=e;if(!n||n.length===0)return iSt(l,i);const s=use(i," "),c=i.length-s.length,d=iSt(l,s);if(d)for(const f of d){const m=n[f.start+c]+c;f.start+=m,f.end+=m}return d}function iSt(l,e){const i=e.toLowerCase().indexOf(l.toLowerCase());return i!==-1?[{start:i,end:i+l.length}]:null}function gSi(l,e,i){const n=l.labelHighlights||[],s=e.labelHighlights||[];return n.length&&!s.length?-1:!n.length&&s.length?1:n.length===0&&s.length===0?0:fSi(l.saneSortLabel,e.saneSortLabel,i)}const GEt={weight:200,when:kn.and(kn.equals(yEt,"quickPick"),qbi),metadata:{description:W("quickPick","Used while in the context of the quick pick. If you change one keybinding for this command, you should change all of the other keybindings (modifier variants) of this command as well.")}};function BC(l,e={}){wv.registerCommandAndKeybindingRule({...GEt,...l,secondary:ySi(l.primary,l.secondary??[],e)})}const hve=Hc?256:2048;function ySi(l,e,i={}){return i.withAltMod&&e.push(512+l),i.withCtrlMod&&(e.push(hve+l),i.withAltMod&&e.push(512+hve+l)),i.withCmdMod&&Hc&&(e.push(2048+l),i.withCtrlMod&&e.push(2304+l),i.withAltMod&&(e.push(2560+l),i.withCtrlMod&&e.push(2816+l))),e}function dw(l,e){return i=>{const n=i.get(Ek).currentQuickInput;if(n)return e&&n.quickNavigate?n.focus(e):n.focus(l)}}BC({id:"quickInput.pageNext",primary:12,handler:dw(Yd.NextPage)},{withAltMod:!0,withCtrlMod:!0,withCmdMod:!0});BC({id:"quickInput.pagePrevious",primary:11,handler:dw(Yd.PreviousPage)},{withAltMod:!0,withCtrlMod:!0,withCmdMod:!0});BC({id:"quickInput.first",primary:hve+14,handler:dw(Yd.First)},{withAltMod:!0,withCmdMod:!0});BC({id:"quickInput.last",primary:hve+13,handler:dw(Yd.Last)},{withAltMod:!0,withCmdMod:!0});BC({id:"quickInput.next",primary:18,handler:dw(Yd.Next)},{withCtrlMod:!0});BC({id:"quickInput.previous",primary:16,handler:dw(Yd.Previous)},{withCtrlMod:!0});const nSt=W("quickInput.nextSeparatorWithQuickAccessFallback","If we're in quick access mode, this will navigate to the next item. If we are not in quick access mode, this will navigate to the next separator."),rSt=W("quickInput.previousSeparatorWithQuickAccessFallback","If we're in quick access mode, this will navigate to the previous item. If we are not in quick access mode, this will navigate to the previous separator.");Hc?(BC({id:"quickInput.nextSeparatorWithQuickAccessFallback",primary:2066,handler:dw(Yd.NextSeparator,Yd.Next),metadata:{description:nSt}}),BC({id:"quickInput.nextSeparator",primary:2578,secondary:[2322],handler:dw(Yd.NextSeparator)},{withCtrlMod:!0}),BC({id:"quickInput.previousSeparatorWithQuickAccessFallback",primary:2064,handler:dw(Yd.PreviousSeparator,Yd.Previous),metadata:{description:rSt}}),BC({id:"quickInput.previousSeparator",primary:2576,secondary:[2320],handler:dw(Yd.PreviousSeparator)},{withCtrlMod:!0})):(BC({id:"quickInput.nextSeparatorWithQuickAccessFallback",primary:530,handler:dw(Yd.NextSeparator,Yd.Next),metadata:{description:nSt}}),BC({id:"quickInput.nextSeparator",primary:2578,handler:dw(Yd.NextSeparator)}),BC({id:"quickInput.previousSeparatorWithQuickAccessFallback",primary:528,handler:dw(Yd.PreviousSeparator,Yd.Previous),metadata:{description:rSt}}),BC({id:"quickInput.previousSeparator",primary:2576,handler:dw(Yd.PreviousSeparator)}));BC({id:"quickInput.acceptInBackground",when:kn.and(GEt.when,kn.or(REt.negate(),Gbi)),primary:17,weight:250,handler:l=>{const e=l.get(Ek).currentQuickInput;e==null||e.accept(!0)}},{withAltMod:!0,withCtrlMod:!0,withCmdMod:!0});var vSi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},a9e=function(l,e){return function(i,n){e(i,n,l)}},THe;const OC=Cr;var E9;let DHe=(E9=class extends xi{get currentQuickInput(){return this.controller??void 0}get container(){return this._container}constructor(e,i,n,s){super(),this.options=e,this.layoutService=i,this.instantiationService=n,this.contextKeyService=s,this.enabled=!0,this.onDidAcceptEmitter=this._register(new gi),this.onDidCustomEmitter=this._register(new gi),this.onDidTriggerButtonEmitter=this._register(new gi),this.keyMods={ctrlCmd:!1,alt:!1},this.controller=null,this.onShowEmitter=this._register(new gi),this.onShow=this.onShowEmitter.event,this.onHideEmitter=this._register(new gi),this.onHide=this.onHideEmitter.event,this.inQuickInputContext=Ubi.bindTo(this.contextKeyService),this.quickInputTypeContext=$bi.bindTo(this.contextKeyService),this.endOfQuickInputBoxContext=Jbi.bindTo(this.contextKeyService),this.idPrefix=e.idPrefix,this._container=e.container,this.styles=e.styles,this._register(Tr.runAndSubscribe(OSe,({window:c,disposables:d})=>this.registerKeyModsListeners(c,d),{window:cd,disposables:this._store})),this._register(Qui(c=>{this.ui&&Eo(this.ui.container)===c&&(this.reparentUI(this.layoutService.mainContainer),this.layout(this.layoutService.mainContainerDimension,this.layoutService.mainContainerOffset.quickPickTop))}))}registerKeyModsListeners(e,i){const n=s=>{this.keyMods.ctrlCmd=s.ctrlKey||s.metaKey,this.keyMods.alt=s.altKey};for(const s of[br.KEY_DOWN,br.KEY_UP,br.MOUSE_DOWN])i.add(en(e,s,n,!0))}getUI(e){if(this.ui)return e&&Eo(this._container)!==Eo(this.layoutService.activeContainer)&&(this.reparentUI(this.layoutService.activeContainer),this.layout(this.layoutService.activeContainerDimension,this.layoutService.activeContainerOffset.quickPickTop)),this.ui;const i=jn(this._container,OC(".quick-input-widget.show-file-icons"));i.tabIndex=-1,i.style.display="none";const n=Dw(i),s=jn(i,OC(".quick-input-titlebar")),c=this._register(new kw(s,{hoverDelegate:this.options.hoverDelegate}));c.domNode.classList.add("quick-input-left-action-bar");const d=jn(s,OC(".quick-input-title")),f=this._register(new kw(s,{hoverDelegate:this.options.hoverDelegate}));f.domNode.classList.add("quick-input-right-action-bar");const m=jn(i,OC(".quick-input-header")),v=jn(m,OC("input.quick-input-check-all"));v.type="checkbox",v.setAttribute("aria-label",W("quickInput.checkAll","Toggle all checkboxes")),this._register(ph(v,br.CHANGE,Hi=>{const An=v.checked;$i.setAllVisibleChecked(An)})),this._register(en(v,br.CLICK,Hi=>{(Hi.x||Hi.y)&&P.setFocus()}));const x=jn(m,OC(".quick-input-description")),w=jn(m,OC(".quick-input-and-message")),I=jn(w,OC(".quick-input-filter")),P=this._register(new rCi(I,this.styles.inputBox,this.styles.toggle));P.setAttribute("aria-describedby",`${this.idPrefix}message`);const O=jn(I,OC(".quick-input-visible-count"));O.setAttribute("aria-live","polite"),O.setAttribute("aria-atomic","true");const z=new pHe(O,{countFormat:W({key:"quickInput.visibleCount",comment:["This tells the user how many items are shown in a list of items to select from. The items can be anything. Currently not visible, but read by screen readers."]},"{0} Results")},this.styles.countBadge),J=jn(I,OC(".quick-input-count"));J.setAttribute("aria-live","polite");const Y=new pHe(J,{countFormat:W({key:"quickInput.countSelected",comment:["This tells the user how many items are selected in a list of items to select from. The items can be anything."]},"{0} Selected")},this.styles.countBadge),ae=this._register(new kw(m,{hoverDelegate:this.options.hoverDelegate}));ae.domNode.classList.add("quick-input-inline-action-bar");const me=jn(m,OC(".quick-input-action")),ye=this._register(new eve(me,this.styles.button));ye.label=W("ok","OK"),this._register(ye.onDidClick(Hi=>{this.onDidAcceptEmitter.fire()}));const Ce=jn(m,OC(".quick-input-action")),Fe=this._register(new eve(Ce,{...this.styles.button,supportIcons:!0}));Fe.label=W("custom","Custom"),this._register(Fe.onDidClick(Hi=>{this.onDidCustomEmitter.fire()}));const rt=jn(w,OC(`#${this.idPrefix}message.quick-input-message`)),ct=this._register(new mHe(i,this.styles.progressBar));ct.getContainer().classList.add("quick-input-progress");const Mt=jn(i,OC(".quick-input-html-widget"));Mt.tabIndex=-1;const Yt=jn(i,OC(".quick-input-description")),Bi=this.idPrefix+"list",$i=this._register(this.instantiationService.createInstance(mre,i,this.options.hoverDelegate,this.options.linkOpenerDelegate,Bi));P.setAttribute("aria-controls",Bi),this._register($i.onDidChangeFocus(()=>{P.setAttribute("aria-activedescendant",$i.getActiveDescendant()??"")})),this._register($i.onChangedAllVisibleChecked(Hi=>{v.checked=Hi})),this._register($i.onChangedVisibleCount(Hi=>{z.setCount(Hi)})),this._register($i.onChangedCheckedCount(Hi=>{Y.setCount(Hi)})),this._register($i.onLeave(()=>{setTimeout(()=>{this.controller&&(P.setFocus(),this.controller instanceof Yye&&this.controller.canSelectMany&&$i.clearFocus())},0)}));const $t=zE(i);return this._register($t),this._register(en(i,br.FOCUS,Hi=>{const An=this.getUI();if(Am(Hi.relatedTarget,An.inputContainer)){const qt=An.inputBox.isSelectionAtEnd();this.endOfQuickInputBoxContext.get()!==qt&&this.endOfQuickInputBoxContext.set(qt)}Am(Hi.relatedTarget,An.container)||(this.inQuickInputContext.set(!0),this.previousFocusElement=Mm(Hi.relatedTarget)?Hi.relatedTarget:void 0)},!0)),this._register($t.onDidBlur(()=>{!this.getUI().ignoreFocusOut&&!this.options.ignoreFocusOut()&&this.hide(H$.Blur),this.inQuickInputContext.set(!1),this.endOfQuickInputBoxContext.set(!1),this.previousFocusElement=void 0})),this._register(P.onKeyDown(Hi=>{const An=this.getUI().inputBox.isSelectionAtEnd();this.endOfQuickInputBoxContext.get()!==An&&this.endOfQuickInputBoxContext.set(An)})),this._register(en(i,br.FOCUS,Hi=>{P.setFocus()})),this._register(ph(i,br.KEY_DOWN,Hi=>{if(!Am(Hi.target,Mt))switch(Hi.keyCode){case 3:il.stop(Hi,!0),this.enabled&&this.onDidAcceptEmitter.fire();break;case 9:il.stop(Hi,!0),this.hide(H$.Gesture);break;case 2:if(!Hi.altKey&&!Hi.ctrlKey&&!Hi.metaKey){const An=[".quick-input-list .monaco-action-bar .always-visible",".quick-input-list-entry:hover .monaco-action-bar",".monaco-list-row.focused .monaco-action-bar"];if(i.classList.contains("show-checkboxes")?An.push("input"):An.push("input[type=text]"),this.getUI().list.displayed&&An.push(".monaco-list"),this.getUI().message&&An.push(".quick-input-message a"),this.getUI().widget){if(Am(Hi.target,this.getUI().widget))break;An.push(".quick-input-html-widget")}const qt=i.querySelectorAll(An.join(", "));Hi.shiftKey&&Hi.target===qt[0]?(il.stop(Hi,!0),$i.clearFocus()):!Hi.shiftKey&&Am(Hi.target,qt[qt.length-1])&&(il.stop(Hi,!0),qt[0].focus())}break;case 10:Hi.ctrlKey&&(il.stop(Hi,!0),this.getUI().list.toggleHover());break}})),this.ui={container:i,styleSheet:n,leftActionBar:c,titleBar:s,title:d,description1:Yt,description2:x,widget:Mt,rightActionBar:f,inlineActionBar:ae,checkAll:v,inputContainer:w,filterContainer:I,inputBox:P,visibleCountContainer:O,visibleCount:z,countContainer:J,count:Y,okContainer:me,ok:ye,message:rt,customButtonContainer:Ce,customButton:Fe,list:$i,progressBar:ct,onDidAccept:this.onDidAcceptEmitter.event,onDidCustom:this.onDidCustomEmitter.event,onDidTriggerButton:this.onDidTriggerButtonEmitter.event,ignoreFocusOut:!1,keyMods:this.keyMods,show:Hi=>this.show(Hi),hide:()=>this.hide(),setVisibilities:Hi=>this.setVisibilities(Hi),setEnabled:Hi=>this.setEnabled(Hi),setContextKey:Hi=>this.options.setContextKey(Hi),linkOpenerDelegate:Hi=>this.options.linkOpenerDelegate(Hi)},this.updateStyles(),this.ui}reparentUI(e){this.ui&&(this._container=e,jn(this._container,this.ui.container))}pick(e,i={},n=cc.None){return new Promise((s,c)=>{let d=x=>{var w;d=s,(w=i.onKeyMods)==null||w.call(i,f.keyMods),s(x)};if(n.isCancellationRequested){d(void 0);return}const f=this.createQuickPick({useSeparators:!0});let m;const v=[f,f.onDidAccept(()=>{if(f.canSelectMany)d(f.selectedItems.slice()),f.hide();else{const x=f.activeItems[0];x&&(d(x),f.hide())}}),f.onDidChangeActive(x=>{const w=x[0];w&&i.onDidFocus&&i.onDidFocus(w)}),f.onDidChangeSelection(x=>{if(!f.canSelectMany){const w=x[0];w&&(d(w),f.hide())}}),f.onDidTriggerItemButton(x=>i.onDidTriggerItemButton&&i.onDidTriggerItemButton({...x,removeItem:()=>{const w=f.items.indexOf(x.item);if(w!==-1){const I=f.items.slice(),P=I.splice(w,1),O=f.activeItems.filter(J=>J!==P[0]),z=f.keepScrollPosition;f.keepScrollPosition=!0,f.items=I,O&&(f.activeItems=O),f.keepScrollPosition=z}}})),f.onDidTriggerSeparatorButton(x=>{var w;return(w=i.onDidTriggerSeparatorButton)==null?void 0:w.call(i,x)}),f.onDidChangeValue(x=>{m&&!x&&(f.activeItems.length!==1||f.activeItems[0]!==m)&&(f.activeItems=[m])}),n.onCancellationRequested(()=>{f.hide()}),f.onDidHide(()=>{vd(v),d(void 0)})];f.title=i.title,i.value&&(f.value=i.value),f.canSelectMany=!!i.canPickMany,f.placeholder=i.placeHolder,f.ignoreFocusOut=!!i.ignoreFocusLost,f.matchOnDescription=!!i.matchOnDescription,f.matchOnDetail=!!i.matchOnDetail,f.matchOnLabel=i.matchOnLabel===void 0||i.matchOnLabel,f.quickNavigate=i.quickNavigate,f.hideInput=!!i.hideInput,f.contextKey=i.contextKey,f.busy=!0,Promise.all([e,i.activeItem]).then(([x,w])=>{m=w,f.busy=!1,f.items=x,f.canSelectMany&&(f.selectedItems=x.filter(I=>I.type!=="separator"&&I.picked)),m&&(f.activeItems=[m])}),f.show(),Promise.resolve(e).then(void 0,x=>{c(x),f.hide()})})}createQuickPick(e={useSeparators:!1}){const i=this.getUI(!0);return new Yye(i)}createInputBox(){const e=this.getUI(!0);return new Kbi(e)}show(e){const i=this.getUI(!0);this.onShowEmitter.fire();const n=this.controller;this.controller=e,n==null||n.didHide(),this.setEnabled(!0),i.leftActionBar.clear(),i.title.textContent="",i.description1.textContent="",i.description2.textContent="",o0(i.widget),i.rightActionBar.clear(),i.inlineActionBar.clear(),i.checkAll.checked=!1,i.inputBox.placeholder="",i.inputBox.password=!1,i.inputBox.showDecoration(S_.Ignore),i.visibleCount.setCount(0),i.count.setCount(0),o0(i.message),i.progressBar.stop(),i.list.setElements([]),i.list.matchOnDescription=!1,i.list.matchOnDetail=!1,i.list.matchOnLabel=!0,i.list.sortByLabel=!0,i.ignoreFocusOut=!1,i.inputBox.toggles=void 0;const s=this.options.backKeybindingLabel();hHe.tooltip=s?W("quickInput.backWithKeybinding","Back ({0})",s):W("quickInput.back","Back"),i.container.style.display="",this.updateLayout(),i.inputBox.setFocus(),this.quickInputTypeContext.set(e.type)}isVisible(){return!!this.ui&&this.ui.container.style.display!=="none"}setVisibilities(e){const i=this.getUI();i.title.style.display=e.title?"":"none",i.description1.style.display=e.description&&(e.inputBox||e.checkAll)?"":"none",i.description2.style.display=e.description&&!(e.inputBox||e.checkAll)?"":"none",i.checkAll.style.display=e.checkAll?"":"none",i.inputContainer.style.display=e.inputBox?"":"none",i.filterContainer.style.display=e.inputBox?"":"none",i.visibleCountContainer.style.display=e.visibleCount?"":"none",i.countContainer.style.display=e.count?"":"none",i.okContainer.style.display=e.ok?"":"none",i.customButtonContainer.style.display=e.customButton?"":"none",i.message.style.display=e.message?"":"none",i.progressBar.getContainer().style.display=e.progressBar?"":"none",i.list.displayed=!!e.list,i.container.classList.toggle("show-checkboxes",!!e.checkBox),i.container.classList.toggle("hidden-input",!e.inputBox&&!e.description),this.updateLayout()}setEnabled(e){if(e!==this.enabled){this.enabled=e;for(const i of this.getUI().leftActionBar.viewItems)i.action.enabled=e;for(const i of this.getUI().rightActionBar.viewItems)i.action.enabled=e;this.getUI().checkAll.disabled=!e,this.getUI().inputBox.enabled=e,this.getUI().ok.enabled=e,this.getUI().list.enabled=e}}hide(e){var c;const i=this.controller;if(!i)return;i.willHide(e);const n=(c=this.ui)==null?void 0:c.container,s=n&&!k2t(n);if(this.controller=null,this.onHideEmitter.fire(),n&&(n.style.display="none"),!s){let d=this.previousFocusElement;for(;d&&!d.offsetParent;)d=d.parentElement??void 0;d!=null&&d.offsetParent?(d.focus(),this.previousFocusElement=void 0):this.options.returnFocus()}i.didHide(e)}layout(e,i){this.dimension=e,this.titleBarOffset=i,this.updateLayout()}updateLayout(){if(this.ui&&this.isVisible()){this.ui.container.style.top=`${this.titleBarOffset}px`;const e=this.ui.container.style,i=Math.min(this.dimension.width*.62,THe.MAX_WIDTH);e.width=i+"px",e.marginLeft="-"+i/2+"px",this.ui.inputBox.layout(),this.ui.list.layout(this.dimension&&this.dimension.height*.4)}}applyStyles(e){this.styles=e,this.updateStyles()}updateStyles(){if(this.ui){const{quickInputTitleBackground:e,quickInputBackground:i,quickInputForeground:n,widgetBorder:s,widgetShadow:c}=this.styles.widget;this.ui.titleBar.style.backgroundColor=e??"",this.ui.container.style.backgroundColor=i??"",this.ui.container.style.color=n??"",this.ui.container.style.border=s?`1px solid ${s}`:"",this.ui.container.style.boxShadow=c?`0 0 8px 2px ${c}`:"",this.ui.list.style(this.styles.list);const d=[];this.styles.pickerGroup.pickerGroupBorder&&d.push(`.quick-input-list .quick-input-list-entry { border-top-color: ${this.styles.pickerGroup.pickerGroupBorder}; }`),this.styles.pickerGroup.pickerGroupForeground&&d.push(`.quick-input-list .quick-input-list-separator { color: ${this.styles.pickerGroup.pickerGroupForeground}; }`),this.styles.pickerGroup.pickerGroupForeground&&d.push(".quick-input-list .quick-input-list-separator-as-item { color: var(--vscode-descriptionForeground); }"),(this.styles.keybindingLabel.keybindingLabelBackground||this.styles.keybindingLabel.keybindingLabelBorder||this.styles.keybindingLabel.keybindingLabelBottomBorder||this.styles.keybindingLabel.keybindingLabelShadow||this.styles.keybindingLabel.keybindingLabelForeground)&&(d.push(".quick-input-list .monaco-keybinding > .monaco-keybinding-key {"),this.styles.keybindingLabel.keybindingLabelBackground&&d.push(`background-color: ${this.styles.keybindingLabel.keybindingLabelBackground};`),this.styles.keybindingLabel.keybindingLabelBorder&&d.push(`border-color: ${this.styles.keybindingLabel.keybindingLabelBorder};`),this.styles.keybindingLabel.keybindingLabelBottomBorder&&d.push(`border-bottom-color: ${this.styles.keybindingLabel.keybindingLabelBottomBorder};`),this.styles.keybindingLabel.keybindingLabelShadow&&d.push(`box-shadow: inset 0 -1px 0 ${this.styles.keybindingLabel.keybindingLabelShadow};`),this.styles.keybindingLabel.keybindingLabelForeground&&d.push(`color: ${this.styles.keybindingLabel.keybindingLabelForeground};`),d.push("}"));const f=d.join(`
+`);f!==this.ui.styleSheet.textContent&&(this.ui.styleSheet.textContent=f)}}},THe=E9,E9.MAX_WIDTH=600,E9);DHe=THe=vSi([a9e(1,FR),a9e(2,ho),a9e(3,Ko)],DHe);var bSi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},jte=function(l,e){return function(i,n){e(i,n,l)}};let EHe=class extends f_i{get controller(){return this._controller||(this._controller=this._register(this.createController())),this._controller}get hasController(){return!!this._controller}get currentQuickInput(){return this.controller.currentQuickInput}get quickAccess(){return this._quickAccess||(this._quickAccess=this._register(this.instantiationService.createInstance(fHe))),this._quickAccess}constructor(e,i,n,s,c){super(n),this.instantiationService=e,this.contextKeyService=i,this.layoutService=s,this.configurationService=c,this._onShow=this._register(new gi),this._onHide=this._register(new gi),this.contexts=new Map}createController(e=this.layoutService,i){const n={idPrefix:"quickInput_",container:e.activeContainer,ignoreFocusOut:()=>!1,backKeybindingLabel:()=>{},setContextKey:c=>this.setContextKey(c),linkOpenerDelegate:c=>{this.instantiationService.invokeFunction(d=>{d.get(Rb).open(c,{allowCommands:!0,fromUserGesture:!0})})},returnFocus:()=>e.focus(),styles:this.computeStyles(),hoverDelegate:this._register(this.instantiationService.createInstance(_He))},s=this._register(this.instantiationService.createInstance(DHe,{...n,...i}));return s.layout(e.activeContainerDimension,e.activeContainerOffset.quickPickTop),this._register(e.onDidLayoutActiveContainer(c=>{Eo(e.activeContainer)===Eo(s.container)&&s.layout(c,e.activeContainerOffset.quickPickTop)})),this._register(e.onDidChangeActiveContainer(()=>{s.isVisible()||s.layout(e.activeContainerDimension,e.activeContainerOffset.quickPickTop)})),this._register(s.onShow(()=>{this.resetContextKeys(),this._onShow.fire()})),this._register(s.onHide(()=>{this.resetContextKeys(),this._onHide.fire()})),s}setContextKey(e){let i;e&&(i=this.contexts.get(e),i||(i=new rs(e,!1).bindTo(this.contextKeyService),this.contexts.set(e,i))),!(i&&i.get())&&(this.resetContextKeys(),i==null||i.set(!0))}resetContextKeys(){this.contexts.forEach(e=>{e.get()&&e.reset()})}pick(e,i,n=cc.None){return this.controller.pick(e,i,n)}createQuickPick(e={useSeparators:!1}){return this.controller.createQuickPick(e)}createInputBox(){return this.controller.createInputBox()}updateStyles(){this.hasController&&this.controller.applyStyles(this.computeStyles())}computeStyles(){return{widget:{quickInputBackground:cs(Lbt),quickInputForeground:cs(Emi),quickInputTitleBackground:cs(Imi),widgetBorder:cs(MTt),widgetShadow:cs(vJ)},inputBox:jye,toggle:Hye,countBadge:ODt,button:cyi,progressBar:lyi,keybindingLabel:ayi,list:iW({listBackground:Lbt,listFocusBackground:Zne,listFocusForeground:Qne,listInactiveFocusForeground:Qne,listInactiveSelectionIconForeground:W$e,listInactiveFocusBackground:Zne,listFocusOutline:yf,listInactiveFocusOutline:yf}),pickerGroup:{pickerGroupBorder:cs(Nmi),pickerGroupForeground:cs(qTt)}}}};EHe=bSi([jte(0,ho),jte(1,Ko),jte(2,im),jte(3,FR),jte(4,Cc)],EHe);var KEt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},UF=function(l,e){return function(i,n){e(i,n,l)}};let IHe=class extends EHe{constructor(e,i,n,s,c,d){super(i,n,s,new KWe(e.getContainerDomNode(),c),d),this.host=void 0;const f=gre.get(e);if(f){const m=f.widget;this.host={_serviceBrand:void 0,get mainContainer(){return m.getDomNode()},getContainer(){return m.getDomNode()},whenContainerStylesLoaded(){},get containers(){return[m.getDomNode()]},get activeContainer(){return m.getDomNode()},get mainContainerDimension(){return e.getLayoutInfo()},get activeContainerDimension(){return e.getLayoutInfo()},get onDidLayoutMainContainer(){return e.onDidLayoutChange},get onDidLayoutActiveContainer(){return e.onDidLayoutChange},get onDidLayoutContainer(){return Tr.map(e.onDidLayoutChange,v=>({container:m.getDomNode(),dimension:v}))},get onDidChangeActiveContainer(){return Tr.None},get onDidAddContainer(){return Tr.None},get mainContainerOffset(){return{top:0,quickPickTop:0}},get activeContainerOffset(){return{top:0,quickPickTop:0}},focus:()=>e.focus()}}else this.host=void 0}createController(){return super.createController(this.host)}};IHe=KEt([UF(1,ho),UF(2,Ko),UF(3,im),UF(4,Bl),UF(5,Cc)],IHe);let NHe=class{get activeService(){const e=this.codeEditorService.getFocusedCodeEditor();if(!e)throw new Error("Quick input service needs a focused editor to work.");let i=this.mapEditorToService.get(e);if(!i){const n=i=this.instantiationService.createInstance(IHe,e);this.mapEditorToService.set(e,i),gR(e.onDidDispose)(()=>{n.dispose(),this.mapEditorToService.delete(e)})}return i}get currentQuickInput(){return this.activeService.currentQuickInput}get quickAccess(){return this.activeService.quickAccess}constructor(e,i){this.instantiationService=e,this.codeEditorService=i,this.mapEditorToService=new Map}pick(e,i,n=cc.None){return this.activeService.pick(e,i,n)}createQuickPick(e={useSeparators:!1}){return this.activeService.createQuickPick(e)}createInputBox(){return this.activeService.createInputBox()}};NHe=KEt([UF(0,ho),UF(1,Bl)],NHe);const mCe=class mCe{static get(e){return e.getContribution(mCe.ID)}constructor(e){this.editor=e,this.widget=new LHe(this.editor)}dispose(){this.widget.dispose()}};mCe.ID="editor.controller.quickInput";let gre=mCe;const gCe=class gCe{constructor(e){this.codeEditor=e,this.domNode=document.createElement("div"),this.codeEditor.addOverlayWidget(this)}getId(){return gCe.ID}getDomNode(){return this.domNode}getPosition(){return{preference:2}}dispose(){this.codeEditor.removeOverlayWidget(this)}};gCe.ID="editor.contrib.quickInputWidget";let LHe=gCe;Cl(gre.ID,gre,4);class CSi{constructor(e,i,n,s,c){this._parsedThemeRuleBrand=void 0,this.token=e,this.index=i,this.fontStyle=n,this.foreground=s,this.background=c}}function SSi(l){if(!l||!Array.isArray(l))return[];const e=[];let i=0;for(let n=0,s=l.length;n<s;n++){const c=l[n];let d=-1;if(typeof c.fontStyle=="string"){d=0;const v=c.fontStyle.split(" ");for(let x=0,w=v.length;x<w;x++)switch(v[x]){case"italic":d=d|1;break;case"bold":d=d|2;break;case"underline":d=d|4;break;case"strikethrough":d=d|8;break}}let f=null;typeof c.foreground=="string"&&(f=c.foreground);let m=null;typeof c.background=="string"&&(m=c.background),e[i++]=new CSi(c.token||"",n,d,f,m)}return e}function wSi(l,e){l.sort((x,w)=>{const I=ESi(x.token,w.token);return I!==0?I:x.index-w.index});let i=0,n="000000",s="ffffff";for(;l.length>=1&&l[0].token==="";){const x=l.shift();x.fontStyle!==-1&&(i=x.fontStyle),x.foreground!==null&&(n=x.foreground),x.background!==null&&(s=x.background)}const c=new kSi;for(const x of e)c.getId(x);const d=c.getId(n),f=c.getId(s),m=new WJe(i,d,f),v=new VJe(m);for(let x=0,w=l.length;x<w;x++){const I=l[x];v.insert(I.token,I.fontStyle,c.getId(I.foreground),c.getId(I.background))}return new XEt(c,v)}const xSi=/^#?([0-9A-Fa-f]{6})([0-9A-Fa-f]{2})?$/;class kSi{constructor(){this._lastColorId=0,this._id2color=[],this._color2id=new Map}getId(e){if(e===null)return 0;const i=e.match(xSi);if(!i)throw new Error("Illegal value for token color: "+e);e=i[1].toUpperCase();let n=this._color2id.get(e);return n||(n=++this._lastColorId,this._color2id.set(e,n),this._id2color[n]=In.fromHex("#"+e),n)}getColorMap(){return this._id2color.slice(0)}}class XEt{static createFromRawTokenTheme(e,i){return this.createFromParsedTokenTheme(SSi(e),i)}static createFromParsedTokenTheme(e,i){return wSi(e,i)}constructor(e,i){this._colorMap=e,this._root=i,this._cache=new Map}getColorMap(){return this._colorMap.getColorMap()}_match(e){return this._root.match(e)}match(e,i){let n=this._cache.get(i);if(typeof n>"u"){const s=this._match(i),c=DSi(i);n=(s.metadata|c<<8)>>>0,this._cache.set(i,n)}return(n|e<<0)>>>0}}const TSi=/\b(comment|string|regex|regexp)\b/;function DSi(l){const e=l.match(TSi);if(!e)return 0;switch(e[1]){case"comment":return 1;case"string":return 2;case"regex":return 3;case"regexp":return 3}throw new Error("Unexpected match for standard token type!")}function ESi(l,e){return l<e?-1:l>e?1:0}class WJe{constructor(e,i,n){this._themeTrieElementRuleBrand=void 0,this._fontStyle=e,this._foreground=i,this._background=n,this.metadata=(this._fontStyle<<11|this._foreground<<15|this._background<<24)>>>0}clone(){return new WJe(this._fontStyle,this._foreground,this._background)}acceptOverwrite(e,i,n){e!==-1&&(this._fontStyle=e),i!==0&&(this._foreground=i),n!==0&&(this._background=n),this.metadata=(this._fontStyle<<11|this._foreground<<15|this._background<<24)>>>0}}class VJe{constructor(e){this._themeTrieElementBrand=void 0,this._mainRule=e,this._children=new Map}match(e){if(e==="")return this._mainRule;const i=e.indexOf(".");let n,s;i===-1?(n=e,s=""):(n=e.substring(0,i),s=e.substring(i+1));const c=this._children.get(n);return typeof c<"u"?c.match(s):this._mainRule}insert(e,i,n,s){if(e===""){this._mainRule.acceptOverwrite(i,n,s);return}const c=e.indexOf(".");let d,f;c===-1?(d=e,f=""):(d=e.substring(0,c),f=e.substring(c+1));let m=this._children.get(d);typeof m>"u"&&(m=new VJe(this._mainRule.clone()),this._children.set(d,m)),m.insert(f,i,n,s)}}function ISi(l){const e=[];for(let i=1,n=l.length;i<n;i++){const s=l[i];e[i]=`.mtk${i} { color: ${s}; }`}return e.push(".mtki { font-style: italic; }"),e.push(".mtkb { font-weight: bold; }"),e.push(".mtku { text-decoration: underline; text-underline-position: under; }"),e.push(".mtks { text-decoration: line-through; }"),e.push(".mtks.mtku { text-decoration: underline line-through; text-underline-position: under; }"),e.join(`
+`)}const NSi={base:"vs",inherit:!1,rules:[{token:"",foreground:"000000",background:"fffffe"},{token:"invalid",foreground:"cd3131"},{token:"emphasis",fontStyle:"italic"},{token:"strong",fontStyle:"bold"},{token:"variable",foreground:"001188"},{token:"variable.predefined",foreground:"4864AA"},{token:"constant",foreground:"dd0000"},{token:"comment",foreground:"008000"},{token:"number",foreground:"098658"},{token:"number.hex",foreground:"3030c0"},{token:"regexp",foreground:"800000"},{token:"annotation",foreground:"808080"},{token:"type",foreground:"008080"},{token:"delimiter",foreground:"000000"},{token:"delimiter.html",foreground:"383838"},{token:"delimiter.xml",foreground:"0000FF"},{token:"tag",foreground:"800000"},{token:"tag.id.pug",foreground:"4F76AC"},{token:"tag.class.pug",foreground:"4F76AC"},{token:"meta.scss",foreground:"800000"},{token:"metatag",foreground:"e00000"},{token:"metatag.content.html",foreground:"FF0000"},{token:"metatag.html",foreground:"808080"},{token:"metatag.xml",foreground:"808080"},{token:"metatag.php",fontStyle:"bold"},{token:"key",foreground:"863B00"},{token:"string.key.json",foreground:"A31515"},{token:"string.value.json",foreground:"0451A5"},{token:"attribute.name",foreground:"FF0000"},{token:"attribute.value",foreground:"0451A5"},{token:"attribute.value.number",foreground:"098658"},{token:"attribute.value.unit",foreground:"098658"},{token:"attribute.value.html",foreground:"0000FF"},{token:"attribute.value.xml",foreground:"0000FF"},{token:"string",foreground:"A31515"},{token:"string.html",foreground:"0000FF"},{token:"string.sql",foreground:"FF0000"},{token:"string.yaml",foreground:"0451A5"},{token:"keyword",foreground:"0000FF"},{token:"keyword.json",foreground:"0451A5"},{token:"keyword.flow",foreground:"AF00DB"},{token:"keyword.flow.scss",foreground:"0000FF"},{token:"operator.scss",foreground:"666666"},{token:"operator.sql",foreground:"778899"},{token:"operator.swift",foreground:"666666"},{token:"predefined.sql",foreground:"C700C7"}],colors:{[wT]:"#FFFFFE",[HL]:"#000000",[ATt]:"#E5EBF1",[Nse]:"#D3D3D3",[Lse]:"#939393",[A$e]:"#ADD6FF4D"}},LSi={base:"vs-dark",inherit:!1,rules:[{token:"",foreground:"D4D4D4",background:"1E1E1E"},{token:"invalid",foreground:"f44747"},{token:"emphasis",fontStyle:"italic"},{token:"strong",fontStyle:"bold"},{token:"variable",foreground:"74B0DF"},{token:"variable.predefined",foreground:"4864AA"},{token:"variable.parameter",foreground:"9CDCFE"},{token:"constant",foreground:"569CD6"},{token:"comment",foreground:"608B4E"},{token:"number",foreground:"B5CEA8"},{token:"number.hex",foreground:"5BB498"},{token:"regexp",foreground:"B46695"},{token:"annotation",foreground:"cc6666"},{token:"type",foreground:"3DC9B0"},{token:"delimiter",foreground:"DCDCDC"},{token:"delimiter.html",foreground:"808080"},{token:"delimiter.xml",foreground:"808080"},{token:"tag",foreground:"569CD6"},{token:"tag.id.pug",foreground:"4F76AC"},{token:"tag.class.pug",foreground:"4F76AC"},{token:"meta.scss",foreground:"A79873"},{token:"meta.tag",foreground:"CE9178"},{token:"metatag",foreground:"DD6A6F"},{token:"metatag.content.html",foreground:"9CDCFE"},{token:"metatag.html",foreground:"569CD6"},{token:"metatag.xml",foreground:"569CD6"},{token:"metatag.php",fontStyle:"bold"},{token:"key",foreground:"9CDCFE"},{token:"string.key.json",foreground:"9CDCFE"},{token:"string.value.json",foreground:"CE9178"},{token:"attribute.name",foreground:"9CDCFE"},{token:"attribute.value",foreground:"CE9178"},{token:"attribute.value.number.css",foreground:"B5CEA8"},{token:"attribute.value.unit.css",foreground:"B5CEA8"},{token:"attribute.value.hex.css",foreground:"D4D4D4"},{token:"string",foreground:"CE9178"},{token:"string.sql",foreground:"FF0000"},{token:"keyword",foreground:"569CD6"},{token:"keyword.flow",foreground:"C586C0"},{token:"keyword.json",foreground:"CE9178"},{token:"keyword.flow.scss",foreground:"569CD6"},{token:"operator.scss",foreground:"909090"},{token:"operator.sql",foreground:"778899"},{token:"operator.swift",foreground:"909090"},{token:"predefined.sql",foreground:"FF00FF"}],colors:{[wT]:"#1E1E1E",[HL]:"#D4D4D4",[ATt]:"#3A3D41",[Nse]:"#404040",[Lse]:"#707070",[A$e]:"#ADD6FF26"}},PSi={base:"hc-black",inherit:!1,rules:[{token:"",foreground:"FFFFFF",background:"000000"},{token:"invalid",foreground:"f44747"},{token:"emphasis",fontStyle:"italic"},{token:"strong",fontStyle:"bold"},{token:"variable",foreground:"1AEBFF"},{token:"variable.parameter",foreground:"9CDCFE"},{token:"constant",foreground:"569CD6"},{token:"comment",foreground:"608B4E"},{token:"number",foreground:"FFFFFF"},{token:"regexp",foreground:"C0C0C0"},{token:"annotation",foreground:"569CD6"},{token:"type",foreground:"3DC9B0"},{token:"delimiter",foreground:"FFFF00"},{token:"delimiter.html",foreground:"FFFF00"},{token:"tag",foreground:"569CD6"},{token:"tag.id.pug",foreground:"4F76AC"},{token:"tag.class.pug",foreground:"4F76AC"},{token:"meta",foreground:"D4D4D4"},{token:"meta.tag",foreground:"CE9178"},{token:"metatag",foreground:"569CD6"},{token:"metatag.content.html",foreground:"1AEBFF"},{token:"metatag.html",foreground:"569CD6"},{token:"metatag.xml",foreground:"569CD6"},{token:"metatag.php",fontStyle:"bold"},{token:"key",foreground:"9CDCFE"},{token:"string.key",foreground:"9CDCFE"},{token:"string.value",foreground:"CE9178"},{token:"attribute.name",foreground:"569CD6"},{token:"attribute.value",foreground:"3FF23F"},{token:"string",foreground:"CE9178"},{token:"string.sql",foreground:"FF0000"},{token:"keyword",foreground:"569CD6"},{token:"keyword.flow",foreground:"C586C0"},{token:"operator.sql",foreground:"778899"},{token:"operator.swift",foreground:"909090"},{token:"predefined.sql",foreground:"FF00FF"}],colors:{[wT]:"#000000",[HL]:"#FFFFFF",[Nse]:"#FFFFFF",[Lse]:"#FFFFFF"}},ASi={base:"hc-light",inherit:!1,rules:[{token:"",foreground:"292929",background:"FFFFFF"},{token:"invalid",foreground:"B5200D"},{token:"emphasis",fontStyle:"italic"},{token:"strong",fontStyle:"bold"},{token:"variable",foreground:"264F70"},{token:"variable.predefined",foreground:"4864AA"},{token:"constant",foreground:"dd0000"},{token:"comment",foreground:"008000"},{token:"number",foreground:"098658"},{token:"number.hex",foreground:"3030c0"},{token:"regexp",foreground:"800000"},{token:"annotation",foreground:"808080"},{token:"type",foreground:"008080"},{token:"delimiter",foreground:"000000"},{token:"delimiter.html",foreground:"383838"},{token:"tag",foreground:"800000"},{token:"tag.id.pug",foreground:"4F76AC"},{token:"tag.class.pug",foreground:"4F76AC"},{token:"meta.scss",foreground:"800000"},{token:"metatag",foreground:"e00000"},{token:"metatag.content.html",foreground:"B5200D"},{token:"metatag.html",foreground:"808080"},{token:"metatag.xml",foreground:"808080"},{token:"metatag.php",fontStyle:"bold"},{token:"key",foreground:"863B00"},{token:"string.key.json",foreground:"A31515"},{token:"string.value.json",foreground:"0451A5"},{token:"attribute.name",foreground:"264F78"},{token:"attribute.value",foreground:"0451A5"},{token:"string",foreground:"A31515"},{token:"string.sql",foreground:"B5200D"},{token:"keyword",foreground:"0000FF"},{token:"keyword.flow",foreground:"AF00DB"},{token:"operator.sql",foreground:"778899"},{token:"operator.swift",foreground:"666666"},{token:"predefined.sql",foreground:"C700C7"}],colors:{[wT]:"#FFFFFF",[HL]:"#292929",[Nse]:"#292929",[Lse]:"#292929"}},OSi={IconContribution:"base.contributions.icons"};var sSt;(function(l){function e(i,n){let s=i.defaults;for(;zo.isThemeIcon(s);){const c=sW.getIcon(s.id);if(!c)return;s=c.defaults}return s}l.getDefinition=e})(sSt||(sSt={}));var oSt;(function(l){function e(n){return{weight:n.weight,style:n.style,src:n.src.map(s=>({format:s.format,location:s.location.toString()}))}}l.toJSONObject=e;function i(n){const s=c=>Eb(c)?c:void 0;if(n&&Array.isArray(n.src)&&n.src.every(c=>Eb(c.format)&&Eb(c.location)))return{weight:s(n.weight),style:s(n.style),src:n.src.map(c=>({format:c.format,location:yo.parse(c.location)}))}}l.fromJSONObject=i})(oSt||(oSt={}));class MSi{constructor(){this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this.iconSchema={definitions:{icons:{type:"object",properties:{fontId:{type:"string",description:W("iconDefinition.fontId","The id of the font to use. If not set, the font that is defined first is used.")},fontCharacter:{type:"string",description:W("iconDefinition.fontCharacter","The font character associated with the icon definition.")}},additionalProperties:!1,defaultSnippets:[{body:{fontCharacter:"\\\\e030"}}]}},type:"object",properties:{}},this.iconReferenceSchema={type:"string",pattern:`^${zo.iconNameExpression}$`,enum:[],enumDescriptions:[]},this.iconsById={},this.iconFontsById={}}registerIcon(e,i,n,s){const c=this.iconsById[e];if(c){if(n&&!c.description){c.description=n,this.iconSchema.properties[e].markdownDescription=`${n} $(${e})`;const m=this.iconReferenceSchema.enum.indexOf(e);m!==-1&&(this.iconReferenceSchema.enumDescriptions[m]=n),this._onDidChange.fire()}return c}const d={id:e,description:n,defaults:i,deprecationMessage:s};this.iconsById[e]=d;const f={$ref:"#/definitions/icons"};return s&&(f.deprecationMessage=s),n&&(f.markdownDescription=`${n}: $(${e})`),this.iconSchema.properties[e]=f,this.iconReferenceSchema.enum.push(e),this.iconReferenceSchema.enumDescriptions.push(n||""),this._onDidChange.fire(),{id:e}}getIcons(){return Object.keys(this.iconsById).map(e=>this.iconsById[e])}getIcon(e){return this.iconsById[e]}getIconSchema(){return this.iconSchema}toString(){const e=(c,d)=>c.id.localeCompare(d.id),i=c=>{for(;zo.isThemeIcon(c.defaults);)c=this.iconsById[c.defaults.id];return`codicon codicon-${c?c.id:""}`},n=[];n.push("| preview | identifier | default codicon ID | description"),n.push("| ----------- | --------------------------------- | --------------------------------- | --------------------------------- |");const s=Object.keys(this.iconsById).map(c=>this.iconsById[c]);for(const c of s.filter(d=>!!d.description).sort(e))n.push(`|<i class="${i(c)}"></i>|${c.id}|${zo.isThemeIcon(c.defaults)?c.defaults.id:c.id}|${c.description||""}|`);n.push("| preview | identifier "),n.push("| ----------- | --------------------------------- |");for(const c of s.filter(d=>!zo.isThemeIcon(d.defaults)).sort(e))n.push(`|<i class="${i(c)}"></i>|${c.id}|`);return n.join(`
+`)}}const sW=new MSi;nh.add(OSi.IconContribution,sW);function sf(l,e,i,n){return sW.registerIcon(l,e,i,n)}function QEt(){return sW}function RSi(){const l=Jkt();for(const e in l){const i="\\"+l[e].toString(16);sW.registerIcon(e,{fontCharacter:i})}}RSi();const ZEt="vscode://schemas/icons",YEt=nh.as(KSe.JSONContribution);YEt.registerSchema(ZEt,sW.getIconSchema());const aSt=new qu(()=>YEt.notifySchemaChanged(ZEt),200);sW.onDidChange(()=>{aSt.isScheduled()||aSt.schedule()});const eIt=sf("widget-close",pr.close,W("widgetClose","Icon for the close action in widgets."));sf("goto-previous-location",pr.arrowUp,W("previousChangeIcon","Icon for goto previous editor location."));sf("goto-next-location",pr.arrowDown,W("nextChangeIcon","Icon for goto next editor location."));zo.modify(pr.sync,"spin");zo.modify(pr.loading,"spin");function FSi(l){const e=new wn,i=e.add(new gi),n=QEt();return e.add(n.onDidChange(()=>i.fire())),l&&e.add(l.onDidProductIconThemeChange(()=>i.fire())),{dispose:()=>e.dispose(),onDidChange:i.event,getCSS(){const s=l?l.getProductIconTheme():new tIt,c={},d=[],f=[];for(const m of n.getIcons()){const v=s.getIcon(m);if(!v)continue;const x=v.font,w=`--vscode-icon-${m.id}-font-family`,I=`--vscode-icon-${m.id}-content`;x?(c[x.id]=x.definition,f.push(`${w}: ${hFe(x.id)};`,`${I}: '${v.fontCharacter}';`),d.push(`.codicon-${m.id}:before { content: '${v.fontCharacter}'; font-family: ${hFe(x.id)}; }`)):(f.push(`${I}: '${v.fontCharacter}'; ${w}: 'codicon';`),d.push(`.codicon-${m.id}:before { content: '${v.fontCharacter}'; }`))}for(const m in c){const v=c[m],x=v.weight?`font-weight: ${v.weight};`:"",w=v.style?`font-style: ${v.style};`:"",I=v.src.map(P=>`${l6(P.location)} format('${P.format}')`).join(", ");d.push(`@font-face { src: ${I}; font-family: ${hFe(m)};${x}${w} font-display: block; }`)}return d.push(`:root { ${f.join(" ")} }`),d.join(`
+`)}}}class tIt{getIcon(e){const i=QEt();let n=e.defaults;for(;zo.isThemeIcon(n);){const s=i.getIcon(n.id);if(!s)return;n=s.defaults}return n}}const M4="vs",e$="vs-dark",v9="hc-black",b9="hc-light",iIt=nh.as(DTt.ColorContribution),BSi=nh.as(dTt.ThemingContribution);class nIt{constructor(e,i){this.semanticHighlighting=!1,this.themeData=i;const n=i.base;e.length>0?(w1e(e)?this.id=e:this.id=n+" "+e,this.themeName=e):(this.id=n,this.themeName=n),this.colors=null,this.defaultColors=Object.create(null),this._tokenTheme=null}get base(){return this.themeData.base}notifyBaseUpdated(){this.themeData.inherit&&(this.colors=null,this._tokenTheme=null)}getColors(){if(!this.colors){const e=new Map;for(const i in this.themeData.colors)e.set(i,In.fromHex(this.themeData.colors[i]));if(this.themeData.inherit){const i=PHe(this.themeData.base);for(const n in i.colors)e.has(n)||e.set(n,In.fromHex(i.colors[n]))}this.colors=e}return this.colors}getColor(e,i){const n=this.getColors().get(e);if(n)return n;if(i!==!1)return this.getDefault(e)}getDefault(e){let i=this.defaultColors[e];return i||(i=iIt.resolveDefaultColor(e,this),this.defaultColors[e]=i,i)}defines(e){return this.getColors().has(e)}get type(){switch(this.base){case M4:return pk.LIGHT;case v9:return pk.HIGH_CONTRAST_DARK;case b9:return pk.HIGH_CONTRAST_LIGHT;default:return pk.DARK}}get tokenTheme(){if(!this._tokenTheme){let e=[],i=[];if(this.themeData.inherit){const c=PHe(this.themeData.base);e=c.rules,c.encodedTokensColors&&(i=c.encodedTokensColors)}const n=this.themeData.colors["editor.foreground"],s=this.themeData.colors["editor.background"];if(n||s){const c={token:""};n&&(c.foreground=n),s&&(c.background=s),e.push(c)}e=e.concat(this.themeData.rules),this.themeData.encodedTokensColors&&(i=this.themeData.encodedTokensColors),this._tokenTheme=XEt.createFromRawTokenTheme(e,i)}return this._tokenTheme}getTokenStyleMetadata(e,i,n){const c=this.tokenTheme._match([e].concat(i).join(".")).metadata,d=kb.getForeground(c),f=kb.getFontStyle(c);return{foreground:d,italic:!!(f&1),bold:!!(f&2),underline:!!(f&4),strikethrough:!!(f&8)}}}function w1e(l){return l===M4||l===e$||l===v9||l===b9}function PHe(l){switch(l){case M4:return NSi;case e$:return LSi;case v9:return PSi;case b9:return ASi}}function b0e(l){const e=PHe(l);return new nIt(l,e)}class WSi extends xi{constructor(){super(),this._onColorThemeChange=this._register(new gi),this.onDidColorThemeChange=this._onColorThemeChange.event,this._onProductIconThemeChange=this._register(new gi),this.onDidProductIconThemeChange=this._onProductIconThemeChange.event,this._environment=Object.create(null),this._builtInProductIconTheme=new tIt,this._autoDetectHighContrast=!0,this._knownThemes=new Map,this._knownThemes.set(M4,b0e(M4)),this._knownThemes.set(e$,b0e(e$)),this._knownThemes.set(v9,b0e(v9)),this._knownThemes.set(b9,b0e(b9));const e=this._register(FSi(this));this._codiconCSS=e.getCSS(),this._themeCSS="",this._allCSS=`${this._codiconCSS}
+${this._themeCSS}`,this._globalStyleElement=null,this._styleElements=[],this._colorMapOverride=null,this.setTheme(M4),this._onOSSchemeChanged(),this._register(e.onDidChange(()=>{this._codiconCSS=e.getCSS(),this._updateCSS()})),o2t(cd,"(forced-colors: active)",()=>{this._onOSSchemeChanged()})}registerEditorContainer(e){return cye(e)?this._registerShadowDomContainer(e):this._registerRegularEditorContainer()}_registerRegularEditorContainer(){return this._globalStyleElement||(this._globalStyleElement=Dw(void 0,e=>{e.className="monaco-colors",e.textContent=this._allCSS}),this._styleElements.push(this._globalStyleElement)),xi.None}_registerShadowDomContainer(e){const i=Dw(e,n=>{n.className="monaco-colors",n.textContent=this._allCSS});return this._styleElements.push(i),{dispose:()=>{for(let n=0;n<this._styleElements.length;n++)if(this._styleElements[n]===i){this._styleElements.splice(n,1);return}}}}defineTheme(e,i){if(!/^[a-z0-9\-]+$/i.test(e))throw new Error("Illegal theme name!");if(!w1e(i.base)&&!w1e(e))throw new Error("Illegal theme base!");this._knownThemes.set(e,new nIt(e,i)),w1e(e)&&this._knownThemes.forEach(n=>{n.base===e&&n.notifyBaseUpdated()}),this._theme.themeName===e&&this.setTheme(e)}getColorTheme(){return this._theme}setColorMapOverride(e){this._colorMapOverride=e,this._updateThemeOrColorMap()}setTheme(e){let i;this._knownThemes.has(e)?i=this._knownThemes.get(e):i=this._knownThemes.get(M4),this._updateActualTheme(i)}_updateActualTheme(e){!e||this._theme===e||(this._theme=e,this._updateThemeOrColorMap())}_onOSSchemeChanged(){if(this._autoDetectHighContrast){const e=cd.matchMedia("(forced-colors: active)").matches;if(e!==RE(this._theme.type)){let i;I$(this._theme.type)?i=e?v9:e$:i=e?b9:M4,this._updateActualTheme(this._knownThemes.get(i))}}}setAutoDetectHighContrast(e){this._autoDetectHighContrast=e,this._onOSSchemeChanged()}_updateThemeOrColorMap(){const e=[],i={},n={addRule:d=>{i[d]||(e.push(d),i[d]=!0)}};BSi.getThemingParticipants().forEach(d=>d(this._theme,n,this._environment));const s=[];for(const d of iIt.getColors()){const f=this._theme.getColor(d.id,!0);f&&s.push(`${N$e(d.id)}: ${f.toString()};`)}n.addRule(`.monaco-editor, .monaco-diff-editor, .monaco-component { ${s.join(`
+`)} }`);const c=this._colorMapOverride||this._theme.tokenTheme.getColorMap();n.addRule(ISi(c)),this._themeCSS=e.join(`
+`),this._updateCSS(),Oh.setColorMap(c),this._onColorThemeChange.fire(this._theme)}_updateCSS(){this._allCSS=`${this._codiconCSS}
+${this._themeCSS}`,this._styleElements.forEach(e=>e.textContent=this._allCSS)}getFileIconTheme(){return{hasFileIcons:!1,hasFolderIcons:!1,hidesExplorerArrows:!1}}getProductIconTheme(){return this._builtInProductIconTheme}}const Mw=jc("themeService");var VSi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},c9e=function(l,e){return function(i,n){e(i,n,l)}};let AHe=class extends xi{constructor(e,i,n){super(),this._contextKeyService=e,this._layoutService=i,this._configurationService=n,this._accessibilitySupport=0,this._onDidChangeScreenReaderOptimized=new gi,this._onDidChangeReducedMotion=new gi,this._onDidChangeLinkUnderline=new gi,this._accessibilityModeEnabledContext=Cse.bindTo(this._contextKeyService);const s=()=>this._accessibilityModeEnabledContext.set(this.isScreenReaderOptimized());this._register(this._configurationService.onDidChangeConfiguration(d=>{d.affectsConfiguration("editor.accessibilitySupport")&&(s(),this._onDidChangeScreenReaderOptimized.fire()),d.affectsConfiguration("workbench.reduceMotion")&&(this._configMotionReduced=this._configurationService.getValue("workbench.reduceMotion"),this._onDidChangeReducedMotion.fire())})),s(),this._register(this.onDidChangeScreenReaderOptimized(()=>s()));const c=cd.matchMedia("(prefers-reduced-motion: reduce)");this._systemMotionReduced=c.matches,this._configMotionReduced=this._configurationService.getValue("workbench.reduceMotion"),this._linkUnderlinesEnabled=this._configurationService.getValue("accessibility.underlineLinks"),this.initReducedMotionListeners(c),this.initLinkUnderlineListeners()}initReducedMotionListeners(e){this._register(en(e,"change",()=>{this._systemMotionReduced=e.matches,this._configMotionReduced==="auto"&&this._onDidChangeReducedMotion.fire()}));const i=()=>{const n=this.isMotionReduced();this._layoutService.mainContainer.classList.toggle("reduce-motion",n),this._layoutService.mainContainer.classList.toggle("enable-motion",!n)};i(),this._register(this.onDidChangeReducedMotion(()=>i()))}initLinkUnderlineListeners(){this._register(this._configurationService.onDidChangeConfiguration(i=>{if(i.affectsConfiguration("accessibility.underlineLinks")){const n=this._configurationService.getValue("accessibility.underlineLinks");this._linkUnderlinesEnabled=n,this._onDidChangeLinkUnderline.fire()}}));const e=()=>{const i=this._linkUnderlinesEnabled;this._layoutService.mainContainer.classList.toggle("underline-links",i)};e(),this._register(this.onDidChangeLinkUnderlines(()=>e()))}onDidChangeLinkUnderlines(e){return this._onDidChangeLinkUnderline.event(e)}get onDidChangeScreenReaderOptimized(){return this._onDidChangeScreenReaderOptimized.event}isScreenReaderOptimized(){const e=this._configurationService.getValue("editor.accessibilitySupport");return e==="on"||e==="auto"&&this._accessibilitySupport===2}get onDidChangeReducedMotion(){return this._onDidChangeReducedMotion.event}isMotionReduced(){const e=this._configMotionReduced;return e==="on"||e==="auto"&&this._systemMotionReduced}getAccessibilitySupport(){return this._accessibilitySupport}};AHe=VSi([c9e(0,Ko),c9e(1,FR),c9e(2,Cc)],AHe);var Iwe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},DL=function(l,e){return function(i,n){e(i,n,l)}},qU,lie;let OHe=class{constructor(e,i,n){this._commandService=e,this._keybindingService=i,this._hiddenStates=new MHe(n)}createMenu(e,i,n){return new _ve(e,this._hiddenStates,{emitEventsForSubmenuChanges:!1,eventDebounceDelay:50,...n},this._commandService,this._keybindingService,i)}getMenuActions(e,i,n){const s=new _ve(e,this._hiddenStates,{emitEventsForSubmenuChanges:!1,eventDebounceDelay:50,...n},this._commandService,this._keybindingService,i),c=s.getActions(n);return s.dispose(),c}resetHiddenStates(e){this._hiddenStates.reset(e)}};OHe=Iwe([DL(0,qd),DL(1,pu),DL(2,ET)],OHe);var I9;let MHe=(I9=class{constructor(e){this._storageService=e,this._disposables=new wn,this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this._ignoreChangeEvent=!1,this._hiddenByDefaultCache=new Map;try{const i=e.get(qU._key,0,"{}");this._data=JSON.parse(i)}catch{this._data=Object.create(null)}this._disposables.add(e.onDidChangeValue(0,qU._key,this._disposables)(()=>{if(!this._ignoreChangeEvent)try{const i=e.get(qU._key,0,"{}");this._data=JSON.parse(i)}catch(i){console.log("FAILED to read storage after UPDATE",i)}this._onDidChange.fire()}))}dispose(){this._onDidChange.dispose(),this._disposables.dispose()}_isHiddenByDefault(e,i){return this._hiddenByDefaultCache.get(`${e.id}/${i}`)??!1}setDefaultState(e,i,n){this._hiddenByDefaultCache.set(`${e.id}/${i}`,n)}isHidden(e,i){var c;const n=this._isHiddenByDefault(e,i),s=((c=this._data[e.id])==null?void 0:c.includes(i))??!1;return n?!s:s}updateHidden(e,i,n){this._isHiddenByDefault(e,i)&&(n=!n);const c=this._data[e.id];if(n)c?c.indexOf(i)<0&&c.push(i):this._data[e.id]=[i];else if(c){const d=c.indexOf(i);d>=0&&Oai(c,d),c.length===0&&delete this._data[e.id]}this._persist()}reset(e){if(e===void 0)this._data=Object.create(null),this._persist();else{for(const{id:i}of e)this._data[i]&&delete this._data[i];this._persist()}}_persist(){try{this._ignoreChangeEvent=!0;const e=JSON.stringify(this._data);this._storageService.store(qU._key,e,0,0)}finally{this._ignoreChangeEvent=!1}}},qU=I9,I9._key="menu.hiddenCommands",I9);MHe=qU=Iwe([DL(0,ET)],MHe);class Bie{constructor(e,i){this._id=e,this._collectContextKeysForSubmenus=i,this._menuGroups=[],this._allMenuIds=new Set,this._structureContextKeys=new Set,this._preconditionContextKeys=new Set,this._toggledContextKeys=new Set,this.refresh()}get allMenuIds(){return this._allMenuIds}get structureContextKeys(){return this._structureContextKeys}get preconditionContextKeys(){return this._preconditionContextKeys}get toggledContextKeys(){return this._toggledContextKeys}refresh(){this._menuGroups.length=0,this._allMenuIds.clear(),this._structureContextKeys.clear(),this._preconditionContextKeys.clear(),this._toggledContextKeys.clear();const e=this._sort(yg.getMenuItems(this._id));let i;for(const n of e){const s=n.group||"";(!i||i[0]!==s)&&(i=[s,[]],this._menuGroups.push(i)),i[1].push(n),this._collectContextKeysAndSubmenuIds(n)}this._allMenuIds.add(this._id)}_sort(e){return e}_collectContextKeysAndSubmenuIds(e){if(Bie._fillInKbExprKeys(e.when,this._structureContextKeys),jq(e)){if(e.command.precondition&&Bie._fillInKbExprKeys(e.command.precondition,this._preconditionContextKeys),e.command.toggled){const i=e.command.toggled.condition||e.command.toggled;Bie._fillInKbExprKeys(i,this._toggledContextKeys)}}else this._collectContextKeysForSubmenus&&(yg.getMenuItems(e.submenu).forEach(this._collectContextKeysAndSubmenuIds,this),this._allMenuIds.add(e.submenu))}static _fillInKbExprKeys(e,i){if(e)for(const n of e.keys())i.add(n)}}let RHe=lie=class extends Bie{constructor(e,i,n,s,c,d){super(e,n),this._hiddenStates=i,this._commandService=s,this._keybindingService=c,this._contextKeyService=d,this.refresh()}createActionGroups(e){const i=[];for(const n of this._menuGroups){const[s,c]=n;let d;for(const f of c)if(this._contextKeyService.contextMatchesRules(f.when)){const m=jq(f);m&&this._hiddenStates.setDefaultState(this._id,f.command.id,!!f.isHiddenByDefault);const v=HSi(this._id,m?f.command:f,this._hiddenStates);if(m){const x=rIt(this._commandService,this._keybindingService,f.command.id,f.when);(d??(d=[])).push(new JC(f.command,f.alt,e,v,x,this._contextKeyService,this._commandService))}else{const x=new lie(f.submenu,this._hiddenStates,this._collectContextKeysForSubmenus,this._commandService,this._keybindingService,this._contextKeyService).createActionGroups(e),w=Rm.join(...x.map(I=>I[1]));w.length>0&&(d??(d=[])).push(new zq(f,v,w))}}d&&d.length>0&&i.push([s,d])}return i}_sort(e){return e.sort(lie._compareMenuItems)}static _compareMenuItems(e,i){const n=e.group,s=i.group;if(n!==s){if(n){if(!s)return-1}else return 1;if(n==="navigation")return-1;if(s==="navigation")return 1;const f=n.localeCompare(s);if(f!==0)return f}const c=e.order||0,d=i.order||0;return c<d?-1:c>d?1:lie._compareTitles(jq(e)?e.command.title:e.title,jq(i)?i.command.title:i.title)}static _compareTitles(e,i){const n=typeof e=="string"?e:e.original,s=typeof i=="string"?i:i.original;return n.localeCompare(s)}};RHe=lie=Iwe([DL(3,qd),DL(4,pu),DL(5,Ko)],RHe);let _ve=class{constructor(e,i,n,s,c,d){this._disposables=new wn,this._menuInfo=new RHe(e,i,n.emitEventsForSubmenuChanges,s,c,d);const f=new qu(()=>{this._menuInfo.refresh(),this._onDidChange.fire({menu:this,isStructuralChange:!0,isEnablementChange:!0,isToggleChange:!0})},n.eventDebounceDelay);this._disposables.add(f),this._disposables.add(yg.onDidChangeMenu(w=>{for(const I of this._menuInfo.allMenuIds)if(w.has(I)){f.schedule();break}}));const m=this._disposables.add(new wn),v=w=>{let I=!1,P=!1,O=!1;for(const z of w)if(I=I||z.isStructuralChange,P=P||z.isEnablementChange,O=O||z.isToggleChange,I&&P&&O)break;return{menu:this,isStructuralChange:I,isEnablementChange:P,isToggleChange:O}},x=()=>{m.add(d.onDidChangeContext(w=>{const I=w.affectsSome(this._menuInfo.structureContextKeys),P=w.affectsSome(this._menuInfo.preconditionContextKeys),O=w.affectsSome(this._menuInfo.toggledContextKeys);(I||P||O)&&this._onDidChange.fire({menu:this,isStructuralChange:I,isEnablementChange:P,isToggleChange:O})})),m.add(i.onDidChange(w=>{this._onDidChange.fire({menu:this,isStructuralChange:!0,isEnablementChange:!1,isToggleChange:!1})}))};this._onDidChange=new Fkt({onWillAddFirstListener:x,onDidRemoveLastListener:m.clear.bind(m),delay:n.eventDebounceDelay,merge:v}),this.onDidChange=this._onDidChange.event}getActions(e){return this._menuInfo.createActionGroups(e)}dispose(){this._disposables.dispose(),this._onDidChange.dispose()}};_ve=Iwe([DL(3,qd),DL(4,pu),DL(5,Ko)],_ve);function HSi(l,e,i){const n=Gdi(e)?e.submenu.id:e.id,s=typeof e.title=="string"?e.title:e.title.value,c=_9({id:`hide/${l.id}/${n}`,label:W("hide.label","Hide '{0}'",s),run(){i.updateHidden(l,n,!0)}}),d=_9({id:`toggle/${l.id}/${n}`,label:s,get checked(){return!i.isHidden(l,n)},run(){i.updateHidden(l,n,!!this.checked)}});return{hide:c,toggle:d,get isHidden(){return!d.checked}}}function rIt(l,e,i,n=void 0,s=!0){return _9({id:`configureKeybinding/${i}`,label:W("configure keybinding","Configure Keybinding"),enabled:s,run(){const d=!!!e.lookupKeybinding(i)&&n?n.serialize():void 0;l.executeCommand("workbench.action.openGlobalKeybindings",`@command:${i}`+(d?` +when:${d}`:""))}})}var jSi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},cSt=function(l,e){return function(i,n){e(i,n,l)}},FHe;const lSt="application/vnd.code.resources";var N9;let BHe=(N9=class extends xi{constructor(e,i){super(),this.layoutService=e,this.logService=i,this.mapTextToType=new Map,this.findText="",this.resources=[],this.resourcesStateHash=void 0,(o6||a2t)&&this.installWebKitWriteTextWorkaround(),this._register(Tr.runAndSubscribe(OSe,({window:n,disposables:s})=>{s.add(en(n.document,"copy",()=>this.clearResourcesState()))},{window:cd,disposables:this._store}))}installWebKitWriteTextWorkaround(){const e=()=>{const i=new hJ;this.webKitPendingClipboardWritePromise&&!this.webKitPendingClipboardWritePromise.isSettled&&this.webKitPendingClipboardWritePromise.cancel(),this.webKitPendingClipboardWritePromise=i,eie().navigator.clipboard.write([new ClipboardItem({"text/plain":i.p})]).catch(async n=>{(!(n instanceof Error)||n.name!=="NotAllowedError"||!i.isRejected)&&this.logService.error(n)})};this._register(Tr.runAndSubscribe(this.layoutService.onDidAddContainer,({container:i,disposables:n})=>{n.add(en(i,"click",e)),n.add(en(i,"keydown",e))},{container:this.layoutService.mainContainer,disposables:this._store}))}async writeText(e,i){if(this.clearResourcesState(),i){this.mapTextToType.set(i,e);return}if(this.webKitPendingClipboardWritePromise)return this.webKitPendingClipboardWritePromise.complete(e);try{return await eie().navigator.clipboard.writeText(e)}catch(n){console.error(n)}this.fallbackWriteText(e)}fallbackWriteText(e){const i=_J(),n=i.activeElement,s=i.body.appendChild(Cr("textarea",{"aria-hidden":!0}));s.style.height="1px",s.style.width="1px",s.style.position="absolute",s.value=e,s.focus(),s.select(),i.execCommand("copy"),Mm(n)&&n.focus(),s.remove()}async readText(e){if(e)return this.mapTextToType.get(e)||"";try{return await eie().navigator.clipboard.readText()}catch(i){console.error(i)}return""}async readFindText(){return this.findText}async writeFindText(e){this.findText=e}async readResources(){try{const i=await eie().navigator.clipboard.read();for(const n of i)if(n.types.includes(`web ${lSt}`)){const s=await n.getType(`web ${lSt}`);return JSON.parse(await s.text()).map(d=>yo.from(d))}}catch{}const e=await this.computeResourcesStateHash();return this.resourcesStateHash!==e&&this.clearResourcesState(),this.resources}async computeResourcesStateHash(){if(this.resources.length===0)return;const e=await this.readText();return PSe(e.substring(0,FHe.MAX_RESOURCE_STATE_SOURCE_LENGTH))}clearInternalState(){this.clearResourcesState()}clearResourcesState(){this.resources=[],this.resourcesStateHash=void 0}},FHe=N9,N9.MAX_RESOURCE_STATE_SOURCE_LENGTH=1e3,N9);BHe=FHe=jSi([cSt(0,FR),cSt(1,N0)],BHe);const w6=jc("clipboardService");var zSi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},USi=function(l,e){return function(i,n){e(i,n,l)}};const Wie="data-keybinding-context";let HJe=class{constructor(e,i){this._id=e,this._parent=i,this._value=Object.create(null),this._value._contextId=e}get value(){return{...this._value}}setValue(e,i){return this._value[e]!==i?(this._value[e]=i,!0):!1}removeValue(e){return e in this._value?(delete this._value[e],!0):!1}getValue(e){const i=this._value[e];return typeof i>"u"&&this._parent?this._parent.getValue(e):i}};const yCe=class yCe extends HJe{constructor(){super(-1,null)}setValue(e,i){return!1}removeValue(e){return!1}getValue(e){}};yCe.INSTANCE=new yCe;let z$=yCe;const mne=class mne extends HJe{constructor(e,i,n){super(e,null),this._configurationService=i,this._values=Jq.forConfigKeys(),this._listener=this._configurationService.onDidChangeConfiguration(s=>{if(s.source===7){const c=Array.from(this._values,([d])=>d);this._values.clear(),n.fire(new dSt(c))}else{const c=[];for(const d of s.affectedKeys){const f=`config.${d}`,m=this._values.findSuperstr(f);m!==void 0&&(c.push(...dl.map(m,([v])=>v)),this._values.deleteSuperstr(f)),this._values.has(f)&&(c.push(f),this._values.delete(f))}n.fire(new dSt(c))}})}dispose(){this._listener.dispose()}getValue(e){if(e.indexOf(mne._keyPrefix)!==0)return super.getValue(e);if(this._values.has(e))return this._values.get(e);const i=e.substr(mne._keyPrefix.length),n=this._configurationService.getValue(i);let s;switch(typeof n){case"number":case"boolean":case"string":s=n;break;default:Array.isArray(n)?s=JSON.stringify(n):s=n}return this._values.set(e,s),s}setValue(e,i){return super.setValue(e,i)}removeValue(e){return super.removeValue(e)}};mne._keyPrefix="config.";let WHe=mne;class qSi{constructor(e,i,n){this._service=e,this._key=i,this._defaultValue=n,this.reset()}set(e){this._service.setContext(this._key,e)}reset(){typeof this._defaultValue>"u"?this._service.removeContext(this._key):this._service.setContext(this._key,this._defaultValue)}get(){return this._service.getContextKeyValue(this._key)}}class uSt{constructor(e){this.key=e}affectsSome(e){return e.has(this.key)}allKeysContainedIn(e){return this.affectsSome(e)}}class dSt{constructor(e){this.keys=e}affectsSome(e){for(const i of this.keys)if(e.has(i))return!0;return!1}allKeysContainedIn(e){return this.keys.every(i=>e.has(i))}}class $Si{constructor(e){this.events=e}affectsSome(e){for(const i of this.events)if(i.affectsSome(e))return!0;return!1}allKeysContainedIn(e){return this.events.every(i=>i.allKeysContainedIn(e))}}function JSi(l,e){return l.allKeysContainedIn(new Set(Object.keys(e)))}class sIt extends xi{constructor(e){super(),this._onDidChangeContext=this._register(new CB({merge:i=>new $Si(i)})),this.onDidChangeContext=this._onDidChangeContext.event,this._isDisposed=!1,this._myContextId=e}createKey(e,i){if(this._isDisposed)throw new Error("AbstractContextKeyService has been disposed");return new qSi(this,e,i)}bufferChangeEvents(e){this._onDidChangeContext.pause();try{e()}finally{this._onDidChangeContext.resume()}}createScoped(e){if(this._isDisposed)throw new Error("AbstractContextKeyService has been disposed");return new GSi(this,e)}contextMatchesRules(e){if(this._isDisposed)throw new Error("AbstractContextKeyService has been disposed");const i=this.getContextValuesContainer(this._myContextId);return e?e.evaluate(i):!0}getContextKeyValue(e){if(!this._isDisposed)return this.getContextValuesContainer(this._myContextId).getValue(e)}setContext(e,i){if(this._isDisposed)return;const n=this.getContextValuesContainer(this._myContextId);n&&n.setValue(e,i)&&this._onDidChangeContext.fire(new uSt(e))}removeContext(e){this._isDisposed||this.getContextValuesContainer(this._myContextId).removeValue(e)&&this._onDidChangeContext.fire(new uSt(e))}getContext(e){return this._isDisposed?z$.INSTANCE:this.getContextValuesContainer(KSi(e))}dispose(){super.dispose(),this._isDisposed=!0}}let VHe=class extends sIt{constructor(e){super(0),this._contexts=new Map,this._lastContextId=0;const i=this._register(new WHe(this._myContextId,e,this._onDidChangeContext));this._contexts.set(this._myContextId,i)}getContextValuesContainer(e){return this._isDisposed?z$.INSTANCE:this._contexts.get(e)||z$.INSTANCE}createChildContext(e=this._myContextId){if(this._isDisposed)throw new Error("ContextKeyService has been disposed");const i=++this._lastContextId;return this._contexts.set(i,new HJe(i,this.getContextValuesContainer(e))),i}disposeContext(e){this._isDisposed||this._contexts.delete(e)}};VHe=zSi([USi(0,Cc)],VHe);class GSi extends sIt{constructor(e,i){if(super(e.createChildContext()),this._parentChangeListener=this._register(new Fm),this._parent=e,this._updateParentChangeListener(),this._domNode=i,this._domNode.hasAttribute(Wie)){let n="";this._domNode.classList&&(n=Array.from(this._domNode.classList.values()).join(", ")),console.error(`Element already has context attribute${n?": "+n:""}`)}this._domNode.setAttribute(Wie,String(this._myContextId))}_updateParentChangeListener(){this._parentChangeListener.value=this._parent.onDidChangeContext(e=>{const n=this._parent.getContextValuesContainer(this._myContextId).value;JSi(e,n)||this._onDidChangeContext.fire(e)})}dispose(){this._isDisposed||(this._parent.disposeContext(this._myContextId),this._domNode.removeAttribute(Wie),super.dispose())}getContextValuesContainer(e){return this._isDisposed?z$.INSTANCE:this._parent.getContextValuesContainer(e)}createChildContext(e=this._myContextId){if(this._isDisposed)throw new Error("ScopedContextKeyService has been disposed");return this._parent.createChildContext(e)}disposeContext(e){this._isDisposed||this._parent.disposeContext(e)}}function KSi(l){for(;l;){if(l.hasAttribute(Wie)){const e=l.getAttribute(Wie);return e?parseInt(e,10):NaN}l=l.parentElement}return 0}function XSi(l,e,i){l.get(Ko).createKey(String(e),QSi(i))}function QSi(l){return wkt(l,e=>{if(typeof e=="object"&&e.$mid===1)return yo.revive(e).toString();if(e instanceof yo)return e.toString()})}fl.registerCommand("_setContext",XSi);fl.registerCommand({id:"getContextKeyInfo",handler(){return[...rs.all()].sort((l,e)=>l.key.localeCompare(e.key))},metadata:{description:W("getContextKeyInfo","A command that returns information about context keys"),args:[]}});fl.registerCommand("_generateContextKeyInfo",function(){const l=[],e=new Set;for(const i of rs.all())e.has(i.key)||(e.add(i.key),l.push(i));l.sort((i,n)=>i.key.localeCompare(n.key)),console.log(JSON.stringify(l,void 0,2))});let ZSi=class{constructor(e,i){this.key=e,this.data=i,this.incoming=new Map,this.outgoing=new Map}};class fSt{constructor(e){this._hashFn=e,this._nodes=new Map}roots(){const e=[];for(const i of this._nodes.values())i.outgoing.size===0&&e.push(i);return e}insertEdge(e,i){const n=this.lookupOrInsertNode(e),s=this.lookupOrInsertNode(i);n.outgoing.set(s.key,s),s.incoming.set(n.key,n)}removeNode(e){const i=this._hashFn(e);this._nodes.delete(i);for(const n of this._nodes.values())n.outgoing.delete(i),n.incoming.delete(i)}lookupOrInsertNode(e){const i=this._hashFn(e);let n=this._nodes.get(i);return n||(n=new ZSi(i,e),this._nodes.set(i,n)),n}isEmpty(){return this._nodes.size===0}toString(){const e=[];for(const[i,n]of this._nodes)e.push(`${i}
+ (-> incoming)[${[...n.incoming.keys()].join(", ")}]
+ (outgoing ->)[${[...n.outgoing.keys()].join(",")}]
+`);return e.join(`
+`)}findCycleSlow(){for(const[e,i]of this._nodes){const n=new Set([e]),s=this._findCycle(i,n);if(s)return s}}_findCycle(e,i){for(const[n,s]of e.outgoing){if(i.has(n))return[...i,n].join(" -> ");i.add(n);const c=this._findCycle(s,i);if(c)return c;i.delete(n)}}}class IJ{constructor(...e){this._entries=new Map;for(const[i,n]of e)this.set(i,n)}set(e,i){const n=this._entries.get(e);return this._entries.set(e,i),n}get(e){return this._entries.get(e)}}const YSi=!1;class hSt extends Error{constructor(e){super("cyclic dependency between services"),this.message=e.findCycleSlow()??`UNABLE to detect cycle, dumping graph:
+${e.toString()}`}}class pve{constructor(e=new IJ,i=!1,n,s=YSi){this._services=e,this._strict=i,this._parent=n,this._enableTracing=s,this._isDisposed=!1,this._servicesToMaybeDispose=new Set,this._children=new Set,this._activeInstantiations=new Set,this._services.set(ho,this),this._globalGraph=s?(n==null?void 0:n._globalGraph)??new fSt(c=>c):void 0}dispose(){if(!this._isDisposed){this._isDisposed=!0,vd(this._children),this._children.clear();for(const e of this._servicesToMaybeDispose)ESe(e)&&e.dispose();this._servicesToMaybeDispose.clear()}}_throwIfDisposed(){if(this._isDisposed)throw new Error("InstantiationService has been disposed")}createChild(e,i){this._throwIfDisposed();const n=this,s=new class extends pve{dispose(){n._children.delete(s),super.dispose()}}(e,this._strict,this,this._enableTracing);return this._children.add(s),i==null||i.add(s),s}invokeFunction(e,...i){this._throwIfDisposed();const n=Vie.traceInvocation(this._enableTracing,e);let s=!1;try{return e({get:d=>{if(s)throw $qe("service accessor is only valid during the invocation of its target method");const f=this._getOrCreateServiceInstance(d,n);if(!f)throw new Error(`[invokeFunction] unknown service '${d}'`);return f}},...i)}finally{s=!0,n.stop()}}createInstance(e,...i){this._throwIfDisposed();let n,s;return e instanceof wE?(n=Vie.traceCreation(this._enableTracing,e.ctor),s=this._createInstance(e.ctor,e.staticArguments.concat(i),n)):(n=Vie.traceCreation(this._enableTracing,e),s=this._createInstance(e,i,n)),n.stop(),s}_createInstance(e,i=[],n){const s=IE.getServiceDependencies(e).sort((f,m)=>f.index-m.index),c=[];for(const f of s){const m=this._getOrCreateServiceInstance(f.id,n);m||this._throwIfStrict(`[createInstance] ${e.name} depends on UNKNOWN service ${f.id}.`,!1),c.push(m)}const d=s.length>0?s[0].index:i.length;if(i.length!==d){console.trace(`[createInstance] First service dependency of ${e.name} at position ${d+1} conflicts with ${i.length} static arguments`);const f=d-i.length;f>0?i=i.concat(new Array(f)):i=i.slice(0,d)}return Reflect.construct(e,i.concat(c))}_setCreatedServiceInstance(e,i){if(this._services.get(e)instanceof wE)this._services.set(e,i);else if(this._parent)this._parent._setCreatedServiceInstance(e,i);else throw new Error("illegalState - setting UNKNOWN service instance")}_getServiceInstanceOrDescriptor(e){const i=this._services.get(e);return!i&&this._parent?this._parent._getServiceInstanceOrDescriptor(e):i}_getOrCreateServiceInstance(e,i){this._globalGraph&&this._globalGraphImplicitDependency&&this._globalGraph.insertEdge(this._globalGraphImplicitDependency,String(e));const n=this._getServiceInstanceOrDescriptor(e);return n instanceof wE?this._safeCreateAndCacheServiceInstance(e,n,i.branch(e,!0)):(i.branch(e,!1),n)}_safeCreateAndCacheServiceInstance(e,i,n){if(this._activeInstantiations.has(e))throw new Error(`illegal state - RECURSIVELY instantiating service '${e}'`);this._activeInstantiations.add(e);try{return this._createAndCacheServiceInstance(e,i,n)}finally{this._activeInstantiations.delete(e)}}_createAndCacheServiceInstance(e,i,n){var m;const s=new fSt(v=>v.id.toString());let c=0;const d=[{id:e,desc:i,_trace:n}],f=new Set;for(;d.length;){const v=d.pop();if(!f.has(String(v.id))){if(f.add(String(v.id)),s.lookupOrInsertNode(v),c++>1e3)throw new hSt(s);for(const x of IE.getServiceDependencies(v.desc.ctor)){const w=this._getServiceInstanceOrDescriptor(x.id);if(w||this._throwIfStrict(`[createInstance] ${e} depends on ${x.id} which is NOT registered.`,!0),(m=this._globalGraph)==null||m.insertEdge(String(v.id),String(x.id)),w instanceof wE){const I={id:x.id,desc:w,_trace:v._trace.branch(x.id,!0)};s.insertEdge(v,I),d.push(I)}}}}for(;;){const v=s.roots();if(v.length===0){if(!s.isEmpty())throw new hSt(s);break}for(const{data:x}of v){if(this._getServiceInstanceOrDescriptor(x.id)instanceof wE){const I=this._createServiceInstanceWithOwner(x.id,x.desc.ctor,x.desc.staticArguments,x.desc.supportsDelayedInstantiation,x._trace);this._setCreatedServiceInstance(x.id,I)}s.removeNode(x)}}return this._getServiceInstanceOrDescriptor(e)}_createServiceInstanceWithOwner(e,i,n=[],s,c){if(this._services.get(e)instanceof wE)return this._createServiceInstance(e,i,n,s,c,this._servicesToMaybeDispose);if(this._parent)return this._parent._createServiceInstanceWithOwner(e,i,n,s,c);throw new Error(`illegalState - creating UNKNOWN service instance ${i.name}`)}_createServiceInstance(e,i,n=[],s,c,d){if(s){const f=new pve(void 0,this._strict,this,this._enableTracing);f._globalGraphImplicitDependency=String(e);const m=new Map,v=new bui(()=>{const x=f._createInstance(i,n,c);for(const[w,I]of m){const P=x[w];if(typeof P=="function")for(const O of I)O.disposable=P.apply(x,O.listener)}return m.clear(),d.add(x),x});return new Proxy(Object.create(null),{get(x,w){if(!v.isInitialized&&typeof w=="string"&&(w.startsWith("onDid")||w.startsWith("onWill"))){let O=m.get(w);return O||(O=new _v,m.set(w,O)),(J,Y,ae)=>{if(v.isInitialized)return v.value[w](J,Y,ae);{const me={listener:[J,Y,ae],disposable:void 0},ye=O.push(me);return fo(()=>{var Fe;ye(),(Fe=me.disposable)==null||Fe.dispose()})}}}if(w in x)return x[w];const I=v.value;let P=I[w];return typeof P!="function"||(P=P.bind(I),x[w]=P),P},set(x,w,I){return v.value[w]=I,!0},getPrototypeOf(x){return i.prototype}})}else{const f=this._createInstance(i,n,c);return d.add(f),f}}_throwIfStrict(e,i){if(i&&console.warn(e),this._strict)throw new Error(e)}}const aw=class aw{static traceInvocation(e,i){return e?new aw(2,i.name||new Error().stack.split(`
+`).slice(3,4).join(`
+`)):aw._None}static traceCreation(e,i){return e?new aw(1,i.name):aw._None}constructor(e,i){this.type=e,this.name=i,this._start=Date.now(),this._dep=[]}branch(e,i){const n=new aw(3,e.toString());return this._dep.push([e,i,n]),n}stop(){const e=Date.now()-this._start;aw._totals+=e;let i=!1;function n(c,d){const f=[],m=new Array(c+1).join(" ");for(const[v,x,w]of d._dep)if(x&&w){i=!0,f.push(`${m}CREATES -> ${v}`);const I=n(c+1,w);I&&f.push(I)}else f.push(`${m}uses -> ${v}`);return f.join(`
+`)}const s=[`${this.type===1?"CREATE":"CALL"} ${this.name}`,`${n(1,this)}`,`DONE, took ${e.toFixed(2)}ms (grand total ${aw._totals.toFixed(2)}ms)`];(e>2||i)&&aw.all.add(s.join(`
+`))}};aw.all=new Set,aw._None=new class extends aw{constructor(){super(0,null)}stop(){}branch(){return this}},aw._totals=0;let Vie=aw;const ewi=new Set([Ma.inMemory,Ma.vscodeSourceControl,Ma.walkThrough,Ma.walkThroughSnippet,Ma.vscodeChatCodeBlock]);class twi{constructor(){this._byResource=new vg,this._byOwner=new Map}set(e,i,n){let s=this._byResource.get(e);s||(s=new Map,this._byResource.set(e,s)),s.set(i,n);let c=this._byOwner.get(i);c||(c=new vg,this._byOwner.set(i,c)),c.set(e,n)}get(e,i){const n=this._byResource.get(e);return n==null?void 0:n.get(i)}delete(e,i){let n=!1,s=!1;const c=this._byResource.get(e);c&&(n=c.delete(i));const d=this._byOwner.get(i);if(d&&(s=d.delete(e)),n!==s)throw new Error("illegal state");return n&&s}values(e){var i,n;return typeof e=="string"?((i=this._byOwner.get(e))==null?void 0:i.values())??dl.empty():yo.isUri(e)?((n=this._byResource.get(e))==null?void 0:n.values())??dl.empty():dl.map(dl.concat(...this._byOwner.values()),s=>s[1])}}class iwi{constructor(e){this.errors=0,this.infos=0,this.warnings=0,this.unknowns=0,this._data=new vg,this._service=e,this._subscription=e.onMarkerChanged(this._update,this)}dispose(){this._subscription.dispose()}_update(e){for(const i of e){const n=this._data.get(i);n&&this._substract(n);const s=this._resourceStats(i);this._add(s),this._data.set(i,s)}}_resourceStats(e){const i={errors:0,warnings:0,infos:0,unknowns:0};if(ewi.has(e.scheme))return i;for(const{severity:n}of this._service.read({resource:e}))n===Rh.Error?i.errors+=1:n===Rh.Warning?i.warnings+=1:n===Rh.Info?i.infos+=1:i.unknowns+=1;return i}_substract(e){this.errors-=e.errors,this.warnings-=e.warnings,this.infos-=e.infos,this.unknowns-=e.unknowns}_add(e){this.errors+=e.errors,this.warnings+=e.warnings,this.infos+=e.infos,this.unknowns+=e.unknowns}}class _M{constructor(){this._onMarkerChanged=new Fkt({delay:0,merge:_M._merge}),this.onMarkerChanged=this._onMarkerChanged.event,this._data=new twi,this._stats=new iwi(this)}dispose(){this._stats.dispose(),this._onMarkerChanged.dispose()}remove(e,i){for(const n of i||[])this.changeOne(e,n,[])}changeOne(e,i,n){if(ykt(n))this._data.delete(i,e)&&this._onMarkerChanged.fire([i]);else{const s=[];for(const c of n){const d=_M._toMarker(e,i,c);d&&s.push(d)}this._data.set(i,e,s),this._onMarkerChanged.fire([i])}}static _toMarker(e,i,n){let{code:s,severity:c,message:d,source:f,startLineNumber:m,startColumn:v,endLineNumber:x,endColumn:w,relatedInformation:I,tags:P}=n;if(d)return m=m>0?m:1,v=v>0?v:1,x=x>=m?x:m,w=w>0?w:v,{resource:i,owner:e,code:s,severity:c,message:d,source:f,startLineNumber:m,startColumn:v,endLineNumber:x,endColumn:w,relatedInformation:I,tags:P}}changeAll(e,i){const n=[],s=this._data.values(e);if(s)for(const c of s){const d=dl.first(c);d&&(n.push(d.resource),this._data.delete(d.resource,e))}if(ky(i)){const c=new vg;for(const{resource:d,marker:f}of i){const m=_M._toMarker(e,d,f);if(!m)continue;const v=c.get(d);v?v.push(m):(c.set(d,[m]),n.push(d))}for(const[d,f]of c)this._data.set(d,e,f)}n.length>0&&this._onMarkerChanged.fire(n)}read(e=Object.create(null)){let{owner:i,resource:n,severities:s,take:c}=e;if((!c||c<0)&&(c=-1),i&&n){const d=this._data.get(n,i);if(d){const f=[];for(const m of d)if(_M._accept(m,s)){const v=f.push(m);if(c>0&&v===c)break}return f}else return[]}else if(!i&&!n){const d=[];for(const f of this._data.values())for(const m of f)if(_M._accept(m,s)){const v=d.push(m);if(c>0&&v===c)return d}return d}else{const d=this._data.values(n??i),f=[];for(const m of d)for(const v of m)if(_M._accept(v,s)){const x=f.push(v);if(c>0&&x===c)return f}return f}}static _accept(e,i){return i===void 0||(i&e.severity)===e.severity}static _merge(e){const i=new vg;for(const n of e)for(const s of n)i.set(s,!0);return Array.from(i.keys())}}class nwi extends xi{get configurationModel(){return this._configurationModel}constructor(e){super(),this.logService=e,this._configurationModel=r0.createEmptyModel(this.logService)}reload(){return this.resetConfigurationModel(),this.configurationModel}getConfigurationDefaultOverrides(){return{}}resetConfigurationModel(){this._configurationModel=r0.createEmptyModel(this.logService);const e=nh.as(DT.Configuration).getConfigurationProperties();this.updateConfigurationModel(Object.keys(e),e)}updateConfigurationModel(e,i){const n=this.getConfigurationDefaultOverrides();for(const s of e){const c=n[s],d=i[s];c!==void 0?this._configurationModel.setValue(s,c):d?this._configurationModel.setValue(s,d.default):this._configurationModel.removeValue(s)}}}const jR=jc("accessibilitySignalService"),Hl=class Hl{static register(e){return new Hl(e.fileName)}constructor(e){this.fileName=e}};Hl.error=Hl.register({fileName:"error.mp3"}),Hl.warning=Hl.register({fileName:"warning.mp3"}),Hl.success=Hl.register({fileName:"success.mp3"}),Hl.foldedArea=Hl.register({fileName:"foldedAreas.mp3"}),Hl.break=Hl.register({fileName:"break.mp3"}),Hl.quickFixes=Hl.register({fileName:"quickFixes.mp3"}),Hl.taskCompleted=Hl.register({fileName:"taskCompleted.mp3"}),Hl.taskFailed=Hl.register({fileName:"taskFailed.mp3"}),Hl.terminalBell=Hl.register({fileName:"terminalBell.mp3"}),Hl.diffLineInserted=Hl.register({fileName:"diffLineInserted.mp3"}),Hl.diffLineDeleted=Hl.register({fileName:"diffLineDeleted.mp3"}),Hl.diffLineModified=Hl.register({fileName:"diffLineModified.mp3"}),Hl.chatRequestSent=Hl.register({fileName:"chatRequestSent.mp3"}),Hl.chatResponseReceived1=Hl.register({fileName:"chatResponseReceived1.mp3"}),Hl.chatResponseReceived2=Hl.register({fileName:"chatResponseReceived2.mp3"}),Hl.chatResponseReceived3=Hl.register({fileName:"chatResponseReceived3.mp3"}),Hl.chatResponseReceived4=Hl.register({fileName:"chatResponseReceived4.mp3"}),Hl.clear=Hl.register({fileName:"clear.mp3"}),Hl.save=Hl.register({fileName:"save.mp3"}),Hl.format=Hl.register({fileName:"format.mp3"}),Hl.voiceRecordingStarted=Hl.register({fileName:"voiceRecordingStarted.mp3"}),Hl.voiceRecordingStopped=Hl.register({fileName:"voiceRecordingStopped.mp3"}),Hl.progress=Hl.register({fileName:"progress.mp3"});let If=Hl;class rwi{constructor(e){this.randomOneOf=e}}const vc=class vc{constructor(e,i,n,s,c,d){this.sound=e,this.name=i,this.legacySoundSettingsKey=n,this.settingsKey=s,this.legacyAnnouncementSettingsKey=c,this.announcementMessage=d}static register(e){const i=new rwi("randomOneOf"in e.sound?e.sound.randomOneOf:[e.sound]),n=new vc(i,e.name,e.legacySoundSettingsKey,e.settingsKey,e.legacyAnnouncementSettingsKey,e.announcementMessage);return vc._signals.add(n),n}};vc._signals=new Set,vc.errorAtPosition=vc.register({name:W("accessibilitySignals.positionHasError.name","Error at Position"),sound:If.error,announcementMessage:W("accessibility.signals.positionHasError","Error"),settingsKey:"accessibility.signals.positionHasError",delaySettingsKey:"accessibility.signalOptions.delays.errorAtPosition"}),vc.warningAtPosition=vc.register({name:W("accessibilitySignals.positionHasWarning.name","Warning at Position"),sound:If.warning,announcementMessage:W("accessibility.signals.positionHasWarning","Warning"),settingsKey:"accessibility.signals.positionHasWarning",delaySettingsKey:"accessibility.signalOptions.delays.warningAtPosition"}),vc.errorOnLine=vc.register({name:W("accessibilitySignals.lineHasError.name","Error on Line"),sound:If.error,legacySoundSettingsKey:"audioCues.lineHasError",legacyAnnouncementSettingsKey:"accessibility.alert.error",announcementMessage:W("accessibility.signals.lineHasError","Error on Line"),settingsKey:"accessibility.signals.lineHasError"}),vc.warningOnLine=vc.register({name:W("accessibilitySignals.lineHasWarning.name","Warning on Line"),sound:If.warning,legacySoundSettingsKey:"audioCues.lineHasWarning",legacyAnnouncementSettingsKey:"accessibility.alert.warning",announcementMessage:W("accessibility.signals.lineHasWarning","Warning on Line"),settingsKey:"accessibility.signals.lineHasWarning"}),vc.foldedArea=vc.register({name:W("accessibilitySignals.lineHasFoldedArea.name","Folded Area on Line"),sound:If.foldedArea,legacySoundSettingsKey:"audioCues.lineHasFoldedArea",legacyAnnouncementSettingsKey:"accessibility.alert.foldedArea",announcementMessage:W("accessibility.signals.lineHasFoldedArea","Folded"),settingsKey:"accessibility.signals.lineHasFoldedArea"}),vc.break=vc.register({name:W("accessibilitySignals.lineHasBreakpoint.name","Breakpoint on Line"),sound:If.break,legacySoundSettingsKey:"audioCues.lineHasBreakpoint",legacyAnnouncementSettingsKey:"accessibility.alert.breakpoint",announcementMessage:W("accessibility.signals.lineHasBreakpoint","Breakpoint"),settingsKey:"accessibility.signals.lineHasBreakpoint"}),vc.inlineSuggestion=vc.register({name:W("accessibilitySignals.lineHasInlineSuggestion.name","Inline Suggestion on Line"),sound:If.quickFixes,legacySoundSettingsKey:"audioCues.lineHasInlineSuggestion",settingsKey:"accessibility.signals.lineHasInlineSuggestion"}),vc.terminalQuickFix=vc.register({name:W("accessibilitySignals.terminalQuickFix.name","Terminal Quick Fix"),sound:If.quickFixes,legacySoundSettingsKey:"audioCues.terminalQuickFix",legacyAnnouncementSettingsKey:"accessibility.alert.terminalQuickFix",announcementMessage:W("accessibility.signals.terminalQuickFix","Quick Fix"),settingsKey:"accessibility.signals.terminalQuickFix"}),vc.onDebugBreak=vc.register({name:W("accessibilitySignals.onDebugBreak.name","Debugger Stopped on Breakpoint"),sound:If.break,legacySoundSettingsKey:"audioCues.onDebugBreak",legacyAnnouncementSettingsKey:"accessibility.alert.onDebugBreak",announcementMessage:W("accessibility.signals.onDebugBreak","Breakpoint"),settingsKey:"accessibility.signals.onDebugBreak"}),vc.noInlayHints=vc.register({name:W("accessibilitySignals.noInlayHints","No Inlay Hints on Line"),sound:If.error,legacySoundSettingsKey:"audioCues.noInlayHints",legacyAnnouncementSettingsKey:"accessibility.alert.noInlayHints",announcementMessage:W("accessibility.signals.noInlayHints","No Inlay Hints"),settingsKey:"accessibility.signals.noInlayHints"}),vc.taskCompleted=vc.register({name:W("accessibilitySignals.taskCompleted","Task Completed"),sound:If.taskCompleted,legacySoundSettingsKey:"audioCues.taskCompleted",legacyAnnouncementSettingsKey:"accessibility.alert.taskCompleted",announcementMessage:W("accessibility.signals.taskCompleted","Task Completed"),settingsKey:"accessibility.signals.taskCompleted"}),vc.taskFailed=vc.register({name:W("accessibilitySignals.taskFailed","Task Failed"),sound:If.taskFailed,legacySoundSettingsKey:"audioCues.taskFailed",legacyAnnouncementSettingsKey:"accessibility.alert.taskFailed",announcementMessage:W("accessibility.signals.taskFailed","Task Failed"),settingsKey:"accessibility.signals.taskFailed"}),vc.terminalCommandFailed=vc.register({name:W("accessibilitySignals.terminalCommandFailed","Terminal Command Failed"),sound:If.error,legacySoundSettingsKey:"audioCues.terminalCommandFailed",legacyAnnouncementSettingsKey:"accessibility.alert.terminalCommandFailed",announcementMessage:W("accessibility.signals.terminalCommandFailed","Command Failed"),settingsKey:"accessibility.signals.terminalCommandFailed"}),vc.terminalCommandSucceeded=vc.register({name:W("accessibilitySignals.terminalCommandSucceeded","Terminal Command Succeeded"),sound:If.success,announcementMessage:W("accessibility.signals.terminalCommandSucceeded","Command Succeeded"),settingsKey:"accessibility.signals.terminalCommandSucceeded"}),vc.terminalBell=vc.register({name:W("accessibilitySignals.terminalBell","Terminal Bell"),sound:If.terminalBell,legacySoundSettingsKey:"audioCues.terminalBell",legacyAnnouncementSettingsKey:"accessibility.alert.terminalBell",announcementMessage:W("accessibility.signals.terminalBell","Terminal Bell"),settingsKey:"accessibility.signals.terminalBell"}),vc.notebookCellCompleted=vc.register({name:W("accessibilitySignals.notebookCellCompleted","Notebook Cell Completed"),sound:If.taskCompleted,legacySoundSettingsKey:"audioCues.notebookCellCompleted",legacyAnnouncementSettingsKey:"accessibility.alert.notebookCellCompleted",announcementMessage:W("accessibility.signals.notebookCellCompleted","Notebook Cell Completed"),settingsKey:"accessibility.signals.notebookCellCompleted"}),vc.notebookCellFailed=vc.register({name:W("accessibilitySignals.notebookCellFailed","Notebook Cell Failed"),sound:If.taskFailed,legacySoundSettingsKey:"audioCues.notebookCellFailed",legacyAnnouncementSettingsKey:"accessibility.alert.notebookCellFailed",announcementMessage:W("accessibility.signals.notebookCellFailed","Notebook Cell Failed"),settingsKey:"accessibility.signals.notebookCellFailed"}),vc.diffLineInserted=vc.register({name:W("accessibilitySignals.diffLineInserted","Diff Line Inserted"),sound:If.diffLineInserted,legacySoundSettingsKey:"audioCues.diffLineInserted",settingsKey:"accessibility.signals.diffLineInserted"}),vc.diffLineDeleted=vc.register({name:W("accessibilitySignals.diffLineDeleted","Diff Line Deleted"),sound:If.diffLineDeleted,legacySoundSettingsKey:"audioCues.diffLineDeleted",settingsKey:"accessibility.signals.diffLineDeleted"}),vc.diffLineModified=vc.register({name:W("accessibilitySignals.diffLineModified","Diff Line Modified"),sound:If.diffLineModified,legacySoundSettingsKey:"audioCues.diffLineModified",settingsKey:"accessibility.signals.diffLineModified"}),vc.chatRequestSent=vc.register({name:W("accessibilitySignals.chatRequestSent","Chat Request Sent"),sound:If.chatRequestSent,legacySoundSettingsKey:"audioCues.chatRequestSent",legacyAnnouncementSettingsKey:"accessibility.alert.chatRequestSent",announcementMessage:W("accessibility.signals.chatRequestSent","Chat Request Sent"),settingsKey:"accessibility.signals.chatRequestSent"}),vc.chatResponseReceived=vc.register({name:W("accessibilitySignals.chatResponseReceived","Chat Response Received"),legacySoundSettingsKey:"audioCues.chatResponseReceived",sound:{randomOneOf:[If.chatResponseReceived1,If.chatResponseReceived2,If.chatResponseReceived3,If.chatResponseReceived4]},settingsKey:"accessibility.signals.chatResponseReceived"}),vc.progress=vc.register({name:W("accessibilitySignals.progress","Progress"),sound:If.progress,legacySoundSettingsKey:"audioCues.chatResponsePending",legacyAnnouncementSettingsKey:"accessibility.alert.progress",announcementMessage:W("accessibility.signals.progress","Progress"),settingsKey:"accessibility.signals.progress"}),vc.clear=vc.register({name:W("accessibilitySignals.clear","Clear"),sound:If.clear,legacySoundSettingsKey:"audioCues.clear",legacyAnnouncementSettingsKey:"accessibility.alert.clear",announcementMessage:W("accessibility.signals.clear","Clear"),settingsKey:"accessibility.signals.clear"}),vc.save=vc.register({name:W("accessibilitySignals.save","Save"),sound:If.save,legacySoundSettingsKey:"audioCues.save",legacyAnnouncementSettingsKey:"accessibility.alert.save",announcementMessage:W("accessibility.signals.save","Save"),settingsKey:"accessibility.signals.save"}),vc.format=vc.register({name:W("accessibilitySignals.format","Format"),sound:If.format,legacySoundSettingsKey:"audioCues.format",legacyAnnouncementSettingsKey:"accessibility.alert.format",announcementMessage:W("accessibility.signals.format","Format"),settingsKey:"accessibility.signals.format"}),vc.voiceRecordingStarted=vc.register({name:W("accessibilitySignals.voiceRecordingStarted","Voice Recording Started"),sound:If.voiceRecordingStarted,legacySoundSettingsKey:"audioCues.voiceRecordingStarted",settingsKey:"accessibility.signals.voiceRecordingStarted"}),vc.voiceRecordingStopped=vc.register({name:W("accessibilitySignals.voiceRecordingStopped","Voice Recording Stopped"),sound:If.voiceRecordingStopped,legacySoundSettingsKey:"audioCues.voiceRecordingStopped",settingsKey:"accessibility.signals.voiceRecordingStopped"});let hw=vc;class swi extends xi{constructor(e,i=[]){super(),this.logger=new Xdi([e,...i]),this._register(e.onDidChangeLogLevel(n=>this.setLevel(n)))}get onDidChangeLogLevel(){return this.logger.onDidChangeLogLevel}setLevel(e){this.logger.setLevel(e)}getLevel(){return this.logger.getLevel()}trace(e,...i){this.logger.trace(e,...i)}debug(e,...i){this.logger.debug(e,...i)}info(e,...i){this.logger.info(e,...i)}warn(e,...i){this.logger.warn(e,...i)}error(e,...i){this.logger.error(e,...i)}}const oIt=[];function NJ(l){oIt.push(l)}function owi(){return oIt.slice(0)}class awi{getParseResult(e){}}var UL=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},$_=function(l,e){return function(i,n){e(i,n,l)}};class cwi{constructor(e){this.disposed=!1,this.model=e,this._onWillDispose=new gi}get textEditorModel(){return this.model}dispose(){this.disposed=!0,this._onWillDispose.fire()}}let HHe=class{constructor(e){this.modelService=e}createModelReference(e){const i=this.modelService.getModel(e);return i?Promise.resolve(new tli(new cwi(i))):Promise.reject(new Error("Model not found"))}};HHe=UL([$_(0,rf)],HHe);const vCe=class vCe{show(){return vCe.NULL_PROGRESS_RUNNER}async showWhile(e,i){await e}};vCe.NULL_PROGRESS_RUNNER={done:()=>{},total:()=>{},worked:()=>{}};let jHe=vCe;class lwi{withProgress(e,i,n){return i({report:()=>{}})}}class uwi{constructor(){this.isExtensionDevelopment=!1,this.isBuilt=!1}}class dwi{async confirm(e){return{confirmed:this.doConfirm(e.message,e.detail),checkboxChecked:!1}}doConfirm(e,i){let n=e;return i&&(n=n+`
+
+`+i),cd.confirm(n)}async prompt(e){var s;let i;if(this.doConfirm(e.message,e.detail)){const c=[...e.buttons??[]];e.cancelButton&&typeof e.cancelButton!="string"&&typeof e.cancelButton!="boolean"&&c.push(e.cancelButton),i=await((s=c[0])==null?void 0:s.run({checkboxChecked:!1}))}return{result:i}}async error(e,i){await this.prompt({type:S_.Error,message:e,detail:i})}}const gne=class gne{info(e){return this.notify({severity:S_.Info,message:e})}warn(e){return this.notify({severity:S_.Warning,message:e})}error(e){return this.notify({severity:S_.Error,message:e})}notify(e){switch(e.severity){case S_.Error:console.error(e.message);break;case S_.Warning:console.warn(e.message);break;default:console.log(e.message);break}return gne.NO_OP}prompt(e,i,n,s){return gne.NO_OP}status(e,i){return xi.None}};gne.NO_OP=new m_i;let zHe=gne,UHe=class{constructor(e){this._onWillExecuteCommand=new gi,this._onDidExecuteCommand=new gi,this.onDidExecuteCommand=this._onDidExecuteCommand.event,this._instantiationService=e}executeCommand(e,...i){const n=fl.getCommand(e);if(!n)return Promise.reject(new Error(`command '${e}' not found`));try{this._onWillExecuteCommand.fire({commandId:e,args:i});const s=this._instantiationService.invokeFunction.apply(this._instantiationService,[n.handler,...i]);return this._onDidExecuteCommand.fire({commandId:e,args:i}),Promise.resolve(s)}catch(s){return Promise.reject(s)}}};UHe=UL([$_(0,ho)],UHe);let U$=class extends P0i{constructor(e,i,n,s,c,d){super(e,i,n,s,c),this._cachedResolver=null,this._dynamicKeybindings=[],this._domNodeListeners=[];const f=P=>{const O=new wn;O.add(en(P,br.KEY_DOWN,z=>{const J=new Id(z);this._dispatch(J,J.target)&&(J.preventDefault(),J.stopPropagation())})),O.add(en(P,br.KEY_UP,z=>{const J=new Id(z);this._singleModifierDispatch(J,J.target)&&J.preventDefault()})),this._domNodeListeners.push(new fwi(P,O))},m=P=>{for(let O=0;O<this._domNodeListeners.length;O++){const z=this._domNodeListeners[O];z.domNode===P&&(this._domNodeListeners.splice(O,1),z.dispose())}},v=P=>{P.getOption(61)||f(P.getContainerDomNode())},x=P=>{P.getOption(61)||m(P.getContainerDomNode())};this._register(d.onCodeEditorAdd(v)),this._register(d.onCodeEditorRemove(x)),d.listCodeEditors().forEach(v);const w=P=>{f(P.getContainerDomNode())},I=P=>{m(P.getContainerDomNode())};this._register(d.onDiffEditorAdd(w)),this._register(d.onDiffEditorRemove(I)),d.listDiffEditors().forEach(w)}addDynamicKeybinding(e,i,n,s){return gT(fl.registerCommand(e,n),this.addDynamicKeybindings([{keybinding:i,command:e,when:s}]))}addDynamicKeybindings(e){const i=e.map(n=>({keybinding:gWe(n.keybinding,UC),command:n.command??null,commandArgs:n.commandArgs,when:n.when,weight1:1e3,weight2:0,extensionId:null,isBuiltinExtension:!1}));return this._dynamicKeybindings=this._dynamicKeybindings.concat(i),this.updateResolver(),fo(()=>{for(let n=0;n<this._dynamicKeybindings.length;n++)if(this._dynamicKeybindings[n]===i[0]){this._dynamicKeybindings.splice(n,i.length),this.updateResolver();return}})}updateResolver(){this._cachedResolver=null,this._onDidUpdateKeybindings.fire()}_getResolver(){if(!this._cachedResolver){const e=this._toNormalizedKeybindingItems(wv.getDefaultKeybindings(),!0),i=this._toNormalizedKeybindingItems(this._dynamicKeybindings,!1);this._cachedResolver=new Iie(e,i,n=>this._log(n))}return this._cachedResolver}_documentHasFocus(){return cd.document.hasFocus()}_toNormalizedKeybindingItems(e,i){const n=[];let s=0;for(const c of e){const d=c.when||void 0,f=c.keybinding;if(!f)n[s++]=new tCt(void 0,c.command,c.commandArgs,d,i,null,!1);else{const m=nre.resolveKeybinding(f,UC);for(const v of m)n[s++]=new tCt(v,c.command,c.commandArgs,d,i,null,!1)}}return n}resolveKeyboardEvent(e){const i=new a6(e.ctrlKey,e.shiftKey,e.altKey,e.metaKey,e.keyCode);return new nre([i],UC)}};U$=UL([$_(0,Ko),$_(1,qd),$_(2,b1),$_(3,w_),$_(4,N0),$_(5,Bl)],U$);class fwi extends xi{constructor(e,i){super(),this.domNode=e,this._register(i)}}function _St(l){return l&&typeof l=="object"&&(!l.overrideIdentifier||typeof l.overrideIdentifier=="string")&&(!l.resource||l.resource instanceof yo)}let mve=class{constructor(e){this.logService=e,this._onDidChangeConfiguration=new gi,this.onDidChangeConfiguration=this._onDidChangeConfiguration.event;const i=new nwi(e);this._configuration=new hwe(i.reload(),r0.createEmptyModel(e),r0.createEmptyModel(e),r0.createEmptyModel(e),r0.createEmptyModel(e),r0.createEmptyModel(e),new vg,r0.createEmptyModel(e),new vg,e),i.dispose()}getValue(e,i){const n=typeof e=="string"?e:void 0,s=_St(e)?e:_St(i)?i:{};return this._configuration.getValue(n,s,void 0)}updateValues(e){const i={data:this._configuration.toData()},n=[];for(const s of e){const[c,d]=s;this.getValue(c)!==d&&(this._configuration.updateValue(c,d),n.push(c))}if(n.length>0){const s=new D0i({keys:n,overrides:[]},i,this._configuration,void 0,this.logService);s.source=8,this._onDidChangeConfiguration.fire(s)}return Promise.resolve()}updateValue(e,i,n,s){return this.updateValues([[e,i]])}inspect(e,i={}){return this._configuration.inspect(e,i,void 0)}};mve=UL([$_(0,N0)],mve);let qHe=class{constructor(e,i,n){this.configurationService=e,this.modelService=i,this.languageService=n,this._onDidChangeConfiguration=new gi,this.configurationService.onDidChangeConfiguration(s=>{this._onDidChangeConfiguration.fire({affectedKeys:s.affectedKeys,affectsConfiguration:(c,d)=>s.affectsConfiguration(d)})})}getValue(e,i,n){const s=pi.isIPosition(i)?i:null,c=s?typeof n=="string"?n:void 0:typeof i=="string"?i:void 0,d=e?this.getLanguage(e,s):void 0;return typeof c>"u"?this.configurationService.getValue({resource:e,overrideIdentifier:d}):this.configurationService.getValue(c,{resource:e,overrideIdentifier:d})}getLanguage(e,i){const n=this.modelService.getModel(e);return n?i?n.getLanguageIdAtPosition(i.lineNumber,i.column):n.getLanguageId():this.languageService.guessLanguageIdByFilepathOrFirstLine(e)}};qHe=UL([$_(0,Cc),$_(1,rf),$_(2,Pf)],qHe);let $He=class{constructor(e){this.configurationService=e}getEOL(e,i){const n=this.configurationService.getValue("files.eol",{overrideIdentifier:i,resource:e});return n&&typeof n=="string"&&n!=="auto"?n:Cv||Hc?`
+`:`\r
+`}};$He=UL([$_(0,Cc)],$He);class hwi{publicLog2(){}}const yne=class yne{constructor(){const e=yo.from({scheme:yne.SCHEME,authority:"model",path:"/"});this.workspace={id:pDt,folders:[new $0i({uri:e,name:"",index:0})]}}getWorkspace(){return this.workspace}getWorkspaceFolder(e){return e&&e.scheme===yne.SCHEME?this.workspace.folders[0]:null}};yne.SCHEME="inmemory";let JHe=yne;function gve(l,e,i){if(!e||!(l instanceof mve))return;const n=[];Object.keys(e).forEach(s=>{S0i(s)&&n.push([`editor.${s}`,e[s]]),i&&w0i(s)&&n.push([`diffEditor.${s}`,e[s]])}),n.length>0&&l.updateValues(n)}let GHe=class{constructor(e){this._modelService=e}hasPreviewHandler(){return!1}async apply(e,i){const n=Array.isArray(e)?e:eJe.convert(e),s=new Map;for(const f of n){if(!(f instanceof GM))throw new Error("bad edit - only text edits are supported");const m=this._modelService.getModel(f.resource);if(!m)throw new Error("bad edit - model not found");if(typeof f.versionId=="number"&&m.getVersionId()!==f.versionId)throw new Error("bad state - model changed in the meantime");let v=s.get(m);v||(v=[],s.set(m,v)),v.push(eh.replaceMove(nt.lift(f.textEdit.range),f.textEdit.text))}let c=0,d=0;for(const[f,m]of s)f.pushStackElement(),f.pushEditOperations([],m,()=>[]),f.pushStackElement(),d+=1,c+=m.length;return{ariaSummary:SB(AVe.bulkEditServiceSummary,c,d),isApplied:c>0}}};GHe=UL([$_(0,rf)],GHe);class _wi{getUriLabel(e,i){return e.scheme==="file"?e.fsPath:e.path}getUriBasenameLabel(e){return wk(e)}}let KHe=class extends fDt{constructor(e,i){super(e),this._codeEditorService=i}showContextView(e,i,n){if(!i){const s=this._codeEditorService.getFocusedCodeEditor()||this._codeEditorService.getActiveCodeEditor();s&&(i=s.getContainerDomNode())}return super.showContextView(e,i,n)}};KHe=UL([$_(0,FR),$_(1,Bl)],KHe);class pwi{constructor(){this._neverEmitter=new gi,this.onDidChangeTrust=this._neverEmitter.event}isWorkspaceTrusted(){return!0}}class mwi extends WVe{constructor(){super()}}class gwi extends swi{constructor(){super(new Kdi)}}let XHe=class extends KVe{constructor(e,i,n,s,c,d){super(e,i,n,s,c,d),this.configure({blockMouse:!1})}};XHe=UL([$_(0,b1),$_(1,w_),$_(2,b6),$_(3,pu),$_(4,Lw),$_(5,Ko)],XHe);const QHe={amdModuleId:"vs/editor/common/services/editorSimpleWorker",esmModuleLocation:void 0,label:"editorWorkerService"};let ZHe=class extends qWe{constructor(e,i,n,s,c){super(QHe,e,i,n,s,c)}};ZHe=UL([$_(0,rf),$_(1,XSe),$_(2,N0),$_(3,rh),$_(4,Ts)],ZHe);class ywi{async playSignal(e,i){}}nl(N0,gwi,0);nl(Cc,mve,0);nl(XSe,qHe,0);nl(uTt,$He,0);nl(LB,JHe,0);nl(F$,_wi,0);nl(b1,hwi,0);nl(gse,dwi,0);nl(D$e,uwi,0);nl(w_,zHe,0);nl(zL,_M,0);nl(Pf,mwi,0);nl(Mw,WSi,0);nl(rf,lHe,0);nl(lJe,eHe,0);nl(Ko,VHe,0);nl(_Dt,lwi,0);nl(WR,jHe,0);nl(ET,syi,0);nl(Fb,ZHe,0);nl(Sse,GHe,0);nl(mDt,pwi,0);nl(Ob,HHe,0);nl(tS,AHe,0);nl(Ik,ZCi,0);nl(qd,UHe,0);nl(pu,U$,0);nl(Ek,NHe,0);nl(b6,KHe,0);nl(Rb,YVe,0);nl(w6,BHe,0);nl(eS,XHe,0);nl(Lw,OHe,0);nl(jR,ywi,0);nl(hEt,awi,0);var oa;(function(l){const e=new IJ;for(const[m,v]of Dvt())e.set(m,v);const i=new pve(e,!0);e.set(ho,i);function n(m){s||d({});const v=e.get(m);if(!v)throw new Error("Missing service "+m);return v instanceof wE?i.invokeFunction(x=>x.get(m)):v}l.get=n;let s=!1;const c=new gi;function d(m){if(s)return i;s=!0;for(const[x,w]of Dvt())e.get(x)||e.set(x,w);for(const x in m)if(m.hasOwnProperty(x)){const w=jc(x);e.get(w)instanceof wE&&e.set(w,m[x])}const v=owi();for(const x of v)try{i.createInstance(x)}catch(w){Pa(w)}return c.fire(),i}l.initialize=d;function f(m){if(s)return m();const v=new wn,x=v.add(c.event(()=>{x.dispose(),v.add(m())}));return v}l.withServices=f})(oa||(oa={}));function vwi(l,e){return new bwi(l,e)}class bwi extends bye{constructor(e,i){const n={amdModuleId:QHe.amdModuleId,esmModuleLocation:QHe.esmModuleLocation,label:i.label};super(n,i.keepIdleModels||!1,e),this._foreignModuleId=i.moduleId,this._foreignModuleCreateData=i.createData||null,this._foreignModuleHost=i.host||null,this._foreignProxy=null}fhr(e,i){if(!this._foreignModuleHost||typeof this._foreignModuleHost[e]!="function")return Promise.reject(new Error("Missing method "+e+" or missing main thread foreign host."));try{return Promise.resolve(this._foreignModuleHost[e].apply(this._foreignModuleHost,i))}catch(n){return Promise.reject(n)}}_getForeignProxy(){return this._foreignProxy||(this._foreignProxy=this._getProxy().then(e=>{const i=this._foreignModuleHost?lBe(this._foreignModuleHost):[];return e.$loadForeignModule(this._foreignModuleId,this._foreignModuleCreateData,i).then(n=>{this._foreignModuleCreateData=null;const s=(f,m)=>e.$fmr(f,m),c=(f,m)=>function(){const v=Array.prototype.slice.call(arguments,0);return m(f,v)},d={};for(const f of n)d[f]=c(f,s);return d})})),this._foreignProxy}getProxy(){return this._getForeignProxy()}withSyncedResources(e){return this.workerWithSyncedResources(e).then(i=>this.getProxy())}}const Ase={ICodeEditor:"vs.editor.ICodeEditor",IDiffEditor:"vs.editor.IDiffEditor"};class mv{constructor(e,i,n,s){this.startColumn=e,this.endColumn=i,this.className=n,this.type=s,this._lineDecorationBrand=void 0}static _equals(e,i){return e.startColumn===i.startColumn&&e.endColumn===i.endColumn&&e.className===i.className&&e.type===i.type}static equalsArr(e,i){const n=e.length,s=i.length;if(n!==s)return!1;for(let c=0;c<n;c++)if(!mv._equals(e[c],i[c]))return!1;return!0}static extractWrapped(e,i,n){if(e.length===0)return e;const s=i+1,c=n+1,d=n-i,f=[];let m=0;for(const v of e)v.endColumn<=s||v.startColumn>=c||(f[m++]=new mv(Math.max(1,v.startColumn-s+1),Math.min(d+1,v.endColumn-s+1),v.className,v.type));return f}static filter(e,i,n,s){if(e.length===0)return[];const c=[];let d=0;for(let f=0,m=e.length;f<m;f++){const v=e[f],x=v.range;if(x.endLineNumber<i||x.startLineNumber>i||x.isEmpty()&&(v.type===0||v.type===3))continue;const w=x.startLineNumber===i?x.startColumn:n,I=x.endLineNumber===i?x.endColumn:s;c[d++]=new mv(w,I,v.inlineClassName,v.type)}return c}static _typeCompare(e,i){const n=[2,0,1,3];return n[e]-n[i]}static compare(e,i){if(e.startColumn!==i.startColumn)return e.startColumn-i.startColumn;if(e.endColumn!==i.endColumn)return e.endColumn-i.endColumn;const n=mv._typeCompare(e.type,i.type);return n!==0?n:e.className!==i.className?e.className<i.className?-1:1:0}}class pSt{constructor(e,i,n,s){this.startOffset=e,this.endOffset=i,this.className=n,this.metadata=s}}class yve{constructor(){this.stopOffsets=[],this.classNames=[],this.metadata=[],this.count=0}static _metadata(e){let i=0;for(let n=0,s=e.length;n<s;n++)i|=e[n];return i}consumeLowerThan(e,i,n){for(;this.count>0&&this.stopOffsets[0]<e;){let s=0;for(;s+1<this.count&&this.stopOffsets[s]===this.stopOffsets[s+1];)s++;n.push(new pSt(i,this.stopOffsets[s],this.classNames.join(" "),yve._metadata(this.metadata))),i=this.stopOffsets[s]+1,this.stopOffsets.splice(0,s+1),this.classNames.splice(0,s+1),this.metadata.splice(0,s+1),this.count-=s+1}return this.count>0&&i<e&&(n.push(new pSt(i,e-1,this.classNames.join(" "),yve._metadata(this.metadata))),i=e),i}insert(e,i,n){if(this.count===0||this.stopOffsets[this.count-1]<=e)this.stopOffsets.push(e),this.classNames.push(i),this.metadata.push(n);else for(let s=0;s<this.count;s++)if(this.stopOffsets[s]>=e){this.stopOffsets.splice(s,0,e),this.classNames.splice(s,0,i),this.metadata.splice(s,0,n);break}this.count++}}class Cwi{static normalize(e,i){if(i.length===0)return[];const n=[],s=new yve;let c=0;for(let d=0,f=i.length;d<f;d++){const m=i[d];let v=m.startColumn,x=m.endColumn;const w=m.className,I=m.type===1?2:m.type===2?4:0;if(v>1){const z=e.charCodeAt(v-2);Pm(z)&&v--}if(x>1){const z=e.charCodeAt(x-2);Pm(z)&&x--}const P=v-1,O=x-2;c=s.consumeLowerThan(P,c,n),s.count===0&&(c=P),s.insert(O,w,I)}return s.consumeLowerThan(1073741824,c,n),n}}class mg{constructor(e,i,n,s){this.endIndex=e,this.type=i,this.metadata=n,this.containsRTL=s,this._linePartBrand=void 0}isWhitespace(){return!!(this.metadata&1)}isPseudoAfter(){return!!(this.metadata&4)}}class aIt{constructor(e,i){this.startOffset=e,this.endOffset=i}equals(e){return this.startOffset===e.startOffset&&this.endOffset===e.endOffset}}class zR{constructor(e,i,n,s,c,d,f,m,v,x,w,I,P,O,z,J,Y,ae,me){this.useMonospaceOptimizations=e,this.canUseHalfwidthRightwardsArrow=i,this.lineContent=n,this.continuesWithWrappedLine=s,this.isBasicASCII=c,this.containsRTL=d,this.fauxIndentLength=f,this.lineTokens=m,this.lineDecorations=v.sort(mv.compare),this.tabSize=x,this.startVisibleColumn=w,this.spaceWidth=I,this.stopRenderingLineAfter=z,this.renderWhitespace=J==="all"?4:J==="boundary"?1:J==="selection"?2:J==="trailing"?3:0,this.renderControlCharacters=Y,this.fontLigatures=ae,this.selectionsOnLine=me&&me.sort((Fe,rt)=>Fe.startOffset<rt.startOffset?-1:1);const ye=Math.abs(O-I),Ce=Math.abs(P-I);ye<Ce?(this.renderSpaceWidth=O,this.renderSpaceCharCode=11825):(this.renderSpaceWidth=P,this.renderSpaceCharCode=183)}sameSelection(e){if(this.selectionsOnLine===null)return e===null;if(e===null||e.length!==this.selectionsOnLine.length)return!1;for(let i=0;i<this.selectionsOnLine.length;i++)if(!this.selectionsOnLine[i].equals(e[i]))return!1;return!0}equals(e){return this.useMonospaceOptimizations===e.useMonospaceOptimizations&&this.canUseHalfwidthRightwardsArrow===e.canUseHalfwidthRightwardsArrow&&this.lineContent===e.lineContent&&this.continuesWithWrappedLine===e.continuesWithWrappedLine&&this.isBasicASCII===e.isBasicASCII&&this.containsRTL===e.containsRTL&&this.fauxIndentLength===e.fauxIndentLength&&this.tabSize===e.tabSize&&this.startVisibleColumn===e.startVisibleColumn&&this.spaceWidth===e.spaceWidth&&this.renderSpaceWidth===e.renderSpaceWidth&&this.renderSpaceCharCode===e.renderSpaceCharCode&&this.stopRenderingLineAfter===e.stopRenderingLineAfter&&this.renderWhitespace===e.renderWhitespace&&this.renderControlCharacters===e.renderControlCharacters&&this.fontLigatures===e.fontLigatures&&mv.equalsArr(this.lineDecorations,e.lineDecorations)&&this.lineTokens.equals(e.lineTokens)&&this.sameSelection(e.selectionsOnLine)}}class cIt{constructor(e,i){this.partIndex=e,this.charIndex=i}}class hL{static getPartIndex(e){return(e&4294901760)>>>16}static getCharIndex(e){return(e&65535)>>>0}constructor(e,i){this.length=e,this._data=new Uint32Array(this.length),this._horizontalOffset=new Uint32Array(this.length)}setColumnInfo(e,i,n,s){const c=(i<<16|n<<0)>>>0;this._data[e-1]=c,this._horizontalOffset[e-1]=s}getHorizontalOffset(e){return this._horizontalOffset.length===0?0:this._horizontalOffset[e-1]}charOffsetToPartData(e){return this.length===0?0:e<0?this._data[0]:e>=this.length?this._data[this.length-1]:this._data[e]}getDomPosition(e){const i=this.charOffsetToPartData(e-1),n=hL.getPartIndex(i),s=hL.getCharIndex(i);return new cIt(n,s)}getColumn(e,i){return this.partDataToCharOffset(e.partIndex,i,e.charIndex)+1}partDataToCharOffset(e,i,n){if(this.length===0)return 0;const s=(e<<16|n<<0)>>>0;let c=0,d=this.length-1;for(;c+1<d;){const z=c+d>>>1,J=this._data[z];if(J===s)return z;J>s?d=z:c=z}if(c===d)return c;const f=this._data[c],m=this._data[d];if(f===s)return c;if(m===s)return d;const v=hL.getPartIndex(f),x=hL.getCharIndex(f),w=hL.getPartIndex(m);let I;v!==w?I=i:I=hL.getCharIndex(m);const P=n-x,O=I-n;return P<=O?c:d}}class YHe{constructor(e,i,n){this._renderLineOutputBrand=void 0,this.characterMapping=e,this.containsRTL=i,this.containsForeignElements=n}}function Ose(l,e){if(l.lineContent.length===0){if(l.lineDecorations.length>0){e.appendString("<span>");let i=0,n=0,s=0;for(const d of l.lineDecorations)(d.type===1||d.type===2)&&(e.appendString('<span class="'),e.appendString(d.className),e.appendString('"></span>'),d.type===1&&(s|=1,i++),d.type===2&&(s|=2,n++));e.appendString("</span>");const c=new hL(1,i+n);return c.setColumnInfo(1,i,0,0),new YHe(c,!1,s)}return e.appendString("<span><span></span></span>"),new YHe(new hL(0,0),!1,0)}return Nwi(xwi(l),e)}class Swi{constructor(e,i,n,s){this.characterMapping=e,this.html=i,this.containsRTL=n,this.containsForeignElements=s}}function Nwe(l){const e=new gJ(1e4),i=Ose(l,e);return new Swi(i.characterMapping,e.build(),i.containsRTL,i.containsForeignElements)}class wwi{constructor(e,i,n,s,c,d,f,m,v,x,w,I,P,O,z,J){this.fontIsMonospace=e,this.canUseHalfwidthRightwardsArrow=i,this.lineContent=n,this.len=s,this.isOverflowing=c,this.overflowingCharCount=d,this.parts=f,this.containsForeignElements=m,this.fauxIndentLength=v,this.tabSize=x,this.startVisibleColumn=w,this.containsRTL=I,this.spaceWidth=P,this.renderSpaceCharCode=O,this.renderWhitespace=z,this.renderControlCharacters=J}}function xwi(l){const e=l.lineContent;let i,n,s;l.stopRenderingLineAfter!==-1&&l.stopRenderingLineAfter<e.length?(i=!0,n=e.length-l.stopRenderingLineAfter,s=l.stopRenderingLineAfter):(i=!1,n=0,s=e.length);let c=kwi(e,l.containsRTL,l.lineTokens,l.fauxIndentLength,s);l.renderControlCharacters&&!l.isBasicASCII&&(c=Dwi(e,c)),(l.renderWhitespace===4||l.renderWhitespace===1||l.renderWhitespace===2&&l.selectionsOnLine||l.renderWhitespace===3&&!l.continuesWithWrappedLine)&&(c=Ewi(l,e,s,c));let d=0;if(l.lineDecorations.length>0){for(let f=0,m=l.lineDecorations.length;f<m;f++){const v=l.lineDecorations[f];v.type===3||v.type===1?d|=1:v.type===2&&(d|=2)}c=Iwi(e,s,c,l.lineDecorations)}return l.containsRTL||(c=Twi(e,c,!l.isBasicASCII||l.fontLigatures)),new wwi(l.useMonospaceOptimizations,l.canUseHalfwidthRightwardsArrow,e,s,i,n,c,d,l.fauxIndentLength,l.tabSize,l.startVisibleColumn,l.containsRTL,l.spaceWidth,l.renderSpaceCharCode,l.renderWhitespace,l.renderControlCharacters)}function kwi(l,e,i,n,s){const c=[];let d=0;n>0&&(c[d++]=new mg(n,"",0,!1));let f=n;for(let m=0,v=i.getCount();m<v;m++){const x=i.getEndOffset(m);if(x<=n)continue;const w=i.getClassName(m);if(x>=s){const P=e?k$(l.substring(f,s)):!1;c[d++]=new mg(s,w,0,P);break}const I=e?k$(l.substring(f,x)):!1;c[d++]=new mg(x,w,0,I),f=x}return c}function Twi(l,e,i){let n=0;const s=[];let c=0;if(i)for(let d=0,f=e.length;d<f;d++){const m=e[d],v=m.endIndex;if(n+50<v){const x=m.type,w=m.metadata,I=m.containsRTL;let P=-1,O=n;for(let z=n;z<v;z++)l.charCodeAt(z)===32&&(P=z),P!==-1&&z-O>=50&&(s[c++]=new mg(P+1,x,w,I),O=P+1,P=-1);O!==v&&(s[c++]=new mg(v,x,w,I))}else s[c++]=m;n=v}else for(let d=0,f=e.length;d<f;d++){const m=e[d],v=m.endIndex,x=v-n;if(x>50){const w=m.type,I=m.metadata,P=m.containsRTL,O=Math.ceil(x/50);for(let z=1;z<O;z++){const J=n+z*50;s[c++]=new mg(J,w,I,P)}s[c++]=new mg(v,w,I,P)}else s[c++]=m;n=v}return s}function lIt(l){return l<32?l!==9:l===127||l>=8234&&l<=8238||l>=8294&&l<=8297||l>=8206&&l<=8207||l===1564}function Dwi(l,e){const i=[];let n=new mg(0,"",0,!1),s=0;for(const c of e){const d=c.endIndex;for(;s<d;s++){const f=l.charCodeAt(s);lIt(f)&&(s>n.endIndex&&(n=new mg(s,c.type,c.metadata,c.containsRTL),i.push(n)),n=new mg(s+1,"mtkcontrol",c.metadata,!1),i.push(n))}s>n.endIndex&&(n=new mg(d,c.type,c.metadata,c.containsRTL),i.push(n))}return i}function Ewi(l,e,i,n){const s=l.continuesWithWrappedLine,c=l.fauxIndentLength,d=l.tabSize,f=l.startVisibleColumn,m=l.useMonospaceOptimizations,v=l.selectionsOnLine,x=l.renderWhitespace===1,w=l.renderWhitespace===3,I=l.renderSpaceWidth!==l.spaceWidth,P=[];let O=0,z=0,J=n[z].type,Y=n[z].containsRTL,ae=n[z].endIndex;const me=n.length;let ye=!1,Ce=Ty(e),Fe;Ce===-1?(ye=!0,Ce=i,Fe=i):Fe=ME(e);let rt=!1,ct=0,Mt=v&&v[ct],Yt=f%d;for(let $i=c;$i<i;$i++){const $t=e.charCodeAt($i);Mt&&$i>=Mt.endOffset&&(ct++,Mt=v&&v[ct]);let Hi;if($i<Ce||$i>Fe)Hi=!0;else if($t===9)Hi=!0;else if($t===32)if(x)if(rt)Hi=!0;else{const An=$i+1<i?e.charCodeAt($i+1):0;Hi=An===32||An===9}else Hi=!0;else Hi=!1;if(Hi&&v&&(Hi=!!Mt&&Mt.startOffset<=$i&&Mt.endOffset>$i),Hi&&w&&(Hi=ye||$i>Fe),Hi&&Y&&$i>=Ce&&$i<=Fe&&(Hi=!1),rt){if(!Hi||!m&&Yt>=d){if(I){const An=O>0?P[O-1].endIndex:c;for(let qt=An+1;qt<=$i;qt++)P[O++]=new mg(qt,"mtkw",1,!1)}else P[O++]=new mg($i,"mtkw",1,!1);Yt=Yt%d}}else($i===ae||Hi&&$i>c)&&(P[O++]=new mg($i,J,0,Y),Yt=Yt%d);for($t===9?Yt=d:bR($t)?Yt+=2:Yt++,rt=Hi;$i===ae&&(z++,z<me);)J=n[z].type,Y=n[z].containsRTL,ae=n[z].endIndex}let Bi=!1;if(rt)if(s&&x){const $i=i>0?e.charCodeAt(i-1):0,$t=i>1?e.charCodeAt(i-2):0;$i===32&&$t!==32&&$t!==9||(Bi=!0)}else Bi=!0;if(Bi)if(I){const $i=O>0?P[O-1].endIndex:c;for(let $t=$i+1;$t<=i;$t++)P[O++]=new mg($t,"mtkw",1,!1)}else P[O++]=new mg(i,"mtkw",1,!1);else P[O++]=new mg(i,J,0,Y);return P}function Iwi(l,e,i,n){n.sort(mv.compare);const s=Cwi.normalize(l,n),c=s.length;let d=0;const f=[];let m=0,v=0;for(let w=0,I=i.length;w<I;w++){const P=i[w],O=P.endIndex,z=P.type,J=P.metadata,Y=P.containsRTL;for(;d<c&&s[d].startOffset<O;){const ae=s[d];if(ae.startOffset>v&&(v=ae.startOffset,f[m++]=new mg(v,z,J,Y)),ae.endOffset+1<=O)v=ae.endOffset+1,f[m++]=new mg(v,z+" "+ae.className,J|ae.metadata,Y),d++;else{v=O,f[m++]=new mg(v,z+" "+ae.className,J|ae.metadata,Y);break}}O>v&&(v=O,f[m++]=new mg(v,z,J,Y))}const x=i[i.length-1].endIndex;if(d<c&&s[d].startOffset===x)for(;d<c&&s[d].startOffset===x;){const w=s[d];f[m++]=new mg(v,w.className,w.metadata,!1),d++}return f}function Nwi(l,e){const i=l.fontIsMonospace,n=l.canUseHalfwidthRightwardsArrow,s=l.containsForeignElements,c=l.lineContent,d=l.len,f=l.isOverflowing,m=l.overflowingCharCount,v=l.parts,x=l.fauxIndentLength,w=l.tabSize,I=l.startVisibleColumn,P=l.containsRTL,O=l.spaceWidth,z=l.renderSpaceCharCode,J=l.renderWhitespace,Y=l.renderControlCharacters,ae=new hL(d+1,v.length);let me=!1,ye=0,Ce=I,Fe=0,rt=0,ct=0;P?e.appendString('<span dir="ltr">'):e.appendString("<span>");for(let Mt=0,Yt=v.length;Mt<Yt;Mt++){const Bi=v[Mt],$i=Bi.endIndex,$t=Bi.type,Hi=Bi.containsRTL,An=J!==0&&Bi.isWhitespace(),qt=An&&!i&&($t==="mtkw"||!s),ms=ye===$i&&Bi.isPseudoAfter();if(Fe=0,e.appendString("<span "),Hi&&e.appendString('style="unicode-bidi:isolate" '),e.appendString('class="'),e.appendString(qt?"mtkz":$t),e.appendASCIICharCode(34),An){let Wr=0;{let Dr=ye,Rr=Ce;for(;Dr<$i;Dr++){const $d=(c.charCodeAt(Dr)===9?w-Rr%w:1)|0;Wr+=$d,Dr>=x&&(Rr+=$d)}}for(qt&&(e.appendString(' style="width:'),e.appendString(String(O*Wr)),e.appendString('px"')),e.appendASCIICharCode(62);ye<$i;ye++){ae.setColumnInfo(ye+1,Mt-ct,Fe,rt),ct=0;const Dr=c.charCodeAt(ye);let Rr,na;if(Dr===9){Rr=w-Ce%w|0,na=Rr,!n||na>1?e.appendCharCode(8594):e.appendCharCode(65515);for(let $d=2;$d<=na;$d++)e.appendCharCode(160)}else Rr=2,na=1,e.appendCharCode(z),e.appendCharCode(8204);Fe+=Rr,rt+=na,ye>=x&&(Ce+=na)}}else for(e.appendASCIICharCode(62);ye<$i;ye++){ae.setColumnInfo(ye+1,Mt-ct,Fe,rt),ct=0;const Wr=c.charCodeAt(ye);let Dr=1,Rr=1;switch(Wr){case 9:Dr=w-Ce%w,Rr=Dr;for(let na=1;na<=Dr;na++)e.appendCharCode(160);break;case 32:e.appendCharCode(160);break;case 60:e.appendString("&lt;");break;case 62:e.appendString("&gt;");break;case 38:e.appendString("&amp;");break;case 0:Y?e.appendCharCode(9216):e.appendString("&#00;");break;case 65279:case 8232:case 8233:case 133:e.appendCharCode(65533);break;default:bR(Wr)&&Rr++,Y&&Wr<32?e.appendCharCode(9216+Wr):Y&&Wr===127?e.appendCharCode(9249):Y&&lIt(Wr)?(e.appendString("[U+"),e.appendString(Lwi(Wr)),e.appendString("]"),Dr=8,Rr=Dr):e.appendCharCode(Wr)}Fe+=Dr,rt+=Rr,ye>=x&&(Ce+=Rr)}ms?ct++:ct=0,ye>=d&&!me&&Bi.isPseudoAfter()&&(me=!0,ae.setColumnInfo(ye+1,Mt,Fe,rt)),e.appendString("</span>")}return me||ae.setColumnInfo(d+1,v.length-1,Fe,rt),f&&(e.appendString('<span class="mtkoverflow">'),e.appendString(W("showMore","Show more ({0})",Pwi(m))),e.appendString("</span>")),e.appendString("</span>"),new YHe(ae,P,s)}function Lwi(l){return l.toString(16).toUpperCase().padStart(4,"0")}function Pwi(l){return l<1024?W("overflow.chars","{0} chars",l):l<1024*1024?`${(l/1024).toFixed(1)} KB`:`${(l/1024/1024).toFixed(1)} MB`}class mSt{constructor(e,i,n,s){this._viewportBrand=void 0,this.top=e|0,this.left=i|0,this.width=n|0,this.height=s|0}}class Awi{constructor(e,i){this.tabSize=e,this.data=i}}class jJe{constructor(e,i,n,s,c,d,f){this._viewLineDataBrand=void 0,this.content=e,this.continuesWithWrappedLine=i,this.minColumn=n,this.maxColumn=s,this.startVisibleColumn=c,this.tokens=d,this.inlineDecorations=f}}class Iw{constructor(e,i,n,s,c,d,f,m,v,x){this.minColumn=e,this.maxColumn=i,this.content=n,this.continuesWithWrappedLine=s,this.isBasicASCII=Iw.isBasicASCII(n,d),this.containsRTL=Iw.containsRTL(n,this.isBasicASCII,c),this.tokens=f,this.inlineDecorations=m,this.tabSize=v,this.startVisibleColumn=x}static isBasicASCII(e,i){return i?fse(e):!0}static containsRTL(e,i,n){return!i&&n?k$(e):!1}}class Hie{constructor(e,i,n){this.range=e,this.inlineClassName=i,this.type=n}}class Owi{constructor(e,i,n,s){this.startOffset=e,this.endOffset=i,this.inlineClassName=n,this.inlineClassNameAffectsLetterSpacing=s}toInlineDecoration(e){return new Hie(new nt(e,this.startOffset+1,e,this.endOffset+1),this.inlineClassName,this.inlineClassNameAffectsLetterSpacing?3:0)}}class uIt{constructor(e,i){this._viewModelDecorationBrand=void 0,this.range=e,this.options=i}}class yre{constructor(e,i,n){this.color=e,this.zIndex=i,this.data=n}static compareByRenderingProps(e,i){return e.zIndex===i.zIndex?e.color<i.color?-1:e.color>i.color?1:0:e.zIndex-i.zIndex}static equals(e,i){return e.color===i.color&&e.zIndex===i.zIndex&&Lf(e.data,i.data)}static equalsArr(e,i){return Lf(e,i,yre.equals)}}function Mwi(l){return Array.isArray(l)}function Rwi(l){return!Mwi(l)}function dIt(l){return typeof l=="string"}function gSt(l){return!dIt(l)}function qF(l){return!l}function e6(l,e){return l.ignoreCase&&e?e.toLowerCase():e}function ySt(l){return l.replace(/[&<>'"_]/g,"-")}function Fwi(l,e){console.log(`${l.languageId}: ${e}`)}function mf(l,e){return new Error(`${l.languageId}: ${e}`)}function xM(l,e,i,n,s){const c=/\$((\$)|(#)|(\d\d?)|[sS](\d\d?)|@(\w+))/g;let d=null;return e.replace(c,function(f,m,v,x,w,I,P,O,z){return qF(v)?qF(x)?!qF(w)&&w<n.length?e6(l,n[w]):!qF(P)&&l&&typeof l[P]=="string"?l[P]:(d===null&&(d=s.split("."),d.unshift(s)),!qF(I)&&I<d.length?e6(l,d[I]):""):e6(l,i):"$"})}function Bwi(l,e,i){const n=/\$[sS](\d\d?)/g;let s=null;return e.replace(n,function(c,d){return s===null&&(s=i.split("."),s.unshift(i)),!qF(d)&&d<s.length?e6(l,s[d]):""})}function C0e(l,e){let i=e;for(;i&&i.length>0;){const n=l.tokenizer[i];if(n)return n;const s=i.lastIndexOf(".");s<0?i=null:i=i.substr(0,s)}return null}function Wwi(l,e){let i=e;for(;i&&i.length>0;){if(l.stateNames[i])return!0;const s=i.lastIndexOf(".");s<0?i=null:i=i.substr(0,s)}return!1}var Vwi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Hwi=function(l,e){return function(i,n){e(i,n,l)}},eje;const fIt=5,bCe=class bCe{static create(e,i){return this._INSTANCE.create(e,i)}constructor(e){this._maxCacheDepth=e,this._entries=Object.create(null)}create(e,i){if(e!==null&&e.depth>=this._maxCacheDepth)return new t$(e,i);let n=t$.getStackElementId(e);n.length>0&&(n+="|"),n+=i;let s=this._entries[n];return s||(s=new t$(e,i),this._entries[n]=s,s)}};bCe._INSTANCE=new bCe(fIt);let vre=bCe;class t${constructor(e,i){this.parent=e,this.state=i,this.depth=(this.parent?this.parent.depth:0)+1}static getStackElementId(e){let i="";for(;e!==null;)i.length>0&&(i+="|"),i+=e.state,e=e.parent;return i}static _equals(e,i){for(;e!==null&&i!==null;){if(e===i)return!0;if(e.state!==i.state)return!1;e=e.parent,i=i.parent}return e===null&&i===null}equals(e){return t$._equals(this,e)}push(e){return vre.create(this,e)}pop(){return this.parent}popall(){let e=this;for(;e.parent;)e=e.parent;return e}switchTo(e){return vre.create(this.parent,e)}}class uq{constructor(e,i){this.languageId=e,this.state=i}equals(e){return this.languageId===e.languageId&&this.state.equals(e.state)}clone(){return this.state.clone()===this.state?this:new uq(this.languageId,this.state)}}const CCe=class CCe{static create(e,i){return this._INSTANCE.create(e,i)}constructor(e){this._maxCacheDepth=e,this._entries=Object.create(null)}create(e,i){if(i!==null)return new jie(e,i);if(e!==null&&e.depth>=this._maxCacheDepth)return new jie(e,i);const n=t$.getStackElementId(e);let s=this._entries[n];return s||(s=new jie(e,null),this._entries[n]=s,s)}};CCe._INSTANCE=new CCe(fIt);let kM=CCe;class jie{constructor(e,i){this.stack=e,this.embeddedLanguageData=i}clone(){return(this.embeddedLanguageData?this.embeddedLanguageData.clone():null)===this.embeddedLanguageData?this:kM.create(this.stack,this.embeddedLanguageData)}equals(e){return!(e instanceof jie)||!this.stack.equals(e.stack)?!1:this.embeddedLanguageData===null&&e.embeddedLanguageData===null?!0:this.embeddedLanguageData===null||e.embeddedLanguageData===null?!1:this.embeddedLanguageData.equals(e.embeddedLanguageData)}}class jwi{constructor(){this._tokens=[],this._languageId=null,this._lastTokenType=null,this._lastTokenLanguage=null}enterLanguage(e){this._languageId=e}emit(e,i){this._lastTokenType===i&&this._lastTokenLanguage===this._languageId||(this._lastTokenType=i,this._lastTokenLanguage=this._languageId,this._tokens.push(new Pne(e,i,this._languageId)))}nestedLanguageTokenize(e,i,n,s){const c=n.languageId,d=n.state,f=Oh.get(c);if(!f)return this.enterLanguage(c),this.emit(s,""),d;const m=f.tokenize(e,i,d);if(s!==0)for(const v of m.tokens)this._tokens.push(new Pne(v.offset+s,v.type,v.language));else this._tokens=this._tokens.concat(m.tokens);return this._lastTokenType=null,this._lastTokenLanguage=null,this._languageId=null,m.endState}finalize(e){return new Qqe(this._tokens,e)}}class vve{constructor(e,i){this._languageService=e,this._theme=i,this._prependTokens=null,this._tokens=[],this._currentLanguageId=0,this._lastTokenMetadata=0}enterLanguage(e){this._currentLanguageId=this._languageService.languageIdCodec.encodeLanguageId(e)}emit(e,i){const n=this._theme.match(this._currentLanguageId,i)|1024;this._lastTokenMetadata!==n&&(this._lastTokenMetadata=n,this._tokens.push(e),this._tokens.push(n))}static _merge(e,i,n){const s=e!==null?e.length:0,c=i.length,d=n!==null?n.length:0;if(s===0&&c===0&&d===0)return new Uint32Array(0);if(s===0&&c===0)return n;if(c===0&&d===0)return e;const f=new Uint32Array(s+c+d);e!==null&&f.set(e);for(let m=0;m<c;m++)f[s+m]=i[m];return n!==null&&f.set(n,s+c),f}nestedLanguageTokenize(e,i,n,s){const c=n.languageId,d=n.state,f=Oh.get(c);if(!f)return this.enterLanguage(c),this.emit(s,""),d;const m=f.tokenizeEncoded(e,i,d);if(s!==0)for(let v=0,x=m.tokens.length;v<x;v+=2)m.tokens[v]+=s;return this._prependTokens=vve._merge(this._prependTokens,this._tokens,m.tokens),this._tokens=[],this._currentLanguageId=0,this._lastTokenMetadata=0,m.endState}finalize(e){return new ISe(vve._merge(this._prependTokens,this._tokens,null),e)}}let bre=eje=class extends xi{constructor(e,i,n,s,c){super(),this._configurationService=c,this._languageService=e,this._standaloneThemeService=i,this._languageId=n,this._lexer=s,this._embeddedLanguages=Object.create(null),this.embeddedLoaded=Promise.resolve(void 0);let d=!1;this._register(Oh.onDidChange(f=>{if(d)return;let m=!1;for(let v=0,x=f.changedLanguages.length;v<x;v++){const w=f.changedLanguages[v];if(this._embeddedLanguages[w]){m=!0;break}}m&&(d=!0,Oh.handleChange([this._languageId]),d=!1)})),this._maxTokenizationLineLength=this._configurationService.getValue("editor.maxTokenizationLineLength",{overrideIdentifier:this._languageId}),this._register(this._configurationService.onDidChangeConfiguration(f=>{f.affectsConfiguration("editor.maxTokenizationLineLength")&&(this._maxTokenizationLineLength=this._configurationService.getValue("editor.maxTokenizationLineLength",{overrideIdentifier:this._languageId}))}))}getLoadStatus(){const e=[];for(const i in this._embeddedLanguages){const n=Oh.get(i);if(n){if(n instanceof eje){const s=n.getLoadStatus();s.loaded===!1&&e.push(s.promise)}continue}Oh.isResolved(i)||e.push(Oh.getOrCreate(i))}return e.length===0?{loaded:!0}:{loaded:!1,promise:Promise.all(e).then(i=>{})}}getInitialState(){const e=vre.create(null,this._lexer.start);return kM.create(e,null)}tokenize(e,i,n){if(e.length>=this._maxTokenizationLineLength)return Z$e(this._languageId,n);const s=new jwi,c=this._tokenize(e,i,n,s);return s.finalize(c)}tokenizeEncoded(e,i,n){if(e.length>=this._maxTokenizationLineLength)return fwe(this._languageService.languageIdCodec.encodeLanguageId(this._languageId),n);const s=new vve(this._languageService,this._standaloneThemeService.getColorTheme().tokenTheme),c=this._tokenize(e,i,n,s);return s.finalize(c)}_tokenize(e,i,n,s){return n.embeddedLanguageData?this._nestedTokenize(e,i,n,0,s):this._myTokenize(e,i,n,0,s)}_findLeavingNestedLanguageOffset(e,i){let n=this._lexer.tokenizer[i.stack.state];if(!n&&(n=C0e(this._lexer,i.stack.state),!n))throw mf(this._lexer,"tokenizer state is not defined: "+i.stack.state);let s=-1,c=!1;for(const d of n){if(!gSt(d.action)||d.action.nextEmbedded!=="@pop")continue;c=!0;let f=d.resolveRegex(i.stack.state);const m=f.source;if(m.substr(0,4)==="^(?:"&&m.substr(m.length-1,1)===")"){const x=(f.ignoreCase?"i":"")+(f.unicode?"u":"");f=new RegExp(m.substr(4,m.length-5),x)}const v=e.search(f);v===-1||v!==0&&d.matchOnlyAtLineStart||(s===-1||v<s)&&(s=v)}if(!c)throw mf(this._lexer,'no rule containing nextEmbedded: "@pop" in tokenizer embedded state: '+i.stack.state);return s}_nestedTokenize(e,i,n,s,c){const d=this._findLeavingNestedLanguageOffset(e,n);if(d===-1){const v=c.nestedLanguageTokenize(e,i,n.embeddedLanguageData,s);return kM.create(n.stack,new uq(n.embeddedLanguageData.languageId,v))}const f=e.substring(0,d);f.length>0&&c.nestedLanguageTokenize(f,!1,n.embeddedLanguageData,s);const m=e.substring(d);return this._myTokenize(m,i,n,s+d,c)}_safeRuleName(e){return e?e.name:"(unknown)"}_myTokenize(e,i,n,s,c){c.enterLanguage(this._languageId);const d=e.length,f=i&&this._lexer.includeLF?e+`
+`:e,m=f.length;let v=n.embeddedLanguageData,x=n.stack,w=0,I=null,P=!0;for(;P||w<m;){const O=w,z=x.depth,J=I?I.groups.length:0,Y=x.state;let ae=null,me=null,ye=null,Ce=null,Fe=null;if(I){ae=I.matches;const Mt=I.groups.shift();me=Mt.matched,ye=Mt.action,Ce=I.rule,I.groups.length===0&&(I=null)}else{if(!P&&w>=m)break;P=!1;let Mt=this._lexer.tokenizer[Y];if(!Mt&&(Mt=C0e(this._lexer,Y),!Mt))throw mf(this._lexer,"tokenizer state is not defined: "+Y);const Yt=f.substr(w);for(const Bi of Mt)if((w===0||!Bi.matchOnlyAtLineStart)&&(ae=Yt.match(Bi.resolveRegex(Y)),ae)){me=ae[0],ye=Bi.action;break}}if(ae||(ae=[""],me=""),ye||(w<m&&(ae=[f.charAt(w)],me=ae[0]),ye=this._lexer.defaultToken),me===null)break;for(w+=me.length;Rwi(ye)&&gSt(ye)&&ye.test;)ye=ye.test(me,ae,Y,w===m);let rt=null;if(typeof ye=="string"||Array.isArray(ye))rt=ye;else if(ye.group)rt=ye.group;else if(ye.token!==null&&ye.token!==void 0){if(ye.tokenSubst?rt=xM(this._lexer,ye.token,me,ae,Y):rt=ye.token,ye.nextEmbedded)if(ye.nextEmbedded==="@pop"){if(!v)throw mf(this._lexer,"cannot pop embedded language if not inside one");v=null}else{if(v)throw mf(this._lexer,"cannot enter embedded language from within an embedded language");Fe=xM(this._lexer,ye.nextEmbedded,me,ae,Y)}if(ye.goBack&&(w=Math.max(0,w-ye.goBack)),ye.switchTo&&typeof ye.switchTo=="string"){let Mt=xM(this._lexer,ye.switchTo,me,ae,Y);if(Mt[0]==="@"&&(Mt=Mt.substr(1)),C0e(this._lexer,Mt))x=x.switchTo(Mt);else throw mf(this._lexer,"trying to switch to a state '"+Mt+"' that is undefined in rule: "+this._safeRuleName(Ce))}else{if(ye.transform&&typeof ye.transform=="function")throw mf(this._lexer,"action.transform not supported");if(ye.next)if(ye.next==="@push"){if(x.depth>=this._lexer.maxStack)throw mf(this._lexer,"maximum tokenizer stack size reached: ["+x.state+","+x.parent.state+",...]");x=x.push(Y)}else if(ye.next==="@pop"){if(x.depth<=1)throw mf(this._lexer,"trying to pop an empty stack in rule: "+this._safeRuleName(Ce));x=x.pop()}else if(ye.next==="@popall")x=x.popall();else{let Mt=xM(this._lexer,ye.next,me,ae,Y);if(Mt[0]==="@"&&(Mt=Mt.substr(1)),C0e(this._lexer,Mt))x=x.push(Mt);else throw mf(this._lexer,"trying to set a next state '"+Mt+"' that is undefined in rule: "+this._safeRuleName(Ce))}}ye.log&&typeof ye.log=="string"&&Fwi(this._lexer,this._lexer.languageId+": "+xM(this._lexer,ye.log,me,ae,Y))}if(rt===null)throw mf(this._lexer,"lexer rule has no well-defined action in rule: "+this._safeRuleName(Ce));const ct=Mt=>{const Yt=this._languageService.getLanguageIdByLanguageName(Mt)||this._languageService.getLanguageIdByMimeType(Mt)||Mt,Bi=this._getNestedEmbeddedLanguageData(Yt);if(w<m){const $i=e.substr(w);return this._nestedTokenize($i,i,kM.create(x,Bi),s+w,c)}else return kM.create(x,Bi)};if(Array.isArray(rt)){if(I&&I.groups.length>0)throw mf(this._lexer,"groups cannot be nested: "+this._safeRuleName(Ce));if(ae.length!==rt.length+1)throw mf(this._lexer,"matched number of groups does not match the number of actions in rule: "+this._safeRuleName(Ce));let Mt=0;for(let Yt=1;Yt<ae.length;Yt++)Mt+=ae[Yt].length;if(Mt!==me.length)throw mf(this._lexer,"with groups, all characters should be matched in consecutive groups in rule: "+this._safeRuleName(Ce));I={rule:Ce,matches:ae,groups:[]};for(let Yt=0;Yt<rt.length;Yt++)I.groups[Yt]={action:rt[Yt],matched:ae[Yt+1]};w-=me.length;continue}else{if(rt==="@rematch"&&(w-=me.length,me="",ae=null,rt="",Fe!==null))return ct(Fe);if(me.length===0){if(m===0||z!==x.depth||Y!==x.state||(I?I.groups.length:0)!==J)continue;throw mf(this._lexer,"no progress in tokenizer in rule: "+this._safeRuleName(Ce))}let Mt=null;if(dIt(rt)&&rt.indexOf("@brackets")===0){const Yt=rt.substr(9),Bi=zwi(this._lexer,me);if(!Bi)throw mf(this._lexer,"@brackets token returned but no bracket defined as: "+me);Mt=ySt(Bi.token+Yt)}else{const Yt=rt===""?"":rt+this._lexer.tokenPostfix;Mt=ySt(Yt)}O<d&&c.emit(O+s,Mt)}if(Fe!==null)return ct(Fe)}return kM.create(x,v)}_getNestedEmbeddedLanguageData(e){if(!this._languageService.isRegisteredLanguageId(e))return new uq(e,R$);e!==this._languageId&&(this._languageService.requestBasicLanguageFeatures(e),Oh.getOrCreate(e),this._embeddedLanguages[e]=!0);const i=Oh.get(e);return i?new uq(e,i.getInitialState()):new uq(e,R$)}};bre=eje=Vwi([Hwi(4,Cc)],bre);function zwi(l,e){if(!e)return null;e=e6(l,e);const i=l.brackets;for(const n of i){if(n.open===e)return{token:n.token,bracketType:1};if(n.close===e)return{token:n.token,bracketType:-1}}return null}const l9e=v6("standaloneColorizer",{createHTML:l=>l});class zJe{static colorizeElement(e,i,n,s){s=s||{};const c=s.theme||"vs",d=s.mimeType||n.getAttribute("lang")||n.getAttribute("data-lang");if(!d)return console.error("Mode not detected"),Promise.resolve();const f=i.getLanguageIdByMimeType(d)||d;e.setTheme(c);const m=n.firstChild?n.firstChild.nodeValue:"";n.className+=" "+c;const v=x=>{const w=(l9e==null?void 0:l9e.createHTML(x))??x;n.innerHTML=w};return this.colorize(i,m||"",f,s).then(v,x=>console.error(x))}static async colorize(e,i,n,s){const c=e.languageIdCodec;let d=4;s&&typeof s.tabSize=="number"&&(d=s.tabSize),r$e(i)&&(i=i.substr(1));const f=BL(i);if(!e.isRegisteredLanguageId(n))return vSt(f,d,c);const m=await Oh.getOrCreate(n);return m?Uwi(f,d,m,c):vSt(f,d,c)}static colorizeLine(e,i,n,s,c=4){const d=Iw.isBasicASCII(e,i),f=Iw.containsRTL(e,d,n);return Nwe(new zR(!1,!0,e,!1,d,f,0,s,[],c,0,0,0,0,-1,"none",!1,!1,null)).html}static colorizeModelLine(e,i,n=4){const s=e.getLineContent(i);e.tokenization.forceTokenization(i);const d=e.tokenization.getLineTokens(i).inflate();return this.colorizeLine(s,e.mightContainNonBasicASCII(),e.mightContainRTL(),d,n)}}function Uwi(l,e,i,n){return new Promise((s,c)=>{const d=()=>{const f=qwi(l,e,i,n);if(i instanceof bre){const m=i.getLoadStatus();if(m.loaded===!1){m.promise.then(d,c);return}}s(f)};d()})}function vSt(l,e,i){let n=[];const c=new Uint32Array(2);c[0]=0,c[1]=33587200;for(let d=0,f=l.length;d<f;d++){const m=l[d];c[0]=m.length;const v=new Cg(c,m,i),x=Iw.isBasicASCII(m,!0),w=Iw.containsRTL(m,x,!0),I=Nwe(new zR(!1,!0,m,!1,x,w,0,v,[],e,0,0,0,0,-1,"none",!1,!1,null));n=n.concat(I.html),n.push("<br/>")}return n.join("")}function qwi(l,e,i,n){let s=[],c=i.getInitialState();for(let d=0,f=l.length;d<f;d++){const m=l[d],v=i.tokenizeEncoded(m,!0,c);Cg.convertToEndOffset(v.tokens,m.length);const x=new Cg(v.tokens,m,n),w=Iw.isBasicASCII(m,!0),I=Iw.containsRTL(m,w,!0),P=Nwe(new zR(!1,!0,m,!1,w,I,0,x.inflate(),[],e,0,0,0,0,-1,"none",!1,!1,null));s=s.concat(P.html),s.push("<br/>"),c=v.endState}return s.join("")}var $wi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Jwi=function(l,e){return function(i,n){e(i,n,l)}},d$;let bve=(d$=class{constructor(e,i){}dispose(){}},d$.ID="editor.contrib.markerDecorations",d$);bve=$wi([Jwi(1,lJe)],bve);Cl(bve.ID,bve,0);class hIt extends xi{constructor(e,i){super(),this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event,this._referenceDomElement=e,this._width=-1,this._height=-1,this._resizeObserver=null,this.measureReferenceDomElement(!1,i)}dispose(){this.stopObserving(),super.dispose()}getWidth(){return this._width}getHeight(){return this._height}startObserving(){if(!this._resizeObserver&&this._referenceDomElement){let e=null;const i=()=>{e?this.observe({width:e.width,height:e.height}):this.observe()};let n=!1,s=!1;const c=()=>{if(n&&!s)try{n=!1,s=!0,i()}finally{ZC(Eo(this._referenceDomElement),()=>{s=!1,c()})}};this._resizeObserver=new ResizeObserver(d=>{d&&d[0]&&d[0].contentRect?e={width:d[0].contentRect.width,height:d[0].contentRect.height}:e=null,n=!0,c()}),this._resizeObserver.observe(this._referenceDomElement)}}stopObserving(){this._resizeObserver&&(this._resizeObserver.disconnect(),this._resizeObserver=null)}observe(e){this.measureReferenceDomElement(!0,e)}measureReferenceDomElement(e,i){let n=0,s=0;i?(n=i.width,s=i.height):this._referenceDomElement&&(n=this._referenceDomElement.clientWidth,s=this._referenceDomElement.clientHeight),n=Math.max(5,n),s=Math.max(5,s),(this._width!==n||this._height!==s)&&(this._width=n,this._height=s,e&&this._onDidChange.fire())}}const Lq=class Lq{constructor(e,i){this.key=e,this.migrate=i}apply(e){const i=Lq._read(e,this.key),n=c=>Lq._read(e,c),s=(c,d)=>Lq._write(e,c,d);this.migrate(i,n,s)}static _read(e,i){if(typeof e>"u")return;const n=i.indexOf(".");if(n>=0){const s=i.substring(0,n);return this._read(e[s],i.substring(n+1))}return e[i]}static _write(e,i,n){const s=i.indexOf(".");if(s>=0){const c=i.substring(0,s);e[c]=e[c]||{},this._write(e[c],i.substring(s+1),n);return}e[i]=n}};Lq.items=[];let Cre=Lq;function ZE(l,e){Cre.items.push(new Cre(l,e))}function iS(l,e){ZE(l,(i,n,s)=>{if(typeof i<"u"){for(const[c,d]of e)if(i===c){s(l,d);return}}})}function Gwi(l){Cre.items.forEach(e=>e.apply(l))}iS("wordWrap",[[!0,"on"],[!1,"off"]]);iS("lineNumbers",[[!0,"on"],[!1,"off"]]);iS("cursorBlinking",[["visible","solid"]]);iS("renderWhitespace",[[!0,"boundary"],[!1,"none"]]);iS("renderLineHighlight",[[!0,"line"],[!1,"none"]]);iS("acceptSuggestionOnEnter",[[!0,"on"],[!1,"off"]]);iS("tabCompletion",[[!1,"off"],[!0,"onlySnippets"]]);iS("hover",[[!0,{enabled:!0}],[!1,{enabled:!1}]]);iS("parameterHints",[[!0,{enabled:!0}],[!1,{enabled:!1}]]);iS("autoIndent",[[!1,"advanced"],[!0,"full"]]);iS("matchBrackets",[[!0,"always"],[!1,"never"]]);iS("renderFinalNewline",[[!0,"on"],[!1,"off"]]);iS("cursorSmoothCaretAnimation",[[!0,"on"],[!1,"off"]]);iS("occurrencesHighlight",[[!0,"singleFile"],[!1,"off"]]);iS("wordBasedSuggestions",[[!0,"matchingDocuments"],[!1,"off"]]);ZE("autoClosingBrackets",(l,e,i)=>{l===!1&&(i("autoClosingBrackets","never"),typeof e("autoClosingQuotes")>"u"&&i("autoClosingQuotes","never"),typeof e("autoSurround")>"u"&&i("autoSurround","never"))});ZE("renderIndentGuides",(l,e,i)=>{typeof l<"u"&&(i("renderIndentGuides",void 0),typeof e("guides.indentation")>"u"&&i("guides.indentation",!!l))});ZE("highlightActiveIndentGuide",(l,e,i)=>{typeof l<"u"&&(i("highlightActiveIndentGuide",void 0),typeof e("guides.highlightActiveIndentation")>"u"&&i("guides.highlightActiveIndentation",!!l))});const Kwi={method:"showMethods",function:"showFunctions",constructor:"showConstructors",deprecated:"showDeprecated",field:"showFields",variable:"showVariables",class:"showClasses",struct:"showStructs",interface:"showInterfaces",module:"showModules",property:"showProperties",event:"showEvents",operator:"showOperators",unit:"showUnits",value:"showValues",constant:"showConstants",enum:"showEnums",enumMember:"showEnumMembers",keyword:"showKeywords",text:"showWords",color:"showColors",file:"showFiles",reference:"showReferences",folder:"showFolders",typeParameter:"showTypeParameters",snippet:"showSnippets"};ZE("suggest.filteredTypes",(l,e,i)=>{if(l&&typeof l=="object"){for(const n of Object.entries(Kwi))l[n[0]]===!1&&typeof e(`suggest.${n[1]}`)>"u"&&i(`suggest.${n[1]}`,!1);i("suggest.filteredTypes",void 0)}});ZE("quickSuggestions",(l,e,i)=>{if(typeof l=="boolean"){const n=l?"on":"off";i("quickSuggestions",{comments:n,strings:n,other:n})}});ZE("experimental.stickyScroll.enabled",(l,e,i)=>{typeof l=="boolean"&&(i("experimental.stickyScroll.enabled",void 0),typeof e("stickyScroll.enabled")>"u"&&i("stickyScroll.enabled",l))});ZE("experimental.stickyScroll.maxLineCount",(l,e,i)=>{typeof l=="number"&&(i("experimental.stickyScroll.maxLineCount",void 0),typeof e("stickyScroll.maxLineCount")>"u"&&i("stickyScroll.maxLineCount",l))});ZE("codeActionsOnSave",(l,e,i)=>{if(l&&typeof l=="object"){let n=!1;const s={};for(const c of Object.entries(l))typeof c[1]=="boolean"?(n=!0,s[c[0]]=c[1]?"explicit":"never"):s[c[0]]=c[1];n&&i("codeActionsOnSave",s)}});ZE("codeActionWidget.includeNearbyQuickfixes",(l,e,i)=>{typeof l=="boolean"&&(i("codeActionWidget.includeNearbyQuickfixes",void 0),typeof e("codeActionWidget.includeNearbyQuickFixes")>"u"&&i("codeActionWidget.includeNearbyQuickFixes",l))});ZE("lightbulb.enabled",(l,e,i)=>{typeof l=="boolean"&&i("lightbulb.enabled",l?void 0:"off")});class Xwi{constructor(){this._tabFocus=!1,this._onDidChangeTabFocus=new gi,this.onDidChangeTabFocus=this._onDidChangeTabFocus.event}getTabFocusMode(){return this._tabFocus}setTabFocusMode(e){this._tabFocus=e,this._onDidChangeTabFocus.fire(this._tabFocus)}}const q$=new Xwi;var Qwi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Zwi=function(l,e){return function(i,n){e(i,n,l)}};let tje=class extends xi{constructor(e,i,n,s,c){super(),this._accessibilityService=c,this._onDidChange=this._register(new gi),this.onDidChange=this._onDidChange.event,this._onDidChangeFast=this._register(new gi),this.onDidChangeFast=this._onDidChangeFast.event,this._isDominatedByLongLines=!1,this._viewLineCount=1,this._lineNumbersDigitCount=1,this._reservedHeight=0,this._glyphMarginDecorationLaneCount=1,this._computeOptionsMemory=new Okt,this.isSimpleWidget=e,this.contextMenuId=i,this._containerObserver=this._register(new hIt(s,n.dimension)),this._targetWindowId=Eo(s).vscodeWindowId,this._rawOptions=bSt(n),this._validatedOptions=TM.validateOptions(this._rawOptions),this.options=this._computeOptions(),this.options.get(13)&&this._containerObserver.startObserving(),this._register(fk.onDidChangeZoomLevel(()=>this._recomputeOptions())),this._register(q$.onDidChangeTabFocus(()=>this._recomputeOptions())),this._register(this._containerObserver.onDidChange(()=>this._recomputeOptions())),this._register(EWe.onDidChange(()=>this._recomputeOptions())),this._register(Wne.getInstance(Eo(s)).onDidChange(()=>this._recomputeOptions())),this._register(this._accessibilityService.onDidChangeScreenReaderOptimized(()=>this._recomputeOptions()))}_recomputeOptions(){const e=this._computeOptions(),i=TM.checkEquals(this.options,e);i!==null&&(this.options=e,this._onDidChangeFast.fire(i),this._onDidChange.fire(i))}_computeOptions(){const e=this._readEnvConfiguration(),i=h9.createFromValidatedSettings(this._validatedOptions,e.pixelRatio,this.isSimpleWidget),n=this._readFontInfo(i),s={memory:this._computeOptionsMemory,outerWidth:e.outerWidth,outerHeight:e.outerHeight-this._reservedHeight,fontInfo:n,extraEditorClassName:e.extraEditorClassName,isDominatedByLongLines:this._isDominatedByLongLines,viewLineCount:this._viewLineCount,lineNumbersDigitCount:this._lineNumbersDigitCount,emptySelectionClipboard:e.emptySelectionClipboard,pixelRatio:e.pixelRatio,tabFocusMode:q$.getTabFocusMode(),accessibilitySupport:e.accessibilitySupport,glyphMarginDecorationLaneCount:this._glyphMarginDecorationLaneCount};return TM.computeOptions(this._validatedOptions,s)}_readEnvConfiguration(){return{extraEditorClassName:exi(),outerWidth:this._containerObserver.getWidth(),outerHeight:this._containerObserver.getHeight(),emptySelectionClipboard:f9||bk,pixelRatio:Wne.getInstance(fvt(this._targetWindowId,!0).window).value,accessibilitySupport:this._accessibilityService.isScreenReaderOptimized()?2:this._accessibilityService.getAccessibilitySupport()}}_readFontInfo(e){return EWe.readFontInfo(fvt(this._targetWindowId,!0).window,e)}getRawOptions(){return this._rawOptions}updateOptions(e){const i=bSt(e);TM.applyUpdate(this._rawOptions,i)&&(this._validatedOptions=TM.validateOptions(this._rawOptions),this._recomputeOptions())}observeContainer(e){this._containerObserver.observe(e)}setIsDominatedByLongLines(e){this._isDominatedByLongLines!==e&&(this._isDominatedByLongLines=e,this._recomputeOptions())}setModelLineCount(e){const i=Ywi(e);this._lineNumbersDigitCount!==i&&(this._lineNumbersDigitCount=i,this._recomputeOptions())}setViewLineCount(e){this._viewLineCount!==e&&(this._viewLineCount=e,this._recomputeOptions())}setReservedHeight(e){this._reservedHeight!==e&&(this._reservedHeight=e,this._recomputeOptions())}setGlyphMarginDecorationLaneCount(e){this._glyphMarginDecorationLaneCount!==e&&(this._glyphMarginDecorationLaneCount=e,this._recomputeOptions())}};tje=Qwi([Zwi(4,tS)],tje);function Ywi(l){let e=0;for(;l;)l=Math.floor(l/10),e++;return e||1}function exi(){let l="";return!o6&&!a2t&&(l+="no-user-select "),o6&&(l+="no-minimap-shadow ",l+="enable-user-select "),Hc&&(l+="mac "),l}class txi{constructor(){this._values=[]}_read(e){return this._values[e]}get(e){return this._values[e]}_write(e,i){this._values[e]=i}}class ixi{constructor(){this._values=[]}_read(e){if(e>=this._values.length)throw new Error("Cannot read uninitialized value");return this._values[e]}get(e){return this._read(e)}_write(e,i){this._values[e]=i}}class TM{static validateOptions(e){const i=new txi;for(const n of YU){const s=n.name==="_never_"?void 0:e[n.name];i._write(n.id,n.validate(s))}return i}static computeOptions(e,i){const n=new ixi;for(const s of YU)n._write(s.id,s.compute(i,n,e._read(s.id)));return n}static _deepEquals(e,i){if(typeof e!="object"||typeof i!="object"||!e||!i)return e===i;if(Array.isArray(e)||Array.isArray(i))return Array.isArray(e)&&Array.isArray(i)?Lf(e,i):!1;if(Object.keys(e).length!==Object.keys(i).length)return!1;for(const n in e)if(!TM._deepEquals(e[n],i[n]))return!1;return!0}static checkEquals(e,i){const n=[];let s=!1;for(const c of YU){const d=!TM._deepEquals(e._read(c.id),i._read(c.id));n[c.id]=d,d&&(s=!0)}return s?new Akt(n):null}static applyUpdate(e,i){let n=!1;for(const s of YU)if(i.hasOwnProperty(s.name)){const c=s.applyUpdate(e[s.name],i[s.name]);e[s.name]=c.newValue,n=n||c.didChange}return n}}function bSt(l){const e=D4(l);return Gwi(e),e}var BM;(function(l){const e={total:0,min:Number.MAX_VALUE,max:0},i={...e},n={...e},s={...e};let c=0;const d={keydown:0,input:0,render:0};function f(){Y(),performance.mark("inputlatency/start"),performance.mark("keydown/start"),d.keydown=1,queueMicrotask(m)}l.onKeyDown=f;function m(){d.keydown===1&&(performance.mark("keydown/end"),d.keydown=2)}function v(){performance.mark("input/start"),d.input=1,J()}l.onBeforeInput=v;function x(){d.input===0&&v(),queueMicrotask(w)}l.onInput=x;function w(){d.input===1&&(performance.mark("input/end"),d.input=2)}function I(){Y()}l.onKeyUp=I;function P(){Y()}l.onSelectionChange=P;function O(){d.keydown===2&&d.input===2&&d.render===0&&(performance.mark("render/start"),d.render=1,queueMicrotask(z),J())}l.onRenderStart=O;function z(){d.render===1&&(performance.mark("render/end"),d.render=2)}function J(){setTimeout(Y)}function Y(){d.keydown===2&&d.input===2&&d.render===2&&(performance.mark("inputlatency/end"),performance.measure("keydown","keydown/start","keydown/end"),performance.measure("input","input/start","input/end"),performance.measure("render","render/start","render/end"),performance.measure("inputlatency","inputlatency/start","inputlatency/end"),ae("keydown",e),ae("input",i),ae("render",n),ae("inputlatency",s),c++,me())}function ae(rt,ct){const Mt=performance.getEntriesByName(rt)[0].duration;ct.total+=Mt,ct.min=Math.min(ct.min,Mt),ct.max=Math.max(ct.max,Mt)}function me(){performance.clearMarks("keydown/start"),performance.clearMarks("keydown/end"),performance.clearMarks("input/start"),performance.clearMarks("input/end"),performance.clearMarks("render/start"),performance.clearMarks("render/end"),performance.clearMarks("inputlatency/start"),performance.clearMarks("inputlatency/end"),performance.clearMeasures("keydown"),performance.clearMeasures("input"),performance.clearMeasures("render"),performance.clearMeasures("inputlatency"),d.keydown=0,d.input=0,d.render=0}function ye(){if(c===0)return;const rt={keydown:Ce(e),input:Ce(i),render:Ce(n),total:Ce(s),sampleCount:c};return Fe(e),Fe(i),Fe(n),Fe(s),c=0,rt}l.getAndClearMeasurements=ye;function Ce(rt){return{average:rt.total/c,max:rt.max,min:rt.min}}function Fe(rt){rt.total=0,rt.min=Number.MAX_VALUE,rt.max=0}})(BM||(BM={}));class Lwe{constructor(e,i){this.x=e,this.y=i,this._pageCoordinatesBrand=void 0}toClientCoordinates(e){return new _It(this.x-e.scrollX,this.y-e.scrollY)}}class _It{constructor(e,i){this.clientX=e,this.clientY=i,this._clientCoordinatesBrand=void 0}toPageCoordinates(e){return new Lwe(this.clientX+e.scrollX,this.clientY+e.scrollY)}}class nxi{constructor(e,i,n,s){this.x=e,this.y=i,this.width=n,this.height=s,this._editorPagePositionBrand=void 0}}class rxi{constructor(e,i){this.x=e,this.y=i,this._positionRelativeToEditorBrand=void 0}}function UJe(l){const e=e_(l);return new nxi(e.left,e.top,e.width,e.height)}function qJe(l,e,i){const n=e.width/l.offsetWidth,s=e.height/l.offsetHeight,c=(i.x-e.x)/n,d=(i.y-e.y)/s;return new rxi(c,d)}class IR extends hT{constructor(e,i,n){super(Eo(n),e),this._editorMouseEventBrand=void 0,this.isFromPointerCapture=i,this.pos=new Lwe(this.posx,this.posy),this.editorPos=UJe(n),this.relativePos=qJe(n,this.editorPos,this.pos)}}class sxi{constructor(e){this._editorViewDomNode=e}_create(e){return new IR(e,!1,this._editorViewDomNode)}onContextMenu(e,i){return en(e,"contextmenu",n=>{i(this._create(n))})}onMouseUp(e,i){return en(e,"mouseup",n=>{i(this._create(n))})}onMouseDown(e,i){return en(e,br.MOUSE_DOWN,n=>{i(this._create(n))})}onPointerDown(e,i){return en(e,br.POINTER_DOWN,n=>{i(this._create(n),n.pointerId)})}onMouseLeave(e,i){return en(e,br.MOUSE_LEAVE,n=>{i(this._create(n))})}onMouseMove(e,i){return en(e,"mousemove",n=>i(this._create(n)))}}class oxi{constructor(e){this._editorViewDomNode=e}_create(e){return new IR(e,!1,this._editorViewDomNode)}onPointerUp(e,i){return en(e,"pointerup",n=>{i(this._create(n))})}onPointerDown(e,i){return en(e,br.POINTER_DOWN,n=>{i(this._create(n),n.pointerId)})}onPointerLeave(e,i){return en(e,br.POINTER_LEAVE,n=>{i(this._create(n))})}onPointerMove(e,i){return en(e,"pointermove",n=>i(this._create(n)))}}class axi extends xi{constructor(e){super(),this._editorViewDomNode=e,this._globalPointerMoveMonitor=this._register(new bJ),this._keydownListener=null}startMonitoring(e,i,n,s,c){this._keydownListener=ph(e.ownerDocument,"keydown",d=>{d.toKeyCodeChord().isModifierKey()||this._globalPointerMoveMonitor.stopMonitoring(!0,d.browserEvent)},!0),this._globalPointerMoveMonitor.startMonitoring(e,i,n,d=>{s(new IR(d,!0,this._editorViewDomNode))},d=>{this._keydownListener.dispose(),c(d)})}stopMonitoring(){this._globalPointerMoveMonitor.stopMonitoring(!0)}}const SCe=class SCe{constructor(e){this._editor=e,this._instanceId=++SCe._idPool,this._counter=0,this._rules=new Map,this._garbageCollectionScheduler=new qu(()=>this.garbageCollect(),1e3)}createClassNameRef(e){const i=this.getOrCreateRule(e);return i.increaseRefCount(),{className:i.className,dispose:()=>{i.decreaseRefCount(),this._garbageCollectionScheduler.schedule()}}}getOrCreateRule(e){const i=this.computeUniqueKey(e);let n=this._rules.get(i);if(!n){const s=this._counter++;n=new cxi(i,`dyn-rule-${this._instanceId}-${s}`,cye(this._editor.getContainerDomNode())?this._editor.getContainerDomNode():void 0,e),this._rules.set(i,n)}return n}computeUniqueKey(e){return JSON.stringify(e)}garbageCollect(){for(const e of this._rules.values())e.hasReferences()||(this._rules.delete(e.key),e.dispose())}};SCe._idPool=0;let Cve=SCe;class cxi{constructor(e,i,n,s){this.key=e,this.className=i,this.properties=s,this._referenceCount=0,this._styleElementDisposables=new wn,this._styleElement=Dw(n,void 0,this._styleElementDisposables),this._styleElement.textContent=this.getCssText(this.className,this.properties)}getCssText(e,i){let n=`.${e} {`;for(const s in i){const c=i[s];let d;typeof c=="object"?d=cs(c.id):d=c;const f=lxi(s);n+=`
+ ${f}: ${d};`}return n+=`
+}`,n}dispose(){this._styleElementDisposables.dispose(),this._styleElement=void 0}increaseRefCount(){this._referenceCount++}decreaseRefCount(){this._referenceCount--}hasReferences(){return this._referenceCount>0}}function lxi(l){return l.replace(/(^[A-Z])/,([e])=>e.toLowerCase()).replace(/([A-Z])/g,([e])=>`-${e.toLowerCase()}`)}class Mse extends xi{constructor(){super(),this._shouldRender=!0}shouldRender(){return this._shouldRender}forceShouldRender(){this._shouldRender=!0}setShouldRender(){this._shouldRender=!0}onDidRender(){this._shouldRender=!1}onCompositionStart(e){return!1}onCompositionEnd(e){return!1}onConfigurationChanged(e){return!1}onCursorStateChanged(e){return!1}onDecorationsChanged(e){return!1}onFlushed(e){return!1}onFocusChanged(e){return!1}onLanguageConfigurationChanged(e){return!1}onLineMappingChanged(e){return!1}onLinesChanged(e){return!1}onLinesDeleted(e){return!1}onLinesInserted(e){return!1}onRevealRangeRequest(e){return!1}onScrollChanged(e){return!1}onThemeChanged(e){return!1}onTokensChanged(e){return!1}onTokensColorsChanged(e){return!1}onZonesChanged(e){return!1}handleEvents(e){let i=!1;for(let n=0,s=e.length;n<s;n++){const c=e[n];switch(c.type){case 0:this.onCompositionStart(c)&&(i=!0);break;case 1:this.onCompositionEnd(c)&&(i=!0);break;case 2:this.onConfigurationChanged(c)&&(i=!0);break;case 3:this.onCursorStateChanged(c)&&(i=!0);break;case 4:this.onDecorationsChanged(c)&&(i=!0);break;case 5:this.onFlushed(c)&&(i=!0);break;case 6:this.onFocusChanged(c)&&(i=!0);break;case 7:this.onLanguageConfigurationChanged(c)&&(i=!0);break;case 8:this.onLineMappingChanged(c)&&(i=!0);break;case 9:this.onLinesChanged(c)&&(i=!0);break;case 10:this.onLinesDeleted(c)&&(i=!0);break;case 11:this.onLinesInserted(c)&&(i=!0);break;case 12:this.onRevealRangeRequest(c)&&(i=!0);break;case 13:this.onScrollChanged(c)&&(i=!0);break;case 15:this.onTokensChanged(c)&&(i=!0);break;case 14:this.onThemeChanged(c)&&(i=!0);break;case 16:this.onTokensColorsChanged(c)&&(i=!0);break;case 17:this.onZonesChanged(c)&&(i=!0);break;default:console.info("View received unknown event: "),console.info(c)}}i&&(this._shouldRender=!0)}}class nS extends Mse{constructor(e){super(),this._context=e,this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),super.dispose()}}class JE{static write(e,i){e.setAttribute("data-mprt",String(i))}static read(e){const i=e.getAttribute("data-mprt");return i===null?0:parseInt(i,10)}static collect(e,i){const n=[];let s=0;for(;e&&e!==e.ownerDocument.body&&e!==i;)e.nodeType===e.ELEMENT_NODE&&(n[s++]=this.read(e)),e=e.parentElement;const c=new Uint8Array(s);for(let d=0;d<s;d++)c[d]=n[s-d-1];return c}}class uxi{constructor(e,i){this._restrictedRenderingContextBrand=void 0,this._viewLayout=e,this.viewportData=i,this.scrollWidth=this._viewLayout.getScrollWidth(),this.scrollHeight=this._viewLayout.getScrollHeight(),this.visibleRange=this.viewportData.visibleRange,this.bigNumbersDelta=this.viewportData.bigNumbersDelta;const n=this._viewLayout.getCurrentViewport();this.scrollTop=n.top,this.scrollLeft=n.left,this.viewportWidth=n.width,this.viewportHeight=n.height}getScrolledTopFromAbsoluteTop(e){return e-this.scrollTop}getVerticalOffsetForLineNumber(e,i){return this._viewLayout.getVerticalOffsetForLineNumber(e,i)}getVerticalOffsetAfterLineNumber(e,i){return this._viewLayout.getVerticalOffsetAfterLineNumber(e,i)}getDecorationsInViewport(){return this.viewportData.getDecorationsInViewport()}}class dxi extends uxi{constructor(e,i,n){super(e,i),this._renderingContextBrand=void 0,this._viewLines=n}linesVisibleRangesForRange(e,i){return this._viewLines.linesVisibleRangesForRange(e,i)}visibleRangeForPosition(e){return this._viewLines.visibleRangeForPosition(e)}}class fxi{constructor(e,i,n,s){this.outsideRenderedLine=e,this.lineNumber=i,this.ranges=n,this.continuesOnNextLine=s}}class Pwe{static from(e){const i=new Array(e.length);for(let n=0,s=e.length;n<s;n++){const c=e[n];i[n]=new Pwe(c.left,c.width)}return i}constructor(e,i){this._horizontalRangeBrand=void 0,this.left=Math.round(e),this.width=Math.round(i)}toString(){return`[${this.left},${this.width}]`}}class C9{constructor(e,i){this._floatHorizontalRangeBrand=void 0,this.left=e,this.width=i}toString(){return`[${this.left},${this.width}]`}static compare(e,i){return e.left-i.left}}class hxi{constructor(e,i){this.outsideRenderedLine=e,this.originalLeft=i,this.left=Math.round(this.originalLeft)}}class CSt{constructor(e,i){this.outsideRenderedLine=e,this.ranges=i}}class x1e{static _createRange(){return this._handyReadyRange||(this._handyReadyRange=document.createRange()),this._handyReadyRange}static _detachRange(e,i){e.selectNodeContents(i)}static _readClientRects(e,i,n,s,c){const d=this._createRange();try{return d.setStart(e,i),d.setEnd(n,s),d.getClientRects()}catch{return null}finally{this._detachRange(d,c)}}static _mergeAdjacentRanges(e){if(e.length===1)return e;e.sort(C9.compare);const i=[];let n=0,s=e[0];for(let c=1,d=e.length;c<d;c++){const f=e[c];s.left+s.width+.9>=f.left?s.width=Math.max(s.width,f.left+f.width-s.left):(i[n++]=s,s=f)}return i[n++]=s,i}static _createHorizontalRangesFromClientRects(e,i,n){if(!e||e.length===0)return null;const s=[];for(let c=0,d=e.length;c<d;c++){const f=e[c];s[c]=new C9(Math.max(0,(f.left-i)/n),f.width/n)}return this._mergeAdjacentRanges(s)}static readHorizontalRanges(e,i,n,s,c,d){const m=e.children.length-1;if(0>m)return null;if(i=Math.min(m,Math.max(0,i)),s=Math.min(m,Math.max(0,s)),i===s&&n===c&&n===0&&!e.children[i].firstChild){const I=e.children[i].getClientRects();return d.markDidDomLayout(),this._createHorizontalRangesFromClientRects(I,d.clientRectDeltaLeft,d.clientRectScale)}i!==s&&s>0&&c===0&&(s--,c=1073741824);let v=e.children[i].firstChild,x=e.children[s].firstChild;if((!v||!x)&&(!v&&n===0&&i>0&&(v=e.children[i-1].firstChild,n=1073741824),!x&&c===0&&s>0&&(x=e.children[s-1].firstChild,c=1073741824)),!v||!x)return null;n=Math.min(v.textContent.length,Math.max(0,n)),c=Math.min(x.textContent.length,Math.max(0,c));const w=this._readClientRects(v,n,x,c,d.endNode);return d.markDidDomLayout(),this._createHorizontalRangesFromClientRects(w,d.clientRectDeltaLeft,d.clientRectScale)}}const _xi=function(){return PE?!0:!(Cv||bk||o6)}();let i$=!0;class SSt{constructor(e,i){this.themeType=i;const n=e.options,s=n.get(50);n.get(38)==="off"?this.renderWhitespace=n.get(100):this.renderWhitespace="none",this.renderControlCharacters=n.get(95),this.spaceWidth=s.spaceWidth,this.middotWidth=s.middotWidth,this.wsmiddotWidth=s.wsmiddotWidth,this.useMonospaceOptimizations=s.isMonospace&&!n.get(33),this.canUseHalfwidthRightwardsArrow=s.canUseHalfwidthRightwardsArrow,this.lineHeight=n.get(67),this.stopRenderingLineAfter=n.get(118),this.fontLigatures=n.get(51)}equals(e){return this.themeType===e.themeType&&this.renderWhitespace===e.renderWhitespace&&this.renderControlCharacters===e.renderControlCharacters&&this.spaceWidth===e.spaceWidth&&this.middotWidth===e.middotWidth&&this.wsmiddotWidth===e.wsmiddotWidth&&this.useMonospaceOptimizations===e.useMonospaceOptimizations&&this.canUseHalfwidthRightwardsArrow===e.canUseHalfwidthRightwardsArrow&&this.lineHeight===e.lineHeight&&this.stopRenderingLineAfter===e.stopRenderingLineAfter&&this.fontLigatures===e.fontLigatures}}const wCe=class wCe{constructor(e){this._options=e,this._isMaybeInvalid=!0,this._renderedViewLine=null}getDomNode(){return this._renderedViewLine&&this._renderedViewLine.domNode?this._renderedViewLine.domNode.domNode:null}setDomNode(e){if(this._renderedViewLine)this._renderedViewLine.domNode=_u(e);else throw new Error("I have no rendered view line to set the dom node to...")}onContentChanged(){this._isMaybeInvalid=!0}onTokensChanged(){this._isMaybeInvalid=!0}onDecorationsChanged(){this._isMaybeInvalid=!0}onOptionsChanged(e){this._isMaybeInvalid=!0,this._options=e}onSelectionChanged(){return RE(this._options.themeType)||this._options.renderWhitespace==="selection"?(this._isMaybeInvalid=!0,!0):!1}renderLine(e,i,n,s,c){if(this._isMaybeInvalid===!1)return!1;this._isMaybeInvalid=!1;const d=s.getViewLineRenderingData(e),f=this._options,m=mv.filter(d.inlineDecorations,e,d.minColumn,d.maxColumn);let v=null;if(RE(f.themeType)||this._options.renderWhitespace==="selection"){const P=s.selections;for(const O of P){if(O.endLineNumber<e||O.startLineNumber>e)continue;const z=O.startLineNumber===e?O.startColumn:d.minColumn,J=O.endLineNumber===e?O.endColumn:d.maxColumn;z<J&&(RE(f.themeType)&&m.push(new mv(z,J,"inline-selected-text",0)),this._options.renderWhitespace==="selection"&&(v||(v=[]),v.push(new aIt(z-1,J-1))))}}const x=new zR(f.useMonospaceOptimizations,f.canUseHalfwidthRightwardsArrow,d.content,d.continuesWithWrappedLine,d.isBasicASCII,d.containsRTL,d.minColumn-1,d.tokens,m,d.tabSize,d.startVisibleColumn,f.spaceWidth,f.middotWidth,f.wsmiddotWidth,f.stopRenderingLineAfter,f.renderWhitespace,f.renderControlCharacters,f.fontLigatures!==mR.OFF,v);if(this._renderedViewLine&&this._renderedViewLine.input.equals(x))return!1;c.appendString('<div style="top:'),c.appendString(String(i)),c.appendString("px;height:"),c.appendString(String(n)),c.appendString('px;" class="'),c.appendString(wCe.CLASS_NAME),c.appendString('">');const w=Ose(x,c);c.appendString("</div>");let I=null;return i$&&_xi&&d.isBasicASCII&&f.useMonospaceOptimizations&&w.containsForeignElements===0&&(I=new S0e(this._renderedViewLine?this._renderedViewLine.domNode:null,x,w.characterMapping)),I||(I=mIt(this._renderedViewLine?this._renderedViewLine.domNode:null,x,w.characterMapping,w.containsRTL,w.containsForeignElements)),this._renderedViewLine=I,!0}layoutLine(e,i,n){this._renderedViewLine&&this._renderedViewLine.domNode&&(this._renderedViewLine.domNode.setTop(i),this._renderedViewLine.domNode.setHeight(n))}getWidth(e){return this._renderedViewLine?this._renderedViewLine.getWidth(e):0}getWidthIsFast(){return this._renderedViewLine?this._renderedViewLine.getWidthIsFast():!0}needsMonospaceFontCheck(){return this._renderedViewLine?this._renderedViewLine instanceof S0e:!1}monospaceAssumptionsAreValid(){return this._renderedViewLine&&this._renderedViewLine instanceof S0e?this._renderedViewLine.monospaceAssumptionsAreValid():i$}onMonospaceAssumptionsInvalidated(){this._renderedViewLine&&this._renderedViewLine instanceof S0e&&(this._renderedViewLine=this._renderedViewLine.toSlowRenderedLine())}getVisibleRangesForRange(e,i,n,s){if(!this._renderedViewLine)return null;i=Math.min(this._renderedViewLine.input.lineContent.length+1,Math.max(1,i)),n=Math.min(this._renderedViewLine.input.lineContent.length+1,Math.max(1,n));const c=this._renderedViewLine.input.stopRenderingLineAfter;if(c!==-1&&i>c+1&&n>c+1)return new CSt(!0,[new C9(this.getWidth(s),0)]);c!==-1&&i>c+1&&(i=c+1),c!==-1&&n>c+1&&(n=c+1);const d=this._renderedViewLine.getVisibleRangesForRange(e,i,n,s);return d&&d.length>0?new CSt(!1,d):null}getColumnOfNodeOffset(e,i){return this._renderedViewLine?this._renderedViewLine.getColumnOfNodeOffset(e,i):1}};wCe.CLASS_NAME="view-line";let R4=wCe;class S0e{constructor(e,i,n){this._cachedWidth=-1,this.domNode=e,this.input=i;const s=Math.floor(i.lineContent.length/300);if(s>0){this._keyColumnPixelOffsetCache=new Float32Array(s);for(let c=0;c<s;c++)this._keyColumnPixelOffsetCache[c]=-1}else this._keyColumnPixelOffsetCache=null;this._characterMapping=n,this._charWidth=i.spaceWidth}getWidth(e){if(!this.domNode||this.input.lineContent.length<300){const i=this._characterMapping.getHorizontalOffset(this._characterMapping.length);return Math.round(this._charWidth*i)}return this._cachedWidth===-1&&(this._cachedWidth=this._getReadingTarget(this.domNode).offsetWidth,e==null||e.markDidDomLayout()),this._cachedWidth}getWidthIsFast(){return this.input.lineContent.length<300||this._cachedWidth!==-1}monospaceAssumptionsAreValid(){if(!this.domNode)return i$;if(this.input.lineContent.length<300){const e=this.getWidth(null),i=this.domNode.domNode.firstChild.offsetWidth;Math.abs(e-i)>=2&&(console.warn("monospace assumptions have been violated, therefore disabling monospace optimizations!"),i$=!1)}return i$}toSlowRenderedLine(){return mIt(this.domNode,this.input,this._characterMapping,!1,0)}getVisibleRangesForRange(e,i,n,s){const c=this._getColumnPixelOffset(e,i,s),d=this._getColumnPixelOffset(e,n,s);return[new C9(c,d-c)]}_getColumnPixelOffset(e,i,n){if(i<=300){const v=this._characterMapping.getHorizontalOffset(i);return this._charWidth*v}const s=Math.floor((i-1)/300)-1,c=(s+1)*300+1;let d=-1;if(this._keyColumnPixelOffsetCache&&(d=this._keyColumnPixelOffsetCache[s],d===-1&&(d=this._actualReadPixelOffset(e,c,n),this._keyColumnPixelOffsetCache[s]=d)),d===-1){const v=this._characterMapping.getHorizontalOffset(i);return this._charWidth*v}const f=this._characterMapping.getHorizontalOffset(c),m=this._characterMapping.getHorizontalOffset(i);return d+this._charWidth*(m-f)}_getReadingTarget(e){return e.domNode.firstChild}_actualReadPixelOffset(e,i,n){if(!this.domNode)return-1;const s=this._characterMapping.getDomPosition(i),c=x1e.readHorizontalRanges(this._getReadingTarget(this.domNode),s.partIndex,s.charIndex,s.partIndex,s.charIndex,n);return!c||c.length===0?-1:c[0].left}getColumnOfNodeOffset(e,i){return $Je(this._characterMapping,e,i)}}class pIt{constructor(e,i,n,s,c){if(this.domNode=e,this.input=i,this._characterMapping=n,this._isWhitespaceOnly=/^\s*$/.test(i.lineContent),this._containsForeignElements=c,this._cachedWidth=-1,this._pixelOffsetCache=null,!s||this._characterMapping.length===0){this._pixelOffsetCache=new Float32Array(Math.max(2,this._characterMapping.length+1));for(let d=0,f=this._characterMapping.length;d<=f;d++)this._pixelOffsetCache[d]=-1}}_getReadingTarget(e){return e.domNode.firstChild}getWidth(e){return this.domNode?(this._cachedWidth===-1&&(this._cachedWidth=this._getReadingTarget(this.domNode).offsetWidth,e==null||e.markDidDomLayout()),this._cachedWidth):0}getWidthIsFast(){return this._cachedWidth!==-1}getVisibleRangesForRange(e,i,n,s){if(!this.domNode)return null;if(this._pixelOffsetCache!==null){const c=this._readPixelOffset(this.domNode,e,i,s);if(c===-1)return null;const d=this._readPixelOffset(this.domNode,e,n,s);return d===-1?null:[new C9(c,d-c)]}return this._readVisibleRangesForRange(this.domNode,e,i,n,s)}_readVisibleRangesForRange(e,i,n,s,c){if(n===s){const d=this._readPixelOffset(e,i,n,c);return d===-1?null:[new C9(d,0)]}else return this._readRawVisibleRangesForRange(e,n,s,c)}_readPixelOffset(e,i,n,s){if(this._characterMapping.length===0){if(this._containsForeignElements===0||this._containsForeignElements===2)return 0;if(this._containsForeignElements===1)return this.getWidth(s);const c=this._getReadingTarget(e);return c.firstChild?(s.markDidDomLayout(),c.firstChild.offsetWidth):0}if(this._pixelOffsetCache!==null){const c=this._pixelOffsetCache[n];if(c!==-1)return c;const d=this._actualReadPixelOffset(e,i,n,s);return this._pixelOffsetCache[n]=d,d}return this._actualReadPixelOffset(e,i,n,s)}_actualReadPixelOffset(e,i,n,s){if(this._characterMapping.length===0){const m=x1e.readHorizontalRanges(this._getReadingTarget(e),0,0,0,0,s);return!m||m.length===0?-1:m[0].left}if(n===this._characterMapping.length&&this._isWhitespaceOnly&&this._containsForeignElements===0)return this.getWidth(s);const c=this._characterMapping.getDomPosition(n),d=x1e.readHorizontalRanges(this._getReadingTarget(e),c.partIndex,c.charIndex,c.partIndex,c.charIndex,s);if(!d||d.length===0)return-1;const f=d[0].left;if(this.input.isBasicASCII){const m=this._characterMapping.getHorizontalOffset(n),v=Math.round(this.input.spaceWidth*m);if(Math.abs(v-f)<=1)return v}return f}_readRawVisibleRangesForRange(e,i,n,s){if(i===1&&n===this._characterMapping.length)return[new C9(0,this.getWidth(s))];const c=this._characterMapping.getDomPosition(i),d=this._characterMapping.getDomPosition(n);return x1e.readHorizontalRanges(this._getReadingTarget(e),c.partIndex,c.charIndex,d.partIndex,d.charIndex,s)}getColumnOfNodeOffset(e,i){return $Je(this._characterMapping,e,i)}}class pxi extends pIt{_readVisibleRangesForRange(e,i,n,s,c){const d=super._readVisibleRangesForRange(e,i,n,s,c);if(!d||d.length===0||n===s||n===1&&s===this._characterMapping.length)return d;if(!this.input.containsRTL){const f=this._readPixelOffset(e,i,s,c);if(f!==-1){const m=d[d.length-1];m.left<f&&(m.width=f-m.left)}}return d}}const mIt=function(){return f9?mxi:gxi}();function mxi(l,e,i,n,s){return new pxi(l,e,i,n,s)}function gxi(l,e,i,n,s){return new pIt(l,e,i,n,s)}function $Je(l,e,i){const n=e.textContent.length;let s=-1;for(;e;)e=e.previousSibling,s++;return l.getColumn(new cIt(s,i),n)}class Sre{static whitespaceVisibleColumn(e,i,n){const s=e.length;let c=0,d=-1,f=-1;for(let m=0;m<s;m++){if(m===i)return[d,f,c];switch(c%n===0&&(d=m,f=c),e.charCodeAt(m)){case 32:c+=1;break;case 9:c=em.nextRenderTabStop(c,n);break;default:return[-1,-1,-1]}}return i===s?[d,f,c]:[-1,-1,-1]}static atomicPosition(e,i,n,s){const c=e.length,[d,f,m]=Sre.whitespaceVisibleColumn(e,i,n);if(m===-1)return-1;let v;switch(s){case 0:v=!0;break;case 1:v=!1;break;case 2:if(m%n===0)return i;v=m%n<=n/2;break}if(v){if(d===-1)return-1;let I=f;for(let P=d;P<c;++P){if(I===f+n)return d;switch(e.charCodeAt(P)){case 32:I+=1;break;case 9:I=em.nextRenderTabStop(I,n);break;default:return-1}}return I===f+n?d:-1}const x=em.nextRenderTabStop(m,n);let w=m;for(let I=i;I<c;I++){if(w===x)return I;switch(e.charCodeAt(I)){case 32:w+=1;break;case 9:w=em.nextRenderTabStop(w,n);break;default:return-1}}return w===x?c:-1}}class dM{constructor(e=null){this.hitTarget=e,this.type=0}}class gIt{get hitTarget(){return this.spanNode}constructor(e,i,n){this.position=e,this.spanNode=i,this.injectedText=n,this.type=1}}var $F;(function(l){function e(i,n,s){const c=i.getPositionFromDOMInfo(n,s);return c?new gIt(c,n,null):new dM(n)}l.createFromDOMInfo=e})($F||($F={}));class yxi{constructor(e,i){this.lastViewCursorsRenderData=e,this.lastTextareaPosition=i}}class vy{static _deduceRage(e,i=null){return!i&&e?new nt(e.lineNumber,e.column,e.lineNumber,e.column):i??null}static createUnknown(e,i,n){return{type:0,element:e,mouseColumn:i,position:n,range:this._deduceRage(n)}}static createTextarea(e,i){return{type:1,element:e,mouseColumn:i,position:null,range:null}}static createMargin(e,i,n,s,c,d){return{type:e,element:i,mouseColumn:n,position:s,range:c,detail:d}}static createViewZone(e,i,n,s,c){return{type:e,element:i,mouseColumn:n,position:s,range:this._deduceRage(s),detail:c}}static createContentText(e,i,n,s,c){return{type:6,element:e,mouseColumn:i,position:n,range:this._deduceRage(n,s),detail:c}}static createContentEmpty(e,i,n,s){return{type:7,element:e,mouseColumn:i,position:n,range:this._deduceRage(n),detail:s}}static createContentWidget(e,i,n){return{type:9,element:e,mouseColumn:i,position:null,range:null,detail:n}}static createScrollbar(e,i,n){return{type:11,element:e,mouseColumn:i,position:n,range:this._deduceRage(n)}}static createOverlayWidget(e,i,n){return{type:12,element:e,mouseColumn:i,position:null,range:null,detail:n}}static createOutsideEditor(e,i,n,s){return{type:13,element:null,mouseColumn:e,position:i,range:this._deduceRage(i),outsidePosition:n,outsideDistance:s}}static _typeToString(e){return e===1?"TEXTAREA":e===2?"GUTTER_GLYPH_MARGIN":e===3?"GUTTER_LINE_NUMBERS":e===4?"GUTTER_LINE_DECORATIONS":e===5?"GUTTER_VIEW_ZONE":e===6?"CONTENT_TEXT":e===7?"CONTENT_EMPTY":e===8?"CONTENT_VIEW_ZONE":e===9?"CONTENT_WIDGET":e===10?"OVERVIEW_RULER":e===11?"SCROLLBAR":e===12?"OVERLAY_WIDGET":"UNKNOWN"}static toString(e){return this._typeToString(e.type)+": "+e.position+" - "+e.range+" - "+JSON.stringify(e.detail)}}class cv{static isTextArea(e){return e.length===2&&e[0]===3&&e[1]===7}static isChildOfViewLines(e){return e.length>=4&&e[0]===3&&e[3]===8}static isStrictChildOfViewLines(e){return e.length>4&&e[0]===3&&e[3]===8}static isChildOfScrollableElement(e){return e.length>=2&&e[0]===3&&e[1]===6}static isChildOfMinimap(e){return e.length>=2&&e[0]===3&&e[1]===9}static isChildOfContentWidgets(e){return e.length>=4&&e[0]===3&&e[3]===1}static isChildOfOverflowGuard(e){return e.length>=1&&e[0]===3}static isChildOfOverflowingContentWidgets(e){return e.length>=1&&e[0]===2}static isChildOfOverlayWidgets(e){return e.length>=2&&e[0]===3&&e[1]===4}static isChildOfOverflowingOverlayWidgets(e){return e.length>=1&&e[0]===5}}class $${constructor(e,i,n){this.viewModel=e.viewModel;const s=e.configuration.options;this.layoutInfo=s.get(146),this.viewDomNode=i.viewDomNode,this.lineHeight=s.get(67),this.stickyTabStops=s.get(117),this.typicalHalfwidthCharacterWidth=s.get(50).typicalHalfwidthCharacterWidth,this.lastRenderData=n,this._context=e,this._viewHelper=i}getZoneAtCoord(e){return $$.getZoneAtCoord(this._context,e)}static getZoneAtCoord(e,i){const n=e.viewLayout.getWhitespaceAtVerticalOffset(i);if(n){const s=n.verticalOffset+n.height/2,c=e.viewModel.getLineCount();let d=null,f,m=null;return n.afterLineNumber!==c&&(m=new pi(n.afterLineNumber+1,1)),n.afterLineNumber>0&&(d=new pi(n.afterLineNumber,e.viewModel.getLineMaxColumn(n.afterLineNumber))),m===null?f=d:d===null?f=m:i<s?f=d:f=m,{viewZoneId:n.id,afterLineNumber:n.afterLineNumber,positionBefore:d,positionAfter:m,position:f}}return null}getFullLineRangeAtCoord(e){if(this._context.viewLayout.isAfterLines(e)){const s=this._context.viewModel.getLineCount(),c=this._context.viewModel.getLineMaxColumn(s);return{range:new nt(s,c,s,c),isAfterLines:!0}}const i=this._context.viewLayout.getLineNumberAtVerticalOffset(e),n=this._context.viewModel.getLineMaxColumn(i);return{range:new nt(i,1,i,n),isAfterLines:!1}}getLineNumberAtVerticalOffset(e){return this._context.viewLayout.getLineNumberAtVerticalOffset(e)}isAfterLines(e){return this._context.viewLayout.isAfterLines(e)}isInTopPadding(e){return this._context.viewLayout.isInTopPadding(e)}isInBottomPadding(e){return this._context.viewLayout.isInBottomPadding(e)}getVerticalOffsetForLineNumber(e){return this._context.viewLayout.getVerticalOffsetForLineNumber(e)}findAttribute(e,i){return $$._findAttribute(e,i,this._viewHelper.viewDomNode)}static _findAttribute(e,i,n){for(;e&&e!==e.ownerDocument.body;){if(e.hasAttribute&&e.hasAttribute(i))return e.getAttribute(i);if(e===n)return null;e=e.parentNode}return null}getLineWidth(e){return this._viewHelper.getLineWidth(e)}visibleRangeForPosition(e,i){return this._viewHelper.visibleRangeForPosition(e,i)}getPositionFromDOMInfo(e,i){return this._viewHelper.getPositionFromDOMInfo(e,i)}getCurrentScrollTop(){return this._context.viewLayout.getCurrentScrollTop()}getCurrentScrollLeft(){return this._context.viewLayout.getCurrentScrollLeft()}}class vxi{constructor(e,i,n,s){this.editorPos=i,this.pos=n,this.relativePos=s,this.mouseVerticalOffset=Math.max(0,e.getCurrentScrollTop()+this.relativePos.y),this.mouseContentHorizontalOffset=e.getCurrentScrollLeft()+this.relativePos.x-e.layoutInfo.contentLeft,this.isInMarginArea=this.relativePos.x<e.layoutInfo.contentLeft&&this.relativePos.x>=e.layoutInfo.glyphMarginLeft,this.isInContentArea=!this.isInMarginArea,this.mouseColumn=Math.max(0,yy._getMouseColumn(this.mouseContentHorizontalOffset,e.typicalHalfwidthCharacterWidth))}}class bxi extends vxi{get target(){return this._useHitTestTarget?this.hitTestResult.value.hitTarget:this._eventTarget}get targetPath(){return this._targetPathCacheElement!==this.target&&(this._targetPathCacheElement=this.target,this._targetPathCacheValue=JE.collect(this.target,this._ctx.viewDomNode)),this._targetPathCacheValue}constructor(e,i,n,s,c){super(e,i,n,s),this.hitTestResult=new HE(()=>yy.doHitTest(this._ctx,this)),this._targetPathCacheElement=null,this._targetPathCacheValue=new Uint8Array(0),this._ctx=e,this._eventTarget=c;const d=!!this._eventTarget;this._useHitTestTarget=!d}toString(){return`pos(${this.pos.x},${this.pos.y}), editorPos(${this.editorPos.x},${this.editorPos.y}), relativePos(${this.relativePos.x},${this.relativePos.y}), mouseVerticalOffset: ${this.mouseVerticalOffset}, mouseContentHorizontalOffset: ${this.mouseContentHorizontalOffset}
+ target: ${this.target?this.target.outerHTML:null}`}get wouldBenefitFromHitTestTargetSwitch(){return!this._useHitTestTarget&&this.hitTestResult.value.hitTarget!==null&&this.target!==this.hitTestResult.value.hitTarget}switchToHitTestTarget(){this._useHitTestTarget=!0}_getMouseColumn(e=null){return e&&e.column<this._ctx.viewModel.getLineMaxColumn(e.lineNumber)?em.visibleColumnFromColumn(this._ctx.viewModel.getLineContent(e.lineNumber),e.column,this._ctx.viewModel.model.getOptions().tabSize)+1:this.mouseColumn}fulfillUnknown(e=null){return vy.createUnknown(this.target,this._getMouseColumn(e),e)}fulfillTextarea(){return vy.createTextarea(this.target,this._getMouseColumn())}fulfillMargin(e,i,n,s){return vy.createMargin(e,this.target,this._getMouseColumn(i),i,n,s)}fulfillViewZone(e,i,n){return vy.createViewZone(e,this.target,this._getMouseColumn(i),i,n)}fulfillContentText(e,i,n){return vy.createContentText(this.target,this._getMouseColumn(e),e,i,n)}fulfillContentEmpty(e,i){return vy.createContentEmpty(this.target,this._getMouseColumn(e),e,i)}fulfillContentWidget(e){return vy.createContentWidget(this.target,this._getMouseColumn(),e)}fulfillScrollbar(e){return vy.createScrollbar(this.target,this._getMouseColumn(e),e)}fulfillOverlayWidget(e){return vy.createOverlayWidget(this.target,this._getMouseColumn(),e)}}const wSt={isAfterLines:!0};function u9e(l){return{isAfterLines:!1,horizontalDistanceToText:l}}class yy{constructor(e,i){this._context=e,this._viewHelper=i}mouseTargetIsWidget(e){const i=e.target,n=JE.collect(i,this._viewHelper.viewDomNode);return!!(cv.isChildOfContentWidgets(n)||cv.isChildOfOverflowingContentWidgets(n)||cv.isChildOfOverlayWidgets(n)||cv.isChildOfOverflowingOverlayWidgets(n))}createMouseTarget(e,i,n,s,c){const d=new $$(this._context,this._viewHelper,e),f=new bxi(d,i,n,s,c);try{const m=yy._createMouseTarget(d,f);if(m.type===6&&d.stickyTabStops&&m.position!==null){const v=yy._snapToSoftTabBoundary(m.position,d.viewModel),x=nt.fromPositions(v,v).plusRange(m.range);return f.fulfillContentText(v,x,m.detail)}return m}catch{return f.fulfillUnknown()}}static _createMouseTarget(e,i){if(i.target===null)return i.fulfillUnknown();const n=i;let s=null;return!cv.isChildOfOverflowGuard(i.targetPath)&&!cv.isChildOfOverflowingContentWidgets(i.targetPath)&&!cv.isChildOfOverflowingOverlayWidgets(i.targetPath)&&(s=s||i.fulfillUnknown()),s=s||yy._hitTestContentWidget(e,n),s=s||yy._hitTestOverlayWidget(e,n),s=s||yy._hitTestMinimap(e,n),s=s||yy._hitTestScrollbarSlider(e,n),s=s||yy._hitTestViewZone(e,n),s=s||yy._hitTestMargin(e,n),s=s||yy._hitTestViewCursor(e,n),s=s||yy._hitTestTextArea(e,n),s=s||yy._hitTestViewLines(e,n),s=s||yy._hitTestScrollbar(e,n),s||i.fulfillUnknown()}static _hitTestContentWidget(e,i){if(cv.isChildOfContentWidgets(i.targetPath)||cv.isChildOfOverflowingContentWidgets(i.targetPath)){const n=e.findAttribute(i.target,"widgetId");return n?i.fulfillContentWidget(n):i.fulfillUnknown()}return null}static _hitTestOverlayWidget(e,i){if(cv.isChildOfOverlayWidgets(i.targetPath)||cv.isChildOfOverflowingOverlayWidgets(i.targetPath)){const n=e.findAttribute(i.target,"widgetId");return n?i.fulfillOverlayWidget(n):i.fulfillUnknown()}return null}static _hitTestViewCursor(e,i){if(i.target){const n=e.lastRenderData.lastViewCursorsRenderData;for(const s of n)if(i.target===s.domNode)return i.fulfillContentText(s.position,null,{mightBeForeignElement:!1,injectedText:null})}if(i.isInContentArea){const n=e.lastRenderData.lastViewCursorsRenderData,s=i.mouseContentHorizontalOffset,c=i.mouseVerticalOffset;for(const d of n){if(s<d.contentLeft||s>d.contentLeft+d.width)continue;const f=e.getVerticalOffsetForLineNumber(d.position.lineNumber);if(f<=c&&c<=f+d.height)return i.fulfillContentText(d.position,null,{mightBeForeignElement:!1,injectedText:null})}}return null}static _hitTestViewZone(e,i){const n=e.getZoneAtCoord(i.mouseVerticalOffset);if(n){const s=i.isInContentArea?8:5;return i.fulfillViewZone(s,n.position,n)}return null}static _hitTestTextArea(e,i){return cv.isTextArea(i.targetPath)?e.lastRenderData.lastTextareaPosition?i.fulfillContentText(e.lastRenderData.lastTextareaPosition,null,{mightBeForeignElement:!1,injectedText:null}):i.fulfillTextarea():null}static _hitTestMargin(e,i){if(i.isInMarginArea){const n=e.getFullLineRangeAtCoord(i.mouseVerticalOffset),s=n.range.getStartPosition();let c=Math.abs(i.relativePos.x);const d={isAfterLines:n.isAfterLines,glyphMarginLeft:e.layoutInfo.glyphMarginLeft,glyphMarginWidth:e.layoutInfo.glyphMarginWidth,lineNumbersWidth:e.layoutInfo.lineNumbersWidth,offsetX:c};if(c-=e.layoutInfo.glyphMarginLeft,c<=e.layoutInfo.glyphMarginWidth){const f=e.viewModel.coordinatesConverter.convertViewPositionToModelPosition(n.range.getStartPosition()),m=e.viewModel.glyphLanes.getLanesAtLine(f.lineNumber);return d.glyphMarginLane=m[Math.floor(c/e.lineHeight)],i.fulfillMargin(2,s,n.range,d)}return c-=e.layoutInfo.glyphMarginWidth,c<=e.layoutInfo.lineNumbersWidth?i.fulfillMargin(3,s,n.range,d):(c-=e.layoutInfo.lineNumbersWidth,i.fulfillMargin(4,s,n.range,d))}return null}static _hitTestViewLines(e,i){if(!cv.isChildOfViewLines(i.targetPath))return null;if(e.isInTopPadding(i.mouseVerticalOffset))return i.fulfillContentEmpty(new pi(1,1),wSt);if(e.isAfterLines(i.mouseVerticalOffset)||e.isInBottomPadding(i.mouseVerticalOffset)){const s=e.viewModel.getLineCount(),c=e.viewModel.getLineMaxColumn(s);return i.fulfillContentEmpty(new pi(s,c),wSt)}if(cv.isStrictChildOfViewLines(i.targetPath)){const s=e.getLineNumberAtVerticalOffset(i.mouseVerticalOffset);if(e.viewModel.getLineLength(s)===0){const d=e.getLineWidth(s),f=u9e(i.mouseContentHorizontalOffset-d);return i.fulfillContentEmpty(new pi(s,1),f)}const c=e.getLineWidth(s);if(i.mouseContentHorizontalOffset>=c){const d=u9e(i.mouseContentHorizontalOffset-c),f=new pi(s,e.viewModel.getLineMaxColumn(s));return i.fulfillContentEmpty(f,d)}}const n=i.hitTestResult.value;return n.type===1?yy.createMouseTargetFromHitTestPosition(e,i,n.spanNode,n.position,n.injectedText):i.wouldBenefitFromHitTestTargetSwitch?(i.switchToHitTestTarget(),this._createMouseTarget(e,i)):i.fulfillUnknown()}static _hitTestMinimap(e,i){if(cv.isChildOfMinimap(i.targetPath)){const n=e.getLineNumberAtVerticalOffset(i.mouseVerticalOffset),s=e.viewModel.getLineMaxColumn(n);return i.fulfillScrollbar(new pi(n,s))}return null}static _hitTestScrollbarSlider(e,i){if(cv.isChildOfScrollableElement(i.targetPath)&&i.target&&i.target.nodeType===1){const n=i.target.className;if(n&&/\b(slider|scrollbar)\b/.test(n)){const s=e.getLineNumberAtVerticalOffset(i.mouseVerticalOffset),c=e.viewModel.getLineMaxColumn(s);return i.fulfillScrollbar(new pi(s,c))}}return null}static _hitTestScrollbar(e,i){if(cv.isChildOfScrollableElement(i.targetPath)){const n=e.getLineNumberAtVerticalOffset(i.mouseVerticalOffset),s=e.viewModel.getLineMaxColumn(n);return i.fulfillScrollbar(new pi(n,s))}return null}getMouseColumn(e){const i=this._context.configuration.options,n=i.get(146),s=this._context.viewLayout.getCurrentScrollLeft()+e.x-n.contentLeft;return yy._getMouseColumn(s,i.get(50).typicalHalfwidthCharacterWidth)}static _getMouseColumn(e,i){return e<0?1:Math.round(e/i)+1}static createMouseTargetFromHitTestPosition(e,i,n,s,c){const d=s.lineNumber,f=s.column,m=e.getLineWidth(d);if(i.mouseContentHorizontalOffset>m){const Y=u9e(i.mouseContentHorizontalOffset-m);return i.fulfillContentEmpty(s,Y)}const v=e.visibleRangeForPosition(d,f);if(!v)return i.fulfillUnknown(s);const x=v.left;if(Math.abs(i.mouseContentHorizontalOffset-x)<1)return i.fulfillContentText(s,null,{mightBeForeignElement:!!c,injectedText:c});const w=[];if(w.push({offset:v.left,column:f}),f>1){const Y=e.visibleRangeForPosition(d,f-1);Y&&w.push({offset:Y.left,column:f-1})}const I=e.viewModel.getLineMaxColumn(d);if(f<I){const Y=e.visibleRangeForPosition(d,f+1);Y&&w.push({offset:Y.left,column:f+1})}w.sort((Y,ae)=>Y.offset-ae.offset);const P=i.pos.toClientCoordinates(Eo(e.viewDomNode)),O=n.getBoundingClientRect(),z=O.left<=P.clientX&&P.clientX<=O.right;let J=null;for(let Y=1;Y<w.length;Y++){const ae=w[Y-1],me=w[Y];if(ae.offset<=i.mouseContentHorizontalOffset&&i.mouseContentHorizontalOffset<=me.offset){J=new nt(d,ae.column,d,me.column);const ye=Math.abs(ae.offset-i.mouseContentHorizontalOffset),Ce=Math.abs(me.offset-i.mouseContentHorizontalOffset);s=ye<Ce?new pi(d,ae.column):new pi(d,me.column);break}}return i.fulfillContentText(s,J,{mightBeForeignElement:!z||!!c,injectedText:c})}static _doHitTestWithCaretRangeFromPoint(e,i){const n=e.getLineNumberAtVerticalOffset(i.mouseVerticalOffset),s=e.getVerticalOffsetForLineNumber(n),c=s+e.lineHeight;if(!(n===e.viewModel.getLineCount()&&i.mouseVerticalOffset>c)){const f=Math.floor((s+c)/2);let m=i.pos.y+(f-i.mouseVerticalOffset);m<=i.editorPos.y&&(m=i.editorPos.y+1),m>=i.editorPos.y+i.editorPos.height&&(m=i.editorPos.y+i.editorPos.height-1);const v=new Lwe(i.pos.x,m),x=this._actualDoHitTestWithCaretRangeFromPoint(e,v.toClientCoordinates(Eo(e.viewDomNode)));if(x.type===1)return x}return this._actualDoHitTestWithCaretRangeFromPoint(e,i.pos.toClientCoordinates(Eo(e.viewDomNode)))}static _actualDoHitTestWithCaretRangeFromPoint(e,i){const n=kB(e.viewDomNode);let s;if(n?typeof n.caretRangeFromPoint>"u"?s=Cxi(n,i.clientX,i.clientY):s=n.caretRangeFromPoint(i.clientX,i.clientY):s=e.viewDomNode.ownerDocument.caretRangeFromPoint(i.clientX,i.clientY),!s||!s.startContainer)return new dM;const c=s.startContainer;if(c.nodeType===c.TEXT_NODE){const d=c.parentNode,f=d?d.parentNode:null,m=f?f.parentNode:null;return(m&&m.nodeType===m.ELEMENT_NODE?m.className:null)===R4.CLASS_NAME?$F.createFromDOMInfo(e,d,s.startOffset):new dM(c.parentNode)}else if(c.nodeType===c.ELEMENT_NODE){const d=c.parentNode,f=d?d.parentNode:null;return(f&&f.nodeType===f.ELEMENT_NODE?f.className:null)===R4.CLASS_NAME?$F.createFromDOMInfo(e,c,c.textContent.length):new dM(c)}return new dM}static _doHitTestWithCaretPositionFromPoint(e,i){const n=e.viewDomNode.ownerDocument.caretPositionFromPoint(i.clientX,i.clientY);if(n.offsetNode.nodeType===n.offsetNode.TEXT_NODE){const s=n.offsetNode.parentNode,c=s?s.parentNode:null,d=c?c.parentNode:null;return(d&&d.nodeType===d.ELEMENT_NODE?d.className:null)===R4.CLASS_NAME?$F.createFromDOMInfo(e,n.offsetNode.parentNode,n.offset):new dM(n.offsetNode.parentNode)}if(n.offsetNode.nodeType===n.offsetNode.ELEMENT_NODE){const s=n.offsetNode.parentNode,c=s&&s.nodeType===s.ELEMENT_NODE?s.className:null,d=s?s.parentNode:null,f=d&&d.nodeType===d.ELEMENT_NODE?d.className:null;if(c===R4.CLASS_NAME){const m=n.offsetNode.childNodes[Math.min(n.offset,n.offsetNode.childNodes.length-1)];if(m)return $F.createFromDOMInfo(e,m,0)}else if(f===R4.CLASS_NAME)return $F.createFromDOMInfo(e,n.offsetNode,0)}return new dM(n.offsetNode)}static _snapToSoftTabBoundary(e,i){const n=i.getLineContent(e.lineNumber),{tabSize:s}=i.model.getOptions(),c=Sre.atomicPosition(n,e.column-1,s,2);return c!==-1?new pi(e.lineNumber,c+1):e}static doHitTest(e,i){let n=new dM;if(typeof e.viewDomNode.ownerDocument.caretRangeFromPoint=="function"?n=this._doHitTestWithCaretRangeFromPoint(e,i):e.viewDomNode.ownerDocument.caretPositionFromPoint&&(n=this._doHitTestWithCaretPositionFromPoint(e,i.pos.toClientCoordinates(Eo(e.viewDomNode)))),n.type===1){const s=e.viewModel.getInjectedTextAt(n.position),c=e.viewModel.normalizePosition(n.position,2);(s||!c.equals(n.position))&&(n=new gIt(c,n.spanNode,s))}return n}}function Cxi(l,e,i){const n=document.createRange();let s=l.elementFromPoint(e,i);if(s!==null){for(;s&&s.firstChild&&s.firstChild.nodeType!==s.firstChild.TEXT_NODE&&s.lastChild&&s.lastChild.firstChild;)s=s.lastChild;const c=s.getBoundingClientRect(),d=Eo(s),f=d.getComputedStyle(s,null).getPropertyValue("font-style"),m=d.getComputedStyle(s,null).getPropertyValue("font-variant"),v=d.getComputedStyle(s,null).getPropertyValue("font-weight"),x=d.getComputedStyle(s,null).getPropertyValue("font-size"),w=d.getComputedStyle(s,null).getPropertyValue("line-height"),I=d.getComputedStyle(s,null).getPropertyValue("font-family"),P=`${f} ${m} ${v} ${x}/${w} ${I}`,O=s.innerText;let z=c.left,J=0,Y;if(e>c.left+c.width)J=O.length;else{const ae=ije.getInstance();for(let me=0;me<O.length+1;me++){if(Y=ae.getCharWidth(O.charAt(me),P)/2,z+=Y,e<z){J=me;break}z+=Y}}n.setStart(s.firstChild,J),n.setEnd(s.firstChild,J)}return n}const YF=class YF{static getInstance(){return YF._INSTANCE||(YF._INSTANCE=new YF),YF._INSTANCE}constructor(){this._cache={},this._canvas=document.createElement("canvas")}getCharWidth(e,i){const n=e+i;if(this._cache[n])return this._cache[n];const s=this._canvas.getContext("2d");s.font=i;const d=s.measureText(e).width;return this._cache[n]=d,d}};YF._INSTANCE=null;let ije=YF;class JJe extends Mse{constructor(e,i,n){super(),this._mouseLeaveMonitor=null,this._context=e,this.viewController=i,this.viewHelper=n,this.mouseTargetFactory=new yy(this._context,n),this._mouseDownOperation=this._register(new Sxi(this._context,this.viewController,this.viewHelper,this.mouseTargetFactory,(d,f)=>this._createMouseTarget(d,f),d=>this._getMouseColumn(d))),this.lastMouseLeaveTime=-1,this._height=this._context.configuration.options.get(146).height;const s=new sxi(this.viewHelper.viewDomNode);this._register(s.onContextMenu(this.viewHelper.viewDomNode,d=>this._onContextMenu(d,!0))),this._register(s.onMouseMove(this.viewHelper.viewDomNode,d=>{this._onMouseMove(d),this._mouseLeaveMonitor||(this._mouseLeaveMonitor=en(this.viewHelper.viewDomNode.ownerDocument,"mousemove",f=>{this.viewHelper.viewDomNode.contains(f.target)||this._onMouseLeave(new IR(f,!1,this.viewHelper.viewDomNode))}))})),this._register(s.onMouseUp(this.viewHelper.viewDomNode,d=>this._onMouseUp(d))),this._register(s.onMouseLeave(this.viewHelper.viewDomNode,d=>this._onMouseLeave(d)));let c=0;this._register(s.onPointerDown(this.viewHelper.viewDomNode,(d,f)=>{c=f})),this._register(en(this.viewHelper.viewDomNode,br.POINTER_UP,d=>{this._mouseDownOperation.onPointerUp()})),this._register(s.onMouseDown(this.viewHelper.viewDomNode,d=>this._onMouseDown(d,c))),this._setupMouseWheelZoomListener(),this._context.addEventHandler(this)}_setupMouseWheelZoomListener(){const e=Eye.INSTANCE;let i=0,n=fk.getZoomLevel(),s=!1,c=0;const d=m=>{if(this.viewController.emitMouseWheel(m),!this._context.configuration.options.get(76))return;const v=new xB(m);if(e.acceptStandardWheelEvent(v),e.isPhysicalMouseWheel()){if(f(m)){const x=fk.getZoomLevel(),w=v.deltaY>0?1:-1;fk.setZoomLevel(x+w),v.preventDefault(),v.stopPropagation()}}else Date.now()-i>50&&(n=fk.getZoomLevel(),s=f(m),c=0),i=Date.now(),c+=v.deltaY,s&&(fk.setZoomLevel(n+c/5),v.preventDefault(),v.stopPropagation())};this._register(en(this.viewHelper.viewDomNode,br.MOUSE_WHEEL,d,{capture:!0,passive:!1}));function f(m){return Hc?(m.metaKey||m.ctrlKey)&&!m.shiftKey&&!m.altKey:m.ctrlKey&&!m.metaKey&&!m.shiftKey&&!m.altKey}}dispose(){this._context.removeEventHandler(this),this._mouseLeaveMonitor&&(this._mouseLeaveMonitor.dispose(),this._mouseLeaveMonitor=null),super.dispose()}onConfigurationChanged(e){if(e.hasChanged(146)){const i=this._context.configuration.options.get(146).height;this._height!==i&&(this._height=i,this._mouseDownOperation.onHeightChanged())}return!1}onCursorStateChanged(e){return this._mouseDownOperation.onCursorStateChanged(e),!1}onFocusChanged(e){return!1}getTargetAtClientPoint(e,i){const s=new _It(e,i).toPageCoordinates(Eo(this.viewHelper.viewDomNode)),c=UJe(this.viewHelper.viewDomNode);if(s.y<c.y||s.y>c.y+c.height||s.x<c.x||s.x>c.x+c.width)return null;const d=qJe(this.viewHelper.viewDomNode,c,s);return this.mouseTargetFactory.createMouseTarget(this.viewHelper.getLastRenderData(),c,s,d,null)}_createMouseTarget(e,i){let n=e.target;if(!this.viewHelper.viewDomNode.contains(n)){const s=kB(this.viewHelper.viewDomNode);s&&(n=s.elementsFromPoint(e.posx,e.posy).find(c=>this.viewHelper.viewDomNode.contains(c)))}return this.mouseTargetFactory.createMouseTarget(this.viewHelper.getLastRenderData(),e.editorPos,e.pos,e.relativePos,i?n:null)}_getMouseColumn(e){return this.mouseTargetFactory.getMouseColumn(e.relativePos)}_onContextMenu(e,i){this.viewController.emitContextMenu({event:e,target:this._createMouseTarget(e,i)})}_onMouseMove(e){this.mouseTargetFactory.mouseTargetIsWidget(e)||e.preventDefault(),!(this._mouseDownOperation.isActive()||e.timestamp<this.lastMouseLeaveTime)&&this.viewController.emitMouseMove({event:e,target:this._createMouseTarget(e,!0)})}_onMouseLeave(e){this._mouseLeaveMonitor&&(this._mouseLeaveMonitor.dispose(),this._mouseLeaveMonitor=null),this.lastMouseLeaveTime=new Date().getTime(),this.viewController.emitMouseLeave({event:e,target:null})}_onMouseUp(e){this.viewController.emitMouseUp({event:e,target:this._createMouseTarget(e,!0)})}_onMouseDown(e,i){const n=this._createMouseTarget(e,!0),s=n.type===6||n.type===7,c=n.type===2||n.type===3||n.type===4,d=n.type===3,f=this._context.configuration.options.get(110),m=n.type===8||n.type===5,v=n.type===9;let x=e.leftButton||e.middleButton;Hc&&e.leftButton&&e.ctrlKey&&(x=!1);const w=()=>{e.preventDefault(),this.viewHelper.focusTextArea()};if(x&&(s||d&&f))w(),this._mouseDownOperation.start(n.type,e,i);else if(c)e.preventDefault();else if(m){const I=n.detail;x&&this.viewHelper.shouldSuppressMouseDownOnViewZone(I.viewZoneId)&&(w(),this._mouseDownOperation.start(n.type,e,i),e.preventDefault())}else v&&this.viewHelper.shouldSuppressMouseDownOnWidget(n.detail)&&(w(),e.preventDefault());this.viewController.emitMouseDown({event:e,target:n})}}class Sxi extends xi{constructor(e,i,n,s,c,d){super(),this._context=e,this._viewController=i,this._viewHelper=n,this._mouseTargetFactory=s,this._createMouseTarget=c,this._getMouseColumn=d,this._mouseMoveMonitor=this._register(new axi(this._viewHelper.viewDomNode)),this._topBottomDragScrolling=this._register(new wxi(this._context,this._viewHelper,this._mouseTargetFactory,(f,m,v)=>this._dispatchMouse(f,m,v))),this._mouseState=new nje,this._currentSelection=new Us(1,1,1,1),this._isActive=!1,this._lastMouseEvent=null}dispose(){super.dispose()}isActive(){return this._isActive}_onMouseDownThenMove(e){this._lastMouseEvent=e,this._mouseState.setModifiers(e);const i=this._findMousePosition(e,!1);i&&(this._mouseState.isDragAndDrop?this._viewController.emitMouseDrag({event:e,target:i}):i.type===13&&(i.outsidePosition==="above"||i.outsidePosition==="below")?this._topBottomDragScrolling.start(i,e):(this._topBottomDragScrolling.stop(),this._dispatchMouse(i,!0,1)))}start(e,i,n){this._lastMouseEvent=i,this._mouseState.setStartedOnLineNumbers(e===3),this._mouseState.setStartButtons(i),this._mouseState.setModifiers(i);const s=this._findMousePosition(i,!0);if(!s||!s.position)return;this._mouseState.trySetCount(i.detail,s.position),i.detail=this._mouseState.count;const c=this._context.configuration.options;if(!c.get(92)&&c.get(35)&&!c.get(22)&&!this._mouseState.altKey&&i.detail<2&&!this._isActive&&!this._currentSelection.isEmpty()&&s.type===6&&s.position&&this._currentSelection.containsPosition(s.position)){this._mouseState.isDragAndDrop=!0,this._isActive=!0,this._mouseMoveMonitor.startMonitoring(this._viewHelper.viewLinesDomNode,n,i.buttons,d=>this._onMouseDownThenMove(d),d=>{const f=this._findMousePosition(this._lastMouseEvent,!1);E4(d)?this._viewController.emitMouseDropCanceled():this._viewController.emitMouseDrop({event:this._lastMouseEvent,target:f?this._createMouseTarget(this._lastMouseEvent,!0):null}),this._stop()});return}this._mouseState.isDragAndDrop=!1,this._dispatchMouse(s,i.shiftKey,1),this._isActive||(this._isActive=!0,this._mouseMoveMonitor.startMonitoring(this._viewHelper.viewLinesDomNode,n,i.buttons,d=>this._onMouseDownThenMove(d),()=>this._stop()))}_stop(){this._isActive=!1,this._topBottomDragScrolling.stop()}onHeightChanged(){this._mouseMoveMonitor.stopMonitoring()}onPointerUp(){this._mouseMoveMonitor.stopMonitoring()}onCursorStateChanged(e){this._currentSelection=e.selections[0]}_getPositionOutsideEditor(e){const i=e.editorPos,n=this._context.viewModel,s=this._context.viewLayout,c=this._getMouseColumn(e);if(e.posy<i.y){const f=i.y-e.posy,m=Math.max(s.getCurrentScrollTop()-f,0),v=$$.getZoneAtCoord(this._context,m);if(v){const w=this._helpPositionJumpOverViewZone(v);if(w)return vy.createOutsideEditor(c,w,"above",f)}const x=s.getLineNumberAtVerticalOffset(m);return vy.createOutsideEditor(c,new pi(x,1),"above",f)}if(e.posy>i.y+i.height){const f=e.posy-i.y-i.height,m=s.getCurrentScrollTop()+e.relativePos.y,v=$$.getZoneAtCoord(this._context,m);if(v){const w=this._helpPositionJumpOverViewZone(v);if(w)return vy.createOutsideEditor(c,w,"below",f)}const x=s.getLineNumberAtVerticalOffset(m);return vy.createOutsideEditor(c,new pi(x,n.getLineMaxColumn(x)),"below",f)}const d=s.getLineNumberAtVerticalOffset(s.getCurrentScrollTop()+e.relativePos.y);if(e.posx<i.x){const f=i.x-e.posx;return vy.createOutsideEditor(c,new pi(d,1),"left",f)}if(e.posx>i.x+i.width){const f=e.posx-i.x-i.width;return vy.createOutsideEditor(c,new pi(d,n.getLineMaxColumn(d)),"right",f)}return null}_findMousePosition(e,i){const n=this._getPositionOutsideEditor(e);if(n)return n;const s=this._createMouseTarget(e,i);if(!s.position)return null;if(s.type===8||s.type===5){const d=this._helpPositionJumpOverViewZone(s.detail);if(d)return vy.createViewZone(s.type,s.element,s.mouseColumn,d,s.detail)}return s}_helpPositionJumpOverViewZone(e){const i=new pi(this._currentSelection.selectionStartLineNumber,this._currentSelection.selectionStartColumn),n=e.positionBefore,s=e.positionAfter;return n&&s?n.isBefore(i)?n:s:null}_dispatchMouse(e,i,n){e.position&&this._viewController.dispatchMouse({position:e.position,mouseColumn:e.mouseColumn,startedOnLineNumbers:this._mouseState.startedOnLineNumbers,revealType:n,inSelectionMode:i,mouseDownCount:this._mouseState.count,altKey:this._mouseState.altKey,ctrlKey:this._mouseState.ctrlKey,metaKey:this._mouseState.metaKey,shiftKey:this._mouseState.shiftKey,leftButton:this._mouseState.leftButton,middleButton:this._mouseState.middleButton,onInjectedText:e.type===6&&e.detail.injectedText!==null})}}class wxi extends xi{constructor(e,i,n,s){super(),this._context=e,this._viewHelper=i,this._mouseTargetFactory=n,this._dispatchMouse=s,this._operation=null}dispose(){super.dispose(),this.stop()}start(e,i){this._operation?this._operation.setPosition(e,i):this._operation=new xxi(this._context,this._viewHelper,this._mouseTargetFactory,this._dispatchMouse,e,i)}stop(){this._operation&&(this._operation.dispose(),this._operation=null)}}class xxi extends xi{constructor(e,i,n,s,c,d){super(),this._context=e,this._viewHelper=i,this._mouseTargetFactory=n,this._dispatchMouse=s,this._position=c,this._mouseEvent=d,this._lastTime=Date.now(),this._animationFrameDisposable=ZC(Eo(d.browserEvent),()=>this._execute())}dispose(){this._animationFrameDisposable.dispose(),super.dispose()}setPosition(e,i){this._position=e,this._mouseEvent=i}_tick(){const e=Date.now(),i=e-this._lastTime;return this._lastTime=e,i}_getScrollSpeed(){const e=this._context.configuration.options.get(67),i=this._context.configuration.options.get(146).height/e,n=this._position.outsideDistance/e;return n<=1.5?Math.max(30,i*(1+n)):n<=3?Math.max(60,i*(2+n)):Math.max(200,i*(7+n))}_execute(){const e=this._context.configuration.options.get(67),i=this._getScrollSpeed(),n=this._tick(),s=i*(n/1e3)*e,c=this._position.outsidePosition==="above"?-s:s;this._context.viewModel.viewLayout.deltaScrollNow(0,c),this._viewHelper.renderNow();const d=this._context.viewLayout.getLinesViewportData(),f=this._position.outsidePosition==="above"?d.startLineNumber:d.endLineNumber;let m;{const v=UJe(this._viewHelper.viewDomNode),x=this._context.configuration.options.get(146).horizontalScrollbarHeight,w=new Lwe(this._mouseEvent.pos.x,v.y+v.height-x-.1),I=qJe(this._viewHelper.viewDomNode,v,w);m=this._mouseTargetFactory.createMouseTarget(this._viewHelper.getLastRenderData(),v,w,I,null)}(!m.position||m.position.lineNumber!==f)&&(this._position.outsidePosition==="above"?m=vy.createOutsideEditor(this._position.mouseColumn,new pi(f,1),"above",this._position.outsideDistance):m=vy.createOutsideEditor(this._position.mouseColumn,new pi(f,this._context.viewModel.getLineMaxColumn(f)),"below",this._position.outsideDistance)),this._dispatchMouse(m,!0,2),this._animationFrameDisposable=ZC(Eo(m.element),()=>this._execute())}}const xCe=class xCe{get altKey(){return this._altKey}get ctrlKey(){return this._ctrlKey}get metaKey(){return this._metaKey}get shiftKey(){return this._shiftKey}get leftButton(){return this._leftButton}get middleButton(){return this._middleButton}get startedOnLineNumbers(){return this._startedOnLineNumbers}constructor(){this._altKey=!1,this._ctrlKey=!1,this._metaKey=!1,this._shiftKey=!1,this._leftButton=!1,this._middleButton=!1,this._startedOnLineNumbers=!1,this._lastMouseDownPosition=null,this._lastMouseDownPositionEqualCount=0,this._lastMouseDownCount=0,this._lastSetMouseDownCountTime=0,this.isDragAndDrop=!1}get count(){return this._lastMouseDownCount}setModifiers(e){this._altKey=e.altKey,this._ctrlKey=e.ctrlKey,this._metaKey=e.metaKey,this._shiftKey=e.shiftKey}setStartButtons(e){this._leftButton=e.leftButton,this._middleButton=e.middleButton}setStartedOnLineNumbers(e){this._startedOnLineNumbers=e}trySetCount(e,i){const n=new Date().getTime();n-this._lastSetMouseDownCountTime>xCe.CLEAR_MOUSE_DOWN_COUNT_TIME&&(e=1),this._lastSetMouseDownCountTime=n,e>this._lastMouseDownCount+1&&(e=this._lastMouseDownCount+1),this._lastMouseDownPosition&&this._lastMouseDownPosition.equals(i)?this._lastMouseDownPositionEqualCount++:this._lastMouseDownPositionEqualCount=1,this._lastMouseDownPosition=i,this._lastMouseDownCount=Math.min(e,this._lastMouseDownPositionEqualCount)}};xCe.CLEAR_MOUSE_DOWN_COUNT_TIME=400;let nje=xCe;const Pq=class Pq{constructor(e,i,n,s,c){this.value=e,this.selectionStart=i,this.selectionEnd=n,this.selection=s,this.newlineCountBeforeSelection=c}toString(){return`[ <${this.value}>, selectionStart: ${this.selectionStart}, selectionEnd: ${this.selectionEnd}]`}static readFromTextArea(e,i){const n=e.getValue(),s=e.getSelectionStart(),c=e.getSelectionEnd();let d;if(i){const f=n.substring(0,s),m=i.value.substring(0,i.selectionStart);f===m&&(d=i.newlineCountBeforeSelection)}return new Pq(n,s,c,null,d)}collapseSelection(){return this.selectionStart===this.value.length?this:new Pq(this.value,this.value.length,this.value.length,null,void 0)}writeToTextArea(e,i,n){i.setValue(e,this.value),n&&i.setSelectionRange(e,this.selectionStart,this.selectionEnd)}deduceEditorPosition(e){var s,c,d,f;if(e<=this.selectionStart){const m=this.value.substring(e,this.selectionStart);return this._finishDeduceEditorPosition(((s=this.selection)==null?void 0:s.getStartPosition())??null,m,-1)}if(e>=this.selectionEnd){const m=this.value.substring(this.selectionEnd,e);return this._finishDeduceEditorPosition(((c=this.selection)==null?void 0:c.getEndPosition())??null,m,1)}const i=this.value.substring(this.selectionStart,e);if(i.indexOf("…")===-1)return this._finishDeduceEditorPosition(((d=this.selection)==null?void 0:d.getStartPosition())??null,i,1);const n=this.value.substring(e,this.selectionEnd);return this._finishDeduceEditorPosition(((f=this.selection)==null?void 0:f.getEndPosition())??null,n,-1)}_finishDeduceEditorPosition(e,i,n){let s=0,c=-1;for(;(c=i.indexOf(`
+`,c+1))!==-1;)s++;return[e,n*i.length,s]}static deduceInput(e,i,n){if(!e)return{text:"",replacePrevCharCnt:0,replaceNextCharCnt:0,positionDelta:0};const s=Math.min(vR(e.value,i.value),e.selectionStart,i.selectionStart),c=Math.min(iye(e.value,i.value),e.value.length-e.selectionEnd,i.value.length-i.selectionEnd);e.value.substring(s,e.value.length-c);const d=i.value.substring(s,i.value.length-c),f=e.selectionStart-s,m=e.selectionEnd-s,v=i.selectionStart-s,x=i.selectionEnd-s;if(v===x){const I=e.selectionStart-s;return{text:d,replacePrevCharCnt:I,replaceNextCharCnt:0,positionDelta:0}}const w=m-f;return{text:d,replacePrevCharCnt:w,replaceNextCharCnt:0,positionDelta:0}}static deduceAndroidCompositionInput(e,i){if(!e)return{text:"",replacePrevCharCnt:0,replaceNextCharCnt:0,positionDelta:0};if(e.value===i.value)return{text:"",replacePrevCharCnt:0,replaceNextCharCnt:0,positionDelta:i.selectionEnd-e.selectionEnd};const n=Math.min(vR(e.value,i.value),e.selectionEnd),s=Math.min(iye(e.value,i.value),e.value.length-e.selectionEnd),c=e.value.substring(n,e.value.length-s),d=i.value.substring(n,i.value.length-s);e.selectionStart-n;const f=e.selectionEnd-n;i.selectionStart-n;const m=i.selectionEnd-n;return{text:d,replacePrevCharCnt:f,replaceNextCharCnt:c.length-f,positionDelta:m-d.length}}};Pq.EMPTY=new Pq("",0,0,null,void 0);let by=Pq;class dq{static _getPageOfLine(e,i){return Math.floor((e-1)/i)}static _getRangeForPage(e,i){const n=e*i,s=n+1,c=n+i;return new nt(s,1,c+1,1)}static fromEditorSelection(e,i,n,s){const d=dq._getPageOfLine(i.startLineNumber,n),f=dq._getRangeForPage(d,n),m=dq._getPageOfLine(i.endLineNumber,n),v=dq._getRangeForPage(m,n);let x=f.intersectRanges(new nt(1,1,i.startLineNumber,i.startColumn));if(s&&e.getValueLengthInRange(x,1)>500){const Y=e.modifyPosition(x.getEndPosition(),-500);x=nt.fromPositions(Y,x.getEndPosition())}const w=e.getValueInRange(x,1),I=e.getLineCount(),P=e.getLineMaxColumn(I);let O=v.intersectRanges(new nt(i.endLineNumber,i.endColumn,I,P));if(s&&e.getValueLengthInRange(O,1)>500){const Y=e.modifyPosition(O.getStartPosition(),500);O=nt.fromPositions(O.getStartPosition(),Y)}const z=e.getValueInRange(O,1);let J;if(d===m||d+1===m)J=e.getValueInRange(i,1);else{const Y=f.intersectRanges(i),ae=v.intersectRanges(i);J=e.getValueInRange(Y,1)+"…"+e.getValueInRange(ae,1)}return s&&J.length>2*500&&(J=J.substring(0,500)+"…"+J.substring(J.length-500,J.length)),new by(w+J+z,w.length,w.length+J.length,i,x.endLineNumber-x.startLineNumber)}}var kxi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},xSt=function(l,e){return function(i,n){e(i,n,l)}},Sve;(function(l){l.Tap="-monaco-textarea-synthetic-tap"})(Sve||(Sve={}));const rje={forceCopyWithSyntaxHighlighting:!1},kCe=class kCe{constructor(){this._lastState=null}set(e,i){this._lastState={lastCopiedValue:e,data:i}}get(e){return this._lastState&&this._lastState.lastCopiedValue===e?this._lastState.data:(this._lastState=null,null)}};kCe.INSTANCE=new kCe;let wre=kCe;class Txi{constructor(){this._lastTypeTextLength=0}handleCompositionUpdate(e){e=e||"";const i={text:e,replacePrevCharCnt:this._lastTypeTextLength,replaceNextCharCnt:0,positionDelta:0};return this._lastTypeTextLength=e.length,i}}let sje=class extends xi{get textAreaState(){return this._textAreaState}constructor(e,i,n,s,c,d){super(),this._host=e,this._textArea=i,this._OS=n,this._browser=s,this._accessibilityService=c,this._logService=d,this._onFocus=this._register(new gi),this.onFocus=this._onFocus.event,this._onBlur=this._register(new gi),this.onBlur=this._onBlur.event,this._onKeyDown=this._register(new gi),this.onKeyDown=this._onKeyDown.event,this._onKeyUp=this._register(new gi),this.onKeyUp=this._onKeyUp.event,this._onCut=this._register(new gi),this.onCut=this._onCut.event,this._onPaste=this._register(new gi),this.onPaste=this._onPaste.event,this._onType=this._register(new gi),this.onType=this._onType.event,this._onCompositionStart=this._register(new gi),this.onCompositionStart=this._onCompositionStart.event,this._onCompositionUpdate=this._register(new gi),this.onCompositionUpdate=this._onCompositionUpdate.event,this._onCompositionEnd=this._register(new gi),this.onCompositionEnd=this._onCompositionEnd.event,this._onSelectionChangeRequest=this._register(new gi),this.onSelectionChangeRequest=this._onSelectionChangeRequest.event,this._asyncFocusGainWriteScreenReaderContent=this._register(new Fm),this._asyncTriggerCut=this._register(new qu(()=>this._onCut.fire(),0)),this._textAreaState=by.EMPTY,this._selectionChangeListener=null,this._accessibilityService.isScreenReaderOptimized()&&this.writeNativeTextAreaContent("ctor"),this._register(Tr.runAndSubscribe(this._accessibilityService.onDidChangeScreenReaderOptimized,()=>{this._accessibilityService.isScreenReaderOptimized()&&!this._asyncFocusGainWriteScreenReaderContent.value?this._asyncFocusGainWriteScreenReaderContent.value=this._register(new qu(()=>this.writeNativeTextAreaContent("asyncFocusGain"),0)):this._asyncFocusGainWriteScreenReaderContent.clear()})),this._hasFocus=!1,this._currentComposition=null;let f=null;this._register(this._textArea.onKeyDown(m=>{const v=new Id(m);(v.keyCode===114||this._currentComposition&&v.keyCode===1)&&v.stopPropagation(),v.equals(9)&&v.preventDefault(),f=v,this._onKeyDown.fire(v)})),this._register(this._textArea.onKeyUp(m=>{const v=new Id(m);this._onKeyUp.fire(v)})),this._register(this._textArea.onCompositionStart(m=>{const v=new Txi;if(this._currentComposition){this._currentComposition=v;return}if(this._currentComposition=v,this._OS===2&&f&&f.equals(114)&&this._textAreaState.selectionStart===this._textAreaState.selectionEnd&&this._textAreaState.selectionStart>0&&this._textAreaState.value.substr(this._textAreaState.selectionStart-1,1)===m.data&&(f.code==="ArrowRight"||f.code==="ArrowLeft")){v.handleCompositionUpdate("x"),this._onCompositionStart.fire({data:m.data});return}if(this._browser.isAndroid){this._onCompositionStart.fire({data:m.data});return}this._onCompositionStart.fire({data:m.data})})),this._register(this._textArea.onCompositionUpdate(m=>{const v=this._currentComposition;if(!v)return;if(this._browser.isAndroid){const w=by.readFromTextArea(this._textArea,this._textAreaState),I=by.deduceAndroidCompositionInput(this._textAreaState,w);this._textAreaState=w,this._onType.fire(I),this._onCompositionUpdate.fire(m);return}const x=v.handleCompositionUpdate(m.data);this._textAreaState=by.readFromTextArea(this._textArea,this._textAreaState),this._onType.fire(x),this._onCompositionUpdate.fire(m)})),this._register(this._textArea.onCompositionEnd(m=>{const v=this._currentComposition;if(!v)return;if(this._currentComposition=null,this._browser.isAndroid){const w=by.readFromTextArea(this._textArea,this._textAreaState),I=by.deduceAndroidCompositionInput(this._textAreaState,w);this._textAreaState=w,this._onType.fire(I),this._onCompositionEnd.fire();return}const x=v.handleCompositionUpdate(m.data);this._textAreaState=by.readFromTextArea(this._textArea,this._textAreaState),this._onType.fire(x),this._onCompositionEnd.fire()})),this._register(this._textArea.onInput(m=>{if(this._textArea.setIgnoreSelectionChangeTime("received input event"),this._currentComposition)return;const v=by.readFromTextArea(this._textArea,this._textAreaState),x=by.deduceInput(this._textAreaState,v,this._OS===2);x.replacePrevCharCnt===0&&x.text.length===1&&(Pm(x.text.charCodeAt(0))||x.text.charCodeAt(0)===127)||(this._textAreaState=v,(x.text!==""||x.replacePrevCharCnt!==0||x.replaceNextCharCnt!==0||x.positionDelta!==0)&&this._onType.fire(x))})),this._register(this._textArea.onCut(m=>{this._textArea.setIgnoreSelectionChangeTime("received cut event"),this._ensureClipboardGetsEditorSelection(m),this._asyncTriggerCut.schedule()})),this._register(this._textArea.onCopy(m=>{this._ensureClipboardGetsEditorSelection(m)})),this._register(this._textArea.onPaste(m=>{if(this._textArea.setIgnoreSelectionChangeTime("received paste event"),m.preventDefault(),!m.clipboardData)return;let[v,x]=oje.getTextData(m.clipboardData);v&&(x=x||wre.INSTANCE.get(v),this._onPaste.fire({text:v,metadata:x}))})),this._register(this._textArea.onFocus(()=>{const m=this._hasFocus;this._setHasFocus(!0),this._accessibilityService.isScreenReaderOptimized()&&this._browser.isSafari&&!m&&this._hasFocus&&(this._asyncFocusGainWriteScreenReaderContent.value||(this._asyncFocusGainWriteScreenReaderContent.value=new qu(()=>this.writeNativeTextAreaContent("asyncFocusGain"),0)),this._asyncFocusGainWriteScreenReaderContent.value.schedule())})),this._register(this._textArea.onBlur(()=>{this._currentComposition&&(this._currentComposition=null,this.writeNativeTextAreaContent("blurWithoutCompositionEnd"),this._onCompositionEnd.fire()),this._setHasFocus(!1)})),this._register(this._textArea.onSyntheticTap(()=>{this._browser.isAndroid&&this._currentComposition&&(this._currentComposition=null,this.writeNativeTextAreaContent("tapWithoutCompositionEnd"),this._onCompositionEnd.fire())}))}_installSelectionChangeListener(){let e=0;return en(this._textArea.ownerDocument,"selectionchange",i=>{if(BM.onSelectionChange(),!this._hasFocus||this._currentComposition||!this._browser.isChrome)return;const n=Date.now(),s=n-e;if(e=n,s<5)return;const c=n-this._textArea.getIgnoreSelectionChangeTime();if(this._textArea.resetSelectionChangeTime(),c<100||!this._textAreaState.selection)return;const d=this._textArea.getValue();if(this._textAreaState.value!==d)return;const f=this._textArea.getSelectionStart(),m=this._textArea.getSelectionEnd();if(this._textAreaState.selectionStart===f&&this._textAreaState.selectionEnd===m)return;const v=this._textAreaState.deduceEditorPosition(f),x=this._host.deduceModelPosition(v[0],v[1],v[2]),w=this._textAreaState.deduceEditorPosition(m),I=this._host.deduceModelPosition(w[0],w[1],w[2]),P=new Us(x.lineNumber,x.column,I.lineNumber,I.column);this._onSelectionChangeRequest.fire(P)})}dispose(){super.dispose(),this._selectionChangeListener&&(this._selectionChangeListener.dispose(),this._selectionChangeListener=null)}focusTextArea(){this._setHasFocus(!0),this.refreshFocusState()}isFocused(){return this._hasFocus}refreshFocusState(){this._setHasFocus(this._textArea.hasFocus())}_setHasFocus(e){this._hasFocus!==e&&(this._hasFocus=e,this._selectionChangeListener&&(this._selectionChangeListener.dispose(),this._selectionChangeListener=null),this._hasFocus&&(this._selectionChangeListener=this._installSelectionChangeListener()),this._hasFocus&&this.writeNativeTextAreaContent("focusgain"),this._hasFocus?this._onFocus.fire():this._onBlur.fire())}_setAndWriteTextAreaState(e,i){this._hasFocus||(i=i.collapseSelection()),i.writeToTextArea(e,this._textArea,this._hasFocus),this._textAreaState=i}writeNativeTextAreaContent(e){!this._accessibilityService.isScreenReaderOptimized()&&e==="render"||this._currentComposition||(this._logService.trace(`writeTextAreaState(reason: ${e})`),this._setAndWriteTextAreaState(e,this._host.getScreenReaderContent()))}_ensureClipboardGetsEditorSelection(e){const i=this._host.getDataToCopy(),n={version:1,isFromEmptySelection:i.isFromEmptySelection,multicursorText:i.multicursorText,mode:i.mode};wre.INSTANCE.set(this._browser.isFirefox?i.text.replace(/\r\n/g,`
+`):i.text,n),e.preventDefault(),e.clipboardData&&oje.setTextData(e.clipboardData,i.text,i.html,n)}};sje=kxi([xSt(4,tS),xSt(5,N0)],sje);const oje={getTextData(l){const e=l.getData(Yh.text);let i=null;const n=l.getData("vscode-editor-data");if(typeof n=="string")try{i=JSON.parse(n),i.version!==1&&(i=null)}catch{}return e.length===0&&i===null&&l.files.length>0?[Array.prototype.slice.call(l.files,0).map(c=>c.name).join(`
+`),null]:[e,i]},setTextData(l,e,i,n){l.setData(Yh.text,e),typeof i=="string"&&l.setData("text/html",i),l.setData("vscode-editor-data",JSON.stringify(n))}};class Dxi extends xi{get ownerDocument(){return this._actual.ownerDocument}constructor(e){super(),this._actual=e,this.onKeyDown=this._register(new Xc(this._actual,"keydown")).event,this.onKeyUp=this._register(new Xc(this._actual,"keyup")).event,this.onCompositionStart=this._register(new Xc(this._actual,"compositionstart")).event,this.onCompositionUpdate=this._register(new Xc(this._actual,"compositionupdate")).event,this.onCompositionEnd=this._register(new Xc(this._actual,"compositionend")).event,this.onBeforeInput=this._register(new Xc(this._actual,"beforeinput")).event,this.onInput=this._register(new Xc(this._actual,"input")).event,this.onCut=this._register(new Xc(this._actual,"cut")).event,this.onCopy=this._register(new Xc(this._actual,"copy")).event,this.onPaste=this._register(new Xc(this._actual,"paste")).event,this.onFocus=this._register(new Xc(this._actual,"focus")).event,this.onBlur=this._register(new Xc(this._actual,"blur")).event,this._onSyntheticTap=this._register(new gi),this.onSyntheticTap=this._onSyntheticTap.event,this._ignoreSelectionChangeTime=0,this._register(this.onKeyDown(()=>BM.onKeyDown())),this._register(this.onBeforeInput(()=>BM.onBeforeInput())),this._register(this.onInput(()=>BM.onInput())),this._register(this.onKeyUp(()=>BM.onKeyUp())),this._register(en(this._actual,Sve.Tap,()=>this._onSyntheticTap.fire()))}hasFocus(){const e=kB(this._actual);return e?e.activeElement===this._actual:this._actual.isConnected?D0()===this._actual:!1}setIgnoreSelectionChangeTime(e){this._ignoreSelectionChangeTime=Date.now()}getIgnoreSelectionChangeTime(){return this._ignoreSelectionChangeTime}resetSelectionChangeTime(){this._ignoreSelectionChangeTime=0}getValue(){return this._actual.value}setValue(e,i){const n=this._actual;n.value!==i&&(this.setIgnoreSelectionChangeTime("setValue"),n.value=i)}getSelectionStart(){return this._actual.selectionDirection==="backward"?this._actual.selectionEnd:this._actual.selectionStart}getSelectionEnd(){return this._actual.selectionDirection==="backward"?this._actual.selectionStart:this._actual.selectionEnd}setSelectionRange(e,i,n){const s=this._actual;let c=null;const d=kB(s);d?c=d.activeElement:c=D0();const f=Eo(c),m=c===s,v=s.selectionStart,x=s.selectionEnd;if(m&&v===i&&x===n){bk&&f.parent!==f&&s.focus();return}if(m){this.setIgnoreSelectionChangeTime("setSelectionRange"),s.setSelectionRange(i,n),bk&&f.parent!==f&&s.focus();return}try{const w=fdi(s);this.setIgnoreSelectionChangeTime("setSelectionRange"),s.focus(),s.setSelectionRange(i,n),hdi(s,w)}catch{}}}class Exi extends JJe{constructor(e,i,n){super(e,i,n),this._register(y1.addTarget(this.viewHelper.linesContentDomNode)),this._register(en(this.viewHelper.linesContentDomNode,Ud.Tap,c=>this.onTap(c))),this._register(en(this.viewHelper.linesContentDomNode,Ud.Change,c=>this.onChange(c))),this._register(en(this.viewHelper.linesContentDomNode,Ud.Contextmenu,c=>this._onContextMenu(new IR(c,!1,this.viewHelper.viewDomNode),!1))),this._lastPointerType="mouse",this._register(en(this.viewHelper.linesContentDomNode,"pointerdown",c=>{const d=c.pointerType;if(d==="mouse"){this._lastPointerType="mouse";return}else d==="touch"?this._lastPointerType="touch":this._lastPointerType="pen"}));const s=new oxi(this.viewHelper.viewDomNode);this._register(s.onPointerMove(this.viewHelper.viewDomNode,c=>this._onMouseMove(c))),this._register(s.onPointerUp(this.viewHelper.viewDomNode,c=>this._onMouseUp(c))),this._register(s.onPointerLeave(this.viewHelper.viewDomNode,c=>this._onMouseLeave(c))),this._register(s.onPointerDown(this.viewHelper.viewDomNode,(c,d)=>this._onMouseDown(c,d)))}onTap(e){!e.initialTarget||!this.viewHelper.linesContentDomNode.contains(e.initialTarget)||(e.preventDefault(),this.viewHelper.focusTextArea(),this._dispatchGesture(e,!1))}onChange(e){this._lastPointerType==="touch"&&this._context.viewModel.viewLayout.deltaScrollNow(-e.translationX,-e.translationY),this._lastPointerType==="pen"&&this._dispatchGesture(e,!0)}_dispatchGesture(e,i){const n=this._createMouseTarget(new IR(e,!1,this.viewHelper.viewDomNode),!1);n.position&&this.viewController.dispatchMouse({position:n.position,mouseColumn:n.position.column,startedOnLineNumbers:!1,revealType:1,mouseDownCount:e.tapCount,inSelectionMode:i,altKey:!1,ctrlKey:!1,metaKey:!1,shiftKey:!1,leftButton:!1,middleButton:!1,onInjectedText:n.type===6&&n.detail.injectedText!==null})}_onMouseDown(e,i){e.browserEvent.pointerType!=="touch"&&super._onMouseDown(e,i)}}class Ixi extends JJe{constructor(e,i,n){super(e,i,n),this._register(y1.addTarget(this.viewHelper.linesContentDomNode)),this._register(en(this.viewHelper.linesContentDomNode,Ud.Tap,s=>this.onTap(s))),this._register(en(this.viewHelper.linesContentDomNode,Ud.Change,s=>this.onChange(s))),this._register(en(this.viewHelper.linesContentDomNode,Ud.Contextmenu,s=>this._onContextMenu(new IR(s,!1,this.viewHelper.viewDomNode),!1)))}onTap(e){e.preventDefault(),this.viewHelper.focusTextArea();const i=this._createMouseTarget(new IR(e,!1,this.viewHelper.viewDomNode),!1);if(i.position){const n=document.createEvent("CustomEvent");n.initEvent(Sve.Tap,!1,!0),this.viewHelper.dispatchTextAreaEvent(n),this.viewController.moveTo(i.position,1)}}onChange(e){this._context.viewModel.viewLayout.deltaScrollNow(-e.translationX,-e.translationY)}}class Nxi extends xi{constructor(e,i,n){super(),(VE||nci&&Ekt)&&s$e.pointerEvents?this.handler=this._register(new Exi(e,i,n)):cd.TouchEvent?this.handler=this._register(new Ixi(e,i,n)):this.handler=this._register(new JJe(e,i,n))}getTargetAtClientPoint(e,i){return this.handler.getTargetAtClientPoint(e,i)}}class oW extends Mse{}const TCe=class TCe extends oW{constructor(e){super(),this._context=e,this._readConfig(),this._lastCursorModelPosition=new pi(1,1),this._renderResult=null,this._activeLineNumber=1,this._context.addEventHandler(this)}_readConfig(){const e=this._context.configuration.options;this._lineHeight=e.get(67);const i=e.get(68);this._renderLineNumbers=i.renderType,this._renderCustomLineNumbers=i.renderFn,this._renderFinalNewline=e.get(96);const n=e.get(146);this._lineNumbersLeft=n.lineNumbersLeft,this._lineNumbersWidth=n.lineNumbersWidth}dispose(){this._context.removeEventHandler(this),this._renderResult=null,super.dispose()}onConfigurationChanged(e){return this._readConfig(),!0}onCursorStateChanged(e){const i=e.selections[0].getPosition();this._lastCursorModelPosition=this._context.viewModel.coordinatesConverter.convertViewPositionToModelPosition(i);let n=!1;return this._activeLineNumber!==i.lineNumber&&(this._activeLineNumber=i.lineNumber,n=!0),(this._renderLineNumbers===2||this._renderLineNumbers===3)&&(n=!0),n}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollTopChanged}onZonesChanged(e){return!0}onDecorationsChanged(e){return e.affectsLineNumber}_getLineRenderLineNumber(e){const i=this._context.viewModel.coordinatesConverter.convertViewPositionToModelPosition(new pi(e,1));if(i.column!==1)return"";const n=i.lineNumber;if(this._renderCustomLineNumbers)return this._renderCustomLineNumbers(n);if(this._renderLineNumbers===2){const s=Math.abs(this._lastCursorModelPosition.lineNumber-n);return s===0?'<span class="relative-current-line-number">'+n+"</span>":String(s)}if(this._renderLineNumbers===3){if(this._lastCursorModelPosition.lineNumber===n||n%10===0)return String(n);const s=this._context.viewModel.getLineCount();return n===s?String(n):""}return String(n)}prepareRender(e){if(this._renderLineNumbers===0){this._renderResult=null;return}const i=Cv?this._lineHeight%2===0?" lh-even":" lh-odd":"",n=e.visibleRange.startLineNumber,s=e.visibleRange.endLineNumber,c=this._context.viewModel.getDecorationsInViewport(e.visibleRange).filter(v=>!!v.options.lineNumberClassName);c.sort((v,x)=>nt.compareRangesUsingEnds(v.range,x.range));let d=0;const f=this._context.viewModel.getLineCount(),m=[];for(let v=n;v<=s;v++){const x=v-n;let w=this._getLineRenderLineNumber(v),I="";for(;d<c.length&&c[d].range.endLineNumber<v;)d++;for(let P=d;P<c.length;P++){const{range:O,options:z}=c[P];O.startLineNumber<=v&&(I+=" "+z.lineNumberClassName)}if(!w&&!I){m[x]="";continue}v===f&&this._context.viewModel.getLineLength(v)===0&&(this._renderFinalNewline==="off"&&(w=""),this._renderFinalNewline==="dimmed"&&(I+=" dimmed-line-number")),v===this._activeLineNumber&&(I+=" active-line-number"),m[x]=`<div class="${TCe.CLASS_NAME}${i}${I}" style="left:${this._lineNumbersLeft}px;width:${this._lineNumbersWidth}px;">${w}</div>`}this._renderResult=m}render(e,i){if(!this._renderResult)return"";const n=i-e;return n<0||n>=this._renderResult.length?"":this._renderResult[n]}};TCe.CLASS_NAME="line-numbers";let wve=TCe;Dk((l,e)=>{const i=l.getColor(byi),n=l.getColor(Oyi);n?e.addRule(`.monaco-editor .line-numbers.dimmed-line-number { color: ${n}; }`):i&&e.addRule(`.monaco-editor .line-numbers.dimmed-line-number { color: ${i.transparent(.4)}; }`)});const Aq=class Aq extends nS{constructor(e){super(e);const i=this._context.configuration.options,n=i.get(146);this._canUseLayerHinting=!i.get(32),this._contentLeft=n.contentLeft,this._glyphMarginLeft=n.glyphMarginLeft,this._glyphMarginWidth=n.glyphMarginWidth,this._domNode=_u(document.createElement("div")),this._domNode.setClassName(Aq.OUTER_CLASS_NAME),this._domNode.setPosition("absolute"),this._domNode.setAttribute("role","presentation"),this._domNode.setAttribute("aria-hidden","true"),this._glyphMarginBackgroundDomNode=_u(document.createElement("div")),this._glyphMarginBackgroundDomNode.setClassName(Aq.CLASS_NAME),this._domNode.appendChild(this._glyphMarginBackgroundDomNode)}dispose(){super.dispose()}getDomNode(){return this._domNode}onConfigurationChanged(e){const i=this._context.configuration.options,n=i.get(146);return this._canUseLayerHinting=!i.get(32),this._contentLeft=n.contentLeft,this._glyphMarginLeft=n.glyphMarginLeft,this._glyphMarginWidth=n.glyphMarginWidth,!0}onScrollChanged(e){return super.onScrollChanged(e)||e.scrollTopChanged}prepareRender(e){}render(e){this._domNode.setLayerHinting(this._canUseLayerHinting),this._domNode.setContain("strict");const i=e.scrollTop-e.bigNumbersDelta;this._domNode.setTop(-i);const n=Math.min(e.scrollHeight,1e6);this._domNode.setHeight(n),this._domNode.setWidth(this._contentLeft),this._glyphMarginBackgroundDomNode.setLeft(this._glyphMarginLeft),this._glyphMarginBackgroundDomNode.setWidth(this._glyphMarginWidth),this._glyphMarginBackgroundDomNode.setHeight(n)}};Aq.CLASS_NAME="glyph-margin",Aq.OUTER_CLASS_NAME="margin";let xve=Aq;const n$="monaco-mouse-cursor-text";var Lxi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},kSt=function(l,e){return function(i,n){e(i,n,l)}};class Pxi{constructor(e,i,n,s,c){this._context=e,this.modelLineNumber=i,this.distanceToModelLineStart=n,this.widthOfHiddenLineTextBefore=s,this.distanceToModelLineEnd=c,this._visibleTextAreaBrand=void 0,this.startPosition=null,this.endPosition=null,this.visibleTextareaStart=null,this.visibleTextareaEnd=null,this._previousPresentation=null}prepareRender(e){const i=new pi(this.modelLineNumber,this.distanceToModelLineStart+1),n=new pi(this.modelLineNumber,this._context.viewModel.model.getLineMaxColumn(this.modelLineNumber)-this.distanceToModelLineEnd);this.startPosition=this._context.viewModel.coordinatesConverter.convertModelPositionToViewPosition(i),this.endPosition=this._context.viewModel.coordinatesConverter.convertModelPositionToViewPosition(n),this.startPosition.lineNumber===this.endPosition.lineNumber?(this.visibleTextareaStart=e.visibleRangeForPosition(this.startPosition),this.visibleTextareaEnd=e.visibleRangeForPosition(this.endPosition)):(this.visibleTextareaStart=null,this.visibleTextareaEnd=null)}definePresentation(e){return this._previousPresentation||(e?this._previousPresentation=e:this._previousPresentation={foreground:1,italic:!1,bold:!1,underline:!1,strikethrough:!1}),this._previousPresentation}}const d9e=bk;let aje=class extends nS{constructor(e,i,n,s,c){super(e),this._keybindingService=s,this._instantiationService=c,this._primaryCursorPosition=new pi(1,1),this._primaryCursorVisibleRange=null,this._viewController=i,this._visibleRangeProvider=n,this._scrollLeft=0,this._scrollTop=0;const d=this._context.configuration.options,f=d.get(146);this._setAccessibilityOptions(d),this._contentLeft=f.contentLeft,this._contentWidth=f.contentWidth,this._contentHeight=f.height,this._fontInfo=d.get(50),this._lineHeight=d.get(67),this._emptySelectionClipboard=d.get(37),this._copyWithSyntaxHighlighting=d.get(25),this._visibleTextArea=null,this._selections=[new Us(1,1,1,1)],this._modelSelections=[new Us(1,1,1,1)],this._lastRenderPosition=null,this.textArea=_u(document.createElement("textarea")),JE.write(this.textArea,7),this.textArea.setClassName(`inputarea ${n$}`),this.textArea.setAttribute("wrap",this._textAreaWrapping&&!this._visibleTextArea?"on":"off");const{tabSize:m}=this._context.viewModel.model.getOptions();this.textArea.domNode.style.tabSize=`${m*this._fontInfo.spaceWidth}px`,this.textArea.setAttribute("autocorrect","off"),this.textArea.setAttribute("autocapitalize","off"),this.textArea.setAttribute("autocomplete","off"),this.textArea.setAttribute("spellcheck","false"),this.textArea.setAttribute("aria-label",this._getAriaLabel(d)),this.textArea.setAttribute("aria-required",d.get(5)?"true":"false"),this.textArea.setAttribute("tabindex",String(d.get(125))),this.textArea.setAttribute("role","textbox"),this.textArea.setAttribute("aria-roledescription",W("editor","editor")),this.textArea.setAttribute("aria-multiline","true"),this.textArea.setAttribute("aria-autocomplete",d.get(92)?"none":"both"),this._ensureReadOnlyAttribute(),this.textAreaCover=_u(document.createElement("div")),this.textAreaCover.setPosition("absolute");const v={getLineCount:()=>this._context.viewModel.getLineCount(),getLineMaxColumn:I=>this._context.viewModel.getLineMaxColumn(I),getValueInRange:(I,P)=>this._context.viewModel.getValueInRange(I,P),getValueLengthInRange:(I,P)=>this._context.viewModel.getValueLengthInRange(I,P),modifyPosition:(I,P)=>this._context.viewModel.modifyPosition(I,P)},x={getDataToCopy:()=>{const I=this._context.viewModel.getPlainTextToCopy(this._modelSelections,this._emptySelectionClipboard,I0),P=this._context.viewModel.model.getEOL(),O=this._emptySelectionClipboard&&this._modelSelections.length===1&&this._modelSelections[0].isEmpty(),z=Array.isArray(I)?I:null,J=Array.isArray(I)?I.join(P):I;let Y,ae=null;if(rje.forceCopyWithSyntaxHighlighting||this._copyWithSyntaxHighlighting&&J.length<65536){const me=this._context.viewModel.getRichTextToCopy(this._modelSelections,this._emptySelectionClipboard);me&&(Y=me.html,ae=me.mode)}return{isFromEmptySelection:O,multicursorText:z,text:J,html:Y,mode:ae}},getScreenReaderContent:()=>{if(this._accessibilitySupport===1){const I=this._selections[0];if(Hc&&I.isEmpty()){const O=I.getStartPosition();let z=this._getWordBeforePosition(O);if(z.length===0&&(z=this._getCharacterBeforePosition(O)),z.length>0)return new by(z,z.length,z.length,nt.fromPositions(O),0)}if(Hc&&!I.isEmpty()&&v.getValueLengthInRange(I,0)<500){const O=v.getValueInRange(I,0);return new by(O,0,O.length,I,0)}if(o6&&!I.isEmpty()){const O="vscode-placeholder";return new by(O,0,O.length,null,void 0)}return by.EMPTY}if(ivt){const I=this._selections[0];if(I.isEmpty()){const P=I.getStartPosition(),[O,z]=this._getAndroidWordAtPosition(P);if(O.length>0)return new by(O,z,z,nt.fromPositions(P),0)}return by.EMPTY}return dq.fromEditorSelection(v,this._selections[0],this._accessibilityPageSize,this._accessibilitySupport===0)},deduceModelPosition:(I,P,O)=>this._context.viewModel.deduceModelPositionRelativeToViewPosition(I,P,O)},w=this._register(new Dxi(this.textArea.domNode));this._textAreaInput=this._register(this._instantiationService.createInstance(sje,x,w,UC,{isAndroid:ivt,isChrome:hse,isFirefox:bk,isSafari:o6})),this._register(this._textAreaInput.onKeyDown(I=>{this._viewController.emitKeyDown(I)})),this._register(this._textAreaInput.onKeyUp(I=>{this._viewController.emitKeyUp(I)})),this._register(this._textAreaInput.onPaste(I=>{let P=!1,O=null,z=null;I.metadata&&(P=this._emptySelectionClipboard&&!!I.metadata.isFromEmptySelection,O=typeof I.metadata.multicursorText<"u"?I.metadata.multicursorText:null,z=I.metadata.mode),this._viewController.paste(I.text,P,O,z)})),this._register(this._textAreaInput.onCut(()=>{this._viewController.cut()})),this._register(this._textAreaInput.onType(I=>{I.replacePrevCharCnt||I.replaceNextCharCnt||I.positionDelta?this._viewController.compositionType(I.text,I.replacePrevCharCnt,I.replaceNextCharCnt,I.positionDelta):this._viewController.type(I.text)})),this._register(this._textAreaInput.onSelectionChangeRequest(I=>{this._viewController.setSelection(I)})),this._register(this._textAreaInput.onCompositionStart(I=>{const P=this.textArea.domNode,O=this._modelSelections[0],{distanceToModelLineStart:z,widthOfHiddenTextBefore:J}=(()=>{const ae=P.value.substring(0,Math.min(P.selectionStart,P.selectionEnd)),me=ae.lastIndexOf(`
+`),ye=ae.substring(me+1),Ce=ye.lastIndexOf(" "),Fe=ye.length-Ce-1,rt=O.getStartPosition(),ct=Math.min(rt.column-1,Fe),Mt=rt.column-1-ct,Yt=ye.substring(0,ye.length-ct),{tabSize:Bi}=this._context.viewModel.model.getOptions(),$i=Axi(this.textArea.domNode.ownerDocument,Yt,this._fontInfo,Bi);return{distanceToModelLineStart:Mt,widthOfHiddenTextBefore:$i}})(),{distanceToModelLineEnd:Y}=(()=>{const ae=P.value.substring(Math.max(P.selectionStart,P.selectionEnd)),me=ae.indexOf(`
+`),ye=me===-1?ae:ae.substring(0,me),Ce=ye.indexOf(" "),Fe=Ce===-1?ye.length:ye.length-Ce-1,rt=O.getEndPosition(),ct=Math.min(this._context.viewModel.model.getLineMaxColumn(rt.lineNumber)-rt.column,Fe);return{distanceToModelLineEnd:this._context.viewModel.model.getLineMaxColumn(rt.lineNumber)-rt.column-ct}})();this._context.viewModel.revealRange("keyboard",!0,nt.fromPositions(this._selections[0].getStartPosition()),0,1),this._visibleTextArea=new Pxi(this._context,O.startLineNumber,z,J,Y),this.textArea.setAttribute("wrap",this._textAreaWrapping&&!this._visibleTextArea?"on":"off"),this._visibleTextArea.prepareRender(this._visibleRangeProvider),this._render(),this.textArea.setClassName(`inputarea ${n$} ime-input`),this._viewController.compositionStart(),this._context.viewModel.onCompositionStart()})),this._register(this._textAreaInput.onCompositionUpdate(I=>{this._visibleTextArea&&(this._visibleTextArea.prepareRender(this._visibleRangeProvider),this._render())})),this._register(this._textAreaInput.onCompositionEnd(()=>{this._visibleTextArea=null,this.textArea.setAttribute("wrap",this._textAreaWrapping&&!this._visibleTextArea?"on":"off"),this._render(),this.textArea.setClassName(`inputarea ${n$}`),this._viewController.compositionEnd(),this._context.viewModel.onCompositionEnd()})),this._register(this._textAreaInput.onFocus(()=>{this._context.viewModel.setHasFocus(!0)})),this._register(this._textAreaInput.onBlur(()=>{this._context.viewModel.setHasFocus(!1)})),this._register(Eie.onDidChange(()=>{this._ensureReadOnlyAttribute()}))}writeScreenReaderContent(e){this._textAreaInput.writeNativeTextAreaContent(e)}dispose(){super.dispose()}_getAndroidWordAtPosition(e){const i='`~!@#$%^&*()-=+[{]}\\|;:",.<>/?',n=this._context.viewModel.getLineContent(e.lineNumber),s=Sk(i,[]);let c=!0,d=e.column,f=!0,m=e.column,v=0;for(;v<50&&(c||f);){if(c&&d<=1&&(c=!1),c){const x=n.charCodeAt(d-2);s.get(x)!==0?c=!1:d--}if(f&&m>n.length&&(f=!1),f){const x=n.charCodeAt(m-1);s.get(x)!==0?f=!1:m++}v++}return[n.substring(d-1,m-1),e.column-d]}_getWordBeforePosition(e){const i=this._context.viewModel.getLineContent(e.lineNumber),n=Sk(this._context.configuration.options.get(132),[]);let s=e.column,c=0;for(;s>1;){const d=i.charCodeAt(s-2);if(n.get(d)!==0||c>50)return i.substring(s-1,e.column-1);c++,s--}return i.substring(0,e.column-1)}_getCharacterBeforePosition(e){if(e.column>1){const n=this._context.viewModel.getLineContent(e.lineNumber).charAt(e.column-2);if(!Pm(n.charCodeAt(0)))return n}return""}_getAriaLabel(e){var n,s,c;if(e.get(2)===1){const d=(n=this._keybindingService.lookupKeybinding("editor.action.toggleScreenReaderAccessibilityMode"))==null?void 0:n.getAriaLabel(),f=(s=this._keybindingService.lookupKeybinding("workbench.action.showCommands"))==null?void 0:s.getAriaLabel(),m=(c=this._keybindingService.lookupKeybinding("workbench.action.openGlobalKeybindings"))==null?void 0:c.getAriaLabel(),v=W("accessibilityModeOff","The editor is not accessible at this time.");return d?W("accessibilityOffAriaLabel","{0} To enable screen reader optimized mode, use {1}",v,d):f?W("accessibilityOffAriaLabelNoKb","{0} To enable screen reader optimized mode, open the quick pick with {1} and run the command Toggle Screen Reader Accessibility Mode, which is currently not triggerable via keyboard.",v,f):m?W("accessibilityOffAriaLabelNoKbs","{0} Please assign a keybinding for the command Toggle Screen Reader Accessibility Mode by accessing the keybindings editor with {1} and run it.",v,m):v}return e.get(4)}_setAccessibilityOptions(e){this._accessibilitySupport=e.get(2);const i=e.get(3);this._accessibilitySupport===2&&i===KE.accessibilityPageSize.defaultValue?this._accessibilityPageSize=500:this._accessibilityPageSize=i;const s=e.get(146).wrappingColumn;if(s!==-1&&this._accessibilitySupport!==1){const c=e.get(50);this._textAreaWrapping=!0,this._textAreaWidth=Math.round(s*c.typicalHalfwidthCharacterWidth)}else this._textAreaWrapping=!1,this._textAreaWidth=d9e?0:1}onConfigurationChanged(e){const i=this._context.configuration.options,n=i.get(146);this._setAccessibilityOptions(i),this._contentLeft=n.contentLeft,this._contentWidth=n.contentWidth,this._contentHeight=n.height,this._fontInfo=i.get(50),this._lineHeight=i.get(67),this._emptySelectionClipboard=i.get(37),this._copyWithSyntaxHighlighting=i.get(25),this.textArea.setAttribute("wrap",this._textAreaWrapping&&!this._visibleTextArea?"on":"off");const{tabSize:s}=this._context.viewModel.model.getOptions();return this.textArea.domNode.style.tabSize=`${s*this._fontInfo.spaceWidth}px`,this.textArea.setAttribute("aria-label",this._getAriaLabel(i)),this.textArea.setAttribute("aria-required",i.get(5)?"true":"false"),this.textArea.setAttribute("tabindex",String(i.get(125))),(e.hasChanged(34)||e.hasChanged(92))&&this._ensureReadOnlyAttribute(),e.hasChanged(2)&&this._textAreaInput.writeNativeTextAreaContent("strategy changed"),!0}onCursorStateChanged(e){return this._selections=e.selections.slice(0),this._modelSelections=e.modelSelections.slice(0),this._textAreaInput.writeNativeTextAreaContent("selection changed"),!0}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return this._scrollLeft=e.scrollLeft,this._scrollTop=e.scrollTop,!0}onZonesChanged(e){return!0}isFocused(){return this._textAreaInput.isFocused()}focusTextArea(){this._textAreaInput.focusTextArea()}getLastRenderData(){return this._lastRenderPosition}setAriaOptions(e){e.activeDescendant?(this.textArea.setAttribute("aria-haspopup","true"),this.textArea.setAttribute("aria-autocomplete","list"),this.textArea.setAttribute("aria-activedescendant",e.activeDescendant)):(this.textArea.setAttribute("aria-haspopup","false"),this.textArea.setAttribute("aria-autocomplete","both"),this.textArea.removeAttribute("aria-activedescendant")),e.role&&this.textArea.setAttribute("role",e.role)}_ensureReadOnlyAttribute(){const e=this._context.configuration.options;!Eie.enabled||e.get(34)&&e.get(92)?this.textArea.setAttribute("readonly","true"):this.textArea.removeAttribute("readonly")}prepareRender(e){var i;this._primaryCursorPosition=new pi(this._selections[0].positionLineNumber,this._selections[0].positionColumn),this._primaryCursorVisibleRange=e.visibleRangeForPosition(this._primaryCursorPosition),(i=this._visibleTextArea)==null||i.prepareRender(e)}render(e){this._textAreaInput.writeNativeTextAreaContent("render"),this._render()}_render(){if(this._visibleTextArea){const n=this._visibleTextArea.visibleTextareaStart,s=this._visibleTextArea.visibleTextareaEnd,c=this._visibleTextArea.startPosition,d=this._visibleTextArea.endPosition;if(c&&d&&n&&s&&s.left>=this._scrollLeft&&n.left<=this._scrollLeft+this._contentWidth){const f=this._context.viewLayout.getVerticalOffsetForLineNumber(this._primaryCursorPosition.lineNumber)-this._scrollTop,m=this._newlinecount(this.textArea.domNode.value.substr(0,this.textArea.domNode.selectionStart));let v=this._visibleTextArea.widthOfHiddenLineTextBefore,x=this._contentLeft+n.left-this._scrollLeft,w=s.left-n.left+1;if(x<this._contentLeft){const Y=this._contentLeft-x;x+=Y,v+=Y,w-=Y}w>this._contentWidth&&(w=this._contentWidth);const I=this._context.viewModel.getViewLineData(c.lineNumber),P=I.tokens.findTokenIndexAtOffset(c.column-1),O=I.tokens.findTokenIndexAtOffset(d.column-1),z=P===O,J=this._visibleTextArea.definePresentation(z?I.tokens.getPresentation(P):null);this.textArea.domNode.scrollTop=m*this._lineHeight,this.textArea.domNode.scrollLeft=v,this._doRender({lastRenderPosition:null,top:f,left:x,width:w,height:this._lineHeight,useCover:!1,color:(Oh.getColorMap()||[])[J.foreground],italic:J.italic,bold:J.bold,underline:J.underline,strikethrough:J.strikethrough})}return}if(!this._primaryCursorVisibleRange){this._renderAtTopLeft();return}const e=this._contentLeft+this._primaryCursorVisibleRange.left-this._scrollLeft;if(e<this._contentLeft||e>this._contentLeft+this._contentWidth){this._renderAtTopLeft();return}const i=this._context.viewLayout.getVerticalOffsetForLineNumber(this._selections[0].positionLineNumber)-this._scrollTop;if(i<0||i>this._contentHeight){this._renderAtTopLeft();return}if(Hc||this._accessibilitySupport===2){this._doRender({lastRenderPosition:this._primaryCursorPosition,top:i,left:this._textAreaWrapping?this._contentLeft:e,width:this._textAreaWidth,height:this._lineHeight,useCover:!1}),this.textArea.domNode.scrollLeft=this._primaryCursorVisibleRange.left;const n=this._textAreaInput.textAreaState.newlineCountBeforeSelection??this._newlinecount(this.textArea.domNode.value.substr(0,this.textArea.domNode.selectionStart));this.textArea.domNode.scrollTop=n*this._lineHeight;return}this._doRender({lastRenderPosition:this._primaryCursorPosition,top:i,left:this._textAreaWrapping?this._contentLeft:e,width:this._textAreaWidth,height:d9e?0:1,useCover:!1})}_newlinecount(e){let i=0,n=-1;do{if(n=e.indexOf(`
+`,n+1),n===-1)break;i++}while(!0);return i}_renderAtTopLeft(){this._doRender({lastRenderPosition:null,top:0,left:0,width:this._textAreaWidth,height:d9e?0:1,useCover:!0})}_doRender(e){this._lastRenderPosition=e.lastRenderPosition;const i=this.textArea,n=this.textAreaCover;a0(i,this._fontInfo),i.setTop(e.top),i.setLeft(e.left),i.setWidth(e.width),i.setHeight(e.height),i.setColor(e.color?In.Format.CSS.formatHex(e.color):""),i.setFontStyle(e.italic?"italic":""),e.bold&&i.setFontWeight("bold"),i.setTextDecoration(`${e.underline?" underline":""}${e.strikethrough?" line-through":""}`),n.setTop(e.useCover?e.top:0),n.setLeft(e.useCover?e.left:0),n.setWidth(e.useCover?e.width:0),n.setHeight(e.useCover?e.height:0);const s=this._context.configuration.options;s.get(57)?n.setClassName("monaco-editor-background textAreaCover "+xve.OUTER_CLASS_NAME):s.get(68).renderType!==0?n.setClassName("monaco-editor-background textAreaCover "+wve.CLASS_NAME):n.setClassName("monaco-editor-background textAreaCover")}};aje=Lxi([kSt(3,pu),kSt(4,ho)],aje);function Axi(l,e,i,n){if(e.length===0)return 0;const s=l.createElement("div");s.style.position="absolute",s.style.top="-50000px",s.style.width="50000px";const c=l.createElement("span");a0(c,i),c.style.whiteSpace="pre",c.style.tabSize=`${n*i.spaceWidth}px`,c.append(e),s.appendChild(c),l.body.appendChild(s);const d=c.offsetWidth;return s.remove(),d}const Oxi=()=>!0,Mxi=()=>!1,Rxi=l=>l===" "||l===" ";class NU{static shouldRecreate(e){return e.hasChanged(146)||e.hasChanged(132)||e.hasChanged(37)||e.hasChanged(77)||e.hasChanged(79)||e.hasChanged(80)||e.hasChanged(6)||e.hasChanged(7)||e.hasChanged(11)||e.hasChanged(9)||e.hasChanged(10)||e.hasChanged(14)||e.hasChanged(129)||e.hasChanged(50)||e.hasChanged(92)||e.hasChanged(131)}constructor(e,i,n,s){this.languageConfigurationService=s,this._cursorMoveConfigurationBrand=void 0,this._languageId=e;const c=n.options,d=c.get(146),f=c.get(50);this.readOnly=c.get(92),this.tabSize=i.tabSize,this.indentSize=i.indentSize,this.insertSpaces=i.insertSpaces,this.stickyTabStops=c.get(117),this.lineHeight=f.lineHeight,this.typicalHalfwidthCharacterWidth=f.typicalHalfwidthCharacterWidth,this.pageSize=Math.max(1,Math.floor(d.height/this.lineHeight)-2),this.useTabStops=c.get(129),this.wordSeparators=c.get(132),this.emptySelectionClipboard=c.get(37),this.copyWithSyntaxHighlighting=c.get(25),this.multiCursorMergeOverlapping=c.get(77),this.multiCursorPaste=c.get(79),this.multiCursorLimit=c.get(80),this.autoClosingBrackets=c.get(6),this.autoClosingComments=c.get(7),this.autoClosingQuotes=c.get(11),this.autoClosingDelete=c.get(9),this.autoClosingOvertype=c.get(10),this.autoSurround=c.get(14),this.autoIndent=c.get(12),this.wordSegmenterLocales=c.get(131),this.surroundingPairs={},this._electricChars=null,this.shouldAutoCloseBefore={quote:this._getShouldAutoClose(e,this.autoClosingQuotes,!0),comment:this._getShouldAutoClose(e,this.autoClosingComments,!1),bracket:this._getShouldAutoClose(e,this.autoClosingBrackets,!1)},this.autoClosingPairs=this.languageConfigurationService.getLanguageConfiguration(e).getAutoClosingPairs();const m=this.languageConfigurationService.getLanguageConfiguration(e).getSurroundingPairs();if(m)for(const x of m)this.surroundingPairs[x.open]=x.close;const v=this.languageConfigurationService.getLanguageConfiguration(e).comments;this.blockCommentStartToken=(v==null?void 0:v.blockCommentStartToken)??null}get electricChars(){var e;if(!this._electricChars){this._electricChars={};const i=(e=this.languageConfigurationService.getLanguageConfiguration(this._languageId).electricCharacter)==null?void 0:e.getElectricCharacters();if(i)for(const n of i)this._electricChars[n]=!0}return this._electricChars}onElectricCharacter(e,i,n){const s=n9(i,n-1),c=this.languageConfigurationService.getLanguageConfiguration(s.languageId).electricCharacter;return c?c.onElectricCharacter(e,s,n-s.firstCharOffset):null}normalizeIndentation(e){return uJe(e,this.indentSize,this.insertSpaces)}_getShouldAutoClose(e,i,n){switch(i){case"beforeWhitespace":return Rxi;case"languageDefined":return this._getLanguageDefinedShouldAutoClose(e,n);case"always":return Oxi;case"never":return Mxi}}_getLanguageDefinedShouldAutoClose(e,i){const n=this.languageConfigurationService.getLanguageConfiguration(e).getAutoCloseBeforeSet(i);return s=>n.indexOf(s)!==-1}visibleColumnFromColumn(e,i){return em.visibleColumnFromColumn(e.getLineContent(i.lineNumber),i.column,this.tabSize)}columnFromVisibleColumn(e,i,n){const s=em.columnFromVisibleColumn(e.getLineContent(i),n,this.tabSize),c=e.getLineMinColumn(i);if(s<c)return c;const d=e.getLineMaxColumn(i);return s>d?d:s}}let Rl=class yIt{static fromModelState(e){return new Fxi(e)}static fromViewState(e){return new Bxi(e)}static fromModelSelection(e){const i=Us.liftSelection(e),n=new i0(nt.fromPositions(i.getSelectionStart()),0,0,i.getPosition(),0);return yIt.fromModelState(n)}static fromModelSelections(e){const i=[];for(let n=0,s=e.length;n<s;n++)i[n]=this.fromModelSelection(e[n]);return i}constructor(e,i){this._cursorStateBrand=void 0,this.modelState=e,this.viewState=i}equals(e){return this.viewState.equals(e.viewState)&&this.modelState.equals(e.modelState)}};class Fxi{constructor(e){this.modelState=e,this.viewState=null}}class Bxi{constructor(e){this.modelState=null,this.viewState=e}}class i0{constructor(e,i,n,s,c){this.selectionStart=e,this.selectionStartKind=i,this.selectionStartLeftoverVisibleColumns=n,this.position=s,this.leftoverVisibleColumns=c,this._singleCursorStateBrand=void 0,this.selection=i0._computeSelection(this.selectionStart,this.position)}equals(e){return this.selectionStartLeftoverVisibleColumns===e.selectionStartLeftoverVisibleColumns&&this.leftoverVisibleColumns===e.leftoverVisibleColumns&&this.selectionStartKind===e.selectionStartKind&&this.position.equals(e.position)&&this.selectionStart.equalsRange(e.selectionStart)}hasSelection(){return!this.selection.isEmpty()||!this.selectionStart.isEmpty()}move(e,i,n,s){return e?new i0(this.selectionStart,this.selectionStartKind,this.selectionStartLeftoverVisibleColumns,new pi(i,n),s):new i0(new nt(i,n,i,n),0,s,new pi(i,n),s)}static _computeSelection(e,i){return e.isEmpty()||!i.isBeforeOrEqual(e.getStartPosition())?Us.fromPositions(e.getStartPosition(),i):Us.fromPositions(e.getEndPosition(),i)}}class Lb{constructor(e,i,n){this._editOperationResultBrand=void 0,this.type=e,this.commands=i,this.shouldPushStackElementBefore=n.shouldPushStackElementBefore,this.shouldPushStackElementAfter=n.shouldPushStackElementAfter}}function NR(l){return l==="'"||l==='"'||l==="`"}class JF{static columnSelect(e,i,n,s,c,d){const f=Math.abs(c-n)+1,m=n>c,v=s>d,x=s<d,w=[];for(let I=0;I<f;I++){const P=n+(m?-I:I),O=e.columnFromVisibleColumn(i,P,s),z=e.columnFromVisibleColumn(i,P,d),J=e.visibleColumnFromColumn(i,new pi(P,O)),Y=e.visibleColumnFromColumn(i,new pi(P,z));x&&(J>d||Y<s)||v&&(Y>s||J<d)||w.push(new i0(new nt(P,O,P,O),0,0,new pi(P,z),0))}if(w.length===0)for(let I=0;I<f;I++){const P=n+(m?-I:I),O=i.getLineMaxColumn(P);w.push(new i0(new nt(P,O,P,O),0,0,new pi(P,O),0))}return{viewStates:w,reversed:m,fromLineNumber:n,fromVisualColumn:s,toLineNumber:c,toVisualColumn:d}}static columnSelectLeft(e,i,n){let s=n.toViewVisualColumn;return s>0&&s--,JF.columnSelect(e,i,n.fromViewLineNumber,n.fromViewVisualColumn,n.toViewLineNumber,s)}static columnSelectRight(e,i,n){let s=0;const c=Math.min(n.fromViewLineNumber,n.toViewLineNumber),d=Math.max(n.fromViewLineNumber,n.toViewLineNumber);for(let m=c;m<=d;m++){const v=i.getLineMaxColumn(m),x=e.visibleColumnFromColumn(i,new pi(m,v));s=Math.max(s,x)}let f=n.toViewVisualColumn;return f<s&&f++,this.columnSelect(e,i,n.fromViewLineNumber,n.fromViewVisualColumn,n.toViewLineNumber,f)}static columnSelectUp(e,i,n,s){const c=s?e.pageSize:1,d=Math.max(1,n.toViewLineNumber-c);return this.columnSelect(e,i,n.fromViewLineNumber,n.fromViewVisualColumn,d,n.toViewVisualColumn)}static columnSelectDown(e,i,n,s){const c=s?e.pageSize:1,d=Math.min(i.getLineCount(),n.toViewLineNumber+c);return this.columnSelect(e,i,n.fromViewLineNumber,n.fromViewVisualColumn,d,n.toViewVisualColumn)}}class T0{constructor(e,i,n=!1){this._range=e,this._text=i,this.insertsAutoWhitespace=n}getEditOperations(e,i){i.addTrackedEditOperation(this._range,this._text)}computeCursorState(e,i){const s=i.getInverseEditOperations()[0].range;return Us.fromPositions(s.getEndPosition())}}class Wxi{constructor(e,i){this._range=e,this._text=i}getEditOperations(e,i){i.addTrackedEditOperation(this._range,this._text)}computeCursorState(e,i){const s=i.getInverseEditOperations()[0].range;return Us.fromRange(s,0)}}class k1e{constructor(e,i,n=!1){this._range=e,this._text=i,this.insertsAutoWhitespace=n}getEditOperations(e,i){i.addTrackedEditOperation(this._range,this._text)}computeCursorState(e,i){const s=i.getInverseEditOperations()[0].range;return Us.fromPositions(s.getStartPosition())}}class kve{constructor(e,i,n,s,c=!1){this._range=e,this._text=i,this._columnDeltaOffset=s,this._lineNumberDeltaOffset=n,this.insertsAutoWhitespace=c}getEditOperations(e,i){i.addTrackedEditOperation(this._range,this._text)}computeCursorState(e,i){const s=i.getInverseEditOperations()[0].range;return Us.fromPositions(s.getEndPosition().delta(this._lineNumberDeltaOffset,this._columnDeltaOffset))}}class GJe{constructor(e,i,n,s=!1){this._range=e,this._text=i,this._initialSelection=n,this._forceMoveMarkers=s,this._selectionId=null}getEditOperations(e,i){i.addTrackedEditOperation(this._range,this._text,this._forceMoveMarkers),this._selectionId=i.trackSelection(this._initialSelection)}computeCursorState(e,i){return i.getTrackedSelection(this._selectionId)}}class f9e{constructor(e,i,n){this._cursorPositionBrand=void 0,this.lineNumber=e,this.column=i,this.leftoverVisibleColumns=n}}class ku{static leftPosition(e,i){if(i.column>e.getLineMinColumn(i.lineNumber))return i.delta(void 0,-t2t(e.getLineContent(i.lineNumber),i.column-1));if(i.lineNumber>1){const n=i.lineNumber-1;return new pi(n,e.getLineMaxColumn(n))}else return i}static leftPositionAtomicSoftTabs(e,i,n){if(i.column<=e.getLineIndentColumn(i.lineNumber)){const s=e.getLineMinColumn(i.lineNumber),c=e.getLineContent(i.lineNumber),d=Sre.atomicPosition(c,i.column-1,n,0);if(d!==-1&&d+1>=s)return new pi(i.lineNumber,d+1)}return this.leftPosition(e,i)}static left(e,i,n){const s=e.stickyTabStops?ku.leftPositionAtomicSoftTabs(i,n,e.tabSize):ku.leftPosition(i,n);return new f9e(s.lineNumber,s.column,0)}static moveLeft(e,i,n,s,c){let d,f;if(n.hasSelection()&&!s)d=n.selection.startLineNumber,f=n.selection.startColumn;else{const m=n.position.delta(void 0,-(c-1)),v=i.normalizePosition(ku.clipPositionColumn(m,i),0),x=ku.left(e,i,v);d=x.lineNumber,f=x.column}return n.move(s,d,f,0)}static clipPositionColumn(e,i){return new pi(e.lineNumber,ku.clipRange(e.column,i.getLineMinColumn(e.lineNumber),i.getLineMaxColumn(e.lineNumber)))}static clipRange(e,i,n){return e<i?i:e>n?n:e}static rightPosition(e,i,n){return n<e.getLineMaxColumn(i)?n=n+i$e(e.getLineContent(i),n-1):i<e.getLineCount()&&(i=i+1,n=e.getLineMinColumn(i)),new pi(i,n)}static rightPositionAtomicSoftTabs(e,i,n,s,c){if(n<e.getLineIndentColumn(i)){const d=e.getLineContent(i),f=Sre.atomicPosition(d,n-1,s,1);if(f!==-1)return new pi(i,f+1)}return this.rightPosition(e,i,n)}static right(e,i,n){const s=e.stickyTabStops?ku.rightPositionAtomicSoftTabs(i,n.lineNumber,n.column,e.tabSize,e.indentSize):ku.rightPosition(i,n.lineNumber,n.column);return new f9e(s.lineNumber,s.column,0)}static moveRight(e,i,n,s,c){let d,f;if(n.hasSelection()&&!s)d=n.selection.endLineNumber,f=n.selection.endColumn;else{const m=n.position.delta(void 0,c-1),v=i.normalizePosition(ku.clipPositionColumn(m,i),1),x=ku.right(e,i,v);d=x.lineNumber,f=x.column}return n.move(s,d,f,0)}static vertical(e,i,n,s,c,d,f,m){const v=em.visibleColumnFromColumn(i.getLineContent(n),s,e.tabSize)+c,x=i.getLineCount(),w=n===1&&s===1,I=n===x&&s===i.getLineMaxColumn(n),P=d<n?w:I;if(n=d,n<1?(n=1,f?s=i.getLineMinColumn(n):s=Math.min(i.getLineMaxColumn(n),s)):n>x?(n=x,f?s=i.getLineMaxColumn(n):s=Math.min(i.getLineMaxColumn(n),s)):s=e.columnFromVisibleColumn(i,n,v),P?c=0:c=v-em.visibleColumnFromColumn(i.getLineContent(n),s,e.tabSize),m!==void 0){const O=new pi(n,s),z=i.normalizePosition(O,m);c=c+(s-z.column),n=z.lineNumber,s=z.column}return new f9e(n,s,c)}static down(e,i,n,s,c,d,f){return this.vertical(e,i,n,s,c,n+d,f,4)}static moveDown(e,i,n,s,c){let d,f;n.hasSelection()&&!s?(d=n.selection.endLineNumber,f=n.selection.endColumn):(d=n.position.lineNumber,f=n.position.column);let m=0,v;do if(v=ku.down(e,i,d+m,f,n.leftoverVisibleColumns,c,!0),i.normalizePosition(new pi(v.lineNumber,v.column),2).lineNumber>d)break;while(m++<10&&d+m<i.getLineCount());return n.move(s,v.lineNumber,v.column,v.leftoverVisibleColumns)}static translateDown(e,i,n){const s=n.selection,c=ku.down(e,i,s.selectionStartLineNumber,s.selectionStartColumn,n.selectionStartLeftoverVisibleColumns,1,!1),d=ku.down(e,i,s.positionLineNumber,s.positionColumn,n.leftoverVisibleColumns,1,!1);return new i0(new nt(c.lineNumber,c.column,c.lineNumber,c.column),0,c.leftoverVisibleColumns,new pi(d.lineNumber,d.column),d.leftoverVisibleColumns)}static up(e,i,n,s,c,d,f){return this.vertical(e,i,n,s,c,n-d,f,3)}static moveUp(e,i,n,s,c){let d,f;n.hasSelection()&&!s?(d=n.selection.startLineNumber,f=n.selection.startColumn):(d=n.position.lineNumber,f=n.position.column);const m=ku.up(e,i,d,f,n.leftoverVisibleColumns,c,!0);return n.move(s,m.lineNumber,m.column,m.leftoverVisibleColumns)}static translateUp(e,i,n){const s=n.selection,c=ku.up(e,i,s.selectionStartLineNumber,s.selectionStartColumn,n.selectionStartLeftoverVisibleColumns,1,!1),d=ku.up(e,i,s.positionLineNumber,s.positionColumn,n.leftoverVisibleColumns,1,!1);return new i0(new nt(c.lineNumber,c.column,c.lineNumber,c.column),0,c.leftoverVisibleColumns,new pi(d.lineNumber,d.column),d.leftoverVisibleColumns)}static _isBlankLine(e,i){return e.getLineFirstNonWhitespaceColumn(i)===0}static moveToPrevBlankLine(e,i,n,s){let c=n.position.lineNumber;for(;c>1&&this._isBlankLine(i,c);)c--;for(;c>1&&!this._isBlankLine(i,c);)c--;return n.move(s,c,i.getLineMinColumn(c),0)}static moveToNextBlankLine(e,i,n,s){const c=i.getLineCount();let d=n.position.lineNumber;for(;d<c&&this._isBlankLine(i,d);)d++;for(;d<c&&!this._isBlankLine(i,d);)d++;return n.move(s,d,i.getLineMinColumn(d),0)}static moveToBeginningOfLine(e,i,n,s){const c=n.position.lineNumber,d=i.getLineMinColumn(c),f=i.getLineFirstNonWhitespaceColumn(c)||d;let m;return n.position.column===f?m=d:m=f,n.move(s,c,m,0)}static moveToEndOfLine(e,i,n,s,c){const d=n.position.lineNumber,f=i.getLineMaxColumn(d);return n.move(s,d,f,c?1073741824-f:0)}static moveToBeginningOfBuffer(e,i,n,s){return n.move(s,1,1,0)}static moveToEndOfBuffer(e,i,n,s){const c=i.getLineCount(),d=i.getLineMaxColumn(c);return n.move(s,c,d,0)}}class RB{static deleteRight(e,i,n,s){const c=[];let d=e!==3;for(let f=0,m=s.length;f<m;f++){const v=s[f];let x=v;if(x.isEmpty()){const w=v.getPosition(),I=ku.right(i,n,w);x=new nt(I.lineNumber,I.column,w.lineNumber,w.column)}if(x.isEmpty()){c[f]=null;continue}x.startLineNumber!==x.endLineNumber&&(d=!0),c[f]=new T0(x,"")}return[d,c]}static isAutoClosingPairDelete(e,i,n,s,c,d,f){if(i==="never"&&n==="never"||e==="never")return!1;for(let m=0,v=d.length;m<v;m++){const x=d[m],w=x.getPosition();if(!x.isEmpty())return!1;const I=c.getLineContent(w.lineNumber);if(w.column<2||w.column>=I.length+1)return!1;const P=I.charAt(w.column-2),O=s.get(P);if(!O)return!1;if(NR(P)){if(n==="never")return!1}else if(i==="never")return!1;const z=I.charAt(w.column-1);let J=!1;for(const Y of O)Y.open===P&&Y.close===z&&(J=!0);if(!J)return!1;if(e==="auto"){let Y=!1;for(let ae=0,me=f.length;ae<me;ae++){const ye=f[ae];if(w.lineNumber===ye.startLineNumber&&w.column===ye.startColumn){Y=!0;break}}if(!Y)return!1}}return!0}static _runAutoClosingPairDelete(e,i,n){const s=[];for(let c=0,d=n.length;c<d;c++){const f=n[c].getPosition(),m=new nt(f.lineNumber,f.column-1,f.lineNumber,f.column+1);s[c]=new T0(m,"")}return[!0,s]}static deleteLeft(e,i,n,s,c){if(this.isAutoClosingPairDelete(i.autoClosingDelete,i.autoClosingBrackets,i.autoClosingQuotes,i.autoClosingPairs.autoClosingPairsOpenByEnd,n,s,c))return this._runAutoClosingPairDelete(i,n,s);const d=[];let f=e!==2;for(let m=0,v=s.length;m<v;m++){const x=RB.getDeleteRange(s[m],n,i);if(x.isEmpty()){d[m]=null;continue}x.startLineNumber!==x.endLineNumber&&(f=!0),d[m]=new T0(x,"")}return[f,d]}static getDeleteRange(e,i,n){if(!e.isEmpty())return e;const s=e.getPosition();if(n.useTabStops&&s.column>1){const c=i.getLineContent(s.lineNumber),d=Ty(c),f=d===-1?c.length+1:d+1;if(s.column<=f){const m=n.visibleColumnFromColumn(i,s),v=em.prevIndentTabStop(m,n.indentSize),x=n.columnFromVisibleColumn(i,s.lineNumber,v);return new nt(s.lineNumber,x,s.lineNumber,s.column)}}return nt.fromPositions(RB.getPositionAfterDeleteLeft(s,i),s)}static getPositionAfterDeleteLeft(e,i){if(e.column>1){const n=nui(e.column-1,i.getLineContent(e.lineNumber));return e.with(void 0,n+1)}else if(e.lineNumber>1){const n=e.lineNumber-1;return new pi(n,i.getLineMaxColumn(n))}else return e}static cut(e,i,n){const s=[];let c=null;n.sort((d,f)=>pi.compare(d.getStartPosition(),f.getEndPosition()));for(let d=0,f=n.length;d<f;d++){const m=n[d];if(m.isEmpty())if(e.emptySelectionClipboard){const v=m.getPosition();let x,w,I,P;v.lineNumber<i.getLineCount()?(x=v.lineNumber,w=1,I=v.lineNumber+1,P=1):v.lineNumber>1&&(c==null?void 0:c.endLineNumber)!==v.lineNumber?(x=v.lineNumber-1,w=i.getLineMaxColumn(v.lineNumber-1),I=v.lineNumber,P=i.getLineMaxColumn(v.lineNumber)):(x=v.lineNumber,w=1,I=v.lineNumber,P=i.getLineMaxColumn(v.lineNumber));const O=new nt(x,w,I,P);c=O,O.isEmpty()?s[d]=null:s[d]=new T0(O,"")}else s[d]=null;else s[d]=new T0(m,"")}return new Lb(0,s,{shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!0})}}class hu{static _createWord(e,i,n,s,c){return{start:s,end:c,wordType:i,nextCharClass:n}}static _createIntlWord(e,i){return{start:e.index,end:e.index+e.segment.length,wordType:1,nextCharClass:i}}static _findPreviousWordOnLine(e,i,n){const s=i.getLineContent(n.lineNumber);return this._doFindPreviousWordOnLine(s,e,n)}static _doFindPreviousWordOnLine(e,i,n){let s=0;const c=i.findPrevIntlWordBeforeOrAtOffset(e,n.column-2);for(let d=n.column-2;d>=0;d--){const f=e.charCodeAt(d),m=i.get(f);if(c&&d===c.index)return this._createIntlWord(c,m);if(m===0){if(s===2)return this._createWord(e,s,m,d+1,this._findEndOfWord(e,i,s,d+1));s=1}else if(m===2){if(s===1)return this._createWord(e,s,m,d+1,this._findEndOfWord(e,i,s,d+1));s=2}else if(m===1&&s!==0)return this._createWord(e,s,m,d+1,this._findEndOfWord(e,i,s,d+1))}return s!==0?this._createWord(e,s,1,0,this._findEndOfWord(e,i,s,0)):null}static _findEndOfWord(e,i,n,s){const c=i.findNextIntlWordAtOrAfterOffset(e,s),d=e.length;for(let f=s;f<d;f++){const m=e.charCodeAt(f),v=i.get(m);if(c&&f===c.index+c.segment.length||v===1||n===1&&v===2||n===2&&v===0)return f}return d}static _findNextWordOnLine(e,i,n){const s=i.getLineContent(n.lineNumber);return this._doFindNextWordOnLine(s,e,n)}static _doFindNextWordOnLine(e,i,n){let s=0;const c=e.length,d=i.findNextIntlWordAtOrAfterOffset(e,n.column-1);for(let f=n.column-1;f<c;f++){const m=e.charCodeAt(f),v=i.get(m);if(d&&f===d.index)return this._createIntlWord(d,v);if(v===0){if(s===2)return this._createWord(e,s,v,this._findStartOfWord(e,i,s,f-1),f);s=1}else if(v===2){if(s===1)return this._createWord(e,s,v,this._findStartOfWord(e,i,s,f-1),f);s=2}else if(v===1&&s!==0)return this._createWord(e,s,v,this._findStartOfWord(e,i,s,f-1),f)}return s!==0?this._createWord(e,s,1,this._findStartOfWord(e,i,s,c-1),c):null}static _findStartOfWord(e,i,n,s){const c=i.findPrevIntlWordBeforeOrAtOffset(e,s);for(let d=s;d>=0;d--){const f=e.charCodeAt(d),m=i.get(f);if(c&&d===c.index)return d;if(m===1||n===1&&m===2||n===2&&m===0)return d+1}return 0}static moveWordLeft(e,i,n,s,c){let d=n.lineNumber,f=n.column;f===1&&d>1&&(d=d-1,f=i.getLineMaxColumn(d));let m=hu._findPreviousWordOnLine(e,i,new pi(d,f));if(s===0)return new pi(d,m?m.start+1:1);if(s===1)return!c&&m&&m.wordType===2&&m.end-m.start===1&&m.nextCharClass===0&&(m=hu._findPreviousWordOnLine(e,i,new pi(d,m.start+1))),new pi(d,m?m.start+1:1);if(s===3){for(;m&&m.wordType===2;)m=hu._findPreviousWordOnLine(e,i,new pi(d,m.start+1));return new pi(d,m?m.start+1:1)}return m&&f<=m.end+1&&(m=hu._findPreviousWordOnLine(e,i,new pi(d,m.start+1))),new pi(d,m?m.end+1:1)}static _moveWordPartLeft(e,i){const n=i.lineNumber,s=e.getLineMaxColumn(n);if(i.column===1)return n>1?new pi(n-1,e.getLineMaxColumn(n-1)):i;const c=e.getLineContent(n);for(let d=i.column-1;d>1;d--){const f=c.charCodeAt(d-2),m=c.charCodeAt(d-1);if(f===95&&m!==95)return new pi(n,d);if(f===45&&m!==45)return new pi(n,d);if((LM(f)||qge(f))&&fL(m))return new pi(n,d);if(fL(f)&&fL(m)&&d+1<s){const v=c.charCodeAt(d);if(LM(v)||qge(v))return new pi(n,d)}}return new pi(n,1)}static moveWordRight(e,i,n,s){let c=n.lineNumber,d=n.column,f=!1;d===i.getLineMaxColumn(c)&&c<i.getLineCount()&&(f=!0,c=c+1,d=1);let m=hu._findNextWordOnLine(e,i,new pi(c,d));if(s===2)m&&m.wordType===2&&m.end-m.start===1&&m.nextCharClass===0&&(m=hu._findNextWordOnLine(e,i,new pi(c,m.end+1))),m?d=m.end+1:d=i.getLineMaxColumn(c);else if(s===3){for(f&&(d=0);m&&(m.wordType===2||m.start+1<=d);)m=hu._findNextWordOnLine(e,i,new pi(c,m.end+1));m?d=m.start+1:d=i.getLineMaxColumn(c)}else m&&!f&&d>=m.start+1&&(m=hu._findNextWordOnLine(e,i,new pi(c,m.end+1))),m?d=m.start+1:d=i.getLineMaxColumn(c);return new pi(c,d)}static _moveWordPartRight(e,i){const n=i.lineNumber,s=e.getLineMaxColumn(n);if(i.column===s)return n<e.getLineCount()?new pi(n+1,1):i;const c=e.getLineContent(n);for(let d=i.column+1;d<s;d++){const f=c.charCodeAt(d-2),m=c.charCodeAt(d-1);if(f!==95&&m===95)return new pi(n,d);if(f!==45&&m===45)return new pi(n,d);if((LM(f)||qge(f))&&fL(m))return new pi(n,d);if(fL(f)&&fL(m)&&d+1<s){const v=c.charCodeAt(d);if(LM(v)||qge(v))return new pi(n,d)}}return new pi(n,s)}static _deleteWordLeftWhitespace(e,i){const n=e.getLineContent(i.lineNumber),s=i.column-2,c=ME(n,s);return c+1<s?new nt(i.lineNumber,c+2,i.lineNumber,i.column):null}static deleteWordLeft(e,i){const n=e.wordSeparators,s=e.model,c=e.selection,d=e.whitespaceHeuristics;if(!c.isEmpty())return c;if(RB.isAutoClosingPairDelete(e.autoClosingDelete,e.autoClosingBrackets,e.autoClosingQuotes,e.autoClosingPairs.autoClosingPairsOpenByEnd,e.model,[e.selection],e.autoClosedCharacters)){const w=e.selection.getPosition();return new nt(w.lineNumber,w.column-1,w.lineNumber,w.column+1)}const f=new pi(c.positionLineNumber,c.positionColumn);let m=f.lineNumber,v=f.column;if(m===1&&v===1)return null;if(d){const w=this._deleteWordLeftWhitespace(s,f);if(w)return w}let x=hu._findPreviousWordOnLine(n,s,f);return i===0?x?v=x.start+1:v>1?v=1:(m--,v=s.getLineMaxColumn(m)):(x&&v<=x.end+1&&(x=hu._findPreviousWordOnLine(n,s,new pi(m,x.start+1))),x?v=x.end+1:v>1?v=1:(m--,v=s.getLineMaxColumn(m))),new nt(m,v,f.lineNumber,f.column)}static deleteInsideWord(e,i,n){if(!n.isEmpty())return n;const s=new pi(n.positionLineNumber,n.positionColumn),c=this._deleteInsideWordWhitespace(i,s);return c||this._deleteInsideWordDetermineDeleteRange(e,i,s)}static _charAtIsWhitespace(e,i){const n=e.charCodeAt(i);return n===32||n===9}static _deleteInsideWordWhitespace(e,i){const n=e.getLineContent(i.lineNumber),s=n.length;if(s===0)return null;let c=Math.max(i.column-2,0);if(!this._charAtIsWhitespace(n,c))return null;let d=Math.min(i.column-1,s-1);if(!this._charAtIsWhitespace(n,d))return null;for(;c>0&&this._charAtIsWhitespace(n,c-1);)c--;for(;d+1<s&&this._charAtIsWhitespace(n,d+1);)d++;return new nt(i.lineNumber,c+1,i.lineNumber,d+2)}static _deleteInsideWordDetermineDeleteRange(e,i,n){const s=i.getLineContent(n.lineNumber),c=s.length;if(c===0)return n.lineNumber>1?new nt(n.lineNumber-1,i.getLineMaxColumn(n.lineNumber-1),n.lineNumber,1):n.lineNumber<i.getLineCount()?new nt(n.lineNumber,1,n.lineNumber+1,1):new nt(n.lineNumber,1,n.lineNumber,1);const d=w=>w.start+1<=n.column&&n.column<=w.end+1,f=(w,I)=>(w=Math.min(w,n.column),I=Math.max(I,n.column),new nt(n.lineNumber,w,n.lineNumber,I)),m=w=>{let I=w.start+1,P=w.end+1,O=!1;for(;P-1<c&&this._charAtIsWhitespace(s,P-1);)O=!0,P++;if(!O)for(;I>1&&this._charAtIsWhitespace(s,I-2);)I--;return f(I,P)},v=hu._findPreviousWordOnLine(e,i,n);if(v&&d(v))return m(v);const x=hu._findNextWordOnLine(e,i,n);return x&&d(x)?m(x):v&&x?f(v.end+1,x.start+1):v?f(v.start+1,v.end+1):x?f(x.start+1,x.end+1):f(1,c+1)}static _deleteWordPartLeft(e,i){if(!i.isEmpty())return i;const n=i.getPosition(),s=hu._moveWordPartLeft(e,n);return new nt(n.lineNumber,n.column,s.lineNumber,s.column)}static _findFirstNonWhitespaceChar(e,i){const n=e.length;for(let s=i;s<n;s++){const c=e.charAt(s);if(c!==" "&&c!==" ")return s}return n}static _deleteWordRightWhitespace(e,i){const n=e.getLineContent(i.lineNumber),s=i.column-1,c=this._findFirstNonWhitespaceChar(n,s);return s+1<c?new nt(i.lineNumber,i.column,i.lineNumber,c+1):null}static deleteWordRight(e,i){const n=e.wordSeparators,s=e.model,c=e.selection,d=e.whitespaceHeuristics;if(!c.isEmpty())return c;const f=new pi(c.positionLineNumber,c.positionColumn);let m=f.lineNumber,v=f.column;const x=s.getLineCount(),w=s.getLineMaxColumn(m);if(m===x&&v===w)return null;if(d){const P=this._deleteWordRightWhitespace(s,f);if(P)return P}let I=hu._findNextWordOnLine(n,s,f);return i===2?I?v=I.end+1:v<w||m===x?v=w:(m++,I=hu._findNextWordOnLine(n,s,new pi(m,1)),I?v=I.start+1:v=s.getLineMaxColumn(m)):(I&&v>=I.start+1&&(I=hu._findNextWordOnLine(n,s,new pi(m,I.end+1))),I?v=I.start+1:v<w||m===x?v=w:(m++,I=hu._findNextWordOnLine(n,s,new pi(m,1)),I?v=I.start+1:v=s.getLineMaxColumn(m))),new nt(m,v,f.lineNumber,f.column)}static _deleteWordPartRight(e,i){if(!i.isEmpty())return i;const n=i.getPosition(),s=hu._moveWordPartRight(e,n);return new nt(n.lineNumber,n.column,s.lineNumber,s.column)}static _createWordAtPosition(e,i,n){const s=new nt(i,n.start+1,i,n.end+1);return{word:e.getValueInRange(s),startColumn:s.startColumn,endColumn:s.endColumn}}static getWordAtPosition(e,i,n,s){const c=Sk(i,n),d=hu._findPreviousWordOnLine(c,e,s);if(d&&d.wordType===1&&d.start<=s.column-1&&s.column-1<=d.end)return hu._createWordAtPosition(e,s.lineNumber,d);const f=hu._findNextWordOnLine(c,e,s);return f&&f.wordType===1&&f.start<=s.column-1&&s.column-1<=f.end?hu._createWordAtPosition(e,s.lineNumber,f):null}static word(e,i,n,s,c){const d=Sk(e.wordSeparators,e.wordSegmenterLocales),f=hu._findPreviousWordOnLine(d,i,c),m=hu._findNextWordOnLine(d,i,c);if(!s){let P,O;return f&&f.wordType===1&&f.start<=c.column-1&&c.column-1<=f.end?(P=f.start+1,O=f.end+1):m&&m.wordType===1&&m.start<=c.column-1&&c.column-1<=m.end?(P=m.start+1,O=m.end+1):(f?P=f.end+1:P=1,m?O=m.start+1:O=i.getLineMaxColumn(c.lineNumber)),new i0(new nt(c.lineNumber,P,c.lineNumber,O),1,0,new pi(c.lineNumber,O),0)}let v,x;f&&f.wordType===1&&f.start<c.column-1&&c.column-1<f.end?(v=f.start+1,x=f.end+1):m&&m.wordType===1&&m.start<c.column-1&&c.column-1<m.end?(v=m.start+1,x=m.end+1):(v=c.column,x=c.column);const w=c.lineNumber;let I;if(n.selectionStart.containsPosition(c))I=n.selectionStart.endColumn;else if(c.isBeforeOrEqual(n.selectionStart.getStartPosition())){I=v;const P=new pi(w,I);n.selectionStart.containsPosition(P)&&(I=n.selectionStart.endColumn)}else{I=x;const P=new pi(w,I);n.selectionStart.containsPosition(P)&&(I=n.selectionStart.startColumn)}return n.move(!0,w,I,0)}}class Awe extends hu{static deleteWordPartLeft(e){const i=w0e([hu.deleteWordLeft(e,0),hu.deleteWordLeft(e,2),hu._deleteWordPartLeft(e.model,e.selection)]);return i.sort(nt.compareRangesUsingEnds),i[2]}static deleteWordPartRight(e){const i=w0e([hu.deleteWordRight(e,0),hu.deleteWordRight(e,2),hu._deleteWordPartRight(e.model,e.selection)]);return i.sort(nt.compareRangesUsingStarts),i[0]}static moveWordPartLeft(e,i,n,s){const c=w0e([hu.moveWordLeft(e,i,n,0,s),hu.moveWordLeft(e,i,n,2,s),hu._moveWordPartLeft(i,n)]);return c.sort(pi.compare),c[2]}static moveWordPartRight(e,i,n){const s=w0e([hu.moveWordRight(e,i,n,0),hu.moveWordRight(e,i,n,2),hu._moveWordPartRight(i,n)]);return s.sort(pi.compare),s[0]}}function w0e(l){return l.filter(e=>!!e)}class t0{static addCursorDown(e,i,n){const s=[];let c=0;for(let d=0,f=i.length;d<f;d++){const m=i[d];s[c++]=new Rl(m.modelState,m.viewState),n?s[c++]=Rl.fromModelState(ku.translateDown(e.cursorConfig,e.model,m.modelState)):s[c++]=Rl.fromViewState(ku.translateDown(e.cursorConfig,e,m.viewState))}return s}static addCursorUp(e,i,n){const s=[];let c=0;for(let d=0,f=i.length;d<f;d++){const m=i[d];s[c++]=new Rl(m.modelState,m.viewState),n?s[c++]=Rl.fromModelState(ku.translateUp(e.cursorConfig,e.model,m.modelState)):s[c++]=Rl.fromViewState(ku.translateUp(e.cursorConfig,e,m.viewState))}return s}static moveToBeginningOfLine(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c];s[c]=this._moveToLineStart(e,f,n)}return s}static _moveToLineStart(e,i,n){const s=i.viewState.position.column,c=i.modelState.position.column,d=s===c,f=i.viewState.position.lineNumber,m=e.getLineFirstNonWhitespaceColumn(f);return!d&&!(s===m)?this._moveToLineStartByView(e,i,n):this._moveToLineStartByModel(e,i,n)}static _moveToLineStartByView(e,i,n){return Rl.fromViewState(ku.moveToBeginningOfLine(e.cursorConfig,e,i.viewState,n))}static _moveToLineStartByModel(e,i,n){return Rl.fromModelState(ku.moveToBeginningOfLine(e.cursorConfig,e.model,i.modelState,n))}static moveToEndOfLine(e,i,n,s){const c=[];for(let d=0,f=i.length;d<f;d++){const m=i[d];c[d]=this._moveToLineEnd(e,m,n,s)}return c}static _moveToLineEnd(e,i,n,s){const c=i.viewState.position,d=e.getLineMaxColumn(c.lineNumber),f=c.column===d,m=i.modelState.position,v=e.model.getLineMaxColumn(m.lineNumber),x=d-c.column===v-m.column;return f||x?this._moveToLineEndByModel(e,i,n,s):this._moveToLineEndByView(e,i,n,s)}static _moveToLineEndByView(e,i,n,s){return Rl.fromViewState(ku.moveToEndOfLine(e.cursorConfig,e,i.viewState,n,s))}static _moveToLineEndByModel(e,i,n,s){return Rl.fromModelState(ku.moveToEndOfLine(e.cursorConfig,e.model,i.modelState,n,s))}static expandLineSelection(e,i){const n=[];for(let s=0,c=i.length;s<c;s++){const d=i[s],f=d.modelState.selection.startLineNumber,m=e.model.getLineCount();let v=d.modelState.selection.endLineNumber,x;v===m?x=e.model.getLineMaxColumn(m):(v++,x=1),n[s]=Rl.fromModelState(new i0(new nt(f,1,f,1),0,0,new pi(v,x),0))}return n}static moveToBeginningOfBuffer(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c];s[c]=Rl.fromModelState(ku.moveToBeginningOfBuffer(e.cursorConfig,e.model,f.modelState,n))}return s}static moveToEndOfBuffer(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c];s[c]=Rl.fromModelState(ku.moveToEndOfBuffer(e.cursorConfig,e.model,f.modelState,n))}return s}static selectAll(e,i){const n=e.model.getLineCount(),s=e.model.getLineMaxColumn(n);return Rl.fromModelState(new i0(new nt(1,1,1,1),0,0,new pi(n,s),0))}static line(e,i,n,s,c){const d=e.model.validatePosition(s),f=c?e.coordinatesConverter.validateViewPosition(new pi(c.lineNumber,c.column),d):e.coordinatesConverter.convertModelPositionToViewPosition(d);if(!n){const v=e.model.getLineCount();let x=d.lineNumber+1,w=1;return x>v&&(x=v,w=e.model.getLineMaxColumn(x)),Rl.fromModelState(new i0(new nt(d.lineNumber,1,x,w),2,0,new pi(x,w),0))}const m=i.modelState.selectionStart.getStartPosition().lineNumber;if(d.lineNumber<m)return Rl.fromViewState(i.viewState.move(!0,f.lineNumber,1,0));if(d.lineNumber>m){const v=e.getLineCount();let x=f.lineNumber+1,w=1;return x>v&&(x=v,w=e.getLineMaxColumn(x)),Rl.fromViewState(i.viewState.move(!0,x,w,0))}else{const v=i.modelState.selectionStart.getEndPosition();return Rl.fromModelState(i.modelState.move(!0,v.lineNumber,v.column,0))}}static word(e,i,n,s){const c=e.model.validatePosition(s);return Rl.fromModelState(hu.word(e.cursorConfig,e.model,i.modelState,n,c))}static cancelSelection(e,i){if(!i.modelState.hasSelection())return new Rl(i.modelState,i.viewState);const n=i.viewState.position.lineNumber,s=i.viewState.position.column;return Rl.fromViewState(new i0(new nt(n,s,n,s),0,0,new pi(n,s),0))}static moveTo(e,i,n,s,c){if(n){if(i.modelState.selectionStartKind===1)return this.word(e,i,n,s);if(i.modelState.selectionStartKind===2)return this.line(e,i,n,s,c)}const d=e.model.validatePosition(s),f=c?e.coordinatesConverter.validateViewPosition(new pi(c.lineNumber,c.column),d):e.coordinatesConverter.convertModelPositionToViewPosition(d);return Rl.fromViewState(i.viewState.move(n,f.lineNumber,f.column,0))}static simpleMove(e,i,n,s,c,d){switch(n){case 0:return d===4?this._moveHalfLineLeft(e,i,s):this._moveLeft(e,i,s,c);case 1:return d===4?this._moveHalfLineRight(e,i,s):this._moveRight(e,i,s,c);case 2:return d===2?this._moveUpByViewLines(e,i,s,c):this._moveUpByModelLines(e,i,s,c);case 3:return d===2?this._moveDownByViewLines(e,i,s,c):this._moveDownByModelLines(e,i,s,c);case 4:return d===2?i.map(f=>Rl.fromViewState(ku.moveToPrevBlankLine(e.cursorConfig,e,f.viewState,s))):i.map(f=>Rl.fromModelState(ku.moveToPrevBlankLine(e.cursorConfig,e.model,f.modelState,s)));case 5:return d===2?i.map(f=>Rl.fromViewState(ku.moveToNextBlankLine(e.cursorConfig,e,f.viewState,s))):i.map(f=>Rl.fromModelState(ku.moveToNextBlankLine(e.cursorConfig,e.model,f.modelState,s)));case 6:return this._moveToViewMinColumn(e,i,s);case 7:return this._moveToViewFirstNonWhitespaceColumn(e,i,s);case 8:return this._moveToViewCenterColumn(e,i,s);case 9:return this._moveToViewMaxColumn(e,i,s);case 10:return this._moveToViewLastNonWhitespaceColumn(e,i,s);default:return null}}static viewportMove(e,i,n,s,c){const d=e.getCompletelyVisibleViewRange(),f=e.coordinatesConverter.convertViewRangeToModelRange(d);switch(n){case 11:{const m=this._firstLineNumberInRange(e.model,f,c),v=e.model.getLineFirstNonWhitespaceColumn(m);return[this._moveToModelPosition(e,i[0],s,m,v)]}case 13:{const m=this._lastLineNumberInRange(e.model,f,c),v=e.model.getLineFirstNonWhitespaceColumn(m);return[this._moveToModelPosition(e,i[0],s,m,v)]}case 12:{const m=Math.round((f.startLineNumber+f.endLineNumber)/2),v=e.model.getLineFirstNonWhitespaceColumn(m);return[this._moveToModelPosition(e,i[0],s,m,v)]}case 14:{const m=[];for(let v=0,x=i.length;v<x;v++){const w=i[v];m[v]=this.findPositionInViewportIfOutside(e,w,d,s)}return m}default:return null}}static findPositionInViewportIfOutside(e,i,n,s){const c=i.viewState.position.lineNumber;if(n.startLineNumber<=c&&c<=n.endLineNumber-1)return new Rl(i.modelState,i.viewState);{let d;c>n.endLineNumber-1?d=n.endLineNumber-1:c<n.startLineNumber?d=n.startLineNumber:d=c;const f=ku.vertical(e.cursorConfig,e,c,i.viewState.position.column,i.viewState.leftoverVisibleColumns,d,!1);return Rl.fromViewState(i.viewState.move(s,f.lineNumber,f.column,f.leftoverVisibleColumns))}}static _firstLineNumberInRange(e,i,n){let s=i.startLineNumber;return i.startColumn!==e.getLineMinColumn(s)&&s++,Math.min(i.endLineNumber,s+n-1)}static _lastLineNumberInRange(e,i,n){let s=i.startLineNumber;return i.startColumn!==e.getLineMinColumn(s)&&s++,Math.max(s,i.endLineNumber-n+1)}static _moveLeft(e,i,n,s){return i.map(c=>Rl.fromViewState(ku.moveLeft(e.cursorConfig,e,c.viewState,n,s)))}static _moveHalfLineLeft(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.viewState.position.lineNumber,v=Math.round(e.getLineLength(m)/2);s[c]=Rl.fromViewState(ku.moveLeft(e.cursorConfig,e,f.viewState,n,v))}return s}static _moveRight(e,i,n,s){return i.map(c=>Rl.fromViewState(ku.moveRight(e.cursorConfig,e,c.viewState,n,s)))}static _moveHalfLineRight(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.viewState.position.lineNumber,v=Math.round(e.getLineLength(m)/2);s[c]=Rl.fromViewState(ku.moveRight(e.cursorConfig,e,f.viewState,n,v))}return s}static _moveDownByViewLines(e,i,n,s){const c=[];for(let d=0,f=i.length;d<f;d++){const m=i[d];c[d]=Rl.fromViewState(ku.moveDown(e.cursorConfig,e,m.viewState,n,s))}return c}static _moveDownByModelLines(e,i,n,s){const c=[];for(let d=0,f=i.length;d<f;d++){const m=i[d];c[d]=Rl.fromModelState(ku.moveDown(e.cursorConfig,e.model,m.modelState,n,s))}return c}static _moveUpByViewLines(e,i,n,s){const c=[];for(let d=0,f=i.length;d<f;d++){const m=i[d];c[d]=Rl.fromViewState(ku.moveUp(e.cursorConfig,e,m.viewState,n,s))}return c}static _moveUpByModelLines(e,i,n,s){const c=[];for(let d=0,f=i.length;d<f;d++){const m=i[d];c[d]=Rl.fromModelState(ku.moveUp(e.cursorConfig,e.model,m.modelState,n,s))}return c}static _moveToViewPosition(e,i,n,s,c){return Rl.fromViewState(i.viewState.move(n,s,c,0))}static _moveToModelPosition(e,i,n,s,c){return Rl.fromModelState(i.modelState.move(n,s,c,0))}static _moveToViewMinColumn(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.viewState.position.lineNumber,v=e.getLineMinColumn(m);s[c]=this._moveToViewPosition(e,f,n,m,v)}return s}static _moveToViewFirstNonWhitespaceColumn(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.viewState.position.lineNumber,v=e.getLineFirstNonWhitespaceColumn(m);s[c]=this._moveToViewPosition(e,f,n,m,v)}return s}static _moveToViewCenterColumn(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.viewState.position.lineNumber,v=Math.round((e.getLineMaxColumn(m)+e.getLineMinColumn(m))/2);s[c]=this._moveToViewPosition(e,f,n,m,v)}return s}static _moveToViewMaxColumn(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.viewState.position.lineNumber,v=e.getLineMaxColumn(m);s[c]=this._moveToViewPosition(e,f,n,m,v)}return s}static _moveToViewLastNonWhitespaceColumn(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.viewState.position.lineNumber,v=e.getLineLastNonWhitespaceColumn(m);s[c]=this._moveToViewPosition(e,f,n,m,v)}return s}}var Tve;(function(l){const e=function(n){if(!gg(n))return!1;const s=n;return!(!Eb(s.to)||!xy(s.select)&&!Ckt(s.select)||!xy(s.by)&&!Eb(s.by)||!xy(s.value)&&!pR(s.value))};l.metadata={description:"Move cursor to a logical position in the view",args:[{name:"Cursor move argument object",description:`Property-value pairs that can be passed through this argument:
+ * 'to': A mandatory logical position value providing where to move the cursor.
+ \`\`\`
+ 'left', 'right', 'up', 'down', 'prevBlankLine', 'nextBlankLine',
+ 'wrappedLineStart', 'wrappedLineEnd', 'wrappedLineColumnCenter'
+ 'wrappedLineFirstNonWhitespaceCharacter', 'wrappedLineLastNonWhitespaceCharacter'
+ 'viewPortTop', 'viewPortCenter', 'viewPortBottom', 'viewPortIfOutside'
+ \`\`\`
+ * 'by': Unit to move. Default is computed based on 'to' value.
+ \`\`\`
+ 'line', 'wrappedLine', 'character', 'halfLine'
+ \`\`\`
+ * 'value': Number of units to move. Default is '1'.
+ * 'select': If 'true' makes the selection. Default is 'false'.
+ `,constraint:e,schema:{type:"object",required:["to"],properties:{to:{type:"string",enum:["left","right","up","down","prevBlankLine","nextBlankLine","wrappedLineStart","wrappedLineEnd","wrappedLineColumnCenter","wrappedLineFirstNonWhitespaceCharacter","wrappedLineLastNonWhitespaceCharacter","viewPortTop","viewPortCenter","viewPortBottom","viewPortIfOutside"]},by:{type:"string",enum:["line","wrappedLine","character","halfLine"]},value:{type:"number",default:1},select:{type:"boolean",default:!1}}}}]},l.RawDirection={Left:"left",Right:"right",Up:"up",Down:"down",PrevBlankLine:"prevBlankLine",NextBlankLine:"nextBlankLine",WrappedLineStart:"wrappedLineStart",WrappedLineFirstNonWhitespaceCharacter:"wrappedLineFirstNonWhitespaceCharacter",WrappedLineColumnCenter:"wrappedLineColumnCenter",WrappedLineEnd:"wrappedLineEnd",WrappedLineLastNonWhitespaceCharacter:"wrappedLineLastNonWhitespaceCharacter",ViewPortTop:"viewPortTop",ViewPortCenter:"viewPortCenter",ViewPortBottom:"viewPortBottom",ViewPortIfOutside:"viewPortIfOutside"},l.RawUnit={Line:"line",WrappedLine:"wrappedLine",Character:"character",HalfLine:"halfLine"};function i(n){if(!n.to)return null;let s;switch(n.to){case l.RawDirection.Left:s=0;break;case l.RawDirection.Right:s=1;break;case l.RawDirection.Up:s=2;break;case l.RawDirection.Down:s=3;break;case l.RawDirection.PrevBlankLine:s=4;break;case l.RawDirection.NextBlankLine:s=5;break;case l.RawDirection.WrappedLineStart:s=6;break;case l.RawDirection.WrappedLineFirstNonWhitespaceCharacter:s=7;break;case l.RawDirection.WrappedLineColumnCenter:s=8;break;case l.RawDirection.WrappedLineEnd:s=9;break;case l.RawDirection.WrappedLineLastNonWhitespaceCharacter:s=10;break;case l.RawDirection.ViewPortTop:s=11;break;case l.RawDirection.ViewPortBottom:s=13;break;case l.RawDirection.ViewPortCenter:s=12;break;case l.RawDirection.ViewPortIfOutside:s=14;break;default:return null}let c=0;switch(n.by){case l.RawUnit.Line:c=1;break;case l.RawUnit.WrappedLine:c=2;break;case l.RawUnit.Character:c=3;break;case l.RawUnit.HalfLine:c=4;break}return{direction:s,unit:c,select:!!n.select,value:n.value||1}}l.parse=i})(Tve||(Tve={}));class KJe{constructor(e,i,n){this._indentRulesSupport=i,this._indentationLineProcessor=new vIt(e,n)}shouldIncrease(e,i){const n=this._indentationLineProcessor.getProcessedLine(e,i);return this._indentRulesSupport.shouldIncrease(n)}shouldDecrease(e,i){const n=this._indentationLineProcessor.getProcessedLine(e,i);return this._indentRulesSupport.shouldDecrease(n)}shouldIgnore(e,i){const n=this._indentationLineProcessor.getProcessedLine(e,i);return this._indentRulesSupport.shouldIgnore(n)}shouldIndentNextLine(e,i){const n=this._indentationLineProcessor.getProcessedLine(e,i);return this._indentRulesSupport.shouldIndentNextLine(n)}}class XJe{constructor(e,i){this.model=e,this.indentationLineProcessor=new vIt(e,i)}getProcessedTokenContextAroundRange(e){const i=this._getProcessedTokensBeforeRange(e),n=this._getProcessedTokensAfterRange(e),s=this._getProcessedPreviousLineTokens(e);return{beforeRangeProcessedTokens:i,afterRangeProcessedTokens:n,previousLineProcessedTokens:s}}_getProcessedTokensBeforeRange(e){this.model.tokenization.forceTokenization(e.startLineNumber);const i=this.model.tokenization.getLineTokens(e.startLineNumber),n=n9(i,e.startColumn-1);let s;if(QJe(this.model,e.getStartPosition())){const d=e.startColumn-1-n.firstCharOffset,f=n.firstCharOffset,m=f+d;s=i.sliceAndInflate(f,m,0)}else{const d=e.startColumn-1;s=i.sliceAndInflate(0,d,0)}return this.indentationLineProcessor.getProcessedTokens(s)}_getProcessedTokensAfterRange(e){const i=e.isEmpty()?e.getStartPosition():e.getEndPosition();this.model.tokenization.forceTokenization(i.lineNumber);const n=this.model.tokenization.getLineTokens(i.lineNumber),s=n9(n,i.column-1),c=i.column-1-s.firstCharOffset,d=s.firstCharOffset+c,f=s.firstCharOffset+s.getLineLength(),m=n.sliceAndInflate(d,f,0);return this.indentationLineProcessor.getProcessedTokens(m)}_getProcessedPreviousLineTokens(e){const i=P=>{this.model.tokenization.forceTokenization(P);const O=this.model.tokenization.getLineTokens(P),z=this.model.getLineMaxColumn(P)-1;return n9(O,z)};this.model.tokenization.forceTokenization(e.startLineNumber);const n=this.model.tokenization.getLineTokens(e.startLineNumber),s=n9(n,e.startColumn-1),c=Cg.createEmpty("",s.languageIdCodec),d=e.startLineNumber-1;if(d===0||!(s.firstCharOffset===0))return c;const v=i(d);if(!(s.languageId===v.languageId))return c;const w=v.toIViewLineTokens();return this.indentationLineProcessor.getProcessedTokens(w)}}class vIt{constructor(e,i){this.model=e,this.languageConfigurationService=i}getProcessedLine(e,i){var d,f;const n=(m,v)=>{const x=yd(m);return v+m.substring(x.length)};(f=(d=this.model.tokenization).forceTokenization)==null||f.call(d,e);const s=this.model.tokenization.getLineTokens(e);let c=this.getProcessedTokens(s).getLineContent();return i!==void 0&&(c=n(c,i)),c}getProcessedTokens(e){const i=m=>m===2||m===3||m===1,n=e.getLanguageId(0),c=this.languageConfigurationService.getLanguageConfiguration(n).bracketsNew.getBracketRegExp({global:!0}),d=[];return e.forEach(m=>{const v=e.getStandardTokenType(m);let x=e.getTokenText(m);i(v)&&(x=x.replace(c,""));const w=e.getMetadata(m);d.push({text:x,metadata:w})}),Cg.createFromTextAndMetadata(d,e.languageIdCodec)}}function QJe(l,e){l.tokenization.forceTokenization(e.lineNumber);const i=l.tokenization.getLineTokens(e.lineNumber),n=n9(i,e.column-1),s=n.firstCharOffset===0,c=i.getLanguageId(0)===n.languageId;return!s&&!c}function r$(l,e,i,n){e.tokenization.forceTokenization(i.startLineNumber);const s=e.getLanguageIdAtPosition(i.startLineNumber,i.startColumn),c=n.getLanguageConfiguration(s);if(!c)return null;const f=new XJe(e,n).getProcessedTokenContextAroundRange(i),m=f.previousLineProcessedTokens.getLineContent(),v=f.beforeRangeProcessedTokens.getLineContent(),x=f.afterRangeProcessedTokens.getLineContent(),w=c.onEnter(l,m,v,x);if(!w)return null;const I=w.indentAction;let P=w.appendText;const O=w.removeText||0;P?I===_p.Indent&&(P=" "+P):I===_p.Indent||I===_p.IndentOutdent?P=" ":P="";let z=Y2t(e,i.startLineNumber,i.startColumn);return O&&(z=z.substring(0,z.length-O)),{indentAction:I,appendText:P,removeText:O,indentation:z}}var Vxi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Hxi=function(l,e){return function(i,n){e(i,n,l)}},T1e;const h9e=Object.create(null);function yF(l,e){if(e<=0)return"";h9e[l]||(h9e[l]=["",l]);const i=h9e[l];for(let n=i.length;n<=e;n++)i[n]=i[n-1]+l;return i[e]}let xk=T1e=class{static unshiftIndent(e,i,n,s,c){const d=em.visibleColumnFromColumn(e,i,n);if(c){const f=yF(" ",s),v=em.prevIndentTabStop(d,s)/s;return yF(f,v)}else{const f=" ",v=em.prevRenderTabStop(d,n)/n;return yF(f,v)}}static shiftIndent(e,i,n,s,c){const d=em.visibleColumnFromColumn(e,i,n);if(c){const f=yF(" ",s),v=em.nextIndentTabStop(d,s)/s;return yF(f,v)}else{const f=" ",v=em.nextRenderTabStop(d,n)/n;return yF(f,v)}}constructor(e,i,n){this._languageConfigurationService=n,this._opts=i,this._selection=e,this._selectionId=null,this._useLastEditRangeForCursorEndPosition=!1,this._selectionStartColumnStaysPut=!1}_addEditOperation(e,i,n){this._useLastEditRangeForCursorEndPosition?e.addTrackedEditOperation(i,n):e.addEditOperation(i,n)}getEditOperations(e,i){const n=this._selection.startLineNumber;let s=this._selection.endLineNumber;this._selection.endColumn===1&&n!==s&&(s=s-1);const{tabSize:c,indentSize:d,insertSpaces:f}=this._opts,m=n===s;if(this._opts.useTabStops){this._selection.isEmpty()&&/^\s*$/.test(e.getLineContent(n))&&(this._useLastEditRangeForCursorEndPosition=!0);let v=0,x=0;for(let w=n;w<=s;w++,v=x){x=0;const I=e.getLineContent(w);let P=Ty(I);if(this._opts.isUnshift&&(I.length===0||P===0)||!m&&!this._opts.isUnshift&&I.length===0)continue;if(P===-1&&(P=I.length),w>1&&em.visibleColumnFromColumn(I,P+1,c)%d!==0&&e.tokenization.isCheapToTokenize(w-1)){const J=r$(this._opts.autoIndent,e,new nt(w-1,e.getLineMaxColumn(w-1),w-1,e.getLineMaxColumn(w-1)),this._languageConfigurationService);if(J){if(x=v,J.appendText)for(let Y=0,ae=J.appendText.length;Y<ae&&x<d&&J.appendText.charCodeAt(Y)===32;Y++)x++;J.removeText&&(x=Math.max(0,x-J.removeText));for(let Y=0;Y<x&&!(P===0||I.charCodeAt(P-1)!==32);Y++)P--}}if(this._opts.isUnshift&&P===0)continue;let O;this._opts.isUnshift?O=T1e.unshiftIndent(I,P+1,c,d,f):O=T1e.shiftIndent(I,P+1,c,d,f),this._addEditOperation(i,new nt(w,1,w,P+1),O),w===n&&!this._selection.isEmpty()&&(this._selectionStartColumnStaysPut=this._selection.startColumn<=P+1)}}else{!this._opts.isUnshift&&this._selection.isEmpty()&&e.getLineLength(n)===0&&(this._useLastEditRangeForCursorEndPosition=!0);const v=f?yF(" ",d):" ";for(let x=n;x<=s;x++){const w=e.getLineContent(x);let I=Ty(w);if(!(this._opts.isUnshift&&(w.length===0||I===0))&&!(!m&&!this._opts.isUnshift&&w.length===0)&&(I===-1&&(I=w.length),!(this._opts.isUnshift&&I===0)))if(this._opts.isUnshift){I=Math.min(I,d);for(let P=0;P<I;P++)if(w.charCodeAt(P)===9){I=P+1;break}this._addEditOperation(i,new nt(x,1,x,I+1),"")}else this._addEditOperation(i,new nt(x,1,x,1),v),x===n&&!this._selection.isEmpty()&&(this._selectionStartColumnStaysPut=this._selection.startColumn===1)}}this._selectionId=i.trackSelection(this._selection)}computeCursorState(e,i){if(this._useLastEditRangeForCursorEndPosition){const s=i.getInverseEditOperations()[0];return new Us(s.range.endLineNumber,s.range.endColumn,s.range.endLineNumber,s.range.endColumn)}const n=i.getTrackedSelection(this._selectionId);if(this._selectionStartColumnStaysPut){const s=this._selection.startColumn;return n.startColumn<=s?n:n.getDirection()===0?new Us(n.startLineNumber,s,n.endLineNumber,n.endColumn):new Us(n.endLineNumber,n.endColumn,n.startLineNumber,s)}return n}};xk=T1e=Vxi([Hxi(2,rh)],xk);class jxi{constructor(e,i,n){this._range=e,this._charBeforeSelection=i,this._charAfterSelection=n}getEditOperations(e,i){i.addTrackedEditOperation(new nt(this._range.startLineNumber,this._range.startColumn,this._range.startLineNumber,this._range.startColumn),this._charBeforeSelection),i.addTrackedEditOperation(new nt(this._range.endLineNumber,this._range.endColumn,this._range.endLineNumber,this._range.endColumn),this._charAfterSelection)}computeCursorState(e,i){const n=i.getInverseEditOperations(),s=n[0].range,c=n[1].range;return new Us(s.endLineNumber,s.endColumn,c.endLineNumber,c.endColumn-this._charAfterSelection.length)}}class zxi{constructor(e,i,n){this._position=e,this._text=i,this._charAfter=n}getEditOperations(e,i){i.addTrackedEditOperation(new nt(this._position.lineNumber,this._position.column,this._position.lineNumber,this._position.column),this._text+this._charAfter)}computeCursorState(e,i){const s=i.getInverseEditOperations()[0].range;return new Us(s.endLineNumber,s.startColumn,s.endLineNumber,s.endColumn-this._charAfter.length)}}function Uxi(l,e,i){const n=l.tokenization.getLanguageIdAtPosition(e,0);if(e>1){let s,c=-1;for(s=e-1;s>=1;s--){if(l.tokenization.getLanguageIdAtPosition(s,0)!==n)return c;const d=l.getLineContent(s);if(i.shouldIgnore(s)||/^\s+$/.test(d)||d===""){c=s;continue}return s}}return-1}function xre(l,e,i,n=!0,s){if(l<4)return null;const c=s.getLanguageConfiguration(e.tokenization.getLanguageId()).indentRulesSupport;if(!c)return null;const d=new KJe(e,c,s);if(i<=1)return{indentation:"",action:null};for(let m=i-1;m>0&&e.getLineContent(m)==="";m--)if(m===1)return{indentation:"",action:null};const f=Uxi(e,i,d);if(f<0)return null;if(f<1)return{indentation:"",action:null};if(d.shouldIncrease(f)||d.shouldIndentNextLine(f)){const m=e.getLineContent(f);return{indentation:yd(m),action:_p.Indent,line:f}}else if(d.shouldDecrease(f)){const m=e.getLineContent(f);return{indentation:yd(m),action:null,line:f}}else{if(f===1)return{indentation:yd(e.getLineContent(f)),action:null,line:f};const m=f-1,v=c.getIndentMetadata(e.getLineContent(m));if(!(v&3)&&v&4){let x=0;for(let w=m-1;w>0;w--)if(!d.shouldIndentNextLine(w)){x=w;break}return{indentation:yd(e.getLineContent(x+1)),action:null,line:x+1}}if(n)return{indentation:yd(e.getLineContent(f)),action:null,line:f};for(let x=f;x>0;x--){if(d.shouldIncrease(x))return{indentation:yd(e.getLineContent(x)),action:_p.Indent,line:x};if(d.shouldIndentNextLine(x)){let w=0;for(let I=x-1;I>0;I--)if(!d.shouldIndentNextLine(x)){w=I;break}return{indentation:yd(e.getLineContent(w+1)),action:null,line:w+1}}else if(d.shouldDecrease(x))return{indentation:yd(e.getLineContent(x)),action:null,line:x}}return{indentation:yd(e.getLineContent(1)),action:null,line:1}}}function zie(l,e,i,n,s,c){if(l<4)return null;const d=c.getLanguageConfiguration(i);if(!d)return null;const f=c.getLanguageConfiguration(i).indentRulesSupport;if(!f)return null;const m=new KJe(e,f,c),v=xre(l,e,n,void 0,c);if(v){const x=v.line;if(x!==void 0){let w=!0;for(let I=x;I<n-1;I++)if(!/^\s*$/.test(e.getLineContent(I))){w=!1;break}if(w){const I=d.onEnter(l,"",e.getLineContent(x),"");if(I){let P=yd(e.getLineContent(x));return I.removeText&&(P=P.substring(0,P.length-I.removeText)),I.indentAction===_p.Indent||I.indentAction===_p.IndentOutdent?P=s.shiftIndent(P):I.indentAction===_p.Outdent&&(P=s.unshiftIndent(P)),m.shouldDecrease(n)&&(P=s.unshiftIndent(P)),I.appendText&&(P+=I.appendText),yd(P)}}}return m.shouldDecrease(n)?v.action===_p.Indent?v.indentation:s.unshiftIndent(v.indentation):v.action===_p.Indent?s.shiftIndent(v.indentation):v.indentation}return null}function qxi(l,e,i,n,s){if(l<4)return null;const c=e.getLanguageIdAtPosition(i.startLineNumber,i.startColumn),d=s.getLanguageConfiguration(c).indentRulesSupport;if(!d)return null;e.tokenization.forceTokenization(i.startLineNumber);const m=new XJe(e,s).getProcessedTokenContextAroundRange(i),v=m.afterRangeProcessedTokens,x=m.beforeRangeProcessedTokens,w=yd(x.getLineContent()),I=Jxi(e,i.startLineNumber,x),P=QJe(e,i.getStartPosition()),O=e.getLineContent(i.startLineNumber),z=yd(O),J=xre(l,I,i.startLineNumber+1,void 0,s);if(!J){const ae=P?z:w;return{beforeEnter:ae,afterEnter:ae}}let Y=P?z:J.indentation;return J.action===_p.Indent&&(Y=n.shiftIndent(Y)),d.shouldDecrease(v.getLineContent())&&(Y=n.unshiftIndent(Y)),{beforeEnter:P?z:w,afterEnter:Y}}function $xi(l,e,i,n,s,c){const d=l.autoIndent;if(d<4||QJe(e,i.getStartPosition()))return null;const m=e.getLanguageIdAtPosition(i.startLineNumber,i.startColumn),v=c.getLanguageConfiguration(m).indentRulesSupport;if(!v)return null;const w=new XJe(e,c).getProcessedTokenContextAroundRange(i),I=w.beforeRangeProcessedTokens.getLineContent(),P=w.afterRangeProcessedTokens.getLineContent(),O=I+P,z=I+n+P;if(!v.shouldDecrease(O)&&v.shouldDecrease(z)){const Y=xre(d,e,i.startLineNumber,!1,c);if(!Y)return null;let ae=Y.indentation;return Y.action!==_p.Indent&&(ae=s.unshiftIndent(ae)),ae}const J=i.startLineNumber-1;if(J>0){const Y=e.getLineContent(J);if(v.shouldIndentNextLine(Y)&&v.shouldIncrease(z)){const ae=xre(d,e,i.startLineNumber,!1,c),me=ae==null?void 0:ae.indentation;if(me!==void 0){const ye=e.getLineContent(i.startLineNumber),Ce=yd(ye),rt=s.shiftIndent(me)===Ce,ct=/^\s*$/.test(O),Mt=l.autoClosingPairs.autoClosingPairsOpenByEnd.get(n),Bi=Mt&&Mt.length>0&&ct;if(rt&&Bi)return me}}}return null}function bIt(l,e,i){const n=i.getLanguageConfiguration(l.getLanguageId()).indentRulesSupport;return!n||e<1||e>l.getLineCount()?null:n.getIndentMetadata(l.getLineContent(e))}function Jxi(l,e,i){return{tokenization:{getLineTokens:s=>s===e?i:l.tokenization.getLineTokens(s),getLanguageId:()=>l.getLanguageId(),getLanguageIdAtPosition:(s,c)=>l.getLanguageIdAtPosition(s,c)},getLineContent:s=>s===e?i.getLineContent():l.getLineContent(s)}}class Gxi{static getEdits(e,i,n,s,c){if(!c&&this._isAutoIndentType(e,i,n)){const d=[];for(const m of n){const v=this._findActualIndentationForSelection(e,i,m,s);if(v===null)return;d.push({selection:m,indentation:v})}const f=cje.getAutoClosingPairClose(e,i,n,s,!1);return this._getIndentationAndAutoClosingPairEdits(e,i,d,s,f)}}static _isAutoIndentType(e,i,n){if(e.autoIndent<4)return!1;for(let s=0,c=n.length;s<c;s++)if(!i.tokenization.isCheapToTokenize(n[s].getEndPosition().lineNumber))return!1;return!0}static _findActualIndentationForSelection(e,i,n,s){const c=$xi(e,i,n,s,{shiftIndent:f=>eGe(e,f),unshiftIndent:f=>Dve(e,f)},e.languageConfigurationService);if(c===null)return null;const d=Y2t(i,n.startLineNumber,n.startColumn);return c===e.normalizeIndentation(d)?null:c}static _getIndentationAndAutoClosingPairEdits(e,i,n,s,c){const d=n.map(({selection:m,indentation:v})=>{if(c!==null){const x=this._getEditFromIndentationAndSelection(e,i,v,m,s,!1);return new ski(x,m,s,c)}else{const x=this._getEditFromIndentationAndSelection(e,i,v,m,s,!0);return NF(x.range,x.text,!1)}}),f={shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!1};return new Lb(4,d,f)}static _getEditFromIndentationAndSelection(e,i,n,s,c,d=!0){const f=s.startLineNumber,m=i.getLineFirstNonWhitespaceColumn(f);let v=e.normalizeIndentation(n);if(m!==0){const w=i.getLineContent(f);v+=w.substring(m-1,s.startColumn-1)}return v+=d?c:"",{range:new nt(f,1,s.endLineNumber,s.endColumn),text:v}}}class Kxi{static getEdits(e,i,n,s,c,d){if(CIt(i,n,s,c,d))return this._runAutoClosingOvertype(e,s,d)}static _runAutoClosingOvertype(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const m=i[c].getPosition(),v=new nt(m.lineNumber,m.column,m.lineNumber,m.column+1);s[c]=new T0(v,n)}return new Lb(4,s,{shouldPushStackElementBefore:Mwe(e,4),shouldPushStackElementAfter:!1})}}class Xxi{static getEdits(e,i,n,s,c){if(CIt(e,i,n,s,c)){const d=n.map(f=>new T0(new nt(f.positionLineNumber,f.positionColumn,f.positionLineNumber,f.positionColumn+1),"",!1));return new Lb(4,d,{shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!1})}}}class cje{static getEdits(e,i,n,s,c,d){if(!d){const f=this.getAutoClosingPairClose(e,i,n,s,c);if(f!==null)return this._runAutoClosingOpenCharType(n,s,c,f)}}static _runAutoClosingOpenCharType(e,i,n,s){const c=[];for(let d=0,f=e.length;d<f;d++){const m=e[d];c[d]=new rki(m,i,!n,s)}return new Lb(4,c,{shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!1})}static getAutoClosingPairClose(e,i,n,s,c){for(const O of n)if(!O.isEmpty())return null;const d=n.map(O=>{const z=O.getPosition();return c?{lineNumber:z.lineNumber,beforeColumn:z.column-s.length,afterColumn:z.column}:{lineNumber:z.lineNumber,beforeColumn:z.column,afterColumn:z.column}}),f=this._findAutoClosingPairOpen(e,i,d.map(O=>new pi(O.lineNumber,O.beforeColumn)),s);if(!f)return null;let m,v;if(NR(s)?(m=e.autoClosingQuotes,v=e.shouldAutoCloseBefore.quote):(e.blockCommentStartToken?f.open.includes(e.blockCommentStartToken):!1)?(m=e.autoClosingComments,v=e.shouldAutoCloseBefore.comment):(m=e.autoClosingBrackets,v=e.shouldAutoCloseBefore.bracket),m==="never")return null;const w=this._findContainedAutoClosingPair(e,f),I=w?w.close:"";let P=!0;for(const O of d){const{lineNumber:z,beforeColumn:J,afterColumn:Y}=O,ae=i.getLineContent(z),me=ae.substring(0,J-1),ye=ae.substring(Y-1);if(ye.startsWith(I)||(P=!1),ye.length>0){const ct=ye.charAt(0);if(!this._isBeforeClosingBrace(e,ye)&&!v(ct))return null}if(f.open.length===1&&(s==="'"||s==='"')&&m!=="always"){const ct=Sk(e.wordSeparators,[]);if(me.length>0){const Mt=me.charCodeAt(me.length-1);if(ct.get(Mt)===0)return null}}if(!i.tokenization.isCheapToTokenize(z))return null;i.tokenization.forceTokenization(z);const Ce=i.tokenization.getLineTokens(z),Fe=n9(Ce,J-1);if(!f.shouldAutoClose(Fe,J-Fe.firstCharOffset))return null;const rt=f.findNeutralCharacter();if(rt){const ct=i.tokenization.getTokenTypeIfInsertingCharacter(z,J,rt);if(!f.isOK(ct))return null}}return P?f.close.substring(0,f.close.length-I.length):f.close}static _findContainedAutoClosingPair(e,i){if(i.open.length<=1)return null;const n=i.close.charAt(i.close.length-1),s=e.autoClosingPairs.autoClosingPairsCloseByEnd.get(n)||[];let c=null;for(const d of s)d.open!==i.open&&i.open.includes(d.open)&&i.close.endsWith(d.close)&&(!c||d.open.length>c.open.length)&&(c=d);return c}static _findAutoClosingPairOpen(e,i,n,s){const c=e.autoClosingPairs.autoClosingPairsOpenByEnd.get(s);if(!c)return null;let d=null;for(const f of c)if(d===null||f.open.length>d.open.length){let m=!0;for(const v of n)if(i.getValueInRange(new nt(v.lineNumber,v.column-f.open.length+1,v.lineNumber,v.column))+s!==f.open){m=!1;break}m&&(d=f)}return d}static _isBeforeClosingBrace(e,i){const n=i.charAt(0),s=e.autoClosingPairs.autoClosingPairsOpenByStart.get(n)||[],c=e.autoClosingPairs.autoClosingPairsCloseByStart.get(n)||[],d=s.some(m=>i.startsWith(m.open)),f=c.some(m=>i.startsWith(m.close));return!d&&f}}class Qxi{static getEdits(e,i,n,s,c){if(!c&&this._isSurroundSelectionType(e,i,n,s))return this._runSurroundSelectionType(e,n,s)}static _runSurroundSelectionType(e,i,n){const s=[];for(let c=0,d=i.length;c<d;c++){const f=i[c],m=e.surroundingPairs[n];s[c]=new jxi(f,n,m)}return new Lb(0,s,{shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!0})}static _isSurroundSelectionType(e,i,n,s){if(!SIt(e,s)||!e.surroundingPairs.hasOwnProperty(s))return!1;const c=NR(s);for(const d of n){if(d.isEmpty())return!1;let f=!0;for(let m=d.startLineNumber;m<=d.endLineNumber;m++){const v=i.getLineContent(m),x=m===d.startLineNumber?d.startColumn-1:0,w=m===d.endLineNumber?d.endColumn-1:v.length,I=v.substring(x,w);if(/[^ \t]/.test(I)){f=!1;break}}if(f)return!1;if(c&&d.startLineNumber===d.endLineNumber&&d.startColumn+1===d.endColumn){const m=i.getValueInRange(d);if(NR(m))return!1}}return!0}}class Zxi{static getEdits(e,i,n,s,c,d){if(!d&&this._isTypeInterceptorElectricChar(i,n,s)){const f=this._typeInterceptorElectricChar(e,i,n,s[0],c);if(f)return f}}static _isTypeInterceptorElectricChar(e,i,n){return!!(n.length===1&&i.tokenization.isCheapToTokenize(n[0].getEndPosition().lineNumber))}static _typeInterceptorElectricChar(e,i,n,s,c){if(!i.electricChars.hasOwnProperty(c)||!s.isEmpty())return null;const d=s.getPosition();n.tokenization.forceTokenization(d.lineNumber);const f=n.tokenization.getLineTokens(d.lineNumber);let m;try{m=i.onElectricCharacter(c,f,d.column)}catch(v){return Pa(v),null}if(!m)return null;if(m.matchOpenBracket){const v=(f.getLineContent()+c).lastIndexOf(m.matchOpenBracket)+1,x=n.bracketPairs.findMatchingBracketUp(m.matchOpenBracket,{lineNumber:d.lineNumber,column:v},500);if(x){if(x.startLineNumber===d.lineNumber)return null;const w=n.getLineContent(x.startLineNumber),I=yd(w),P=i.normalizeIndentation(I),O=n.getLineContent(d.lineNumber),z=n.getLineFirstNonWhitespaceColumn(d.lineNumber)||d.column,J=O.substring(z-1,d.column-1),Y=P+J+c,ae=new nt(d.lineNumber,1,d.lineNumber,d.column),me=new T0(ae,Y);return new Lb(YJe(Y,e),[me],{shouldPushStackElementBefore:!1,shouldPushStackElementAfter:!0})}}return null}}class Yxi{static getEdits(e,i,n){const s=[];for(let d=0,f=i.length;d<f;d++)s[d]=new T0(i[d],n);const c=YJe(n,e);return new Lb(c,s,{shouldPushStackElementBefore:Mwe(e,c),shouldPushStackElementAfter:!1})}}class Owe{static getEdits(e,i,n,s,c){if(!c&&s===`
+`){const d=[];for(let f=0,m=n.length;f<m;f++)d[f]=this._enter(e,i,!1,n[f]);return new Lb(4,d,{shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!1})}}static _enter(e,i,n,s){if(e.autoIndent===0)return NF(s,`
+`,n);if(!i.tokenization.isCheapToTokenize(s.getStartPosition().lineNumber)||e.autoIndent===1){const m=i.getLineContent(s.startLineNumber),v=yd(m).substring(0,s.startColumn-1);return NF(s,`
+`+e.normalizeIndentation(v),n)}const c=r$(e.autoIndent,i,s,e.languageConfigurationService);if(c){if(c.indentAction===_p.None)return NF(s,`
+`+e.normalizeIndentation(c.indentation+c.appendText),n);if(c.indentAction===_p.Indent)return NF(s,`
+`+e.normalizeIndentation(c.indentation+c.appendText),n);if(c.indentAction===_p.IndentOutdent){const m=e.normalizeIndentation(c.indentation),v=e.normalizeIndentation(c.indentation+c.appendText),x=`
+`+v+`
+`+m;return n?new k1e(s,x,!0):new kve(s,x,-1,v.length-m.length,!0)}else if(c.indentAction===_p.Outdent){const m=Dve(e,c.indentation);return NF(s,`
+`+e.normalizeIndentation(m+c.appendText),n)}}const d=i.getLineContent(s.startLineNumber),f=yd(d).substring(0,s.startColumn-1);if(e.autoIndent>=4){const m=qxi(e.autoIndent,i,s,{unshiftIndent:v=>Dve(e,v),shiftIndent:v=>eGe(e,v),normalizeIndentation:v=>e.normalizeIndentation(v)},e.languageConfigurationService);if(m){let v=e.visibleColumnFromColumn(i,s.getEndPosition());const x=s.endColumn,w=i.getLineContent(s.endLineNumber),I=Ty(w);if(I>=0?s=s.setEndPosition(s.endLineNumber,Math.max(s.endColumn,I+1)):s=s.setEndPosition(s.endLineNumber,i.getLineMaxColumn(s.endLineNumber)),n)return new k1e(s,`
+`+e.normalizeIndentation(m.afterEnter),!0);{let P=0;return x<=I+1&&(e.insertSpaces||(v=Math.ceil(v/e.indentSize)),P=Math.min(v+1-e.normalizeIndentation(m.afterEnter).length-1,0)),new kve(s,`
+`+e.normalizeIndentation(m.afterEnter),0,P,!0)}}}return NF(s,`
+`+e.normalizeIndentation(f),n)}static lineInsertBefore(e,i,n){if(i===null||n===null)return[];const s=[];for(let c=0,d=n.length;c<d;c++){let f=n[c].positionLineNumber;if(f===1)s[c]=new k1e(new nt(1,1,1,1),`
+`);else{f--;const m=i.getLineMaxColumn(f);s[c]=this._enter(e,i,!1,new nt(f,m,f,m))}}return s}static lineInsertAfter(e,i,n){if(i===null||n===null)return[];const s=[];for(let c=0,d=n.length;c<d;c++){const f=n[c].positionLineNumber,m=i.getLineMaxColumn(f);s[c]=this._enter(e,i,!1,new nt(f,m,f,m))}return s}static lineBreakInsert(e,i,n){const s=[];for(let c=0,d=n.length;c<d;c++)s[c]=this._enter(e,i,!0,n[c]);return s}}class eki{static getEdits(e,i,n,s,c,d){const f=this._distributePasteToCursors(e,n,s,c,d);return f?(n=n.sort(nt.compareRangesUsingStarts),this._distributedPaste(e,i,n,f)):this._simplePaste(e,i,n,s,c)}static _distributePasteToCursors(e,i,n,s,c){if(s||i.length===1)return null;if(c&&c.length===i.length)return c;if(e.multiCursorPaste==="spread"){n.charCodeAt(n.length-1)===10&&(n=n.substring(0,n.length-1)),n.charCodeAt(n.length-1)===13&&(n=n.substring(0,n.length-1));const d=BL(n);if(d.length===i.length)return d}return null}static _distributedPaste(e,i,n,s){const c=[];for(let d=0,f=n.length;d<f;d++)c[d]=new T0(n[d],s[d]);return new Lb(0,c,{shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!0})}static _simplePaste(e,i,n,s,c){const d=[];for(let f=0,m=n.length;f<m;f++){const v=n[f],x=v.getPosition();if(c&&!v.isEmpty()&&(c=!1),c&&s.indexOf(`
+`)!==s.length-1&&(c=!1),c){const w=new nt(x.lineNumber,1,x.lineNumber,1);d[f]=new GJe(w,s,v,!0)}else d[f]=new T0(v,s)}return new Lb(0,d,{shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!0})}}class tki{static getEdits(e,i,n,s,c,d,f,m){const v=s.map(x=>this._compositionType(n,x,c,d,f,m));return new Lb(4,v,{shouldPushStackElementBefore:Mwe(e,4),shouldPushStackElementAfter:!1})}static _compositionType(e,i,n,s,c,d){if(!i.isEmpty())return null;const f=i.getPosition(),m=Math.max(1,f.column-s),v=Math.min(e.getLineMaxColumn(f.lineNumber),f.column+c),x=new nt(f.lineNumber,m,f.lineNumber,v);return e.getValueInRange(x)===n&&d===0?null:new kve(x,n,0,d)}}class iki{static getEdits(e,i,n){const s=[];for(let d=0,f=i.length;d<f;d++)s[d]=new T0(i[d],n);const c=YJe(n,e);return new Lb(c,s,{shouldPushStackElementBefore:Mwe(e,c),shouldPushStackElementAfter:!1})}}class nki{static getCommands(e,i,n){const s=[];for(let c=0,d=n.length;c<d;c++){const f=n[c];if(f.isEmpty()){const m=i.getLineContent(f.startLineNumber);if(/^\s*$/.test(m)&&i.tokenization.isCheapToTokenize(f.startLineNumber)){let v=this._goodIndentForLine(e,i,f.startLineNumber);v=v||" ";const x=e.normalizeIndentation(v);if(!m.startsWith(x)){s[c]=new T0(new nt(f.startLineNumber,1,f.startLineNumber,m.length+1),x,!0);continue}}s[c]=this._replaceJumpToNextIndent(e,i,f,!0)}else{if(f.startLineNumber===f.endLineNumber){const m=i.getLineMaxColumn(f.startLineNumber);if(f.startColumn!==1||f.endColumn!==m){s[c]=this._replaceJumpToNextIndent(e,i,f,!1);continue}}s[c]=new xk(f,{isUnshift:!1,tabSize:e.tabSize,indentSize:e.indentSize,insertSpaces:e.insertSpaces,useTabStops:e.useTabStops,autoIndent:e.autoIndent},e.languageConfigurationService)}}return s}static _goodIndentForLine(e,i,n){let s=null,c="";const d=xre(e.autoIndent,i,n,!1,e.languageConfigurationService);if(d)s=d.action,c=d.indentation;else if(n>1){let f;for(f=n-1;f>=1;f--){const x=i.getLineContent(f);if(ME(x)>=0)break}if(f<1)return null;const m=i.getLineMaxColumn(f),v=r$(e.autoIndent,i,new nt(f,m,f,m),e.languageConfigurationService);v&&(c=v.indentation+v.appendText)}return s&&(s===_p.Indent&&(c=eGe(e,c)),s===_p.Outdent&&(c=Dve(e,c)),c=e.normalizeIndentation(c)),c||null}static _replaceJumpToNextIndent(e,i,n,s){let c="";const d=n.getStartPosition();if(e.insertSpaces){const f=e.visibleColumnFromColumn(i,d),m=e.indentSize,v=m-f%m;for(let x=0;x<v;x++)c+=" "}else c=" ";return new T0(n,c,s)}}class ZJe extends kve{constructor(e,i,n,s,c,d){super(e,i,n,s),this._openCharacter=c,this._closeCharacter=d,this.closeCharacterRange=null,this.enclosingRange=null}_computeCursorStateWithRange(e,i,n){return this.closeCharacterRange=new nt(i.startLineNumber,i.endColumn-this._closeCharacter.length,i.endLineNumber,i.endColumn),this.enclosingRange=new nt(i.startLineNumber,i.endColumn-this._openCharacter.length-this._closeCharacter.length,i.endLineNumber,i.endColumn),super.computeCursorState(e,n)}}class rki extends ZJe{constructor(e,i,n,s){const c=(n?i:"")+s,d=0,f=-s.length;super(e,c,d,f,i,s)}computeCursorState(e,i){const s=i.getInverseEditOperations()[0].range;return this._computeCursorStateWithRange(e,s,i)}}class ski extends ZJe{constructor(e,i,n,s){const c=n+s,d=0,f=n.length;super(i,c,d,f,n,s),this._autoIndentationEdit=e,this._autoClosingEdit={range:i,text:c}}getEditOperations(e,i){i.addTrackedEditOperation(this._autoIndentationEdit.range,this._autoIndentationEdit.text),i.addTrackedEditOperation(this._autoClosingEdit.range,this._autoClosingEdit.text)}computeCursorState(e,i){const n=i.getInverseEditOperations();if(n.length!==2)throw new Error("There should be two inverse edit operations!");const s=n[0].range,c=n[1].range,d=s.plusRange(c);return this._computeCursorStateWithRange(e,d,i)}}function YJe(l,e){return l===" "?e===5||e===6?6:5:4}function Mwe(l,e){return DSt(l)&&!DSt(e)?!0:l===5?!1:TSt(l)!==TSt(e)}function TSt(l){return l===6||l===5?"space":l}function DSt(l){return l===4||l===5||l===6}function CIt(l,e,i,n,s){if(l.autoClosingOvertype==="never"||!l.autoClosingPairs.autoClosingPairsCloseSingleChar.has(s))return!1;for(let c=0,d=i.length;c<d;c++){const f=i[c];if(!f.isEmpty())return!1;const m=f.getPosition(),v=e.getLineContent(m.lineNumber);if(v.charAt(m.column-1)!==s)return!1;const w=NR(s);if((m.column>2?v.charCodeAt(m.column-2):0)===92&&w)return!1;if(l.autoClosingOvertype==="auto"){let P=!1;for(let O=0,z=n.length;O<z;O++){const J=n[O];if(m.lineNumber===J.startLineNumber&&m.column===J.startColumn){P=!0;break}}if(!P)return!1}}return!0}function NF(l,e,i){return i?new k1e(l,e,!0):new T0(l,e,!0)}function eGe(l,e,i){return i=i||1,xk.shiftIndent(e,e.length+i,l.tabSize,l.indentSize,l.insertSpaces)}function Dve(l,e,i){return i=i||1,xk.unshiftIndent(e,e.length+i,l.tabSize,l.indentSize,l.insertSpaces)}function SIt(l,e){return NR(e)?l.autoSurround==="quotes"||l.autoSurround==="languageDefined":l.autoSurround==="brackets"||l.autoSurround==="languageDefined"}class DM{static indent(e,i,n){if(i===null||n===null)return[];const s=[];for(let c=0,d=n.length;c<d;c++)s[c]=new xk(n[c],{isUnshift:!1,tabSize:e.tabSize,indentSize:e.indentSize,insertSpaces:e.insertSpaces,useTabStops:e.useTabStops,autoIndent:e.autoIndent},e.languageConfigurationService);return s}static outdent(e,i,n){const s=[];for(let c=0,d=n.length;c<d;c++)s[c]=new xk(n[c],{isUnshift:!0,tabSize:e.tabSize,indentSize:e.indentSize,insertSpaces:e.insertSpaces,useTabStops:e.useTabStops,autoIndent:e.autoIndent},e.languageConfigurationService);return s}static paste(e,i,n,s,c,d){return eki.getEdits(e,i,n,s,c,d)}static tab(e,i,n){return nki.getCommands(e,i,n)}static compositionType(e,i,n,s,c,d,f,m){return tki.getEdits(e,i,n,s,c,d,f,m)}static compositionEndWithInterceptors(e,i,n,s,c,d){if(!s)return null;let f=null;for(const I of s)if(f===null)f=I.insertedText;else if(f!==I.insertedText)return null;if(!f||f.length!==1)return null;const m=f;let v=!1;for(const I of s)if(I.deletedText.length!==0){v=!0;break}if(v){if(!SIt(i,m)||!i.surroundingPairs.hasOwnProperty(m))return null;const I=NR(m);for(const z of s)if(z.deletedSelectionStart!==0||z.deletedSelectionEnd!==z.deletedText.length||/^[ \t]+$/.test(z.deletedText)||I&&NR(z.deletedText))return null;const P=[];for(const z of c){if(!z.isEmpty())return null;P.push(z.getPosition())}if(P.length!==s.length)return null;const O=[];for(let z=0,J=P.length;z<J;z++)O.push(new zxi(P[z],s[z].deletedText,i.surroundingPairs[m]));return new Lb(4,O,{shouldPushStackElementBefore:!0,shouldPushStackElementAfter:!1})}const x=Xxi.getEdits(i,n,c,d,m);if(x!==void 0)return x;const w=cje.getEdits(i,n,c,m,!0,!1);return w!==void 0?w:null}static typeWithInterceptors(e,i,n,s,c,d,f){const m=Owe.getEdits(n,s,c,f,e);if(m!==void 0)return m;const v=Gxi.getEdits(n,s,c,f,e);if(v!==void 0)return v;const x=Kxi.getEdits(i,n,s,c,d,f);if(x!==void 0)return x;const w=cje.getEdits(n,s,c,f,!1,e);if(w!==void 0)return w;const I=Qxi.getEdits(n,s,c,f,e);if(I!==void 0)return I;const P=Zxi.getEdits(i,n,s,c,f,e);return P!==void 0?P:Yxi.getEdits(i,c,f)}static typeWithoutInterceptors(e,i,n,s,c){return iki.getEdits(e,s,c)}}class oki{constructor(e,i,n,s,c,d){this.deletedText=e,this.deletedSelectionStart=i,this.deletedSelectionEnd=n,this.insertedText=s,this.insertedSelectionStart=c,this.insertedSelectionEnd=d}}var Tt;(function(l){l.editorSimpleInput=new rs("editorSimpleInput",!1,!0),l.editorTextFocus=new rs("editorTextFocus",!1,W("editorTextFocus","Whether the editor text has focus (cursor is blinking)")),l.focus=new rs("editorFocus",!1,W("editorFocus","Whether the editor or an editor widget has focus (e.g. focus is in the find widget)")),l.textInputFocus=new rs("textInputFocus",!1,W("textInputFocus","Whether an editor or a rich text input has focus (cursor is blinking)")),l.readOnly=new rs("editorReadonly",!1,W("editorReadonly","Whether the editor is read-only")),l.inDiffEditor=new rs("inDiffEditor",!1,W("inDiffEditor","Whether the context is a diff editor")),l.isEmbeddedDiffEditor=new rs("isEmbeddedDiffEditor",!1,W("isEmbeddedDiffEditor","Whether the context is an embedded diff editor")),l.inMultiDiffEditor=new rs("inMultiDiffEditor",!1,W("inMultiDiffEditor","Whether the context is a multi diff editor")),l.multiDiffEditorAllCollapsed=new rs("multiDiffEditorAllCollapsed",void 0,W("multiDiffEditorAllCollapsed","Whether all files in multi diff editor are collapsed")),l.hasChanges=new rs("diffEditorHasChanges",!1,W("diffEditorHasChanges","Whether the diff editor has changes")),l.comparingMovedCode=new rs("comparingMovedCode",!1,W("comparingMovedCode","Whether a moved code block is selected for comparison")),l.accessibleDiffViewerVisible=new rs("accessibleDiffViewerVisible",!1,W("accessibleDiffViewerVisible","Whether the accessible diff viewer is visible")),l.diffEditorRenderSideBySideInlineBreakpointReached=new rs("diffEditorRenderSideBySideInlineBreakpointReached",!1,W("diffEditorRenderSideBySideInlineBreakpointReached","Whether the diff editor render side by side inline breakpoint is reached")),l.diffEditorInlineMode=new rs("diffEditorInlineMode",!1,W("diffEditorInlineMode","Whether inline mode is active")),l.diffEditorOriginalWritable=new rs("diffEditorOriginalWritable",!1,W("diffEditorOriginalWritable","Whether modified is writable in the diff editor")),l.diffEditorModifiedWritable=new rs("diffEditorModifiedWritable",!1,W("diffEditorModifiedWritable","Whether modified is writable in the diff editor")),l.diffEditorOriginalUri=new rs("diffEditorOriginalUri","",W("diffEditorOriginalUri","The uri of the original document")),l.diffEditorModifiedUri=new rs("diffEditorModifiedUri","",W("diffEditorModifiedUri","The uri of the modified document")),l.columnSelection=new rs("editorColumnSelection",!1,W("editorColumnSelection","Whether `editor.columnSelection` is enabled")),l.writable=l.readOnly.toNegated(),l.hasNonEmptySelection=new rs("editorHasSelection",!1,W("editorHasSelection","Whether the editor has text selected")),l.hasOnlyEmptySelection=l.hasNonEmptySelection.toNegated(),l.hasMultipleSelections=new rs("editorHasMultipleSelections",!1,W("editorHasMultipleSelections","Whether the editor has multiple selections")),l.hasSingleSelection=l.hasMultipleSelections.toNegated(),l.tabMovesFocus=new rs("editorTabMovesFocus",!1,W("editorTabMovesFocus","Whether `Tab` will move focus out of the editor")),l.tabDoesNotMoveFocus=l.tabMovesFocus.toNegated(),l.isInEmbeddedEditor=new rs("isInEmbeddedEditor",!1,!0),l.canUndo=new rs("canUndo",!1,!0),l.canRedo=new rs("canRedo",!1,!0),l.hoverVisible=new rs("editorHoverVisible",!1,W("editorHoverVisible","Whether the editor hover is visible")),l.hoverFocused=new rs("editorHoverFocused",!1,W("editorHoverFocused","Whether the editor hover is focused")),l.stickyScrollFocused=new rs("stickyScrollFocused",!1,W("stickyScrollFocused","Whether the sticky scroll is focused")),l.stickyScrollVisible=new rs("stickyScrollVisible",!1,W("stickyScrollVisible","Whether the sticky scroll is visible")),l.standaloneColorPickerVisible=new rs("standaloneColorPickerVisible",!1,W("standaloneColorPickerVisible","Whether the standalone color picker is visible")),l.standaloneColorPickerFocused=new rs("standaloneColorPickerFocused",!1,W("standaloneColorPickerFocused","Whether the standalone color picker is focused")),l.inCompositeEditor=new rs("inCompositeEditor",void 0,W("inCompositeEditor","Whether the editor is part of a larger editor (e.g. notebooks)")),l.notInCompositeEditor=l.inCompositeEditor.toNegated(),l.languageId=new rs("editorLangId","",W("editorLangId","The language identifier of the editor")),l.hasCompletionItemProvider=new rs("editorHasCompletionItemProvider",!1,W("editorHasCompletionItemProvider","Whether the editor has a completion item provider")),l.hasCodeActionsProvider=new rs("editorHasCodeActionsProvider",!1,W("editorHasCodeActionsProvider","Whether the editor has a code actions provider")),l.hasCodeLensProvider=new rs("editorHasCodeLensProvider",!1,W("editorHasCodeLensProvider","Whether the editor has a code lens provider")),l.hasDefinitionProvider=new rs("editorHasDefinitionProvider",!1,W("editorHasDefinitionProvider","Whether the editor has a definition provider")),l.hasDeclarationProvider=new rs("editorHasDeclarationProvider",!1,W("editorHasDeclarationProvider","Whether the editor has a declaration provider")),l.hasImplementationProvider=new rs("editorHasImplementationProvider",!1,W("editorHasImplementationProvider","Whether the editor has an implementation provider")),l.hasTypeDefinitionProvider=new rs("editorHasTypeDefinitionProvider",!1,W("editorHasTypeDefinitionProvider","Whether the editor has a type definition provider")),l.hasHoverProvider=new rs("editorHasHoverProvider",!1,W("editorHasHoverProvider","Whether the editor has a hover provider")),l.hasDocumentHighlightProvider=new rs("editorHasDocumentHighlightProvider",!1,W("editorHasDocumentHighlightProvider","Whether the editor has a document highlight provider")),l.hasDocumentSymbolProvider=new rs("editorHasDocumentSymbolProvider",!1,W("editorHasDocumentSymbolProvider","Whether the editor has a document symbol provider")),l.hasReferenceProvider=new rs("editorHasReferenceProvider",!1,W("editorHasReferenceProvider","Whether the editor has a reference provider")),l.hasRenameProvider=new rs("editorHasRenameProvider",!1,W("editorHasRenameProvider","Whether the editor has a rename provider")),l.hasSignatureHelpProvider=new rs("editorHasSignatureHelpProvider",!1,W("editorHasSignatureHelpProvider","Whether the editor has a signature help provider")),l.hasInlayHintsProvider=new rs("editorHasInlayHintsProvider",!1,W("editorHasInlayHintsProvider","Whether the editor has an inline hints provider")),l.hasDocumentFormattingProvider=new rs("editorHasDocumentFormattingProvider",!1,W("editorHasDocumentFormattingProvider","Whether the editor has a document formatting provider")),l.hasDocumentSelectionFormattingProvider=new rs("editorHasDocumentSelectionFormattingProvider",!1,W("editorHasDocumentSelectionFormattingProvider","Whether the editor has a document selection formatting provider")),l.hasMultipleDocumentFormattingProvider=new rs("editorHasMultipleDocumentFormattingProvider",!1,W("editorHasMultipleDocumentFormattingProvider","Whether the editor has multiple document formatting providers")),l.hasMultipleDocumentSelectionFormattingProvider=new rs("editorHasMultipleDocumentSelectionFormattingProvider",!1,W("editorHasMultipleDocumentSelectionFormattingProvider","Whether the editor has multiple document selection formatting providers"))})(Tt||(Tt={}));const ru=0;class Qh extends tm{runEditorCommand(e,i,n){const s=i._getViewModel();s&&this.runCoreEditorCommand(s,n||{})}}var S0;(function(l){const e=function(n){if(!gg(n))return!1;const s=n;return!(!Eb(s.to)||!xy(s.by)&&!Eb(s.by)||!xy(s.value)&&!pR(s.value)||!xy(s.revealCursor)&&!Ckt(s.revealCursor))};l.metadata={description:"Scroll editor in the given direction",args:[{name:"Editor scroll argument object",description:"Property-value pairs that can be passed through this argument:\n * 'to': A mandatory direction value.\n ```\n 'up', 'down'\n ```\n * 'by': Unit to move. Default is computed based on 'to' value.\n ```\n 'line', 'wrappedLine', 'page', 'halfPage', 'editor'\n ```\n * 'value': Number of units to move. Default is '1'.\n * 'revealCursor': If 'true' reveals the cursor if it is outside view port.\n ",constraint:e,schema:{type:"object",required:["to"],properties:{to:{type:"string",enum:["up","down"]},by:{type:"string",enum:["line","wrappedLine","page","halfPage","editor"]},value:{type:"number",default:1},revealCursor:{type:"boolean"}}}}]},l.RawDirection={Up:"up",Right:"right",Down:"down",Left:"left"},l.RawUnit={Line:"line",WrappedLine:"wrappedLine",Page:"page",HalfPage:"halfPage",Editor:"editor",Column:"column"};function i(n){let s;switch(n.to){case l.RawDirection.Up:s=1;break;case l.RawDirection.Right:s=2;break;case l.RawDirection.Down:s=3;break;case l.RawDirection.Left:s=4;break;default:return null}let c;switch(n.by){case l.RawUnit.Line:c=1;break;case l.RawUnit.WrappedLine:c=2;break;case l.RawUnit.Page:c=3;break;case l.RawUnit.HalfPage:c=4;break;case l.RawUnit.Editor:c=5;break;case l.RawUnit.Column:c=6;break;default:c=2}const d=Math.floor(n.value||1),f=!!n.revealCursor;return{direction:s,unit:c,value:d,revealCursor:f,select:!!n.select}}l.parse=i})(S0||(S0={}));var fq;(function(l){const e=function(i){if(!gg(i))return!1;const n=i;return!(!pR(n.lineNumber)&&!Eb(n.lineNumber)||!xy(n.at)&&!Eb(n.at))};l.metadata={description:"Reveal the given line at the given logical position",args:[{name:"Reveal line argument object",description:"Property-value pairs that can be passed through this argument:\n * 'lineNumber': A mandatory line number value.\n * 'at': Logical position at which line has to be revealed.\n ```\n 'top', 'center', 'bottom'\n ```\n ",constraint:e,schema:{type:"object",required:["lineNumber"],properties:{lineNumber:{type:["number","string"]},at:{type:"string",enum:["top","center","bottom"]}}}}]},l.RawAtArgument={Top:"top",Center:"center",Bottom:"bottom"}})(fq||(fq={}));class lje{constructor(e){e.addImplementation(1e4,"code-editor",(i,n)=>{const s=i.get(Bl).getFocusedCodeEditor();return s&&s.hasTextFocus()?this._runEditorCommand(i,s,n):!1}),e.addImplementation(1e3,"generic-dom-input-textarea",(i,n)=>{const s=D0();return s&&["input","textarea"].indexOf(s.tagName.toLowerCase())>=0?(this.runDOMCommand(s),!0):!1}),e.addImplementation(0,"generic-dom",(i,n)=>{const s=i.get(Bl).getActiveCodeEditor();return s?(s.focus(),this._runEditorCommand(i,s,n)):!1})}_runEditorCommand(e,i,n){const s=this.runEditorCommand(e,i,n);return s||!0}}var hg;(function(l){class e extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){if(!me.position)return;ae.model.pushStackElement(),ae.setCursorStates(me.source,3,[t0.moveTo(ae,ae.getPrimaryCursorState(),this._inSelectionMode,me.position,me.viewPosition)])&&me.revealType!==2&&ae.revealAllCursors(me.source,!0,!0)}}l.MoveTo=$r(new e({id:"_moveTo",inSelectionMode:!1,precondition:void 0})),l.MoveToSelect=$r(new e({id:"_moveToSelect",inSelectionMode:!0,precondition:void 0}));class i extends Qh{runCoreEditorCommand(ae,me){ae.model.pushStackElement();const ye=this._getColumnSelectResult(ae,ae.getPrimaryCursorState(),ae.getCursorColumnSelectData(),me);ye!==null&&(ae.setCursorStates(me.source,3,ye.viewStates.map(Ce=>Rl.fromViewState(Ce))),ae.setCursorColumnSelectData({isReal:!0,fromViewLineNumber:ye.fromLineNumber,fromViewVisualColumn:ye.fromVisualColumn,toViewLineNumber:ye.toLineNumber,toViewVisualColumn:ye.toVisualColumn}),ye.reversed?ae.revealTopMostCursor(me.source):ae.revealBottomMostCursor(me.source))}}l.ColumnSelect=$r(new class extends i{constructor(){super({id:"columnSelect",precondition:void 0})}_getColumnSelectResult(Y,ae,me,ye){if(typeof ye.position>"u"||typeof ye.viewPosition>"u"||typeof ye.mouseColumn>"u")return null;const Ce=Y.model.validatePosition(ye.position),Fe=Y.coordinatesConverter.validateViewPosition(new pi(ye.viewPosition.lineNumber,ye.viewPosition.column),Ce),rt=ye.doColumnSelect?me.fromViewLineNumber:Fe.lineNumber,ct=ye.doColumnSelect?me.fromViewVisualColumn:ye.mouseColumn-1;return JF.columnSelect(Y.cursorConfig,Y,rt,ct,Fe.lineNumber,ye.mouseColumn-1)}}),l.CursorColumnSelectLeft=$r(new class extends i{constructor(){super({id:"cursorColumnSelectLeft",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:3599,linux:{primary:0}}})}_getColumnSelectResult(Y,ae,me,ye){return JF.columnSelectLeft(Y.cursorConfig,Y,me)}}),l.CursorColumnSelectRight=$r(new class extends i{constructor(){super({id:"cursorColumnSelectRight",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:3601,linux:{primary:0}}})}_getColumnSelectResult(Y,ae,me,ye){return JF.columnSelectRight(Y.cursorConfig,Y,me)}});class n extends i{constructor(ae){super(ae),this._isPaged=ae.isPaged}_getColumnSelectResult(ae,me,ye,Ce){return JF.columnSelectUp(ae.cursorConfig,ae,ye,this._isPaged)}}l.CursorColumnSelectUp=$r(new n({isPaged:!1,id:"cursorColumnSelectUp",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:3600,linux:{primary:0}}})),l.CursorColumnSelectPageUp=$r(new n({isPaged:!0,id:"cursorColumnSelectPageUp",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:3595,linux:{primary:0}}}));class s extends i{constructor(ae){super(ae),this._isPaged=ae.isPaged}_getColumnSelectResult(ae,me,ye,Ce){return JF.columnSelectDown(ae.cursorConfig,ae,ye,this._isPaged)}}l.CursorColumnSelectDown=$r(new s({isPaged:!1,id:"cursorColumnSelectDown",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:3602,linux:{primary:0}}})),l.CursorColumnSelectPageDown=$r(new s({isPaged:!0,id:"cursorColumnSelectPageDown",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:3596,linux:{primary:0}}}));class c extends Qh{constructor(){super({id:"cursorMove",precondition:void 0,metadata:Tve.metadata})}runCoreEditorCommand(ae,me){const ye=Tve.parse(me);ye&&this._runCursorMove(ae,me.source,ye)}_runCursorMove(ae,me,ye){ae.model.pushStackElement(),ae.setCursorStates(me,3,c._move(ae,ae.getCursorStates(),ye)),ae.revealAllCursors(me,!0)}static _move(ae,me,ye){const Ce=ye.select,Fe=ye.value;switch(ye.direction){case 0:case 1:case 2:case 3:case 4:case 5:case 6:case 7:case 8:case 9:case 10:return t0.simpleMove(ae,me,ye.direction,Ce,Fe,ye.unit);case 11:case 13:case 12:case 14:return t0.viewportMove(ae,me,ye.direction,Ce,Fe);default:return null}}}l.CursorMoveImpl=c,l.CursorMove=$r(new c);class d extends Qh{constructor(ae){super(ae),this._staticArgs=ae.args}runCoreEditorCommand(ae,me){let ye=this._staticArgs;this._staticArgs.value===-1&&(ye={direction:this._staticArgs.direction,unit:this._staticArgs.unit,select:this._staticArgs.select,value:me.pageSize||ae.cursorConfig.pageSize}),ae.model.pushStackElement(),ae.setCursorStates(me.source,3,t0.simpleMove(ae,ae.getCursorStates(),ye.direction,ye.select,ye.value,ye.unit)),ae.revealAllCursors(me.source,!0)}}l.CursorLeft=$r(new d({args:{direction:0,unit:0,select:!1,value:1},id:"cursorLeft",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:15,mac:{primary:15,secondary:[288]}}})),l.CursorLeftSelect=$r(new d({args:{direction:0,unit:0,select:!0,value:1},id:"cursorLeftSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:1039}})),l.CursorRight=$r(new d({args:{direction:1,unit:0,select:!1,value:1},id:"cursorRight",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:17,mac:{primary:17,secondary:[292]}}})),l.CursorRightSelect=$r(new d({args:{direction:1,unit:0,select:!0,value:1},id:"cursorRightSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:1041}})),l.CursorUp=$r(new d({args:{direction:2,unit:2,select:!1,value:1},id:"cursorUp",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:16,mac:{primary:16,secondary:[302]}}})),l.CursorUpSelect=$r(new d({args:{direction:2,unit:2,select:!0,value:1},id:"cursorUpSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:1040,secondary:[3088],mac:{primary:1040},linux:{primary:1040}}})),l.CursorPageUp=$r(new d({args:{direction:2,unit:2,select:!1,value:-1},id:"cursorPageUp",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:11}})),l.CursorPageUpSelect=$r(new d({args:{direction:2,unit:2,select:!0,value:-1},id:"cursorPageUpSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:1035}})),l.CursorDown=$r(new d({args:{direction:3,unit:2,select:!1,value:1},id:"cursorDown",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:18,mac:{primary:18,secondary:[300]}}})),l.CursorDownSelect=$r(new d({args:{direction:3,unit:2,select:!0,value:1},id:"cursorDownSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:1042,secondary:[3090],mac:{primary:1042},linux:{primary:1042}}})),l.CursorPageDown=$r(new d({args:{direction:3,unit:2,select:!1,value:-1},id:"cursorPageDown",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:12}})),l.CursorPageDownSelect=$r(new d({args:{direction:3,unit:2,select:!0,value:-1},id:"cursorPageDownSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:1036}})),l.CreateCursor=$r(new class extends Qh{constructor(){super({id:"createCursor",precondition:void 0})}runCoreEditorCommand(Y,ae){if(!ae.position)return;let me;ae.wholeLine?me=t0.line(Y,Y.getPrimaryCursorState(),!1,ae.position,ae.viewPosition):me=t0.moveTo(Y,Y.getPrimaryCursorState(),!1,ae.position,ae.viewPosition);const ye=Y.getCursorStates();if(ye.length>1){const Ce=me.modelState?me.modelState.position:null,Fe=me.viewState?me.viewState.position:null;for(let rt=0,ct=ye.length;rt<ct;rt++){const Mt=ye[rt];if(!(Ce&&!Mt.modelState.selection.containsPosition(Ce))&&!(Fe&&!Mt.viewState.selection.containsPosition(Fe))){ye.splice(rt,1),Y.model.pushStackElement(),Y.setCursorStates(ae.source,3,ye);return}}}ye.push(me),Y.model.pushStackElement(),Y.setCursorStates(ae.source,3,ye)}}),l.LastCursorMoveToSelect=$r(new class extends Qh{constructor(){super({id:"_lastCursorMoveToSelect",precondition:void 0})}runCoreEditorCommand(Y,ae){if(!ae.position)return;const me=Y.getLastAddedCursorIndex(),ye=Y.getCursorStates(),Ce=ye.slice(0);Ce[me]=t0.moveTo(Y,ye[me],!0,ae.position,ae.viewPosition),Y.model.pushStackElement(),Y.setCursorStates(ae.source,3,Ce)}});class f extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){ae.model.pushStackElement(),ae.setCursorStates(me.source,3,t0.moveToBeginningOfLine(ae,ae.getCursorStates(),this._inSelectionMode)),ae.revealAllCursors(me.source,!0)}}l.CursorHome=$r(new f({inSelectionMode:!1,id:"cursorHome",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:14,mac:{primary:14,secondary:[2063]}}})),l.CursorHomeSelect=$r(new f({inSelectionMode:!0,id:"cursorHomeSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:1038,mac:{primary:1038,secondary:[3087]}}}));class m extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){ae.model.pushStackElement(),ae.setCursorStates(me.source,3,this._exec(ae.getCursorStates())),ae.revealAllCursors(me.source,!0)}_exec(ae){const me=[];for(let ye=0,Ce=ae.length;ye<Ce;ye++){const Fe=ae[ye],rt=Fe.modelState.position.lineNumber;me[ye]=Rl.fromModelState(Fe.modelState.move(this._inSelectionMode,rt,1,0))}return me}}l.CursorLineStart=$r(new m({inSelectionMode:!1,id:"cursorLineStart",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:0,mac:{primary:287}}})),l.CursorLineStartSelect=$r(new m({inSelectionMode:!0,id:"cursorLineStartSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:0,mac:{primary:1311}}}));class v extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){ae.model.pushStackElement(),ae.setCursorStates(me.source,3,t0.moveToEndOfLine(ae,ae.getCursorStates(),this._inSelectionMode,me.sticky||!1)),ae.revealAllCursors(me.source,!0)}}l.CursorEnd=$r(new v({inSelectionMode:!1,id:"cursorEnd",precondition:void 0,kbOpts:{args:{sticky:!1},weight:ru,kbExpr:Tt.textInputFocus,primary:13,mac:{primary:13,secondary:[2065]}},metadata:{description:"Go to End",args:[{name:"args",schema:{type:"object",properties:{sticky:{description:W("stickydesc","Stick to the end even when going to longer lines"),type:"boolean",default:!1}}}}]}})),l.CursorEndSelect=$r(new v({inSelectionMode:!0,id:"cursorEndSelect",precondition:void 0,kbOpts:{args:{sticky:!1},weight:ru,kbExpr:Tt.textInputFocus,primary:1037,mac:{primary:1037,secondary:[3089]}},metadata:{description:"Select to End",args:[{name:"args",schema:{type:"object",properties:{sticky:{description:W("stickydesc","Stick to the end even when going to longer lines"),type:"boolean",default:!1}}}}]}}));class x extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){ae.model.pushStackElement(),ae.setCursorStates(me.source,3,this._exec(ae,ae.getCursorStates())),ae.revealAllCursors(me.source,!0)}_exec(ae,me){const ye=[];for(let Ce=0,Fe=me.length;Ce<Fe;Ce++){const rt=me[Ce],ct=rt.modelState.position.lineNumber,Mt=ae.model.getLineMaxColumn(ct);ye[Ce]=Rl.fromModelState(rt.modelState.move(this._inSelectionMode,ct,Mt,0))}return ye}}l.CursorLineEnd=$r(new x({inSelectionMode:!1,id:"cursorLineEnd",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:0,mac:{primary:291}}})),l.CursorLineEndSelect=$r(new x({inSelectionMode:!0,id:"cursorLineEndSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:0,mac:{primary:1315}}}));class w extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){ae.model.pushStackElement(),ae.setCursorStates(me.source,3,t0.moveToBeginningOfBuffer(ae,ae.getCursorStates(),this._inSelectionMode)),ae.revealAllCursors(me.source,!0)}}l.CursorTop=$r(new w({inSelectionMode:!1,id:"cursorTop",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:2062,mac:{primary:2064}}})),l.CursorTopSelect=$r(new w({inSelectionMode:!0,id:"cursorTopSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:3086,mac:{primary:3088}}}));class I extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){ae.model.pushStackElement(),ae.setCursorStates(me.source,3,t0.moveToEndOfBuffer(ae,ae.getCursorStates(),this._inSelectionMode)),ae.revealAllCursors(me.source,!0)}}l.CursorBottom=$r(new I({inSelectionMode:!1,id:"cursorBottom",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:2061,mac:{primary:2066}}})),l.CursorBottomSelect=$r(new I({inSelectionMode:!0,id:"cursorBottomSelect",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:3085,mac:{primary:3090}}}));class P extends Qh{constructor(){super({id:"editorScroll",precondition:void 0,metadata:S0.metadata})}determineScrollMethod(ae){const me=[6],ye=[1,2,3,4,5,6],Ce=[4,2],Fe=[1,3];return me.includes(ae.unit)&&Ce.includes(ae.direction)?this._runHorizontalEditorScroll.bind(this):ye.includes(ae.unit)&&Fe.includes(ae.direction)?this._runVerticalEditorScroll.bind(this):null}runCoreEditorCommand(ae,me){const ye=S0.parse(me);if(!ye)return;const Ce=this.determineScrollMethod(ye);Ce&&Ce(ae,me.source,ye)}_runVerticalEditorScroll(ae,me,ye){const Ce=this._computeDesiredScrollTop(ae,ye);if(ye.revealCursor){const Fe=ae.getCompletelyVisibleViewRangeAtScrollTop(Ce);ae.setCursorStates(me,3,[t0.findPositionInViewportIfOutside(ae,ae.getPrimaryCursorState(),Fe,ye.select)])}ae.viewLayout.setScrollPosition({scrollTop:Ce},0)}_computeDesiredScrollTop(ae,me){if(me.unit===1){const Fe=ae.viewLayout.getFutureViewport(),rt=ae.getCompletelyVisibleViewRangeAtScrollTop(Fe.top),ct=ae.coordinatesConverter.convertViewRangeToModelRange(rt);let Mt;me.direction===1?Mt=Math.max(1,ct.startLineNumber-me.value):Mt=Math.min(ae.model.getLineCount(),ct.startLineNumber+me.value);const Yt=ae.coordinatesConverter.convertModelPositionToViewPosition(new pi(Mt,1));return ae.viewLayout.getVerticalOffsetForLineNumber(Yt.lineNumber)}if(me.unit===5){let Fe=0;return me.direction===3&&(Fe=ae.model.getLineCount()-ae.cursorConfig.pageSize),ae.viewLayout.getVerticalOffsetForLineNumber(Fe)}let ye;me.unit===3?ye=ae.cursorConfig.pageSize*me.value:me.unit===4?ye=Math.round(ae.cursorConfig.pageSize/2)*me.value:ye=me.value;const Ce=(me.direction===1?-1:1)*ye;return ae.viewLayout.getCurrentScrollTop()+Ce*ae.cursorConfig.lineHeight}_runHorizontalEditorScroll(ae,me,ye){const Ce=this._computeDesiredScrollLeft(ae,ye);ae.viewLayout.setScrollPosition({scrollLeft:Ce},0)}_computeDesiredScrollLeft(ae,me){const ye=(me.direction===4?-1:1)*me.value;return ae.viewLayout.getCurrentScrollLeft()+ye*ae.cursorConfig.typicalHalfwidthCharacterWidth}}l.EditorScrollImpl=P,l.EditorScroll=$r(new P),l.ScrollLineUp=$r(new class extends Qh{constructor(){super({id:"scrollLineUp",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:2064,mac:{primary:267}}})}runCoreEditorCommand(Y,ae){l.EditorScroll.runCoreEditorCommand(Y,{to:S0.RawDirection.Up,by:S0.RawUnit.WrappedLine,value:1,revealCursor:!1,select:!1,source:ae.source})}}),l.ScrollPageUp=$r(new class extends Qh{constructor(){super({id:"scrollPageUp",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:2059,win:{primary:523},linux:{primary:523}}})}runCoreEditorCommand(Y,ae){l.EditorScroll.runCoreEditorCommand(Y,{to:S0.RawDirection.Up,by:S0.RawUnit.Page,value:1,revealCursor:!1,select:!1,source:ae.source})}}),l.ScrollEditorTop=$r(new class extends Qh{constructor(){super({id:"scrollEditorTop",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus}})}runCoreEditorCommand(Y,ae){l.EditorScroll.runCoreEditorCommand(Y,{to:S0.RawDirection.Up,by:S0.RawUnit.Editor,value:1,revealCursor:!1,select:!1,source:ae.source})}}),l.ScrollLineDown=$r(new class extends Qh{constructor(){super({id:"scrollLineDown",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:2066,mac:{primary:268}}})}runCoreEditorCommand(Y,ae){l.EditorScroll.runCoreEditorCommand(Y,{to:S0.RawDirection.Down,by:S0.RawUnit.WrappedLine,value:1,revealCursor:!1,select:!1,source:ae.source})}}),l.ScrollPageDown=$r(new class extends Qh{constructor(){super({id:"scrollPageDown",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:2060,win:{primary:524},linux:{primary:524}}})}runCoreEditorCommand(Y,ae){l.EditorScroll.runCoreEditorCommand(Y,{to:S0.RawDirection.Down,by:S0.RawUnit.Page,value:1,revealCursor:!1,select:!1,source:ae.source})}}),l.ScrollEditorBottom=$r(new class extends Qh{constructor(){super({id:"scrollEditorBottom",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus}})}runCoreEditorCommand(Y,ae){l.EditorScroll.runCoreEditorCommand(Y,{to:S0.RawDirection.Down,by:S0.RawUnit.Editor,value:1,revealCursor:!1,select:!1,source:ae.source})}}),l.ScrollLeft=$r(new class extends Qh{constructor(){super({id:"scrollLeft",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus}})}runCoreEditorCommand(Y,ae){l.EditorScroll.runCoreEditorCommand(Y,{to:S0.RawDirection.Left,by:S0.RawUnit.Column,value:2,revealCursor:!1,select:!1,source:ae.source})}}),l.ScrollRight=$r(new class extends Qh{constructor(){super({id:"scrollRight",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus}})}runCoreEditorCommand(Y,ae){l.EditorScroll.runCoreEditorCommand(Y,{to:S0.RawDirection.Right,by:S0.RawUnit.Column,value:2,revealCursor:!1,select:!1,source:ae.source})}});class O extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){me.position&&(ae.model.pushStackElement(),ae.setCursorStates(me.source,3,[t0.word(ae,ae.getPrimaryCursorState(),this._inSelectionMode,me.position)]),me.revealType!==2&&ae.revealAllCursors(me.source,!0,!0))}}l.WordSelect=$r(new O({inSelectionMode:!1,id:"_wordSelect",precondition:void 0})),l.WordSelectDrag=$r(new O({inSelectionMode:!0,id:"_wordSelectDrag",precondition:void 0})),l.LastCursorWordSelect=$r(new class extends Qh{constructor(){super({id:"lastCursorWordSelect",precondition:void 0})}runCoreEditorCommand(Y,ae){if(!ae.position)return;const me=Y.getLastAddedCursorIndex(),ye=Y.getCursorStates(),Ce=ye.slice(0),Fe=ye[me];Ce[me]=t0.word(Y,Fe,Fe.modelState.hasSelection(),ae.position),Y.model.pushStackElement(),Y.setCursorStates(ae.source,3,Ce)}});class z extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){me.position&&(ae.model.pushStackElement(),ae.setCursorStates(me.source,3,[t0.line(ae,ae.getPrimaryCursorState(),this._inSelectionMode,me.position,me.viewPosition)]),me.revealType!==2&&ae.revealAllCursors(me.source,!1,!0))}}l.LineSelect=$r(new z({inSelectionMode:!1,id:"_lineSelect",precondition:void 0})),l.LineSelectDrag=$r(new z({inSelectionMode:!0,id:"_lineSelectDrag",precondition:void 0}));class J extends Qh{constructor(ae){super(ae),this._inSelectionMode=ae.inSelectionMode}runCoreEditorCommand(ae,me){if(!me.position)return;const ye=ae.getLastAddedCursorIndex(),Ce=ae.getCursorStates(),Fe=Ce.slice(0);Fe[ye]=t0.line(ae,Ce[ye],this._inSelectionMode,me.position,me.viewPosition),ae.model.pushStackElement(),ae.setCursorStates(me.source,3,Fe)}}l.LastCursorLineSelect=$r(new J({inSelectionMode:!1,id:"lastCursorLineSelect",precondition:void 0})),l.LastCursorLineSelectDrag=$r(new J({inSelectionMode:!0,id:"lastCursorLineSelectDrag",precondition:void 0})),l.CancelSelection=$r(new class extends Qh{constructor(){super({id:"cancelSelection",precondition:Tt.hasNonEmptySelection,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:9,secondary:[1033]}})}runCoreEditorCommand(Y,ae){Y.model.pushStackElement(),Y.setCursorStates(ae.source,3,[t0.cancelSelection(Y,Y.getPrimaryCursorState())]),Y.revealAllCursors(ae.source,!0)}}),l.RemoveSecondaryCursors=$r(new class extends Qh{constructor(){super({id:"removeSecondaryCursors",precondition:Tt.hasMultipleSelections,kbOpts:{weight:ru+1,kbExpr:Tt.textInputFocus,primary:9,secondary:[1033]}})}runCoreEditorCommand(Y,ae){Y.model.pushStackElement(),Y.setCursorStates(ae.source,3,[Y.getPrimaryCursorState()]),Y.revealAllCursors(ae.source,!0),PL(W("removedCursor","Removed secondary cursors"))}}),l.RevealLine=$r(new class extends Qh{constructor(){super({id:"revealLine",precondition:void 0,metadata:fq.metadata})}runCoreEditorCommand(Y,ae){const me=ae,ye=me.lineNumber||0;let Ce=typeof ye=="number"?ye+1:parseInt(ye)+1;Ce<1&&(Ce=1);const Fe=Y.model.getLineCount();Ce>Fe&&(Ce=Fe);const rt=new nt(Ce,1,Ce,Y.model.getLineMaxColumn(Ce));let ct=0;if(me.at)switch(me.at){case fq.RawAtArgument.Top:ct=3;break;case fq.RawAtArgument.Center:ct=1;break;case fq.RawAtArgument.Bottom:ct=4;break}const Mt=Y.coordinatesConverter.convertModelRangeToViewRange(rt);Y.revealRange(ae.source,!1,Mt,ct,0)}}),l.SelectAll=new class extends lje{constructor(){super(efi)}runDOMCommand(Y){bk&&(Y.focus(),Y.select()),Y.ownerDocument.execCommand("selectAll")}runEditorCommand(Y,ae,me){const ye=ae._getViewModel();ye&&this.runCoreEditorCommand(ye,me)}runCoreEditorCommand(Y,ae){Y.model.pushStackElement(),Y.setCursorStates("keyboard",3,[t0.selectAll(Y,Y.getPrimaryCursorState())])}},l.SetSelection=$r(new class extends Qh{constructor(){super({id:"setSelection",precondition:void 0})}runCoreEditorCommand(Y,ae){ae.selection&&(Y.model.pushStackElement(),Y.setCursorStates(ae.source,3,[Rl.fromModelSelection(ae.selection)]))}})})(hg||(hg={}));const aki=kn.and(Tt.textInputFocus,Tt.columnSelection);function LJ(l,e){wv.registerKeybindingRule({id:l,primary:e,when:aki,weight:ru+1})}LJ(hg.CursorColumnSelectLeft.id,1039);LJ(hg.CursorColumnSelectRight.id,1041);LJ(hg.CursorColumnSelectUp.id,1040);LJ(hg.CursorColumnSelectPageUp.id,1035);LJ(hg.CursorColumnSelectDown.id,1042);LJ(hg.CursorColumnSelectPageDown.id,1036);function ESt(l){return l.register(),l}var s$;(function(l){class e extends tm{runEditorCommand(n,s,c){const d=s._getViewModel();d&&this.runCoreEditingCommand(s,d,c||{})}}l.CoreEditingCommand=e,l.LineBreakInsert=$r(new class extends e{constructor(){super({id:"lineBreakInsert",precondition:Tt.writable,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:0,mac:{primary:301}}})}runCoreEditingCommand(i,n,s){i.pushUndoStop(),i.executeCommands(this.id,Owe.lineBreakInsert(n.cursorConfig,n.model,n.getCursorStates().map(c=>c.modelState.selection)))}}),l.Outdent=$r(new class extends e{constructor(){super({id:"outdent",precondition:Tt.writable,kbOpts:{weight:ru,kbExpr:kn.and(Tt.editorTextFocus,Tt.tabDoesNotMoveFocus),primary:1026}})}runCoreEditingCommand(i,n,s){i.pushUndoStop(),i.executeCommands(this.id,DM.outdent(n.cursorConfig,n.model,n.getCursorStates().map(c=>c.modelState.selection))),i.pushUndoStop()}}),l.Tab=$r(new class extends e{constructor(){super({id:"tab",precondition:Tt.writable,kbOpts:{weight:ru,kbExpr:kn.and(Tt.editorTextFocus,Tt.tabDoesNotMoveFocus),primary:2}})}runCoreEditingCommand(i,n,s){i.pushUndoStop(),i.executeCommands(this.id,DM.tab(n.cursorConfig,n.model,n.getCursorStates().map(c=>c.modelState.selection))),i.pushUndoStop()}}),l.DeleteLeft=$r(new class extends e{constructor(){super({id:"deleteLeft",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:1,secondary:[1025],mac:{primary:1,secondary:[1025,294,257]}}})}runCoreEditingCommand(i,n,s){const[c,d]=RB.deleteLeft(n.getPrevEditOperationType(),n.cursorConfig,n.model,n.getCursorStates().map(f=>f.modelState.selection),n.getCursorAutoClosedCharacters());c&&i.pushUndoStop(),i.executeCommands(this.id,d),n.setPrevEditOperationType(2)}}),l.DeleteRight=$r(new class extends e{constructor(){super({id:"deleteRight",precondition:void 0,kbOpts:{weight:ru,kbExpr:Tt.textInputFocus,primary:20,mac:{primary:20,secondary:[290,276]}}})}runCoreEditingCommand(i,n,s){const[c,d]=RB.deleteRight(n.getPrevEditOperationType(),n.cursorConfig,n.model,n.getCursorStates().map(f=>f.modelState.selection));c&&i.pushUndoStop(),i.executeCommands(this.id,d),n.setPrevEditOperationType(3)}}),l.Undo=new class extends lje{constructor(){super(V2t)}runDOMCommand(i){i.ownerDocument.execCommand("undo")}runEditorCommand(i,n,s){if(!(!n.hasModel()||n.getOption(92)===!0))return n.getModel().undo()}},l.Redo=new class extends lje{constructor(){super(H2t)}runDOMCommand(i){i.ownerDocument.execCommand("redo")}runEditorCommand(i,n,s){if(!(!n.hasModel()||n.getOption(92)===!0))return n.getModel().redo()}}})(s$||(s$={}));class ISt extends $Se{constructor(e,i,n){super({id:e,precondition:void 0,metadata:n}),this._handlerId=i}runCommand(e,i){const n=e.get(Bl).getFocusedCodeEditor();n&&n.trigger("keyboard",this._handlerId,i)}}function aW(l,e){ESt(new ISt("default:"+l,l)),ESt(new ISt(l,l,e))}aW("type",{description:"Type",args:[{name:"args",schema:{type:"object",required:["text"],properties:{text:{type:"string"}}}}]});aW("replacePreviousChar");aW("compositionType");aW("compositionStart");aW("compositionEnd");aW("paste");aW("cut");class cki{constructor(e,i,n,s){this.configuration=e,this.viewModel=i,this.userInputEvents=n,this.commandDelegate=s}paste(e,i,n,s){this.commandDelegate.paste(e,i,n,s)}type(e){this.commandDelegate.type(e)}compositionType(e,i,n,s){this.commandDelegate.compositionType(e,i,n,s)}compositionStart(){this.commandDelegate.startComposition()}compositionEnd(){this.commandDelegate.endComposition()}cut(){this.commandDelegate.cut()}setSelection(e){hg.SetSelection.runCoreEditorCommand(this.viewModel,{source:"keyboard",selection:e})}_validateViewColumn(e){const i=this.viewModel.getLineMinColumn(e.lineNumber);return e.column<i?new pi(e.lineNumber,i):e}_hasMulticursorModifier(e){switch(this.configuration.options.get(78)){case"altKey":return e.altKey;case"ctrlKey":return e.ctrlKey;case"metaKey":return e.metaKey;default:return!1}}_hasNonMulticursorModifier(e){switch(this.configuration.options.get(78)){case"altKey":return e.ctrlKey||e.metaKey;case"ctrlKey":return e.altKey||e.metaKey;case"metaKey":return e.ctrlKey||e.altKey;default:return!1}}dispatchMouse(e){const i=this.configuration.options,n=Cv&&i.get(108),s=i.get(22);e.middleButton&&!n?this._columnSelect(e.position,e.mouseColumn,e.inSelectionMode):e.startedOnLineNumbers?this._hasMulticursorModifier(e)?e.inSelectionMode?this._lastCursorLineSelect(e.position,e.revealType):this._createCursor(e.position,!0):e.inSelectionMode?this._lineSelectDrag(e.position,e.revealType):this._lineSelect(e.position,e.revealType):e.mouseDownCount>=4?this._selectAll():e.mouseDownCount===3?this._hasMulticursorModifier(e)?e.inSelectionMode?this._lastCursorLineSelectDrag(e.position,e.revealType):this._lastCursorLineSelect(e.position,e.revealType):e.inSelectionMode?this._lineSelectDrag(e.position,e.revealType):this._lineSelect(e.position,e.revealType):e.mouseDownCount===2?e.onInjectedText||(this._hasMulticursorModifier(e)?this._lastCursorWordSelect(e.position,e.revealType):e.inSelectionMode?this._wordSelectDrag(e.position,e.revealType):this._wordSelect(e.position,e.revealType)):this._hasMulticursorModifier(e)?this._hasNonMulticursorModifier(e)||(e.shiftKey?this._columnSelect(e.position,e.mouseColumn,!0):e.inSelectionMode?this._lastCursorMoveToSelect(e.position,e.revealType):this._createCursor(e.position,!1)):e.inSelectionMode?e.altKey?this._columnSelect(e.position,e.mouseColumn,!0):s?this._columnSelect(e.position,e.mouseColumn,!0):this._moveToSelect(e.position,e.revealType):this.moveTo(e.position,e.revealType)}_usualArgs(e,i){return e=this._validateViewColumn(e),{source:"mouse",position:this._convertViewToModelPosition(e),viewPosition:e,revealType:i}}moveTo(e,i){hg.MoveTo.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_moveToSelect(e,i){hg.MoveToSelect.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_columnSelect(e,i,n){e=this._validateViewColumn(e),hg.ColumnSelect.runCoreEditorCommand(this.viewModel,{source:"mouse",position:this._convertViewToModelPosition(e),viewPosition:e,mouseColumn:i,doColumnSelect:n})}_createCursor(e,i){e=this._validateViewColumn(e),hg.CreateCursor.runCoreEditorCommand(this.viewModel,{source:"mouse",position:this._convertViewToModelPosition(e),viewPosition:e,wholeLine:i})}_lastCursorMoveToSelect(e,i){hg.LastCursorMoveToSelect.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_wordSelect(e,i){hg.WordSelect.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_wordSelectDrag(e,i){hg.WordSelectDrag.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_lastCursorWordSelect(e,i){hg.LastCursorWordSelect.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_lineSelect(e,i){hg.LineSelect.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_lineSelectDrag(e,i){hg.LineSelectDrag.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_lastCursorLineSelect(e,i){hg.LastCursorLineSelect.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_lastCursorLineSelectDrag(e,i){hg.LastCursorLineSelectDrag.runCoreEditorCommand(this.viewModel,this._usualArgs(e,i))}_selectAll(){hg.SelectAll.runCoreEditorCommand(this.viewModel,{source:"mouse"})}_convertViewToModelPosition(e){return this.viewModel.coordinatesConverter.convertViewPositionToModelPosition(e)}emitKeyDown(e){this.userInputEvents.emitKeyDown(e)}emitKeyUp(e){this.userInputEvents.emitKeyUp(e)}emitContextMenu(e){this.userInputEvents.emitContextMenu(e)}emitMouseMove(e){this.userInputEvents.emitMouseMove(e)}emitMouseLeave(e){this.userInputEvents.emitMouseLeave(e)}emitMouseUp(e){this.userInputEvents.emitMouseUp(e)}emitMouseDown(e){this.userInputEvents.emitMouseDown(e)}emitMouseDrag(e){this.userInputEvents.emitMouseDrag(e)}emitMouseDrop(e){this.userInputEvents.emitMouseDrop(e)}emitMouseDropCanceled(){this.userInputEvents.emitMouseDropCanceled()}emitMouseWheel(e){this.userInputEvents.emitMouseWheel(e)}}class wIt{constructor(e){this._lineFactory=e,this._set(1,[])}flush(){this._set(1,[])}_set(e,i){this._lines=i,this._rendLineNumberStart=e}_get(){return{rendLineNumberStart:this._rendLineNumberStart,lines:this._lines}}getStartLineNumber(){return this._rendLineNumberStart}getEndLineNumber(){return this._rendLineNumberStart+this._lines.length-1}getCount(){return this._lines.length}getLine(e){const i=e-this._rendLineNumberStart;if(i<0||i>=this._lines.length)throw new tu("Illegal value for lineNumber");return this._lines[i]}onLinesDeleted(e,i){if(this.getCount()===0)return null;const n=this.getStartLineNumber(),s=this.getEndLineNumber();if(i<n){const m=i-e+1;return this._rendLineNumberStart-=m,null}if(e>s)return null;let c=0,d=0;for(let m=n;m<=s;m++){const v=m-this._rendLineNumberStart;e<=m&&m<=i&&(d===0?(c=v,d=1):d++)}if(e<n){let m=0;i<n?m=i-e+1:m=n-e,this._rendLineNumberStart-=m}return this._lines.splice(c,d)}onLinesChanged(e,i){const n=e+i-1;if(this.getCount()===0)return!1;const s=this.getStartLineNumber(),c=this.getEndLineNumber();let d=!1;for(let f=e;f<=n;f++)f>=s&&f<=c&&(this._lines[f-this._rendLineNumberStart].onContentChanged(),d=!0);return d}onLinesInserted(e,i){if(this.getCount()===0)return null;const n=i-e+1,s=this.getStartLineNumber(),c=this.getEndLineNumber();if(e<=s)return this._rendLineNumberStart+=n,null;if(e>c)return null;if(n+e>c)return this._lines.splice(e-this._rendLineNumberStart,c-e+1);const d=[];for(let w=0;w<n;w++)d[w]=this._lineFactory.createLine();const f=e-this._rendLineNumberStart,m=this._lines.slice(0,f),v=this._lines.slice(f,this._lines.length-n),x=this._lines.slice(this._lines.length-n,this._lines.length);return this._lines=m.concat(d).concat(v),x}onTokensChanged(e){if(this.getCount()===0)return!1;const i=this.getStartLineNumber(),n=this.getEndLineNumber();let s=!1;for(let c=0,d=e.length;c<d;c++){const f=e[c];if(f.toLineNumber<i||f.fromLineNumber>n)continue;const m=Math.max(i,f.fromLineNumber),v=Math.min(n,f.toLineNumber);for(let x=m;x<=v;x++){const w=x-this._rendLineNumberStart;this._lines[w].onTokensChanged(),s=!0}}return s}}class xIt{constructor(e){this._lineFactory=e,this.domNode=this._createDomNode(),this._linesCollection=new wIt(this._lineFactory)}_createDomNode(){const e=_u(document.createElement("div"));return e.setClassName("view-layer"),e.setPosition("absolute"),e.domNode.setAttribute("role","presentation"),e.domNode.setAttribute("aria-hidden","true"),e}onConfigurationChanged(e){return!!e.hasChanged(146)}onFlushed(e){return this._linesCollection.flush(),!0}onLinesChanged(e){return this._linesCollection.onLinesChanged(e.fromLineNumber,e.count)}onLinesDeleted(e){const i=this._linesCollection.onLinesDeleted(e.fromLineNumber,e.toLineNumber);if(i)for(let n=0,s=i.length;n<s;n++){const c=i[n].getDomNode();c==null||c.remove()}return!0}onLinesInserted(e){const i=this._linesCollection.onLinesInserted(e.fromLineNumber,e.toLineNumber);if(i)for(let n=0,s=i.length;n<s;n++){const c=i[n].getDomNode();c==null||c.remove()}return!0}onScrollChanged(e){return e.scrollTopChanged}onTokensChanged(e){return this._linesCollection.onTokensChanged(e.ranges)}onZonesChanged(e){return!0}getStartLineNumber(){return this._linesCollection.getStartLineNumber()}getEndLineNumber(){return this._linesCollection.getEndLineNumber()}getVisibleLine(e){return this._linesCollection.getLine(e)}renderLines(e){const i=this._linesCollection._get(),n=new uje(this.domNode.domNode,this._lineFactory,e),s={rendLineNumberStart:i.rendLineNumberStart,lines:i.lines,linesLength:i.lines.length},c=n.render(s,e.startLineNumber,e.endLineNumber,e.relativeVerticalOffset);this._linesCollection._set(c.rendLineNumberStart,c.lines)}}const T4=class T4{constructor(e,i,n){this._domNode=e,this._lineFactory=i,this._viewportData=n}render(e,i,n,s){const c={rendLineNumberStart:e.rendLineNumberStart,lines:e.lines.slice(0),linesLength:e.linesLength};if(c.rendLineNumberStart+c.linesLength-1<i||n<c.rendLineNumberStart){c.rendLineNumberStart=i,c.linesLength=n-i+1,c.lines=[];for(let d=i;d<=n;d++)c.lines[d-i]=this._lineFactory.createLine();return this._finishRendering(c,!0,s),c}if(this._renderUntouchedLines(c,Math.max(i-c.rendLineNumberStart,0),Math.min(n-c.rendLineNumberStart,c.linesLength-1),s,i),c.rendLineNumberStart>i){const d=i,f=Math.min(n,c.rendLineNumberStart-1);d<=f&&(this._insertLinesBefore(c,d,f,s,i),c.linesLength+=f-d+1)}else if(c.rendLineNumberStart<i){const d=Math.min(c.linesLength,i-c.rendLineNumberStart);d>0&&(this._removeLinesBefore(c,d),c.linesLength-=d)}if(c.rendLineNumberStart=i,c.rendLineNumberStart+c.linesLength-1<n){const d=c.rendLineNumberStart+c.linesLength,f=n;d<=f&&(this._insertLinesAfter(c,d,f,s,i),c.linesLength+=f-d+1)}else if(c.rendLineNumberStart+c.linesLength-1>n){const d=Math.max(0,n-c.rendLineNumberStart+1),m=c.linesLength-1-d+1;m>0&&(this._removeLinesAfter(c,m),c.linesLength-=m)}return this._finishRendering(c,!1,s),c}_renderUntouchedLines(e,i,n,s,c){const d=e.rendLineNumberStart,f=e.lines;for(let m=i;m<=n;m++){const v=d+m;f[m].layoutLine(v,s[v-c],this._viewportData.lineHeight)}}_insertLinesBefore(e,i,n,s,c){const d=[];let f=0;for(let m=i;m<=n;m++)d[f++]=this._lineFactory.createLine();e.lines=d.concat(e.lines)}_removeLinesBefore(e,i){for(let n=0;n<i;n++){const s=e.lines[n].getDomNode();s==null||s.remove()}e.lines.splice(0,i)}_insertLinesAfter(e,i,n,s,c){const d=[];let f=0;for(let m=i;m<=n;m++)d[f++]=this._lineFactory.createLine();e.lines=e.lines.concat(d)}_removeLinesAfter(e,i){const n=e.linesLength-i;for(let s=0;s<i;s++){const c=e.lines[n+s].getDomNode();c==null||c.remove()}e.lines.splice(n,i)}_finishRenderingNewLines(e,i,n,s){T4._ttPolicy&&(n=T4._ttPolicy.createHTML(n));const c=this._domNode.lastChild;i||!c?this._domNode.innerHTML=n:c.insertAdjacentHTML("afterend",n);let d=this._domNode.lastChild;for(let f=e.linesLength-1;f>=0;f--){const m=e.lines[f];s[f]&&(m.setDomNode(d),d=d.previousSibling)}}_finishRenderingInvalidLines(e,i,n){const s=document.createElement("div");T4._ttPolicy&&(i=T4._ttPolicy.createHTML(i)),s.innerHTML=i;for(let c=0;c<e.linesLength;c++){const d=e.lines[c];if(n[c]){const f=s.firstChild,m=d.getDomNode();m.parentNode.replaceChild(f,m),d.setDomNode(f)}}}_finishRendering(e,i,n){const s=T4._sb,c=e.linesLength,d=e.lines,f=e.rendLineNumberStart,m=[];{s.reset();let v=!1;for(let x=0;x<c;x++){const w=d[x];m[x]=!1,!(w.getDomNode()||!w.renderLine(x+f,n[x],this._viewportData.lineHeight,this._viewportData,s))&&(m[x]=!0,v=!0)}v&&this._finishRenderingNewLines(e,i,s.build(),m)}{s.reset();let v=!1;const x=[];for(let w=0;w<c;w++){const I=d[w];x[w]=!1,!(m[w]||!I.renderLine(w+f,n[w],this._viewportData.lineHeight,this._viewportData,s))&&(x[w]=!0,v=!0)}v&&this._finishRenderingInvalidLines(e,s.build(),x)}}};T4._ttPolicy=v6("editorViewLayer",{createHTML:e=>e}),T4._sb=new gJ(1e5);let uje=T4;class kIt extends nS{constructor(e){super(e),this._dynamicOverlays=[],this._isFocused=!1,this._visibleLines=new xIt({createLine:()=>new lki(this._dynamicOverlays)}),this.domNode=this._visibleLines.domNode;const n=this._context.configuration.options.get(50);a0(this.domNode,n),this.domNode.setClassName("view-overlays")}shouldRender(){if(super.shouldRender())return!0;for(let e=0,i=this._dynamicOverlays.length;e<i;e++)if(this._dynamicOverlays[e].shouldRender())return!0;return!1}dispose(){super.dispose();for(let e=0,i=this._dynamicOverlays.length;e<i;e++)this._dynamicOverlays[e].dispose();this._dynamicOverlays=[]}getDomNode(){return this.domNode}addDynamicOverlay(e){this._dynamicOverlays.push(e)}onConfigurationChanged(e){this._visibleLines.onConfigurationChanged(e);const n=this._context.configuration.options.get(50);return a0(this.domNode,n),!0}onFlushed(e){return this._visibleLines.onFlushed(e)}onFocusChanged(e){return this._isFocused=e.isFocused,!0}onLinesChanged(e){return this._visibleLines.onLinesChanged(e)}onLinesDeleted(e){return this._visibleLines.onLinesDeleted(e)}onLinesInserted(e){return this._visibleLines.onLinesInserted(e)}onScrollChanged(e){return this._visibleLines.onScrollChanged(e)||!0}onTokensChanged(e){return this._visibleLines.onTokensChanged(e)}onZonesChanged(e){return this._visibleLines.onZonesChanged(e)}prepareRender(e){const i=this._dynamicOverlays.filter(n=>n.shouldRender());for(let n=0,s=i.length;n<s;n++){const c=i[n];c.prepareRender(e),c.onDidRender()}}render(e){this._viewOverlaysRender(e),this.domNode.toggleClassName("focused",this._isFocused)}_viewOverlaysRender(e){this._visibleLines.renderLines(e.viewportData)}}class lki{constructor(e){this._dynamicOverlays=e,this._domNode=null,this._renderedContent=null}getDomNode(){return this._domNode?this._domNode.domNode:null}setDomNode(e){this._domNode=_u(e)}onContentChanged(){}onTokensChanged(){}renderLine(e,i,n,s,c){let d="";for(let f=0,m=this._dynamicOverlays.length;f<m;f++){const v=this._dynamicOverlays[f];d+=v.render(s.startLineNumber,e)}return this._renderedContent===d?!1:(this._renderedContent=d,c.appendString('<div style="top:'),c.appendString(String(i)),c.appendString("px;height:"),c.appendString(String(n)),c.appendString('px;">'),c.appendString(d),c.appendString("</div>"),!0)}layoutLine(e,i,n){this._domNode&&(this._domNode.setTop(i),this._domNode.setHeight(n))}}class uki extends kIt{constructor(e){super(e);const n=this._context.configuration.options.get(146);this._contentWidth=n.contentWidth,this.domNode.setHeight(0)}onConfigurationChanged(e){const n=this._context.configuration.options.get(146);return this._contentWidth=n.contentWidth,super.onConfigurationChanged(e)||!0}onScrollChanged(e){return super.onScrollChanged(e)||e.scrollWidthChanged}_viewOverlaysRender(e){super._viewOverlaysRender(e),this.domNode.setWidth(Math.max(e.scrollWidth,this._contentWidth))}}class dki extends kIt{constructor(e){super(e);const i=this._context.configuration.options,n=i.get(146);this._contentLeft=n.contentLeft,this.domNode.setClassName("margin-view-overlays"),this.domNode.setWidth(1),a0(this.domNode,i.get(50))}onConfigurationChanged(e){const i=this._context.configuration.options;a0(this.domNode,i.get(50));const n=i.get(146);return this._contentLeft=n.contentLeft,super.onConfigurationChanged(e)||!0}onScrollChanged(e){return super.onScrollChanged(e)||e.scrollHeightChanged}_viewOverlaysRender(e){super._viewOverlaysRender(e);const i=Math.min(e.scrollHeight,1e6);this.domNode.setHeight(i),this.domNode.setWidth(this._contentLeft)}}class Rwe{constructor(e){this.onKeyDown=null,this.onKeyUp=null,this.onContextMenu=null,this.onMouseMove=null,this.onMouseLeave=null,this.onMouseDown=null,this.onMouseUp=null,this.onMouseDrag=null,this.onMouseDrop=null,this.onMouseDropCanceled=null,this.onMouseWheel=null,this._coordinatesConverter=e}emitKeyDown(e){var i;(i=this.onKeyDown)==null||i.call(this,e)}emitKeyUp(e){var i;(i=this.onKeyUp)==null||i.call(this,e)}emitContextMenu(e){var i;(i=this.onContextMenu)==null||i.call(this,this._convertViewToModelMouseEvent(e))}emitMouseMove(e){var i;(i=this.onMouseMove)==null||i.call(this,this._convertViewToModelMouseEvent(e))}emitMouseLeave(e){var i;(i=this.onMouseLeave)==null||i.call(this,this._convertViewToModelMouseEvent(e))}emitMouseDown(e){var i;(i=this.onMouseDown)==null||i.call(this,this._convertViewToModelMouseEvent(e))}emitMouseUp(e){var i;(i=this.onMouseUp)==null||i.call(this,this._convertViewToModelMouseEvent(e))}emitMouseDrag(e){var i;(i=this.onMouseDrag)==null||i.call(this,this._convertViewToModelMouseEvent(e))}emitMouseDrop(e){var i;(i=this.onMouseDrop)==null||i.call(this,this._convertViewToModelMouseEvent(e))}emitMouseDropCanceled(){var e;(e=this.onMouseDropCanceled)==null||e.call(this)}emitMouseWheel(e){var i;(i=this.onMouseWheel)==null||i.call(this,e)}_convertViewToModelMouseEvent(e){return e.target?{event:e.event,target:this._convertViewToModelMouseTarget(e.target)}:e}_convertViewToModelMouseTarget(e){return Rwe.convertViewToModelMouseTarget(e,this._coordinatesConverter)}static convertViewToModelMouseTarget(e,i){const n={...e};return n.position&&(n.position=i.convertViewPositionToModelPosition(n.position)),n.range&&(n.range=i.convertViewRangeToModelRange(n.range)),(n.type===5||n.type===8)&&(n.detail=this.convertViewToModelViewZoneData(n.detail,i)),n}static convertViewToModelViewZoneData(e,i){return{viewZoneId:e.viewZoneId,positionBefore:e.positionBefore?i.convertViewPositionToModelPosition(e.positionBefore):e.positionBefore,positionAfter:e.positionAfter?i.convertViewPositionToModelPosition(e.positionAfter):e.positionAfter,position:i.convertViewPositionToModelPosition(e.position),afterLineNumber:i.convertViewPositionToModelPosition(new pi(e.afterLineNumber,1)).lineNumber}}}class fki extends nS{constructor(e){super(e),this.blocks=[],this.contentWidth=-1,this.contentLeft=0,this.domNode=_u(document.createElement("div")),this.domNode.setAttribute("role","presentation"),this.domNode.setAttribute("aria-hidden","true"),this.domNode.setClassName("blockDecorations-container"),this.update()}update(){let e=!1;const n=this._context.configuration.options.get(146),s=n.contentWidth-n.verticalScrollbarWidth;this.contentWidth!==s&&(this.contentWidth=s,e=!0);const c=n.contentLeft;return this.contentLeft!==c&&(this.contentLeft=c,e=!0),e}dispose(){super.dispose()}onConfigurationChanged(e){return this.update()}onScrollChanged(e){return e.scrollTopChanged||e.scrollLeftChanged}onDecorationsChanged(e){return!0}onZonesChanged(e){return!0}prepareRender(e){}render(e){let i=0;const n=e.getDecorationsInViewport();for(const s of n){if(!s.options.blockClassName)continue;let c=this.blocks[i];c||(c=this.blocks[i]=_u(document.createElement("div")),this.domNode.appendChild(c));let d,f;s.options.blockIsAfterEnd?(d=e.getVerticalOffsetAfterLineNumber(s.range.endLineNumber,!1),f=e.getVerticalOffsetAfterLineNumber(s.range.endLineNumber,!0)):(d=e.getVerticalOffsetForLineNumber(s.range.startLineNumber,!0),f=s.range.isEmpty()&&!s.options.blockDoesNotCollapse?e.getVerticalOffsetForLineNumber(s.range.startLineNumber,!1):e.getVerticalOffsetAfterLineNumber(s.range.endLineNumber,!0));const[m,v,x,w]=s.options.blockPadding??[0,0,0,0];c.setClassName("blockDecorations-block "+s.options.blockClassName),c.setLeft(this.contentLeft-w),c.setWidth(this.contentWidth+w+v),c.setTop(d-e.scrollTop-m),c.setHeight(f-d+m+x),i++}for(let s=i;s<this.blocks.length;s++)this.blocks[s].domNode.remove();this.blocks.length=i}}class hki extends nS{constructor(e,i){super(e),this._viewDomNode=i,this._widgets={},this.domNode=_u(document.createElement("div")),JE.write(this.domNode,1),this.domNode.setClassName("contentWidgets"),this.domNode.setPosition("absolute"),this.domNode.setTop(0),this.overflowingContentWidgetsDomNode=_u(document.createElement("div")),JE.write(this.overflowingContentWidgetsDomNode,2),this.overflowingContentWidgetsDomNode.setClassName("overflowingContentWidgets")}dispose(){super.dispose(),this._widgets={}}onConfigurationChanged(e){const i=Object.keys(this._widgets);for(const n of i)this._widgets[n].onConfigurationChanged(e);return!0}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLineMappingChanged(e){return this._updateAnchorsViewPositions(),!0}onLinesChanged(e){return this._updateAnchorsViewPositions(),!0}onLinesDeleted(e){return this._updateAnchorsViewPositions(),!0}onLinesInserted(e){return this._updateAnchorsViewPositions(),!0}onScrollChanged(e){return!0}onZonesChanged(e){return!0}_updateAnchorsViewPositions(){const e=Object.keys(this._widgets);for(const i of e)this._widgets[i].updateAnchorViewPosition()}addWidget(e){const i=new _ki(this._context,this._viewDomNode,e);this._widgets[i.id]=i,i.allowEditorOverflow?this.overflowingContentWidgetsDomNode.appendChild(i.domNode):this.domNode.appendChild(i.domNode),this.setShouldRender()}setWidgetPosition(e,i,n,s,c){this._widgets[e.getId()].setPosition(i,n,s,c),this.setShouldRender()}removeWidget(e){const i=e.getId();if(this._widgets.hasOwnProperty(i)){const n=this._widgets[i];delete this._widgets[i];const s=n.domNode.domNode;s.remove(),s.removeAttribute("monaco-visible-content-widget"),this.setShouldRender()}}shouldSuppressMouseDownOnWidget(e){return this._widgets.hasOwnProperty(e)?this._widgets[e].suppressMouseDown:!1}onBeforeRender(e){const i=Object.keys(this._widgets);for(const n of i)this._widgets[n].onBeforeRender(e)}prepareRender(e){const i=Object.keys(this._widgets);for(const n of i)this._widgets[n].prepareRender(e)}render(e){const i=Object.keys(this._widgets);for(const n of i)this._widgets[n].render(e)}}class _ki{constructor(e,i,n){this._primaryAnchor=new zte(null,null),this._secondaryAnchor=new zte(null,null),this._context=e,this._viewDomNode=i,this._actual=n,this.domNode=_u(this._actual.getDomNode()),this.id=this._actual.getId(),this.allowEditorOverflow=this._actual.allowEditorOverflow||!1,this.suppressMouseDown=this._actual.suppressMouseDown||!1;const s=this._context.configuration.options,c=s.get(146);this._fixedOverflowWidgets=s.get(42),this._contentWidth=c.contentWidth,this._contentLeft=c.contentLeft,this._lineHeight=s.get(67),this._affinity=null,this._preference=[],this._cachedDomNodeOffsetWidth=-1,this._cachedDomNodeOffsetHeight=-1,this._maxWidth=this._getMaxWidth(),this._isVisible=!1,this._renderData=null,this.domNode.setPosition(this._fixedOverflowWidgets&&this.allowEditorOverflow?"fixed":"absolute"),this.domNode.setDisplay("none"),this.domNode.setVisibility("hidden"),this.domNode.setAttribute("widgetId",this.id),this.domNode.setMaxWidth(this._maxWidth)}onConfigurationChanged(e){const i=this._context.configuration.options;if(this._lineHeight=i.get(67),e.hasChanged(146)){const n=i.get(146);this._contentLeft=n.contentLeft,this._contentWidth=n.contentWidth,this._maxWidth=this._getMaxWidth()}}updateAnchorViewPosition(){this._setPosition(this._affinity,this._primaryAnchor.modelPosition,this._secondaryAnchor.modelPosition)}_setPosition(e,i,n){this._affinity=e,this._primaryAnchor=s(i,this._context.viewModel,this._affinity),this._secondaryAnchor=s(n,this._context.viewModel,this._affinity);function s(c,d,f){if(!c)return new zte(null,null);const m=d.model.validatePosition(c);if(d.coordinatesConverter.modelPositionIsVisible(m)){const v=d.coordinatesConverter.convertModelPositionToViewPosition(m,f??void 0);return new zte(c,v)}return new zte(c,null)}}_getMaxWidth(){const e=this.domNode.domNode.ownerDocument,i=e.defaultView;return this.allowEditorOverflow?(i==null?void 0:i.innerWidth)||e.documentElement.offsetWidth||e.body.offsetWidth:this._contentWidth}setPosition(e,i,n,s){this._setPosition(s,e,i),this._preference=n,this._primaryAnchor.viewPosition&&this._preference&&this._preference.length>0?this.domNode.setDisplay("block"):this.domNode.setDisplay("none"),this._cachedDomNodeOffsetWidth=-1,this._cachedDomNodeOffsetHeight=-1}_layoutBoxInViewport(e,i,n,s){const c=e.top,d=c,f=e.top+e.height,m=s.viewportHeight-f,v=c-n,x=d>=n,w=f,I=m>=n;let P=e.left;return P+i>s.scrollLeft+s.viewportWidth&&(P=s.scrollLeft+s.viewportWidth-i),P<s.scrollLeft&&(P=s.scrollLeft),{fitsAbove:x,aboveTop:v,fitsBelow:I,belowTop:w,left:P}}_layoutHorizontalSegmentInPage(e,i,n,s){const f=Math.max(15,i.left-s),m=Math.min(i.left+i.width+s,e.width-15),x=this._viewDomNode.domNode.ownerDocument.defaultView;let w=i.left+n-((x==null?void 0:x.scrollX)??0);if(w+s>m){const I=w-(m-s);w-=I,n-=I}if(w<f){const I=w-f;w-=I,n-=I}return[n,w]}_layoutBoxInPage(e,i,n,s){const c=e.top-n,d=e.top+e.height,f=e_(this._viewDomNode.domNode),m=this._viewDomNode.domNode.ownerDocument,v=m.defaultView,x=f.top+c-((v==null?void 0:v.scrollY)??0),w=f.top+d-((v==null?void 0:v.scrollY)??0),I=SR(m.body),[P,O]=this._layoutHorizontalSegmentInPage(I,f,e.left-s.scrollLeft+this._contentLeft,i),z=22,J=22,Y=x>=z,ae=w+n<=I.height-J;return this._fixedOverflowWidgets?{fitsAbove:Y,aboveTop:Math.max(x,z),fitsBelow:ae,belowTop:w,left:O}:{fitsAbove:Y,aboveTop:c,fitsBelow:ae,belowTop:d,left:P}}_prepareRenderWidgetAtExactPositionOverflowing(e){return new Ute(e.top,e.left+this._contentLeft)}_getAnchorsCoordinates(e){var d,f;const i=c(this._primaryAnchor.viewPosition,this._affinity,this._lineHeight),n=((d=this._secondaryAnchor.viewPosition)==null?void 0:d.lineNumber)===((f=this._primaryAnchor.viewPosition)==null?void 0:f.lineNumber)?this._secondaryAnchor.viewPosition:null,s=c(n,this._affinity,this._lineHeight);return{primary:i,secondary:s};function c(m,v,x){if(!m)return null;const w=e.visibleRangeForPosition(m);if(!w)return null;const I=m.column===1&&v===3?0:w.left,P=e.getVerticalOffsetForLineNumber(m.lineNumber)-e.scrollTop;return new NSt(P,I,x)}}_reduceAnchorCoordinates(e,i,n){if(!i)return e;const s=this._context.configuration.options.get(50);let c=i.left;return c<e.left?c=Math.max(c,e.left-n+s.typicalFullwidthCharacterWidth):c=Math.min(c,e.left+n-s.typicalFullwidthCharacterWidth),new NSt(e.top,c,e.height)}_prepareRenderWidget(e){if(!this._preference||this._preference.length===0)return null;const{primary:i,secondary:n}=this._getAnchorsCoordinates(e);if(!i)return{kind:"offViewport",preserveFocus:this.domNode.domNode.contains(this.domNode.domNode.ownerDocument.activeElement)};if(this._cachedDomNodeOffsetWidth===-1||this._cachedDomNodeOffsetHeight===-1){let d=null;if(typeof this._actual.beforeRender=="function"&&(d=_9e(this._actual.beforeRender,this._actual)),d)this._cachedDomNodeOffsetWidth=d.width,this._cachedDomNodeOffsetHeight=d.height;else{const m=this.domNode.domNode.getBoundingClientRect();this._cachedDomNodeOffsetWidth=Math.round(m.width),this._cachedDomNodeOffsetHeight=Math.round(m.height)}}const s=this._reduceAnchorCoordinates(i,n,this._cachedDomNodeOffsetWidth);let c;this.allowEditorOverflow?c=this._layoutBoxInPage(s,this._cachedDomNodeOffsetWidth,this._cachedDomNodeOffsetHeight,e):c=this._layoutBoxInViewport(s,this._cachedDomNodeOffsetWidth,this._cachedDomNodeOffsetHeight,e);for(let d=1;d<=2;d++)for(const f of this._preference)if(f===1){if(!c)return null;if(d===2||c.fitsAbove)return{kind:"inViewport",coordinate:new Ute(c.aboveTop,c.left),position:1}}else if(f===2){if(!c)return null;if(d===2||c.fitsBelow)return{kind:"inViewport",coordinate:new Ute(c.belowTop,c.left),position:2}}else return this.allowEditorOverflow?{kind:"inViewport",coordinate:this._prepareRenderWidgetAtExactPositionOverflowing(new Ute(s.top,s.left)),position:0}:{kind:"inViewport",coordinate:new Ute(s.top,s.left),position:0};return null}onBeforeRender(e){!this._primaryAnchor.viewPosition||!this._preference||this._primaryAnchor.viewPosition.lineNumber<e.startLineNumber||this._primaryAnchor.viewPosition.lineNumber>e.endLineNumber||this.domNode.setMaxWidth(this._maxWidth)}prepareRender(e){this._renderData=this._prepareRenderWidget(e)}render(e){var i;if(!this._renderData||this._renderData.kind==="offViewport"){this._isVisible&&(this.domNode.removeAttribute("monaco-visible-content-widget"),this._isVisible=!1,((i=this._renderData)==null?void 0:i.kind)==="offViewport"&&this._renderData.preserveFocus?this.domNode.setTop(-1e3):this.domNode.setVisibility("hidden")),typeof this._actual.afterRender=="function"&&_9e(this._actual.afterRender,this._actual,null);return}this.allowEditorOverflow?(this.domNode.setTop(this._renderData.coordinate.top),this.domNode.setLeft(this._renderData.coordinate.left)):(this.domNode.setTop(this._renderData.coordinate.top+e.scrollTop-e.bigNumbersDelta),this.domNode.setLeft(this._renderData.coordinate.left)),this._isVisible||(this.domNode.setVisibility("inherit"),this.domNode.setAttribute("monaco-visible-content-widget","true"),this._isVisible=!0),typeof this._actual.afterRender=="function"&&_9e(this._actual.afterRender,this._actual,this._renderData.position)}}class zte{constructor(e,i){this.modelPosition=e,this.viewPosition=i}}class Ute{constructor(e,i){this.top=e,this.left=i,this._coordinateBrand=void 0}}class NSt{constructor(e,i,n){this.top=e,this.left=i,this.height=n,this._anchorCoordinateBrand=void 0}}function _9e(l,e,...i){try{return l.call(e,...i)}catch{return null}}class TIt extends oW{constructor(e){super(),this._context=e;const i=this._context.configuration.options,n=i.get(146);this._renderLineHighlight=i.get(97),this._renderLineHighlightOnlyWhenFocus=i.get(98),this._wordWrap=n.isViewportWrapping,this._contentLeft=n.contentLeft,this._contentWidth=n.contentWidth,this._selectionIsEmpty=!0,this._focused=!1,this._cursorLineNumbers=[1],this._selections=[new Us(1,1,1,1)],this._renderData=null,this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),super.dispose()}_readFromSelections(){let e=!1;const i=new Set;for(const c of this._selections)i.add(c.positionLineNumber);const n=Array.from(i);n.sort((c,d)=>c-d),Lf(this._cursorLineNumbers,n)||(this._cursorLineNumbers=n,e=!0);const s=this._selections.every(c=>c.isEmpty());return this._selectionIsEmpty!==s&&(this._selectionIsEmpty=s,e=!0),e}onThemeChanged(e){return this._readFromSelections()}onConfigurationChanged(e){const i=this._context.configuration.options,n=i.get(146);return this._renderLineHighlight=i.get(97),this._renderLineHighlightOnlyWhenFocus=i.get(98),this._wordWrap=n.isViewportWrapping,this._contentLeft=n.contentLeft,this._contentWidth=n.contentWidth,!0}onCursorStateChanged(e){return this._selections=e.selections,this._readFromSelections()}onFlushed(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollWidthChanged||e.scrollTopChanged}onZonesChanged(e){return!0}onFocusChanged(e){return this._renderLineHighlightOnlyWhenFocus?(this._focused=e.isFocused,!0):!1}prepareRender(e){if(!this._shouldRenderThis()){this._renderData=null;return}const i=e.visibleRange.startLineNumber,n=e.visibleRange.endLineNumber,s=[];for(let d=i;d<=n;d++){const f=d-i;s[f]=""}if(this._wordWrap){const d=this._renderOne(e,!1);for(const f of this._cursorLineNumbers){const m=this._context.viewModel.coordinatesConverter,v=m.convertViewPositionToModelPosition(new pi(f,1)).lineNumber,x=m.convertModelPositionToViewPosition(new pi(v,1)).lineNumber,w=m.convertModelPositionToViewPosition(new pi(v,this._context.viewModel.model.getLineMaxColumn(v))).lineNumber,I=Math.max(x,i),P=Math.min(w,n);for(let O=I;O<=P;O++){const z=O-i;s[z]=d}}}const c=this._renderOne(e,!0);for(const d of this._cursorLineNumbers){if(d<i||d>n)continue;const f=d-i;s[f]=c}this._renderData=s}render(e,i){if(!this._renderData)return"";const n=i-e;return n>=this._renderData.length?"":this._renderData[n]}_shouldRenderInMargin(){return(this._renderLineHighlight==="gutter"||this._renderLineHighlight==="all")&&(!this._renderLineHighlightOnlyWhenFocus||this._focused)}_shouldRenderInContent(){return(this._renderLineHighlight==="line"||this._renderLineHighlight==="all")&&this._selectionIsEmpty&&(!this._renderLineHighlightOnlyWhenFocus||this._focused)}}class pki extends TIt{_renderOne(e,i){return`<div class="${"current-line"+(this._shouldRenderInMargin()?" current-line-both":"")+(i?" current-line-exact":"")}" style="width:${Math.max(e.scrollWidth,this._contentWidth)}px;"></div>`}_shouldRenderThis(){return this._shouldRenderInContent()}_shouldRenderOther(){return this._shouldRenderInMargin()}}class mki extends TIt{_renderOne(e,i){return`<div class="${"current-line"+(this._shouldRenderInMargin()?" current-line-margin":"")+(this._shouldRenderOther()?" current-line-margin-both":"")+(this._shouldRenderInMargin()&&i?" current-line-exact-margin":"")}" style="width:${this._contentLeft}px"></div>`}_shouldRenderThis(){return!0}_shouldRenderOther(){return this._shouldRenderInContent()}}Dk((l,e)=>{const i=l.getColor(BDt);if(i&&(e.addRule(`.monaco-editor .view-overlays .current-line { background-color: ${i}; }`),e.addRule(`.monaco-editor .margin-view-overlays .current-line-margin { background-color: ${i}; border: none; }`)),!i||i.isTransparent()||l.defines(gCt)){const n=l.getColor(gCt);n&&(e.addRule(`.monaco-editor .view-overlays .current-line-exact { border: 2px solid ${n}; }`),e.addRule(`.monaco-editor .margin-view-overlays .current-line-exact-margin { border: 2px solid ${n}; }`),RE(l.type)&&(e.addRule(".monaco-editor .view-overlays .current-line-exact { border-width: 1px; }"),e.addRule(".monaco-editor .margin-view-overlays .current-line-exact-margin { border-width: 1px; }")))}});class gki extends oW{constructor(e){super(),this._context=e;const i=this._context.configuration.options;this._typicalHalfwidthCharacterWidth=i.get(50).typicalHalfwidthCharacterWidth,this._renderResult=null,this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),this._renderResult=null,super.dispose()}onConfigurationChanged(e){const i=this._context.configuration.options;return this._typicalHalfwidthCharacterWidth=i.get(50).typicalHalfwidthCharacterWidth,!0}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollTopChanged||e.scrollWidthChanged}onZonesChanged(e){return!0}prepareRender(e){const i=e.getDecorationsInViewport();let n=[],s=0;for(let m=0,v=i.length;m<v;m++){const x=i[m];x.options.className&&(n[s++]=x)}n=n.sort((m,v)=>{if(m.options.zIndex<v.options.zIndex)return-1;if(m.options.zIndex>v.options.zIndex)return 1;const x=m.options.className,w=v.options.className;return x<w?-1:x>w?1:nt.compareRangesUsingStarts(m.range,v.range)});const c=e.visibleRange.startLineNumber,d=e.visibleRange.endLineNumber,f=[];for(let m=c;m<=d;m++){const v=m-c;f[v]=""}this._renderWholeLineDecorations(e,n,f),this._renderNormalDecorations(e,n,f),this._renderResult=f}_renderWholeLineDecorations(e,i,n){const s=e.visibleRange.startLineNumber,c=e.visibleRange.endLineNumber;for(let d=0,f=i.length;d<f;d++){const m=i[d];if(!m.options.isWholeLine)continue;const v='<div class="cdr '+m.options.className+'" style="left:0;width:100%;"></div>',x=Math.max(m.range.startLineNumber,s),w=Math.min(m.range.endLineNumber,c);for(let I=x;I<=w;I++){const P=I-s;n[P]+=v}}}_renderNormalDecorations(e,i,n){const s=e.visibleRange.startLineNumber;let c=null,d=!1,f=null,m=!1;for(let v=0,x=i.length;v<x;v++){const w=i[v];if(w.options.isWholeLine)continue;const I=w.options.className,P=!!w.options.showIfCollapsed;let O=w.range;if(P&&O.endColumn===1&&O.endLineNumber!==O.startLineNumber&&(O=new nt(O.startLineNumber,O.startColumn,O.endLineNumber-1,this._context.viewModel.getLineMaxColumn(O.endLineNumber-1))),c===I&&d===P&&nt.areIntersectingOrTouching(f,O)){f=nt.plusRange(f,O);continue}c!==null&&this._renderNormalDecoration(e,f,c,m,d,s,n),c=I,d=P,f=O,m=w.options.shouldFillLineOnLineBreak??!1}c!==null&&this._renderNormalDecoration(e,f,c,m,d,s,n)}_renderNormalDecoration(e,i,n,s,c,d,f){const m=e.linesVisibleRangesForRange(i,n==="findMatch");if(m)for(let v=0,x=m.length;v<x;v++){const w=m[v];if(w.outsideRenderedLine)continue;const I=w.lineNumber-d;if(c&&w.ranges.length===1){const P=w.ranges[0];if(P.width<this._typicalHalfwidthCharacterWidth){const O=Math.round(P.left+P.width/2),z=Math.max(0,Math.round(O-this._typicalHalfwidthCharacterWidth/2));w.ranges[0]=new Pwe(z,this._typicalHalfwidthCharacterWidth)}}for(let P=0,O=w.ranges.length;P<O;P++){const z=s&&w.continuesOnNextLine&&O===1,J=w.ranges[P],Y='<div class="cdr '+n+'" style="left:'+String(J.left)+"px;width:"+(z?"100%;":String(J.width)+"px;")+'"></div>';f[I]+=Y}}}render(e,i){if(!this._renderResult)return"";const n=i-e;return n<0||n>=this._renderResult.length?"":this._renderResult[n]}}class yki extends nS{constructor(e,i,n,s){super(e);const c=this._context.configuration.options,d=c.get(104),f=c.get(75),m=c.get(40),v=c.get(107),x={listenOnDomNode:n.domNode,className:"editor-scrollable "+JWe(e.theme.type),useShadows:!1,lazyRender:!0,vertical:d.vertical,horizontal:d.horizontal,verticalHasArrows:d.verticalHasArrows,horizontalHasArrows:d.horizontalHasArrows,verticalScrollbarSize:d.verticalScrollbarSize,verticalSliderSize:d.verticalSliderSize,horizontalScrollbarSize:d.horizontalScrollbarSize,horizontalSliderSize:d.horizontalSliderSize,handleMouseWheel:d.handleMouseWheel,alwaysConsumeMouseWheel:d.alwaysConsumeMouseWheel,arrowSize:d.arrowSize,mouseWheelScrollSensitivity:f,fastScrollSensitivity:m,scrollPredominantAxis:v,scrollByPage:d.scrollByPage};this.scrollbar=this._register(new owe(i.domNode,x,this._context.viewLayout.getScrollable())),JE.write(this.scrollbar.getDomNode(),6),this.scrollbarDomNode=_u(this.scrollbar.getDomNode()),this.scrollbarDomNode.setPosition("absolute"),this._setLayout();const w=(I,P,O)=>{const z={};{const J=I.scrollTop;J&&(z.scrollTop=this._context.viewLayout.getCurrentScrollTop()+J,I.scrollTop=0)}if(O){const J=I.scrollLeft;J&&(z.scrollLeft=this._context.viewLayout.getCurrentScrollLeft()+J,I.scrollLeft=0)}this._context.viewModel.viewLayout.setScrollPosition(z,1)};this._register(en(n.domNode,"scroll",I=>w(n.domNode,!0,!0))),this._register(en(i.domNode,"scroll",I=>w(i.domNode,!0,!1))),this._register(en(s.domNode,"scroll",I=>w(s.domNode,!0,!1))),this._register(en(this.scrollbarDomNode.domNode,"scroll",I=>w(this.scrollbarDomNode.domNode,!0,!1)))}dispose(){super.dispose()}_setLayout(){const e=this._context.configuration.options,i=e.get(146);this.scrollbarDomNode.setLeft(i.contentLeft),e.get(73).side==="right"?this.scrollbarDomNode.setWidth(i.contentWidth+i.minimap.minimapWidth):this.scrollbarDomNode.setWidth(i.contentWidth),this.scrollbarDomNode.setHeight(i.height)}getOverviewRulerLayoutInfo(){return this.scrollbar.getOverviewRulerLayoutInfo()}getDomNode(){return this.scrollbarDomNode}delegateVerticalScrollbarPointerDown(e){this.scrollbar.delegateVerticalScrollbarPointerDown(e)}delegateScrollFromMouseWheelEvent(e){this.scrollbar.delegateScrollFromMouseWheelEvent(e)}onConfigurationChanged(e){if(e.hasChanged(104)||e.hasChanged(75)||e.hasChanged(40)){const i=this._context.configuration.options,n=i.get(104),s=i.get(75),c=i.get(40),d=i.get(107),f={vertical:n.vertical,horizontal:n.horizontal,verticalScrollbarSize:n.verticalScrollbarSize,horizontalScrollbarSize:n.horizontalScrollbarSize,scrollByPage:n.scrollByPage,handleMouseWheel:n.handleMouseWheel,mouseWheelScrollSensitivity:s,fastScrollSensitivity:c,scrollPredominantAxis:d};this.scrollbar.updateOptions(f)}return e.hasChanged(146)&&this._setLayout(),!0}onScrollChanged(e){return!0}onThemeChanged(e){return this.scrollbar.updateClassName("editor-scrollable "+JWe(this._context.theme.type)),!0}prepareRender(e){}render(e){this.scrollbar.renderNow()}}class dje{constructor(e,i,n,s,c){this.startLineNumber=e,this.endLineNumber=i,this.className=n,this.tooltip=s,this._decorationToRenderBrand=void 0,this.zIndex=c??0}}class vki{constructor(e,i,n){this.className=e,this.zIndex=i,this.tooltip=n}}class bki{constructor(){this.decorations=[]}add(e){this.decorations.push(e)}getDecorations(){return this.decorations}}class DIt extends oW{_render(e,i,n){const s=[];for(let f=e;f<=i;f++){const m=f-e;s[m]=new bki}if(n.length===0)return s;n.sort((f,m)=>f.className===m.className?f.startLineNumber===m.startLineNumber?f.endLineNumber-m.endLineNumber:f.startLineNumber-m.startLineNumber:f.className<m.className?-1:1);let c=null,d=0;for(let f=0,m=n.length;f<m;f++){const v=n[f],x=v.className,w=v.zIndex;let I=Math.max(v.startLineNumber,e)-e;const P=Math.min(v.endLineNumber,i)-e;c===x?(I=Math.max(d+1,I),d=Math.max(d,P)):(c=x,d=P);for(let O=I;O<=d;O++)s[O].add(new vki(x,w,v.tooltip))}return s}}class Cki extends nS{constructor(e){super(e),this._widgets={},this._context=e;const i=this._context.configuration.options,n=i.get(146);this.domNode=_u(document.createElement("div")),this.domNode.setClassName("glyph-margin-widgets"),this.domNode.setPosition("absolute"),this.domNode.setTop(0),this._lineHeight=i.get(67),this._glyphMargin=i.get(57),this._glyphMarginLeft=n.glyphMarginLeft,this._glyphMarginWidth=n.glyphMarginWidth,this._glyphMarginDecorationLaneCount=n.glyphMarginDecorationLaneCount,this._managedDomNodes=[],this._decorationGlyphsToRender=[]}dispose(){this._managedDomNodes=[],this._decorationGlyphsToRender=[],this._widgets={},super.dispose()}getWidgets(){return Object.values(this._widgets)}onConfigurationChanged(e){const i=this._context.configuration.options,n=i.get(146);return this._lineHeight=i.get(67),this._glyphMargin=i.get(57),this._glyphMarginLeft=n.glyphMarginLeft,this._glyphMarginWidth=n.glyphMarginWidth,this._glyphMarginDecorationLaneCount=n.glyphMarginDecorationLaneCount,!0}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollTopChanged}onZonesChanged(e){return!0}addWidget(e){const i=_u(e.getDomNode());this._widgets[e.getId()]={widget:e,preference:e.getPosition(),domNode:i,renderInfo:null},i.setPosition("absolute"),i.setDisplay("none"),i.setAttribute("widgetId",e.getId()),this.domNode.appendChild(i),this.setShouldRender()}setWidgetPosition(e,i){const n=this._widgets[e.getId()];return n.preference.lane===i.lane&&n.preference.zIndex===i.zIndex&&nt.equalsRange(n.preference.range,i.range)?!1:(n.preference=i,this.setShouldRender(),!0)}removeWidget(e){const i=e.getId();if(this._widgets[i]){const s=this._widgets[i].domNode.domNode;delete this._widgets[i],s.remove(),this.setShouldRender()}}_collectDecorationBasedGlyphRenderRequest(e,i){var d;const n=e.visibleRange.startLineNumber,s=e.visibleRange.endLineNumber,c=e.getDecorationsInViewport();for(const f of c){const m=f.options.glyphMarginClassName;if(!m)continue;const v=Math.max(f.range.startLineNumber,n),x=Math.min(f.range.endLineNumber,s),w=((d=f.options.glyphMargin)==null?void 0:d.position)??CT.Center,I=f.options.zIndex??0;for(let P=v;P<=x;P++){const O=this._context.viewModel.coordinatesConverter.convertViewPositionToModelPosition(new pi(P,0)),z=this._context.viewModel.glyphLanes.getLanesAtLine(O.lineNumber).indexOf(w);i.push(new Ski(P,z,I,m))}}}_collectWidgetBasedGlyphRenderRequest(e,i){const n=e.visibleRange.startLineNumber,s=e.visibleRange.endLineNumber;for(const c of Object.values(this._widgets)){const d=c.preference.range,{startLineNumber:f,endLineNumber:m}=this._context.viewModel.coordinatesConverter.convertModelRangeToViewRange(nt.lift(d));if(!f||!m||m<n||f>s)continue;const v=Math.max(f,n),x=this._context.viewModel.coordinatesConverter.convertViewPositionToModelPosition(new pi(v,0)),w=this._context.viewModel.glyphLanes.getLanesAtLine(x.lineNumber).indexOf(c.preference.lane);i.push(new wki(v,w,c.preference.zIndex,c))}}_collectSortedGlyphRenderRequests(e){const i=[];return this._collectDecorationBasedGlyphRenderRequest(e,i),this._collectWidgetBasedGlyphRenderRequest(e,i),i.sort((n,s)=>n.lineNumber===s.lineNumber?n.laneIndex===s.laneIndex?n.zIndex===s.zIndex?s.type===n.type?n.type===0&&s.type===0?n.className<s.className?-1:1:0:s.type-n.type:s.zIndex-n.zIndex:n.laneIndex-s.laneIndex:n.lineNumber-s.lineNumber),i}prepareRender(e){if(!this._glyphMargin){this._decorationGlyphsToRender=[];return}for(const s of Object.values(this._widgets))s.renderInfo=null;const i=new s6(this._collectSortedGlyphRenderRequests(e)),n=[];for(;i.length>0;){const s=i.peek();if(!s)break;const c=i.takeWhile(f=>f.lineNumber===s.lineNumber&&f.laneIndex===s.laneIndex);if(!c||c.length===0)break;const d=c[0];if(d.type===0){const f=[];for(const m of c){if(m.zIndex!==d.zIndex||m.type!==d.type)break;(f.length===0||f[f.length-1]!==m.className)&&f.push(m.className)}n.push(d.accept(f.join(" ")))}else d.widget.renderInfo={lineNumber:d.lineNumber,laneIndex:d.laneIndex}}this._decorationGlyphsToRender=n}render(e){if(!this._glyphMargin){for(const n of Object.values(this._widgets))n.domNode.setDisplay("none");for(;this._managedDomNodes.length>0;){const n=this._managedDomNodes.pop();n==null||n.domNode.remove()}return}const i=Math.round(this._glyphMarginWidth/this._glyphMarginDecorationLaneCount);for(const n of Object.values(this._widgets))if(!n.renderInfo)n.domNode.setDisplay("none");else{const s=e.viewportData.relativeVerticalOffset[n.renderInfo.lineNumber-e.viewportData.startLineNumber],c=this._glyphMarginLeft+n.renderInfo.laneIndex*this._lineHeight;n.domNode.setDisplay("block"),n.domNode.setTop(s),n.domNode.setLeft(c),n.domNode.setWidth(i),n.domNode.setHeight(this._lineHeight)}for(let n=0;n<this._decorationGlyphsToRender.length;n++){const s=this._decorationGlyphsToRender[n],c=e.viewportData.relativeVerticalOffset[s.lineNumber-e.viewportData.startLineNumber],d=this._glyphMarginLeft+s.laneIndex*this._lineHeight;let f;n<this._managedDomNodes.length?f=this._managedDomNodes[n]:(f=_u(document.createElement("div")),this._managedDomNodes.push(f),this.domNode.appendChild(f)),f.setClassName("cgmr codicon "+s.combinedClassName),f.setPosition("absolute"),f.setTop(c),f.setLeft(d),f.setWidth(i),f.setHeight(this._lineHeight)}for(;this._managedDomNodes.length>this._decorationGlyphsToRender.length;){const n=this._managedDomNodes.pop();n==null||n.domNode.remove()}}}class Ski{constructor(e,i,n,s){this.lineNumber=e,this.laneIndex=i,this.zIndex=n,this.className=s,this.type=0}accept(e){return new xki(this.lineNumber,this.laneIndex,e)}}class wki{constructor(e,i,n,s){this.lineNumber=e,this.laneIndex=i,this.zIndex=n,this.widget=s,this.type=1}}class xki{constructor(e,i,n){this.lineNumber=e,this.laneIndex=i,this.combinedClassName=n}}class kki extends oW{constructor(e){super(),this._context=e,this._primaryPosition=null;const i=this._context.configuration.options,n=i.get(147),s=i.get(50);this._spaceWidth=s.spaceWidth,this._maxIndentLeft=n.wrappingColumn===-1?-1:n.wrappingColumn*s.typicalHalfwidthCharacterWidth,this._bracketPairGuideOptions=i.get(16),this._renderResult=null,this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),this._renderResult=null,super.dispose()}onConfigurationChanged(e){const i=this._context.configuration.options,n=i.get(147),s=i.get(50);return this._spaceWidth=s.spaceWidth,this._maxIndentLeft=n.wrappingColumn===-1?-1:n.wrappingColumn*s.typicalHalfwidthCharacterWidth,this._bracketPairGuideOptions=i.get(16),!0}onCursorStateChanged(e){var s;const n=e.selections[0].getPosition();return(s=this._primaryPosition)!=null&&s.equals(n)?!1:(this._primaryPosition=n,!0)}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollTopChanged}onZonesChanged(e){return!0}onLanguageConfigurationChanged(e){return!0}prepareRender(e){var m,v;if(!this._bracketPairGuideOptions.indentation&&this._bracketPairGuideOptions.bracketPairs===!1){this._renderResult=null;return}const i=e.visibleRange.startLineNumber,n=e.visibleRange.endLineNumber,s=e.scrollWidth,c=this._primaryPosition,d=this.getGuidesByLine(i,Math.min(n+1,this._context.viewModel.getLineCount()),c),f=[];for(let x=i;x<=n;x++){const w=x-i,I=d[w];let P="";const O=((m=e.visibleRangeForPosition(new pi(x,1)))==null?void 0:m.left)??0;for(const z of I){const J=z.column===-1?O+(z.visibleColumn-1)*this._spaceWidth:e.visibleRangeForPosition(new pi(x,z.column)).left;if(J>s||this._maxIndentLeft>0&&J>this._maxIndentLeft)break;const Y=z.horizontalLine?z.horizontalLine.top?"horizontal-top":"horizontal-bottom":"vertical",ae=z.horizontalLine?(((v=e.visibleRangeForPosition(new pi(x,z.horizontalLine.endColumn)))==null?void 0:v.left)??J+this._spaceWidth)-J:this._spaceWidth;P+=`<div class="core-guide ${z.className} ${Y}" style="left:${J}px;width:${ae}px"></div>`}f[w]=P}this._renderResult=f}getGuidesByLine(e,i,n){const s=this._bracketPairGuideOptions.bracketPairs!==!1?this._context.viewModel.getBracketGuidesInRangeByLine(e,i,n,{highlightActive:this._bracketPairGuideOptions.highlightActiveBracketPair,horizontalGuides:this._bracketPairGuideOptions.bracketPairsHorizontal===!0?g9.Enabled:this._bracketPairGuideOptions.bracketPairsHorizontal==="active"?g9.EnabledForActive:g9.Disabled,includeInactive:this._bracketPairGuideOptions.bracketPairs===!0}):null,c=this._bracketPairGuideOptions.indentation?this._context.viewModel.getLinesIndentGuides(e,i):null;let d=0,f=0,m=0;if(this._bracketPairGuideOptions.highlightActiveIndentation!==!1&&n){const w=this._context.viewModel.getActiveIndentGuide(n.lineNumber,e,i);d=w.startLineNumber,f=w.endLineNumber,m=w.indent}const{indentSize:v}=this._context.viewModel.model.getOptions(),x=[];for(let w=e;w<=i;w++){const I=new Array;x.push(I);const P=s?s[w-e]:[],O=new s6(P),z=c?c[w-e]:0;for(let J=1;J<=z;J++){const Y=(J-1)*v+1,ae=(this._bracketPairGuideOptions.highlightActiveIndentation==="always"||P.length===0)&&d<=w&&w<=f&&J===m;I.push(...O.takeWhile(ye=>ye.visibleColumn<Y)||[]);const me=O.peek();(!me||me.visibleColumn!==Y||me.horizontalLine)&&I.push(new s9(Y,-1,`core-guide-indent lvl-${(J-1)%30}`+(ae?" indent-active":""),null,-1,-1))}I.push(...O.takeWhile(J=>!0)||[])}return x}render(e,i){if(!this._renderResult)return"";const n=i-e;return n<0||n>=this._renderResult.length?"":this._renderResult[n]}}function LU(l){if(!(l&&l.isTransparent()))return l}Dk((l,e)=>{const i=[{bracketColor:jDt,guideColor:Uyi,guideColorActive:Xyi},{bracketColor:zDt,guideColor:qyi,guideColorActive:Qyi},{bracketColor:UDt,guideColor:$yi,guideColorActive:Zyi},{bracketColor:qDt,guideColor:Jyi,guideColorActive:Yyi},{bracketColor:$Dt,guideColor:Gyi,guideColorActive:evi},{bracketColor:JDt,guideColor:Kyi,guideColorActive:tvi}],n=new rEt,s=[{indentColor:Nse,indentColorActive:Lse},{indentColor:wyi,indentColorActive:Eyi},{indentColor:xyi,indentColorActive:Iyi},{indentColor:kyi,indentColorActive:Nyi},{indentColor:Tyi,indentColorActive:Lyi},{indentColor:Dyi,indentColorActive:Pyi}],c=i.map(f=>{const m=l.getColor(f.bracketColor),v=l.getColor(f.guideColor),x=l.getColor(f.guideColorActive),w=LU(LU(v)??(m==null?void 0:m.transparent(.3))),I=LU(LU(x)??m);if(!(!w||!I))return{guideColor:w,guideColorActive:I}}).filter(xL),d=s.map(f=>{const m=l.getColor(f.indentColor),v=l.getColor(f.indentColorActive),x=LU(m),w=LU(v);if(!(!x||!w))return{indentColor:x,indentColorActive:w}}).filter(xL);if(c.length>0){for(let f=0;f<30;f++){const m=c[f%c.length];e.addRule(`.monaco-editor .${n.getInlineClassNameOfLevel(f).replace(/ /g,".")} { --guide-color: ${m.guideColor}; --guide-color-active: ${m.guideColorActive}; }`)}e.addRule(".monaco-editor .vertical { box-shadow: 1px 0 0 0 var(--guide-color) inset; }"),e.addRule(".monaco-editor .horizontal-top { border-top: 1px solid var(--guide-color); }"),e.addRule(".monaco-editor .horizontal-bottom { border-bottom: 1px solid var(--guide-color); }"),e.addRule(`.monaco-editor .vertical.${n.activeClassName} { box-shadow: 1px 0 0 0 var(--guide-color-active) inset; }`),e.addRule(`.monaco-editor .horizontal-top.${n.activeClassName} { border-top: 1px solid var(--guide-color-active); }`),e.addRule(`.monaco-editor .horizontal-bottom.${n.activeClassName} { border-bottom: 1px solid var(--guide-color-active); }`)}if(d.length>0){for(let f=0;f<30;f++){const m=d[f%d.length];e.addRule(`.monaco-editor .lines-content .core-guide-indent.lvl-${f} { --indent-color: ${m.indentColor}; --indent-color-active: ${m.indentColorActive}; }`)}e.addRule(".monaco-editor .lines-content .core-guide-indent { box-shadow: 1px 0 0 0 var(--indent-color) inset; }"),e.addRule(".monaco-editor .lines-content .core-guide-indent.indent-active { box-shadow: 1px 0 0 0 var(--indent-color-active) inset; }")}});class p9e{get didDomLayout(){return this._didDomLayout}readClientRect(){if(!this._clientRectRead){this._clientRectRead=!0;const e=this._domNode.getBoundingClientRect();this.markDidDomLayout(),this._clientRectDeltaLeft=e.left,this._clientRectScale=e.width/this._domNode.offsetWidth}}get clientRectDeltaLeft(){return this._clientRectRead||this.readClientRect(),this._clientRectDeltaLeft}get clientRectScale(){return this._clientRectRead||this.readClientRect(),this._clientRectScale}constructor(e,i){this._domNode=e,this.endNode=i,this._didDomLayout=!1,this._clientRectDeltaLeft=0,this._clientRectScale=1,this._clientRectRead=!1}markDidDomLayout(){this._didDomLayout=!0}}class Tki{constructor(){this._currentVisibleRange=new nt(1,1,1,1)}getCurrentVisibleRange(){return this._currentVisibleRange}setCurrentVisibleRange(e){this._currentVisibleRange=e}}class Dki{constructor(e,i,n,s,c,d,f){this.minimalReveal=e,this.lineNumber=i,this.startColumn=n,this.endColumn=s,this.startScrollTop=c,this.stopScrollTop=d,this.scrollType=f,this.type="range",this.minLineNumber=i,this.maxLineNumber=i}}class Eki{constructor(e,i,n,s,c){this.minimalReveal=e,this.selections=i,this.startScrollTop=n,this.stopScrollTop=s,this.scrollType=c,this.type="selections";let d=i[0].startLineNumber,f=i[0].endLineNumber;for(let m=1,v=i.length;m<v;m++){const x=i[m];d=Math.min(d,x.startLineNumber),f=Math.max(f,x.endLineNumber)}this.minLineNumber=d,this.maxLineNumber=f}}const DCe=class DCe extends nS{constructor(e,i){super(e);const n=this._context.configuration,s=this._context.configuration.options,c=s.get(50),d=s.get(147);this._lineHeight=s.get(67),this._typicalHalfwidthCharacterWidth=c.typicalHalfwidthCharacterWidth,this._isViewportWrapping=d.isViewportWrapping,this._revealHorizontalRightPadding=s.get(101),this._cursorSurroundingLines=s.get(29),this._cursorSurroundingLinesStyle=s.get(30),this._canUseLayerHinting=!s.get(32),this._viewLineOptions=new SSt(n,this._context.theme.type),this._linesContent=i,this._textRangeRestingSpot=document.createElement("div"),this._visibleLines=new xIt({createLine:()=>new R4(this._viewLineOptions)}),this.domNode=this._visibleLines.domNode,JE.write(this.domNode,8),this.domNode.setClassName(`view-lines ${n$}`),a0(this.domNode,c),this._maxLineWidth=0,this._asyncUpdateLineWidths=new qu(()=>{this._updateLineWidthsSlow()},200),this._asyncCheckMonospaceFontAssumptions=new qu(()=>{this._checkMonospaceFontAssumptions()},2e3),this._lastRenderedData=new Tki,this._horizontalRevealRequest=null,this._stickyScrollEnabled=s.get(116).enabled,this._maxNumberStickyLines=s.get(116).maxLineCount}dispose(){this._asyncUpdateLineWidths.dispose(),this._asyncCheckMonospaceFontAssumptions.dispose(),super.dispose()}getDomNode(){return this.domNode}onConfigurationChanged(e){this._visibleLines.onConfigurationChanged(e),e.hasChanged(147)&&(this._maxLineWidth=0);const i=this._context.configuration.options,n=i.get(50),s=i.get(147);return this._lineHeight=i.get(67),this._typicalHalfwidthCharacterWidth=n.typicalHalfwidthCharacterWidth,this._isViewportWrapping=s.isViewportWrapping,this._revealHorizontalRightPadding=i.get(101),this._cursorSurroundingLines=i.get(29),this._cursorSurroundingLinesStyle=i.get(30),this._canUseLayerHinting=!i.get(32),this._stickyScrollEnabled=i.get(116).enabled,this._maxNumberStickyLines=i.get(116).maxLineCount,a0(this.domNode,n),this._onOptionsMaybeChanged(),e.hasChanged(146)&&(this._maxLineWidth=0),!0}_onOptionsMaybeChanged(){const e=this._context.configuration,i=new SSt(e,this._context.theme.type);if(!this._viewLineOptions.equals(i)){this._viewLineOptions=i;const n=this._visibleLines.getStartLineNumber(),s=this._visibleLines.getEndLineNumber();for(let c=n;c<=s;c++)this._visibleLines.getVisibleLine(c).onOptionsChanged(this._viewLineOptions);return!0}return!1}onCursorStateChanged(e){const i=this._visibleLines.getStartLineNumber(),n=this._visibleLines.getEndLineNumber();let s=!1;for(let c=i;c<=n;c++)s=this._visibleLines.getVisibleLine(c).onSelectionChanged()||s;return s}onDecorationsChanged(e){{const i=this._visibleLines.getStartLineNumber(),n=this._visibleLines.getEndLineNumber();for(let s=i;s<=n;s++)this._visibleLines.getVisibleLine(s).onDecorationsChanged()}return!0}onFlushed(e){const i=this._visibleLines.onFlushed(e);return this._maxLineWidth=0,i}onLinesChanged(e){return this._visibleLines.onLinesChanged(e)}onLinesDeleted(e){return this._visibleLines.onLinesDeleted(e)}onLinesInserted(e){return this._visibleLines.onLinesInserted(e)}onRevealRangeRequest(e){const i=this._computeScrollTopToRevealRange(this._context.viewLayout.getFutureViewport(),e.source,e.minimalReveal,e.range,e.selections,e.verticalType);if(i===-1)return!1;let n=this._context.viewLayout.validateScrollPosition({scrollTop:i});e.revealHorizontal?e.range&&e.range.startLineNumber!==e.range.endLineNumber?n={scrollTop:n.scrollTop,scrollLeft:0}:e.range?this._horizontalRevealRequest=new Dki(e.minimalReveal,e.range.startLineNumber,e.range.startColumn,e.range.endColumn,this._context.viewLayout.getCurrentScrollTop(),n.scrollTop,e.scrollType):e.selections&&e.selections.length>0&&(this._horizontalRevealRequest=new Eki(e.minimalReveal,e.selections,this._context.viewLayout.getCurrentScrollTop(),n.scrollTop,e.scrollType)):this._horizontalRevealRequest=null;const c=Math.abs(this._context.viewLayout.getCurrentScrollTop()-n.scrollTop)<=this._lineHeight?1:e.scrollType;return this._context.viewModel.viewLayout.setScrollPosition(n,c),!0}onScrollChanged(e){if(this._horizontalRevealRequest&&e.scrollLeftChanged&&(this._horizontalRevealRequest=null),this._horizontalRevealRequest&&e.scrollTopChanged){const i=Math.min(this._horizontalRevealRequest.startScrollTop,this._horizontalRevealRequest.stopScrollTop),n=Math.max(this._horizontalRevealRequest.startScrollTop,this._horizontalRevealRequest.stopScrollTop);(e.scrollTop<i||e.scrollTop>n)&&(this._horizontalRevealRequest=null)}return this.domNode.setWidth(e.scrollWidth),this._visibleLines.onScrollChanged(e)||!0}onTokensChanged(e){return this._visibleLines.onTokensChanged(e)}onZonesChanged(e){return this._context.viewModel.viewLayout.setMaxLineWidth(this._maxLineWidth),this._visibleLines.onZonesChanged(e)}onThemeChanged(e){return this._onOptionsMaybeChanged()}getPositionFromDOMInfo(e,i){const n=this._getViewLineDomNode(e);if(n===null)return null;const s=this._getLineNumberFor(n);if(s===-1||s<1||s>this._context.viewModel.getLineCount())return null;if(this._context.viewModel.getLineMaxColumn(s)===1)return new pi(s,1);const c=this._visibleLines.getStartLineNumber(),d=this._visibleLines.getEndLineNumber();if(s<c||s>d)return null;let f=this._visibleLines.getVisibleLine(s).getColumnOfNodeOffset(e,i);const m=this._context.viewModel.getLineMinColumn(s);return f<m&&(f=m),new pi(s,f)}_getViewLineDomNode(e){for(;e&&e.nodeType===1;){if(e.className===R4.CLASS_NAME)return e;e=e.parentElement}return null}_getLineNumberFor(e){const i=this._visibleLines.getStartLineNumber(),n=this._visibleLines.getEndLineNumber();for(let s=i;s<=n;s++){const c=this._visibleLines.getVisibleLine(s);if(e===c.getDomNode())return s}return-1}getLineWidth(e){const i=this._visibleLines.getStartLineNumber(),n=this._visibleLines.getEndLineNumber();if(e<i||e>n)return-1;const s=new p9e(this.domNode.domNode,this._textRangeRestingSpot),c=this._visibleLines.getVisibleLine(e).getWidth(s);return this._updateLineWidthsSlowIfDomDidLayout(s),c}linesVisibleRangesForRange(e,i){if(this.shouldRender())return null;const n=e.endLineNumber,s=nt.intersectRanges(e,this._lastRenderedData.getCurrentVisibleRange());if(!s)return null;const c=[];let d=0;const f=new p9e(this.domNode.domNode,this._textRangeRestingSpot);let m=0;i&&(m=this._context.viewModel.coordinatesConverter.convertViewPositionToModelPosition(new pi(s.startLineNumber,1)).lineNumber);const v=this._visibleLines.getStartLineNumber(),x=this._visibleLines.getEndLineNumber();for(let w=s.startLineNumber;w<=s.endLineNumber;w++){if(w<v||w>x)continue;const I=w===s.startLineNumber?s.startColumn:1,P=w!==s.endLineNumber,O=P?this._context.viewModel.getLineMaxColumn(w):s.endColumn,z=this._visibleLines.getVisibleLine(w).getVisibleRangesForRange(w,I,O,f);if(z){if(i&&w<n){const J=m;m=this._context.viewModel.coordinatesConverter.convertViewPositionToModelPosition(new pi(w+1,1)).lineNumber,J!==m&&(z.ranges[z.ranges.length-1].width+=this._typicalHalfwidthCharacterWidth)}c[d++]=new fxi(z.outsideRenderedLine,w,Pwe.from(z.ranges),P)}}return this._updateLineWidthsSlowIfDomDidLayout(f),d===0?null:c}_visibleRangesForLineRange(e,i,n){if(this.shouldRender()||e<this._visibleLines.getStartLineNumber()||e>this._visibleLines.getEndLineNumber())return null;const s=new p9e(this.domNode.domNode,this._textRangeRestingSpot),c=this._visibleLines.getVisibleLine(e).getVisibleRangesForRange(e,i,n,s);return this._updateLineWidthsSlowIfDomDidLayout(s),c}visibleRangeForPosition(e){const i=this._visibleRangesForLineRange(e.lineNumber,e.column,e.column);return i?new hxi(i.outsideRenderedLine,i.ranges[0].left):null}_updateLineWidthsFast(){return this._updateLineWidths(!0)}_updateLineWidthsSlow(){this._updateLineWidths(!1)}_updateLineWidthsSlowIfDomDidLayout(e){e.didDomLayout&&(this._asyncUpdateLineWidths.isScheduled()||(this._asyncUpdateLineWidths.cancel(),this._updateLineWidthsSlow()))}_updateLineWidths(e){const i=this._visibleLines.getStartLineNumber(),n=this._visibleLines.getEndLineNumber();let s=1,c=!0;for(let d=i;d<=n;d++){const f=this._visibleLines.getVisibleLine(d);if(e&&!f.getWidthIsFast()){c=!1;continue}s=Math.max(s,f.getWidth(null))}return c&&i===1&&n===this._context.viewModel.getLineCount()&&(this._maxLineWidth=0),this._ensureMaxLineWidth(s),c}_checkMonospaceFontAssumptions(){let e=-1,i=-1;const n=this._visibleLines.getStartLineNumber(),s=this._visibleLines.getEndLineNumber();for(let c=n;c<=s;c++){const d=this._visibleLines.getVisibleLine(c);if(d.needsMonospaceFontCheck()){const f=d.getWidth(null);f>i&&(i=f,e=c)}}if(e!==-1&&!this._visibleLines.getVisibleLine(e).monospaceAssumptionsAreValid())for(let c=n;c<=s;c++)this._visibleLines.getVisibleLine(c).onMonospaceAssumptionsInvalidated()}prepareRender(){throw new Error("Not supported")}render(){throw new Error("Not supported")}renderText(e){if(this._visibleLines.renderLines(e),this._lastRenderedData.setCurrentVisibleRange(e.visibleRange),this.domNode.setWidth(this._context.viewLayout.getScrollWidth()),this.domNode.setHeight(Math.min(this._context.viewLayout.getScrollHeight(),1e6)),this._horizontalRevealRequest){const n=this._horizontalRevealRequest;if(e.startLineNumber<=n.minLineNumber&&n.maxLineNumber<=e.endLineNumber){this._horizontalRevealRequest=null,this.onDidRender();const s=this._computeScrollLeftToReveal(n);s&&(this._isViewportWrapping||this._ensureMaxLineWidth(s.maxHorizontalOffset),this._context.viewModel.viewLayout.setScrollPosition({scrollLeft:s.scrollLeft},n.scrollType))}}if(this._updateLineWidthsFast()?this._asyncUpdateLineWidths.cancel():this._asyncUpdateLineWidths.schedule(),Cv&&!this._asyncCheckMonospaceFontAssumptions.isScheduled()){const n=this._visibleLines.getStartLineNumber(),s=this._visibleLines.getEndLineNumber();for(let c=n;c<=s;c++)if(this._visibleLines.getVisibleLine(c).needsMonospaceFontCheck()){this._asyncCheckMonospaceFontAssumptions.schedule();break}}this._linesContent.setLayerHinting(this._canUseLayerHinting),this._linesContent.setContain("strict");const i=this._context.viewLayout.getCurrentScrollTop()-e.bigNumbersDelta;this._linesContent.setTop(-i),this._linesContent.setLeft(-this._context.viewLayout.getCurrentScrollLeft())}_ensureMaxLineWidth(e){const i=Math.ceil(e);this._maxLineWidth<i&&(this._maxLineWidth=i,this._context.viewModel.viewLayout.setMaxLineWidth(this._maxLineWidth))}_computeScrollTopToRevealRange(e,i,n,s,c,d){const f=e.top,m=e.height,v=f+m;let x,w,I;if(c&&c.length>0){let Y=c[0].startLineNumber,ae=c[0].endLineNumber;for(let me=1,ye=c.length;me<ye;me++){const Ce=c[me];Y=Math.min(Y,Ce.startLineNumber),ae=Math.max(ae,Ce.endLineNumber)}x=!1,w=this._context.viewLayout.getVerticalOffsetForLineNumber(Y),I=this._context.viewLayout.getVerticalOffsetForLineNumber(ae)+this._lineHeight}else if(s)x=!0,w=this._context.viewLayout.getVerticalOffsetForLineNumber(s.startLineNumber),I=this._context.viewLayout.getVerticalOffsetForLineNumber(s.endLineNumber)+this._lineHeight;else return-1;const P=(i==="mouse"||n)&&this._cursorSurroundingLinesStyle==="default";let O=0,z=0;if(P)n||(O=this._lineHeight);else{const Y=m/this._lineHeight,ae=Math.max(this._cursorSurroundingLines,this._stickyScrollEnabled?this._maxNumberStickyLines:0),me=Math.min(Y/2,ae);O=me*this._lineHeight,z=Math.max(0,me-1)*this._lineHeight}n||(d===0||d===4)&&(z+=this._lineHeight),w-=O,I+=z;let J;if(I-w>m){if(!x)return-1;J=w}else if(d===5||d===6)if(d===6&&f<=w&&I<=v)J=f;else{const Y=Math.max(5*this._lineHeight,m*.2),ae=w-Y,me=I-m;J=Math.max(me,ae)}else if(d===1||d===2)if(d===2&&f<=w&&I<=v)J=f;else{const Y=(w+I)/2;J=Math.max(0,Y-m/2)}else J=this._computeMinimumScrolling(f,v,w,I,d===3,d===4);return J}_computeScrollLeftToReveal(e){const i=this._context.viewLayout.getCurrentViewport(),n=this._context.configuration.options.get(146),s=i.left,c=s+i.width-n.verticalScrollbarWidth;let d=1073741824,f=0;if(e.type==="range"){const v=this._visibleRangesForLineRange(e.lineNumber,e.startColumn,e.endColumn);if(!v)return null;for(const x of v.ranges)d=Math.min(d,Math.round(x.left)),f=Math.max(f,Math.round(x.left+x.width))}else for(const v of e.selections){if(v.startLineNumber!==v.endLineNumber)return null;const x=this._visibleRangesForLineRange(v.startLineNumber,v.startColumn,v.endColumn);if(!x)return null;for(const w of x.ranges)d=Math.min(d,Math.round(w.left)),f=Math.max(f,Math.round(w.left+w.width))}return e.minimalReveal||(d=Math.max(0,d-DCe.HORIZONTAL_EXTRA_PX),f+=this._revealHorizontalRightPadding),e.type==="selections"&&f-d>i.width?null:{scrollLeft:this._computeMinimumScrolling(s,c,d,f),maxHorizontalOffset:f}}_computeMinimumScrolling(e,i,n,s,c,d){e=e|0,i=i|0,n=n|0,s=s|0,c=!!c,d=!!d;const f=i-e;if(s-n<f){if(c)return n;if(d)return Math.max(0,s-f);if(n<e)return n;if(s>i)return Math.max(0,s-f)}else return n;return e}};DCe.HORIZONTAL_EXTRA_PX=30;let fje=DCe;class Iki extends DIt{constructor(e){super(),this._context=e;const n=this._context.configuration.options.get(146);this._decorationsLeft=n.decorationsLeft,this._decorationsWidth=n.decorationsWidth,this._renderResult=null,this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),this._renderResult=null,super.dispose()}onConfigurationChanged(e){const n=this._context.configuration.options.get(146);return this._decorationsLeft=n.decorationsLeft,this._decorationsWidth=n.decorationsWidth,!0}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollTopChanged}onZonesChanged(e){return!0}_getDecorations(e){const i=e.getDecorationsInViewport(),n=[];let s=0;for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.options.linesDecorationsClassName,v=f.options.zIndex;m&&(n[s++]=new dje(f.range.startLineNumber,f.range.endLineNumber,m,f.options.linesDecorationsTooltip??null,v));const x=f.options.firstLineDecorationClassName;x&&(n[s++]=new dje(f.range.startLineNumber,f.range.startLineNumber,x,f.options.linesDecorationsTooltip??null,v))}return n}prepareRender(e){const i=e.visibleRange.startLineNumber,n=e.visibleRange.endLineNumber,s=this._render(i,n,this._getDecorations(e)),c=this._decorationsLeft.toString(),d=this._decorationsWidth.toString(),f='" style="left:'+c+"px;width:"+d+'px;"></div>',m=[];for(let v=i;v<=n;v++){const x=v-i,w=s[x].getDecorations();let I="";for(const P of w){let O='<div class="cldr '+P.className;P.tooltip!==null&&(O+='" title="'+P.tooltip),O+=f,I+=O}m[x]=I}this._renderResult=m}render(e,i){return this._renderResult?this._renderResult[i-e]:""}}class Nki extends DIt{constructor(e){super(),this._context=e,this._renderResult=null,this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),this._renderResult=null,super.dispose()}onConfigurationChanged(e){return!0}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollTopChanged}onZonesChanged(e){return!0}_getDecorations(e){const i=e.getDecorationsInViewport(),n=[];let s=0;for(let c=0,d=i.length;c<d;c++){const f=i[c],m=f.options.marginClassName,v=f.options.zIndex;m&&(n[s++]=new dje(f.range.startLineNumber,f.range.endLineNumber,m,null,v))}return n}prepareRender(e){const i=e.visibleRange.startLineNumber,n=e.visibleRange.endLineNumber,s=this._render(i,n,this._getDecorations(e)),c=[];for(let d=i;d<=n;d++){const f=d-i,m=s[f].getDecorations();let v="";for(const x of m)v+='<div class="cmdr '+x.className+'" style=""></div>';c[f]=v}this._renderResult=c}render(e,i){return this._renderResult?this._renderResult[i-e]:""}}const gM=class gM{constructor(e,i,n,s){this._rgba8Brand=void 0,this.r=gM._clamp(e),this.g=gM._clamp(i),this.b=gM._clamp(n),this.a=gM._clamp(s)}equals(e){return this.r===e.r&&this.g===e.g&&this.b===e.b&&this.a===e.a}static _clamp(e){return e<0?0:e>255?255:e|0}};gM.Empty=new gM(0,0,0,0);let t6=gM;const ECe=class ECe extends xi{static getInstance(){return this._INSTANCE||(this._INSTANCE=new ECe),this._INSTANCE}constructor(){super(),this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this._updateColorMap(),this._register(Oh.onDidChange(e=>{e.changedColorMap&&this._updateColorMap()}))}_updateColorMap(){const e=Oh.getColorMap();if(!e){this._colors=[t6.Empty],this._backgroundIsLight=!0;return}this._colors=[t6.Empty];for(let n=1;n<e.length;n++){const s=e[n].rgba;this._colors[n]=new t6(s.r,s.g,s.b,Math.round(s.a*255))}const i=e[2].getRelativeLuminance();this._backgroundIsLight=i>=.5,this._onDidChange.fire(void 0)}getColor(e){return(e<1||e>=this._colors.length)&&(e=2),this._colors[e]}backgroundIsLight(){return this._backgroundIsLight}};ECe._INSTANCE=null;let Eve=ECe;const Lki=(()=>{const l=[];for(let e=32;e<=126;e++)l.push(e);return l.push(65533),l})(),Pki=(l,e)=>(l-=32,l<0||l>96?e<=2?(l+96)%96:95:l);class kre{constructor(e,i){this.scale=i,this._minimapCharRendererBrand=void 0,this.charDataNormal=kre.soften(e,12/15),this.charDataLight=kre.soften(e,50/60)}static soften(e,i){const n=new Uint8ClampedArray(e.length);for(let s=0,c=e.length;s<c;s++)n[s]=_ye(e[s]*i);return n}renderChar(e,i,n,s,c,d,f,m,v,x,w){const I=1*this.scale,P=2*this.scale,O=w?1:P;if(i+I>e.width||n+O>e.height){console.warn("bad render request outside image data");return}const z=x?this.charDataLight:this.charDataNormal,J=Pki(s,v),Y=e.width*4,ae=f.r,me=f.g,ye=f.b,Ce=c.r-ae,Fe=c.g-me,rt=c.b-ye,ct=Math.max(d,m),Mt=e.data;let Yt=J*I*P,Bi=n*Y+i*4;for(let $i=0;$i<O;$i++){let $t=Bi;for(let Hi=0;Hi<I;Hi++){const An=z[Yt++]/255*(d/255);Mt[$t++]=ae+Ce*An,Mt[$t++]=me+Fe*An,Mt[$t++]=ye+rt*An,Mt[$t++]=ct}Bi+=Y}}blockRenderChar(e,i,n,s,c,d,f,m){const v=1*this.scale,x=2*this.scale,w=m?1:x;if(i+v>e.width||n+w>e.height){console.warn("bad render request outside image data");return}const I=e.width*4,P=.5*(c/255),O=d.r,z=d.g,J=d.b,Y=s.r-O,ae=s.g-z,me=s.b-J,ye=O+Y*P,Ce=z+ae*P,Fe=J+me*P,rt=Math.max(c,f),ct=e.data;let Mt=n*I+i*4;for(let Yt=0;Yt<w;Yt++){let Bi=Mt;for(let $i=0;$i<v;$i++)ct[Bi++]=ye,ct[Bi++]=Ce,ct[Bi++]=Fe,ct[Bi++]=rt;Mt+=I}}}const LSt={0:0,1:1,2:2,3:3,4:4,5:5,6:6,7:7,8:8,9:9,A:10,B:11,C:12,D:13,E:14,F:15},PSt=l=>{const e=new Uint8ClampedArray(l.length/2);for(let i=0;i<l.length;i+=2)e[i>>1]=LSt[l[i]]<<4|LSt[l[i+1]]&15;return e},ASt={1:gR(()=>PSt("0000511D6300CF609C709645A78432005642574171487021003C451900274D35D762755E8B629C5BA856AF57BA649530C167D1512A272A3F6038604460398526BCA2A968DB6F8957C768BE5FBE2FB467CF5D8D5B795DC7625B5DFF50DE64C466DB2FC47CD860A65E9A2EB96CB54CE06DA763AB2EA26860524D3763536601005116008177A8705E53AB738E6A982F88BAA35B5F5B626D9C636B449B737E5B7B678598869A662F6B5B8542706C704C80736A607578685B70594A49715A4522E792")),2:gR(()=>PSt("000000000000000055394F383D2800008B8B1F210002000081B1CBCBCC820000847AAF6B9AAF2119BE08B8881AD60000A44FD07DCCF107015338130C00000000385972265F390B406E2437634B4B48031B12B8A0847000001E15B29A402F0000000000004B33460B00007A752C2A0000000000004D3900000084394B82013400ABA5CFC7AD9C0302A45A3E5A98AB000089A43382D97900008BA54AA087A70A0248A6A7AE6DBE0000BF6F94987EA40A01A06DCFA7A7A9030496C32F77891D0000A99FB1A0AFA80603B29AB9CA75930D010C0948354D3900000C0948354F37460D0028BE673D8400000000AF9D7B6E00002B007AA8933400007AA642675C2700007984CFB9C3985B768772A8A6B7B20000CAAECAAFC4B700009F94A6009F840009D09F9BA4CA9C0000CC8FC76DC87F0000C991C472A2000000A894A48CA7B501079BA2C9C69BA20000B19A5D3FA89000005CA6009DA2960901B0A7F0669FB200009D009E00B7890000DAD0F5D092820000D294D4C48BD10000B5A7A4A3B1A50402CAB6CBA6A2000000B5A7A4A3B1A8044FCDADD19D9CB00000B7778F7B8AAE0803C9AB5D3F5D3F00009EA09EA0BAB006039EA0989A8C7900009B9EF4D6B7C00000A9A7816CACA80000ABAC84705D3F000096DA635CDC8C00006F486F266F263D4784006124097B00374F6D2D6D2D6D4A3A95872322000000030000000000008D8939130000000000002E22A5C9CBC70600AB25C0B5C9B400061A2DB04CA67001082AA6BEBEBFC606002321DACBC19E03087AA08B6768380000282FBAC0B8CA7A88AD25BBA5A29900004C396C5894A6000040485A6E356E9442A32CD17EADA70000B4237923628600003E2DE9C1D7B500002F25BBA5A2990000231DB6AFB4A804023025C0B5CAB588062B2CBDBEC0C706882435A75CA20000002326BD6A82A908048B4B9A5A668000002423A09CB4BB060025259C9D8A7900001C1FCAB2C7C700002A2A9387ABA200002626A4A47D6E9D14333163A0C87500004B6F9C2D643A257049364936493647358A34438355497F1A0000A24C1D590000D38DFFBDD4CD3126"))};class Uie{static create(e,i){if(this.lastCreated&&e===this.lastCreated.scale&&i===this.lastFontFamily)return this.lastCreated;let n;return ASt[e]?n=new kre(ASt[e](),e):n=Uie.createFromSampleData(Uie.createSampleData(i).data,e),this.lastFontFamily=i,this.lastCreated=n,n}static createSampleData(e){const i=document.createElement("canvas"),n=i.getContext("2d");i.style.height="16px",i.height=16,i.width=96*10,i.style.width=96*10+"px",n.fillStyle="#ffffff",n.font=`bold 16px ${e}`,n.textBaseline="middle";let s=0;for(const c of Lki)n.fillText(String.fromCharCode(c),s,16/2),s+=10;return n.getImageData(0,0,96*10,16)}static createFromSampleData(e,i){if(e.length!==61440)throw new Error("Unexpected source in MinimapCharRenderer");const s=Uie._downsample(e,i);return new kre(s,i)}static _downsampleChar(e,i,n,s,c){const d=1*c,f=2*c;let m=s,v=0;for(let x=0;x<f;x++){const w=x/f*16,I=(x+1)/f*16;for(let P=0;P<d;P++){const O=P/d*10,z=(P+1)/d*10;let J=0,Y=0;for(let me=w;me<I;me++){const ye=i+Math.floor(me)*3840,Ce=1-(me-Math.floor(me));for(let Fe=O;Fe<z;Fe++){const rt=1-(Fe-Math.floor(Fe)),ct=ye+Math.floor(Fe)*4,Mt=rt*Ce;Y+=Mt,J+=e[ct]*e[ct+3]/255*Mt}}const ae=J/Y;v=Math.max(v,ae),n[m++]=_ye(ae)}}return v}static _downsample(e,i){const n=2*i*1*i,s=n*96,c=new Uint8ClampedArray(s);let d=0,f=0,m=0;for(let v=0;v<96;v++)m=Math.max(m,this._downsampleChar(e,f,c,d,i)),d+=n,f+=10*4;if(m>0){const v=255/m;for(let x=0;x<s;x++)c[x]*=v}return c}}const EIt=I0?'"Segoe WPC", "Segoe UI", sans-serif':Hc?"-apple-system, BlinkMacSystemFont, sans-serif":'system-ui, "Ubuntu", "Droid Sans", sans-serif',Aki=140,Oki=2;class o${constructor(e,i,n){const s=e.options,c=s.get(144),d=s.get(146),f=d.minimap,m=s.get(50),v=s.get(73);this.renderMinimap=f.renderMinimap,this.size=v.size,this.minimapHeightIsEditorHeight=f.minimapHeightIsEditorHeight,this.scrollBeyondLastLine=s.get(106),this.paddingTop=s.get(84).top,this.paddingBottom=s.get(84).bottom,this.showSlider=v.showSlider,this.autohide=v.autohide,this.pixelRatio=c,this.typicalHalfwidthCharacterWidth=m.typicalHalfwidthCharacterWidth,this.lineHeight=s.get(67),this.minimapLeft=f.minimapLeft,this.minimapWidth=f.minimapWidth,this.minimapHeight=d.height,this.canvasInnerWidth=f.minimapCanvasInnerWidth,this.canvasInnerHeight=f.minimapCanvasInnerHeight,this.canvasOuterWidth=f.minimapCanvasOuterWidth,this.canvasOuterHeight=f.minimapCanvasOuterHeight,this.isSampling=f.minimapIsSampling,this.editorHeight=d.height,this.fontScale=f.minimapScale,this.minimapLineHeight=f.minimapLineHeight,this.minimapCharWidth=1*this.fontScale,this.sectionHeaderFontFamily=EIt,this.sectionHeaderFontSize=v.sectionHeaderFontSize*c,this.sectionHeaderLetterSpacing=v.sectionHeaderLetterSpacing,this.sectionHeaderFontColor=o$._getSectionHeaderColor(i,n.getColor(1)),this.charRenderer=gR(()=>Uie.create(this.fontScale,m.fontFamily)),this.defaultBackgroundColor=n.getColor(2),this.backgroundColor=o$._getMinimapBackground(i,this.defaultBackgroundColor),this.foregroundAlpha=o$._getMinimapForegroundOpacity(i)}static _getMinimapBackground(e,i){const n=e.getColor(kpi);return n?new t6(n.rgba.r,n.rgba.g,n.rgba.b,Math.round(255*n.rgba.a)):i}static _getMinimapForegroundOpacity(e){const i=e.getColor(Tpi);return i?t6._clamp(Math.round(255*i.rgba.a)):255}static _getSectionHeaderColor(e,i){const n=e.getColor(HL);return n?new t6(n.rgba.r,n.rgba.g,n.rgba.b,Math.round(255*n.rgba.a)):i}equals(e){return this.renderMinimap===e.renderMinimap&&this.size===e.size&&this.minimapHeightIsEditorHeight===e.minimapHeightIsEditorHeight&&this.scrollBeyondLastLine===e.scrollBeyondLastLine&&this.paddingTop===e.paddingTop&&this.paddingBottom===e.paddingBottom&&this.showSlider===e.showSlider&&this.autohide===e.autohide&&this.pixelRatio===e.pixelRatio&&this.typicalHalfwidthCharacterWidth===e.typicalHalfwidthCharacterWidth&&this.lineHeight===e.lineHeight&&this.minimapLeft===e.minimapLeft&&this.minimapWidth===e.minimapWidth&&this.minimapHeight===e.minimapHeight&&this.canvasInnerWidth===e.canvasInnerWidth&&this.canvasInnerHeight===e.canvasInnerHeight&&this.canvasOuterWidth===e.canvasOuterWidth&&this.canvasOuterHeight===e.canvasOuterHeight&&this.isSampling===e.isSampling&&this.editorHeight===e.editorHeight&&this.fontScale===e.fontScale&&this.minimapLineHeight===e.minimapLineHeight&&this.minimapCharWidth===e.minimapCharWidth&&this.sectionHeaderFontSize===e.sectionHeaderFontSize&&this.sectionHeaderLetterSpacing===e.sectionHeaderLetterSpacing&&this.defaultBackgroundColor&&this.defaultBackgroundColor.equals(e.defaultBackgroundColor)&&this.backgroundColor&&this.backgroundColor.equals(e.backgroundColor)&&this.foregroundAlpha===e.foregroundAlpha}}class qie{constructor(e,i,n,s,c,d,f,m,v){this.scrollTop=e,this.scrollHeight=i,this.sliderNeeded=n,this._computedSliderRatio=s,this.sliderTop=c,this.sliderHeight=d,this.topPaddingLineCount=f,this.startLineNumber=m,this.endLineNumber=v}getDesiredScrollTopFromDelta(e){return Math.round(this.scrollTop+e/this._computedSliderRatio)}getDesiredScrollTopFromTouchLocation(e){return Math.round((e-this.sliderHeight/2)/this._computedSliderRatio)}intersectWithViewport(e){const i=Math.max(this.startLineNumber,e.startLineNumber),n=Math.min(this.endLineNumber,e.endLineNumber);return i>n?null:[i,n]}getYForLineNumber(e,i){return+(e-this.startLineNumber+this.topPaddingLineCount)*i}static create(e,i,n,s,c,d,f,m,v,x,w){const I=e.pixelRatio,P=e.minimapLineHeight,O=Math.floor(e.canvasInnerHeight/P),z=e.lineHeight;if(e.minimapHeightIsEditorHeight){let Fe=m*e.lineHeight+e.paddingTop+e.paddingBottom;e.scrollBeyondLastLine&&(Fe+=Math.max(0,c-e.lineHeight-e.paddingBottom));const rt=Math.max(1,Math.floor(c*c/Fe)),ct=Math.max(0,e.minimapHeight-rt),Mt=ct/(x-c),Yt=v*Mt,Bi=ct>0,$i=Math.floor(e.canvasInnerHeight/e.minimapLineHeight),$t=Math.floor(e.paddingTop/e.lineHeight);return new qie(v,x,Bi,Mt,Yt,rt,$t,1,Math.min(f,$i))}let J;if(d&&n!==f){const Fe=n-i+1;J=Math.floor(Fe*P/I)}else{const Fe=c/z;J=Math.floor(Fe*P/I)}const Y=Math.floor(e.paddingTop/z);let ae=Math.floor(e.paddingBottom/z);if(e.scrollBeyondLastLine){const Fe=c/z;ae=Math.max(ae,Fe-1)}let me;if(ae>0){const Fe=c/z;me=(Y+f+ae-Fe-1)*P/I}else me=Math.max(0,(Y+f)*P/I-J);me=Math.min(e.minimapHeight-J,me);const ye=me/(x-c),Ce=v*ye;if(O>=Y+f+ae){const Fe=me>0;return new qie(v,x,Fe,ye,Ce,J,Y,1,f)}else{let Fe;i>1?Fe=i+Y:Fe=Math.max(1,v/z);let rt,ct=Math.max(1,Math.floor(Fe-Ce*I/P));ct<Y?(rt=Y-ct+1,ct=1):(rt=0,ct=Math.max(1,ct-Y)),w&&w.scrollHeight===x&&(w.scrollTop>v&&(ct=Math.min(ct,w.startLineNumber),rt=Math.max(rt,w.topPaddingLineCount)),w.scrollTop<v&&(ct=Math.max(ct,w.startLineNumber),rt=Math.min(rt,w.topPaddingLineCount)));const Mt=Math.min(f,ct-rt+O-1),Yt=(v-s)/z;let Bi;return v>=e.paddingTop?Bi=(i-ct+rt+Yt)*P/I:Bi=v/e.paddingTop*(rt+Yt)*P/I,new qie(v,x,!0,ye,Bi,J,rt,ct,Mt)}}}const ICe=class ICe{constructor(e){this.dy=e}onContentChanged(){this.dy=-1}onTokensChanged(){this.dy=-1}};ICe.INVALID=new ICe(-1);let Ive=ICe;class OSt{constructor(e,i,n){this.renderedLayout=e,this._imageData=i,this._renderedLines=new wIt({createLine:()=>Ive.INVALID}),this._renderedLines._set(e.startLineNumber,n)}linesEquals(e){if(!this.scrollEquals(e))return!1;const n=this._renderedLines._get().lines;for(let s=0,c=n.length;s<c;s++)if(n[s].dy===-1)return!1;return!0}scrollEquals(e){return this.renderedLayout.startLineNumber===e.startLineNumber&&this.renderedLayout.endLineNumber===e.endLineNumber}_get(){const e=this._renderedLines._get();return{imageData:this._imageData,rendLineNumberStart:e.rendLineNumberStart,lines:e.lines}}onLinesChanged(e,i){return this._renderedLines.onLinesChanged(e,i)}onLinesDeleted(e,i){this._renderedLines.onLinesDeleted(e,i)}onLinesInserted(e,i){this._renderedLines.onLinesInserted(e,i)}onTokensChanged(e){return this._renderedLines.onTokensChanged(e)}}class tGe{constructor(e,i,n,s){this._backgroundFillData=tGe._createBackgroundFillData(i,n,s),this._buffers=[e.createImageData(i,n),e.createImageData(i,n)],this._lastUsedBuffer=0}getBuffer(){this._lastUsedBuffer=1-this._lastUsedBuffer;const e=this._buffers[this._lastUsedBuffer];return e.data.set(this._backgroundFillData),e}static _createBackgroundFillData(e,i,n){const s=n.r,c=n.g,d=n.b,f=n.a,m=new Uint8ClampedArray(e*i*4);let v=0;for(let x=0;x<i;x++)for(let w=0;w<e;w++)m[v]=s,m[v+1]=c,m[v+2]=d,m[v+3]=f,v+=4;return m}}class Tre{static compute(e,i,n){if(e.renderMinimap===0||!e.isSampling)return[null,[]];const{minimapLineCount:s}=Wq.computeContainedMinimapLineCount({viewLineCount:i,scrollBeyondLastLine:e.scrollBeyondLastLine,paddingTop:e.paddingTop,paddingBottom:e.paddingBottom,height:e.editorHeight,lineHeight:e.lineHeight,pixelRatio:e.pixelRatio}),c=i/s,d=c/2;if(!n||n.minimapLines.length===0){const J=[];if(J[0]=1,s>1){for(let Y=0,ae=s-1;Y<ae;Y++)J[Y]=Math.round(Y*c+d);J[s-1]=i}return[new Tre(c,J),[]]}const f=n.minimapLines,m=f.length,v=[];let x=0,w=0,I=1;const P=10;let O=[],z=null;for(let J=0;J<s;J++){const Y=Math.max(I,Math.round(J*c)),ae=Math.max(Y,Math.round((J+1)*c));for(;x<m&&f[x]<Y;){if(O.length<P){const ye=x+1+w;z&&z.type==="deleted"&&z._oldIndex===x-1?z.deleteToLineNumber++:(z={type:"deleted",_oldIndex:x,deleteFromLineNumber:ye,deleteToLineNumber:ye},O.push(z)),w--}x++}let me;if(x<m&&f[x]<=ae)me=f[x],x++;else if(J===0?me=1:J+1===s?me=i:me=Math.round(J*c+d),O.length<P){const ye=x+1+w;z&&z.type==="inserted"&&z._i===J-1?z.insertToLineNumber++:(z={type:"inserted",_i:J,insertFromLineNumber:ye,insertToLineNumber:ye},O.push(z)),w++}v[J]=me,I=me}if(O.length<P)for(;x<m;){const J=x+1+w;z&&z.type==="deleted"&&z._oldIndex===x-1?z.deleteToLineNumber++:(z={type:"deleted",_oldIndex:x,deleteFromLineNumber:J,deleteToLineNumber:J},O.push(z)),w--,x++}else O=[{type:"flush"}];return[new Tre(c,v),O]}constructor(e,i){this.samplingRatio=e,this.minimapLines=i}modelLineToMinimapLine(e){return Math.min(this.minimapLines.length,Math.max(1,Math.round(e/this.samplingRatio)))}modelLineRangeToMinimapLineRange(e,i){let n=this.modelLineToMinimapLine(e)-1;for(;n>0&&this.minimapLines[n-1]>=e;)n--;let s=this.modelLineToMinimapLine(i)-1;for(;s+1<this.minimapLines.length&&this.minimapLines[s+1]<=i;)s++;if(n===s){const c=this.minimapLines[n];if(c<e||c>i)return null}return[n+1,s+1]}decorationLineRangeToMinimapLineRange(e,i){let n=this.modelLineToMinimapLine(e),s=this.modelLineToMinimapLine(i);return e!==i&&s===n&&(s===this.minimapLines.length?n>1&&n--:s++),[n,s]}onLinesDeleted(e){const i=e.toLineNumber-e.fromLineNumber+1;let n=this.minimapLines.length,s=0;for(let c=this.minimapLines.length-1;c>=0&&!(this.minimapLines[c]<e.fromLineNumber);c--)this.minimapLines[c]<=e.toLineNumber?(this.minimapLines[c]=Math.max(1,e.fromLineNumber-1),n=Math.min(n,c),s=Math.max(s,c)):this.minimapLines[c]-=i;return[n,s]}onLinesInserted(e){const i=e.toLineNumber-e.fromLineNumber+1;for(let n=this.minimapLines.length-1;n>=0&&!(this.minimapLines[n]<e.fromLineNumber);n--)this.minimapLines[n]+=i}}class Mki extends nS{constructor(e){super(e),this._sectionHeaderCache=new VL(10,1.5),this.tokensColorTracker=Eve.getInstance(),this._selections=[],this._minimapSelections=null,this.options=new o$(this._context.configuration,this._context.theme,this.tokensColorTracker);const[i]=Tre.compute(this.options,this._context.viewModel.getLineCount(),null);this._samplingState=i,this._shouldCheckSampling=!1,this._actual=new hq(e.theme,this)}dispose(){this._actual.dispose(),super.dispose()}getDomNode(){return this._actual.getDomNode()}_onOptionsMaybeChanged(){const e=new o$(this._context.configuration,this._context.theme,this.tokensColorTracker);return this.options.equals(e)?!1:(this.options=e,this._recreateLineSampling(),this._actual.onDidChangeOptions(),!0)}onConfigurationChanged(e){return this._onOptionsMaybeChanged()}onCursorStateChanged(e){return this._selections=e.selections,this._minimapSelections=null,this._actual.onSelectionChanged()}onDecorationsChanged(e){return e.affectsMinimap?this._actual.onDecorationsChanged():!1}onFlushed(e){return this._samplingState&&(this._shouldCheckSampling=!0),this._actual.onFlushed()}onLinesChanged(e){if(this._samplingState){const i=this._samplingState.modelLineRangeToMinimapLineRange(e.fromLineNumber,e.fromLineNumber+e.count-1);return i?this._actual.onLinesChanged(i[0],i[1]-i[0]+1):!1}else return this._actual.onLinesChanged(e.fromLineNumber,e.count)}onLinesDeleted(e){if(this._samplingState){const[i,n]=this._samplingState.onLinesDeleted(e);return i<=n&&this._actual.onLinesChanged(i+1,n-i+1),this._shouldCheckSampling=!0,!0}else return this._actual.onLinesDeleted(e.fromLineNumber,e.toLineNumber)}onLinesInserted(e){return this._samplingState?(this._samplingState.onLinesInserted(e),this._shouldCheckSampling=!0,!0):this._actual.onLinesInserted(e.fromLineNumber,e.toLineNumber)}onScrollChanged(e){return this._actual.onScrollChanged()}onThemeChanged(e){return this._actual.onThemeChanged(),this._onOptionsMaybeChanged(),!0}onTokensChanged(e){if(this._samplingState){const i=[];for(const n of e.ranges){const s=this._samplingState.modelLineRangeToMinimapLineRange(n.fromLineNumber,n.toLineNumber);s&&i.push({fromLineNumber:s[0],toLineNumber:s[1]})}return i.length?this._actual.onTokensChanged(i):!1}else return this._actual.onTokensChanged(e.ranges)}onTokensColorsChanged(e){return this._onOptionsMaybeChanged(),this._actual.onTokensColorsChanged()}onZonesChanged(e){return this._actual.onZonesChanged()}prepareRender(e){this._shouldCheckSampling&&(this._shouldCheckSampling=!1,this._recreateLineSampling())}render(e){let i=e.visibleRange.startLineNumber,n=e.visibleRange.endLineNumber;this._samplingState&&(i=this._samplingState.modelLineToMinimapLine(i),n=this._samplingState.modelLineToMinimapLine(n));const s={viewportContainsWhitespaceGaps:e.viewportData.whitespaceViewportData.length>0,scrollWidth:e.scrollWidth,scrollHeight:e.scrollHeight,viewportStartLineNumber:i,viewportEndLineNumber:n,viewportStartLineNumberVerticalOffset:e.getVerticalOffsetForLineNumber(i),scrollTop:e.scrollTop,scrollLeft:e.scrollLeft,viewportWidth:e.viewportWidth,viewportHeight:e.viewportHeight};this._actual.render(s)}_recreateLineSampling(){this._minimapSelections=null;const e=!!this._samplingState,[i,n]=Tre.compute(this.options,this._context.viewModel.getLineCount(),this._samplingState);if(this._samplingState=i,e&&this._samplingState)for(const s of n)switch(s.type){case"deleted":this._actual.onLinesDeleted(s.deleteFromLineNumber,s.deleteToLineNumber);break;case"inserted":this._actual.onLinesInserted(s.insertFromLineNumber,s.insertToLineNumber);break;case"flush":this._actual.onFlushed();break}}getLineCount(){return this._samplingState?this._samplingState.minimapLines.length:this._context.viewModel.getLineCount()}getRealLineCount(){return this._context.viewModel.getLineCount()}getLineContent(e){return this._samplingState?this._context.viewModel.getLineContent(this._samplingState.minimapLines[e-1]):this._context.viewModel.getLineContent(e)}getLineMaxColumn(e){return this._samplingState?this._context.viewModel.getLineMaxColumn(this._samplingState.minimapLines[e-1]):this._context.viewModel.getLineMaxColumn(e)}getMinimapLinesRenderingData(e,i,n){if(this._samplingState){const s=[];for(let c=0,d=i-e+1;c<d;c++)n[c]?s[c]=this._context.viewModel.getViewLineData(this._samplingState.minimapLines[e+c-1]):s[c]=null;return s}return this._context.viewModel.getMinimapLinesRenderingData(e,i,n).data}getSelections(){if(this._minimapSelections===null)if(this._samplingState){this._minimapSelections=[];for(const e of this._selections){const[i,n]=this._samplingState.decorationLineRangeToMinimapLineRange(e.startLineNumber,e.endLineNumber);this._minimapSelections.push(new Us(i,e.startColumn,n,e.endColumn))}}else this._minimapSelections=this._selections;return this._minimapSelections}getMinimapDecorationsInViewport(e,i){const n=this._getMinimapDecorationsInViewport(e,i).filter(s=>{var c;return!((c=s.options.minimap)!=null&&c.sectionHeaderStyle)});if(this._samplingState){const s=[];for(const c of n){if(!c.options.minimap)continue;const d=c.range,f=this._samplingState.modelLineToMinimapLine(d.startLineNumber),m=this._samplingState.modelLineToMinimapLine(d.endLineNumber);s.push(new uIt(new nt(f,d.startColumn,m,d.endColumn),c.options))}return s}return n}getSectionHeaderDecorationsInViewport(e,i){const n=this.options.minimapLineHeight,c=this.options.sectionHeaderFontSize/n;return e=Math.floor(Math.max(1,e-c)),this._getMinimapDecorationsInViewport(e,i).filter(d=>{var f;return!!((f=d.options.minimap)!=null&&f.sectionHeaderStyle)})}_getMinimapDecorationsInViewport(e,i){let n;if(this._samplingState){const s=this._samplingState.minimapLines[e-1],c=this._samplingState.minimapLines[i-1];n=new nt(s,1,c,this._context.viewModel.getLineMaxColumn(c))}else n=new nt(e,1,i,this._context.viewModel.getLineMaxColumn(i));return this._context.viewModel.getMinimapDecorationsInRange(n)}getSectionHeaderText(e,i){var d;const n=(d=e.options.minimap)==null?void 0:d.sectionHeaderText;if(!n)return null;const s=this._sectionHeaderCache.get(n);if(s)return s;const c=i(n);return this._sectionHeaderCache.set(n,c),c}getOptions(){return this._context.viewModel.model.getOptions()}revealLineNumber(e){this._samplingState&&(e=this._samplingState.minimapLines[e-1]),this._context.viewModel.revealRange("mouse",!1,new nt(e,1,e,1),1,0)}setScrollTop(e){this._context.viewModel.viewLayout.setScrollPosition({scrollTop:e},1)}}class hq extends xi{constructor(e,i){super(),this._renderDecorations=!1,this._gestureInProgress=!1,this._theme=e,this._model=i,this._lastRenderData=null,this._buffers=null,this._selectionColor=this._theme.getColor(Nbt),this._domNode=_u(document.createElement("div")),JE.write(this._domNode,9),this._domNode.setClassName(this._getMinimapDomNodeClassName()),this._domNode.setPosition("absolute"),this._domNode.setAttribute("role","presentation"),this._domNode.setAttribute("aria-hidden","true"),this._shadow=_u(document.createElement("div")),this._shadow.setClassName("minimap-shadow-hidden"),this._domNode.appendChild(this._shadow),this._canvas=_u(document.createElement("canvas")),this._canvas.setPosition("absolute"),this._canvas.setLeft(0),this._domNode.appendChild(this._canvas),this._decorationsCanvas=_u(document.createElement("canvas")),this._decorationsCanvas.setPosition("absolute"),this._decorationsCanvas.setClassName("minimap-decorations-layer"),this._decorationsCanvas.setLeft(0),this._domNode.appendChild(this._decorationsCanvas),this._slider=_u(document.createElement("div")),this._slider.setPosition("absolute"),this._slider.setClassName("minimap-slider"),this._slider.setLayerHinting(!0),this._slider.setContain("strict"),this._domNode.appendChild(this._slider),this._sliderHorizontal=_u(document.createElement("div")),this._sliderHorizontal.setPosition("absolute"),this._sliderHorizontal.setClassName("minimap-slider-horizontal"),this._slider.appendChild(this._sliderHorizontal),this._applyLayout(),this._pointerDownListener=ph(this._domNode.domNode,br.POINTER_DOWN,n=>{if(n.preventDefault(),this._model.options.renderMinimap===0||!this._lastRenderData)return;if(this._model.options.size!=="proportional"){if(n.button===0&&this._lastRenderData){const v=e_(this._slider.domNode),x=v.top+v.height/2;this._startSliderDragging(n,x,this._lastRenderData.renderedLayout)}return}const c=this._model.options.minimapLineHeight,d=this._model.options.canvasInnerHeight/this._model.options.canvasOuterHeight*n.offsetY;let m=Math.floor(d/c)+this._lastRenderData.renderedLayout.startLineNumber-this._lastRenderData.renderedLayout.topPaddingLineCount;m=Math.min(m,this._model.getLineCount()),this._model.revealLineNumber(m)}),this._sliderPointerMoveMonitor=new bJ,this._sliderPointerDownListener=ph(this._slider.domNode,br.POINTER_DOWN,n=>{n.preventDefault(),n.stopPropagation(),n.button===0&&this._lastRenderData&&this._startSliderDragging(n,n.pageY,this._lastRenderData.renderedLayout)}),this._gestureDisposable=y1.addTarget(this._domNode.domNode),this._sliderTouchStartListener=en(this._domNode.domNode,Ud.Start,n=>{n.preventDefault(),n.stopPropagation(),this._lastRenderData&&(this._slider.toggleClassName("active",!0),this._gestureInProgress=!0,this.scrollDueToTouchEvent(n))},{passive:!1}),this._sliderTouchMoveListener=en(this._domNode.domNode,Ud.Change,n=>{n.preventDefault(),n.stopPropagation(),this._lastRenderData&&this._gestureInProgress&&this.scrollDueToTouchEvent(n)},{passive:!1}),this._sliderTouchEndListener=ph(this._domNode.domNode,Ud.End,n=>{n.preventDefault(),n.stopPropagation(),this._gestureInProgress=!1,this._slider.toggleClassName("active",!1)})}_startSliderDragging(e,i,n){if(!e.target||!(e.target instanceof Element))return;const s=e.pageX;this._slider.toggleClassName("active",!0);const c=(d,f)=>{const m=e_(this._domNode.domNode),v=Math.min(Math.abs(f-s),Math.abs(f-m.left),Math.abs(f-m.left-m.width));if(I0&&v>Aki){this._model.setScrollTop(n.scrollTop);return}const x=d-i;this._model.setScrollTop(n.getDesiredScrollTopFromDelta(x))};e.pageY!==i&&c(e.pageY,s),this._sliderPointerMoveMonitor.startMonitoring(e.target,e.pointerId,e.buttons,d=>c(d.pageY,d.pageX),()=>{this._slider.toggleClassName("active",!1)})}scrollDueToTouchEvent(e){const i=this._domNode.domNode.getBoundingClientRect().top,n=this._lastRenderData.renderedLayout.getDesiredScrollTopFromTouchLocation(e.pageY-i);this._model.setScrollTop(n)}dispose(){this._pointerDownListener.dispose(),this._sliderPointerMoveMonitor.dispose(),this._sliderPointerDownListener.dispose(),this._gestureDisposable.dispose(),this._sliderTouchStartListener.dispose(),this._sliderTouchMoveListener.dispose(),this._sliderTouchEndListener.dispose(),super.dispose()}_getMinimapDomNodeClassName(){const e=["minimap"];return this._model.options.showSlider==="always"?e.push("slider-always"):e.push("slider-mouseover"),this._model.options.autohide&&e.push("autohide"),e.join(" ")}getDomNode(){return this._domNode}_applyLayout(){this._domNode.setLeft(this._model.options.minimapLeft),this._domNode.setWidth(this._model.options.minimapWidth),this._domNode.setHeight(this._model.options.minimapHeight),this._shadow.setHeight(this._model.options.minimapHeight),this._canvas.setWidth(this._model.options.canvasOuterWidth),this._canvas.setHeight(this._model.options.canvasOuterHeight),this._canvas.domNode.width=this._model.options.canvasInnerWidth,this._canvas.domNode.height=this._model.options.canvasInnerHeight,this._decorationsCanvas.setWidth(this._model.options.canvasOuterWidth),this._decorationsCanvas.setHeight(this._model.options.canvasOuterHeight),this._decorationsCanvas.domNode.width=this._model.options.canvasInnerWidth,this._decorationsCanvas.domNode.height=this._model.options.canvasInnerHeight,this._slider.setWidth(this._model.options.minimapWidth)}_getBuffer(){return this._buffers||this._model.options.canvasInnerWidth>0&&this._model.options.canvasInnerHeight>0&&(this._buffers=new tGe(this._canvas.domNode.getContext("2d"),this._model.options.canvasInnerWidth,this._model.options.canvasInnerHeight,this._model.options.backgroundColor)),this._buffers?this._buffers.getBuffer():null}onDidChangeOptions(){this._lastRenderData=null,this._buffers=null,this._applyLayout(),this._domNode.setClassName(this._getMinimapDomNodeClassName())}onSelectionChanged(){return this._renderDecorations=!0,!0}onDecorationsChanged(){return this._renderDecorations=!0,!0}onFlushed(){return this._lastRenderData=null,!0}onLinesChanged(e,i){return this._lastRenderData?this._lastRenderData.onLinesChanged(e,i):!1}onLinesDeleted(e,i){var n;return(n=this._lastRenderData)==null||n.onLinesDeleted(e,i),!0}onLinesInserted(e,i){var n;return(n=this._lastRenderData)==null||n.onLinesInserted(e,i),!0}onScrollChanged(){return this._renderDecorations=!0,!0}onThemeChanged(){return this._selectionColor=this._theme.getColor(Nbt),this._renderDecorations=!0,!0}onTokensChanged(e){return this._lastRenderData?this._lastRenderData.onTokensChanged(e):!1}onTokensColorsChanged(){return this._lastRenderData=null,this._buffers=null,!0}onZonesChanged(){return this._lastRenderData=null,!0}render(e){if(this._model.options.renderMinimap===0){this._shadow.setClassName("minimap-shadow-hidden"),this._sliderHorizontal.setWidth(0),this._sliderHorizontal.setHeight(0);return}e.scrollLeft+e.viewportWidth>=e.scrollWidth?this._shadow.setClassName("minimap-shadow-hidden"):this._shadow.setClassName("minimap-shadow-visible");const n=qie.create(this._model.options,e.viewportStartLineNumber,e.viewportEndLineNumber,e.viewportStartLineNumberVerticalOffset,e.viewportHeight,e.viewportContainsWhitespaceGaps,this._model.getLineCount(),this._model.getRealLineCount(),e.scrollTop,e.scrollHeight,this._lastRenderData?this._lastRenderData.renderedLayout:null);this._slider.setDisplay(n.sliderNeeded?"block":"none"),this._slider.setTop(n.sliderTop),this._slider.setHeight(n.sliderHeight),this._sliderHorizontal.setLeft(0),this._sliderHorizontal.setWidth(this._model.options.minimapWidth),this._sliderHorizontal.setTop(0),this._sliderHorizontal.setHeight(n.sliderHeight),this.renderDecorations(n),this._lastRenderData=this.renderLines(n)}renderDecorations(e){if(this._renderDecorations){this._renderDecorations=!1;const i=this._model.getSelections();i.sort(nt.compareRangesUsingStarts);const n=this._model.getMinimapDecorationsInViewport(e.startLineNumber,e.endLineNumber);n.sort((I,P)=>(I.options.zIndex||0)-(P.options.zIndex||0));const{canvasInnerWidth:s,canvasInnerHeight:c}=this._model.options,d=this._model.options.minimapLineHeight,f=this._model.options.minimapCharWidth,m=this._model.getOptions().tabSize,v=this._decorationsCanvas.domNode.getContext("2d");v.clearRect(0,0,s,c);const x=new MSt(e.startLineNumber,e.endLineNumber,!1);this._renderSelectionLineHighlights(v,i,x,e,d),this._renderDecorationsLineHighlights(v,n,x,e,d);const w=new MSt(e.startLineNumber,e.endLineNumber,null);this._renderSelectionsHighlights(v,i,w,e,d,m,f,s),this._renderDecorationsHighlights(v,n,w,e,d,m,f,s),this._renderSectionHeaders(e)}}_renderSelectionLineHighlights(e,i,n,s,c){if(!this._selectionColor||this._selectionColor.isTransparent())return;e.fillStyle=this._selectionColor.transparent(.5).toString();let d=0,f=0;for(const m of i){const v=s.intersectWithViewport(m);if(!v)continue;const[x,w]=v;for(let O=x;O<=w;O++)n.set(O,!0);const I=s.getYForLineNumber(x,c),P=s.getYForLineNumber(w,c);f>=I||(f>d&&e.fillRect(QN,d,e.canvas.width,f-d),d=I),f=P}f>d&&e.fillRect(QN,d,e.canvas.width,f-d)}_renderDecorationsLineHighlights(e,i,n,s,c){const d=new Map;for(let f=i.length-1;f>=0;f--){const m=i[f],v=m.options.minimap;if(!v||v.position!==1)continue;const x=s.intersectWithViewport(m.range);if(!x)continue;const[w,I]=x,P=v.getColor(this._theme.value);if(!P||P.isTransparent())continue;let O=d.get(P.toString());O||(O=P.transparent(.5).toString(),d.set(P.toString(),O)),e.fillStyle=O;for(let z=w;z<=I;z++){if(n.has(z))continue;n.set(z,!0);const J=s.getYForLineNumber(w,c);e.fillRect(QN,J,e.canvas.width,c)}}}_renderSelectionsHighlights(e,i,n,s,c,d,f,m){if(!(!this._selectionColor||this._selectionColor.isTransparent()))for(const v of i){const x=s.intersectWithViewport(v);if(!x)continue;const[w,I]=x;for(let P=w;P<=I;P++)this.renderDecorationOnLine(e,n,v,this._selectionColor,s,P,c,c,d,f,m)}}_renderDecorationsHighlights(e,i,n,s,c,d,f,m){for(const v of i){const x=v.options.minimap;if(!x)continue;const w=s.intersectWithViewport(v.range);if(!w)continue;const[I,P]=w,O=x.getColor(this._theme.value);if(!(!O||O.isTransparent()))for(let z=I;z<=P;z++)switch(x.position){case 1:this.renderDecorationOnLine(e,n,v.range,O,s,z,c,c,d,f,m);continue;case 2:{const J=s.getYForLineNumber(z,c);this.renderDecoration(e,O,2,J,Oki,c);continue}}}}renderDecorationOnLine(e,i,n,s,c,d,f,m,v,x,w){const I=c.getYForLineNumber(d,m);if(I+f<0||I>this._model.options.canvasInnerHeight)return;const{startLineNumber:P,endLineNumber:O}=n,z=P===d?n.startColumn:1,J=O===d?n.endColumn:this._model.getLineMaxColumn(d),Y=this.getXOffsetForPosition(i,d,z,v,x,w),ae=this.getXOffsetForPosition(i,d,J,v,x,w);this.renderDecoration(e,s,Y,I,ae-Y,f)}getXOffsetForPosition(e,i,n,s,c,d){if(n===1)return QN;if((n-1)*c>=d)return d;let m=e.get(i);if(!m){const v=this._model.getLineContent(i);m=[QN];let x=QN;for(let w=1;w<v.length+1;w++){const I=v.charCodeAt(w-1),P=I===9?s*c:bR(I)?2*c:c,O=x+P;if(O>=d){m[w]=d;break}m[w]=O,x=O}e.set(i,m)}return n-1<m.length?m[n-1]:d}renderDecoration(e,i,n,s,c,d){e.fillStyle=i&&i.toString()||"",e.fillRect(n,s,c,d)}_renderSectionHeaders(e){var z;const i=this._model.options.minimapLineHeight,n=this._model.options.sectionHeaderFontSize,s=this._model.options.sectionHeaderLetterSpacing,c=n*1.5,{canvasInnerWidth:d}=this._model.options,f=this._model.options.backgroundColor,m=`rgb(${f.r} ${f.g} ${f.b} / .7)`,v=this._model.options.sectionHeaderFontColor,x=`rgb(${v.r} ${v.g} ${v.b})`,w=x,I=this._decorationsCanvas.domNode.getContext("2d");I.letterSpacing=s+"px",I.font="500 "+n+"px "+this._model.options.sectionHeaderFontFamily,I.strokeStyle=w,I.lineWidth=.2;const P=this._model.getSectionHeaderDecorationsInViewport(e.startLineNumber,e.endLineNumber);P.sort((J,Y)=>J.range.startLineNumber-Y.range.startLineNumber);const O=hq._fitSectionHeader.bind(null,I,d-QN);for(const J of P){const Y=e.getYForLineNumber(J.range.startLineNumber,i)+n,ae=Y-n,me=ae+2,ye=this._model.getSectionHeaderText(J,O);hq._renderSectionLabel(I,ye,((z=J.options.minimap)==null?void 0:z.sectionHeaderStyle)===2,m,x,d,ae,c,Y,me)}}static _fitSectionHeader(e,i,n){if(!n)return n;const s="…",c=e.measureText(n).width,d=e.measureText(s).width;if(c<=i||c<=d)return n;const f=n.length,m=c/n.length,v=Math.floor((i-d)/m)-1;let x=Math.ceil(v/2);for(;x>0&&/\s/.test(n[x-1]);)--x;return n.substring(0,x)+s+n.substring(f-(v-x))}static _renderSectionLabel(e,i,n,s,c,d,f,m,v,x){i&&(e.fillStyle=s,e.fillRect(0,f,d,m),e.fillStyle=c,e.fillText(i,QN,v)),n&&(e.beginPath(),e.moveTo(0,x),e.lineTo(d,x),e.closePath(),e.stroke())}renderLines(e){const i=e.startLineNumber,n=e.endLineNumber,s=this._model.options.minimapLineHeight;if(this._lastRenderData&&this._lastRenderData.linesEquals(e)){const An=this._lastRenderData._get();return new OSt(e,An.imageData,An.lines)}const c=this._getBuffer();if(!c)return null;const[d,f,m]=hq._renderUntouchedLines(c,e.topPaddingLineCount,i,n,s,this._lastRenderData),v=this._model.getMinimapLinesRenderingData(i,n,m),x=this._model.getOptions().tabSize,w=this._model.options.defaultBackgroundColor,I=this._model.options.backgroundColor,P=this._model.options.foregroundAlpha,O=this._model.tokensColorTracker,z=O.backgroundIsLight(),J=this._model.options.renderMinimap,Y=this._model.options.charRenderer(),ae=this._model.options.fontScale,me=this._model.options.minimapCharWidth,Ce=(J===1?2:3)*ae,Fe=s>Ce?Math.floor((s-Ce)/2):0,rt=I.a/255,ct=new t6(Math.round((I.r-w.r)*rt+w.r),Math.round((I.g-w.g)*rt+w.g),Math.round((I.b-w.b)*rt+w.b),255);let Mt=e.topPaddingLineCount*s;const Yt=[];for(let An=0,qt=n-i+1;An<qt;An++)m[An]&&hq._renderLine(c,ct,I.a,z,J,me,O,P,Y,Mt,Fe,x,v[An],ae,s),Yt[An]=new Ive(Mt),Mt+=s;const Bi=d===-1?0:d,$t=(f===-1?c.height:f)-Bi;return this._canvas.domNode.getContext("2d").putImageData(c,0,0,0,Bi,c.width,$t),new OSt(e,c,Yt)}static _renderUntouchedLines(e,i,n,s,c,d){const f=[];if(!d){for(let Mt=0,Yt=s-n+1;Mt<Yt;Mt++)f[Mt]=!0;return[-1,-1,f]}const m=d._get(),v=m.imageData.data,x=m.rendLineNumberStart,w=m.lines,I=w.length,P=e.width,O=e.data,z=(s-n+1)*c*P*4;let J=-1,Y=-1,ae=-1,me=-1,ye=-1,Ce=-1,Fe=i*c;for(let Mt=n;Mt<=s;Mt++){const Yt=Mt-n,Bi=Mt-x,$i=Bi>=0&&Bi<I?w[Bi].dy:-1;if($i===-1){f[Yt]=!0,Fe+=c;continue}const $t=$i*P*4,Hi=($i+c)*P*4,An=Fe*P*4,qt=(Fe+c)*P*4;me===$t&&Ce===An?(me=Hi,Ce=qt):(ae!==-1&&(O.set(v.subarray(ae,me),ye),J===-1&&ae===0&&ae===ye&&(J=me),Y===-1&&me===z&&ae===ye&&(Y=ae)),ae=$t,me=Hi,ye=An,Ce=qt),f[Yt]=!1,Fe+=c}ae!==-1&&(O.set(v.subarray(ae,me),ye),J===-1&&ae===0&&ae===ye&&(J=me),Y===-1&&me===z&&ae===ye&&(Y=ae));const rt=J===-1?-1:J/(P*4),ct=Y===-1?-1:Y/(P*4);return[rt,ct,f]}static _renderLine(e,i,n,s,c,d,f,m,v,x,w,I,P,O,z){const J=P.content,Y=P.tokens,ae=e.width-d,me=z===1;let ye=QN,Ce=0,Fe=0;for(let rt=0,ct=Y.getCount();rt<ct;rt++){const Mt=Y.getEndOffset(rt),Yt=Y.getForeground(rt),Bi=f.getColor(Yt);for(;Ce<Mt;Ce++){if(ye>ae)return;const $i=J.charCodeAt(Ce);if($i===9){const $t=I-(Ce+Fe)%I;Fe+=$t-1,ye+=$t*d}else if($i===32)ye+=d;else{const $t=bR($i)?2:1;for(let Hi=0;Hi<$t;Hi++)if(c===2?v.blockRenderChar(e,ye,x+w,Bi,m,i,n,me):v.renderChar(e,ye,x+w,$i,Bi,m,i,n,O,s,me),ye+=d,ye>ae)return}}}}}class MSt{constructor(e,i,n){this._startLineNumber=e,this._endLineNumber=i,this._defaultValue=n,this._values=[];for(let s=0,c=this._endLineNumber-this._startLineNumber+1;s<c;s++)this._values[s]=n}has(e){return this.get(e)!==this._defaultValue}set(e,i){e<this._startLineNumber||e>this._endLineNumber||(this._values[e-this._startLineNumber]=i)}get(e){return e<this._startLineNumber||e>this._endLineNumber?this._defaultValue:this._values[e-this._startLineNumber]}}class Rki extends nS{constructor(e,i){super(e),this._viewDomNode=i;const s=this._context.configuration.options.get(146);this._widgets={},this._verticalScrollbarWidth=s.verticalScrollbarWidth,this._minimapWidth=s.minimap.minimapWidth,this._horizontalScrollbarHeight=s.horizontalScrollbarHeight,this._editorHeight=s.height,this._editorWidth=s.width,this._viewDomNodeRect={top:0,left:0,width:0,height:0},this._domNode=_u(document.createElement("div")),JE.write(this._domNode,4),this._domNode.setClassName("overlayWidgets"),this.overflowingOverlayWidgetsDomNode=_u(document.createElement("div")),JE.write(this.overflowingOverlayWidgetsDomNode,5),this.overflowingOverlayWidgetsDomNode.setClassName("overflowingOverlayWidgets")}dispose(){super.dispose(),this._widgets={}}getDomNode(){return this._domNode}onConfigurationChanged(e){const n=this._context.configuration.options.get(146);return this._verticalScrollbarWidth=n.verticalScrollbarWidth,this._minimapWidth=n.minimap.minimapWidth,this._horizontalScrollbarHeight=n.horizontalScrollbarHeight,this._editorHeight=n.height,this._editorWidth=n.width,!0}addWidget(e){const i=_u(e.getDomNode());this._widgets[e.getId()]={widget:e,preference:null,domNode:i},i.setPosition("absolute"),i.setAttribute("widgetId",e.getId()),e.allowEditorOverflow?this.overflowingOverlayWidgetsDomNode.appendChild(i):this._domNode.appendChild(i),this.setShouldRender(),this._updateMaxMinWidth()}setWidgetPosition(e,i){const n=this._widgets[e.getId()],s=i?i.preference:null,c=i==null?void 0:i.stackOridinal;return n.preference===s&&n.stack===c?(this._updateMaxMinWidth(),!1):(n.preference=s,n.stack=c,this.setShouldRender(),this._updateMaxMinWidth(),!0)}removeWidget(e){const i=e.getId();if(this._widgets.hasOwnProperty(i)){const s=this._widgets[i].domNode.domNode;delete this._widgets[i],s.remove(),this.setShouldRender(),this._updateMaxMinWidth()}}_updateMaxMinWidth(){var n,s;let e=0;const i=Object.keys(this._widgets);for(let c=0,d=i.length;c<d;c++){const f=i[c],v=(s=(n=this._widgets[f].widget).getMinContentWidthInPx)==null?void 0:s.call(n);typeof v<"u"&&(e=Math.max(e,v))}this._context.viewLayout.setOverlayWidgetsMinWidth(e)}_renderWidget(e,i){const n=e.domNode;if(e.preference===null){n.setTop("");return}const s=2*this._verticalScrollbarWidth+this._minimapWidth;if(e.preference===0||e.preference===1){if(e.preference===1){const c=n.domNode.clientHeight;n.setTop(this._editorHeight-c-2*this._horizontalScrollbarHeight)}else n.setTop(0);e.stack!==void 0?(n.setTop(i[e.preference]),i[e.preference]+=n.domNode.clientWidth):n.setRight(s)}else if(e.preference===2)n.domNode.style.right="50%",e.stack!==void 0?(n.setTop(i[2]),i[2]+=n.domNode.clientHeight):n.setTop(0);else{const{top:c,left:d}=e.preference;if(this._context.configuration.options.get(42)&&e.widget.allowEditorOverflow){const m=this._viewDomNodeRect;n.setTop(c+m.top),n.setLeft(d+m.left),n.setPosition("fixed")}else n.setTop(c),n.setLeft(d),n.setPosition("absolute")}}prepareRender(e){this._viewDomNodeRect=e_(this._viewDomNode.domNode)}render(e){this._domNode.setWidth(this._editorWidth);const i=Object.keys(this._widgets),n=Array.from({length:3},()=>0);i.sort((s,c)=>(this._widgets[s].stack||0)-(this._widgets[c].stack||0));for(let s=0,c=i.length;s<c;s++){const d=i[s];this._renderWidget(this._widgets[d],n)}}}class Fki{constructor(e,i){const n=e.options;this.lineHeight=n.get(67),this.pixelRatio=n.get(144),this.overviewRulerLanes=n.get(83),this.renderBorder=n.get(82);const s=i.getColor(Myi);this.borderColor=s?s.toString():null,this.hideCursor=n.get(59);const c=i.getColor(bwe);this.cursorColorSingle=c?c.transparent(.7).toString():null;const d=i.getColor(WDt);this.cursorColorPrimary=d?d.transparent(.7).toString():null;const f=i.getColor(VDt);this.cursorColorSecondary=f?f.transparent(.7).toString():null,this.themeType=i.type;const m=n.get(73),v=m.enabled,x=m.side,w=i.getColor(Ryi),I=Oh.getDefaultBackground();w?this.backgroundColor=w:v&&x==="right"?this.backgroundColor=I:this.backgroundColor=null;const O=n.get(146).overviewRuler;this.top=O.top,this.right=O.right,this.domWidth=O.width,this.domHeight=O.height,this.overviewRulerLanes===0?(this.canvasWidth=0,this.canvasHeight=0):(this.canvasWidth=this.domWidth*this.pixelRatio|0,this.canvasHeight=this.domHeight*this.pixelRatio|0);const[z,J]=this._initLanes(1,this.canvasWidth,this.overviewRulerLanes);this.x=z,this.w=J}_initLanes(e,i,n){const s=i-e;if(n>=3){const c=Math.floor(s/3),d=Math.floor(s/3),f=s-c-d,m=e,v=m+c,x=m+c+f;return[[0,m,v,m,x,m,v,m],[0,c,f,c+f,d,c+f+d,f+d,c+f+d]]}else if(n===2){const c=Math.floor(s/2),d=s-c,f=e,m=f+c;return[[0,f,f,f,m,f,f,f],[0,c,c,c,d,c+d,c+d,c+d]]}else{const c=e,d=s;return[[0,c,c,c,c,c,c,c],[0,d,d,d,d,d,d,d]]}}equals(e){return this.lineHeight===e.lineHeight&&this.pixelRatio===e.pixelRatio&&this.overviewRulerLanes===e.overviewRulerLanes&&this.renderBorder===e.renderBorder&&this.borderColor===e.borderColor&&this.hideCursor===e.hideCursor&&this.cursorColorSingle===e.cursorColorSingle&&this.cursorColorPrimary===e.cursorColorPrimary&&this.cursorColorSecondary===e.cursorColorSecondary&&this.themeType===e.themeType&&In.equals(this.backgroundColor,e.backgroundColor)&&this.top===e.top&&this.right===e.right&&this.domWidth===e.domWidth&&this.domHeight===e.domHeight&&this.canvasWidth===e.canvasWidth&&this.canvasHeight===e.canvasHeight}}class Bki extends nS{constructor(e){super(e),this._actualShouldRender=0,this._renderedDecorations=[],this._renderedCursorPositions=[],this._domNode=_u(document.createElement("canvas")),this._domNode.setClassName("decorationsOverviewRuler"),this._domNode.setPosition("absolute"),this._domNode.setLayerHinting(!0),this._domNode.setContain("strict"),this._domNode.setAttribute("aria-hidden","true"),this._updateSettings(!1),this._tokensColorTrackerListener=Oh.onDidChange(i=>{i.changedColorMap&&this._updateSettings(!0)}),this._cursorPositions=[{position:new pi(1,1),color:this._settings.cursorColorSingle}]}dispose(){super.dispose(),this._tokensColorTrackerListener.dispose()}_updateSettings(e){const i=new Fki(this._context.configuration,this._context.theme);return this._settings&&this._settings.equals(i)?!1:(this._settings=i,this._domNode.setTop(this._settings.top),this._domNode.setRight(this._settings.right),this._domNode.setWidth(this._settings.domWidth),this._domNode.setHeight(this._settings.domHeight),this._domNode.domNode.width=this._settings.canvasWidth,this._domNode.domNode.height=this._settings.canvasHeight,e&&this._render(),!0)}_markRenderingIsNeeded(){return this._actualShouldRender=2,!0}_markRenderingIsMaybeNeeded(){return this._actualShouldRender=1,!0}onConfigurationChanged(e){return this._updateSettings(!1)?this._markRenderingIsNeeded():!1}onCursorStateChanged(e){this._cursorPositions=[];for(let i=0,n=e.selections.length;i<n;i++){let s=this._settings.cursorColorSingle;n>1&&(s=i===0?this._settings.cursorColorPrimary:this._settings.cursorColorSecondary),this._cursorPositions.push({position:e.selections[i].getPosition(),color:s})}return this._cursorPositions.sort((i,n)=>pi.compare(i.position,n.position)),this._markRenderingIsMaybeNeeded()}onDecorationsChanged(e){return e.affectsOverviewRuler?this._markRenderingIsMaybeNeeded():!1}onFlushed(e){return this._markRenderingIsNeeded()}onScrollChanged(e){return e.scrollHeightChanged?this._markRenderingIsNeeded():!1}onZonesChanged(e){return this._markRenderingIsNeeded()}onThemeChanged(e){return this._updateSettings(!1)?this._markRenderingIsNeeded():!1}getDomNode(){return this._domNode.domNode}prepareRender(e){}render(e){this._render(),this._actualShouldRender=0}_render(){const e=this._settings.backgroundColor;if(this._settings.overviewRulerLanes===0){this._domNode.setBackgroundColor(e?In.Format.CSS.formatHexA(e):""),this._domNode.setDisplay("none");return}const i=this._context.viewModel.getAllOverviewRulerDecorations(this._context.theme);if(i.sort(yre.compareByRenderingProps),this._actualShouldRender===1&&!yre.equalsArr(this._renderedDecorations,i)&&(this._actualShouldRender=2),this._actualShouldRender===1&&!Lf(this._renderedCursorPositions,this._cursorPositions,(O,z)=>O.position.lineNumber===z.position.lineNumber&&O.color===z.color)&&(this._actualShouldRender=2),this._actualShouldRender===1)return;this._renderedDecorations=i,this._renderedCursorPositions=this._cursorPositions,this._domNode.setDisplay("block");const n=this._settings.canvasWidth,s=this._settings.canvasHeight,c=this._settings.lineHeight,d=this._context.viewLayout,f=this._context.viewLayout.getScrollHeight(),m=s/f,v=6*this._settings.pixelRatio|0,x=v/2|0,w=this._domNode.domNode.getContext("2d");e?e.isOpaque()?(w.fillStyle=In.Format.CSS.formatHexA(e),w.fillRect(0,0,n,s)):(w.clearRect(0,0,n,s),w.fillStyle=In.Format.CSS.formatHexA(e),w.fillRect(0,0,n,s)):w.clearRect(0,0,n,s);const I=this._settings.x,P=this._settings.w;for(const O of i){const z=O.color,J=O.data;w.fillStyle=z;let Y=0,ae=0,me=0;for(let ye=0,Ce=J.length/3;ye<Ce;ye++){const Fe=J[3*ye],rt=J[3*ye+1],ct=J[3*ye+2];let Mt=d.getVerticalOffsetForLineNumber(rt)*m|0,Yt=(d.getVerticalOffsetForLineNumber(ct)+c)*m|0;if(Yt-Mt<v){let $i=(Mt+Yt)/2|0;$i<x?$i=x:$i+x>s&&($i=s-x),Mt=$i-x,Yt=$i+x}Mt>me+1||Fe!==Y?(ye!==0&&w.fillRect(I[Y],ae,P[Y],me-ae),Y=Fe,ae=Mt,me=Yt):Yt>me&&(me=Yt)}w.fillRect(I[Y],ae,P[Y],me-ae)}if(!this._settings.hideCursor){const O=2*this._settings.pixelRatio|0,z=O/2|0,J=this._settings.x[7],Y=this._settings.w[7];let ae=-100,me=-100,ye=null;for(let Ce=0,Fe=this._cursorPositions.length;Ce<Fe;Ce++){const rt=this._cursorPositions[Ce].color;if(!rt)continue;const ct=this._cursorPositions[Ce].position;let Mt=d.getVerticalOffsetForLineNumber(ct.lineNumber)*m|0;Mt<z?Mt=z:Mt+z>s&&(Mt=s-z);const Yt=Mt-z,Bi=Yt+O;Yt>me+1||rt!==ye?(Ce!==0&&ye&&w.fillRect(J,ae,Y,me-ae),ae=Yt,me=Bi):Bi>me&&(me=Bi),ye=rt,w.fillStyle=rt}ye&&w.fillRect(J,ae,Y,me-ae)}this._settings.renderBorder&&this._settings.borderColor&&this._settings.overviewRulerLanes>0&&(w.beginPath(),w.lineWidth=1,w.strokeStyle=this._settings.borderColor,w.moveTo(0,0),w.lineTo(0,s),w.moveTo(1,0),w.lineTo(n,0),w.stroke())}}class RSt{constructor(e,i,n){this._colorZoneBrand=void 0,this.from=e|0,this.to=i|0,this.colorId=n|0}static compare(e,i){return e.colorId===i.colorId?e.from===i.from?e.to-i.to:e.from-i.from:e.colorId-i.colorId}}class IIt{constructor(e,i,n,s){this._overviewRulerZoneBrand=void 0,this.startLineNumber=e,this.endLineNumber=i,this.heightInLines=n,this.color=s,this._colorZone=null}static compare(e,i){return e.color===i.color?e.startLineNumber===i.startLineNumber?e.heightInLines===i.heightInLines?e.endLineNumber-i.endLineNumber:e.heightInLines-i.heightInLines:e.startLineNumber-i.startLineNumber:e.color<i.color?-1:1}setColorZone(e){this._colorZone=e}getColorZones(){return this._colorZone}}class Wki{constructor(e){this._getVerticalOffsetForLine=e,this._zones=[],this._colorZonesInvalid=!1,this._lineHeight=0,this._domWidth=0,this._domHeight=0,this._outerHeight=0,this._pixelRatio=1,this._lastAssignedId=0,this._color2Id=Object.create(null),this._id2Color=[]}getId2Color(){return this._id2Color}setZones(e){this._zones=e,this._zones.sort(IIt.compare)}setLineHeight(e){return this._lineHeight===e?!1:(this._lineHeight=e,this._colorZonesInvalid=!0,!0)}setPixelRatio(e){this._pixelRatio=e,this._colorZonesInvalid=!0}getDOMWidth(){return this._domWidth}getCanvasWidth(){return this._domWidth*this._pixelRatio}setDOMWidth(e){return this._domWidth===e?!1:(this._domWidth=e,this._colorZonesInvalid=!0,!0)}getDOMHeight(){return this._domHeight}getCanvasHeight(){return this._domHeight*this._pixelRatio}setDOMHeight(e){return this._domHeight===e?!1:(this._domHeight=e,this._colorZonesInvalid=!0,!0)}getOuterHeight(){return this._outerHeight}setOuterHeight(e){return this._outerHeight===e?!1:(this._outerHeight=e,this._colorZonesInvalid=!0,!0)}resolveColorZones(){const e=this._colorZonesInvalid,i=Math.floor(this._lineHeight),n=Math.floor(this.getCanvasHeight()),s=Math.floor(this._outerHeight),c=n/s,d=Math.floor(4*this._pixelRatio/2),f=[];for(let m=0,v=this._zones.length;m<v;m++){const x=this._zones[m];if(!e){const ye=x.getColorZones();if(ye){f.push(ye);continue}}const w=this._getVerticalOffsetForLine(x.startLineNumber),I=x.heightInLines===0?this._getVerticalOffsetForLine(x.endLineNumber)+i:w+x.heightInLines*i,P=Math.floor(c*w),O=Math.floor(c*I);let z=Math.floor((P+O)/2),J=O-z;J<d&&(J=d),z-J<0&&(z=J),z+J>n&&(z=n-J);const Y=x.color;let ae=this._color2Id[Y];ae||(ae=++this._lastAssignedId,this._color2Id[Y]=ae,this._id2Color[ae]=Y);const me=new RSt(z-J,z+J,ae);x.setColorZone(me),f.push(me)}return this._colorZonesInvalid=!1,f.sort(RSt.compare),f}}class Vki extends Mse{constructor(e,i){super(),this._context=e;const n=this._context.configuration.options;this._domNode=_u(document.createElement("canvas")),this._domNode.setClassName(i),this._domNode.setPosition("absolute"),this._domNode.setLayerHinting(!0),this._domNode.setContain("strict"),this._zoneManager=new Wki(s=>this._context.viewLayout.getVerticalOffsetForLineNumber(s)),this._zoneManager.setDOMWidth(0),this._zoneManager.setDOMHeight(0),this._zoneManager.setOuterHeight(this._context.viewLayout.getScrollHeight()),this._zoneManager.setLineHeight(n.get(67)),this._zoneManager.setPixelRatio(n.get(144)),this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),super.dispose()}onConfigurationChanged(e){const i=this._context.configuration.options;return e.hasChanged(67)&&(this._zoneManager.setLineHeight(i.get(67)),this._render()),e.hasChanged(144)&&(this._zoneManager.setPixelRatio(i.get(144)),this._domNode.setWidth(this._zoneManager.getDOMWidth()),this._domNode.setHeight(this._zoneManager.getDOMHeight()),this._domNode.domNode.width=this._zoneManager.getCanvasWidth(),this._domNode.domNode.height=this._zoneManager.getCanvasHeight(),this._render()),!0}onFlushed(e){return this._render(),!0}onScrollChanged(e){return e.scrollHeightChanged&&(this._zoneManager.setOuterHeight(e.scrollHeight),this._render()),!0}onZonesChanged(e){return this._render(),!0}getDomNode(){return this._domNode.domNode}setLayout(e){this._domNode.setTop(e.top),this._domNode.setRight(e.right);let i=!1;i=this._zoneManager.setDOMWidth(e.width)||i,i=this._zoneManager.setDOMHeight(e.height)||i,i&&(this._domNode.setWidth(this._zoneManager.getDOMWidth()),this._domNode.setHeight(this._zoneManager.getDOMHeight()),this._domNode.domNode.width=this._zoneManager.getCanvasWidth(),this._domNode.domNode.height=this._zoneManager.getCanvasHeight(),this._render())}setZones(e){this._zoneManager.setZones(e),this._render()}_render(){if(this._zoneManager.getOuterHeight()===0)return!1;const e=this._zoneManager.getCanvasWidth(),i=this._zoneManager.getCanvasHeight(),n=this._zoneManager.resolveColorZones(),s=this._zoneManager.getId2Color(),c=this._domNode.domNode.getContext("2d");return c.clearRect(0,0,e,i),n.length>0&&this._renderOneLane(c,n,s,e),!0}_renderOneLane(e,i,n,s){let c=0,d=0,f=0;for(const m of i){const v=m.colorId,x=m.from,w=m.to;v!==c?(e.fillRect(0,d,s,f-d),c=v,e.fillStyle=n[c],d=x,f=w):f>=x?f=Math.max(f,w):(e.fillRect(0,d,s,f-d),d=x,f=w)}e.fillRect(0,d,s,f-d)}}class Hki extends nS{constructor(e){super(e),this.domNode=_u(document.createElement("div")),this.domNode.setAttribute("role","presentation"),this.domNode.setAttribute("aria-hidden","true"),this.domNode.setClassName("view-rulers"),this._renderedRulers=[];const i=this._context.configuration.options;this._rulers=i.get(103),this._typicalHalfwidthCharacterWidth=i.get(50).typicalHalfwidthCharacterWidth}dispose(){super.dispose()}onConfigurationChanged(e){const i=this._context.configuration.options;return this._rulers=i.get(103),this._typicalHalfwidthCharacterWidth=i.get(50).typicalHalfwidthCharacterWidth,!0}onScrollChanged(e){return e.scrollHeightChanged}prepareRender(e){}_ensureRulersCount(){const e=this._renderedRulers.length,i=this._rulers.length;if(e===i)return;if(e<i){const{tabSize:s}=this._context.viewModel.model.getOptions(),c=s;let d=i-e;for(;d>0;){const f=_u(document.createElement("div"));f.setClassName("view-ruler"),f.setWidth(c),this.domNode.appendChild(f),this._renderedRulers.push(f),d--}return}let n=e-i;for(;n>0;){const s=this._renderedRulers.pop();this.domNode.removeChild(s),n--}}render(e){this._ensureRulersCount();for(let i=0,n=this._rulers.length;i<n;i++){const s=this._renderedRulers[i],c=this._rulers[i];s.setBoxShadow(c.color?`1px 0 0 0 ${c.color} inset`:""),s.setHeight(Math.min(e.scrollHeight,1e6)),s.setLeft(c.column*this._typicalHalfwidthCharacterWidth)}}}class jki extends nS{constructor(e){super(e),this._scrollTop=0,this._width=0,this._updateWidth(),this._shouldShow=!1;const n=this._context.configuration.options.get(104);this._useShadows=n.useShadows,this._domNode=_u(document.createElement("div")),this._domNode.setAttribute("role","presentation"),this._domNode.setAttribute("aria-hidden","true")}dispose(){super.dispose()}_updateShouldShow(){const e=this._useShadows&&this._scrollTop>0;return this._shouldShow!==e?(this._shouldShow=e,!0):!1}getDomNode(){return this._domNode}_updateWidth(){const i=this._context.configuration.options.get(146);i.minimap.renderMinimap===0||i.minimap.minimapWidth>0&&i.minimap.minimapLeft===0?this._width=i.width:this._width=i.width-i.verticalScrollbarWidth}onConfigurationChanged(e){const n=this._context.configuration.options.get(104);return this._useShadows=n.useShadows,this._updateWidth(),this._updateShouldShow(),!0}onScrollChanged(e){return this._scrollTop=e.scrollTop,this._updateShouldShow()}prepareRender(e){}render(e){this._domNode.setWidth(this._width),this._domNode.setClassName(this._shouldShow?"scroll-decoration":"")}}class zki{constructor(e){this.left=e.left,this.width=e.width,this.startStyle=null,this.endStyle=null}}class Uki{constructor(e,i){this.lineNumber=e,this.ranges=i}}function qki(l){return new zki(l)}function $ki(l){return new Uki(l.lineNumber,l.ranges.map(qki))}const b_=class b_ extends oW{constructor(e){super(),this._previousFrameVisibleRangesWithStyle=[],this._context=e;const i=this._context.configuration.options;this._roundedSelection=i.get(102),this._typicalHalfwidthCharacterWidth=i.get(50).typicalHalfwidthCharacterWidth,this._selections=[],this._renderResult=null,this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),this._renderResult=null,super.dispose()}onConfigurationChanged(e){const i=this._context.configuration.options;return this._roundedSelection=i.get(102),this._typicalHalfwidthCharacterWidth=i.get(50).typicalHalfwidthCharacterWidth,!0}onCursorStateChanged(e){return this._selections=e.selections.slice(0),!0}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollTopChanged}onZonesChanged(e){return!0}_visibleRangesHaveGaps(e){for(let i=0,n=e.length;i<n;i++)if(e[i].ranges.length>1)return!0;return!1}_enrichVisibleRangesWithStyle(e,i,n){const s=this._typicalHalfwidthCharacterWidth/4;let c=null,d=null;if(n&&n.length>0&&i.length>0){const f=i[0].lineNumber;if(f===e.startLineNumber)for(let v=0;!c&&v<n.length;v++)n[v].lineNumber===f&&(c=n[v].ranges[0]);const m=i[i.length-1].lineNumber;if(m===e.endLineNumber)for(let v=n.length-1;!d&&v>=0;v--)n[v].lineNumber===m&&(d=n[v].ranges[0]);c&&!c.startStyle&&(c=null),d&&!d.startStyle&&(d=null)}for(let f=0,m=i.length;f<m;f++){const v=i[f].ranges[0],x=v.left,w=v.left+v.width,I={top:0,bottom:0},P={top:0,bottom:0};if(f>0){const O=i[f-1].ranges[0].left,z=i[f-1].ranges[0].left+i[f-1].ranges[0].width;x0e(x-O)<s?I.top=2:x>O&&(I.top=1),x0e(w-z)<s?P.top=2:O<w&&w<z&&(P.top=1)}else c&&(I.top=c.startStyle.top,P.top=c.endStyle.top);if(f+1<m){const O=i[f+1].ranges[0].left,z=i[f+1].ranges[0].left+i[f+1].ranges[0].width;x0e(x-O)<s?I.bottom=2:O<x&&x<z&&(I.bottom=1),x0e(w-z)<s?P.bottom=2:w<z&&(P.bottom=1)}else d&&(I.bottom=d.startStyle.bottom,P.bottom=d.endStyle.bottom);v.startStyle=I,v.endStyle=P}}_getVisibleRangesWithStyle(e,i,n){const c=(i.linesVisibleRangesForRange(e,!0)||[]).map($ki);return!this._visibleRangesHaveGaps(c)&&this._roundedSelection&&this._enrichVisibleRangesWithStyle(i.visibleRange,c,n),c}_createSelectionPiece(e,i,n,s,c){return'<div class="cslr '+n+'" style="top:'+e.toString()+"px;bottom:"+i.toString()+"px;left:"+s.toString()+"px;width:"+c.toString()+'px;"></div>'}_actualRenderOneSelection(e,i,n,s){if(s.length===0)return;const c=!!s[0].ranges[0].startStyle,d=s[0].lineNumber,f=s[s.length-1].lineNumber;for(let m=0,v=s.length;m<v;m++){const x=s[m],w=x.lineNumber,I=w-i,P=n&&w===d?1:0,O=n&&w!==d&&w===f?1:0;let z="",J="";for(let Y=0,ae=x.ranges.length;Y<ae;Y++){const me=x.ranges[Y];if(c){const Ce=me.startStyle,Fe=me.endStyle;if(Ce.top===1||Ce.bottom===1){z+=this._createSelectionPiece(P,O,b_.SELECTION_CLASS_NAME,me.left-b_.ROUNDED_PIECE_WIDTH,b_.ROUNDED_PIECE_WIDTH);let rt=b_.EDITOR_BACKGROUND_CLASS_NAME;Ce.top===1&&(rt+=" "+b_.SELECTION_TOP_RIGHT),Ce.bottom===1&&(rt+=" "+b_.SELECTION_BOTTOM_RIGHT),z+=this._createSelectionPiece(P,O,rt,me.left-b_.ROUNDED_PIECE_WIDTH,b_.ROUNDED_PIECE_WIDTH)}if(Fe.top===1||Fe.bottom===1){z+=this._createSelectionPiece(P,O,b_.SELECTION_CLASS_NAME,me.left+me.width,b_.ROUNDED_PIECE_WIDTH);let rt=b_.EDITOR_BACKGROUND_CLASS_NAME;Fe.top===1&&(rt+=" "+b_.SELECTION_TOP_LEFT),Fe.bottom===1&&(rt+=" "+b_.SELECTION_BOTTOM_LEFT),z+=this._createSelectionPiece(P,O,rt,me.left+me.width,b_.ROUNDED_PIECE_WIDTH)}}let ye=b_.SELECTION_CLASS_NAME;if(c){const Ce=me.startStyle,Fe=me.endStyle;Ce.top===0&&(ye+=" "+b_.SELECTION_TOP_LEFT),Ce.bottom===0&&(ye+=" "+b_.SELECTION_BOTTOM_LEFT),Fe.top===0&&(ye+=" "+b_.SELECTION_TOP_RIGHT),Fe.bottom===0&&(ye+=" "+b_.SELECTION_BOTTOM_RIGHT)}J+=this._createSelectionPiece(P,O,ye,me.left,me.width)}e[I][0]+=z,e[I][1]+=J}}prepareRender(e){const i=[],n=e.visibleRange.startLineNumber,s=e.visibleRange.endLineNumber;for(let d=n;d<=s;d++){const f=d-n;i[f]=["",""]}const c=[];for(let d=0,f=this._selections.length;d<f;d++){const m=this._selections[d];if(m.isEmpty()){c[d]=null;continue}const v=this._getVisibleRangesWithStyle(m,e,this._previousFrameVisibleRangesWithStyle[d]);c[d]=v,this._actualRenderOneSelection(i,n,this._selections.length>1,v)}this._previousFrameVisibleRangesWithStyle=c,this._renderResult=i.map(([d,f])=>d+f)}render(e,i){if(!this._renderResult)return"";const n=i-e;return n<0||n>=this._renderResult.length?"":this._renderResult[n]}};b_.SELECTION_CLASS_NAME="selected-text",b_.SELECTION_TOP_LEFT="top-left-radius",b_.SELECTION_BOTTOM_LEFT="bottom-left-radius",b_.SELECTION_TOP_RIGHT="top-right-radius",b_.SELECTION_BOTTOM_RIGHT="bottom-right-radius",b_.EDITOR_BACKGROUND_CLASS_NAME="monaco-editor-background",b_.ROUNDED_PIECE_WIDTH=10;let hje=b_;Dk((l,e)=>{const i=l.getColor(npi);i&&!i.isTransparent()&&e.addRule(`.monaco-editor .view-line span.inline-selected-text { color: ${i}; }`)});function x0e(l){return l<0?-l:l}class FSt{constructor(e,i,n,s,c,d,f){this.top=e,this.left=i,this.paddingLeft=n,this.width=s,this.height=c,this.textContent=d,this.textContentClassName=f}}var U4;(function(l){l[l.Single=0]="Single",l[l.MultiPrimary=1]="MultiPrimary",l[l.MultiSecondary=2]="MultiSecondary"})(U4||(U4={}));class BSt{constructor(e,i){this._context=e;const n=this._context.configuration.options,s=n.get(50);this._cursorStyle=n.get(28),this._lineHeight=n.get(67),this._typicalHalfwidthCharacterWidth=s.typicalHalfwidthCharacterWidth,this._lineCursorWidth=Math.min(n.get(31),this._typicalHalfwidthCharacterWidth),this._isVisible=!0,this._domNode=_u(document.createElement("div")),this._domNode.setClassName(`cursor ${n$}`),this._domNode.setHeight(this._lineHeight),this._domNode.setTop(0),this._domNode.setLeft(0),a0(this._domNode,s),this._domNode.setDisplay("none"),this._position=new pi(1,1),this._pluralityClass="",this.setPlurality(i),this._lastRenderedContent="",this._renderData=null}getDomNode(){return this._domNode}getPosition(){return this._position}setPlurality(e){switch(e){default:case U4.Single:this._pluralityClass="";break;case U4.MultiPrimary:this._pluralityClass="cursor-primary";break;case U4.MultiSecondary:this._pluralityClass="cursor-secondary";break}}show(){this._isVisible||(this._domNode.setVisibility("inherit"),this._isVisible=!0)}hide(){this._isVisible&&(this._domNode.setVisibility("hidden"),this._isVisible=!1)}onConfigurationChanged(e){const i=this._context.configuration.options,n=i.get(50);return this._cursorStyle=i.get(28),this._lineHeight=i.get(67),this._typicalHalfwidthCharacterWidth=n.typicalHalfwidthCharacterWidth,this._lineCursorWidth=Math.min(i.get(31),this._typicalHalfwidthCharacterWidth),a0(this._domNode,n),!0}onCursorPositionChanged(e,i){return i?this._domNode.domNode.style.transitionProperty="none":this._domNode.domNode.style.transitionProperty="",this._position=e,!0}_getGraphemeAwarePosition(){const{lineNumber:e,column:i}=this._position,n=this._context.viewModel.getLineContent(e),[s,c]=Qli(n,i-1);return[new pi(e,s+1),n.substring(s,c)]}_prepareRender(e){let i="",n="";const[s,c]=this._getGraphemeAwarePosition();if(this._cursorStyle===n0.Line||this._cursorStyle===n0.LineThin){const I=e.visibleRangeForPosition(s);if(!I||I.outsideRenderedLine)return null;const P=Eo(this._domNode.domNode);let O;this._cursorStyle===n0.Line?(O=_vt(P,this._lineCursorWidth>0?this._lineCursorWidth:2),O>2&&(i=c,n=this._getTokenClassName(s))):O=_vt(P,1);let z=I.left,J=0;O>=2&&z>=1&&(J=1,z-=J);const Y=e.getVerticalOffsetForLineNumber(s.lineNumber)-e.bigNumbersDelta;return new FSt(Y,z,J,O,this._lineHeight,i,n)}const d=e.linesVisibleRangesForRange(new nt(s.lineNumber,s.column,s.lineNumber,s.column+c.length),!1);if(!d||d.length===0)return null;const f=d[0];if(f.outsideRenderedLine||f.ranges.length===0)return null;const m=f.ranges[0],v=c===" "?this._typicalHalfwidthCharacterWidth:m.width<1?this._typicalHalfwidthCharacterWidth:m.width;this._cursorStyle===n0.Block&&(i=c,n=this._getTokenClassName(s));let x=e.getVerticalOffsetForLineNumber(s.lineNumber)-e.bigNumbersDelta,w=this._lineHeight;return(this._cursorStyle===n0.Underline||this._cursorStyle===n0.UnderlineThin)&&(x+=this._lineHeight-2,w=2),new FSt(x,m.left,0,v,w,i,n)}_getTokenClassName(e){const i=this._context.viewModel.getViewLineData(e.lineNumber),n=i.tokens.findTokenIndexAtOffset(e.column-1);return i.tokens.getClassName(n)}prepareRender(e){this._renderData=this._prepareRender(e)}render(e){return this._renderData?(this._lastRenderedContent!==this._renderData.textContent&&(this._lastRenderedContent=this._renderData.textContent,this._domNode.domNode.textContent=this._lastRenderedContent),this._domNode.setClassName(`cursor ${this._pluralityClass} ${n$} ${this._renderData.textContentClassName}`),this._domNode.setDisplay("block"),this._domNode.setTop(this._renderData.top),this._domNode.setLeft(this._renderData.left),this._domNode.setPaddingLeft(this._renderData.paddingLeft),this._domNode.setWidth(this._renderData.width),this._domNode.setLineHeight(this._renderData.height),this._domNode.setHeight(this._renderData.height),{domNode:this._domNode.domNode,position:this._position,contentLeft:this._renderData.left,height:this._renderData.height,width:2}):(this._domNode.setDisplay("none"),null)}}const vne=class vne extends nS{constructor(e){super(e);const i=this._context.configuration.options;this._readOnly=i.get(92),this._cursorBlinking=i.get(26),this._cursorStyle=i.get(28),this._cursorSmoothCaretAnimation=i.get(27),this._selectionIsEmpty=!0,this._isComposingInput=!1,this._isVisible=!1,this._primaryCursor=new BSt(this._context,U4.Single),this._secondaryCursors=[],this._renderData=[],this._domNode=_u(document.createElement("div")),this._domNode.setAttribute("role","presentation"),this._domNode.setAttribute("aria-hidden","true"),this._updateDomClassName(),this._domNode.appendChild(this._primaryCursor.getDomNode()),this._startCursorBlinkAnimation=new TT,this._cursorFlatBlinkInterval=new l$e,this._blinkingEnabled=!1,this._editorHasFocus=!1,this._updateBlinking()}dispose(){super.dispose(),this._startCursorBlinkAnimation.dispose(),this._cursorFlatBlinkInterval.dispose()}getDomNode(){return this._domNode}onCompositionStart(e){return this._isComposingInput=!0,this._updateBlinking(),!0}onCompositionEnd(e){return this._isComposingInput=!1,this._updateBlinking(),!0}onConfigurationChanged(e){const i=this._context.configuration.options;this._readOnly=i.get(92),this._cursorBlinking=i.get(26),this._cursorStyle=i.get(28),this._cursorSmoothCaretAnimation=i.get(27),this._updateBlinking(),this._updateDomClassName(),this._primaryCursor.onConfigurationChanged(e);for(let n=0,s=this._secondaryCursors.length;n<s;n++)this._secondaryCursors[n].onConfigurationChanged(e);return!0}_onCursorPositionChanged(e,i,n){const s=this._secondaryCursors.length!==i.length||this._cursorSmoothCaretAnimation==="explicit"&&n!==3;if(this._primaryCursor.setPlurality(i.length?U4.MultiPrimary:U4.Single),this._primaryCursor.onCursorPositionChanged(e,s),this._updateBlinking(),this._secondaryCursors.length<i.length){const c=i.length-this._secondaryCursors.length;for(let d=0;d<c;d++){const f=new BSt(this._context,U4.MultiSecondary);this._domNode.domNode.insertBefore(f.getDomNode().domNode,this._primaryCursor.getDomNode().domNode.nextSibling),this._secondaryCursors.push(f)}}else if(this._secondaryCursors.length>i.length){const c=this._secondaryCursors.length-i.length;for(let d=0;d<c;d++)this._domNode.removeChild(this._secondaryCursors[0].getDomNode()),this._secondaryCursors.splice(0,1)}for(let c=0;c<i.length;c++)this._secondaryCursors[c].onCursorPositionChanged(i[c],s)}onCursorStateChanged(e){const i=[];for(let s=0,c=e.selections.length;s<c;s++)i[s]=e.selections[s].getPosition();this._onCursorPositionChanged(i[0],i.slice(1),e.reason);const n=e.selections[0].isEmpty();return this._selectionIsEmpty!==n&&(this._selectionIsEmpty=n,this._updateDomClassName()),!0}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onFocusChanged(e){return this._editorHasFocus=e.isFocused,this._updateBlinking(),!1}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return!0}onTokensChanged(e){const i=n=>{for(let s=0,c=e.ranges.length;s<c;s++)if(e.ranges[s].fromLineNumber<=n.lineNumber&&n.lineNumber<=e.ranges[s].toLineNumber)return!0;return!1};if(i(this._primaryCursor.getPosition()))return!0;for(const n of this._secondaryCursors)if(i(n.getPosition()))return!0;return!1}onZonesChanged(e){return!0}_getCursorBlinking(){return this._isComposingInput||!this._editorHasFocus?0:this._readOnly?5:this._cursorBlinking}_updateBlinking(){this._startCursorBlinkAnimation.cancel(),this._cursorFlatBlinkInterval.cancel();const e=this._getCursorBlinking(),i=e===0,n=e===5;i?this._hide():this._show(),this._blinkingEnabled=!1,this._updateDomClassName(),!i&&!n&&(e===1?this._cursorFlatBlinkInterval.cancelAndSet(()=>{this._isVisible?this._hide():this._show()},vne.BLINK_INTERVAL,Eo(this._domNode.domNode)):this._startCursorBlinkAnimation.setIfNotSet(()=>{this._blinkingEnabled=!0,this._updateDomClassName()},vne.BLINK_INTERVAL))}_updateDomClassName(){this._domNode.setClassName(this._getClassName())}_getClassName(){let e="cursors-layer";switch(this._selectionIsEmpty||(e+=" has-selection"),this._cursorStyle){case n0.Line:e+=" cursor-line-style";break;case n0.Block:e+=" cursor-block-style";break;case n0.Underline:e+=" cursor-underline-style";break;case n0.LineThin:e+=" cursor-line-thin-style";break;case n0.BlockOutline:e+=" cursor-block-outline-style";break;case n0.UnderlineThin:e+=" cursor-underline-thin-style";break;default:e+=" cursor-line-style"}if(this._blinkingEnabled)switch(this._getCursorBlinking()){case 1:e+=" cursor-blink";break;case 2:e+=" cursor-smooth";break;case 3:e+=" cursor-phase";break;case 4:e+=" cursor-expand";break;case 5:e+=" cursor-solid";break;default:e+=" cursor-solid"}else e+=" cursor-solid";return(this._cursorSmoothCaretAnimation==="on"||this._cursorSmoothCaretAnimation==="explicit")&&(e+=" cursor-smooth-caret-animation"),e}_show(){this._primaryCursor.show();for(let e=0,i=this._secondaryCursors.length;e<i;e++)this._secondaryCursors[e].show();this._isVisible=!0}_hide(){this._primaryCursor.hide();for(let e=0,i=this._secondaryCursors.length;e<i;e++)this._secondaryCursors[e].hide();this._isVisible=!1}prepareRender(e){this._primaryCursor.prepareRender(e);for(let i=0,n=this._secondaryCursors.length;i<n;i++)this._secondaryCursors[i].prepareRender(e)}render(e){const i=[];let n=0;const s=this._primaryCursor.render(e);s&&(i[n++]=s);for(let c=0,d=this._secondaryCursors.length;c<d;c++){const f=this._secondaryCursors[c].render(e);f&&(i[n++]=f)}this._renderData=i}getLastRenderData(){return this._renderData}};vne.BLINK_INTERVAL=500;let _je=vne;Dk((l,e)=>{const i=[{class:".cursor",foreground:bwe,background:aJe},{class:".cursor-primary",foreground:WDt,background:yyi},{class:".cursor-secondary",foreground:VDt,background:vyi}];for(const n of i){const s=l.getColor(n.foreground);if(s){let c=l.getColor(n.background);c||(c=s.opposite()),e.addRule(`.monaco-editor .cursors-layer ${n.class} { background-color: ${s}; border-color: ${s}; color: ${c}; }`),RE(l.type)&&e.addRule(`.monaco-editor .cursors-layer.has-selection ${n.class} { border-left: 1px solid ${c}; border-right: 1px solid ${c}; }`)}}});const m9e=()=>{throw new Error("Invalid change accessor")};class Jki extends nS{constructor(e){super(e);const i=this._context.configuration.options,n=i.get(146);this._lineHeight=i.get(67),this._contentWidth=n.contentWidth,this._contentLeft=n.contentLeft,this.domNode=_u(document.createElement("div")),this.domNode.setClassName("view-zones"),this.domNode.setPosition("absolute"),this.domNode.setAttribute("role","presentation"),this.domNode.setAttribute("aria-hidden","true"),this.marginDomNode=_u(document.createElement("div")),this.marginDomNode.setClassName("margin-view-zones"),this.marginDomNode.setPosition("absolute"),this.marginDomNode.setAttribute("role","presentation"),this.marginDomNode.setAttribute("aria-hidden","true"),this._zones={}}dispose(){super.dispose(),this._zones={}}_recomputeWhitespacesProps(){const e=this._context.viewLayout.getWhitespaces(),i=new Map;for(const s of e)i.set(s.id,s);let n=!1;return this._context.viewModel.changeWhitespace(s=>{const c=Object.keys(this._zones);for(let d=0,f=c.length;d<f;d++){const m=c[d],v=this._zones[m],x=this._computeWhitespaceProps(v.delegate);v.isInHiddenArea=x.isInHiddenArea;const w=i.get(m);w&&(w.afterLineNumber!==x.afterViewLineNumber||w.height!==x.heightInPx)&&(s.changeOneWhitespace(m,x.afterViewLineNumber,x.heightInPx),this._safeCallOnComputedHeight(v.delegate,x.heightInPx),n=!0)}}),n}onConfigurationChanged(e){const i=this._context.configuration.options,n=i.get(146);return this._lineHeight=i.get(67),this._contentWidth=n.contentWidth,this._contentLeft=n.contentLeft,e.hasChanged(67)&&this._recomputeWhitespacesProps(),!0}onLineMappingChanged(e){return this._recomputeWhitespacesProps()}onLinesDeleted(e){return!0}onScrollChanged(e){return e.scrollTopChanged||e.scrollWidthChanged}onZonesChanged(e){return!0}onLinesInserted(e){return!0}_getZoneOrdinal(e){return e.ordinal??e.afterColumn??1e4}_computeWhitespaceProps(e){if(e.afterLineNumber===0)return{isInHiddenArea:!1,afterViewLineNumber:0,heightInPx:this._heightInPixels(e),minWidthInPx:this._minWidthInPixels(e)};let i;if(typeof e.afterColumn<"u")i=this._context.viewModel.model.validatePosition({lineNumber:e.afterLineNumber,column:e.afterColumn});else{const d=this._context.viewModel.model.validatePosition({lineNumber:e.afterLineNumber,column:1}).lineNumber;i=new pi(d,this._context.viewModel.model.getLineMaxColumn(d))}let n;i.column===this._context.viewModel.model.getLineMaxColumn(i.lineNumber)?n=this._context.viewModel.model.validatePosition({lineNumber:i.lineNumber+1,column:1}):n=this._context.viewModel.model.validatePosition({lineNumber:i.lineNumber,column:i.column+1});const s=this._context.viewModel.coordinatesConverter.convertModelPositionToViewPosition(i,e.afterColumnAffinity,!0),c=e.showInHiddenAreas||this._context.viewModel.coordinatesConverter.modelPositionIsVisible(n);return{isInHiddenArea:!c,afterViewLineNumber:s.lineNumber,heightInPx:c?this._heightInPixels(e):0,minWidthInPx:this._minWidthInPixels(e)}}changeViewZones(e){let i=!1;return this._context.viewModel.changeWhitespace(n=>{const s={addZone:c=>(i=!0,this._addZone(n,c)),removeZone:c=>{c&&(i=this._removeZone(n,c)||i)},layoutZone:c=>{c&&(i=this._layoutZone(n,c)||i)}};Gki(e,s),s.addZone=m9e,s.removeZone=m9e,s.layoutZone=m9e}),i}_addZone(e,i){const n=this._computeWhitespaceProps(i),c={whitespaceId:e.insertWhitespace(n.afterViewLineNumber,this._getZoneOrdinal(i),n.heightInPx,n.minWidthInPx),delegate:i,isInHiddenArea:n.isInHiddenArea,isVisible:!1,domNode:_u(i.domNode),marginDomNode:i.marginDomNode?_u(i.marginDomNode):null};return this._safeCallOnComputedHeight(c.delegate,n.heightInPx),c.domNode.setPosition("absolute"),c.domNode.domNode.style.width="100%",c.domNode.setDisplay("none"),c.domNode.setAttribute("monaco-view-zone",c.whitespaceId),this.domNode.appendChild(c.domNode),c.marginDomNode&&(c.marginDomNode.setPosition("absolute"),c.marginDomNode.domNode.style.width="100%",c.marginDomNode.setDisplay("none"),c.marginDomNode.setAttribute("monaco-view-zone",c.whitespaceId),this.marginDomNode.appendChild(c.marginDomNode)),this._zones[c.whitespaceId]=c,this.setShouldRender(),c.whitespaceId}_removeZone(e,i){if(this._zones.hasOwnProperty(i)){const n=this._zones[i];return delete this._zones[i],e.removeWhitespace(n.whitespaceId),n.domNode.removeAttribute("monaco-visible-view-zone"),n.domNode.removeAttribute("monaco-view-zone"),n.domNode.domNode.remove(),n.marginDomNode&&(n.marginDomNode.removeAttribute("monaco-visible-view-zone"),n.marginDomNode.removeAttribute("monaco-view-zone"),n.marginDomNode.domNode.remove()),this.setShouldRender(),!0}return!1}_layoutZone(e,i){if(this._zones.hasOwnProperty(i)){const n=this._zones[i],s=this._computeWhitespaceProps(n.delegate);return n.isInHiddenArea=s.isInHiddenArea,e.changeOneWhitespace(n.whitespaceId,s.afterViewLineNumber,s.heightInPx),this._safeCallOnComputedHeight(n.delegate,s.heightInPx),this.setShouldRender(),!0}return!1}shouldSuppressMouseDownOnViewZone(e){return this._zones.hasOwnProperty(e)?!!this._zones[e].delegate.suppressMouseDown:!1}_heightInPixels(e){return typeof e.heightInPx=="number"?e.heightInPx:typeof e.heightInLines=="number"?this._lineHeight*e.heightInLines:this._lineHeight}_minWidthInPixels(e){return typeof e.minWidthInPx=="number"?e.minWidthInPx:0}_safeCallOnComputedHeight(e,i){if(typeof e.onComputedHeight=="function")try{e.onComputedHeight(i)}catch(n){Pa(n)}}_safeCallOnDomNodeTop(e,i){if(typeof e.onDomNodeTop=="function")try{e.onDomNodeTop(i)}catch(n){Pa(n)}}prepareRender(e){}render(e){const i=e.viewportData.whitespaceViewportData,n={};let s=!1;for(const d of i)this._zones[d.id].isInHiddenArea||(n[d.id]=d,s=!0);const c=Object.keys(this._zones);for(let d=0,f=c.length;d<f;d++){const m=c[d],v=this._zones[m];let x=0,w=0,I="none";n.hasOwnProperty(m)?(x=n[m].verticalOffset-e.bigNumbersDelta,w=n[m].height,I="block",v.isVisible||(v.domNode.setAttribute("monaco-visible-view-zone","true"),v.isVisible=!0),this._safeCallOnDomNodeTop(v.delegate,e.getScrolledTopFromAbsoluteTop(n[m].verticalOffset))):(v.isVisible&&(v.domNode.removeAttribute("monaco-visible-view-zone"),v.isVisible=!1),this._safeCallOnDomNodeTop(v.delegate,e.getScrolledTopFromAbsoluteTop(-1e6))),v.domNode.setTop(x),v.domNode.setHeight(w),v.domNode.setDisplay(I),v.marginDomNode&&(v.marginDomNode.setTop(x),v.marginDomNode.setHeight(w),v.marginDomNode.setDisplay(I))}s&&(this.domNode.setWidth(Math.max(e.scrollWidth,this._contentWidth)),this.marginDomNode.setWidth(this._contentLeft))}}function Gki(l,e){try{return l(e)}catch(i){Pa(i)}}class Kki extends oW{constructor(e){super(),this._context=e,this._options=new WSt(this._context.configuration),this._selection=[],this._renderResult=null,this._context.addEventHandler(this)}dispose(){this._context.removeEventHandler(this),this._renderResult=null,super.dispose()}onConfigurationChanged(e){const i=new WSt(this._context.configuration);return this._options.equals(i)?e.hasChanged(146):(this._options=i,!0)}onCursorStateChanged(e){return this._selection=e.selections,this._options.renderWhitespace==="selection"}onDecorationsChanged(e){return!0}onFlushed(e){return!0}onLinesChanged(e){return!0}onLinesDeleted(e){return!0}onLinesInserted(e){return!0}onScrollChanged(e){return e.scrollTopChanged}onZonesChanged(e){return!0}prepareRender(e){if(this._options.renderWhitespace==="none"){this._renderResult=null;return}const i=e.visibleRange.startLineNumber,s=e.visibleRange.endLineNumber-i+1,c=new Array(s);for(let f=0;f<s;f++)c[f]=!0;const d=this._context.viewModel.getMinimapLinesRenderingData(e.viewportData.startLineNumber,e.viewportData.endLineNumber,c);this._renderResult=[];for(let f=e.viewportData.startLineNumber;f<=e.viewportData.endLineNumber;f++){const m=f-e.viewportData.startLineNumber,v=d.data[m];let x=null;if(this._options.renderWhitespace==="selection"){const w=this._selection;for(const I of w){if(I.endLineNumber<f||I.startLineNumber>f)continue;const P=I.startLineNumber===f?I.startColumn:v.minColumn,O=I.endLineNumber===f?I.endColumn:v.maxColumn;P<O&&(x||(x=[]),x.push(new aIt(P-1,O-1)))}}this._renderResult[m]=this._applyRenderWhitespace(e,f,x,v)}}_applyRenderWhitespace(e,i,n,s){if(this._options.renderWhitespace==="selection"&&!n||this._options.renderWhitespace==="trailing"&&s.continuesWithWrappedLine)return"";const c=this._context.theme.getColor(cJe),d=this._options.renderWithSVG,f=s.content,m=this._options.stopRenderingLineAfter===-1?f.length:Math.min(this._options.stopRenderingLineAfter,f.length),v=s.continuesWithWrappedLine,x=s.minColumn-1,w=this._options.renderWhitespace==="boundary",I=this._options.renderWhitespace==="trailing",P=this._options.lineHeight,O=this._options.middotWidth,z=this._options.wsmiddotWidth,J=this._options.spaceWidth,Y=Math.abs(z-J),ae=Math.abs(O-J),me=Y<ae?11825:183,ye=this._options.canUseHalfwidthRightwardsArrow;let Ce="",Fe=!1,rt=Ty(f),ct;rt===-1?(Fe=!0,rt=m,ct=m):ct=ME(f);let Mt=0,Yt=n&&n[Mt],Bi=0;for(let $i=x;$i<m;$i++){const $t=f.charCodeAt($i);if(Yt&&$i>=Yt.endOffset&&(Mt++,Yt=n&&n[Mt]),$t!==9&&$t!==32||I&&!Fe&&$i<=ct)continue;if(w&&$i>=rt&&$i<=ct&&$t===32){const An=$i-1>=0?f.charCodeAt($i-1):0,qt=$i+1<m?f.charCodeAt($i+1):0;if(An!==32&&qt!==32)continue}if(w&&v&&$i===m-1){const An=$i-1>=0?f.charCodeAt($i-1):0;if($t===32&&An!==32&&An!==9)continue}if(n&&(!Yt||Yt.startOffset>$i||Yt.endOffset<=$i))continue;const Hi=e.visibleRangeForPosition(new pi(i,$i+1));Hi&&(d?(Bi=Math.max(Bi,Hi.left),$t===9?Ce+=this._renderArrow(P,J,Hi.left):Ce+=`<circle cx="${(Hi.left+J/2).toFixed(2)}" cy="${(P/2).toFixed(2)}" r="${(J/7).toFixed(2)}" />`):$t===9?Ce+=`<div class="mwh" style="left:${Hi.left}px;height:${P}px;">${ye?"→":"→"}</div>`:Ce+=`<div class="mwh" style="left:${Hi.left}px;height:${P}px;">${String.fromCharCode(me)}</div>`)}return d?(Bi=Math.round(Bi+J),`<svg style="bottom:0;position:absolute;width:${Bi}px;height:${P}px" viewBox="0 0 ${Bi} ${P}" xmlns="http://www.w3.org/2000/svg" fill="${c}">`+Ce+"</svg>"):Ce}_renderArrow(e,i,n){const s=i/7,c=i,d=e/2,f=n,m={x:0,y:s/2},v={x:100/125*c,y:m.y},x={x:v.x-.2*v.x,y:v.y+.2*v.x},w={x:x.x+.1*v.x,y:x.y+.1*v.x},I={x:w.x+.35*v.x,y:w.y-.35*v.x},P={x:I.x,y:-I.y},O={x:w.x,y:-w.y},z={x:x.x,y:-x.y},J={x:v.x,y:-v.y},Y={x:m.x,y:-m.y};return`<path d="M ${[m,v,x,w,I,P,O,z,J,Y].map(ye=>`${(f+ye.x).toFixed(2)} ${(d+ye.y).toFixed(2)}`).join(" L ")}" />`}render(e,i){if(!this._renderResult)return"";const n=i-e;return n<0||n>=this._renderResult.length?"":this._renderResult[n]}}class WSt{constructor(e){const i=e.options,n=i.get(50),s=i.get(38);s==="off"?(this.renderWhitespace="none",this.renderWithSVG=!1):s==="svg"?(this.renderWhitespace=i.get(100),this.renderWithSVG=!0):(this.renderWhitespace=i.get(100),this.renderWithSVG=!1),this.spaceWidth=n.spaceWidth,this.middotWidth=n.middotWidth,this.wsmiddotWidth=n.wsmiddotWidth,this.canUseHalfwidthRightwardsArrow=n.canUseHalfwidthRightwardsArrow,this.lineHeight=i.get(67),this.stopRenderingLineAfter=i.get(118)}equals(e){return this.renderWhitespace===e.renderWhitespace&&this.renderWithSVG===e.renderWithSVG&&this.spaceWidth===e.spaceWidth&&this.middotWidth===e.middotWidth&&this.wsmiddotWidth===e.wsmiddotWidth&&this.canUseHalfwidthRightwardsArrow===e.canUseHalfwidthRightwardsArrow&&this.lineHeight===e.lineHeight&&this.stopRenderingLineAfter===e.stopRenderingLineAfter}}class Xki{constructor(e,i,n,s){this.selections=e,this.startLineNumber=i.startLineNumber|0,this.endLineNumber=i.endLineNumber|0,this.relativeVerticalOffset=i.relativeVerticalOffset,this.bigNumbersDelta=i.bigNumbersDelta|0,this.lineHeight=i.lineHeight|0,this.whitespaceViewportData=n,this._model=s,this.visibleRange=new nt(i.startLineNumber,this._model.getLineMinColumn(i.startLineNumber),i.endLineNumber,this._model.getLineMaxColumn(i.endLineNumber))}getViewLineRenderingData(e){return this._model.getViewportViewLineRenderingData(this.visibleRange,e)}getDecorationsInViewport(){return this._model.getDecorationsInViewport(this.visibleRange)}}class Qki{get type(){return this._theme.type}get value(){return this._theme}constructor(e){this._theme=e}update(e){this._theme=e}getColor(e){return this._theme.getColor(e)}}class Zki{constructor(e,i,n){this.configuration=e,this.theme=new Qki(i),this.viewModel=n,this.viewLayout=n.viewLayout}addEventHandler(e){this.viewModel.addViewEventHandler(e)}removeEventHandler(e){this.viewModel.removeViewEventHandler(e)}}var Yki=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},e2i=function(l,e){return function(i,n){e(i,n,l)}};let pje=class extends Mse{constructor(e,i,n,s,c,d,f){super(),this._instantiationService=f,this._shouldRecomputeGlyphMarginLanes=!1,this._selections=[new Us(1,1,1,1)],this._renderAnimationFrame=null;const m=new cki(i,s,c,e);this._context=new Zki(i,n,s),this._context.addEventHandler(this),this._viewParts=[],this._textAreaHandler=this._instantiationService.createInstance(aje,this._context,m,this._createTextAreaHandlerHelper()),this._viewParts.push(this._textAreaHandler),this._linesContent=_u(document.createElement("div")),this._linesContent.setClassName("lines-content monaco-editor-background"),this._linesContent.setPosition("absolute"),this.domNode=_u(document.createElement("div")),this.domNode.setClassName(this._getEditorClassName()),this.domNode.setAttribute("role","code"),this._overflowGuardContainer=_u(document.createElement("div")),JE.write(this._overflowGuardContainer,3),this._overflowGuardContainer.setClassName("overflow-guard"),this._scrollbar=new yki(this._context,this._linesContent,this.domNode,this._overflowGuardContainer),this._viewParts.push(this._scrollbar),this._viewLines=new fje(this._context,this._linesContent),this._viewZones=new Jki(this._context),this._viewParts.push(this._viewZones);const v=new Bki(this._context);this._viewParts.push(v);const x=new jki(this._context);this._viewParts.push(x);const w=new uki(this._context);this._viewParts.push(w),w.addDynamicOverlay(new pki(this._context)),w.addDynamicOverlay(new hje(this._context)),w.addDynamicOverlay(new kki(this._context)),w.addDynamicOverlay(new gki(this._context)),w.addDynamicOverlay(new Kki(this._context));const I=new dki(this._context);this._viewParts.push(I),I.addDynamicOverlay(new mki(this._context)),I.addDynamicOverlay(new Nki(this._context)),I.addDynamicOverlay(new Iki(this._context)),I.addDynamicOverlay(new wve(this._context)),this._glyphMarginWidgets=new Cki(this._context),this._viewParts.push(this._glyphMarginWidgets);const P=new xve(this._context);P.getDomNode().appendChild(this._viewZones.marginDomNode),P.getDomNode().appendChild(I.getDomNode()),P.getDomNode().appendChild(this._glyphMarginWidgets.domNode),this._viewParts.push(P),this._contentWidgets=new hki(this._context,this.domNode),this._viewParts.push(this._contentWidgets),this._viewCursors=new _je(this._context),this._viewParts.push(this._viewCursors),this._overlayWidgets=new Rki(this._context,this.domNode),this._viewParts.push(this._overlayWidgets);const O=new Hki(this._context);this._viewParts.push(O);const z=new fki(this._context);this._viewParts.push(z);const J=new Mki(this._context);if(this._viewParts.push(J),v){const Y=this._scrollbar.getOverviewRulerLayoutInfo();Y.parent.insertBefore(v.getDomNode(),Y.insertBefore)}this._linesContent.appendChild(w.getDomNode()),this._linesContent.appendChild(O.domNode),this._linesContent.appendChild(this._viewZones.domNode),this._linesContent.appendChild(this._viewLines.getDomNode()),this._linesContent.appendChild(this._contentWidgets.domNode),this._linesContent.appendChild(this._viewCursors.getDomNode()),this._overflowGuardContainer.appendChild(P.getDomNode()),this._overflowGuardContainer.appendChild(this._scrollbar.getDomNode()),this._overflowGuardContainer.appendChild(x.getDomNode()),this._overflowGuardContainer.appendChild(this._textAreaHandler.textArea),this._overflowGuardContainer.appendChild(this._textAreaHandler.textAreaCover),this._overflowGuardContainer.appendChild(this._overlayWidgets.getDomNode()),this._overflowGuardContainer.appendChild(J.getDomNode()),this._overflowGuardContainer.appendChild(z.domNode),this.domNode.appendChild(this._overflowGuardContainer),d?(d.appendChild(this._contentWidgets.overflowingContentWidgetsDomNode.domNode),d.appendChild(this._overlayWidgets.overflowingOverlayWidgetsDomNode.domNode)):(this.domNode.appendChild(this._contentWidgets.overflowingContentWidgetsDomNode),this.domNode.appendChild(this._overlayWidgets.overflowingOverlayWidgetsDomNode)),this._applyLayout(),this._pointerHandler=this._register(new Nxi(this._context,m,this._createPointerHandlerHelper()))}_computeGlyphMarginLanes(){const e=this._context.viewModel.model,i=this._context.viewModel.glyphLanes;let n=[],s=0;n=n.concat(e.getAllMarginDecorations().map(c=>{var f,m;const d=((f=c.options.glyphMargin)==null?void 0:f.position)??CT.Center;return s=Math.max(s,c.range.endLineNumber),{range:c.range,lane:d,persist:(m=c.options.glyphMargin)==null?void 0:m.persistLane}})),n=n.concat(this._glyphMarginWidgets.getWidgets().map(c=>{const d=e.validateRange(c.preference.range);return s=Math.max(s,d.endLineNumber),{range:d,lane:c.preference.lane}})),n.sort((c,d)=>nt.compareRangesUsingStarts(c.range,d.range)),i.reset(s);for(const c of n)i.push(c.lane,c.range,c.persist);return i}_createPointerHandlerHelper(){return{viewDomNode:this.domNode.domNode,linesContentDomNode:this._linesContent.domNode,viewLinesDomNode:this._viewLines.getDomNode().domNode,focusTextArea:()=>{this.focus()},dispatchTextAreaEvent:e=>{this._textAreaHandler.textArea.domNode.dispatchEvent(e)},getLastRenderData:()=>{const e=this._viewCursors.getLastRenderData()||[],i=this._textAreaHandler.getLastRenderData();return new yxi(e,i)},renderNow:()=>{this.render(!0,!1)},shouldSuppressMouseDownOnViewZone:e=>this._viewZones.shouldSuppressMouseDownOnViewZone(e),shouldSuppressMouseDownOnWidget:e=>this._contentWidgets.shouldSuppressMouseDownOnWidget(e),getPositionFromDOMInfo:(e,i)=>(this._flushAccumulatedAndRenderNow(),this._viewLines.getPositionFromDOMInfo(e,i)),visibleRangeForPosition:(e,i)=>(this._flushAccumulatedAndRenderNow(),this._viewLines.visibleRangeForPosition(new pi(e,i))),getLineWidth:e=>(this._flushAccumulatedAndRenderNow(),this._viewLines.getLineWidth(e))}}_createTextAreaHandlerHelper(){return{visibleRangeForPosition:e=>(this._flushAccumulatedAndRenderNow(),this._viewLines.visibleRangeForPosition(e))}}_applyLayout(){const i=this._context.configuration.options.get(146);this.domNode.setWidth(i.width),this.domNode.setHeight(i.height),this._overflowGuardContainer.setWidth(i.width),this._overflowGuardContainer.setHeight(i.height),this._linesContent.setWidth(16777216),this._linesContent.setHeight(16777216)}_getEditorClassName(){const e=this._textAreaHandler.isFocused()?" focused":"";return this._context.configuration.options.get(143)+" "+JWe(this._context.theme.type)+e}handleEvents(e){super.handleEvents(e),this._scheduleRender()}onConfigurationChanged(e){return this.domNode.setClassName(this._getEditorClassName()),this._applyLayout(),!1}onCursorStateChanged(e){return this._selections=e.selections,!1}onDecorationsChanged(e){return e.affectsGlyphMargin&&(this._shouldRecomputeGlyphMarginLanes=!0),!1}onFocusChanged(e){return this.domNode.setClassName(this._getEditorClassName()),!1}onThemeChanged(e){return this._context.theme.update(e.theme),this.domNode.setClassName(this._getEditorClassName()),!1}dispose(){this._renderAnimationFrame!==null&&(this._renderAnimationFrame.dispose(),this._renderAnimationFrame=null),this._contentWidgets.overflowingContentWidgetsDomNode.domNode.remove(),this._context.removeEventHandler(this),this._viewLines.dispose();for(const e of this._viewParts)e.dispose();super.dispose()}_scheduleRender(){var e;if(this._store.isDisposed)throw new tu;if(this._renderAnimationFrame===null){const i=this._createCoordinatedRendering();this._renderAnimationFrame=mje.INSTANCE.scheduleCoordinatedRendering({window:Eo((e=this.domNode)==null?void 0:e.domNode),prepareRenderText:()=>{if(this._store.isDisposed)throw new tu;try{return i.prepareRenderText()}finally{this._renderAnimationFrame=null}},renderText:()=>{if(this._store.isDisposed)throw new tu;return i.renderText()},prepareRender:(n,s)=>{if(this._store.isDisposed)throw new tu;return i.prepareRender(n,s)},render:(n,s)=>{if(this._store.isDisposed)throw new tu;return i.render(n,s)}})}}_flushAccumulatedAndRenderNow(){const e=this._createCoordinatedRendering();WM(()=>e.prepareRenderText());const i=WM(()=>e.renderText());if(i){const[n,s]=i;WM(()=>e.prepareRender(n,s)),WM(()=>e.render(n,s))}}_getViewPartsToRender(){const e=[];let i=0;for(const n of this._viewParts)n.shouldRender()&&(e[i++]=n);return e}_createCoordinatedRendering(){return{prepareRenderText:()=>{if(this._shouldRecomputeGlyphMarginLanes){this._shouldRecomputeGlyphMarginLanes=!1;const e=this._computeGlyphMarginLanes();this._context.configuration.setGlyphMarginDecorationLaneCount(e.requiredLanes)}BM.onRenderStart()},renderText:()=>{if(!this.domNode.domNode.isConnected)return null;let e=this._getViewPartsToRender();if(!this._viewLines.shouldRender()&&e.length===0)return null;const i=this._context.viewLayout.getLinesViewportData();this._context.viewModel.setViewport(i.startLineNumber,i.endLineNumber,i.centeredLineNumber);const n=new Xki(this._selections,i,this._context.viewLayout.getWhitespaceViewportData(),this._context.viewModel);return this._contentWidgets.shouldRender()&&this._contentWidgets.onBeforeRender(n),this._viewLines.shouldRender()&&(this._viewLines.renderText(n),this._viewLines.onDidRender(),e=this._getViewPartsToRender()),[e,new dxi(this._context.viewLayout,n,this._viewLines)]},prepareRender:(e,i)=>{for(const n of e)n.prepareRender(i)},render:(e,i)=>{for(const n of e)n.render(i),n.onDidRender()}}}delegateVerticalScrollbarPointerDown(e){this._scrollbar.delegateVerticalScrollbarPointerDown(e)}delegateScrollFromMouseWheelEvent(e){this._scrollbar.delegateScrollFromMouseWheelEvent(e)}restoreState(e){this._context.viewModel.viewLayout.setScrollPosition({scrollTop:e.scrollTop,scrollLeft:e.scrollLeft},1),this._context.viewModel.visibleLinesStabilized()}getOffsetForColumn(e,i){const n=this._context.viewModel.model.validatePosition({lineNumber:e,column:i}),s=this._context.viewModel.coordinatesConverter.convertModelPositionToViewPosition(n);this._flushAccumulatedAndRenderNow();const c=this._viewLines.visibleRangeForPosition(new pi(s.lineNumber,s.column));return c?c.left:-1}getTargetAtClientPoint(e,i){const n=this._pointerHandler.getTargetAtClientPoint(e,i);return n?Rwe.convertViewToModelMouseTarget(n,this._context.viewModel.coordinatesConverter):null}createOverviewRuler(e){return new Vki(this._context,e)}change(e){this._viewZones.changeViewZones(e),this._scheduleRender()}render(e,i){if(i){this._viewLines.forceShouldRender();for(const n of this._viewParts)n.forceShouldRender()}e?this._flushAccumulatedAndRenderNow():this._scheduleRender()}writeScreenReaderContent(e){this._textAreaHandler.writeScreenReaderContent(e)}focus(){this._textAreaHandler.focusTextArea()}isFocused(){return this._textAreaHandler.isFocused()}setAriaOptions(e){this._textAreaHandler.setAriaOptions(e)}addContentWidget(e){this._contentWidgets.addWidget(e.widget),this.layoutContentWidget(e),this._scheduleRender()}layoutContentWidget(e){var i,n,s,c;this._contentWidgets.setWidgetPosition(e.widget,((i=e.position)==null?void 0:i.position)??null,((n=e.position)==null?void 0:n.secondaryPosition)??null,((s=e.position)==null?void 0:s.preference)??null,((c=e.position)==null?void 0:c.positionAffinity)??null),this._scheduleRender()}removeContentWidget(e){this._contentWidgets.removeWidget(e.widget),this._scheduleRender()}addOverlayWidget(e){this._overlayWidgets.addWidget(e.widget),this.layoutOverlayWidget(e),this._scheduleRender()}layoutOverlayWidget(e){this._overlayWidgets.setWidgetPosition(e.widget,e.position)&&this._scheduleRender()}removeOverlayWidget(e){this._overlayWidgets.removeWidget(e.widget),this._scheduleRender()}addGlyphMarginWidget(e){this._glyphMarginWidgets.addWidget(e.widget),this._shouldRecomputeGlyphMarginLanes=!0,this._scheduleRender()}layoutGlyphMarginWidget(e){const i=e.position;this._glyphMarginWidgets.setWidgetPosition(e.widget,i)&&(this._shouldRecomputeGlyphMarginLanes=!0,this._scheduleRender())}removeGlyphMarginWidget(e){this._glyphMarginWidgets.removeWidget(e.widget),this._shouldRecomputeGlyphMarginLanes=!0,this._scheduleRender()}};pje=Yki([e2i(6,ho)],pje);function WM(l){try{return l()}catch(e){return Pa(e),null}}const NCe=class NCe{constructor(){this._coordinatedRenderings=[],this._animationFrameRunners=new Map}scheduleCoordinatedRendering(e){return this._coordinatedRenderings.push(e),this._scheduleRender(e.window),{dispose:()=>{const i=this._coordinatedRenderings.indexOf(e);if(i!==-1&&(this._coordinatedRenderings.splice(i,1),this._coordinatedRenderings.length===0)){for(const[n,s]of this._animationFrameRunners)s.dispose();this._animationFrameRunners.clear()}}}}_scheduleRender(e){if(!this._animationFrameRunners.has(e)){const i=()=>{this._animationFrameRunners.delete(e),this._onRenderScheduled()};this._animationFrameRunners.set(e,aye(e,i,100))}}_onRenderScheduled(){const e=this._coordinatedRenderings.slice(0);this._coordinatedRenderings=[];for(const n of e)WM(()=>n.prepareRenderText());const i=[];for(let n=0,s=e.length;n<s;n++){const c=e[n];i[n]=WM(()=>c.renderText())}for(let n=0,s=e.length;n<s;n++){const c=e[n],d=i[n];if(!d)continue;const[f,m]=d;WM(()=>c.prepareRender(f,m))}for(let n=0,s=e.length;n<s;n++){const c=e[n],d=i[n];if(!d)continue;const[f,m]=d;WM(()=>c.render(f,m))}}};NCe.INSTANCE=new NCe;let mje=NCe;class $ie{constructor(e,i,n,s,c){this.injectionOffsets=e,this.injectionOptions=i,this.breakOffsets=n,this.breakOffsetsVisibleColumn=s,this.wrappedTextIndentLength=c}getOutputLineCount(){return this.breakOffsets.length}getMinOutputOffset(e){return e>0?this.wrappedTextIndentLength:0}getLineLength(e){const i=e>0?this.breakOffsets[e-1]:0;let s=this.breakOffsets[e]-i;return e>0&&(s+=this.wrappedTextIndentLength),s}getMaxOutputOffset(e){return this.getLineLength(e)}translateToInputOffset(e,i){e>0&&(i=Math.max(0,i-this.wrappedTextIndentLength));let s=e===0?i:this.breakOffsets[e-1]+i;if(this.injectionOffsets!==null)for(let c=0;c<this.injectionOffsets.length&&s>this.injectionOffsets[c];c++)s<this.injectionOffsets[c]+this.injectionOptions[c].content.length?s=this.injectionOffsets[c]:s-=this.injectionOptions[c].content.length;return s}translateToOutputPosition(e,i=2){let n=e;if(this.injectionOffsets!==null)for(let s=0;s<this.injectionOffsets.length&&!(e<this.injectionOffsets[s]||i!==1&&e===this.injectionOffsets[s]);s++)n+=this.injectionOptions[s].content.length;return this.offsetInInputWithInjectionsToOutputPosition(n,i)}offsetInInputWithInjectionsToOutputPosition(e,i=2){let n=0,s=this.breakOffsets.length-1,c=0,d=0;for(;n<=s;){c=n+(s-n)/2|0;const m=this.breakOffsets[c];if(d=c>0?this.breakOffsets[c-1]:0,i===0)if(e<=d)s=c-1;else if(e>m)n=c+1;else break;else if(e<d)s=c-1;else if(e>=m)n=c+1;else break}let f=e-d;return c>0&&(f+=this.wrappedTextIndentLength),new k0e(c,f)}normalizeOutputPosition(e,i,n){if(this.injectionOffsets!==null){const s=this.outputPositionToOffsetInInputWithInjections(e,i),c=this.normalizeOffsetInInputWithInjectionsAroundInjections(s,n);if(c!==s)return this.offsetInInputWithInjectionsToOutputPosition(c,n)}if(n===0){if(e>0&&i===this.getMinOutputOffset(e))return new k0e(e-1,this.getMaxOutputOffset(e-1))}else if(n===1){const s=this.getOutputLineCount()-1;if(e<s&&i===this.getMaxOutputOffset(e))return new k0e(e+1,this.getMinOutputOffset(e+1))}return new k0e(e,i)}outputPositionToOffsetInInputWithInjections(e,i){return e>0&&(i=Math.max(0,i-this.wrappedTextIndentLength)),(e>0?this.breakOffsets[e-1]:0)+i}normalizeOffsetInInputWithInjectionsAroundInjections(e,i){const n=this.getInjectedTextAtOffset(e);if(!n)return e;if(i===2){if(e===n.offsetInInputWithInjections+n.length&&VSt(this.injectionOptions[n.injectedTextIndex].cursorStops))return n.offsetInInputWithInjections+n.length;{let s=n.offsetInInputWithInjections;if(HSt(this.injectionOptions[n.injectedTextIndex].cursorStops))return s;let c=n.injectedTextIndex-1;for(;c>=0&&this.injectionOffsets[c]===this.injectionOffsets[n.injectedTextIndex]&&!(VSt(this.injectionOptions[c].cursorStops)||(s-=this.injectionOptions[c].content.length,HSt(this.injectionOptions[c].cursorStops)));)c--;return s}}else if(i===1||i===4){let s=n.offsetInInputWithInjections+n.length,c=n.injectedTextIndex;for(;c+1<this.injectionOffsets.length&&this.injectionOffsets[c+1]===this.injectionOffsets[c];)s+=this.injectionOptions[c+1].content.length,c++;return s}else if(i===0||i===3){let s=n.offsetInInputWithInjections,c=n.injectedTextIndex;for(;c-1>=0&&this.injectionOffsets[c-1]===this.injectionOffsets[c];)s-=this.injectionOptions[c-1].content.length,c--;return s}qSe()}getInjectedText(e,i){const n=this.outputPositionToOffsetInInputWithInjections(e,i),s=this.getInjectedTextAtOffset(n);return s?{options:this.injectionOptions[s.injectedTextIndex]}:null}getInjectedTextAtOffset(e){const i=this.injectionOffsets,n=this.injectionOptions;if(i!==null){let s=0;for(let c=0;c<i.length;c++){const d=n[c].content.length,f=i[c]+s,m=i[c]+s+d;if(f>e)break;if(e<=m)return{injectedTextIndex:c,offsetInInputWithInjections:f,length:d};s+=d}}}}function VSt(l){return l==null?!0:l===_T.Right||l===_T.Both}function HSt(l){return l==null?!0:l===_T.Left||l===_T.Both}class k0e{constructor(e,i){this.outputLineIndex=e,this.outputOffset=i}toString(){return`${this.outputLineIndex}:${this.outputOffset}`}toPosition(e){return new pi(e+this.outputLineIndex,this.outputOffset+1)}}const g9e=v6("domLineBreaksComputer",{createHTML:l=>l});class iGe{static create(e){return new iGe(new WeakRef(e))}constructor(e){this.targetWindow=e}createLineBreaksComputer(e,i,n,s,c){const d=[],f=[];return{addRequest:(m,v,x)=>{d.push(m),f.push(v)},finalize:()=>t2i(NM(this.targetWindow.deref()),d,e,i,n,s,c,f)}}}function t2i(l,e,i,n,s,c,d,f){function m(Mt){const Yt=f[Mt];if(Yt){const Bi=UE.applyInjectedText(e[Mt],Yt),$i=Yt.map(Hi=>Hi.options),$t=Yt.map(Hi=>Hi.column-1);return new $ie($t,$i,[Bi.length],[],0)}else return null}if(s===-1){const Mt=[];for(let Yt=0,Bi=e.length;Yt<Bi;Yt++)Mt[Yt]=m(Yt);return Mt}const v=Math.round(s*i.typicalHalfwidthCharacterWidth),w=Math.round(n*(c===3?2:c===2?1:0)),I=Math.ceil(i.spaceWidth*w),P=document.createElement("div");a0(P,i);const O=new gJ(1e4),z=[],J=[],Y=[],ae=[],me=[];for(let Mt=0;Mt<e.length;Mt++){const Yt=UE.applyInjectedText(e[Mt],f[Mt]);let Bi=0,$i=0,$t=v;if(c!==0)if(Bi=Ty(Yt),Bi===-1)Bi=0;else{for(let ms=0;ms<Bi;ms++){const Wr=Yt.charCodeAt(ms)===9?n-$i%n:1;$i+=Wr}const qt=Math.ceil(i.spaceWidth*$i);qt+i.typicalFullwidthCharacterWidth>v?(Bi=0,$i=0):$t=v-qt}const Hi=Yt.substr(Bi),An=i2i(Hi,$i,n,$t,O,I);z[Mt]=Bi,J[Mt]=$i,Y[Mt]=Hi,ae[Mt]=An[0],me[Mt]=An[1]}const ye=O.build(),Ce=(g9e==null?void 0:g9e.createHTML(ye))??ye;P.innerHTML=Ce,P.style.position="absolute",P.style.top="10000",d==="keepAll"?(P.style.wordBreak="keep-all",P.style.overflowWrap="anywhere"):(P.style.wordBreak="inherit",P.style.overflowWrap="break-word"),l.document.body.appendChild(P);const Fe=document.createRange(),rt=Array.prototype.slice.call(P.children,0),ct=[];for(let Mt=0;Mt<e.length;Mt++){const Yt=rt[Mt],Bi=n2i(Fe,Yt,Y[Mt],ae[Mt]);if(Bi===null){ct[Mt]=m(Mt);continue}const $i=z[Mt],$t=J[Mt]+w,Hi=me[Mt],An=[];for(let Dr=0,Rr=Bi.length;Dr<Rr;Dr++)An[Dr]=Hi[Bi[Dr]];if($i!==0)for(let Dr=0,Rr=Bi.length;Dr<Rr;Dr++)Bi[Dr]+=$i;let qt,ms;const Wr=f[Mt];Wr?(qt=Wr.map(Dr=>Dr.options),ms=Wr.map(Dr=>Dr.column-1)):(qt=null,ms=null),ct[Mt]=new $ie(ms,qt,Bi,An,$t)}return P.remove(),ct}function i2i(l,e,i,n,s,c){if(c!==0){const I=String(c);s.appendString('<div style="text-indent: -'),s.appendString(I),s.appendString("px; padding-left: "),s.appendString(I),s.appendString("px; box-sizing: border-box; width:")}else s.appendString('<div style="width:');s.appendString(String(n)),s.appendString('px;">');const d=l.length;let f=e,m=0;const v=[],x=[];let w=0<d?l.charCodeAt(0):0;s.appendString("<span>");for(let I=0;I<d;I++){I!==0&&I%16384===0&&s.appendString("</span><span>"),v[I]=m,x[I]=f;const P=w;w=I+1<d?l.charCodeAt(I+1):0;let O=1,z=1;switch(P){case 9:O=i-f%i,z=O;for(let J=1;J<=O;J++)J<O?s.appendCharCode(160):s.appendASCIICharCode(32);break;case 32:w===32?s.appendCharCode(160):s.appendASCIICharCode(32);break;case 60:s.appendString("&lt;");break;case 62:s.appendString("&gt;");break;case 38:s.appendString("&amp;");break;case 0:s.appendString("&#00;");break;case 65279:case 8232:case 8233:case 133:s.appendCharCode(65533);break;default:bR(P)&&z++,P<32?s.appendCharCode(9216+P):s.appendCharCode(P)}m+=O,f+=z}return s.appendString("</span>"),v[l.length]=m,x[l.length]=f,s.appendString("</div>"),[v,x]}function n2i(l,e,i,n){if(i.length<=1)return null;const s=Array.prototype.slice.call(e.children,0),c=[];try{gje(l,s,n,0,null,i.length-1,null,c)}catch(d){return console.log(d),null}return c.length===0?null:(c.push(i.length),c)}function gje(l,e,i,n,s,c,d,f){if(n===c||(s=s||y9e(l,e,i[n],i[n+1]),d=d||y9e(l,e,i[c],i[c+1]),Math.abs(s[0].top-d[0].top)<=.1))return;if(n+1===c){f.push(c);return}const m=n+(c-n)/2|0,v=y9e(l,e,i[m],i[m+1]);gje(l,e,i,n,s,m,v,f),gje(l,e,i,m,v,c,d,f)}function y9e(l,e,i,n){return l.setStart(e[i/16384|0].firstChild,i%16384),l.setEnd(e[n/16384|0].firstChild,n%16384),l.getClientRects()}class r2i extends xi{constructor(){super(),this._editor=null,this._instantiationService=null,this._instances=this._register(new Jqe),this._pending=new Map,this._finishedInstantiation=[],this._finishedInstantiation[0]=!1,this._finishedInstantiation[1]=!1,this._finishedInstantiation[2]=!1,this._finishedInstantiation[3]=!1}initialize(e,i,n){this._editor=e,this._instantiationService=n;for(const s of i){if(this._pending.has(s.id)){Pa(new Error(`Cannot have two contributions with the same id ${s.id}`));continue}this._pending.set(s.id,s)}this._instantiateSome(0),this._register(Yte(Eo(this._editor.getDomNode()),()=>{this._instantiateSome(1)})),this._register(Yte(Eo(this._editor.getDomNode()),()=>{this._instantiateSome(2)})),this._register(Yte(Eo(this._editor.getDomNode()),()=>{this._instantiateSome(3)},5e3))}saveViewState(){const e={};for(const[i,n]of this._instances)typeof n.saveViewState=="function"&&(e[i]=n.saveViewState());return e}restoreViewState(e){for(const[i,n]of this._instances)typeof n.restoreViewState=="function"&&n.restoreViewState(e[i])}get(e){return this._instantiateById(e),this._instances.get(e)||null}onBeforeInteractionEvent(){this._instantiateSome(2)}onAfterModelAttached(){var e;return Yte(Eo((e=this._editor)==null?void 0:e.getDomNode()),()=>{this._instantiateSome(1)},50)}_instantiateSome(e){if(this._finishedInstantiation[e])return;this._finishedInstantiation[e]=!0;const i=this._findPendingContributionsByInstantiation(e);for(const n of i)this._instantiateById(n.id)}_findPendingContributionsByInstantiation(e){const i=[];for(const[,n]of this._pending)n.instantiation===e&&i.push(n);return i}_instantiateById(e){const i=this._pending.get(e);if(i){if(this._pending.delete(e),!this._instantiationService||!this._editor)throw new Error("Cannot instantiate contributions before being initialized!");try{const n=this._instantiationService.createInstance(i.ctor,this._editor);this._instances.set(i.id,n),typeof n.restoreViewState=="function"&&i.instantiation!==0&&console.warn(`Editor contribution '${i.id}' should be eager instantiated because it uses saveViewState / restoreViewState.`)}catch(n){Pa(n)}}}}class NIt{constructor(e,i,n,s,c,d,f){this.id=e,this.label=i,this.alias=n,this.metadata=s,this._precondition=c,this._run=d,this._contextKeyService=f}isSupported(){return this._contextKeyService.contextMatchesRules(this._precondition)}run(e){return this.isSupported()?this._run(e):Promise.resolve(void 0)}}class nGe{static create(e){return new nGe(e.get(135),e.get(134))}constructor(e,i){this.classifier=new s2i(e,i)}createLineBreaksComputer(e,i,n,s,c){const d=[],f=[],m=[];return{addRequest:(v,x,w)=>{d.push(v),f.push(x),m.push(w)},finalize:()=>{const v=e.typicalFullwidthCharacterWidth/e.typicalHalfwidthCharacterWidth,x=[];for(let w=0,I=d.length;w<I;w++){const P=f[w],O=m[w];O&&!O.injectionOptions&&!P?x[w]=o2i(this.classifier,O,d[w],i,n,v,s,c):x[w]=a2i(this.classifier,d[w],P,i,n,v,s,c)}return yje.length=0,vje.length=0,x}}}}class s2i extends yJ{constructor(e,i){super(0);for(let n=0;n<e.length;n++)this.set(e.charCodeAt(n),1);for(let n=0;n<i.length;n++)this.set(i.charCodeAt(n),2)}get(e){return e>=0&&e<256?this._asciiMap[e]:e>=12352&&e<=12543||e>=13312&&e<=19903||e>=19968&&e<=40959?3:this._map.get(e)||this._defaultValue}}let yje=[],vje=[];function o2i(l,e,i,n,s,c,d,f){if(s===-1)return null;const m=i.length;if(m<=1)return null;const v=f==="keepAll",x=e.breakOffsets,w=e.breakOffsetsVisibleColumn,I=LIt(i,n,s,c,d),P=s-I,O=yje,z=vje;let J=0,Y=0,ae=0,me=s;const ye=x.length;let Ce=0;if(Ce>=0){let Fe=Math.abs(w[Ce]-me);for(;Ce+1<ye;){const rt=Math.abs(w[Ce+1]-me);if(rt>=Fe)break;Fe=rt,Ce++}}for(;Ce<ye;){let Fe=Ce<0?0:x[Ce],rt=Ce<0?0:w[Ce];Y>Fe&&(Fe=Y,rt=ae);let ct=0,Mt=0,Yt=0,Bi=0;if(rt<=me){let $t=rt,Hi=Fe===0?0:i.charCodeAt(Fe-1),An=Fe===0?0:l.get(Hi),qt=!0;for(let ms=Fe;ms<m;ms++){const Wr=ms,Dr=i.charCodeAt(ms);let Rr,na;if(Pm(Dr)?(ms++,Rr=0,na=2):(Rr=l.get(Dr),na=Jie(Dr,$t,n,c)),Wr>Y&&bje(Hi,An,Dr,Rr,v)&&(ct=Wr,Mt=$t),$t+=na,$t>me){Wr>Y?(Yt=Wr,Bi=$t-na):(Yt=ms+1,Bi=$t),$t-Mt>P&&(ct=0),qt=!1;break}Hi=Dr,An=Rr}if(qt){J>0&&(O[J]=x[x.length-1],z[J]=w[x.length-1],J++);break}}if(ct===0){let $t=rt,Hi=i.charCodeAt(Fe),An=l.get(Hi),qt=!1;for(let ms=Fe-1;ms>=Y;ms--){const Wr=ms+1,Dr=i.charCodeAt(ms);if(Dr===9){qt=!0;break}let Rr,na;if(wB(Dr)?(ms--,Rr=0,na=2):(Rr=l.get(Dr),na=bR(Dr)?c:1),$t<=me){if(Yt===0&&(Yt=Wr,Bi=$t),$t<=me-P)break;if(bje(Dr,Rr,Hi,An,v)){ct=Wr,Mt=$t;break}}$t-=na,Hi=Dr,An=Rr}if(ct!==0){const ms=P-(Bi-Mt);if(ms<=n){const Wr=i.charCodeAt(Yt);let Dr;Pm(Wr)?Dr=2:Dr=Jie(Wr,Bi,n,c),ms-Dr<0&&(ct=0)}}if(qt){Ce--;continue}}if(ct===0&&(ct=Yt,Mt=Bi),ct<=Y){const $t=i.charCodeAt(Y);Pm($t)?(ct=Y+2,Mt=ae+2):(ct=Y+1,Mt=ae+Jie($t,ae,n,c))}for(Y=ct,O[J]=ct,ae=Mt,z[J]=Mt,J++,me=Mt+P;Ce<0||Ce<ye&&w[Ce]<Mt;)Ce++;let $i=Math.abs(w[Ce]-me);for(;Ce+1<ye;){const $t=Math.abs(w[Ce+1]-me);if($t>=$i)break;$i=$t,Ce++}}return J===0?null:(O.length=J,z.length=J,yje=e.breakOffsets,vje=e.breakOffsetsVisibleColumn,e.breakOffsets=O,e.breakOffsetsVisibleColumn=z,e.wrappedTextIndentLength=I,e)}function a2i(l,e,i,n,s,c,d,f){const m=UE.applyInjectedText(e,i);let v,x;if(i&&i.length>0?(v=i.map(Mt=>Mt.options),x=i.map(Mt=>Mt.column-1)):(v=null,x=null),s===-1)return v?new $ie(x,v,[m.length],[],0):null;const w=m.length;if(w<=1)return v?new $ie(x,v,[m.length],[],0):null;const I=f==="keepAll",P=LIt(m,n,s,c,d),O=s-P,z=[],J=[];let Y=0,ae=0,me=0,ye=s,Ce=m.charCodeAt(0),Fe=l.get(Ce),rt=Jie(Ce,0,n,c),ct=1;Pm(Ce)&&(rt+=1,Ce=m.charCodeAt(1),Fe=l.get(Ce),ct++);for(let Mt=ct;Mt<w;Mt++){const Yt=Mt,Bi=m.charCodeAt(Mt);let $i,$t;Pm(Bi)?(Mt++,$i=0,$t=2):($i=l.get(Bi),$t=Jie(Bi,rt,n,c)),bje(Ce,Fe,Bi,$i,I)&&(ae=Yt,me=rt),rt+=$t,rt>ye&&((ae===0||rt-me>O)&&(ae=Yt,me=rt-$t),z[Y]=ae,J[Y]=me,Y++,ye=me+O,ae=0),Ce=Bi,Fe=$i}return Y===0&&(!i||i.length===0)?null:(z[Y]=w,J[Y]=rt,new $ie(x,v,z,J,P))}function Jie(l,e,i,n){return l===9?i-e%i:bR(l)||l<32?n:1}function jSt(l,e){return e-l%e}function bje(l,e,i,n,s){return i!==32&&(e===2&&n!==2||e!==1&&n===1||!s&&e===3&&n!==2||!s&&n===3&&e!==1)}function LIt(l,e,i,n,s){let c=0;if(s!==0){const d=Ty(l);if(d!==-1){for(let m=0;m<d;m++){const v=l.charCodeAt(m)===9?jSt(c,e):1;c+=v}const f=s===3?2:s===2?1:0;for(let m=0;m<f;m++){const v=jSt(c,e);c+=v}c+n>i&&(c=0)}}return c}class Nve{constructor(e){this._selTrackedRange=null,this._trackSelection=!0,this._setState(e,new i0(new nt(1,1,1,1),0,0,new pi(1,1),0),new i0(new nt(1,1,1,1),0,0,new pi(1,1),0))}dispose(e){this._removeTrackedRange(e)}startTrackingSelection(e){this._trackSelection=!0,this._updateTrackedRange(e)}stopTrackingSelection(e){this._trackSelection=!1,this._removeTrackedRange(e)}_updateTrackedRange(e){this._trackSelection&&(this._selTrackedRange=e.model._setTrackedRange(this._selTrackedRange,this.modelState.selection,0))}_removeTrackedRange(e){this._selTrackedRange=e.model._setTrackedRange(this._selTrackedRange,null,0)}asCursorState(){return new Rl(this.modelState,this.viewState)}readSelectionFromMarkers(e){const i=e.model._getTrackedRange(this._selTrackedRange);return this.modelState.selection.isEmpty()&&!i.isEmpty()?Us.fromRange(i.collapseToEnd(),this.modelState.selection.getDirection()):Us.fromRange(i,this.modelState.selection.getDirection())}ensureValidState(e){this._setState(e,this.modelState,this.viewState)}setState(e,i,n){this._setState(e,i,n)}static _validatePositionWithCache(e,i,n,s){return i.equals(n)?s:e.normalizePosition(i,2)}static _validateViewState(e,i){const n=i.position,s=i.selectionStart.getStartPosition(),c=i.selectionStart.getEndPosition(),d=e.normalizePosition(n,2),f=this._validatePositionWithCache(e,s,n,d),m=this._validatePositionWithCache(e,c,s,f);return n.equals(d)&&s.equals(f)&&c.equals(m)?i:new i0(nt.fromPositions(f,m),i.selectionStartKind,i.selectionStartLeftoverVisibleColumns+s.column-f.column,d,i.leftoverVisibleColumns+n.column-d.column)}_setState(e,i,n){if(n&&(n=Nve._validateViewState(e.viewModel,n)),i){const s=e.model.validateRange(i.selectionStart),c=i.selectionStart.equalsRange(s)?i.selectionStartLeftoverVisibleColumns:0,d=e.model.validatePosition(i.position),f=i.position.equals(d)?i.leftoverVisibleColumns:0;i=new i0(s,i.selectionStartKind,c,d,f)}else{if(!n)return;const s=e.model.validateRange(e.coordinatesConverter.convertViewRangeToModelRange(n.selectionStart)),c=e.model.validatePosition(e.coordinatesConverter.convertViewPositionToModelPosition(n.position));i=new i0(s,n.selectionStartKind,n.selectionStartLeftoverVisibleColumns,c,n.leftoverVisibleColumns)}if(n){const s=e.coordinatesConverter.validateViewRange(n.selectionStart,i.selectionStart),c=e.coordinatesConverter.validateViewPosition(n.position,i.position);n=new i0(s,i.selectionStartKind,i.selectionStartLeftoverVisibleColumns,c,i.leftoverVisibleColumns)}else{const s=e.coordinatesConverter.convertModelPositionToViewPosition(new pi(i.selectionStart.startLineNumber,i.selectionStart.startColumn)),c=e.coordinatesConverter.convertModelPositionToViewPosition(new pi(i.selectionStart.endLineNumber,i.selectionStart.endColumn)),d=new nt(s.lineNumber,s.column,c.lineNumber,c.column),f=e.coordinatesConverter.convertModelPositionToViewPosition(i.position);n=new i0(d,i.selectionStartKind,i.selectionStartLeftoverVisibleColumns,f,i.leftoverVisibleColumns)}this.modelState=i,this.viewState=n,this._updateTrackedRange(e)}}class zSt{constructor(e){this.context=e,this.cursors=[new Nve(e)],this.lastAddedCursorIndex=0}dispose(){for(const e of this.cursors)e.dispose(this.context)}startTrackingSelections(){for(const e of this.cursors)e.startTrackingSelection(this.context)}stopTrackingSelections(){for(const e of this.cursors)e.stopTrackingSelection(this.context)}updateContext(e){this.context=e}ensureValidState(){for(const e of this.cursors)e.ensureValidState(this.context)}readSelectionFromMarkers(){return this.cursors.map(e=>e.readSelectionFromMarkers(this.context))}getAll(){return this.cursors.map(e=>e.asCursorState())}getViewPositions(){return this.cursors.map(e=>e.viewState.position)}getTopMostViewPosition(){return bhi(this.cursors,yv(e=>e.viewState.position,pi.compare)).viewState.position}getBottomMostViewPosition(){return vhi(this.cursors,yv(e=>e.viewState.position,pi.compare)).viewState.position}getSelections(){return this.cursors.map(e=>e.modelState.selection)}getViewSelections(){return this.cursors.map(e=>e.viewState.selection)}setSelections(e){this.setStates(Rl.fromModelSelections(e))}getPrimaryCursor(){return this.cursors[0].asCursorState()}setStates(e){e!==null&&(this.cursors[0].setState(this.context,e[0].modelState,e[0].viewState),this._setSecondaryStates(e.slice(1)))}_setSecondaryStates(e){const i=this.cursors.length-1,n=e.length;if(i<n){const s=n-i;for(let c=0;c<s;c++)this._addSecondaryCursor()}else if(i>n){const s=i-n;for(let c=0;c<s;c++)this._removeSecondaryCursor(this.cursors.length-2)}for(let s=0;s<n;s++)this.cursors[s+1].setState(this.context,e[s].modelState,e[s].viewState)}killSecondaryCursors(){this._setSecondaryStates([])}_addSecondaryCursor(){this.cursors.push(new Nve(this.context)),this.lastAddedCursorIndex=this.cursors.length-1}getLastAddedCursorIndex(){return this.cursors.length===1||this.lastAddedCursorIndex===0?0:this.lastAddedCursorIndex}_removeSecondaryCursor(e){this.lastAddedCursorIndex>=e+1&&this.lastAddedCursorIndex--,this.cursors[e+1].dispose(this.context),this.cursors.splice(e+1,1)}normalize(){if(this.cursors.length===1)return;const e=this.cursors.slice(0),i=[];for(let n=0,s=e.length;n<s;n++)i.push({index:n,selection:e[n].modelState.selection});i.sort(yv(n=>n.selection,nt.compareRangesUsingStarts));for(let n=0;n<i.length-1;n++){const s=i[n],c=i[n+1],d=s.selection,f=c.selection;if(!this.context.cursorConfig.multiCursorMergeOverlapping)continue;let m;if(f.isEmpty()||d.isEmpty()?m=f.getStartPosition().isBeforeOrEqual(d.getEndPosition()):m=f.getStartPosition().isBefore(d.getEndPosition()),m){const v=s.index<c.index?n:n+1,x=s.index<c.index?n+1:n,w=i[x].index,I=i[v].index,P=i[x].selection,O=i[v].selection;if(!P.equalsSelection(O)){const z=P.plusRange(O),J=P.selectionStartLineNumber===P.startLineNumber&&P.selectionStartColumn===P.startColumn,Y=O.selectionStartLineNumber===O.startLineNumber&&O.selectionStartColumn===O.startColumn;let ae;w===this.lastAddedCursorIndex?(ae=J,this.lastAddedCursorIndex=I):ae=Y;let me;ae?me=new Us(z.startLineNumber,z.startColumn,z.endLineNumber,z.endColumn):me=new Us(z.endLineNumber,z.endColumn,z.startLineNumber,z.startColumn),i[v].selection=me;const ye=Rl.fromModelSelection(me);e[I].setState(this.context,ye.modelState,ye.viewState)}for(const z of i)z.index>w&&z.index--;e.splice(w,1),i.splice(x,1),this._removeSecondaryCursor(w-1),n--}}}}class USt{constructor(e,i,n,s){this._cursorContextBrand=void 0,this.model=e,this.viewModel=i,this.coordinatesConverter=n,this.cursorConfig=s}}class c2i{constructor(){this.type=0}}class l2i{constructor(){this.type=1}}class u2i{constructor(e){this.type=2,this._source=e}hasChanged(e){return this._source.hasChanged(e)}}class d2i{constructor(e,i,n){this.selections=e,this.modelSelections=i,this.reason=n,this.type=3}}class vF{constructor(e){this.type=4,e?(this.affectsMinimap=e.affectsMinimap,this.affectsOverviewRuler=e.affectsOverviewRuler,this.affectsGlyphMargin=e.affectsGlyphMargin,this.affectsLineNumber=e.affectsLineNumber):(this.affectsMinimap=!0,this.affectsOverviewRuler=!0,this.affectsGlyphMargin=!0,this.affectsLineNumber=!0)}}class T0e{constructor(){this.type=5}}class f2i{constructor(e){this.type=6,this.isFocused=e}}class h2i{constructor(){this.type=7}}class D0e{constructor(){this.type=8}}class PIt{constructor(e,i){this.fromLineNumber=e,this.count=i,this.type=9}}class Cje{constructor(e,i){this.type=10,this.fromLineNumber=e,this.toLineNumber=i}}class Sje{constructor(e,i){this.type=11,this.fromLineNumber=e,this.toLineNumber=i}}class Gie{constructor(e,i,n,s,c,d,f){this.source=e,this.minimalReveal=i,this.range=n,this.selections=s,this.verticalType=c,this.revealHorizontal=d,this.scrollType=f,this.type=12}}class _2i{constructor(e){this.type=13,this.scrollWidth=e.scrollWidth,this.scrollLeft=e.scrollLeft,this.scrollHeight=e.scrollHeight,this.scrollTop=e.scrollTop,this.scrollWidthChanged=e.scrollWidthChanged,this.scrollLeftChanged=e.scrollLeftChanged,this.scrollHeightChanged=e.scrollHeightChanged,this.scrollTopChanged=e.scrollTopChanged}}class p2i{constructor(e){this.theme=e,this.type=14}}class m2i{constructor(e){this.type=15,this.ranges=e}}class g2i{constructor(){this.type=16}}let y2i=class{constructor(){this.type=17}};class v2i extends xi{constructor(){super(),this._onEvent=this._register(new gi),this.onEvent=this._onEvent.event,this._eventHandlers=[],this._viewEventQueue=null,this._isConsumingViewEventQueue=!1,this._collector=null,this._collectorCnt=0,this._outgoingEvents=[]}emitOutgoingEvent(e){this._addOutgoingEvent(e),this._emitOutgoingEvents()}_addOutgoingEvent(e){for(let i=0,n=this._outgoingEvents.length;i<n;i++){const s=this._outgoingEvents[i].kind===e.kind?this._outgoingEvents[i].attemptToMerge(e):null;if(s){this._outgoingEvents[i]=s;return}}this._outgoingEvents.push(e)}_emitOutgoingEvents(){for(;this._outgoingEvents.length>0;){if(this._collector||this._isConsumingViewEventQueue)return;const e=this._outgoingEvents.shift();e.isNoOp()||this._onEvent.fire(e)}}addViewEventHandler(e){for(let i=0,n=this._eventHandlers.length;i<n;i++)this._eventHandlers[i]===e&&console.warn("Detected duplicate listener in ViewEventDispatcher",e);this._eventHandlers.push(e)}removeViewEventHandler(e){for(let i=0;i<this._eventHandlers.length;i++)if(this._eventHandlers[i]===e){this._eventHandlers.splice(i,1);break}}beginEmitViewEvents(){return this._collectorCnt++,this._collectorCnt===1&&(this._collector=new b2i),this._collector}endEmitViewEvents(){if(this._collectorCnt--,this._collectorCnt===0){const e=this._collector.outgoingEvents,i=this._collector.viewEvents;this._collector=null;for(const n of e)this._addOutgoingEvent(n);i.length>0&&this._emitMany(i)}this._emitOutgoingEvents()}emitSingleViewEvent(e){try{this.beginEmitViewEvents().emitViewEvent(e)}finally{this.endEmitViewEvents()}}_emitMany(e){this._viewEventQueue?this._viewEventQueue=this._viewEventQueue.concat(e):this._viewEventQueue=e,this._isConsumingViewEventQueue||this._consumeViewEventQueue()}_consumeViewEventQueue(){try{this._isConsumingViewEventQueue=!0,this._doConsumeQueue()}finally{this._isConsumingViewEventQueue=!1}}_doConsumeQueue(){for(;this._viewEventQueue;){const e=this._viewEventQueue;this._viewEventQueue=null;const i=this._eventHandlers.slice(0);for(const n of i)n.handleEvents(e)}}}class b2i{constructor(){this.viewEvents=[],this.outgoingEvents=[]}emitViewEvent(e){this.viewEvents.push(e)}emitOutgoingEvent(e){this.outgoingEvents.push(e)}}class rGe{constructor(e,i,n,s){this.kind=0,this._oldContentWidth=e,this._oldContentHeight=i,this.contentWidth=n,this.contentHeight=s,this.contentWidthChanged=this._oldContentWidth!==this.contentWidth,this.contentHeightChanged=this._oldContentHeight!==this.contentHeight}isNoOp(){return!this.contentWidthChanged&&!this.contentHeightChanged}attemptToMerge(e){return e.kind!==this.kind?null:new rGe(this._oldContentWidth,this._oldContentHeight,e.contentWidth,e.contentHeight)}}class sGe{constructor(e,i){this.kind=1,this.oldHasFocus=e,this.hasFocus=i}isNoOp(){return this.oldHasFocus===this.hasFocus}attemptToMerge(e){return e.kind!==this.kind?null:new sGe(this.oldHasFocus,e.hasFocus)}}class oGe{constructor(e,i,n,s,c,d,f,m){this.kind=2,this._oldScrollWidth=e,this._oldScrollLeft=i,this._oldScrollHeight=n,this._oldScrollTop=s,this.scrollWidth=c,this.scrollLeft=d,this.scrollHeight=f,this.scrollTop=m,this.scrollWidthChanged=this._oldScrollWidth!==this.scrollWidth,this.scrollLeftChanged=this._oldScrollLeft!==this.scrollLeft,this.scrollHeightChanged=this._oldScrollHeight!==this.scrollHeight,this.scrollTopChanged=this._oldScrollTop!==this.scrollTop}isNoOp(){return!this.scrollWidthChanged&&!this.scrollLeftChanged&&!this.scrollHeightChanged&&!this.scrollTopChanged}attemptToMerge(e){return e.kind!==this.kind?null:new oGe(this._oldScrollWidth,this._oldScrollLeft,this._oldScrollHeight,this._oldScrollTop,e.scrollWidth,e.scrollLeft,e.scrollHeight,e.scrollTop)}}class C2i{constructor(){this.kind=3}isNoOp(){return!1}attemptToMerge(e){return e.kind!==this.kind?null:this}}class S2i{constructor(){this.kind=4}isNoOp(){return!1}attemptToMerge(e){return e.kind!==this.kind?null:this}}class Lve{constructor(e,i,n,s,c,d,f){this.kind=6,this.oldSelections=e,this.selections=i,this.oldModelVersionId=n,this.modelVersionId=s,this.source=c,this.reason=d,this.reachedMaxCursorCount=f}static _selectionsAreEqual(e,i){if(!e&&!i)return!0;if(!e||!i)return!1;const n=e.length,s=i.length;if(n!==s)return!1;for(let c=0;c<n;c++)if(!e[c].equalsSelection(i[c]))return!1;return!0}isNoOp(){return Lve._selectionsAreEqual(this.oldSelections,this.selections)&&this.oldModelVersionId===this.modelVersionId}attemptToMerge(e){return e.kind!==this.kind?null:new Lve(this.oldSelections,e.selections,this.oldModelVersionId,e.modelVersionId,e.source,e.reason,this.reachedMaxCursorCount||e.reachedMaxCursorCount)}}class w2i{constructor(){this.kind=5}isNoOp(){return!1}attemptToMerge(e){return e.kind!==this.kind?null:this}}class x2i{constructor(e){this.event=e,this.kind=7}isNoOp(){return!1}attemptToMerge(e){return null}}class k2i{constructor(e){this.event=e,this.kind=8}isNoOp(){return!1}attemptToMerge(e){return null}}class T2i{constructor(e){this.event=e,this.kind=9}isNoOp(){return!1}attemptToMerge(e){return null}}class D2i{constructor(e){this.event=e,this.kind=10}isNoOp(){return!1}attemptToMerge(e){return null}}class E2i{constructor(e){this.event=e,this.kind=11}isNoOp(){return!1}attemptToMerge(e){return null}}class I2i{constructor(e){this.event=e,this.kind=12}isNoOp(){return!1}attemptToMerge(e){return null}}class N2i extends xi{constructor(e,i,n,s){super(),this._model=e,this._knownModelVersionId=this._model.getVersionId(),this._viewModel=i,this._coordinatesConverter=n,this.context=new USt(this._model,this._viewModel,this._coordinatesConverter,s),this._cursors=new zSt(this.context),this._hasFocus=!1,this._isHandling=!1,this._compositionState=null,this._columnSelectData=null,this._autoClosedActions=[],this._prevEditOperationType=0}dispose(){this._cursors.dispose(),this._autoClosedActions=vd(this._autoClosedActions),super.dispose()}updateConfiguration(e){this.context=new USt(this._model,this._viewModel,this._coordinatesConverter,e),this._cursors.updateContext(this.context)}onLineMappingChanged(e){this._knownModelVersionId===this._model.getVersionId()&&this.setStates(e,"viewModel",0,this.getCursorStates())}setHasFocus(e){this._hasFocus=e}_validateAutoClosedActions(){if(this._autoClosedActions.length>0){const e=this._cursors.getSelections();for(let i=0;i<this._autoClosedActions.length;i++){const n=this._autoClosedActions[i];n.isValid(e)||(n.dispose(),this._autoClosedActions.splice(i,1),i--)}}}getPrimaryCursorState(){return this._cursors.getPrimaryCursor()}getLastAddedCursorIndex(){return this._cursors.getLastAddedCursorIndex()}getCursorStates(){return this._cursors.getAll()}setStates(e,i,n,s){let c=!1;const d=this.context.cursorConfig.multiCursorLimit;s!==null&&s.length>d&&(s=s.slice(0,d),c=!0);const f=Kie.from(this._model,this);return this._cursors.setStates(s),this._cursors.normalize(),this._columnSelectData=null,this._validateAutoClosedActions(),this._emitStateChangedIfNecessary(e,i,n,f,c)}setCursorColumnSelectData(e){this._columnSelectData=e}revealAll(e,i,n,s,c,d){const f=this._cursors.getViewPositions();let m=null,v=null;f.length>1?v=this._cursors.getViewSelections():m=nt.fromPositions(f[0],f[0]),e.emitViewEvent(new Gie(i,n,m,v,s,c,d))}revealPrimary(e,i,n,s,c,d){const m=[this._cursors.getPrimaryCursor().viewState.selection];e.emitViewEvent(new Gie(i,n,null,m,s,c,d))}saveState(){const e=[],i=this._cursors.getSelections();for(let n=0,s=i.length;n<s;n++){const c=i[n];e.push({inSelectionMode:!c.isEmpty(),selectionStart:{lineNumber:c.selectionStartLineNumber,column:c.selectionStartColumn},position:{lineNumber:c.positionLineNumber,column:c.positionColumn}})}return e}restoreState(e,i){const n=[];for(let s=0,c=i.length;s<c;s++){const d=i[s];let f=1,m=1;d.position&&d.position.lineNumber&&(f=d.position.lineNumber),d.position&&d.position.column&&(m=d.position.column);let v=f,x=m;d.selectionStart&&d.selectionStart.lineNumber&&(v=d.selectionStart.lineNumber),d.selectionStart&&d.selectionStart.column&&(x=d.selectionStart.column),n.push({selectionStartLineNumber:v,selectionStartColumn:x,positionLineNumber:f,positionColumn:m})}this.setStates(e,"restoreState",0,Rl.fromModelSelections(n)),this.revealAll(e,"restoreState",!1,0,!0,1)}onModelContentChanged(e,i){if(i instanceof _Et){if(this._isHandling)return;this._isHandling=!0;try{this.setStates(e,"modelChange",0,this.getCursorStates())}finally{this._isHandling=!1}}else{const n=i.rawContentChangedEvent;if(this._knownModelVersionId=n.versionId,this._isHandling)return;const s=n.containsEvent(1);if(this._prevEditOperationType=0,s)this._cursors.dispose(),this._cursors=new zSt(this.context),this._validateAutoClosedActions(),this._emitStateChangedIfNecessary(e,"model",1,null,!1);else if(this._hasFocus&&n.resultingSelection&&n.resultingSelection.length>0){const c=Rl.fromModelSelections(n.resultingSelection);this.setStates(e,"modelChange",n.isUndoing?5:n.isRedoing?6:2,c)&&this.revealAll(e,"modelChange",!1,0,!0,0)}else{const c=this._cursors.readSelectionFromMarkers();this.setStates(e,"modelChange",2,Rl.fromModelSelections(c))}}}getSelection(){return this._cursors.getPrimaryCursor().modelState.selection}getTopMostViewPosition(){return this._cursors.getTopMostViewPosition()}getBottomMostViewPosition(){return this._cursors.getBottomMostViewPosition()}getCursorColumnSelectData(){if(this._columnSelectData)return this._columnSelectData;const e=this._cursors.getPrimaryCursor(),i=e.viewState.selectionStart.getStartPosition(),n=e.viewState.position;return{isReal:!1,fromViewLineNumber:i.lineNumber,fromViewVisualColumn:this.context.cursorConfig.visibleColumnFromColumn(this._viewModel,i),toViewLineNumber:n.lineNumber,toViewVisualColumn:this.context.cursorConfig.visibleColumnFromColumn(this._viewModel,n)}}getSelections(){return this._cursors.getSelections()}setSelections(e,i,n,s){this.setStates(e,i,s,Rl.fromModelSelections(n))}getPrevEditOperationType(){return this._prevEditOperationType}setPrevEditOperationType(e){this._prevEditOperationType=e}_pushAutoClosedAction(e,i){const n=[],s=[];for(let f=0,m=e.length;f<m;f++)n.push({range:e[f],options:{description:"auto-closed-character",inlineClassName:"auto-closed-character",stickiness:1}}),s.push({range:i[f],options:{description:"auto-closed-enclosing",stickiness:1}});const c=this._model.deltaDecorations([],n),d=this._model.deltaDecorations([],s);this._autoClosedActions.push(new qSt(this._model,c,d))}_executeEditOperation(e){if(!e)return;e.shouldPushStackElementBefore&&this._model.pushStackElement();const i=L2i.executeCommands(this._model,this._cursors.getSelections(),e.commands);if(i){this._interpretCommandResult(i);const n=[],s=[];for(let c=0;c<e.commands.length;c++){const d=e.commands[c];d instanceof ZJe&&d.enclosingRange&&d.closeCharacterRange&&(n.push(d.closeCharacterRange),s.push(d.enclosingRange))}n.length>0&&this._pushAutoClosedAction(n,s),this._prevEditOperationType=e.type}e.shouldPushStackElementAfter&&this._model.pushStackElement()}_interpretCommandResult(e){(!e||e.length===0)&&(e=this._cursors.readSelectionFromMarkers()),this._columnSelectData=null,this._cursors.setSelections(e),this._cursors.normalize()}_emitStateChangedIfNecessary(e,i,n,s,c){const d=Kie.from(this._model,this);if(d.equals(s))return!1;const f=this._cursors.getSelections(),m=this._cursors.getViewSelections();if(e.emitViewEvent(new d2i(m,f,n)),!s||s.cursorState.length!==d.cursorState.length||d.cursorState.some((v,x)=>!v.modelState.equals(s.cursorState[x].modelState))){const v=s?s.cursorState.map(w=>w.modelState.selection):null,x=s?s.modelVersionId:0;e.emitOutgoingEvent(new Lve(v,f,x,d.modelVersionId,i||"keyboard",n,c))}return!0}_findAutoClosingPairs(e){if(!e.length)return null;const i=[];for(let n=0,s=e.length;n<s;n++){const c=e[n];if(!c.text||c.text.indexOf(`
+`)>=0)return null;const d=c.text.match(/([)\]}>'"`])([^)\]}>'"`]*)$/);if(!d)return null;const f=d[1],m=this.context.cursorConfig.autoClosingPairs.autoClosingPairsCloseSingleChar.get(f);if(!m||m.length!==1)return null;const v=m[0].open,x=c.text.length-d[2].length-1,w=c.text.lastIndexOf(v,x-1);if(w===-1)return null;i.push([w,x])}return i}executeEdits(e,i,n,s){let c=null;i==="snippet"&&(c=this._findAutoClosingPairs(n)),c&&(n[0]._isTracked=!0);const d=[],f=[],m=this._model.pushEditOperations(this.getSelections(),n,v=>{if(c)for(let w=0,I=c.length;w<I;w++){const[P,O]=c[w],z=v[w],J=z.range.startLineNumber,Y=z.range.startColumn-1+P,ae=z.range.startColumn-1+O;d.push(new nt(J,ae+1,J,ae+2)),f.push(new nt(J,Y+1,J,ae+2))}const x=s(v);return x&&(this._isHandling=!0),x});m&&(this._isHandling=!1,this.setSelections(e,i,m,0)),d.length>0&&this._pushAutoClosedAction(d,f)}_executeEdit(e,i,n,s=0){if(this.context.cursorConfig.readOnly)return;const c=Kie.from(this._model,this);this._cursors.stopTrackingSelections(),this._isHandling=!0;try{this._cursors.ensureValidState(),e()}catch(d){Pa(d)}this._isHandling=!1,this._cursors.startTrackingSelections(),this._validateAutoClosedActions(),this._emitStateChangedIfNecessary(i,n,s,c,!1)&&this.revealAll(i,n,!1,0,!0,0)}getAutoClosedCharacters(){return qSt.getAllAutoClosedCharacters(this._autoClosedActions)}startComposition(e){this._compositionState=new Xie(this._model,this.getSelections())}endComposition(e,i){const n=this._compositionState?this._compositionState.deduceOutcome(this._model,this.getSelections()):null;this._compositionState=null,this._executeEdit(()=>{i==="keyboard"&&this._executeEditOperation(DM.compositionEndWithInterceptors(this._prevEditOperationType,this.context.cursorConfig,this._model,n,this.getSelections(),this.getAutoClosedCharacters()))},e,i)}type(e,i,n){this._executeEdit(()=>{if(n==="keyboard"){const s=i.length;let c=0;for(;c<s;){const d=i$e(i,c),f=i.substr(c,d);this._executeEditOperation(DM.typeWithInterceptors(!!this._compositionState,this._prevEditOperationType,this.context.cursorConfig,this._model,this.getSelections(),this.getAutoClosedCharacters(),f)),c+=d}}else this._executeEditOperation(DM.typeWithoutInterceptors(this._prevEditOperationType,this.context.cursorConfig,this._model,this.getSelections(),i))},e,n)}compositionType(e,i,n,s,c,d){if(i.length===0&&n===0&&s===0){if(c!==0){const f=this.getSelections().map(m=>{const v=m.getPosition();return new Us(v.lineNumber,v.column+c,v.lineNumber,v.column+c)});this.setSelections(e,d,f,0)}return}this._executeEdit(()=>{this._executeEditOperation(DM.compositionType(this._prevEditOperationType,this.context.cursorConfig,this._model,this.getSelections(),i,n,s,c))},e,d)}paste(e,i,n,s,c){this._executeEdit(()=>{this._executeEditOperation(DM.paste(this.context.cursorConfig,this._model,this.getSelections(),i,n,s||[]))},e,c,4)}cut(e,i){this._executeEdit(()=>{this._executeEditOperation(RB.cut(this.context.cursorConfig,this._model,this.getSelections()))},e,i)}executeCommand(e,i,n){this._executeEdit(()=>{this._cursors.killSecondaryCursors(),this._executeEditOperation(new Lb(0,[i],{shouldPushStackElementBefore:!1,shouldPushStackElementAfter:!1}))},e,n)}executeCommands(e,i,n){this._executeEdit(()=>{this._executeEditOperation(new Lb(0,i,{shouldPushStackElementBefore:!1,shouldPushStackElementAfter:!1}))},e,n)}}class Kie{static from(e,i){return new Kie(e.getVersionId(),i.getCursorStates())}constructor(e,i){this.modelVersionId=e,this.cursorState=i}equals(e){if(!e||this.modelVersionId!==e.modelVersionId||this.cursorState.length!==e.cursorState.length)return!1;for(let i=0,n=this.cursorState.length;i<n;i++)if(!this.cursorState[i].equals(e.cursorState[i]))return!1;return!0}}class qSt{static getAllAutoClosedCharacters(e){let i=[];for(const n of e)i=i.concat(n.getAutoClosedCharactersRanges());return i}constructor(e,i,n){this._model=e,this._autoClosedCharactersDecorations=i,this._autoClosedEnclosingDecorations=n}dispose(){this._autoClosedCharactersDecorations=this._model.deltaDecorations(this._autoClosedCharactersDecorations,[]),this._autoClosedEnclosingDecorations=this._model.deltaDecorations(this._autoClosedEnclosingDecorations,[])}getAutoClosedCharactersRanges(){const e=[];for(let i=0;i<this._autoClosedCharactersDecorations.length;i++){const n=this._model.getDecorationRange(this._autoClosedCharactersDecorations[i]);n&&e.push(n)}return e}isValid(e){const i=[];for(let n=0;n<this._autoClosedEnclosingDecorations.length;n++){const s=this._model.getDecorationRange(this._autoClosedEnclosingDecorations[n]);if(s&&(i.push(s),s.startLineNumber!==s.endLineNumber))return!1}i.sort(nt.compareRangesUsingStarts),e.sort(nt.compareRangesUsingStarts);for(let n=0;n<e.length;n++)if(n>=i.length||!i[n].strictContainsRange(e[n]))return!1;return!0}}class L2i{static executeCommands(e,i,n){const s={model:e,selectionsBefore:i,trackedRanges:[],trackedRangesDirection:[]},c=this._innerExecuteCommands(s,n);for(let d=0,f=s.trackedRanges.length;d<f;d++)s.model._setTrackedRange(s.trackedRanges[d],null,0);return c}static _innerExecuteCommands(e,i){if(this._arrayIsEmpty(i))return null;const n=this._getEditOperations(e,i);if(n.operations.length===0)return null;const s=n.operations,c=this._getLoserCursorMap(s);if(c.hasOwnProperty("0"))return console.warn("Ignoring commands"),null;const d=[];for(let v=0,x=s.length;v<x;v++)c.hasOwnProperty(s[v].identifier.major.toString())||d.push(s[v]);n.hadTrackedEditOperation&&d.length>0&&(d[0]._isTracked=!0);let f=e.model.pushEditOperations(e.selectionsBefore,d,v=>{const x=[];for(let P=0;P<e.selectionsBefore.length;P++)x[P]=[];for(const P of v)P.identifier&&x[P.identifier.major].push(P);const w=(P,O)=>P.identifier.minor-O.identifier.minor,I=[];for(let P=0;P<e.selectionsBefore.length;P++)x[P].length>0?(x[P].sort(w),I[P]=i[P].computeCursorState(e.model,{getInverseEditOperations:()=>x[P],getTrackedSelection:O=>{const z=parseInt(O,10),J=e.model._getTrackedRange(e.trackedRanges[z]);return e.trackedRangesDirection[z]===0?new Us(J.startLineNumber,J.startColumn,J.endLineNumber,J.endColumn):new Us(J.endLineNumber,J.endColumn,J.startLineNumber,J.startColumn)}})):I[P]=e.selectionsBefore[P];return I});f||(f=e.selectionsBefore);const m=[];for(const v in c)c.hasOwnProperty(v)&&m.push(parseInt(v,10));m.sort((v,x)=>x-v);for(const v of m)f.splice(v,1);return f}static _arrayIsEmpty(e){for(let i=0,n=e.length;i<n;i++)if(e[i])return!1;return!0}static _getEditOperations(e,i){let n=[],s=!1;for(let c=0,d=i.length;c<d;c++){const f=i[c];if(f){const m=this._getEditOperationsFromCommand(e,c,f);n=n.concat(m.operations),s=s||m.hadTrackedEditOperation}}return{operations:n,hadTrackedEditOperation:s}}static _getEditOperationsFromCommand(e,i,n){const s=[];let c=0;const d=(w,I,P=!1)=>{nt.isEmpty(w)&&I===""||s.push({identifier:{major:i,minor:c++},range:w,text:I,forceMoveMarkers:P,isAutoWhitespaceEdit:n.insertsAutoWhitespace})};let f=!1;const x={addEditOperation:d,addTrackedEditOperation:(w,I,P)=>{f=!0,d(w,I,P)},trackSelection:(w,I)=>{const P=Us.liftSelection(w);let O;if(P.isEmpty())if(typeof I=="boolean")I?O=2:O=3;else{const Y=e.model.getLineMaxColumn(P.startLineNumber);P.startColumn===Y?O=2:O=3}else O=1;const z=e.trackedRanges.length,J=e.model._setTrackedRange(null,P,O);return e.trackedRanges[z]=J,e.trackedRangesDirection[z]=P.getDirection(),z.toString()}};try{n.getEditOperations(e.model,x)}catch(w){return Pa(w),{operations:[],hadTrackedEditOperation:!1}}return{operations:s,hadTrackedEditOperation:f}}static _getLoserCursorMap(e){e=e.slice(0),e.sort((n,s)=>-nt.compareRangesUsingEnds(n.range,s.range));const i={};for(let n=1;n<e.length;n++){const s=e[n-1],c=e[n];if(nt.getStartPosition(s.range).isBefore(nt.getEndPosition(c.range))){let d;s.identifier.major>c.identifier.major?d=s.identifier.major:d=c.identifier.major,i[d.toString()]=!0;for(let f=0;f<e.length;f++)e[f].identifier.major===d&&(e.splice(f,1),f<n&&n--,f--);n>0&&n--}}return i}}class P2i{constructor(e,i,n){this.text=e,this.startSelection=i,this.endSelection=n}}class Xie{static _capture(e,i){const n=[];for(const s of i){if(s.startLineNumber!==s.endLineNumber)return null;n.push(new P2i(e.getLineContent(s.startLineNumber),s.startColumn-1,s.endColumn-1))}return n}constructor(e,i){this._original=Xie._capture(e,i)}deduceOutcome(e,i){if(!this._original)return null;const n=Xie._capture(e,i);if(!n||this._original.length!==n.length)return null;const s=[];for(let c=0,d=this._original.length;c<d;c++)s.push(Xie._deduceOutcome(this._original[c],n[c]));return s}static _deduceOutcome(e,i){const n=Math.min(e.startSelection,i.startSelection,vR(e.text,i.text)),s=Math.min(e.text.length-e.endSelection,i.text.length-i.endSelection,iye(e.text,i.text)),c=e.text.substring(n,e.text.length-s),d=i.text.substring(n,i.text.length-s);return new oki(c,e.startSelection-n,e.endSelection-n,d,i.startSelection-n,i.endSelection-n)}}class A2i{constructor(){this._hasPending=!1,this._inserts=[],this._changes=[],this._removes=[]}insert(e){this._hasPending=!0,this._inserts.push(e)}change(e){this._hasPending=!0,this._changes.push(e)}remove(e){this._hasPending=!0,this._removes.push(e)}mustCommit(){return this._hasPending}commit(e){if(!this._hasPending)return;const i=this._inserts,n=this._changes,s=this._removes;this._hasPending=!1,this._inserts=[],this._changes=[],this._removes=[],e._commitPendingChanges(i,n,s)}}class O2i{constructor(e,i,n,s,c){this.id=e,this.afterLineNumber=i,this.ordinal=n,this.height=s,this.minWidth=c,this.prefixSum=0}}var ZM;let M2i=(ZM=class{constructor(e,i,n,s){this._instanceId=r2t(++ZM.INSTANCE_COUNT),this._pendingChanges=new A2i,this._lastWhitespaceId=0,this._arr=[],this._prefixSumValidIndex=-1,this._minWidth=-1,this._lineCount=e,this._lineHeight=i,this._paddingTop=n,this._paddingBottom=s}static findInsertionIndex(e,i,n){let s=0,c=e.length;for(;s<c;){const d=s+c>>>1;i===e[d].afterLineNumber?n<e[d].ordinal?c=d:s=d+1:i<e[d].afterLineNumber?c=d:s=d+1}return s}setLineHeight(e){this._checkPendingChanges(),this._lineHeight=e}setPadding(e,i){this._paddingTop=e,this._paddingBottom=i}onFlushed(e){this._checkPendingChanges(),this._lineCount=e}changeWhitespace(e){let i=!1;try{e({insertWhitespace:(s,c,d,f)=>{i=!0,s=s|0,c=c|0,d=d|0,f=f|0;const m=this._instanceId+ ++this._lastWhitespaceId;return this._pendingChanges.insert(new O2i(m,s,c,d,f)),m},changeOneWhitespace:(s,c,d)=>{i=!0,c=c|0,d=d|0,this._pendingChanges.change({id:s,newAfterLineNumber:c,newHeight:d})},removeWhitespace:s=>{i=!0,this._pendingChanges.remove({id:s})}})}finally{this._pendingChanges.commit(this)}return i}_commitPendingChanges(e,i,n){if((e.length>0||n.length>0)&&(this._minWidth=-1),e.length+i.length+n.length<=1){for(const m of e)this._insertWhitespace(m);for(const m of i)this._changeOneWhitespace(m.id,m.newAfterLineNumber,m.newHeight);for(const m of n){const v=this._findWhitespaceIndex(m.id);v!==-1&&this._removeWhitespace(v)}return}const s=new Set;for(const m of n)s.add(m.id);const c=new Map;for(const m of i)c.set(m.id,m);const d=m=>{const v=[];for(const x of m)if(!s.has(x.id)){if(c.has(x.id)){const w=c.get(x.id);x.afterLineNumber=w.newAfterLineNumber,x.height=w.newHeight}v.push(x)}return v},f=d(this._arr).concat(d(e));f.sort((m,v)=>m.afterLineNumber===v.afterLineNumber?m.ordinal-v.ordinal:m.afterLineNumber-v.afterLineNumber),this._arr=f,this._prefixSumValidIndex=-1}_checkPendingChanges(){this._pendingChanges.mustCommit()&&this._pendingChanges.commit(this)}_insertWhitespace(e){const i=ZM.findInsertionIndex(this._arr,e.afterLineNumber,e.ordinal);this._arr.splice(i,0,e),this._prefixSumValidIndex=Math.min(this._prefixSumValidIndex,i-1)}_findWhitespaceIndex(e){const i=this._arr;for(let n=0,s=i.length;n<s;n++)if(i[n].id===e)return n;return-1}_changeOneWhitespace(e,i,n){const s=this._findWhitespaceIndex(e);if(s!==-1&&(this._arr[s].height!==n&&(this._arr[s].height=n,this._prefixSumValidIndex=Math.min(this._prefixSumValidIndex,s-1)),this._arr[s].afterLineNumber!==i)){const c=this._arr[s];this._removeWhitespace(s),c.afterLineNumber=i,this._insertWhitespace(c)}}_removeWhitespace(e){this._arr.splice(e,1),this._prefixSumValidIndex=Math.min(this._prefixSumValidIndex,e-1)}onLinesDeleted(e,i){this._checkPendingChanges(),e=e|0,i=i|0,this._lineCount-=i-e+1;for(let n=0,s=this._arr.length;n<s;n++){const c=this._arr[n].afterLineNumber;e<=c&&c<=i?this._arr[n].afterLineNumber=e-1:c>i&&(this._arr[n].afterLineNumber-=i-e+1)}}onLinesInserted(e,i){this._checkPendingChanges(),e=e|0,i=i|0,this._lineCount+=i-e+1;for(let n=0,s=this._arr.length;n<s;n++){const c=this._arr[n].afterLineNumber;e<=c&&(this._arr[n].afterLineNumber+=i-e+1)}}getWhitespacesTotalHeight(){return this._checkPendingChanges(),this._arr.length===0?0:this.getWhitespacesAccumulatedHeight(this._arr.length-1)}getWhitespacesAccumulatedHeight(e){this._checkPendingChanges(),e=e|0;let i=Math.max(0,this._prefixSumValidIndex+1);i===0&&(this._arr[0].prefixSum=this._arr[0].height,i++);for(let n=i;n<=e;n++)this._arr[n].prefixSum=this._arr[n-1].prefixSum+this._arr[n].height;return this._prefixSumValidIndex=Math.max(this._prefixSumValidIndex,e),this._arr[e].prefixSum}getLinesTotalHeight(){this._checkPendingChanges();const e=this._lineHeight*this._lineCount,i=this.getWhitespacesTotalHeight();return e+i+this._paddingTop+this._paddingBottom}getWhitespaceAccumulatedHeightBeforeLineNumber(e){this._checkPendingChanges(),e=e|0;const i=this._findLastWhitespaceBeforeLineNumber(e);return i===-1?0:this.getWhitespacesAccumulatedHeight(i)}_findLastWhitespaceBeforeLineNumber(e){e=e|0;const i=this._arr;let n=0,s=i.length-1;for(;n<=s;){const d=(s-n|0)/2|0,f=n+d|0;if(i[f].afterLineNumber<e){if(f+1>=i.length||i[f+1].afterLineNumber>=e)return f;n=f+1|0}else s=f-1|0}return-1}_findFirstWhitespaceAfterLineNumber(e){e=e|0;const n=this._findLastWhitespaceBeforeLineNumber(e)+1;return n<this._arr.length?n:-1}getFirstWhitespaceIndexAfterLineNumber(e){return this._checkPendingChanges(),e=e|0,this._findFirstWhitespaceAfterLineNumber(e)}getVerticalOffsetForLineNumber(e,i=!1){this._checkPendingChanges(),e=e|0;let n;e>1?n=this._lineHeight*(e-1):n=0;const s=this.getWhitespaceAccumulatedHeightBeforeLineNumber(e-(i?1:0));return n+s+this._paddingTop}getVerticalOffsetAfterLineNumber(e,i=!1){this._checkPendingChanges(),e=e|0;const n=this._lineHeight*e,s=this.getWhitespaceAccumulatedHeightBeforeLineNumber(e+(i?1:0));return n+s+this._paddingTop}getWhitespaceMinWidth(){if(this._checkPendingChanges(),this._minWidth===-1){let e=0;for(let i=0,n=this._arr.length;i<n;i++)e=Math.max(e,this._arr[i].minWidth);this._minWidth=e}return this._minWidth}isAfterLines(e){this._checkPendingChanges();const i=this.getLinesTotalHeight();return e>i}isInTopPadding(e){return this._paddingTop===0?!1:(this._checkPendingChanges(),e<this._paddingTop)}isInBottomPadding(e){if(this._paddingBottom===0)return!1;this._checkPendingChanges();const i=this.getLinesTotalHeight();return e>=i-this._paddingBottom}getLineNumberAtOrAfterVerticalOffset(e){if(this._checkPendingChanges(),e=e|0,e<0)return 1;const i=this._lineCount|0,n=this._lineHeight;let s=1,c=i;for(;s<c;){const d=(s+c)/2|0,f=this.getVerticalOffsetForLineNumber(d)|0;if(e>=f+n)s=d+1;else{if(e>=f)return d;c=d}}return s>i?i:s}getLinesViewportData(e,i){this._checkPendingChanges(),e=e|0,i=i|0;const n=this._lineHeight,s=this.getLineNumberAtOrAfterVerticalOffset(e)|0,c=this.getVerticalOffsetForLineNumber(s)|0;let d=this._lineCount|0,f=this.getFirstWhitespaceIndexAfterLineNumber(s)|0;const m=this.getWhitespacesCount()|0;let v,x;f===-1?(f=m,x=d+1,v=0):(x=this.getAfterLineNumberForWhitespaceIndex(f)|0,v=this.getHeightForWhitespaceIndex(f)|0);let w=c,I=w;const P=5e5;let O=0;c>=P&&(O=Math.floor(c/P)*P,O=Math.floor(O/n)*n,I-=O);const z=[],J=e+(i-e)/2;let Y=-1;for(let Ce=s;Ce<=d;Ce++){if(Y===-1){const Fe=w,rt=w+n;(Fe<=J&&J<rt||Fe>J)&&(Y=Ce)}for(w+=n,z[Ce-s]=I,I+=n;x===Ce;)I+=v,w+=v,f++,f>=m?x=d+1:(x=this.getAfterLineNumberForWhitespaceIndex(f)|0,v=this.getHeightForWhitespaceIndex(f)|0);if(w>=i){d=Ce;break}}Y===-1&&(Y=d);const ae=this.getVerticalOffsetForLineNumber(d)|0;let me=s,ye=d;return me<ye&&c<e&&me++,me<ye&&ae+n>i&&ye--,{bigNumbersDelta:O,startLineNumber:s,endLineNumber:d,relativeVerticalOffset:z,centeredLineNumber:Y,completelyVisibleStartLineNumber:me,completelyVisibleEndLineNumber:ye,lineHeight:this._lineHeight}}getVerticalOffsetForWhitespaceIndex(e){this._checkPendingChanges(),e=e|0;const i=this.getAfterLineNumberForWhitespaceIndex(e);let n;i>=1?n=this._lineHeight*i:n=0;let s;return e>0?s=this.getWhitespacesAccumulatedHeight(e-1):s=0,n+s+this._paddingTop}getWhitespaceIndexAtOrAfterVerticallOffset(e){this._checkPendingChanges(),e=e|0;let i=0,n=this.getWhitespacesCount()-1;if(n<0)return-1;const s=this.getVerticalOffsetForWhitespaceIndex(n),c=this.getHeightForWhitespaceIndex(n);if(e>=s+c)return-1;for(;i<n;){const d=Math.floor((i+n)/2),f=this.getVerticalOffsetForWhitespaceIndex(d),m=this.getHeightForWhitespaceIndex(d);if(e>=f+m)i=d+1;else{if(e>=f)return d;n=d}}return i}getWhitespaceAtVerticalOffset(e){this._checkPendingChanges(),e=e|0;const i=this.getWhitespaceIndexAtOrAfterVerticallOffset(e);if(i<0||i>=this.getWhitespacesCount())return null;const n=this.getVerticalOffsetForWhitespaceIndex(i);if(n>e)return null;const s=this.getHeightForWhitespaceIndex(i),c=this.getIdForWhitespaceIndex(i),d=this.getAfterLineNumberForWhitespaceIndex(i);return{id:c,afterLineNumber:d,verticalOffset:n,height:s}}getWhitespaceViewportData(e,i){this._checkPendingChanges(),e=e|0,i=i|0;const n=this.getWhitespaceIndexAtOrAfterVerticallOffset(e),s=this.getWhitespacesCount()-1;if(n<0)return[];const c=[];for(let d=n;d<=s;d++){const f=this.getVerticalOffsetForWhitespaceIndex(d),m=this.getHeightForWhitespaceIndex(d);if(f>=i)break;c.push({id:this.getIdForWhitespaceIndex(d),afterLineNumber:this.getAfterLineNumberForWhitespaceIndex(d),verticalOffset:f,height:m})}return c}getWhitespaces(){return this._checkPendingChanges(),this._arr.slice(0)}getWhitespacesCount(){return this._checkPendingChanges(),this._arr.length}getIdForWhitespaceIndex(e){return this._checkPendingChanges(),e=e|0,this._arr[e].id}getAfterLineNumberForWhitespaceIndex(e){return this._checkPendingChanges(),e=e|0,this._arr[e].afterLineNumber}getHeightForWhitespaceIndex(e){return this._checkPendingChanges(),e=e|0,this._arr[e].height}},ZM.INSTANCE_COUNT=0,ZM);const R2i=125;class uie{constructor(e,i,n,s){e=e|0,i=i|0,n=n|0,s=s|0,e<0&&(e=0),i<0&&(i=0),n<0&&(n=0),s<0&&(s=0),this.width=e,this.contentWidth=i,this.scrollWidth=Math.max(e,i),this.height=n,this.contentHeight=s,this.scrollHeight=Math.max(n,s)}equals(e){return this.width===e.width&&this.contentWidth===e.contentWidth&&this.height===e.height&&this.contentHeight===e.contentHeight}}class F2i extends xi{constructor(e,i){super(),this._onDidContentSizeChange=this._register(new gi),this.onDidContentSizeChange=this._onDidContentSizeChange.event,this._dimensions=new uie(0,0,0,0),this._scrollable=this._register(new CJ({forceIntegerValues:!0,smoothScrollDuration:e,scheduleAtNextAnimationFrame:i})),this.onDidScroll=this._scrollable.onScroll}getScrollable(){return this._scrollable}setSmoothScrollDuration(e){this._scrollable.setSmoothScrollDuration(e)}validateScrollPosition(e){return this._scrollable.validateScrollPosition(e)}getScrollDimensions(){return this._dimensions}setScrollDimensions(e){if(this._dimensions.equals(e))return;const i=this._dimensions;this._dimensions=e,this._scrollable.setScrollDimensions({width:e.width,scrollWidth:e.scrollWidth,height:e.height,scrollHeight:e.scrollHeight},!0);const n=i.contentWidth!==e.contentWidth,s=i.contentHeight!==e.contentHeight;(n||s)&&this._onDidContentSizeChange.fire(new rGe(i.contentWidth,i.contentHeight,e.contentWidth,e.contentHeight))}getFutureScrollPosition(){return this._scrollable.getFutureScrollPosition()}getCurrentScrollPosition(){return this._scrollable.getCurrentScrollPosition()}setScrollPositionNow(e){this._scrollable.setScrollPositionNow(e)}setScrollPositionSmooth(e){this._scrollable.setScrollPositionSmooth(e)}hasPendingScrollAnimation(){return this._scrollable.hasPendingScrollAnimation()}}class B2i extends xi{constructor(e,i,n){super(),this._configuration=e;const s=this._configuration.options,c=s.get(146),d=s.get(84);this._linesLayout=new M2i(i,s.get(67),d.top,d.bottom),this._maxLineWidth=0,this._overlayWidgetsMinWidth=0,this._scrollable=this._register(new F2i(0,n)),this._configureSmoothScrollDuration(),this._scrollable.setScrollDimensions(new uie(c.contentWidth,0,c.height,0)),this.onDidScroll=this._scrollable.onDidScroll,this.onDidContentSizeChange=this._scrollable.onDidContentSizeChange,this._updateHeight()}dispose(){super.dispose()}getScrollable(){return this._scrollable.getScrollable()}onHeightMaybeChanged(){this._updateHeight()}_configureSmoothScrollDuration(){this._scrollable.setSmoothScrollDuration(this._configuration.options.get(115)?R2i:0)}onConfigurationChanged(e){const i=this._configuration.options;if(e.hasChanged(67)&&this._linesLayout.setLineHeight(i.get(67)),e.hasChanged(84)){const n=i.get(84);this._linesLayout.setPadding(n.top,n.bottom)}if(e.hasChanged(146)){const n=i.get(146),s=n.contentWidth,c=n.height,d=this._scrollable.getScrollDimensions(),f=d.contentWidth;this._scrollable.setScrollDimensions(new uie(s,d.contentWidth,c,this._getContentHeight(s,c,f)))}else this._updateHeight();e.hasChanged(115)&&this._configureSmoothScrollDuration()}onFlushed(e){this._linesLayout.onFlushed(e)}onLinesDeleted(e,i){this._linesLayout.onLinesDeleted(e,i)}onLinesInserted(e,i){this._linesLayout.onLinesInserted(e,i)}_getHorizontalScrollbarHeight(e,i){const s=this._configuration.options.get(104);return s.horizontal===2||e>=i?0:s.horizontalScrollbarSize}_getContentHeight(e,i,n){const s=this._configuration.options;let c=this._linesLayout.getLinesTotalHeight();return s.get(106)?c+=Math.max(0,i-s.get(67)-s.get(84).bottom):s.get(104).ignoreHorizontalScrollbarInContentHeight||(c+=this._getHorizontalScrollbarHeight(e,n)),c}_updateHeight(){const e=this._scrollable.getScrollDimensions(),i=e.width,n=e.height,s=e.contentWidth;this._scrollable.setScrollDimensions(new uie(i,e.contentWidth,n,this._getContentHeight(i,n,s)))}getCurrentViewport(){const e=this._scrollable.getScrollDimensions(),i=this._scrollable.getCurrentScrollPosition();return new mSt(i.scrollTop,i.scrollLeft,e.width,e.height)}getFutureViewport(){const e=this._scrollable.getScrollDimensions(),i=this._scrollable.getFutureScrollPosition();return new mSt(i.scrollTop,i.scrollLeft,e.width,e.height)}_computeContentWidth(){const e=this._configuration.options,i=this._maxLineWidth,n=e.get(147),s=e.get(50),c=e.get(146);if(n.isViewportWrapping){const d=e.get(73);return i>c.contentWidth+s.typicalHalfwidthCharacterWidth&&d.enabled&&d.side==="right"?i+c.verticalScrollbarWidth:i}else{const d=e.get(105)*s.typicalHalfwidthCharacterWidth,f=this._linesLayout.getWhitespaceMinWidth();return Math.max(i+d+c.verticalScrollbarWidth,f,this._overlayWidgetsMinWidth)}}setMaxLineWidth(e){this._maxLineWidth=e,this._updateContentWidth()}setOverlayWidgetsMinWidth(e){this._overlayWidgetsMinWidth=e,this._updateContentWidth()}_updateContentWidth(){const e=this._scrollable.getScrollDimensions();this._scrollable.setScrollDimensions(new uie(e.width,this._computeContentWidth(),e.height,e.contentHeight)),this._updateHeight()}saveState(){const e=this._scrollable.getFutureScrollPosition(),i=e.scrollTop,n=this._linesLayout.getLineNumberAtOrAfterVerticalOffset(i),s=this._linesLayout.getWhitespaceAccumulatedHeightBeforeLineNumber(n);return{scrollTop:i,scrollTopWithoutViewZones:i-s,scrollLeft:e.scrollLeft}}changeWhitespace(e){const i=this._linesLayout.changeWhitespace(e);return i&&this.onHeightMaybeChanged(),i}getVerticalOffsetForLineNumber(e,i=!1){return this._linesLayout.getVerticalOffsetForLineNumber(e,i)}getVerticalOffsetAfterLineNumber(e,i=!1){return this._linesLayout.getVerticalOffsetAfterLineNumber(e,i)}isAfterLines(e){return this._linesLayout.isAfterLines(e)}isInTopPadding(e){return this._linesLayout.isInTopPadding(e)}isInBottomPadding(e){return this._linesLayout.isInBottomPadding(e)}getLineNumberAtVerticalOffset(e){return this._linesLayout.getLineNumberAtOrAfterVerticalOffset(e)}getWhitespaceAtVerticalOffset(e){return this._linesLayout.getWhitespaceAtVerticalOffset(e)}getLinesViewportData(){const e=this.getCurrentViewport();return this._linesLayout.getLinesViewportData(e.top,e.top+e.height)}getLinesViewportDataAtScrollTop(e){const i=this._scrollable.getScrollDimensions();return e+i.height>i.scrollHeight&&(e=i.scrollHeight-i.height),e<0&&(e=0),this._linesLayout.getLinesViewportData(e,e+i.height)}getWhitespaceViewportData(){const e=this.getCurrentViewport();return this._linesLayout.getWhitespaceViewportData(e.top,e.top+e.height)}getWhitespaces(){return this._linesLayout.getWhitespaces()}getContentWidth(){return this._scrollable.getScrollDimensions().contentWidth}getScrollWidth(){return this._scrollable.getScrollDimensions().scrollWidth}getContentHeight(){return this._scrollable.getScrollDimensions().contentHeight}getScrollHeight(){return this._scrollable.getScrollDimensions().scrollHeight}getCurrentScrollLeft(){return this._scrollable.getCurrentScrollPosition().scrollLeft}getCurrentScrollTop(){return this._scrollable.getCurrentScrollPosition().scrollTop}validateScrollPosition(e){return this._scrollable.validateScrollPosition(e)}setScrollPosition(e,i){i===1?this._scrollable.setScrollPositionNow(e):this._scrollable.setScrollPositionSmooth(e)}hasPendingScrollAnimation(){return this._scrollable.hasPendingScrollAnimation()}deltaScrollNow(e,i){const n=this._scrollable.getCurrentScrollPosition();this._scrollable.setScrollPositionNow({scrollLeft:n.scrollLeft+e,scrollTop:n.scrollTop+i})}}class W2i{constructor(e,i,n,s,c){this.editorId=e,this.model=i,this.configuration=n,this._linesCollection=s,this._coordinatesConverter=c,this._decorationsCache=Object.create(null),this._cachedModelDecorationsResolver=null,this._cachedModelDecorationsResolverViewRange=null}_clearCachedModelDecorationsResolver(){this._cachedModelDecorationsResolver=null,this._cachedModelDecorationsResolverViewRange=null}dispose(){this._decorationsCache=Object.create(null),this._clearCachedModelDecorationsResolver()}reset(){this._decorationsCache=Object.create(null),this._clearCachedModelDecorationsResolver()}onModelDecorationsChanged(){this._decorationsCache=Object.create(null),this._clearCachedModelDecorationsResolver()}onLineMappingChanged(){this._decorationsCache=Object.create(null),this._clearCachedModelDecorationsResolver()}_getOrCreateViewModelDecoration(e){const i=e.id;let n=this._decorationsCache[i];if(!n){const s=e.range,c=e.options;let d;if(c.isWholeLine){const f=this._coordinatesConverter.convertModelPositionToViewPosition(new pi(s.startLineNumber,1),0,!1,!0),m=this._coordinatesConverter.convertModelPositionToViewPosition(new pi(s.endLineNumber,this.model.getLineMaxColumn(s.endLineNumber)),1);d=new nt(f.lineNumber,f.column,m.lineNumber,m.column)}else d=this._coordinatesConverter.convertModelRangeToViewRange(s,1);n=new uIt(d,c),this._decorationsCache[i]=n}return n}getMinimapDecorationsInRange(e){return this._getDecorationsInRange(e,!0,!1).decorations}getDecorationsViewportData(e){let i=this._cachedModelDecorationsResolver!==null;return i=i&&e.equalsRange(this._cachedModelDecorationsResolverViewRange),i||(this._cachedModelDecorationsResolver=this._getDecorationsInRange(e,!1,!1),this._cachedModelDecorationsResolverViewRange=e),this._cachedModelDecorationsResolver}getInlineDecorationsOnLine(e,i=!1,n=!1){const s=new nt(e,this._linesCollection.getViewLineMinColumn(e),e,this._linesCollection.getViewLineMaxColumn(e));return this._getDecorationsInRange(s,i,n).inlineDecorations[0]}_getDecorationsInRange(e,i,n){const s=this._linesCollection.getDecorationsInRange(e,this.editorId,G1e(this.configuration.options),i,n),c=e.startLineNumber,d=e.endLineNumber,f=[];let m=0;const v=[];for(let x=c;x<=d;x++)v[x-c]=[];for(let x=0,w=s.length;x<w;x++){const I=s[x],P=I.options;if(!aGe(this.model,I))continue;const O=this._getOrCreateViewModelDecoration(I),z=O.range;if(f[m++]=O,P.inlineClassName){const J=new Hie(z,P.inlineClassName,P.inlineClassNameAffectsLetterSpacing?3:0),Y=Math.max(c,z.startLineNumber),ae=Math.min(d,z.endLineNumber);for(let me=Y;me<=ae;me++)v[me-c].push(J)}if(P.beforeContentClassName&&c<=z.startLineNumber&&z.startLineNumber<=d){const J=new Hie(new nt(z.startLineNumber,z.startColumn,z.startLineNumber,z.startColumn),P.beforeContentClassName,1);v[z.startLineNumber-c].push(J)}if(P.afterContentClassName&&c<=z.endLineNumber&&z.endLineNumber<=d){const J=new Hie(new nt(z.endLineNumber,z.endColumn,z.endLineNumber,z.endColumn),P.afterContentClassName,2);v[z.endLineNumber-c].push(J)}}return{decorations:f,inlineDecorations:v}}}function aGe(l,e){return!(e.options.hideInCommentTokens&&cGe(l,e)||e.options.hideInStringTokens&&lGe(l,e))}function cGe(l,e){return AIt(l,e.range,i=>i===1)}function lGe(l,e){return AIt(l,e.range,i=>i===2)}function AIt(l,e,i){for(let n=e.startLineNumber;n<=e.endLineNumber;n++){const s=l.tokenization.getLineTokens(n),c=n===e.startLineNumber,d=n===e.endLineNumber;let f=c?s.findTokenIndexAtOffset(e.startColumn-1):0;for(;f<s.getCount()&&!(d&&s.getStartOffset(f)>e.endColumn-1);){if(!i(s.getStandardTokenType(f)))return!1;f++}}return!0}function v9e(l,e){return l===null?e?Pve.INSTANCE:Ave.INSTANCE:new V2i(l,e)}class V2i{constructor(e,i){this._projectionData=e,this._isVisible=i}isVisible(){return this._isVisible}setVisible(e){return this._isVisible=e,this}getProjectionData(){return this._projectionData}getViewLineCount(){return this._isVisible?this._projectionData.getOutputLineCount():0}getViewLineContent(e,i,n){this._assertVisible();const s=n>0?this._projectionData.breakOffsets[n-1]:0,c=this._projectionData.breakOffsets[n];let d;if(this._projectionData.injectionOffsets!==null){const f=this._projectionData.injectionOffsets.map((v,x)=>new UE(0,0,v+1,this._projectionData.injectionOptions[x],0));d=UE.applyInjectedText(e.getLineContent(i),f).substring(s,c)}else d=e.getValueInRange({startLineNumber:i,startColumn:s+1,endLineNumber:i,endColumn:c+1});return n>0&&(d=$St(this._projectionData.wrappedTextIndentLength)+d),d}getViewLineLength(e,i,n){return this._assertVisible(),this._projectionData.getLineLength(n)}getViewLineMinColumn(e,i,n){return this._assertVisible(),this._projectionData.getMinOutputOffset(n)+1}getViewLineMaxColumn(e,i,n){return this._assertVisible(),this._projectionData.getMaxOutputOffset(n)+1}getViewLineData(e,i,n){const s=new Array;return this.getViewLinesData(e,i,n,1,0,[!0],s),s[0]}getViewLinesData(e,i,n,s,c,d,f){this._assertVisible();const m=this._projectionData,v=m.injectionOffsets,x=m.injectionOptions;let w=null;if(v){w=[];let P=0,O=0;for(let z=0;z<m.getOutputLineCount();z++){const J=new Array;w[z]=J;const Y=z>0?m.breakOffsets[z-1]:0,ae=m.breakOffsets[z];for(;O<v.length;){const me=x[O].content.length,ye=v[O]+P,Ce=ye+me;if(ye>ae)break;if(Y<Ce){const Fe=x[O];if(Fe.inlineClassName){const rt=z>0?m.wrappedTextIndentLength:0,ct=rt+Math.max(ye-Y,0),Mt=rt+Math.min(Ce-Y,ae-Y);ct!==Mt&&J.push(new Owi(ct,Mt,Fe.inlineClassName,Fe.inlineClassNameAffectsLetterSpacing))}}if(Ce<=ae)P+=me,O++;else break}}}let I;v?I=e.tokenization.getLineTokens(i).withInserted(v.map((P,O)=>({offset:P,text:x[O].content,tokenMetadata:Cg.defaultTokenMetadata}))):I=e.tokenization.getLineTokens(i);for(let P=n;P<n+s;P++){const O=c+P-n;if(!d[O]){f[O]=null;continue}f[O]=this._getViewLineData(I,w?w[P]:null,P)}}_getViewLineData(e,i,n){this._assertVisible();const s=this._projectionData,c=n>0?s.wrappedTextIndentLength:0,d=n>0?s.breakOffsets[n-1]:0,f=s.breakOffsets[n],m=e.sliceAndInflate(d,f,c);let v=m.getLineContent();n>0&&(v=$St(s.wrappedTextIndentLength)+v);const x=this._projectionData.getMinOutputOffset(n)+1,w=v.length+1,I=n+1<this.getViewLineCount(),P=n===0?0:s.breakOffsetsVisibleColumn[n-1];return new jJe(v,I,x,w,P,m,i)}getModelColumnOfViewPosition(e,i){return this._assertVisible(),this._projectionData.translateToInputOffset(e,i-1)+1}getViewPositionOfModelPosition(e,i,n=2){return this._assertVisible(),this._projectionData.translateToOutputPosition(i-1,n).toPosition(e)}getViewLineNumberOfModelPosition(e,i){this._assertVisible();const n=this._projectionData.translateToOutputPosition(i-1);return e+n.outputLineIndex}normalizePosition(e,i,n){const s=i.lineNumber-e;return this._projectionData.normalizeOutputPosition(e,i.column-1,n).toPosition(s)}getInjectedTextAt(e,i){return this._projectionData.getInjectedText(e,i-1)}_assertVisible(){if(!this._isVisible)throw new Error("Not supported")}}const LCe=class LCe{constructor(){}isVisible(){return!0}setVisible(e){return e?this:Ave.INSTANCE}getProjectionData(){return null}getViewLineCount(){return 1}getViewLineContent(e,i,n){return e.getLineContent(i)}getViewLineLength(e,i,n){return e.getLineLength(i)}getViewLineMinColumn(e,i,n){return e.getLineMinColumn(i)}getViewLineMaxColumn(e,i,n){return e.getLineMaxColumn(i)}getViewLineData(e,i,n){const s=e.tokenization.getLineTokens(i),c=s.getLineContent();return new jJe(c,!1,1,c.length+1,0,s.inflate(),null)}getViewLinesData(e,i,n,s,c,d,f){if(!d[c]){f[c]=null;return}f[c]=this.getViewLineData(e,i,0)}getModelColumnOfViewPosition(e,i){return i}getViewPositionOfModelPosition(e,i){return new pi(e,i)}getViewLineNumberOfModelPosition(e,i){return e}normalizePosition(e,i,n){return i}getInjectedTextAt(e,i){return null}};LCe.INSTANCE=new LCe;let Pve=LCe;const PCe=class PCe{constructor(){}isVisible(){return!1}setVisible(e){return e?Pve.INSTANCE:this}getProjectionData(){return null}getViewLineCount(){return 0}getViewLineContent(e,i,n){throw new Error("Not supported")}getViewLineLength(e,i,n){throw new Error("Not supported")}getViewLineMinColumn(e,i,n){throw new Error("Not supported")}getViewLineMaxColumn(e,i,n){throw new Error("Not supported")}getViewLineData(e,i,n){throw new Error("Not supported")}getViewLinesData(e,i,n,s,c,d,f){throw new Error("Not supported")}getModelColumnOfViewPosition(e,i){throw new Error("Not supported")}getViewPositionOfModelPosition(e,i){throw new Error("Not supported")}getViewLineNumberOfModelPosition(e,i){throw new Error("Not supported")}normalizePosition(e,i,n){throw new Error("Not supported")}getInjectedTextAt(e,i){throw new Error("Not supported")}};PCe.INSTANCE=new PCe;let Ave=PCe;const b9e=[""];function $St(l){if(l>=b9e.length)for(let e=1;e<=l;e++)b9e[e]=H2i(e);return b9e[l]}function H2i(l){return new Array(l+1).join(" ")}class j2i{constructor(e,i,n,s,c,d,f,m,v,x){this._editorId=e,this.model=i,this._validModelVersionId=-1,this._domLineBreaksComputerFactory=n,this._monospaceLineBreaksComputerFactory=s,this.fontInfo=c,this.tabSize=d,this.wrappingStrategy=f,this.wrappingColumn=m,this.wrappingIndent=v,this.wordBreak=x,this._constructLines(!0,null)}dispose(){this.hiddenAreasDecorationIds=this.model.deltaDecorations(this.hiddenAreasDecorationIds,[])}createCoordinatesConverter(){return new U2i(this)}_constructLines(e,i){this.modelLineProjections=[],e&&(this.hiddenAreasDecorationIds=this.model.deltaDecorations(this.hiddenAreasDecorationIds,[]));const n=this.model.getLinesContent(),s=this.model.getInjectedTextDecorations(this._editorId),c=n.length,d=this.createLineBreaksComputer(),f=new s6(UE.fromDecorations(s));for(let z=0;z<c;z++){const J=f.takeWhile(Y=>Y.lineNumber===z+1);d.addRequest(n[z],J,i?i[z]:null)}const m=d.finalize(),v=[],x=this.hiddenAreasDecorationIds.map(z=>this.model.getDecorationRange(z)).sort(nt.compareRangesUsingStarts);let w=1,I=0,P=-1,O=P+1<x.length?I+1:c+2;for(let z=0;z<c;z++){const J=z+1;J===O&&(P++,w=x[P].startLineNumber,I=x[P].endLineNumber,O=P+1<x.length?I+1:c+2);const Y=J>=w&&J<=I,ae=v9e(m[z],!Y);v[z]=ae.getViewLineCount(),this.modelLineProjections[z]=ae}this._validModelVersionId=this.model.getVersionId(),this.projectedModelLineLineCounts=new r_i(v)}getHiddenAreas(){return this.hiddenAreasDecorationIds.map(e=>this.model.getDecorationRange(e))}setHiddenAreas(e){const i=e.map(I=>this.model.validateRange(I)),n=z2i(i),s=this.hiddenAreasDecorationIds.map(I=>this.model.getDecorationRange(I)).sort(nt.compareRangesUsingStarts);if(n.length===s.length){let I=!1;for(let P=0;P<n.length;P++)if(!n[P].equalsRange(s[P])){I=!0;break}if(!I)return!1}const c=n.map(I=>({range:I,options:qa.EMPTY}));this.hiddenAreasDecorationIds=this.model.deltaDecorations(this.hiddenAreasDecorationIds,c);const d=n;let f=1,m=0,v=-1,x=v+1<d.length?m+1:this.modelLineProjections.length+2,w=!1;for(let I=0;I<this.modelLineProjections.length;I++){const P=I+1;P===x&&(v++,f=d[v].startLineNumber,m=d[v].endLineNumber,x=v+1<d.length?m+1:this.modelLineProjections.length+2);let O=!1;if(P>=f&&P<=m?this.modelLineProjections[I].isVisible()&&(this.modelLineProjections[I]=this.modelLineProjections[I].setVisible(!1),O=!0):(w=!0,this.modelLineProjections[I].isVisible()||(this.modelLineProjections[I]=this.modelLineProjections[I].setVisible(!0),O=!0)),O){const z=this.modelLineProjections[I].getViewLineCount();this.projectedModelLineLineCounts.setValue(I,z)}}return w||this.setHiddenAreas([]),!0}modelPositionIsVisible(e,i){return e<1||e>this.modelLineProjections.length?!1:this.modelLineProjections[e-1].isVisible()}getModelLineViewLineCount(e){return e<1||e>this.modelLineProjections.length?1:this.modelLineProjections[e-1].getViewLineCount()}setTabSize(e){return this.tabSize===e?!1:(this.tabSize=e,this._constructLines(!1,null),!0)}setWrappingSettings(e,i,n,s,c){const d=this.fontInfo.equals(e),f=this.wrappingStrategy===i,m=this.wrappingColumn===n,v=this.wrappingIndent===s,x=this.wordBreak===c;if(d&&f&&m&&v&&x)return!1;const w=d&&f&&!m&&v&&x;this.fontInfo=e,this.wrappingStrategy=i,this.wrappingColumn=n,this.wrappingIndent=s,this.wordBreak=c;let I=null;if(w){I=[];for(let P=0,O=this.modelLineProjections.length;P<O;P++)I[P]=this.modelLineProjections[P].getProjectionData()}return this._constructLines(!1,I),!0}createLineBreaksComputer(){return(this.wrappingStrategy==="advanced"?this._domLineBreaksComputerFactory:this._monospaceLineBreaksComputerFactory).createLineBreaksComputer(this.fontInfo,this.tabSize,this.wrappingColumn,this.wrappingIndent,this.wordBreak)}onModelFlushed(){this._constructLines(!0,null)}onModelLinesDeleted(e,i,n){if(!e||e<=this._validModelVersionId)return null;const s=i===1?1:this.projectedModelLineLineCounts.getPrefixSum(i-1)+1,c=this.projectedModelLineLineCounts.getPrefixSum(n);return this.modelLineProjections.splice(i-1,n-i+1),this.projectedModelLineLineCounts.removeValues(i-1,n-i+1),new Cje(s,c)}onModelLinesInserted(e,i,n,s){if(!e||e<=this._validModelVersionId)return null;const c=i>2&&!this.modelLineProjections[i-2].isVisible(),d=i===1?1:this.projectedModelLineLineCounts.getPrefixSum(i-1)+1;let f=0;const m=[],v=[];for(let x=0,w=s.length;x<w;x++){const I=v9e(s[x],!c);m.push(I);const P=I.getViewLineCount();f+=P,v[x]=P}return this.modelLineProjections=this.modelLineProjections.slice(0,i-1).concat(m).concat(this.modelLineProjections.slice(i-1)),this.projectedModelLineLineCounts.insertValues(i-1,v),new Sje(d,d+f-1)}onModelLineChanged(e,i,n){if(e!==null&&e<=this._validModelVersionId)return[!1,null,null,null];const s=i-1,c=this.modelLineProjections[s].getViewLineCount(),d=this.modelLineProjections[s].isVisible(),f=v9e(n,d);this.modelLineProjections[s]=f;const m=this.modelLineProjections[s].getViewLineCount();let v=!1,x=0,w=-1,I=0,P=-1,O=0,z=-1;c>m?(x=this.projectedModelLineLineCounts.getPrefixSum(i-1)+1,w=x+m-1,O=w+1,z=O+(c-m)-1,v=!0):c<m?(x=this.projectedModelLineLineCounts.getPrefixSum(i-1)+1,w=x+c-1,I=w+1,P=I+(m-c)-1,v=!0):(x=this.projectedModelLineLineCounts.getPrefixSum(i-1)+1,w=x+m-1),this.projectedModelLineLineCounts.setValue(s,m);const J=x<=w?new PIt(x,w-x+1):null,Y=I<=P?new Sje(I,P):null,ae=O<=z?new Cje(O,z):null;return[v,J,Y,ae]}acceptVersionId(e){this._validModelVersionId=e,this.modelLineProjections.length===1&&!this.modelLineProjections[0].isVisible()&&this.setHiddenAreas([])}getViewLineCount(){return this.projectedModelLineLineCounts.getTotalSum()}_toValidViewLineNumber(e){if(e<1)return 1;const i=this.getViewLineCount();return e>i?i:e|0}getActiveIndentGuide(e,i,n){e=this._toValidViewLineNumber(e),i=this._toValidViewLineNumber(i),n=this._toValidViewLineNumber(n);const s=this.convertViewPositionToModelPosition(e,this.getViewLineMinColumn(e)),c=this.convertViewPositionToModelPosition(i,this.getViewLineMinColumn(i)),d=this.convertViewPositionToModelPosition(n,this.getViewLineMinColumn(n)),f=this.model.guides.getActiveIndentGuide(s.lineNumber,c.lineNumber,d.lineNumber),m=this.convertModelPositionToViewPosition(f.startLineNumber,1),v=this.convertModelPositionToViewPosition(f.endLineNumber,this.model.getLineMaxColumn(f.endLineNumber));return{startLineNumber:m.lineNumber,endLineNumber:v.lineNumber,indent:f.indent}}getViewLineInfo(e){e=this._toValidViewLineNumber(e);const i=this.projectedModelLineLineCounts.getIndexOf(e-1),n=i.index,s=i.remainder;return new JSt(n+1,s)}getMinColumnOfViewLine(e){return this.modelLineProjections[e.modelLineNumber-1].getViewLineMinColumn(this.model,e.modelLineNumber,e.modelLineWrappedLineIdx)}getMaxColumnOfViewLine(e){return this.modelLineProjections[e.modelLineNumber-1].getViewLineMaxColumn(this.model,e.modelLineNumber,e.modelLineWrappedLineIdx)}getModelStartPositionOfViewLine(e){const i=this.modelLineProjections[e.modelLineNumber-1],n=i.getViewLineMinColumn(this.model,e.modelLineNumber,e.modelLineWrappedLineIdx),s=i.getModelColumnOfViewPosition(e.modelLineWrappedLineIdx,n);return new pi(e.modelLineNumber,s)}getModelEndPositionOfViewLine(e){const i=this.modelLineProjections[e.modelLineNumber-1],n=i.getViewLineMaxColumn(this.model,e.modelLineNumber,e.modelLineWrappedLineIdx),s=i.getModelColumnOfViewPosition(e.modelLineWrappedLineIdx,n);return new pi(e.modelLineNumber,s)}getViewLineInfosGroupedByModelRanges(e,i){const n=this.getViewLineInfo(e),s=this.getViewLineInfo(i),c=new Array;let d=this.getModelStartPositionOfViewLine(n),f=new Array;for(let m=n.modelLineNumber;m<=s.modelLineNumber;m++){const v=this.modelLineProjections[m-1];if(v.isVisible()){const x=m===n.modelLineNumber?n.modelLineWrappedLineIdx:0,w=m===s.modelLineNumber?s.modelLineWrappedLineIdx+1:v.getViewLineCount();for(let I=x;I<w;I++)f.push(new JSt(m,I))}if(!v.isVisible()&&d){const x=new pi(m-1,this.model.getLineMaxColumn(m-1)+1),w=nt.fromPositions(d,x);c.push(new GSt(w,f)),f=[],d=null}else v.isVisible()&&!d&&(d=new pi(m,1))}if(d){const m=nt.fromPositions(d,this.getModelEndPositionOfViewLine(s));c.push(new GSt(m,f))}return c}getViewLinesBracketGuides(e,i,n,s){const c=n?this.convertViewPositionToModelPosition(n.lineNumber,n.column):null,d=[];for(const f of this.getViewLineInfosGroupedByModelRanges(e,i)){const m=f.modelRange.startLineNumber,v=this.model.guides.getLinesBracketGuides(m,f.modelRange.endLineNumber,c,s);for(const x of f.viewLines){const I=v[x.modelLineNumber-m].map(P=>{if(P.forWrappedLinesAfterColumn!==-1&&this.modelLineProjections[x.modelLineNumber-1].getViewPositionOfModelPosition(0,P.forWrappedLinesAfterColumn).lineNumber>=x.modelLineWrappedLineIdx||P.forWrappedLinesBeforeOrAtColumn!==-1&&this.modelLineProjections[x.modelLineNumber-1].getViewPositionOfModelPosition(0,P.forWrappedLinesBeforeOrAtColumn).lineNumber<x.modelLineWrappedLineIdx)return;if(!P.horizontalLine)return P;let O=-1;if(P.column!==-1){const Y=this.modelLineProjections[x.modelLineNumber-1].getViewPositionOfModelPosition(0,P.column);if(Y.lineNumber===x.modelLineWrappedLineIdx)O=Y.column;else if(Y.lineNumber<x.modelLineWrappedLineIdx)O=this.getMinColumnOfViewLine(x);else if(Y.lineNumber>x.modelLineWrappedLineIdx)return}const z=this.convertModelPositionToViewPosition(x.modelLineNumber,P.horizontalLine.endColumn),J=this.modelLineProjections[x.modelLineNumber-1].getViewPositionOfModelPosition(0,P.horizontalLine.endColumn);return J.lineNumber===x.modelLineWrappedLineIdx?new s9(P.visibleColumn,O,P.className,new Pie(P.horizontalLine.top,z.column),-1,-1):J.lineNumber<x.modelLineWrappedLineIdx||P.visibleColumn!==-1?void 0:new s9(P.visibleColumn,O,P.className,new Pie(P.horizontalLine.top,this.getMaxColumnOfViewLine(x)),-1,-1)});d.push(I.filter(P=>!!P))}}return d}getViewLinesIndentGuides(e,i){e=this._toValidViewLineNumber(e),i=this._toValidViewLineNumber(i);const n=this.convertViewPositionToModelPosition(e,this.getViewLineMinColumn(e)),s=this.convertViewPositionToModelPosition(i,this.getViewLineMaxColumn(i));let c=[];const d=[],f=[],m=n.lineNumber-1,v=s.lineNumber-1;let x=null;for(let O=m;O<=v;O++){const z=this.modelLineProjections[O];if(z.isVisible()){const J=z.getViewLineNumberOfModelPosition(0,O===m?n.column:1),Y=z.getViewLineNumberOfModelPosition(0,this.model.getLineMaxColumn(O+1)),ae=Y-J+1;let me=0;ae>1&&z.getViewLineMinColumn(this.model,O+1,Y)===1&&(me=J===0?1:2),d.push(ae),f.push(me),x===null&&(x=new pi(O+1,0))}else x!==null&&(c=c.concat(this.model.guides.getLinesIndentGuides(x.lineNumber,O)),x=null)}x!==null&&(c=c.concat(this.model.guides.getLinesIndentGuides(x.lineNumber,s.lineNumber)),x=null);const w=i-e+1,I=new Array(w);let P=0;for(let O=0,z=c.length;O<z;O++){let J=c[O];const Y=Math.min(w-P,d[O]),ae=f[O];let me;ae===2?me=0:ae===1?me=1:me=Y;for(let ye=0;ye<Y;ye++)ye===me&&(J=0),I[P++]=J}return I}getViewLineContent(e){const i=this.getViewLineInfo(e);return this.modelLineProjections[i.modelLineNumber-1].getViewLineContent(this.model,i.modelLineNumber,i.modelLineWrappedLineIdx)}getViewLineLength(e){const i=this.getViewLineInfo(e);return this.modelLineProjections[i.modelLineNumber-1].getViewLineLength(this.model,i.modelLineNumber,i.modelLineWrappedLineIdx)}getViewLineMinColumn(e){const i=this.getViewLineInfo(e);return this.modelLineProjections[i.modelLineNumber-1].getViewLineMinColumn(this.model,i.modelLineNumber,i.modelLineWrappedLineIdx)}getViewLineMaxColumn(e){const i=this.getViewLineInfo(e);return this.modelLineProjections[i.modelLineNumber-1].getViewLineMaxColumn(this.model,i.modelLineNumber,i.modelLineWrappedLineIdx)}getViewLineData(e){const i=this.getViewLineInfo(e);return this.modelLineProjections[i.modelLineNumber-1].getViewLineData(this.model,i.modelLineNumber,i.modelLineWrappedLineIdx)}getViewLinesData(e,i,n){e=this._toValidViewLineNumber(e),i=this._toValidViewLineNumber(i);const s=this.projectedModelLineLineCounts.getIndexOf(e-1);let c=e;const d=s.index,f=s.remainder,m=[];for(let v=d,x=this.model.getLineCount();v<x;v++){const w=this.modelLineProjections[v];if(!w.isVisible())continue;const I=v===d?f:0;let P=w.getViewLineCount()-I,O=!1;if(c+P>i&&(O=!0,P=i-c+1),w.getViewLinesData(this.model,v+1,I,P,c-e,n,m),c+=P,O)break}return m}validateViewPosition(e,i,n){e=this._toValidViewLineNumber(e);const s=this.projectedModelLineLineCounts.getIndexOf(e-1),c=s.index,d=s.remainder,f=this.modelLineProjections[c],m=f.getViewLineMinColumn(this.model,c+1,d),v=f.getViewLineMaxColumn(this.model,c+1,d);i<m&&(i=m),i>v&&(i=v);const x=f.getModelColumnOfViewPosition(d,i);return this.model.validatePosition(new pi(c+1,x)).equals(n)?new pi(e,i):this.convertModelPositionToViewPosition(n.lineNumber,n.column)}validateViewRange(e,i){const n=this.validateViewPosition(e.startLineNumber,e.startColumn,i.getStartPosition()),s=this.validateViewPosition(e.endLineNumber,e.endColumn,i.getEndPosition());return new nt(n.lineNumber,n.column,s.lineNumber,s.column)}convertViewPositionToModelPosition(e,i){const n=this.getViewLineInfo(e),s=this.modelLineProjections[n.modelLineNumber-1].getModelColumnOfViewPosition(n.modelLineWrappedLineIdx,i);return this.model.validatePosition(new pi(n.modelLineNumber,s))}convertViewRangeToModelRange(e){const i=this.convertViewPositionToModelPosition(e.startLineNumber,e.startColumn),n=this.convertViewPositionToModelPosition(e.endLineNumber,e.endColumn);return new nt(i.lineNumber,i.column,n.lineNumber,n.column)}convertModelPositionToViewPosition(e,i,n=2,s=!1,c=!1){const d=this.model.validatePosition(new pi(e,i)),f=d.lineNumber,m=d.column;let v=f-1,x=!1;if(c)for(;v<this.modelLineProjections.length&&!this.modelLineProjections[v].isVisible();)v++,x=!0;else for(;v>0&&!this.modelLineProjections[v].isVisible();)v--,x=!0;if(v===0&&!this.modelLineProjections[v].isVisible())return new pi(s?0:1,1);const w=1+this.projectedModelLineLineCounts.getPrefixSum(v);let I;return x?c?I=this.modelLineProjections[v].getViewPositionOfModelPosition(w,1,n):I=this.modelLineProjections[v].getViewPositionOfModelPosition(w,this.model.getLineMaxColumn(v+1),n):I=this.modelLineProjections[f-1].getViewPositionOfModelPosition(w,m,n),I}convertModelRangeToViewRange(e,i=0){if(e.isEmpty()){const n=this.convertModelPositionToViewPosition(e.startLineNumber,e.startColumn,i);return nt.fromPositions(n)}else{const n=this.convertModelPositionToViewPosition(e.startLineNumber,e.startColumn,1),s=this.convertModelPositionToViewPosition(e.endLineNumber,e.endColumn,0);return new nt(n.lineNumber,n.column,s.lineNumber,s.column)}}getViewLineNumberOfModelPosition(e,i){let n=e-1;if(this.modelLineProjections[n].isVisible()){const c=1+this.projectedModelLineLineCounts.getPrefixSum(n);return this.modelLineProjections[n].getViewLineNumberOfModelPosition(c,i)}for(;n>0&&!this.modelLineProjections[n].isVisible();)n--;if(n===0&&!this.modelLineProjections[n].isVisible())return 1;const s=1+this.projectedModelLineLineCounts.getPrefixSum(n);return this.modelLineProjections[n].getViewLineNumberOfModelPosition(s,this.model.getLineMaxColumn(n+1))}getDecorationsInRange(e,i,n,s,c){const d=this.convertViewPositionToModelPosition(e.startLineNumber,e.startColumn),f=this.convertViewPositionToModelPosition(e.endLineNumber,e.endColumn);if(f.lineNumber-d.lineNumber<=e.endLineNumber-e.startLineNumber)return this.model.getDecorationsInRange(new nt(d.lineNumber,1,f.lineNumber,f.column),i,n,s,c);let m=[];const v=d.lineNumber-1,x=f.lineNumber-1;let w=null;for(let z=v;z<=x;z++)if(this.modelLineProjections[z].isVisible())w===null&&(w=new pi(z+1,z===v?d.column:1));else if(w!==null){const Y=this.model.getLineMaxColumn(z);m=m.concat(this.model.getDecorationsInRange(new nt(w.lineNumber,w.column,z,Y),i,n,s)),w=null}w!==null&&(m=m.concat(this.model.getDecorationsInRange(new nt(w.lineNumber,w.column,f.lineNumber,f.column),i,n,s)),w=null),m.sort((z,J)=>{const Y=nt.compareRangesUsingStarts(z.range,J.range);return Y===0?z.id<J.id?-1:z.id>J.id?1:0:Y});const I=[];let P=0,O=null;for(const z of m){const J=z.id;O!==J&&(O=J,I[P++]=z)}return I}getInjectedTextAt(e){const i=this.getViewLineInfo(e.lineNumber);return this.modelLineProjections[i.modelLineNumber-1].getInjectedTextAt(i.modelLineWrappedLineIdx,e.column)}normalizePosition(e,i){const n=this.getViewLineInfo(e.lineNumber);return this.modelLineProjections[n.modelLineNumber-1].normalizePosition(n.modelLineWrappedLineIdx,e,i)}getLineIndentColumn(e){const i=this.getViewLineInfo(e);return i.modelLineWrappedLineIdx===0?this.model.getLineIndentColumn(i.modelLineNumber):0}}function z2i(l){if(l.length===0)return[];const e=l.slice();e.sort(nt.compareRangesUsingStarts);const i=[];let n=e[0].startLineNumber,s=e[0].endLineNumber;for(let c=1,d=e.length;c<d;c++){const f=e[c];f.startLineNumber>s+1?(i.push(new nt(n,1,s,1)),n=f.startLineNumber,s=f.endLineNumber):f.endLineNumber>s&&(s=f.endLineNumber)}return i.push(new nt(n,1,s,1)),i}class JSt{constructor(e,i){this.modelLineNumber=e,this.modelLineWrappedLineIdx=i}}class GSt{constructor(e,i){this.modelRange=e,this.viewLines=i}}class U2i{constructor(e){this._lines=e}convertViewPositionToModelPosition(e){return this._lines.convertViewPositionToModelPosition(e.lineNumber,e.column)}convertViewRangeToModelRange(e){return this._lines.convertViewRangeToModelRange(e)}validateViewPosition(e,i){return this._lines.validateViewPosition(e.lineNumber,e.column,i)}validateViewRange(e,i){return this._lines.validateViewRange(e,i)}convertModelPositionToViewPosition(e,i,n,s){return this._lines.convertModelPositionToViewPosition(e.lineNumber,e.column,i,n,s)}convertModelRangeToViewRange(e,i){return this._lines.convertModelRangeToViewRange(e,i)}modelPositionIsVisible(e){return this._lines.modelPositionIsVisible(e.lineNumber,e.column)}getModelLineViewLineCount(e){return this._lines.getModelLineViewLineCount(e)}getViewLineNumberOfModelPosition(e,i){return this._lines.getViewLineNumberOfModelPosition(e,i)}}class q2i{constructor(e){this.model=e}dispose(){}createCoordinatesConverter(){return new $2i(this)}getHiddenAreas(){return[]}setHiddenAreas(e){return!1}setTabSize(e){return!1}setWrappingSettings(e,i,n,s){return!1}createLineBreaksComputer(){const e=[];return{addRequest:(i,n,s)=>{e.push(null)},finalize:()=>e}}onModelFlushed(){}onModelLinesDeleted(e,i,n){return new Cje(i,n)}onModelLinesInserted(e,i,n,s){return new Sje(i,n)}onModelLineChanged(e,i,n){return[!1,new PIt(i,1),null,null]}acceptVersionId(e){}getViewLineCount(){return this.model.getLineCount()}getActiveIndentGuide(e,i,n){return{startLineNumber:e,endLineNumber:e,indent:0}}getViewLinesBracketGuides(e,i,n){return new Array(i-e+1).fill([])}getViewLinesIndentGuides(e,i){const n=i-e+1,s=new Array(n);for(let c=0;c<n;c++)s[c]=0;return s}getViewLineContent(e){return this.model.getLineContent(e)}getViewLineLength(e){return this.model.getLineLength(e)}getViewLineMinColumn(e){return this.model.getLineMinColumn(e)}getViewLineMaxColumn(e){return this.model.getLineMaxColumn(e)}getViewLineData(e){const i=this.model.tokenization.getLineTokens(e),n=i.getLineContent();return new jJe(n,!1,1,n.length+1,0,i.inflate(),null)}getViewLinesData(e,i,n){const s=this.model.getLineCount();e=Math.min(Math.max(1,e),s),i=Math.min(Math.max(1,i),s);const c=[];for(let d=e;d<=i;d++){const f=d-e;c[f]=n[f]?this.getViewLineData(d):null}return c}getDecorationsInRange(e,i,n,s,c){return this.model.getDecorationsInRange(e,i,n,s,c)}normalizePosition(e,i){return this.model.normalizePosition(e,i)}getLineIndentColumn(e){return this.model.getLineIndentColumn(e)}getInjectedTextAt(e){return null}}class $2i{constructor(e){this._lines=e}_validPosition(e){return this._lines.model.validatePosition(e)}_validRange(e){return this._lines.model.validateRange(e)}convertViewPositionToModelPosition(e){return this._validPosition(e)}convertViewRangeToModelRange(e){return this._validRange(e)}validateViewPosition(e,i){return this._validPosition(i)}validateViewRange(e,i){return this._validRange(i)}convertModelPositionToViewPosition(e){return this._validPosition(e)}convertModelRangeToViewRange(e){return this._validRange(e)}modelPositionIsVisible(e){const i=this._lines.model.getLineCount();return!(e.lineNumber<1||e.lineNumber>i)}getModelLineViewLineCount(e){return 1}getViewLineNumberOfModelPosition(e,i){return e}}const bF=CT.Right;class J2i{constructor(e){this.persist=0,this._requiredLanes=1,this.lanes=new Uint8Array(Math.ceil((e+1)*bF/8))}reset(e){const i=Math.ceil((e+1)*bF/8);this.lanes.length<i?this.lanes=new Uint8Array(i):this.lanes.fill(0),this._requiredLanes=1}get requiredLanes(){return this._requiredLanes}push(e,i,n){n&&(this.persist|=1<<e-1);for(let s=i.startLineNumber;s<=i.endLineNumber;s++){const c=bF*s+(e-1);this.lanes[c>>>3]|=1<<c%8,this._requiredLanes=Math.max(this._requiredLanes,this.countAtLine(s))}}getLanesAtLine(e){const i=[];let n=bF*e;for(let s=0;s<bF;s++)(this.persist&1<<s||this.lanes[n>>>3]&1<<n%8)&&i.push(s+1),n++;return i.length?i:[CT.Center]}countAtLine(e){let i=bF*e,n=0;for(let s=0;s<bF;s++)(this.persist&1<<s||this.lanes[i>>>3]&1<<i%8)&&n++,i++;return n}}let G2i=class extends xi{constructor(e,i,n,s,c,d,f,m,v,x){if(super(),this.languageConfigurationService=f,this._themeService=m,this._attachedView=v,this._transactionalTarget=x,this.hiddenAreasModel=new X2i,this.previousHiddenAreas=[],this._editorId=e,this._configuration=i,this.model=n,this._eventDispatcher=new v2i,this.onEvent=this._eventDispatcher.onEvent,this.cursorConfig=new NU(this.model.getLanguageId(),this.model.getOptions(),this._configuration,this.languageConfigurationService),this._updateConfigurationViewLineCount=this._register(new qu(()=>this._updateConfigurationViewLineCountNow(),0)),this._hasFocus=!1,this._viewportStart=uGe.create(this.model),this.glyphLanes=new J2i(0),this.model.isTooLargeForTokenization())this._lines=new q2i(this.model);else{const w=this._configuration.options,I=w.get(50),P=w.get(140),O=w.get(147),z=w.get(139),J=w.get(130);this._lines=new j2i(this._editorId,this.model,s,c,I,this.model.getOptions().tabSize,P,O.wrappingColumn,z,J)}this.coordinatesConverter=this._lines.createCoordinatesConverter(),this._cursor=this._register(new N2i(n,this,this.coordinatesConverter,this.cursorConfig)),this.viewLayout=this._register(new B2i(this._configuration,this.getLineCount(),d)),this._register(this.viewLayout.onDidScroll(w=>{w.scrollTopChanged&&this._handleVisibleLinesChanged(),w.scrollTopChanged&&this._viewportStart.invalidate(),this._eventDispatcher.emitSingleViewEvent(new _2i(w)),this._eventDispatcher.emitOutgoingEvent(new oGe(w.oldScrollWidth,w.oldScrollLeft,w.oldScrollHeight,w.oldScrollTop,w.scrollWidth,w.scrollLeft,w.scrollHeight,w.scrollTop))})),this._register(this.viewLayout.onDidContentSizeChange(w=>{this._eventDispatcher.emitOutgoingEvent(w)})),this._decorations=new W2i(this._editorId,this.model,this._configuration,this._lines,this.coordinatesConverter),this._registerModelEvents(),this._register(this._configuration.onDidChangeFast(w=>{try{const I=this._eventDispatcher.beginEmitViewEvents();this._onConfigurationChanged(I,w)}finally{this._eventDispatcher.endEmitViewEvents()}})),this._register(Eve.getInstance().onDidChange(()=>{this._eventDispatcher.emitSingleViewEvent(new g2i)})),this._register(this._themeService.onDidColorThemeChange(w=>{this._invalidateDecorationsColorCache(),this._eventDispatcher.emitSingleViewEvent(new p2i(w))})),this._updateConfigurationViewLineCountNow()}dispose(){super.dispose(),this._decorations.dispose(),this._lines.dispose(),this._viewportStart.dispose(),this._eventDispatcher.dispose()}createLineBreaksComputer(){return this._lines.createLineBreaksComputer()}addViewEventHandler(e){this._eventDispatcher.addViewEventHandler(e)}removeViewEventHandler(e){this._eventDispatcher.removeViewEventHandler(e)}_updateConfigurationViewLineCountNow(){this._configuration.setViewLineCount(this._lines.getViewLineCount())}getModelVisibleRanges(){const e=this.viewLayout.getLinesViewportData(),i=new nt(e.startLineNumber,this.getLineMinColumn(e.startLineNumber),e.endLineNumber,this.getLineMaxColumn(e.endLineNumber));return this._toModelVisibleRanges(i)}visibleLinesStabilized(){const e=this.getModelVisibleRanges();this._attachedView.setVisibleLines(e,!0)}_handleVisibleLinesChanged(){const e=this.getModelVisibleRanges();this._attachedView.setVisibleLines(e,!1)}setHasFocus(e){this._hasFocus=e,this._cursor.setHasFocus(e),this._eventDispatcher.emitSingleViewEvent(new f2i(e)),this._eventDispatcher.emitOutgoingEvent(new sGe(!e,e))}onCompositionStart(){this._eventDispatcher.emitSingleViewEvent(new c2i)}onCompositionEnd(){this._eventDispatcher.emitSingleViewEvent(new l2i)}_captureStableViewport(){if(this._viewportStart.isValid&&this.viewLayout.getCurrentScrollTop()>0){const e=new pi(this._viewportStart.viewLineNumber,this.getLineMinColumn(this._viewportStart.viewLineNumber)),i=this.coordinatesConverter.convertViewPositionToModelPosition(e);return new XSt(i,this._viewportStart.startLineDelta)}return new XSt(null,0)}_onConfigurationChanged(e,i){const n=this._captureStableViewport(),s=this._configuration.options,c=s.get(50),d=s.get(140),f=s.get(147),m=s.get(139),v=s.get(130);this._lines.setWrappingSettings(c,d,f.wrappingColumn,m,v)&&(e.emitViewEvent(new T0e),e.emitViewEvent(new D0e),e.emitViewEvent(new vF(null)),this._cursor.onLineMappingChanged(e),this._decorations.onLineMappingChanged(),this.viewLayout.onFlushed(this.getLineCount()),this._updateConfigurationViewLineCount.schedule()),i.hasChanged(92)&&(this._decorations.reset(),e.emitViewEvent(new vF(null))),i.hasChanged(99)&&(this._decorations.reset(),e.emitViewEvent(new vF(null))),e.emitViewEvent(new u2i(i)),this.viewLayout.onConfigurationChanged(i),n.recoverViewportStart(this.coordinatesConverter,this.viewLayout),NU.shouldRecreate(i)&&(this.cursorConfig=new NU(this.model.getLanguageId(),this.model.getOptions(),this._configuration,this.languageConfigurationService),this._cursor.updateConfiguration(this.cursorConfig))}_registerModelEvents(){this._register(this.model.onDidChangeContentOrInjectedText(e=>{try{const n=this._eventDispatcher.beginEmitViewEvents();let s=!1,c=!1;const d=e instanceof y9?e.rawContentChangedEvent.changes:e.changes,f=e instanceof y9?e.rawContentChangedEvent.versionId:null,m=this._lines.createLineBreaksComputer();for(const w of d)switch(w.changeType){case 4:{for(let I=0;I<w.detail.length;I++){const P=w.detail[I];let O=w.injectedTexts[I];O&&(O=O.filter(z=>!z.ownerId||z.ownerId===this._editorId)),m.addRequest(P,O,null)}break}case 2:{let I=null;w.injectedText&&(I=w.injectedText.filter(P=>!P.ownerId||P.ownerId===this._editorId)),m.addRequest(w.detail,I,null);break}}const v=m.finalize(),x=new s6(v);for(const w of d)switch(w.changeType){case 1:{this._lines.onModelFlushed(),n.emitViewEvent(new T0e),this._decorations.reset(),this.viewLayout.onFlushed(this.getLineCount()),s=!0;break}case 3:{const I=this._lines.onModelLinesDeleted(f,w.fromLineNumber,w.toLineNumber);I!==null&&(n.emitViewEvent(I),this.viewLayout.onLinesDeleted(I.fromLineNumber,I.toLineNumber)),s=!0;break}case 4:{const I=x.takeCount(w.detail.length),P=this._lines.onModelLinesInserted(f,w.fromLineNumber,w.toLineNumber,I);P!==null&&(n.emitViewEvent(P),this.viewLayout.onLinesInserted(P.fromLineNumber,P.toLineNumber)),s=!0;break}case 2:{const I=x.dequeue(),[P,O,z,J]=this._lines.onModelLineChanged(f,w.lineNumber,I);c=P,O&&n.emitViewEvent(O),z&&(n.emitViewEvent(z),this.viewLayout.onLinesInserted(z.fromLineNumber,z.toLineNumber)),J&&(n.emitViewEvent(J),this.viewLayout.onLinesDeleted(J.fromLineNumber,J.toLineNumber));break}case 5:break}f!==null&&this._lines.acceptVersionId(f),this.viewLayout.onHeightMaybeChanged(),!s&&c&&(n.emitViewEvent(new D0e),n.emitViewEvent(new vF(null)),this._cursor.onLineMappingChanged(n),this._decorations.onLineMappingChanged())}finally{this._eventDispatcher.endEmitViewEvents()}const i=this._viewportStart.isValid;if(this._viewportStart.invalidate(),this._configuration.setModelLineCount(this.model.getLineCount()),this._updateConfigurationViewLineCountNow(),!this._hasFocus&&this.model.getAttachedEditorCount()>=2&&i){const n=this.model._getTrackedRange(this._viewportStart.modelTrackedRange);if(n){const s=this.coordinatesConverter.convertModelPositionToViewPosition(n.getStartPosition()),c=this.viewLayout.getVerticalOffsetForLineNumber(s.lineNumber);this.viewLayout.setScrollPosition({scrollTop:c+this._viewportStart.startLineDelta},1)}}try{const n=this._eventDispatcher.beginEmitViewEvents();e instanceof y9&&n.emitOutgoingEvent(new D2i(e.contentChangedEvent)),this._cursor.onModelContentChanged(n,e)}finally{this._eventDispatcher.endEmitViewEvents()}this._handleVisibleLinesChanged()})),this._register(this.model.onDidChangeTokens(e=>{const i=[];for(let n=0,s=e.ranges.length;n<s;n++){const c=e.ranges[n],d=this.coordinatesConverter.convertModelPositionToViewPosition(new pi(c.fromLineNumber,1)).lineNumber,f=this.coordinatesConverter.convertModelPositionToViewPosition(new pi(c.toLineNumber,this.model.getLineMaxColumn(c.toLineNumber))).lineNumber;i[n]={fromLineNumber:d,toLineNumber:f}}this._eventDispatcher.emitSingleViewEvent(new m2i(i)),this._eventDispatcher.emitOutgoingEvent(new I2i(e))})),this._register(this.model.onDidChangeLanguageConfiguration(e=>{this._eventDispatcher.emitSingleViewEvent(new h2i),this.cursorConfig=new NU(this.model.getLanguageId(),this.model.getOptions(),this._configuration,this.languageConfigurationService),this._cursor.updateConfiguration(this.cursorConfig),this._eventDispatcher.emitOutgoingEvent(new T2i(e))})),this._register(this.model.onDidChangeLanguage(e=>{this.cursorConfig=new NU(this.model.getLanguageId(),this.model.getOptions(),this._configuration,this.languageConfigurationService),this._cursor.updateConfiguration(this.cursorConfig),this._eventDispatcher.emitOutgoingEvent(new k2i(e))})),this._register(this.model.onDidChangeOptions(e=>{if(this._lines.setTabSize(this.model.getOptions().tabSize)){try{const i=this._eventDispatcher.beginEmitViewEvents();i.emitViewEvent(new T0e),i.emitViewEvent(new D0e),i.emitViewEvent(new vF(null)),this._cursor.onLineMappingChanged(i),this._decorations.onLineMappingChanged(),this.viewLayout.onFlushed(this.getLineCount())}finally{this._eventDispatcher.endEmitViewEvents()}this._updateConfigurationViewLineCount.schedule()}this.cursorConfig=new NU(this.model.getLanguageId(),this.model.getOptions(),this._configuration,this.languageConfigurationService),this._cursor.updateConfiguration(this.cursorConfig),this._eventDispatcher.emitOutgoingEvent(new E2i(e))})),this._register(this.model.onDidChangeDecorations(e=>{this._decorations.onModelDecorationsChanged(),this._eventDispatcher.emitSingleViewEvent(new vF(e)),this._eventDispatcher.emitOutgoingEvent(new x2i(e))}))}setHiddenAreas(e,i){var d;this.hiddenAreasModel.setHiddenAreas(i,e);const n=this.hiddenAreasModel.getMergedRanges();if(n===this.previousHiddenAreas)return;this.previousHiddenAreas=n;const s=this._captureStableViewport();let c=!1;try{const f=this._eventDispatcher.beginEmitViewEvents();c=this._lines.setHiddenAreas(n),c&&(f.emitViewEvent(new T0e),f.emitViewEvent(new D0e),f.emitViewEvent(new vF(null)),this._cursor.onLineMappingChanged(f),this._decorations.onLineMappingChanged(),this.viewLayout.onFlushed(this.getLineCount()),this.viewLayout.onHeightMaybeChanged());const m=(d=s.viewportStartModelPosition)==null?void 0:d.lineNumber;m&&n.some(x=>x.startLineNumber<=m&&m<=x.endLineNumber)||s.recoverViewportStart(this.coordinatesConverter,this.viewLayout)}finally{this._eventDispatcher.endEmitViewEvents()}this._updateConfigurationViewLineCount.schedule(),c&&this._eventDispatcher.emitOutgoingEvent(new S2i)}getVisibleRangesPlusViewportAboveBelow(){const e=this._configuration.options.get(146),i=this._configuration.options.get(67),n=Math.max(20,Math.round(e.height/i)),s=this.viewLayout.getLinesViewportData(),c=Math.max(1,s.completelyVisibleStartLineNumber-n),d=Math.min(this.getLineCount(),s.completelyVisibleEndLineNumber+n);return this._toModelVisibleRanges(new nt(c,this.getLineMinColumn(c),d,this.getLineMaxColumn(d)))}getVisibleRanges(){const e=this.getCompletelyVisibleViewRange();return this._toModelVisibleRanges(e)}getHiddenAreas(){return this._lines.getHiddenAreas()}_toModelVisibleRanges(e){const i=this.coordinatesConverter.convertViewRangeToModelRange(e),n=this._lines.getHiddenAreas();if(n.length===0)return[i];const s=[];let c=0,d=i.startLineNumber,f=i.startColumn;const m=i.endLineNumber,v=i.endColumn;for(let x=0,w=n.length;x<w;x++){const I=n[x].startLineNumber,P=n[x].endLineNumber;P<d||I>m||(d<I&&(s[c++]=new nt(d,f,I-1,this.model.getLineMaxColumn(I-1))),d=P+1,f=1)}return(d<m||d===m&&f<v)&&(s[c++]=new nt(d,f,m,v)),s}getCompletelyVisibleViewRange(){const e=this.viewLayout.getLinesViewportData(),i=e.completelyVisibleStartLineNumber,n=e.completelyVisibleEndLineNumber;return new nt(i,this.getLineMinColumn(i),n,this.getLineMaxColumn(n))}getCompletelyVisibleViewRangeAtScrollTop(e){const i=this.viewLayout.getLinesViewportDataAtScrollTop(e),n=i.completelyVisibleStartLineNumber,s=i.completelyVisibleEndLineNumber;return new nt(n,this.getLineMinColumn(n),s,this.getLineMaxColumn(s))}saveState(){const e=this.viewLayout.saveState(),i=e.scrollTop,n=this.viewLayout.getLineNumberAtVerticalOffset(i),s=this.coordinatesConverter.convertViewPositionToModelPosition(new pi(n,this.getLineMinColumn(n))),c=this.viewLayout.getVerticalOffsetForLineNumber(n)-i;return{scrollLeft:e.scrollLeft,firstPosition:s,firstPositionDeltaTop:c}}reduceRestoreState(e){if(typeof e.firstPosition>"u")return this._reduceRestoreStateCompatibility(e);const i=this.model.validatePosition(e.firstPosition),n=this.coordinatesConverter.convertModelPositionToViewPosition(i),s=this.viewLayout.getVerticalOffsetForLineNumber(n.lineNumber)-e.firstPositionDeltaTop;return{scrollLeft:e.scrollLeft,scrollTop:s}}_reduceRestoreStateCompatibility(e){return{scrollLeft:e.scrollLeft,scrollTop:e.scrollTopWithoutViewZones}}getTabSize(){return this.model.getOptions().tabSize}getLineCount(){return this._lines.getViewLineCount()}setViewport(e,i,n){this._viewportStart.update(this,e)}getActiveIndentGuide(e,i,n){return this._lines.getActiveIndentGuide(e,i,n)}getLinesIndentGuides(e,i){return this._lines.getViewLinesIndentGuides(e,i)}getBracketGuidesInRangeByLine(e,i,n,s){return this._lines.getViewLinesBracketGuides(e,i,n,s)}getLineContent(e){return this._lines.getViewLineContent(e)}getLineLength(e){return this._lines.getViewLineLength(e)}getLineMinColumn(e){return this._lines.getViewLineMinColumn(e)}getLineMaxColumn(e){return this._lines.getViewLineMaxColumn(e)}getLineFirstNonWhitespaceColumn(e){const i=Ty(this.getLineContent(e));return i===-1?0:i+1}getLineLastNonWhitespaceColumn(e){const i=ME(this.getLineContent(e));return i===-1?0:i+2}getMinimapDecorationsInRange(e){return this._decorations.getMinimapDecorationsInRange(e)}getDecorationsInViewport(e){return this._decorations.getDecorationsViewportData(e).decorations}getInjectedTextAt(e){return this._lines.getInjectedTextAt(e)}getViewportViewLineRenderingData(e,i){const s=this._decorations.getDecorationsViewportData(e).inlineDecorations[i-e.startLineNumber];return this._getViewLineRenderingData(i,s)}getViewLineRenderingData(e){const i=this._decorations.getInlineDecorationsOnLine(e);return this._getViewLineRenderingData(e,i)}_getViewLineRenderingData(e,i){const n=this.model.mightContainRTL(),s=this.model.mightContainNonBasicASCII(),c=this.getTabSize(),d=this._lines.getViewLineData(e);return d.inlineDecorations&&(i=[...i,...d.inlineDecorations.map(f=>f.toInlineDecoration(e))]),new Iw(d.minColumn,d.maxColumn,d.content,d.continuesWithWrappedLine,n,s,d.tokens,i,c,d.startVisibleColumn)}getViewLineData(e){return this._lines.getViewLineData(e)}getMinimapLinesRenderingData(e,i,n){const s=this._lines.getViewLinesData(e,i,n);return new Awi(this.getTabSize(),s)}getAllOverviewRulerDecorations(e){const i=this.model.getOverviewRulerDecorations(this._editorId,G1e(this._configuration.options)),n=new K2i;for(const s of i){const c=s.options,d=c.overviewRuler;if(!d)continue;const f=d.position;if(f===0)continue;const m=d.getColor(e.value),v=this.coordinatesConverter.getViewLineNumberOfModelPosition(s.range.startLineNumber,s.range.startColumn),x=this.coordinatesConverter.getViewLineNumberOfModelPosition(s.range.endLineNumber,s.range.endColumn);n.accept(m,c.zIndex,v,x,f)}return n.asArray}_invalidateDecorationsColorCache(){const e=this.model.getOverviewRulerDecorations();for(const i of e){const n=i.options.overviewRuler;n==null||n.invalidateCachedColor();const s=i.options.minimap;s==null||s.invalidateCachedColor()}}getValueInRange(e,i){const n=this.coordinatesConverter.convertViewRangeToModelRange(e);return this.model.getValueInRange(n,i)}getValueLengthInRange(e,i){const n=this.coordinatesConverter.convertViewRangeToModelRange(e);return this.model.getValueLengthInRange(n,i)}modifyPosition(e,i){const n=this.coordinatesConverter.convertViewPositionToModelPosition(e),s=this.model.modifyPosition(n,i);return this.coordinatesConverter.convertModelPositionToViewPosition(s)}deduceModelPositionRelativeToViewPosition(e,i,n){const s=this.coordinatesConverter.convertViewPositionToModelPosition(e);this.model.getEOL().length===2&&(i<0?i-=n:i+=n);const d=this.model.getOffsetAt(s)+i;return this.model.getPositionAt(d)}getPlainTextToCopy(e,i,n){const s=n?`\r
+`:this.model.getEOL();e=e.slice(0),e.sort(nt.compareRangesUsingStarts);let c=!1,d=!1;for(const m of e)m.isEmpty()?c=!0:d=!0;if(!d){if(!i)return"";const m=e.map(x=>x.startLineNumber);let v="";for(let x=0;x<m.length;x++)x>0&&m[x-1]===m[x]||(v+=this.model.getLineContent(m[x])+s);return v}if(c&&i){const m=[];let v=0;for(const x of e){const w=x.startLineNumber;x.isEmpty()?w!==v&&m.push(this.model.getLineContent(w)):m.push(this.model.getValueInRange(x,n?2:0)),v=w}return m.length===1?m[0]:m}const f=[];for(const m of e)m.isEmpty()||f.push(this.model.getValueInRange(m,n?2:0));return f.length===1?f[0]:f}getRichTextToCopy(e,i){const n=this.model.getLanguageId();if(n===bv||e.length!==1)return null;let s=e[0];if(s.isEmpty()){if(!i)return null;const x=s.startLineNumber;s=new nt(x,this.model.getLineMinColumn(x),x,this.model.getLineMaxColumn(x))}const c=this._configuration.options.get(50),d=this._getColorMap(),m=/[:;\\\/<>]/.test(c.fontFamily)||c.fontFamily===vv.fontFamily;let v;return m?v=vv.fontFamily:(v=c.fontFamily,v=v.replace(/"/g,"'"),/[,']/.test(v)||/[+ ]/.test(v)&&(v=`'${v}'`),v=`${v}, ${vv.fontFamily}`),{mode:n,html:`<div style="color: ${d[1]};background-color: ${d[2]};font-family: ${v};font-weight: ${c.fontWeight};font-size: ${c.fontSize}px;line-height: ${c.lineHeight}px;white-space: pre;">`+this._getHTMLToCopy(s,d)+"</div>"}}_getHTMLToCopy(e,i){const n=e.startLineNumber,s=e.startColumn,c=e.endLineNumber,d=e.endColumn,f=this.getTabSize();let m="";for(let v=n;v<=c;v++){const x=this.model.tokenization.getLineTokens(v),w=x.getLineContent(),I=v===n?s-1:0,P=v===c?d-1:w.length;w===""?m+="<br>":m+=c0i(w,x.inflate(),i,I,P,f,I0)}return m}_getColorMap(){const e=Oh.getColorMap(),i=["#000000"];if(e)for(let n=1,s=e.length;n<s;n++)i[n]=In.Format.CSS.formatHex(e[n]);return i}getPrimaryCursorState(){return this._cursor.getPrimaryCursorState()}getLastAddedCursorIndex(){return this._cursor.getLastAddedCursorIndex()}getCursorStates(){return this._cursor.getCursorStates()}setCursorStates(e,i,n){return this._withViewEventsCollector(s=>this._cursor.setStates(s,e,i,n))}getCursorColumnSelectData(){return this._cursor.getCursorColumnSelectData()}getCursorAutoClosedCharacters(){return this._cursor.getAutoClosedCharacters()}setCursorColumnSelectData(e){this._cursor.setCursorColumnSelectData(e)}getPrevEditOperationType(){return this._cursor.getPrevEditOperationType()}setPrevEditOperationType(e){this._cursor.setPrevEditOperationType(e)}getSelection(){return this._cursor.getSelection()}getSelections(){return this._cursor.getSelections()}getPosition(){return this._cursor.getPrimaryCursorState().modelState.position}setSelections(e,i,n=0){this._withViewEventsCollector(s=>this._cursor.setSelections(s,e,i,n))}saveCursorState(){return this._cursor.saveState()}restoreCursorState(e){this._withViewEventsCollector(i=>this._cursor.restoreState(i,e))}_executeCursorEdit(e){if(this._cursor.context.cursorConfig.readOnly){this._eventDispatcher.emitOutgoingEvent(new w2i);return}this._withViewEventsCollector(e)}executeEdits(e,i,n){this._executeCursorEdit(s=>this._cursor.executeEdits(s,e,i,n))}startComposition(){this._executeCursorEdit(e=>this._cursor.startComposition(e))}endComposition(e){this._executeCursorEdit(i=>this._cursor.endComposition(i,e))}type(e,i){this._executeCursorEdit(n=>this._cursor.type(n,e,i))}compositionType(e,i,n,s,c){this._executeCursorEdit(d=>this._cursor.compositionType(d,e,i,n,s,c))}paste(e,i,n,s){this._executeCursorEdit(c=>this._cursor.paste(c,e,i,n,s))}cut(e){this._executeCursorEdit(i=>this._cursor.cut(i,e))}executeCommand(e,i){this._executeCursorEdit(n=>this._cursor.executeCommand(n,e,i))}executeCommands(e,i){this._executeCursorEdit(n=>this._cursor.executeCommands(n,e,i))}revealAllCursors(e,i,n=!1){this._withViewEventsCollector(s=>this._cursor.revealAll(s,e,n,0,i,0))}revealPrimaryCursor(e,i,n=!1){this._withViewEventsCollector(s=>this._cursor.revealPrimary(s,e,n,0,i,0))}revealTopMostCursor(e){const i=this._cursor.getTopMostViewPosition(),n=new nt(i.lineNumber,i.column,i.lineNumber,i.column);this._withViewEventsCollector(s=>s.emitViewEvent(new Gie(e,!1,n,null,0,!0,0)))}revealBottomMostCursor(e){const i=this._cursor.getBottomMostViewPosition(),n=new nt(i.lineNumber,i.column,i.lineNumber,i.column);this._withViewEventsCollector(s=>s.emitViewEvent(new Gie(e,!1,n,null,0,!0,0)))}revealRange(e,i,n,s,c){this._withViewEventsCollector(d=>d.emitViewEvent(new Gie(e,!1,n,null,s,i,c)))}changeWhitespace(e){this.viewLayout.changeWhitespace(e)&&(this._eventDispatcher.emitSingleViewEvent(new y2i),this._eventDispatcher.emitOutgoingEvent(new C2i))}_withViewEventsCollector(e){return this._transactionalTarget.batchChanges(()=>{try{const i=this._eventDispatcher.beginEmitViewEvents();return e(i)}finally{this._eventDispatcher.endEmitViewEvents()}})}batchEvents(e){this._withViewEventsCollector(()=>{e()})}normalizePosition(e,i){return this._lines.normalizePosition(e,i)}getLineIndentColumn(e){return this._lines.getLineIndentColumn(e)}};class uGe{static create(e){const i=e._setTrackedRange(null,new nt(1,1,1,1),1);return new uGe(e,1,!1,i,0)}get viewLineNumber(){return this._viewLineNumber}get isValid(){return this._isValid}get modelTrackedRange(){return this._modelTrackedRange}get startLineDelta(){return this._startLineDelta}constructor(e,i,n,s,c){this._model=e,this._viewLineNumber=i,this._isValid=n,this._modelTrackedRange=s,this._startLineDelta=c}dispose(){this._model._setTrackedRange(this._modelTrackedRange,null,1)}update(e,i){const n=e.coordinatesConverter.convertViewPositionToModelPosition(new pi(i,e.getLineMinColumn(i))),s=e.model._setTrackedRange(this._modelTrackedRange,new nt(n.lineNumber,n.column,n.lineNumber,n.column),1),c=e.viewLayout.getVerticalOffsetForLineNumber(i),d=e.viewLayout.getCurrentScrollTop();this._viewLineNumber=i,this._isValid=!0,this._modelTrackedRange=s,this._startLineDelta=d-c}invalidate(){this._isValid=!1}}class K2i{constructor(){this._asMap=Object.create(null),this.asArray=[]}accept(e,i,n,s,c){const d=this._asMap[e];if(d){const f=d.data,m=f[f.length-3],v=f[f.length-1];if(m===c&&v+1>=n){s>v&&(f[f.length-1]=s);return}f.push(c,n,s)}else{const f=new yre(e,i,[c,n,s]);this._asMap[e]=f,this.asArray.push(f)}}}class X2i{constructor(){this.hiddenAreas=new Map,this.shouldRecompute=!1,this.ranges=[]}setHiddenAreas(e,i){const n=this.hiddenAreas.get(e);n&&KSt(n,i)||(this.hiddenAreas.set(e,i),this.shouldRecompute=!0)}getMergedRanges(){if(!this.shouldRecompute)return this.ranges;this.shouldRecompute=!1;const e=Array.from(this.hiddenAreas.values()).reduce((i,n)=>Q2i(i,n),[]);return KSt(this.ranges,e)?this.ranges:(this.ranges=e,this.ranges)}}function Q2i(l,e){const i=[];let n=0,s=0;for(;n<l.length&&s<e.length;){const c=l[n],d=e[s];if(c.endLineNumber<d.startLineNumber-1)i.push(l[n++]);else if(d.endLineNumber<c.startLineNumber-1)i.push(e[s++]);else{const f=Math.min(c.startLineNumber,d.startLineNumber),m=Math.max(c.endLineNumber,d.endLineNumber);i.push(new nt(f,1,m,1)),n++,s++}}for(;n<l.length;)i.push(l[n++]);for(;s<e.length;)i.push(e[s++]);return i}function KSt(l,e){if(l.length!==e.length)return!1;for(let i=0;i<l.length;i++)if(!l[i].equalsRange(e[i]))return!1;return!0}class XSt{constructor(e,i){this.viewportStartModelPosition=e,this.startLineDelta=i}recoverViewportStart(e,i){if(!this.viewportStartModelPosition)return;const n=e.convertModelPositionToViewPosition(this.viewportStartModelPosition),s=i.getVerticalOffsetForLineNumber(n.lineNumber);i.setScrollPosition({scrollTop:s+this.startLineDelta},1)}}var Z2i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},f4=function(l,e){return function(i,n){e(i,n,l)}},LF,L9;let Dre=(L9=class extends xi{get isSimpleWidget(){return this._configuration.isSimpleWidget}get contextMenuId(){return this._configuration.contextMenuId}constructor(e,i,n,s,c,d,f,m,v,x,w,I){super(),this.languageConfigurationService=w,this._deliveryQueue=ali(),this._contributions=this._register(new r2i),this._onDidDispose=this._register(new gi),this.onDidDispose=this._onDidDispose.event,this._onDidChangeModelContent=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeModelContent=this._onDidChangeModelContent.event,this._onDidChangeModelLanguage=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeModelLanguage=this._onDidChangeModelLanguage.event,this._onDidChangeModelLanguageConfiguration=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeModelLanguageConfiguration=this._onDidChangeModelLanguageConfiguration.event,this._onDidChangeModelOptions=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeModelOptions=this._onDidChangeModelOptions.event,this._onDidChangeModelDecorations=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeModelDecorations=this._onDidChangeModelDecorations.event,this._onDidChangeModelTokens=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeModelTokens=this._onDidChangeModelTokens.event,this._onDidChangeConfiguration=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeConfiguration=this._onDidChangeConfiguration.event,this._onWillChangeModel=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onWillChangeModel=this._onWillChangeModel.event,this._onDidChangeModel=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeModel=this._onDidChangeModel.event,this._onDidChangeCursorPosition=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeCursorPosition=this._onDidChangeCursorPosition.event,this._onDidChangeCursorSelection=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeCursorSelection=this._onDidChangeCursorSelection.event,this._onDidAttemptReadOnlyEdit=this._register(new py(this._contributions,this._deliveryQueue)),this.onDidAttemptReadOnlyEdit=this._onDidAttemptReadOnlyEdit.event,this._onDidLayoutChange=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidLayoutChange=this._onDidLayoutChange.event,this._editorTextFocus=this._register(new QSt({deliveryQueue:this._deliveryQueue})),this.onDidFocusEditorText=this._editorTextFocus.onDidChangeToTrue,this.onDidBlurEditorText=this._editorTextFocus.onDidChangeToFalse,this._editorWidgetFocus=this._register(new QSt({deliveryQueue:this._deliveryQueue})),this.onDidFocusEditorWidget=this._editorWidgetFocus.onDidChangeToTrue,this.onDidBlurEditorWidget=this._editorWidgetFocus.onDidChangeToFalse,this._onWillType=this._register(new py(this._contributions,this._deliveryQueue)),this.onWillType=this._onWillType.event,this._onDidType=this._register(new py(this._contributions,this._deliveryQueue)),this.onDidType=this._onDidType.event,this._onDidCompositionStart=this._register(new py(this._contributions,this._deliveryQueue)),this.onDidCompositionStart=this._onDidCompositionStart.event,this._onDidCompositionEnd=this._register(new py(this._contributions,this._deliveryQueue)),this.onDidCompositionEnd=this._onDidCompositionEnd.event,this._onDidPaste=this._register(new py(this._contributions,this._deliveryQueue)),this.onDidPaste=this._onDidPaste.event,this._onMouseUp=this._register(new py(this._contributions,this._deliveryQueue)),this.onMouseUp=this._onMouseUp.event,this._onMouseDown=this._register(new py(this._contributions,this._deliveryQueue)),this.onMouseDown=this._onMouseDown.event,this._onMouseDrag=this._register(new py(this._contributions,this._deliveryQueue)),this.onMouseDrag=this._onMouseDrag.event,this._onMouseDrop=this._register(new py(this._contributions,this._deliveryQueue)),this.onMouseDrop=this._onMouseDrop.event,this._onMouseDropCanceled=this._register(new py(this._contributions,this._deliveryQueue)),this.onMouseDropCanceled=this._onMouseDropCanceled.event,this._onDropIntoEditor=this._register(new py(this._contributions,this._deliveryQueue)),this.onDropIntoEditor=this._onDropIntoEditor.event,this._onContextMenu=this._register(new py(this._contributions,this._deliveryQueue)),this.onContextMenu=this._onContextMenu.event,this._onMouseMove=this._register(new py(this._contributions,this._deliveryQueue)),this.onMouseMove=this._onMouseMove.event,this._onMouseLeave=this._register(new py(this._contributions,this._deliveryQueue)),this.onMouseLeave=this._onMouseLeave.event,this._onMouseWheel=this._register(new py(this._contributions,this._deliveryQueue)),this.onMouseWheel=this._onMouseWheel.event,this._onKeyUp=this._register(new py(this._contributions,this._deliveryQueue)),this.onKeyUp=this._onKeyUp.event,this._onKeyDown=this._register(new py(this._contributions,this._deliveryQueue)),this.onKeyDown=this._onKeyDown.event,this._onDidContentSizeChange=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidContentSizeChange=this._onDidContentSizeChange.event,this._onDidScrollChange=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidScrollChange=this._onDidScrollChange.event,this._onDidChangeViewZones=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeViewZones=this._onDidChangeViewZones.event,this._onDidChangeHiddenAreas=this._register(new gi({deliveryQueue:this._deliveryQueue})),this.onDidChangeHiddenAreas=this._onDidChangeHiddenAreas.event,this._updateCounter=0,this._onBeginUpdate=this._register(new gi),this.onBeginUpdate=this._onBeginUpdate.event,this._onEndUpdate=this._register(new gi),this.onEndUpdate=this._onEndUpdate.event,this._actions=new Map,this._bannerDomNode=null,this._dropIntoEditorDecorations=this.createDecorationsCollection(),c.willCreateCodeEditor();const P={...i};this._domElement=e,this._overflowWidgetsDomNode=P.overflowWidgetsDomNode,delete P.overflowWidgetsDomNode,this._id=++Y2i,this._decorationTypeKeysToIds={},this._decorationTypeSubtypes={},this._telemetryData=n.telemetryData,this._configuration=this._register(this._createConfiguration(n.isSimpleWidget||!1,n.contextMenuId??(n.isSimpleWidget?As.SimpleEditorContext:As.EditorContext),P,x)),this._register(this._configuration.onDidChange(J=>{this._onDidChangeConfiguration.fire(J);const Y=this._configuration.options;if(J.hasChanged(146)){const ae=Y.get(146);this._onDidLayoutChange.fire(ae)}})),this._contextKeyService=this._register(f.createScoped(this._domElement)),this._notificationService=v,this._codeEditorService=c,this._commandService=d,this._themeService=m,this._register(new tTi(this,this._contextKeyService)),this._register(new iTi(this,this._contextKeyService,I)),this._instantiationService=this._register(s.createChild(new IJ([Ko,this._contextKeyService]))),this._modelData=null,this._focusTracker=new nTi(e,this._overflowWidgetsDomNode),this._register(this._focusTracker.onChange(()=>{this._editorWidgetFocus.setValue(this._focusTracker.hasFocus())})),this._contentWidgets={},this._overlayWidgets={},this._glyphMarginWidgets={};let O;Array.isArray(n.contributions)?O=n.contributions:O=p9.getEditorContributions(),this._contributions.initialize(this,O,this._instantiationService);for(const J of p9.getEditorActions()){if(this._actions.has(J.id)){Pa(new Error(`Cannot have two actions with the same id ${J.id}`));continue}const Y=new NIt(J.id,J.label,J.alias,J.metadata,J.precondition??void 0,ae=>this._instantiationService.invokeFunction(me=>Promise.resolve(J.runEditorCommand(me,this,ae))),this._contextKeyService);this._actions.set(Y.id,Y)}const z=()=>!this._configuration.options.get(92)&&this._configuration.options.get(36).enabled;this._register(new bdi(this._domElement,{onDragOver:J=>{if(!z())return;const Y=this.getTargetAtClientPoint(J.clientX,J.clientY);Y!=null&&Y.position&&this.showDropIndicatorAt(Y.position)},onDrop:async J=>{if(!z()||(this.removeDropIndicator(),!J.dataTransfer))return;const Y=this.getTargetAtClientPoint(J.clientX,J.clientY);Y!=null&&Y.position&&this._onDropIntoEditor.fire({position:Y.position,event:J})},onDragLeave:()=>{this.removeDropIndicator()},onDragEnd:()=>{this.removeDropIndicator()}})),this._codeEditorService.addCodeEditor(this)}writeScreenReaderContent(e){var i;(i=this._modelData)==null||i.view.writeScreenReaderContent(e)}_createConfiguration(e,i,n,s){return new tje(e,i,n,this._domElement,s)}getId(){return this.getEditorType()+":"+this._id}getEditorType(){return Ase.ICodeEditor}dispose(){this._codeEditorService.removeCodeEditor(this),this._focusTracker.dispose(),this._actions.clear(),this._contentWidgets={},this._overlayWidgets={},this._removeDecorationTypes(),this._postDetachModelCleanup(this._detachModel()),this._onDidDispose.fire(),super.dispose()}invokeWithinContext(e){return this._instantiationService.invokeFunction(e)}updateOptions(e){this._configuration.updateOptions(e||{})}getOptions(){return this._configuration.options}getOption(e){return this._configuration.options.get(e)}getRawOptions(){return this._configuration.getRawOptions()}getOverflowWidgetsDomNode(){return this._overflowWidgetsDomNode}getConfiguredWordAtPosition(e){return this._modelData?hu.getWordAtPosition(this._modelData.model,this._configuration.options.get(132),this._configuration.options.get(131),e):null}getValue(e=null){if(!this._modelData)return"";const i=!!(e&&e.preserveBOM);let n=0;return e&&e.lineEnding&&e.lineEnding===`
+`?n=1:e&&e.lineEnding&&e.lineEnding===`\r
+`&&(n=2),this._modelData.model.getValue(n,i)}setValue(e){try{if(this._beginUpdate(),!this._modelData)return;this._modelData.model.setValue(e)}finally{this._endUpdate()}}getModel(){return this._modelData?this._modelData.model:null}setModel(e=null){var i;try{this._beginUpdate();const n=e;if(this._modelData===null&&n===null||this._modelData&&this._modelData.model===n)return;const s={oldModelUrl:((i=this._modelData)==null?void 0:i.model.uri)||null,newModelUrl:(n==null?void 0:n.uri)||null};this._onWillChangeModel.fire(s);const c=this.hasTextFocus(),d=this._detachModel();this._attachModel(n),c&&this.hasModel()&&this.focus(),this._removeDecorationTypes(),this._onDidChangeModel.fire(s),this._postDetachModelCleanup(d),this._contributionsDisposable=this._contributions.onAfterModelAttached()}finally{this._endUpdate()}}_removeDecorationTypes(){if(this._decorationTypeKeysToIds={},this._decorationTypeSubtypes){for(const e in this._decorationTypeSubtypes){const i=this._decorationTypeSubtypes[e];for(const n in i)this._removeDecorationType(e+"-"+n)}this._decorationTypeSubtypes={}}}getVisibleRanges(){return this._modelData?this._modelData.viewModel.getVisibleRanges():[]}getVisibleRangesPlusViewportAboveBelow(){return this._modelData?this._modelData.viewModel.getVisibleRangesPlusViewportAboveBelow():[]}getWhitespaces(){return this._modelData?this._modelData.viewModel.viewLayout.getWhitespaces():[]}static _getVerticalOffsetAfterPosition(e,i,n,s){const c=e.model.validatePosition({lineNumber:i,column:n}),d=e.viewModel.coordinatesConverter.convertModelPositionToViewPosition(c);return e.viewModel.viewLayout.getVerticalOffsetAfterLineNumber(d.lineNumber,s)}getTopForLineNumber(e,i=!1){return this._modelData?LF._getVerticalOffsetForPosition(this._modelData,e,1,i):-1}getTopForPosition(e,i){return this._modelData?LF._getVerticalOffsetForPosition(this._modelData,e,i,!1):-1}static _getVerticalOffsetForPosition(e,i,n,s=!1){const c=e.model.validatePosition({lineNumber:i,column:n}),d=e.viewModel.coordinatesConverter.convertModelPositionToViewPosition(c);return e.viewModel.viewLayout.getVerticalOffsetForLineNumber(d.lineNumber,s)}getBottomForLineNumber(e,i=!1){if(!this._modelData)return-1;const n=this._modelData.model.getLineMaxColumn(e);return LF._getVerticalOffsetAfterPosition(this._modelData,e,n,i)}setHiddenAreas(e,i){var n;(n=this._modelData)==null||n.viewModel.setHiddenAreas(e.map(s=>nt.lift(s)),i)}getVisibleColumnFromPosition(e){if(!this._modelData)return e.column;const i=this._modelData.model.validatePosition(e),n=this._modelData.model.getOptions().tabSize;return em.visibleColumnFromColumn(this._modelData.model.getLineContent(i.lineNumber),i.column,n)+1}getPosition(){return this._modelData?this._modelData.viewModel.getPosition():null}setPosition(e,i="api"){if(this._modelData){if(!pi.isIPosition(e))throw new Error("Invalid arguments");this._modelData.viewModel.setSelections(i,[{selectionStartLineNumber:e.lineNumber,selectionStartColumn:e.column,positionLineNumber:e.lineNumber,positionColumn:e.column}])}}_sendRevealRange(e,i,n,s){if(!this._modelData)return;if(!nt.isIRange(e))throw new Error("Invalid arguments");const c=this._modelData.model.validateRange(e),d=this._modelData.viewModel.coordinatesConverter.convertModelRangeToViewRange(c);this._modelData.viewModel.revealRange("api",n,d,i,s)}revealLine(e,i=0){this._revealLine(e,0,i)}revealLineInCenter(e,i=0){this._revealLine(e,1,i)}revealLineInCenterIfOutsideViewport(e,i=0){this._revealLine(e,2,i)}revealLineNearTop(e,i=0){this._revealLine(e,5,i)}_revealLine(e,i,n){if(typeof e!="number")throw new Error("Invalid arguments");this._sendRevealRange(new nt(e,1,e,1),i,!1,n)}revealPosition(e,i=0){this._revealPosition(e,0,!0,i)}revealPositionInCenter(e,i=0){this._revealPosition(e,1,!0,i)}revealPositionInCenterIfOutsideViewport(e,i=0){this._revealPosition(e,2,!0,i)}revealPositionNearTop(e,i=0){this._revealPosition(e,5,!0,i)}_revealPosition(e,i,n,s){if(!pi.isIPosition(e))throw new Error("Invalid arguments");this._sendRevealRange(new nt(e.lineNumber,e.column,e.lineNumber,e.column),i,n,s)}getSelection(){return this._modelData?this._modelData.viewModel.getSelection():null}getSelections(){return this._modelData?this._modelData.viewModel.getSelections():null}setSelection(e,i="api"){const n=Us.isISelection(e),s=nt.isIRange(e);if(!n&&!s)throw new Error("Invalid arguments");if(n)this._setSelectionImpl(e,i);else if(s){const c={selectionStartLineNumber:e.startLineNumber,selectionStartColumn:e.startColumn,positionLineNumber:e.endLineNumber,positionColumn:e.endColumn};this._setSelectionImpl(c,i)}}_setSelectionImpl(e,i){if(!this._modelData)return;const n=new Us(e.selectionStartLineNumber,e.selectionStartColumn,e.positionLineNumber,e.positionColumn);this._modelData.viewModel.setSelections(i,[n])}revealLines(e,i,n=0){this._revealLines(e,i,0,n)}revealLinesInCenter(e,i,n=0){this._revealLines(e,i,1,n)}revealLinesInCenterIfOutsideViewport(e,i,n=0){this._revealLines(e,i,2,n)}revealLinesNearTop(e,i,n=0){this._revealLines(e,i,5,n)}_revealLines(e,i,n,s){if(typeof e!="number"||typeof i!="number")throw new Error("Invalid arguments");this._sendRevealRange(new nt(e,1,i,1),n,!1,s)}revealRange(e,i=0,n=!1,s=!0){this._revealRange(e,n?1:0,s,i)}revealRangeInCenter(e,i=0){this._revealRange(e,1,!0,i)}revealRangeInCenterIfOutsideViewport(e,i=0){this._revealRange(e,2,!0,i)}revealRangeNearTop(e,i=0){this._revealRange(e,5,!0,i)}revealRangeNearTopIfOutsideViewport(e,i=0){this._revealRange(e,6,!0,i)}revealRangeAtTop(e,i=0){this._revealRange(e,3,!0,i)}_revealRange(e,i,n,s){if(!nt.isIRange(e))throw new Error("Invalid arguments");this._sendRevealRange(nt.lift(e),i,n,s)}setSelections(e,i="api",n=0){if(this._modelData){if(!e||e.length===0)throw new Error("Invalid arguments");for(let s=0,c=e.length;s<c;s++)if(!Us.isISelection(e[s]))throw new Error("Invalid arguments");this._modelData.viewModel.setSelections(i,e,n)}}getContentWidth(){return this._modelData?this._modelData.viewModel.viewLayout.getContentWidth():-1}getScrollWidth(){return this._modelData?this._modelData.viewModel.viewLayout.getScrollWidth():-1}getScrollLeft(){return this._modelData?this._modelData.viewModel.viewLayout.getCurrentScrollLeft():-1}getContentHeight(){return this._modelData?this._modelData.viewModel.viewLayout.getContentHeight():-1}getScrollHeight(){return this._modelData?this._modelData.viewModel.viewLayout.getScrollHeight():-1}getScrollTop(){return this._modelData?this._modelData.viewModel.viewLayout.getCurrentScrollTop():-1}setScrollLeft(e,i=1){if(this._modelData){if(typeof e!="number")throw new Error("Invalid arguments");this._modelData.viewModel.viewLayout.setScrollPosition({scrollLeft:e},i)}}setScrollTop(e,i=1){if(this._modelData){if(typeof e!="number")throw new Error("Invalid arguments");this._modelData.viewModel.viewLayout.setScrollPosition({scrollTop:e},i)}}setScrollPosition(e,i=1){this._modelData&&this._modelData.viewModel.viewLayout.setScrollPosition(e,i)}hasPendingScrollAnimation(){return this._modelData?this._modelData.viewModel.viewLayout.hasPendingScrollAnimation():!1}saveViewState(){if(!this._modelData)return null;const e=this._contributions.saveViewState(),i=this._modelData.viewModel.saveCursorState(),n=this._modelData.viewModel.saveState();return{cursorState:i,viewState:n,contributionsState:e}}restoreViewState(e){if(!this._modelData||!this._modelData.hasRealView)return;const i=e;if(i&&i.cursorState&&i.viewState){const n=i.cursorState;Array.isArray(n)?n.length>0&&this._modelData.viewModel.restoreCursorState(n):this._modelData.viewModel.restoreCursorState([n]),this._contributions.restoreViewState(i.contributionsState||{});const s=this._modelData.viewModel.reduceRestoreState(i.viewState);this._modelData.view.restoreState(s)}}handleInitialized(){var e;(e=this._getViewModel())==null||e.visibleLinesStabilized()}getContribution(e){return this._contributions.get(e)}getActions(){return Array.from(this._actions.values())}getSupportedActions(){let e=this.getActions();return e=e.filter(i=>i.isSupported()),e}getAction(e){return this._actions.get(e)||null}trigger(e,i,n){n=n||{};try{switch(this._beginUpdate(),i){case"compositionStart":this._startComposition();return;case"compositionEnd":this._endComposition(e);return;case"type":{const c=n;this._type(e,c.text||"");return}case"replacePreviousChar":{const c=n;this._compositionType(e,c.text||"",c.replaceCharCnt||0,0,0);return}case"compositionType":{const c=n;this._compositionType(e,c.text||"",c.replacePrevCharCnt||0,c.replaceNextCharCnt||0,c.positionDelta||0);return}case"paste":{const c=n;this._paste(e,c.text||"",c.pasteOnNewLine||!1,c.multicursorText||null,c.mode||null,c.clipboardEvent);return}case"cut":this._cut(e);return}const s=this.getAction(i);if(s){Promise.resolve(s.run(n)).then(void 0,Pa);return}if(!this._modelData||this._triggerEditorCommand(e,i,n))return;this._triggerCommand(i,n)}finally{this._endUpdate()}}_triggerCommand(e,i){this._commandService.executeCommand(e,i)}_startComposition(){this._modelData&&(this._modelData.viewModel.startComposition(),this._onDidCompositionStart.fire())}_endComposition(e){this._modelData&&(this._modelData.viewModel.endComposition(e),this._onDidCompositionEnd.fire())}_type(e,i){!this._modelData||i.length===0||(e==="keyboard"&&this._onWillType.fire(i),this._modelData.viewModel.type(i,e),e==="keyboard"&&this._onDidType.fire(i))}_compositionType(e,i,n,s,c){this._modelData&&this._modelData.viewModel.compositionType(i,n,s,c,e)}_paste(e,i,n,s,c,d){if(!this._modelData)return;const f=this._modelData.viewModel,m=f.getSelection().getStartPosition();f.paste(i,n,s,e);const v=f.getSelection().getStartPosition();e==="keyboard"&&this._onDidPaste.fire({clipboardEvent:d,range:new nt(m.lineNumber,m.column,v.lineNumber,v.column),languageId:c})}_cut(e){this._modelData&&this._modelData.viewModel.cut(e)}_triggerEditorCommand(e,i,n){const s=p9.getEditorCommand(i);return s?(n=n||{},n.source=e,this._instantiationService.invokeFunction(c=>{Promise.resolve(s.runEditorCommand(c,this,n)).then(void 0,Pa)}),!0):!1}_getViewModel(){return this._modelData?this._modelData.viewModel:null}pushUndoStop(){return!this._modelData||this._configuration.options.get(92)?!1:(this._modelData.model.pushStackElement(),!0)}popUndoStop(){return!this._modelData||this._configuration.options.get(92)?!1:(this._modelData.model.popStackElement(),!0)}executeEdits(e,i,n){if(!this._modelData||this._configuration.options.get(92))return!1;let s;return n?Array.isArray(n)?s=()=>n:s=n:s=()=>null,this._modelData.viewModel.executeEdits(e,i,s),!0}executeCommand(e,i){this._modelData&&this._modelData.viewModel.executeCommand(i,e)}executeCommands(e,i){this._modelData&&this._modelData.viewModel.executeCommands(i,e)}createDecorationsCollection(e){return new rTi(this,e)}changeDecorations(e){return this._modelData?this._modelData.model.changeDecorations(e,this._id):null}getLineDecorations(e){return this._modelData?this._modelData.model.getLineDecorations(e,this._id,G1e(this._configuration.options)):null}getDecorationsInRange(e){return this._modelData?this._modelData.model.getDecorationsInRange(e,this._id,G1e(this._configuration.options)):null}deltaDecorations(e,i){return this._modelData?e.length===0&&i.length===0?e:this._modelData.model.deltaDecorations(e,i,this._id):[]}removeDecorations(e){!this._modelData||e.length===0||this._modelData.model.changeDecorations(i=>{i.deltaDecorations(e,[])})}removeDecorationsByType(e){const i=this._decorationTypeKeysToIds[e];i&&this.changeDecorations(n=>n.deltaDecorations(i,[])),this._decorationTypeKeysToIds.hasOwnProperty(e)&&delete this._decorationTypeKeysToIds[e],this._decorationTypeSubtypes.hasOwnProperty(e)&&delete this._decorationTypeSubtypes[e]}getLayoutInfo(){return this._configuration.options.get(146)}createOverviewRuler(e){return!this._modelData||!this._modelData.hasRealView?null:this._modelData.view.createOverviewRuler(e)}getContainerDomNode(){return this._domElement}getDomNode(){return!this._modelData||!this._modelData.hasRealView?null:this._modelData.view.domNode.domNode}delegateVerticalScrollbarPointerDown(e){!this._modelData||!this._modelData.hasRealView||this._modelData.view.delegateVerticalScrollbarPointerDown(e)}delegateScrollFromMouseWheelEvent(e){!this._modelData||!this._modelData.hasRealView||this._modelData.view.delegateScrollFromMouseWheelEvent(e)}layout(e,i=!1){this._configuration.observeContainer(e),i||this.render()}focus(){!this._modelData||!this._modelData.hasRealView||this._modelData.view.focus()}hasTextFocus(){return!this._modelData||!this._modelData.hasRealView?!1:this._modelData.view.isFocused()}hasWidgetFocus(){return this._focusTracker&&this._focusTracker.hasFocus()}addContentWidget(e){const i={widget:e,position:e.getPosition()};this._contentWidgets.hasOwnProperty(e.getId())&&console.warn("Overwriting a content widget with the same id:"+e.getId()),this._contentWidgets[e.getId()]=i,this._modelData&&this._modelData.hasRealView&&this._modelData.view.addContentWidget(i)}layoutContentWidget(e){const i=e.getId();if(this._contentWidgets.hasOwnProperty(i)){const n=this._contentWidgets[i];n.position=e.getPosition(),this._modelData&&this._modelData.hasRealView&&this._modelData.view.layoutContentWidget(n)}}removeContentWidget(e){const i=e.getId();if(this._contentWidgets.hasOwnProperty(i)){const n=this._contentWidgets[i];delete this._contentWidgets[i],this._modelData&&this._modelData.hasRealView&&this._modelData.view.removeContentWidget(n)}}addOverlayWidget(e){const i={widget:e,position:e.getPosition()};this._overlayWidgets.hasOwnProperty(e.getId())&&console.warn("Overwriting an overlay widget with the same id."),this._overlayWidgets[e.getId()]=i,this._modelData&&this._modelData.hasRealView&&this._modelData.view.addOverlayWidget(i)}layoutOverlayWidget(e){const i=e.getId();if(this._overlayWidgets.hasOwnProperty(i)){const n=this._overlayWidgets[i];n.position=e.getPosition(),this._modelData&&this._modelData.hasRealView&&this._modelData.view.layoutOverlayWidget(n)}}removeOverlayWidget(e){const i=e.getId();if(this._overlayWidgets.hasOwnProperty(i)){const n=this._overlayWidgets[i];delete this._overlayWidgets[i],this._modelData&&this._modelData.hasRealView&&this._modelData.view.removeOverlayWidget(n)}}addGlyphMarginWidget(e){const i={widget:e,position:e.getPosition()};this._glyphMarginWidgets.hasOwnProperty(e.getId())&&console.warn("Overwriting a glyph margin widget with the same id."),this._glyphMarginWidgets[e.getId()]=i,this._modelData&&this._modelData.hasRealView&&this._modelData.view.addGlyphMarginWidget(i)}layoutGlyphMarginWidget(e){const i=e.getId();if(this._glyphMarginWidgets.hasOwnProperty(i)){const n=this._glyphMarginWidgets[i];n.position=e.getPosition(),this._modelData&&this._modelData.hasRealView&&this._modelData.view.layoutGlyphMarginWidget(n)}}removeGlyphMarginWidget(e){const i=e.getId();if(this._glyphMarginWidgets.hasOwnProperty(i)){const n=this._glyphMarginWidgets[i];delete this._glyphMarginWidgets[i],this._modelData&&this._modelData.hasRealView&&this._modelData.view.removeGlyphMarginWidget(n)}}changeViewZones(e){!this._modelData||!this._modelData.hasRealView||this._modelData.view.change(e)}getTargetAtClientPoint(e,i){return!this._modelData||!this._modelData.hasRealView?null:this._modelData.view.getTargetAtClientPoint(e,i)}getScrolledVisiblePosition(e){if(!this._modelData||!this._modelData.hasRealView)return null;const i=this._modelData.model.validatePosition(e),n=this._configuration.options,s=n.get(146),c=LF._getVerticalOffsetForPosition(this._modelData,i.lineNumber,i.column)-this.getScrollTop(),d=this._modelData.view.getOffsetForColumn(i.lineNumber,i.column)+s.glyphMarginWidth+s.lineNumbersWidth+s.decorationsWidth-this.getScrollLeft();return{top:c,left:d,height:n.get(67)}}getOffsetForColumn(e,i){return!this._modelData||!this._modelData.hasRealView?-1:this._modelData.view.getOffsetForColumn(e,i)}render(e=!1){!this._modelData||!this._modelData.hasRealView||this._modelData.viewModel.batchEvents(()=>{this._modelData.view.render(!0,e)})}setAriaOptions(e){!this._modelData||!this._modelData.hasRealView||this._modelData.view.setAriaOptions(e)}applyFontInfo(e){a0(e,this._configuration.options.get(50))}setBanner(e,i){this._bannerDomNode&&this._domElement.contains(this._bannerDomNode)&&this._bannerDomNode.remove(),this._bannerDomNode=e,this._configuration.setReservedHeight(e?i:0),this._bannerDomNode&&this._domElement.prepend(this._bannerDomNode)}_attachModel(e){if(!e){this._modelData=null;return}const i=[];this._domElement.setAttribute("data-mode-id",e.getLanguageId()),this._configuration.setIsDominatedByLongLines(e.isDominatedByLongLines()),this._configuration.setModelLineCount(e.getLineCount());const n=e.onBeforeAttached(),s=new G2i(this._id,this._configuration,e,iGe.create(Eo(this._domElement)),nGe.create(this._configuration.options),f=>ZC(Eo(this._domElement),f),this.languageConfigurationService,this._themeService,n,{batchChanges:f=>{try{return this._beginUpdate(),f()}finally{this._endUpdate()}}});i.push(e.onWillDispose(()=>this.setModel(null))),i.push(s.onEvent(f=>{switch(f.kind){case 0:this._onDidContentSizeChange.fire(f);break;case 1:this._editorTextFocus.setValue(f.hasFocus);break;case 2:this._onDidScrollChange.fire(f);break;case 3:this._onDidChangeViewZones.fire();break;case 4:this._onDidChangeHiddenAreas.fire();break;case 5:this._onDidAttemptReadOnlyEdit.fire();break;case 6:{if(f.reachedMaxCursorCount){const w=this.getOption(80),I=W("cursors.maximum","The number of cursors has been limited to {0}. Consider using [find and replace](https://code.visualstudio.com/docs/editor/codebasics#_find-and-replace) for larger changes or increase the editor multi cursor limit setting.",w);this._notificationService.prompt(QSe.Warning,I,[{label:"Find and Replace",run:()=>{this._commandService.executeCommand("editor.action.startFindReplaceAction")}},{label:W("goToSetting","Increase Multi Cursor Limit"),run:()=>{this._commandService.executeCommand("workbench.action.openSettings2",{query:"editor.multiCursorLimit"})}}])}const m=[];for(let w=0,I=f.selections.length;w<I;w++)m[w]=f.selections[w].getPosition();const v={position:m[0],secondaryPositions:m.slice(1),reason:f.reason,source:f.source};this._onDidChangeCursorPosition.fire(v);const x={selection:f.selections[0],secondarySelections:f.selections.slice(1),modelVersionId:f.modelVersionId,oldSelections:f.oldSelections,oldModelVersionId:f.oldModelVersionId,source:f.source,reason:f.reason};this._onDidChangeCursorSelection.fire(x);break}case 7:this._onDidChangeModelDecorations.fire(f.event);break;case 8:this._domElement.setAttribute("data-mode-id",e.getLanguageId()),this._onDidChangeModelLanguage.fire(f.event);break;case 9:this._onDidChangeModelLanguageConfiguration.fire(f.event);break;case 10:this._onDidChangeModelContent.fire(f.event);break;case 11:this._onDidChangeModelOptions.fire(f.event);break;case 12:this._onDidChangeModelTokens.fire(f.event);break}}));const[c,d]=this._createView(s);if(d){this._domElement.appendChild(c.domNode.domNode);let f=Object.keys(this._contentWidgets);for(let m=0,v=f.length;m<v;m++){const x=f[m];c.addContentWidget(this._contentWidgets[x])}f=Object.keys(this._overlayWidgets);for(let m=0,v=f.length;m<v;m++){const x=f[m];c.addOverlayWidget(this._overlayWidgets[x])}f=Object.keys(this._glyphMarginWidgets);for(let m=0,v=f.length;m<v;m++){const x=f[m];c.addGlyphMarginWidget(this._glyphMarginWidgets[x])}c.render(!1,!0),c.domNode.domNode.setAttribute("data-uri",e.uri.toString())}this._modelData=new eTi(e,s,c,d,i,n)}_createView(e){let i;this.isSimpleWidget?i={paste:(c,d,f,m)=>{this._paste("keyboard",c,d,f,m)},type:c=>{this._type("keyboard",c)},compositionType:(c,d,f,m)=>{this._compositionType("keyboard",c,d,f,m)},startComposition:()=>{this._startComposition()},endComposition:()=>{this._endComposition("keyboard")},cut:()=>{this._cut("keyboard")}}:i={paste:(c,d,f,m)=>{const v={text:c,pasteOnNewLine:d,multicursorText:f,mode:m};this._commandService.executeCommand("paste",v)},type:c=>{const d={text:c};this._commandService.executeCommand("type",d)},compositionType:(c,d,f,m)=>{if(f||m){const v={text:c,replacePrevCharCnt:d,replaceNextCharCnt:f,positionDelta:m};this._commandService.executeCommand("compositionType",v)}else{const v={text:c,replaceCharCnt:d};this._commandService.executeCommand("replacePreviousChar",v)}},startComposition:()=>{this._commandService.executeCommand("compositionStart",{})},endComposition:()=>{this._commandService.executeCommand("compositionEnd",{})},cut:()=>{this._commandService.executeCommand("cut",{})}};const n=new Rwe(e.coordinatesConverter);return n.onKeyDown=c=>this._onKeyDown.fire(c),n.onKeyUp=c=>this._onKeyUp.fire(c),n.onContextMenu=c=>this._onContextMenu.fire(c),n.onMouseMove=c=>this._onMouseMove.fire(c),n.onMouseLeave=c=>this._onMouseLeave.fire(c),n.onMouseDown=c=>this._onMouseDown.fire(c),n.onMouseUp=c=>this._onMouseUp.fire(c),n.onMouseDrag=c=>this._onMouseDrag.fire(c),n.onMouseDrop=c=>this._onMouseDrop.fire(c),n.onMouseDropCanceled=c=>this._onMouseDropCanceled.fire(c),n.onMouseWheel=c=>this._onMouseWheel.fire(c),[new pje(i,this._configuration,this._themeService.getColorTheme(),e,n,this._overflowWidgetsDomNode,this._instantiationService),!0]}_postDetachModelCleanup(e){e==null||e.removeAllDecorationsWithOwnerId(this._id)}_detachModel(){var n;if((n=this._contributionsDisposable)==null||n.dispose(),this._contributionsDisposable=void 0,!this._modelData)return null;const e=this._modelData.model,i=this._modelData.hasRealView?this._modelData.view.domNode.domNode:null;return this._modelData.dispose(),this._modelData=null,this._domElement.removeAttribute("data-mode-id"),i&&this._domElement.contains(i)&&i.remove(),this._bannerDomNode&&this._domElement.contains(this._bannerDomNode)&&this._bannerDomNode.remove(),e}_removeDecorationType(e){this._codeEditorService.removeDecorationType(e)}hasModel(){return this._modelData!==null}showDropIndicatorAt(e){const i=[{range:new nt(e.lineNumber,e.column,e.lineNumber,e.column),options:LF.dropIntoEditorDecorationOptions}];this._dropIntoEditorDecorations.set(i),this.revealPosition(e,1)}removeDropIndicator(){this._dropIntoEditorDecorations.clear()}setContextValue(e,i){this._contextKeyService.createKey(e,i)}_beginUpdate(){this._updateCounter++,this._updateCounter===1&&this._onBeginUpdate.fire()}_endUpdate(){this._updateCounter--,this._updateCounter===0&&this._onEndUpdate.fire()}},LF=L9,L9.dropIntoEditorDecorationOptions=qa.register({description:"workbench-dnd-target",className:"dnd-target"}),L9);Dre=LF=Z2i([f4(3,ho),f4(4,Bl),f4(5,qd),f4(6,Ko),f4(7,im),f4(8,w_),f4(9,tS),f4(10,rh),f4(11,Ts)],Dre);let Y2i=0;class eTi{constructor(e,i,n,s,c,d){this.model=e,this.viewModel=i,this.view=n,this.hasRealView=s,this.listenersToRemove=c,this.attachedView=d}dispose(){vd(this.listenersToRemove),this.model.onBeforeDetached(this.attachedView),this.hasRealView&&this.view.dispose(),this.viewModel.dispose()}}class QSt extends xi{constructor(e){super(),this._emitterOptions=e,this._onDidChangeToTrue=this._register(new gi(this._emitterOptions)),this.onDidChangeToTrue=this._onDidChangeToTrue.event,this._onDidChangeToFalse=this._register(new gi(this._emitterOptions)),this.onDidChangeToFalse=this._onDidChangeToFalse.event,this._value=0}setValue(e){const i=e?2:1;this._value!==i&&(this._value=i,this._value===2?this._onDidChangeToTrue.fire():this._value===1&&this._onDidChangeToFalse.fire())}}class py extends gi{constructor(e,i){super({deliveryQueue:i}),this._contributions=e}fire(e){this._contributions.onBeforeInteractionEvent(),super.fire(e)}}class tTi extends xi{constructor(e,i){super(),this._editor=e,i.createKey("editorId",e.getId()),this._editorSimpleInput=Tt.editorSimpleInput.bindTo(i),this._editorFocus=Tt.focus.bindTo(i),this._textInputFocus=Tt.textInputFocus.bindTo(i),this._editorTextFocus=Tt.editorTextFocus.bindTo(i),this._tabMovesFocus=Tt.tabMovesFocus.bindTo(i),this._editorReadonly=Tt.readOnly.bindTo(i),this._inDiffEditor=Tt.inDiffEditor.bindTo(i),this._editorColumnSelection=Tt.columnSelection.bindTo(i),this._hasMultipleSelections=Tt.hasMultipleSelections.bindTo(i),this._hasNonEmptySelection=Tt.hasNonEmptySelection.bindTo(i),this._canUndo=Tt.canUndo.bindTo(i),this._canRedo=Tt.canRedo.bindTo(i),this._register(this._editor.onDidChangeConfiguration(()=>this._updateFromConfig())),this._register(this._editor.onDidChangeCursorSelection(()=>this._updateFromSelection())),this._register(this._editor.onDidFocusEditorWidget(()=>this._updateFromFocus())),this._register(this._editor.onDidBlurEditorWidget(()=>this._updateFromFocus())),this._register(this._editor.onDidFocusEditorText(()=>this._updateFromFocus())),this._register(this._editor.onDidBlurEditorText(()=>this._updateFromFocus())),this._register(this._editor.onDidChangeModel(()=>this._updateFromModel())),this._register(this._editor.onDidChangeConfiguration(()=>this._updateFromModel())),this._register(q$.onDidChangeTabFocus(n=>this._tabMovesFocus.set(n))),this._updateFromConfig(),this._updateFromSelection(),this._updateFromFocus(),this._updateFromModel(),this._editorSimpleInput.set(this._editor.isSimpleWidget)}_updateFromConfig(){const e=this._editor.getOptions();this._tabMovesFocus.set(q$.getTabFocusMode()),this._editorReadonly.set(e.get(92)),this._inDiffEditor.set(e.get(61)),this._editorColumnSelection.set(e.get(22))}_updateFromSelection(){const e=this._editor.getSelections();e?(this._hasMultipleSelections.set(e.length>1),this._hasNonEmptySelection.set(e.some(i=>!i.isEmpty()))):(this._hasMultipleSelections.reset(),this._hasNonEmptySelection.reset())}_updateFromFocus(){this._editorFocus.set(this._editor.hasWidgetFocus()&&!this._editor.isSimpleWidget),this._editorTextFocus.set(this._editor.hasTextFocus()&&!this._editor.isSimpleWidget),this._textInputFocus.set(this._editor.hasTextFocus())}_updateFromModel(){const e=this._editor.getModel();this._canUndo.set(!!(e&&e.canUndo())),this._canRedo.set(!!(e&&e.canRedo()))}}class iTi extends xi{constructor(e,i,n){super(),this._editor=e,this._contextKeyService=i,this._languageFeaturesService=n,this._langId=Tt.languageId.bindTo(i),this._hasCompletionItemProvider=Tt.hasCompletionItemProvider.bindTo(i),this._hasCodeActionsProvider=Tt.hasCodeActionsProvider.bindTo(i),this._hasCodeLensProvider=Tt.hasCodeLensProvider.bindTo(i),this._hasDefinitionProvider=Tt.hasDefinitionProvider.bindTo(i),this._hasDeclarationProvider=Tt.hasDeclarationProvider.bindTo(i),this._hasImplementationProvider=Tt.hasImplementationProvider.bindTo(i),this._hasTypeDefinitionProvider=Tt.hasTypeDefinitionProvider.bindTo(i),this._hasHoverProvider=Tt.hasHoverProvider.bindTo(i),this._hasDocumentHighlightProvider=Tt.hasDocumentHighlightProvider.bindTo(i),this._hasDocumentSymbolProvider=Tt.hasDocumentSymbolProvider.bindTo(i),this._hasReferenceProvider=Tt.hasReferenceProvider.bindTo(i),this._hasRenameProvider=Tt.hasRenameProvider.bindTo(i),this._hasSignatureHelpProvider=Tt.hasSignatureHelpProvider.bindTo(i),this._hasInlayHintsProvider=Tt.hasInlayHintsProvider.bindTo(i),this._hasDocumentFormattingProvider=Tt.hasDocumentFormattingProvider.bindTo(i),this._hasDocumentSelectionFormattingProvider=Tt.hasDocumentSelectionFormattingProvider.bindTo(i),this._hasMultipleDocumentFormattingProvider=Tt.hasMultipleDocumentFormattingProvider.bindTo(i),this._hasMultipleDocumentSelectionFormattingProvider=Tt.hasMultipleDocumentSelectionFormattingProvider.bindTo(i),this._isInEmbeddedEditor=Tt.isInEmbeddedEditor.bindTo(i);const s=()=>this._update();this._register(e.onDidChangeModel(s)),this._register(e.onDidChangeModelLanguage(s)),this._register(n.completionProvider.onDidChange(s)),this._register(n.codeActionProvider.onDidChange(s)),this._register(n.codeLensProvider.onDidChange(s)),this._register(n.definitionProvider.onDidChange(s)),this._register(n.declarationProvider.onDidChange(s)),this._register(n.implementationProvider.onDidChange(s)),this._register(n.typeDefinitionProvider.onDidChange(s)),this._register(n.hoverProvider.onDidChange(s)),this._register(n.documentHighlightProvider.onDidChange(s)),this._register(n.documentSymbolProvider.onDidChange(s)),this._register(n.referenceProvider.onDidChange(s)),this._register(n.renameProvider.onDidChange(s)),this._register(n.documentFormattingEditProvider.onDidChange(s)),this._register(n.documentRangeFormattingEditProvider.onDidChange(s)),this._register(n.signatureHelpProvider.onDidChange(s)),this._register(n.inlayHintsProvider.onDidChange(s)),s()}dispose(){super.dispose()}reset(){this._contextKeyService.bufferChangeEvents(()=>{this._langId.reset(),this._hasCompletionItemProvider.reset(),this._hasCodeActionsProvider.reset(),this._hasCodeLensProvider.reset(),this._hasDefinitionProvider.reset(),this._hasDeclarationProvider.reset(),this._hasImplementationProvider.reset(),this._hasTypeDefinitionProvider.reset(),this._hasHoverProvider.reset(),this._hasDocumentHighlightProvider.reset(),this._hasDocumentSymbolProvider.reset(),this._hasReferenceProvider.reset(),this._hasRenameProvider.reset(),this._hasDocumentFormattingProvider.reset(),this._hasDocumentSelectionFormattingProvider.reset(),this._hasSignatureHelpProvider.reset(),this._isInEmbeddedEditor.reset()})}_update(){const e=this._editor.getModel();if(!e){this.reset();return}this._contextKeyService.bufferChangeEvents(()=>{this._langId.set(e.getLanguageId()),this._hasCompletionItemProvider.set(this._languageFeaturesService.completionProvider.has(e)),this._hasCodeActionsProvider.set(this._languageFeaturesService.codeActionProvider.has(e)),this._hasCodeLensProvider.set(this._languageFeaturesService.codeLensProvider.has(e)),this._hasDefinitionProvider.set(this._languageFeaturesService.definitionProvider.has(e)),this._hasDeclarationProvider.set(this._languageFeaturesService.declarationProvider.has(e)),this._hasImplementationProvider.set(this._languageFeaturesService.implementationProvider.has(e)),this._hasTypeDefinitionProvider.set(this._languageFeaturesService.typeDefinitionProvider.has(e)),this._hasHoverProvider.set(this._languageFeaturesService.hoverProvider.has(e)),this._hasDocumentHighlightProvider.set(this._languageFeaturesService.documentHighlightProvider.has(e)),this._hasDocumentSymbolProvider.set(this._languageFeaturesService.documentSymbolProvider.has(e)),this._hasReferenceProvider.set(this._languageFeaturesService.referenceProvider.has(e)),this._hasRenameProvider.set(this._languageFeaturesService.renameProvider.has(e)),this._hasSignatureHelpProvider.set(this._languageFeaturesService.signatureHelpProvider.has(e)),this._hasInlayHintsProvider.set(this._languageFeaturesService.inlayHintsProvider.has(e)),this._hasDocumentFormattingProvider.set(this._languageFeaturesService.documentFormattingEditProvider.has(e)||this._languageFeaturesService.documentRangeFormattingEditProvider.has(e)),this._hasDocumentSelectionFormattingProvider.set(this._languageFeaturesService.documentRangeFormattingEditProvider.has(e)),this._hasMultipleDocumentFormattingProvider.set(this._languageFeaturesService.documentFormattingEditProvider.all(e).length+this._languageFeaturesService.documentRangeFormattingEditProvider.all(e).length>1),this._hasMultipleDocumentSelectionFormattingProvider.set(this._languageFeaturesService.documentRangeFormattingEditProvider.all(e).length>1),this._isInEmbeddedEditor.set(e.uri.scheme===Ma.walkThroughSnippet||e.uri.scheme===Ma.vscodeChatCodeBlock)})}}class nTi extends xi{constructor(e,i){super(),this._onChange=this._register(new gi),this.onChange=this._onChange.event,this._hadFocus=void 0,this._hasDomElementFocus=!1,this._domFocusTracker=this._register(zE(e)),this._overflowWidgetsDomNodeHasFocus=!1,this._register(this._domFocusTracker.onDidFocus(()=>{this._hasDomElementFocus=!0,this._update()})),this._register(this._domFocusTracker.onDidBlur(()=>{this._hasDomElementFocus=!1,this._update()})),i&&(this._overflowWidgetsDomNode=this._register(zE(i)),this._register(this._overflowWidgetsDomNode.onDidFocus(()=>{this._overflowWidgetsDomNodeHasFocus=!0,this._update()})),this._register(this._overflowWidgetsDomNode.onDidBlur(()=>{this._overflowWidgetsDomNodeHasFocus=!1,this._update()})))}_update(){const e=this._hasDomElementFocus||this._overflowWidgetsDomNodeHasFocus;this._hadFocus!==e&&(this._hadFocus=e,this._onChange.fire(void 0))}hasFocus(){return this._hadFocus??!1}}class rTi{get length(){return this._decorationIds.length}constructor(e,i){this._editor=e,this._decorationIds=[],this._isChangingDecorations=!1,Array.isArray(i)&&i.length>0&&this.set(i)}onDidChange(e,i,n){return this._editor.onDidChangeModelDecorations(s=>{this._isChangingDecorations||e.call(i,s)},n)}getRange(e){return!this._editor.hasModel()||e>=this._decorationIds.length?null:this._editor.getModel().getDecorationRange(this._decorationIds[e])}getRanges(){if(!this._editor.hasModel())return[];const e=this._editor.getModel(),i=[];for(const n of this._decorationIds){const s=e.getDecorationRange(n);s&&i.push(s)}return i}has(e){return this._decorationIds.includes(e.id)}clear(){this._decorationIds.length!==0&&this.set([])}set(e){try{this._isChangingDecorations=!0,this._editor.changeDecorations(i=>{this._decorationIds=i.deltaDecorations(this._decorationIds,e)})}finally{this._isChangingDecorations=!1}return this._decorationIds}append(e){let i=[];try{this._isChangingDecorations=!0,this._editor.changeDecorations(n=>{i=n.deltaDecorations([],e),this._decorationIds=this._decorationIds.concat(i)})}finally{this._isChangingDecorations=!1}return i}}const sTi=encodeURIComponent("<svg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 6 3' enable-background='new 0 0 6 3' height='3' width='6'><g fill='"),oTi=encodeURIComponent("'><polygon points='5.5,0 2.5,3 1.1,3 4.1,0'/><polygon points='4,0 6,2 6,0.6 5.4,0'/><polygon points='0,2 1,3 2.4,3 0,0.6'/></g></svg>");function C9e(l){return sTi+encodeURIComponent(l.toString())+oTi}const aTi=encodeURIComponent('<svg xmlns="http://www.w3.org/2000/svg" height="3" width="12"><g fill="'),cTi=encodeURIComponent('"><circle cx="1" cy="1" r="1"/><circle cx="5" cy="1" r="1"/><circle cx="9" cy="1" r="1"/></g></svg>');function lTi(l){return aTi+encodeURIComponent(l.toString())+cTi}Dk((l,e)=>{const i=l.getColor(twe);i&&e.addRule(`.monaco-editor .squiggly-error { background: url("data:image/svg+xml,${C9e(i)}") repeat-x bottom left; }`);const n=l.getColor(u6);n&&e.addRule(`.monaco-editor .squiggly-warning { background: url("data:image/svg+xml,${C9e(n)}") repeat-x bottom left; }`);const s=l.getColor(LL);s&&e.addRule(`.monaco-editor .squiggly-info { background: url("data:image/svg+xml,${C9e(s)}") repeat-x bottom left; }`);const c=l.getColor(tpi);c&&e.addRule(`.monaco-editor .squiggly-hint { background: url("data:image/svg+xml,${lTi(c)}") no-repeat bottom left; }`);const d=l.getColor(Fyi);d&&e.addRule(`.monaco-editor.showUnused .squiggly-inline-unnecessary { opacity: ${d.rgba.a}; }`)});class GE{static capture(e){if(e.getScrollTop()===0||e.hasPendingScrollAnimation())return new GE(e.getScrollTop(),e.getContentHeight(),null,0,null);let i=null,n=0;const s=e.getVisibleRanges();if(s.length>0){i=s[0].getStartPosition();const c=e.getTopForPosition(i.lineNumber,i.column);n=e.getScrollTop()-c}return new GE(e.getScrollTop(),e.getContentHeight(),i,n,e.getPosition())}constructor(e,i,n,s,c){this._initialScrollTop=e,this._initialContentHeight=i,this._visiblePosition=n,this._visiblePositionScrollDelta=s,this._cursorPosition=c}restore(e){if(!(this._initialContentHeight===e.getContentHeight()&&this._initialScrollTop===e.getScrollTop())&&this._visiblePosition){const i=e.getTopForPosition(this._visiblePosition.lineNumber,this._visiblePosition.column);e.setScrollTop(i+this._visiblePositionScrollDelta)}}restoreRelativeVerticalPositionOfCursor(e){if(this._initialContentHeight===e.getContentHeight()&&this._initialScrollTop===e.getScrollTop())return;const i=e.getPosition();if(!this._cursorPosition||!i)return;const n=e.getTopForLineNumber(i.lineNumber)-e.getTopForLineNumber(this._cursorPosition.lineNumber);e.setScrollTop(e.getScrollTop()+n,1)}}function uTi(l,e,i,n){if(l.length===0)return e;if(e.length===0)return l;const s=[];let c=0,d=0;for(;c<l.length&&d<e.length;){const f=l[c],m=e[d],v=i(f),x=i(m);v<x?(s.push(f),c++):v>x?(s.push(m),d++):(s.push(n(f,m)),c++,d++)}for(;c<l.length;)s.push(l[c]),c++;for(;d<e.length;)s.push(e[d]),d++;return s}function Ove(l,e){const i=new wn,n=l.createDecorationsCollection();return i.add(kse({debugName:()=>`Apply decorations from ${e.debugName}`},s=>{const c=e.read(s);n.set(c)})),i.add({dispose:()=>{n.clear()}}),i}function _q(l,e){return l.appendChild(e),fo(()=>{e.remove()})}function dTi(l,e){return l.prepend(e),fo(()=>{e.remove()})}class OIt extends xi{get width(){return this._width}get height(){return this._height}get automaticLayout(){return this._automaticLayout}constructor(e,i){super(),this._automaticLayout=!1,this.elementSizeObserver=this._register(new hIt(e,i)),this._width=bc(this,this.elementSizeObserver.getWidth()),this._height=bc(this,this.elementSizeObserver.getHeight()),this._register(this.elementSizeObserver.onDidChange(n=>th(s=>{this._width.set(this.elementSizeObserver.getWidth(),s),this._height.set(this.elementSizeObserver.getHeight(),s)})))}observe(e){this.elementSizeObserver.observe(e)}setAutomaticLayout(e){this._automaticLayout=e,e?this.elementSizeObserver.startObserving():this.elementSizeObserver.stopObserving()}}function ZSt(l,e,i){let n=e.get(),s=n,c=n;const d=bc("animatedValue",n);let f=-1;const m=300;let v;i.add(Tse({createEmptyChangeSummary:()=>({animate:!1}),handleChange:(w,I)=>(w.didChange(e)&&(I.animate=I.animate||w.change),!0)},(w,I)=>{v!==void 0&&(l.cancelAnimationFrame(v),v=void 0),s=c,n=e.read(w),f=Date.now()-(I.animate?0:m),x()}));function x(){const w=Date.now()-f;c=Math.floor(fTi(w,s,n-s,m)),w<m?v=l.requestAnimationFrame(x):c=n,d.set(c,void 0)}return d}function fTi(l,e,i,n){return l===n?e+i:i*(-Math.pow(2,-10*l/n)+1)+e}class dGe extends xi{constructor(e,i,n){super(),this._register(new wje(e,n)),this._register(f6(n,{height:i.actualHeight,top:i.actualTop}))}}class pq{get afterLineNumber(){return this._afterLineNumber.get()}constructor(e,i){this._afterLineNumber=e,this.heightInPx=i,this.domNode=document.createElement("div"),this._actualTop=bc(this,void 0),this._actualHeight=bc(this,void 0),this.actualTop=this._actualTop,this.actualHeight=this._actualHeight,this.showInHiddenAreas=!0,this.onChange=this._afterLineNumber,this.onDomNodeTop=n=>{this._actualTop.set(n,void 0)},this.onComputedHeight=n=>{this._actualHeight.set(n,void 0)}}}const ACe=class ACe{constructor(e,i){this._editor=e,this._domElement=i,this._overlayWidgetId=`managedOverlayWidget-${ACe._counter++}`,this._overlayWidget={getId:()=>this._overlayWidgetId,getDomNode:()=>this._domElement,getPosition:()=>null},this._editor.addOverlayWidget(this._overlayWidget)}dispose(){this._editor.removeOverlayWidget(this._overlayWidget)}};ACe._counter=0;let wje=ACe;function f6(l,e){return ba(i=>{for(let[n,s]of Object.entries(e))s&&typeof s=="object"&&"read"in s&&(s=s.read(i)),typeof s=="number"&&(s=`${s}px`),n=n.replace(/[A-Z]/g,c=>"-"+c.toLowerCase()),l.style[n]=s})}function Mve(l,e,i,n){const s=new wn,c=[];return s.add(Ib((d,f)=>{const m=e.read(d),v=new Map,x=new Map;i&&i(!0),l.changeViewZones(w=>{for(const I of c)w.removeZone(I),n==null||n.delete(I);c.length=0;for(const I of m){const P=w.addZone(I);I.setZoneId&&I.setZoneId(P),c.push(P),n==null||n.add(P),v.set(I,P)}}),i&&i(!1),f.add(Tse({createEmptyChangeSummary(){return{zoneIds:[]}},handleChange(w,I){const P=x.get(w.changedObservable);return P!==void 0&&I.zoneIds.push(P),!0}},(w,I)=>{for(const P of m)P.onChange&&(x.set(P.onChange,v.get(P)),P.onChange.read(w));i&&i(!0),l.changeViewZones(P=>{for(const O of I.zoneIds)P.layoutZone(O)}),i&&i(!1)}))})),s.add({dispose(){i&&i(!0),l.changeViewZones(d=>{for(const f of c)d.removeZone(f)}),n==null||n.clear(),i&&i(!1)}}),s}class hTi extends ih{dispose(){super.dispose(!0)}}function YSt(l,e){const i=jne(e,s=>s.original.startLineNumber<=l.lineNumber);if(!i)return nt.fromPositions(l);if(i.original.endLineNumberExclusive<=l.lineNumber){const s=l.lineNumber-i.original.endLineNumberExclusive+i.modified.endLineNumberExclusive;return nt.fromPositions(new pi(s,l.column))}if(!i.innerChanges)return nt.fromPositions(new pi(i.modified.startLineNumber,1));const n=jne(i.innerChanges,s=>s.originalRange.getStartPosition().isBeforeOrEqual(l));if(!n){const s=l.lineNumber-i.original.startLineNumber+i.modified.startLineNumber;return nt.fromPositions(new pi(s,l.column))}if(n.originalRange.containsPosition(l))return n.modifiedRange;{const s=_Ti(n.originalRange.getEndPosition(),l);return nt.fromPositions(s.addToPosition(n.modifiedRange.getEndPosition()))}}function _Ti(l,e){return l.lineNumber===e.lineNumber?new ST(0,e.column-l.column):new ST(e.lineNumber-l.lineNumber,e.column-1)}function pTi(l,e){let i;return l.filter(n=>{const s=e(n,i);return i=n,s})}class Rve{static create(e,i=void 0){return new ewt(e,e,i)}static createWithDisposable(e,i,n=void 0){const s=new wn;return s.add(i),s.add(e),new ewt(e,s,n)}}class ewt extends Rve{constructor(e,i,n){super(),this.object=e,this._disposable=i,this._debugOwner=n,this._refCount=1,this._isDisposed=!1,this._owners=[],n&&this._addOwner(n)}_addOwner(e){e&&this._owners.push(e)}createNewRef(e){return this._refCount++,e&&this._addOwner(e),new mTi(this,e)}dispose(){this._isDisposed||(this._isDisposed=!0,this._decreaseRefCount(this._debugOwner))}_decreaseRefCount(e){if(this._refCount--,this._refCount===0&&this._disposable.dispose(),e){const i=this._owners.indexOf(e);i!==-1&&this._owners.splice(i,1)}}}class mTi extends Rve{constructor(e,i){super(),this._base=e,this._debugOwner=i,this._isDisposed=!1}get object(){return this._base.object}createNewRef(e){return this._base.createNewRef(e)}dispose(){this._isDisposed||(this._isDisposed=!0,this._base._decreaseRefCount(this._debugOwner))}}var fGe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},hGe=function(l,e){return function(i,n){e(i,n,l)}};const gTi=sf("diff-review-insert",pr.add,W("accessibleDiffViewerInsertIcon","Icon for 'Insert' in accessible diff viewer.")),yTi=sf("diff-review-remove",pr.remove,W("accessibleDiffViewerRemoveIcon","Icon for 'Remove' in accessible diff viewer.")),vTi=sf("diff-review-close",pr.close,W("accessibleDiffViewerCloseIcon","Icon for 'Close' in accessible diff viewer."));var f$;let a9=(f$=class extends xi{constructor(e,i,n,s,c,d,f,m,v){super(),this._parentNode=e,this._visible=i,this._setVisible=n,this._canClose=s,this._width=c,this._height=d,this._diffs=f,this._models=m,this._instantiationService=v,this._state=VR(this,(x,w)=>{const I=this._visible.read(x);if(this._parentNode.style.visibility=I?"visible":"hidden",!I)return null;const P=w.add(this._instantiationService.createInstance(xje,this._diffs,this._models,this._setVisible,this._canClose)),O=w.add(this._instantiationService.createInstance(kje,this._parentNode,P,this._width,this._height,this._models));return{model:P,view:O}}).recomputeInitiallyAndOnChange(this._store)}next(){th(e=>{const i=this._visible.get();this._setVisible(!0,e),i&&this._state.get().model.nextGroup(e)})}prev(){th(e=>{this._setVisible(!0,e),this._state.get().model.previousGroup(e)})}close(){th(e=>{this._setVisible(!1,e)})}},f$._ttPolicy=v6("diffReview",{createHTML:e=>e}),f$);a9=fGe([hGe(8,ho)],a9);let xje=class extends xi{constructor(e,i,n,s,c){super(),this._diffs=e,this._models=i,this._setVisible=n,this.canClose=s,this._accessibilitySignalService=c,this._groups=bc(this,[]),this._currentGroupIdx=bc(this,0),this._currentElementIdx=bc(this,0),this.groups=this._groups,this.currentGroup=this._currentGroupIdx.map((d,f)=>this._groups.read(f)[d]),this.currentGroupIndex=this._currentGroupIdx,this.currentElement=this._currentElementIdx.map((d,f)=>{var m;return(m=this.currentGroup.read(f))==null?void 0:m.lines[d]}),this._register(ba(d=>{const f=this._diffs.read(d);if(!f){this._groups.set([],void 0);return}const m=bTi(f,this._models.getOriginalModel().getLineCount(),this._models.getModifiedModel().getLineCount());th(v=>{const x=this._models.getModifiedPosition();if(x){const w=m.findIndex(I=>(x==null?void 0:x.lineNumber)<I.range.modified.endLineNumberExclusive);w!==-1&&this._currentGroupIdx.set(w,v)}this._groups.set(m,v)})})),this._register(ba(d=>{const f=this.currentElement.read(d);(f==null?void 0:f.type)===fv.Deleted?this._accessibilitySignalService.playSignal(hw.diffLineDeleted,{source:"accessibleDiffViewer.currentElementChanged"}):(f==null?void 0:f.type)===fv.Added&&this._accessibilitySignalService.playSignal(hw.diffLineInserted,{source:"accessibleDiffViewer.currentElementChanged"})})),this._register(ba(d=>{const f=this.currentElement.read(d);if(f&&f.type!==fv.Header){const m=f.modifiedLineNumber??f.diff.modified.startLineNumber;this._models.modifiedSetSelection(nt.fromPositions(new pi(m,1)))}}))}_goToGroupDelta(e,i){const n=this.groups.get();!n||n.length<=1||PB(i,s=>{this._currentGroupIdx.set(xc.ofLength(n.length).clipCyclic(this._currentGroupIdx.get()+e),s),this._currentElementIdx.set(0,s)})}nextGroup(e){this._goToGroupDelta(1,e)}previousGroup(e){this._goToGroupDelta(-1,e)}_goToLineDelta(e){const i=this.currentGroup.get();!i||i.lines.length<=1||th(n=>{this._currentElementIdx.set(xc.ofLength(i.lines.length).clip(this._currentElementIdx.get()+e),n)})}goToNextLine(){this._goToLineDelta(1)}goToPreviousLine(){this._goToLineDelta(-1)}goToLine(e){const i=this.currentGroup.get();if(!i)return;const n=i.lines.indexOf(e);n!==-1&&th(s=>{this._currentElementIdx.set(n,s)})}revealCurrentElementInEditor(){if(!this.canClose.get())return;this._setVisible(!1,void 0);const e=this.currentElement.get();e&&(e.type===fv.Deleted?this._models.originalReveal(nt.fromPositions(new pi(e.originalLineNumber,1))):this._models.modifiedReveal(e.type!==fv.Header?nt.fromPositions(new pi(e.modifiedLineNumber,1)):void 0))}close(){this.canClose.get()&&(this._setVisible(!1,void 0),this._models.modifiedFocus())}};xje=fGe([hGe(4,jR)],xje);const qte=3;function bTi(l,e,i){const n=[];for(const s of Bqe(l,(c,d)=>d.modified.startLineNumber-c.modified.endLineNumberExclusive<2*qte)){const c=[];c.push(new STi);const d=new Za(Math.max(1,s[0].original.startLineNumber-qte),Math.min(s[s.length-1].original.endLineNumberExclusive+qte,e+1)),f=new Za(Math.max(1,s[0].modified.startLineNumber-qte),Math.min(s[s.length-1].modified.endLineNumberExclusive+qte,i+1));gkt(s,(x,w)=>{const I=new Za(x?x.original.endLineNumberExclusive:d.startLineNumber,w?w.original.startLineNumber:d.endLineNumberExclusive),P=new Za(x?x.modified.endLineNumberExclusive:f.startLineNumber,w?w.modified.startLineNumber:f.endLineNumberExclusive);I.forEach(O=>{c.push(new kTi(O,P.startLineNumber+(O-I.startLineNumber)))}),w&&(w.original.forEach(O=>{c.push(new wTi(w,O))}),w.modified.forEach(O=>{c.push(new xTi(w,O))}))});const m=s[0].modified.join(s[s.length-1].modified),v=s[0].original.join(s[s.length-1].original);n.push(new CTi(new wy(m,v),c))}return n}var fv;(function(l){l[l.Header=0]="Header",l[l.Unchanged=1]="Unchanged",l[l.Deleted=2]="Deleted",l[l.Added=3]="Added"})(fv||(fv={}));class CTi{constructor(e,i){this.range=e,this.lines=i}}class STi{constructor(){this.type=fv.Header}}class wTi{constructor(e,i){this.diff=e,this.originalLineNumber=i,this.type=fv.Deleted,this.modifiedLineNumber=void 0}}class xTi{constructor(e,i){this.diff=e,this.modifiedLineNumber=i,this.type=fv.Added,this.originalLineNumber=void 0}}class kTi{constructor(e,i){this.originalLineNumber=e,this.modifiedLineNumber=i,this.type=fv.Unchanged}}let kje=class extends xi{constructor(e,i,n,s,c,d){super(),this._element=e,this._model=i,this._width=n,this._height=s,this._models=c,this._languageService=d,this.domNode=this._element,this.domNode.className="monaco-component diff-review monaco-editor-background";const f=document.createElement("div");f.className="diff-review-actions",this._actionBar=this._register(new kw(f)),this._register(ba(m=>{this._actionBar.clear(),this._model.canClose.read(m)&&this._actionBar.push(new $C("diffreview.close",W("label.close","Close"),"close-diff-review "+zo.asClassName(vTi),!0,async()=>i.close()),{label:!1,icon:!0})})),this._content=document.createElement("div"),this._content.className="diff-review-content",this._content.setAttribute("role","code"),this._scrollbar=this._register(new vse(this._content,{})),o0(this.domNode,this._scrollbar.getDomNode(),f),this._register(ba(m=>{this._height.read(m),this._width.read(m),this._scrollbar.scanDomNode()})),this._register(fo(()=>{o0(this.domNode)})),this._register(f6(this.domNode,{width:this._width,height:this._height})),this._register(f6(this._content,{width:this._width,height:this._height})),this._register(Ib((m,v)=>{this._model.currentGroup.read(m),this._render(v)})),this._register(ph(this.domNode,"keydown",m=>{(m.equals(18)||m.equals(2066)||m.equals(530))&&(m.preventDefault(),this._model.goToNextLine()),(m.equals(16)||m.equals(2064)||m.equals(528))&&(m.preventDefault(),this._model.goToPreviousLine()),(m.equals(9)||m.equals(2057)||m.equals(521)||m.equals(1033))&&(m.preventDefault(),this._model.close()),(m.equals(10)||m.equals(3))&&(m.preventDefault(),this._model.revealCurrentElementInEditor())}))}_render(e){const i=this._models.getOriginalOptions(),n=this._models.getModifiedOptions(),s=document.createElement("div");s.className="diff-review-table",s.setAttribute("role","list"),s.setAttribute("aria-label",W("ariaLabel","Accessible Diff Viewer. Use arrow up and down to navigate.")),a0(s,n.get(50)),o0(this._content,s);const c=this._models.getOriginalModel(),d=this._models.getModifiedModel();if(!c||!d)return;const f=c.getOptions(),m=d.getOptions(),v=n.get(67),x=this._model.currentGroup.get();for(const w of(x==null?void 0:x.lines)||[]){if(!x)break;let I;if(w.type===fv.Header){const O=document.createElement("div");O.className="diff-review-row",O.setAttribute("role","listitem");const z=x.range,J=this._model.currentGroupIndex.get(),Y=this._model.groups.get().length,ae=Fe=>Fe===0?W("no_lines_changed","no lines changed"):Fe===1?W("one_line_changed","1 line changed"):W("more_lines_changed","{0} lines changed",Fe),me=ae(z.original.length),ye=ae(z.modified.length);O.setAttribute("aria-label",W({key:"header",comment:["This is the ARIA label for a git diff header.","A git diff header looks like this: @@ -154,12 +159,39 @@.","That encodes that at original line 154 (which is now line 159), 12 lines were removed/changed with 39 lines.","Variables 0 and 1 refer to the diff index out of total number of diffs.","Variables 2 and 4 will be numbers (a line number).",'Variables 3 and 5 will be "no lines changed", "1 line changed" or "X lines changed", localized separately.']},"Difference {0} of {1}: original line {2}, {3}, modified line {4}, {5}",J+1,Y,z.original.startLineNumber,me,z.modified.startLineNumber,ye));const Ce=document.createElement("div");Ce.className="diff-review-cell diff-review-summary",Ce.appendChild(document.createTextNode(`${J+1}/${Y}: @@ -${z.original.startLineNumber},${z.original.length} +${z.modified.startLineNumber},${z.modified.length} @@`)),O.appendChild(Ce),I=O}else I=this._createRow(w,v,this._width.get(),i,c,f,n,d,m);s.appendChild(I);const P=ro(O=>this._model.currentElement.read(O)===w);e.add(ba(O=>{const z=P.read(O);I.tabIndex=z?0:-1,z&&I.focus()})),e.add(en(I,"focus",()=>{this._model.goToLine(w)}))}this._scrollbar.scanDomNode()}_createRow(e,i,n,s,c,d,f,m,v){const x=s.get(146),w=x.glyphMarginWidth+x.lineNumbersWidth,I=f.get(146),P=10+I.glyphMarginWidth+I.lineNumbersWidth;let O="diff-review-row",z="";const J="diff-review-spacer";let Y=null;switch(e.type){case fv.Added:O="diff-review-row line-insert",z=" char-insert",Y=gTi;break;case fv.Deleted:O="diff-review-row line-delete",z=" char-delete",Y=yTi;break}const ae=document.createElement("div");ae.style.minWidth=n+"px",ae.className=O,ae.setAttribute("role","listitem"),ae.ariaLevel="";const me=document.createElement("div");me.className="diff-review-cell",me.style.height=`${i}px`,ae.appendChild(me);const ye=document.createElement("span");ye.style.width=w+"px",ye.style.minWidth=w+"px",ye.className="diff-review-line-number"+z,e.originalLineNumber!==void 0?ye.appendChild(document.createTextNode(String(e.originalLineNumber))):ye.innerText=" ",me.appendChild(ye);const Ce=document.createElement("span");Ce.style.width=P+"px",Ce.style.minWidth=P+"px",Ce.style.paddingRight="10px",Ce.className="diff-review-line-number"+z,e.modifiedLineNumber!==void 0?Ce.appendChild(document.createTextNode(String(e.modifiedLineNumber))):Ce.innerText=" ",me.appendChild(Ce);const Fe=document.createElement("span");if(Fe.className=J,Y){const Mt=document.createElement("span");Mt.className=zo.asClassName(Y),Mt.innerText="  ",Fe.appendChild(Mt)}else Fe.innerText="  ";me.appendChild(Fe);let rt;if(e.modifiedLineNumber!==void 0){let Mt=this._getLineHtml(m,f,v.tabSize,e.modifiedLineNumber,this._languageService.languageIdCodec);a9._ttPolicy&&(Mt=a9._ttPolicy.createHTML(Mt)),me.insertAdjacentHTML("beforeend",Mt),rt=m.getLineContent(e.modifiedLineNumber)}else{let Mt=this._getLineHtml(c,s,d.tabSize,e.originalLineNumber,this._languageService.languageIdCodec);a9._ttPolicy&&(Mt=a9._ttPolicy.createHTML(Mt)),me.insertAdjacentHTML("beforeend",Mt),rt=c.getLineContent(e.originalLineNumber)}rt.length===0&&(rt=W("blankLine","blank"));let ct="";switch(e.type){case fv.Unchanged:e.originalLineNumber===e.modifiedLineNumber?ct=W({key:"unchangedLine",comment:["The placeholders are contents of the line and should not be translated."]},"{0} unchanged line {1}",rt,e.originalLineNumber):ct=W("equalLine","{0} original line {1} modified line {2}",rt,e.originalLineNumber,e.modifiedLineNumber);break;case fv.Added:ct=W("insertLine","+ {0} modified line {1}",rt,e.modifiedLineNumber);break;case fv.Deleted:ct=W("deleteLine","- {0} original line {1}",rt,e.originalLineNumber);break}return ae.setAttribute("aria-label",ct),ae}_getLineHtml(e,i,n,s,c){const d=e.getLineContent(s),f=i.get(50),m=Cg.createEmpty(d,c),v=Iw.isBasicASCII(d,e.mightContainNonBasicASCII()),x=Iw.containsRTL(d,v,e.mightContainRTL());return Nwe(new zR(f.isMonospace&&!i.get(33),f.canUseHalfwidthRightwardsArrow,d,!1,v,x,0,m,[],n,0,f.spaceWidth,f.middotWidth,f.wsmiddotWidth,i.get(118),i.get(100),i.get(95),i.get(51)!==mR.OFF,null)).html}};kje=fGe([hGe(5,Pf)],kje);class TTi{constructor(e){this.editors=e}getOriginalModel(){return this.editors.original.getModel()}getOriginalOptions(){return this.editors.original.getOptions()}originalReveal(e){this.editors.original.revealRange(e),this.editors.original.setSelection(e),this.editors.original.focus()}getModifiedModel(){return this.editors.modified.getModel()}getModifiedOptions(){return this.editors.modified.getOptions()}modifiedReveal(e){e&&(this.editors.modified.revealRange(e),this.editors.modified.setSelection(e)),this.editors.modified.focus()}modifiedSetSelection(e){this.editors.modified.setSelection(e)}modifiedFocus(){this.editors.modified.focus()}getModifiedPosition(){return this.editors.modified.getPosition()??void 0}}It("diffEditor.move.border","#8b8b8b9c",W("diffEditor.move.border","The border color for text that got moved in the diff editor."));It("diffEditor.moveActive.border","#FFA500",W("diffEditor.moveActive.border","The active border color for text that got moved in the diff editor."));It("diffEditor.unchangedRegionShadow",{dark:"#000000",light:"#737373BF",hcDark:"#000000",hcLight:"#737373BF"},W("diffEditor.unchangedRegionShadow","The color of the shadow around unchanged region widgets."));const DTi=sf("diff-insert",pr.add,W("diffInsertIcon","Line decoration for inserts in the diff editor.")),MIt=sf("diff-remove",pr.remove,W("diffRemoveIcon","Line decoration for removals in the diff editor.")),Fve=qa.register({className:"line-insert",description:"line-insert",isWholeLine:!0,linesDecorationsClassName:"insert-sign "+zo.asClassName(DTi),marginClassName:"gutter-insert"}),Ere=qa.register({className:"line-delete",description:"line-delete",isWholeLine:!0,linesDecorationsClassName:"delete-sign "+zo.asClassName(MIt),marginClassName:"gutter-delete"}),twt=qa.register({className:"line-insert",description:"line-insert",isWholeLine:!0,marginClassName:"gutter-insert"}),iwt=qa.register({className:"line-delete",description:"line-delete",isWholeLine:!0,marginClassName:"gutter-delete"}),Bve=qa.register({className:"char-insert",description:"char-insert",shouldFillLineOnLineBreak:!0}),_Ge=qa.register({className:"char-insert",description:"char-insert",isWholeLine:!0}),pGe=qa.register({className:"char-insert diff-range-empty",description:"char-insert diff-range-empty"}),J$=qa.register({className:"char-delete",description:"char-delete",shouldFillLineOnLineBreak:!0}),mGe=qa.register({className:"char-delete",description:"char-delete",isWholeLine:!0}),gGe=qa.register({className:"char-delete diff-range-empty",description:"char-delete diff-range-empty"});var RIt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Tje=function(l,e){return function(i,n){e(i,n,l)}},PF;const Rse=jc("diffProviderFactoryService");let Dje=class{constructor(e){this.instantiationService=e}createDiffProvider(e){return this.instantiationService.createInstance(Eje,e)}};Dje=RIt([Tje(0,ho)],Dje);nl(Rse,Dje,1);var P9;let Eje=(P9=class{constructor(e,i,n){this.editorWorkerService=i,this.telemetryService=n,this.onDidChangeEventEmitter=new gi,this.onDidChange=this.onDidChangeEventEmitter.event,this.diffAlgorithm="advanced",this.diffAlgorithmOnDidChangeSubscription=void 0,this.setOptions(e)}dispose(){var e;(e=this.diffAlgorithmOnDidChangeSubscription)==null||e.dispose()}async computeDiff(e,i,n,s){if(typeof this.diffAlgorithm!="string")return this.diffAlgorithm.computeDiff(e,i,n,s);if(e.isDisposed()||i.isDisposed())return{changes:[],identical:!0,quitEarly:!1,moves:[]};if(e.getLineCount()===1&&e.getLineMaxColumn(1)===1)return i.getLineCount()===1&&i.getLineMaxColumn(1)===1?{changes:[],identical:!0,quitEarly:!1,moves:[]}:{changes:[new xw(new Za(1,2),new Za(1,i.getLineCount()+1),[new fw(e.getFullModelRange(),i.getFullModelRange())])],identical:!1,quitEarly:!1,moves:[]};const c=JSON.stringify([e.uri.toString(),i.uri.toString()]),d=JSON.stringify([e.id,i.id,e.getAlternativeVersionId(),i.getAlternativeVersionId(),JSON.stringify(n)]),f=PF.diffCache.get(c);if(f&&f.context===d)return f.result;const m=bg.create(),v=await this.editorWorkerService.computeDiff(e.uri,i.uri,n,this.diffAlgorithm),x=m.elapsed();if(this.telemetryService.publicLog2("diffEditor.computeDiff",{timeMs:x,timedOut:(v==null?void 0:v.quitEarly)??!0,detectedMoves:n.computeMoves?(v==null?void 0:v.moves.length)??0:-1}),s.isCancellationRequested)return{changes:[],identical:!1,quitEarly:!0,moves:[]};if(!v)throw new Error("no diff result available");return PF.diffCache.size>10&&PF.diffCache.delete(PF.diffCache.keys().next().value),PF.diffCache.set(c,{result:v,context:d}),v}setOptions(e){var n;let i=!1;e.diffAlgorithm&&this.diffAlgorithm!==e.diffAlgorithm&&((n=this.diffAlgorithmOnDidChangeSubscription)==null||n.dispose(),this.diffAlgorithmOnDidChangeSubscription=void 0,this.diffAlgorithm=e.diffAlgorithm,typeof e.diffAlgorithm!="string"&&(this.diffAlgorithmOnDidChangeSubscription=e.diffAlgorithm.onDidChange(()=>this.onDidChangeEventEmitter.fire())),i=!0),i&&this.onDidChangeEventEmitter.fire()}},PF=P9,P9.diffCache=new Map,P9);Eje=PF=RIt([Tje(1,Fb),Tje(2,b1)],Eje);function Fwe(){return mBe&&!!mBe.VSCODE_DEV}function FIt(l){if(Fwe()){const e=ETi();return e.add(l),{dispose(){e.delete(l)}}}else return{dispose(){}}}function ETi(){E0e||(E0e=new Set);const l=globalThis;return l.$hotReload_applyNewExports||(l.$hotReload_applyNewExports=e=>{const i={config:{mode:void 0},...e},n=[];for(const s of E0e){const c=s(i);c&&n.push(c)}if(n.length>0)return s=>{let c=!1;for(const d of n)d(s)&&(c=!0);return c}}),E0e}let E0e;Fwe()&&FIt(({oldExports:l,newSrc:e,config:i})=>{if(i.mode==="patch-prototype")return n=>{var s,c;for(const d in n){const f=n[d];if(console.log(`[hot-reload] Patching prototype methods of '${d}'`,{exportedItem:f}),typeof f=="function"&&f.prototype){const m=l[d];if(m){for(const v of Object.getOwnPropertyNames(f.prototype)){const x=Object.getOwnPropertyDescriptor(f.prototype,v),w=Object.getOwnPropertyDescriptor(m.prototype,v);((s=x==null?void 0:x.value)==null?void 0:s.toString())!==((c=w==null?void 0:w.value)==null?void 0:c.toString())&&console.log(`[hot-reload] Patching prototype method '${d}.${v}'`),Object.defineProperty(m.prototype,v,x)}n[d]=m}}}return!0}});function WC(l,e){return ITi([l],e),l}function ITi(l,e){Fwe()&&k0("reload",n=>FIt(({oldExports:s})=>{if([...Object.values(s)].some(c=>l.includes(c)))return c=>(n(void 0),!0)})).read(e)}var NTi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},LTi=function(l,e){return function(i,n){e(i,n,l)}};let Ije=class extends xi{setActiveMovedText(e){this._activeMovedText.set(e,void 0)}constructor(e,i,n){super(),this.model=e,this._options=i,this._diffProviderFactoryService=n,this._isDiffUpToDate=bc(this,!1),this.isDiffUpToDate=this._isDiffUpToDate,this._diff=bc(this,void 0),this.diff=this._diff,this._unchangedRegions=bc(this,void 0),this.unchangedRegions=ro(this,f=>{var m;return this._options.hideUnchangedRegions.read(f)?((m=this._unchangedRegions.read(f))==null?void 0:m.regions)??[]:(th(v=>{var x;for(const w of((x=this._unchangedRegions.get())==null?void 0:x.regions)||[])w.collapseAll(v)}),[])}),this.movedTextToCompare=bc(this,void 0),this._activeMovedText=bc(this,void 0),this._hoveredMovedText=bc(this,void 0),this.activeMovedText=ro(this,f=>this.movedTextToCompare.read(f)??this._hoveredMovedText.read(f)??this._activeMovedText.read(f)),this._cancellationTokenSource=new ih,this._diffProvider=ro(this,f=>{const m=this._diffProviderFactoryService.createDiffProvider({diffAlgorithm:this._options.diffAlgorithm.read(f)}),v=k0("onDidChange",m.onDidChange);return{diffProvider:m,onChangeSignal:v}}),this._register(fo(()=>this._cancellationTokenSource.cancel()));const s=xJ("contentChangedSignal"),c=this._register(new qu(()=>s.trigger(void 0),200));this._register(ba(f=>{const m=this._unchangedRegions.read(f);if(!m||m.regions.some(O=>O.isDragged.read(f)))return;const v=m.originalDecorationIds.map(O=>e.original.getDecorationRange(O)).map(O=>O?Za.fromRangeInclusive(O):void 0),x=m.modifiedDecorationIds.map(O=>e.modified.getDecorationRange(O)).map(O=>O?Za.fromRangeInclusive(O):void 0),w=m.regions.map((O,z)=>!v[z]||!x[z]?void 0:new VM(v[z].startLineNumber,x[z].startLineNumber,v[z].length,O.visibleLineCountTop.read(f),O.visibleLineCountBottom.read(f))).filter(xL),I=[];let P=!1;for(const O of Bqe(w,(z,J)=>z.getHiddenModifiedRange(f).endLineNumberExclusive===J.getHiddenModifiedRange(f).startLineNumber))if(O.length>1){P=!0;const z=O.reduce((Y,ae)=>Y+ae.lineCount,0),J=new VM(O[0].originalLineNumber,O[0].modifiedLineNumber,z,O[0].visibleLineCountTop.get(),O[O.length-1].visibleLineCountBottom.get());I.push(J)}else I.push(O[0]);if(P){const O=e.original.deltaDecorations(m.originalDecorationIds,I.map(J=>({range:J.originalUnchangedRange.toInclusiveRange(),options:{description:"unchanged"}}))),z=e.modified.deltaDecorations(m.modifiedDecorationIds,I.map(J=>({range:J.modifiedUnchangedRange.toInclusiveRange(),options:{description:"unchanged"}})));th(J=>{this._unchangedRegions.set({regions:I,originalDecorationIds:O,modifiedDecorationIds:z},J)})}}));const d=(f,m,v)=>{const x=VM.fromDiffs(f.changes,e.original.getLineCount(),e.modified.getLineCount(),this._options.hideUnchangedRegionsMinimumLineCount.read(v),this._options.hideUnchangedRegionsContextLineCount.read(v));let w;const I=this._unchangedRegions.get();if(I){const J=I.originalDecorationIds.map(ye=>e.original.getDecorationRange(ye)).map(ye=>ye?Za.fromRangeInclusive(ye):void 0),Y=I.modifiedDecorationIds.map(ye=>e.modified.getDecorationRange(ye)).map(ye=>ye?Za.fromRangeInclusive(ye):void 0);let me=pTi(I.regions.map((ye,Ce)=>{if(!J[Ce]||!Y[Ce])return;const Fe=J[Ce].length;return new VM(J[Ce].startLineNumber,Y[Ce].startLineNumber,Fe,Math.min(ye.visibleLineCountTop.get(),Fe),Math.min(ye.visibleLineCountBottom.get(),Fe-ye.visibleLineCountTop.get()))}).filter(xL),(ye,Ce)=>!Ce||ye.modifiedLineNumber>=Ce.modifiedLineNumber+Ce.lineCount&&ye.originalLineNumber>=Ce.originalLineNumber+Ce.lineCount).map(ye=>new wy(ye.getHiddenOriginalRange(v),ye.getHiddenModifiedRange(v)));me=wy.clip(me,Za.ofLength(1,e.original.getLineCount()),Za.ofLength(1,e.modified.getLineCount())),w=wy.inverse(me,e.original.getLineCount(),e.modified.getLineCount())}const P=[];if(w)for(const J of x){const Y=w.filter(ae=>ae.original.intersectsStrict(J.originalUnchangedRange)&&ae.modified.intersectsStrict(J.modifiedUnchangedRange));P.push(...J.setVisibleRanges(Y,m))}else P.push(...x);const O=e.original.deltaDecorations((I==null?void 0:I.originalDecorationIds)||[],P.map(J=>({range:J.originalUnchangedRange.toInclusiveRange(),options:{description:"unchanged"}}))),z=e.modified.deltaDecorations((I==null?void 0:I.modifiedDecorationIds)||[],P.map(J=>({range:J.modifiedUnchangedRange.toInclusiveRange(),options:{description:"unchanged"}})));this._unchangedRegions.set({regions:P,originalDecorationIds:O,modifiedDecorationIds:z},m)};this._register(e.modified.onDidChangeContent(f=>{if(this._diff.get()){const v=j4.fromModelContentChanges(f.changes);this._lastDiff,e.original,e.modified}this._isDiffUpToDate.set(!1,void 0),c.schedule()})),this._register(e.original.onDidChangeContent(f=>{if(this._diff.get()){const v=j4.fromModelContentChanges(f.changes);this._lastDiff,e.original,e.modified}this._isDiffUpToDate.set(!1,void 0),c.schedule()})),this._register(Ib(async(f,m)=>{this._options.hideUnchangedRegionsMinimumLineCount.read(f),this._options.hideUnchangedRegionsContextLineCount.read(f),c.cancel(),s.read(f);const v=this._diffProvider.read(f);v.onChangeSignal.read(f),WC(oTt,f),WC(zWe,f),this._isDiffUpToDate.set(!1,void 0);let x=[];m.add(e.original.onDidChangeContent(P=>{const O=j4.fromModelContentChanges(P.changes);x=Xye(x,O)}));let w=[];m.add(e.modified.onDidChangeContent(P=>{const O=j4.fromModelContentChanges(P.changes);w=Xye(w,O)}));let I=await v.diffProvider.computeDiff(e.original,e.modified,{ignoreTrimWhitespace:this._options.ignoreTrimWhitespace.read(f),maxComputationTimeMs:this._options.maxComputationTimeMs.read(f),computeMoves:this._options.showMoves.read(f)},this._cancellationTokenSource.token);this._cancellationTokenSource.token.isCancellationRequested||e.original.isDisposed()||e.modified.isDisposed()||(I=PTi(I,e.original,e.modified),I=(e.original,e.modified,void 0)??I,I=(e.original,e.modified,void 0)??I,th(P=>{d(I,P),this._lastDiff=I;const O=yGe.fromDiffResult(I);this._diff.set(O,P),this._isDiffUpToDate.set(!0,P);const z=this.movedTextToCompare.get();this.movedTextToCompare.set(z?this._lastDiff.moves.find(J=>J.lineRangeMapping.modified.intersect(z.lineRangeMapping.modified)):void 0,P)}))}))}ensureModifiedLineIsVisible(e,i,n){var c,d;if(((c=this.diff.get())==null?void 0:c.mappings.length)===0)return;const s=((d=this._unchangedRegions.get())==null?void 0:d.regions)||[];for(const f of s)if(f.getHiddenModifiedRange(void 0).contains(e)){f.showModifiedLine(e,i,n);return}}ensureOriginalLineIsVisible(e,i,n){var c,d;if(((c=this.diff.get())==null?void 0:c.mappings.length)===0)return;const s=((d=this._unchangedRegions.get())==null?void 0:d.regions)||[];for(const f of s)if(f.getHiddenOriginalRange(void 0).contains(e)){f.showOriginalLine(e,i,n);return}}async waitForDiff(){await kDt(this.isDiffUpToDate,e=>e)}serializeState(){const e=this._unchangedRegions.get();return{collapsedRegions:e==null?void 0:e.regions.map(i=>({range:i.getHiddenModifiedRange(void 0).serialize()}))}}restoreSerializedState(e){var s;const i=(s=e.collapsedRegions)==null?void 0:s.map(c=>Za.deserialize(c.range)),n=this._unchangedRegions.get();!n||!i||th(c=>{for(const d of n.regions)for(const f of i)if(d.modifiedUnchangedRange.intersect(f)){d.setHiddenModifiedRange(f,c);break}})}};Ije=NTi([LTi(2,Rse)],Ije);function PTi(l,e,i){return{changes:l.changes.map(n=>new xw(n.original,n.modified,n.innerChanges?n.innerChanges.map(s=>ATi(s,e,i)):void 0)),moves:l.moves,identical:l.identical,quitEarly:l.quitEarly}}function ATi(l,e,i){let n=l.originalRange,s=l.modifiedRange;return n.startColumn===1&&s.startColumn===1&&(n.endColumn!==1||s.endColumn!==1)&&n.endColumn===e.getLineMaxColumn(n.endLineNumber)&&s.endColumn===i.getLineMaxColumn(s.endLineNumber)&&n.endLineNumber<e.getLineCount()&&s.endLineNumber<i.getLineCount()&&(n=n.setEndPosition(n.endLineNumber+1,1),s=s.setEndPosition(s.endLineNumber+1,1)),new fw(n,s)}class yGe{static fromDiffResult(e){return new yGe(e.changes.map(i=>new BIt(i)),e.moves||[],e.identical,e.quitEarly)}constructor(e,i,n,s){this.mappings=e,this.movedTexts=i,this.identical=n,this.quitEarly=s}}class BIt{constructor(e){this.lineRangeMapping=e}}class VM{static fromDiffs(e,i,n,s,c){const d=xw.inverse(e,i,n),f=[];for(const m of d){let v=m.original.startLineNumber,x=m.modified.startLineNumber,w=m.original.length;const I=v===1&&x===1,P=v+w===i+1&&x+w===n+1;(I||P)&&w>=c+s?(I&&!P&&(w-=c),P&&!I&&(v+=c,x+=c,w-=c),f.push(new VM(v,x,w,0,0))):w>=c*2+s&&(v+=c,x+=c,w-=c*2,f.push(new VM(v,x,w,0,0)))}return f}get originalUnchangedRange(){return Za.ofLength(this.originalLineNumber,this.lineCount)}get modifiedUnchangedRange(){return Za.ofLength(this.modifiedLineNumber,this.lineCount)}constructor(e,i,n,s,c){this.originalLineNumber=e,this.modifiedLineNumber=i,this.lineCount=n,this._visibleLineCountTop=bc(this,0),this.visibleLineCountTop=this._visibleLineCountTop,this._visibleLineCountBottom=bc(this,0),this.visibleLineCountBottom=this._visibleLineCountBottom,this._shouldHideControls=ro(this,m=>this.visibleLineCountTop.read(m)+this.visibleLineCountBottom.read(m)===this.lineCount&&!this.isDragged.read(m)),this.isDragged=bc(this,void 0);const d=Math.max(Math.min(s,this.lineCount),0),f=Math.max(Math.min(c,this.lineCount-s),0);yvt(s===d),yvt(c===f),this._visibleLineCountTop.set(d,void 0),this._visibleLineCountBottom.set(f,void 0)}setVisibleRanges(e,i){const n=[],s=new _k(e.map(m=>m.modified)).subtractFrom(this.modifiedUnchangedRange);let c=this.originalLineNumber,d=this.modifiedLineNumber;const f=this.modifiedLineNumber+this.lineCount;if(s.ranges.length===0)this.showAll(i),n.push(this);else{let m=0;for(const v of s.ranges){const x=m===s.ranges.length-1;m++;const w=(x?f:v.endLineNumberExclusive)-d,I=new VM(c,d,w,0,0);I.setHiddenModifiedRange(v,i),n.push(I),c=I.originalUnchangedRange.endLineNumberExclusive,d=I.modifiedUnchangedRange.endLineNumberExclusive}}return n}shouldHideControls(e){return this._shouldHideControls.read(e)}getHiddenOriginalRange(e){return Za.ofLength(this.originalLineNumber+this._visibleLineCountTop.read(e),this.lineCount-this._visibleLineCountTop.read(e)-this._visibleLineCountBottom.read(e))}getHiddenModifiedRange(e){return Za.ofLength(this.modifiedLineNumber+this._visibleLineCountTop.read(e),this.lineCount-this._visibleLineCountTop.read(e)-this._visibleLineCountBottom.read(e))}setHiddenModifiedRange(e,i){const n=e.startLineNumber-this.modifiedLineNumber,s=this.modifiedLineNumber+this.lineCount-e.endLineNumberExclusive;this.setState(n,s,i)}getMaxVisibleLineCountTop(){return this.lineCount-this._visibleLineCountBottom.get()}getMaxVisibleLineCountBottom(){return this.lineCount-this._visibleLineCountTop.get()}showMoreAbove(e=10,i){const n=this.getMaxVisibleLineCountTop();this._visibleLineCountTop.set(Math.min(this._visibleLineCountTop.get()+e,n),i)}showMoreBelow(e=10,i){const n=this.lineCount-this._visibleLineCountTop.get();this._visibleLineCountBottom.set(Math.min(this._visibleLineCountBottom.get()+e,n),i)}showAll(e){this._visibleLineCountBottom.set(this.lineCount-this._visibleLineCountTop.get(),e)}showModifiedLine(e,i,n){const s=e+1-(this.modifiedLineNumber+this._visibleLineCountTop.get()),c=this.modifiedLineNumber-this._visibleLineCountBottom.get()+this.lineCount-e;i===0&&s<c||i===1?this._visibleLineCountTop.set(this._visibleLineCountTop.get()+s,n):this._visibleLineCountBottom.set(this._visibleLineCountBottom.get()+c,n)}showOriginalLine(e,i,n){const s=e-this.originalLineNumber,c=this.originalLineNumber+this.lineCount-e;i===0&&s<c||i===1?this._visibleLineCountTop.set(Math.min(this._visibleLineCountTop.get()+c-s,this.getMaxVisibleLineCountTop()),n):this._visibleLineCountBottom.set(Math.min(this._visibleLineCountBottom.get()+s-c,this.getMaxVisibleLineCountBottom()),n)}collapseAll(e){this._visibleLineCountTop.set(0,e),this._visibleLineCountBottom.set(0,e)}setState(e,i,n){e=Math.max(Math.min(e,this.lineCount),0),i=Math.max(Math.min(i,this.lineCount-e),0),this._visibleLineCountTop.set(e,n),this._visibleLineCountBottom.set(i,n)}}class OTi extends xi{get visibility(){return this._visibility}set visibility(e){this._visibility!==e&&(this._visibility=e,this._diffActions.style.visibility=e?"visible":"hidden")}constructor(e,i,n,s,c,d,f,m,v){super(),this._getViewZoneId=e,this._marginDomNode=i,this._modifiedEditor=n,this._diff=s,this._editor=c,this._viewLineCounts=d,this._originalTextModel=f,this._contextMenuService=m,this._clipboardService=v,this._visibility=!1,this._marginDomNode.style.zIndex="10",this._diffActions=document.createElement("div"),this._diffActions.className=zo.asClassName(pr.lightBulb)+" lightbulb-glyph",this._diffActions.style.position="absolute";const x=this._modifiedEditor.getOption(67);this._diffActions.style.right="0px",this._diffActions.style.visibility="hidden",this._diffActions.style.height=`${x}px`,this._diffActions.style.lineHeight=`${x}px`,this._marginDomNode.appendChild(this._diffActions);let w=0;const I=n.getOption(128)&&!VE,P=(O,z)=>{this._contextMenuService.showContextMenu({domForShadowRoot:I?n.getDomNode()??void 0:void 0,getAnchor:()=>({x:O,y:z}),getActions:()=>{const J=[],Y=s.modified.isEmpty;return J.push(new $C("diff.clipboard.copyDeletedContent",Y?s.original.length>1?W("diff.clipboard.copyDeletedLinesContent.label","Copy deleted lines"):W("diff.clipboard.copyDeletedLinesContent.single.label","Copy deleted line"):s.original.length>1?W("diff.clipboard.copyChangedLinesContent.label","Copy changed lines"):W("diff.clipboard.copyChangedLinesContent.single.label","Copy changed line"),void 0,!0,async()=>{const me=this._originalTextModel.getValueInRange(s.original.toExclusiveRange());await this._clipboardService.writeText(me)})),s.original.length>1&&J.push(new $C("diff.clipboard.copyDeletedLineContent",Y?W("diff.clipboard.copyDeletedLineContent.label","Copy deleted line ({0})",s.original.startLineNumber+w):W("diff.clipboard.copyChangedLineContent.label","Copy changed line ({0})",s.original.startLineNumber+w),void 0,!0,async()=>{let me=this._originalTextModel.getLineContent(s.original.startLineNumber+w);me===""&&(me=this._originalTextModel.getEndOfLineSequence()===0?`
+`:`\r
+`),await this._clipboardService.writeText(me)})),n.getOption(92)||J.push(new $C("diff.inline.revertChange",W("diff.inline.revertChange.label","Revert this change"),void 0,!0,async()=>{this._editor.revert(this._diff)})),J},autoSelectFirstItem:!0})};this._register(ph(this._diffActions,"mousedown",O=>{if(!O.leftButton)return;const{top:z,height:J}=e_(this._diffActions),Y=Math.floor(x/3);O.preventDefault(),P(O.posx,z+J+Y)})),this._register(n.onMouseMove(O=>{(O.target.type===8||O.target.type===5)&&O.target.detail.viewZoneId===this._getViewZoneId()?(w=this._updateLightBulbPosition(this._marginDomNode,O.event.browserEvent.y,x),this.visibility=!0):this.visibility=!1})),this._register(n.onMouseDown(O=>{O.event.leftButton&&(O.target.type===8||O.target.type===5)&&O.target.detail.viewZoneId===this._getViewZoneId()&&(O.event.preventDefault(),w=this._updateLightBulbPosition(this._marginDomNode,O.event.browserEvent.y,x),P(O.event.posx,O.event.posy+x))}))}_updateLightBulbPosition(e,i,n){const{top:s}=e_(e),c=i-s,d=Math.floor(c/n),f=d*n;if(this._diffActions.style.top=`${f}px`,this._viewLineCounts){let m=0;for(let v=0;v<this._viewLineCounts.length;v++)if(m+=this._viewLineCounts[v],d<m)return v}return d}}const nwt=v6("diffEditorWidget",{createHTML:l=>l});function MTi(l,e,i,n){a0(n,e.fontInfo);const s=i.length>0,c=new gJ(1e4);let d=0,f=0;const m=[];for(let I=0;I<l.lineTokens.length;I++){const P=I+1,O=l.lineTokens[I],z=l.lineBreakData[I],J=mv.filter(i,P,1,Number.MAX_SAFE_INTEGER);if(z){let Y=0;for(const ae of z.breakOffsets){const me=O.sliceAndInflate(Y,ae,0);d=Math.max(d,rwt(f,me,mv.extractWrapped(J,Y,ae),s,l.mightContainNonBasicASCII,l.mightContainRTL,e,c)),f++,Y=ae}m.push(z.breakOffsets.length)}else m.push(1),d=Math.max(d,rwt(f,O,J,s,l.mightContainNonBasicASCII,l.mightContainRTL,e,c)),f++}d+=e.scrollBeyondLastColumn;const v=c.build(),x=nwt?nwt.createHTML(v):v;n.innerHTML=x;const w=d*e.typicalHalfwidthCharacterWidth;return{heightInLines:f,minWidthInPx:w,viewLineCounts:m}}class RTi{constructor(e,i,n,s){this.lineTokens=e,this.lineBreakData=i,this.mightContainNonBasicASCII=n,this.mightContainRTL=s}}class vGe{static fromEditor(e){var c;const i=e.getOptions(),n=i.get(50),s=i.get(146);return new vGe(((c=e.getModel())==null?void 0:c.getOptions().tabSize)||0,n,i.get(33),n.typicalHalfwidthCharacterWidth,i.get(105),i.get(67),s.decorationsWidth,i.get(118),i.get(100),i.get(95),i.get(51))}constructor(e,i,n,s,c,d,f,m,v,x,w){this.tabSize=e,this.fontInfo=i,this.disableMonospaceOptimizations=n,this.typicalHalfwidthCharacterWidth=s,this.scrollBeyondLastColumn=c,this.lineHeight=d,this.lineDecorationsWidth=f,this.stopRenderingLineAfter=m,this.renderWhitespace=v,this.renderControlCharacters=x,this.fontLigatures=w}}function rwt(l,e,i,n,s,c,d,f){f.appendString('<div class="view-line'),n||f.appendString(" char-delete"),f.appendString('" style="top:'),f.appendString(String(l*d.lineHeight)),f.appendString('px;width:1000000px;">');const m=e.getLineContent(),v=Iw.isBasicASCII(m,s),x=Iw.containsRTL(m,v,c),w=Ose(new zR(d.fontInfo.isMonospace&&!d.disableMonospaceOptimizations,d.fontInfo.canUseHalfwidthRightwardsArrow,m,!1,v,x,0,e,i,d.tabSize,0,d.fontInfo.spaceWidth,d.fontInfo.middotWidth,d.fontInfo.wsmiddotWidth,d.stopRenderingLineAfter,d.renderWhitespace,d.renderControlCharacters,d.fontLigatures!==mR.OFF,null),f);return f.appendString("</div>"),w.characterMapping.getHorizontalOffset(w.characterMapping.length)}var FTi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},swt=function(l,e){return function(i,n){e(i,n,l)}};let Nje=class extends xi{constructor(e,i,n,s,c,d,f,m,v,x){super(),this._targetWindow=e,this._editors=i,this._diffModel=n,this._options=s,this._diffEditorWidget=c,this._canIgnoreViewZoneUpdateEvent=d,this._origViewZonesToIgnore=f,this._modViewZonesToIgnore=m,this._clipboardService=v,this._contextMenuService=x,this._originalTopPadding=bc(this,0),this._originalScrollOffset=bc(this,0),this._originalScrollOffsetAnimated=ZSt(this._targetWindow,this._originalScrollOffset,this._store),this._modifiedTopPadding=bc(this,0),this._modifiedScrollOffset=bc(this,0),this._modifiedScrollOffsetAnimated=ZSt(this._targetWindow,this._modifiedScrollOffset,this._store);const w=bc("invalidateAlignmentsState",0),I=this._register(new qu(()=>{w.set(w.get()+1,void 0)},0));this._register(this._editors.original.onDidChangeViewZones(me=>{this._canIgnoreViewZoneUpdateEvent()||I.schedule()})),this._register(this._editors.modified.onDidChangeViewZones(me=>{this._canIgnoreViewZoneUpdateEvent()||I.schedule()})),this._register(this._editors.original.onDidChangeConfiguration(me=>{(me.hasChanged(147)||me.hasChanged(67))&&I.schedule()})),this._register(this._editors.modified.onDidChangeConfiguration(me=>{(me.hasChanged(147)||me.hasChanged(67))&&I.schedule()}));const P=this._diffModel.map(me=>me?Tu(this,me.model.original.onDidChangeTokens,()=>me.model.original.tokenization.backgroundTokenizationState===2):void 0).map((me,ye)=>me==null?void 0:me.read(ye)),O=ro(me=>{const ye=this._diffModel.read(me),Ce=ye==null?void 0:ye.diff.read(me);if(!ye||!Ce)return null;w.read(me);const rt=this._options.renderSideBySide.read(me);return owt(this._editors.original,this._editors.modified,Ce.mappings,this._origViewZonesToIgnore,this._modViewZonesToIgnore,rt)}),z=ro(me=>{var Fe;const ye=(Fe=this._diffModel.read(me))==null?void 0:Fe.movedTextToCompare.read(me);if(!ye)return null;w.read(me);const Ce=ye.changes.map(rt=>new BIt(rt));return owt(this._editors.original,this._editors.modified,Ce,this._origViewZonesToIgnore,this._modViewZonesToIgnore,!0)});function J(){const me=document.createElement("div");return me.className="diagonal-fill",me}const Y=this._register(new wn);this.viewZones=VR(this,(me,ye)=>{var Dr,Rr,na,$d;Y.clear();const Ce=O.read(me)||[],Fe=[],rt=[],ct=this._modifiedTopPadding.read(me);ct>0&&rt.push({afterLineNumber:0,domNode:document.createElement("div"),heightInPx:ct,showInHiddenAreas:!0,suppressMouseDown:!0});const Mt=this._originalTopPadding.read(me);Mt>0&&Fe.push({afterLineNumber:0,domNode:document.createElement("div"),heightInPx:Mt,showInHiddenAreas:!0,suppressMouseDown:!0});const Yt=this._options.renderSideBySide.read(me),Bi=Yt||(Dr=this._editors.modified._getViewModel())==null?void 0:Dr.createLineBreaksComputer();if(Bi){const Ca=this._editors.original.getModel();for(const jl of Ce)if(jl.diff)for(let es=jl.originalRange.startLineNumber;es<jl.originalRange.endLineNumberExclusive;es++){if(es>Ca.getLineCount())return{orig:Fe,mod:rt};Bi==null||Bi.addRequest(Ca.getLineContent(es),null,null)}}const $i=(Bi==null?void 0:Bi.finalize())??[];let $t=0;const Hi=this._editors.modified.getOption(67),An=(Rr=this._diffModel.read(me))==null?void 0:Rr.movedTextToCompare.read(me),qt=((na=this._editors.original.getModel())==null?void 0:na.mightContainNonBasicASCII())??!1,ms=(($d=this._editors.original.getModel())==null?void 0:$d.mightContainRTL())??!1,Wr=vGe.fromEditor(this._editors.modified);for(const Ca of Ce)if(Ca.diff&&!Yt&&(!this._options.useTrueInlineDiffRendering.read(me)||!bGe(Ca.diff))){if(!Ca.originalRange.isEmpty){P.read(me);const es=document.createElement("div");es.classList.add("view-lines","line-delete","monaco-mouse-cursor-text");const ld=this._editors.original.getModel();if(Ca.originalRange.endLineNumberExclusive-1>ld.getLineCount())return{orig:Fe,mod:rt};const mp=new RTi(Ca.originalRange.mapToLineArray(Ft=>ld.tokenization.getLineTokens(Ft)),Ca.originalRange.mapToLineArray(Ft=>$i[$t++]),qt,ms),of=[];for(const Ft of Ca.diff.innerChanges||[])of.push(new Hie(Ft.originalRange.delta(-(Ca.diff.original.startLineNumber-1)),J$.className,0));const Bb=MTi(mp,Wr,of,es),nm=document.createElement("div");if(nm.className="inline-deleted-margin-view-zone",a0(nm,Wr.fontInfo),this._options.renderIndicators.read(me))for(let Ft=0;Ft<Bb.heightInLines;Ft++){const Ey=document.createElement("div");Ey.className=`delete-sign ${zo.asClassName(MIt)}`,Ey.setAttribute("style",`position:absolute;top:${Ft*Hi}px;width:${Wr.lineDecorationsWidth}px;height:${Hi}px;right:0;`),nm.appendChild(Ey)}let Nv;Y.add(new OTi(()=>NM(Nv),nm,this._editors.modified,Ca.diff,this._diffEditorWidget,Bb.viewLineCounts,this._editors.original.getModel(),this._contextMenuService,this._clipboardService));for(let Ft=0;Ft<Bb.viewLineCounts.length;Ft++){const Ey=Bb.viewLineCounts[Ft];Ey>1&&Fe.push({afterLineNumber:Ca.originalRange.startLineNumber+Ft,domNode:J(),heightInPx:(Ey-1)*Hi,showInHiddenAreas:!0,suppressMouseDown:!0})}rt.push({afterLineNumber:Ca.modifiedRange.startLineNumber-1,domNode:es,heightInPx:Bb.heightInLines*Hi,minWidthInPx:Bb.minWidthInPx,marginDomNode:nm,setZoneId(Ft){Nv=Ft},showInHiddenAreas:!0,suppressMouseDown:!0})}const jl=document.createElement("div");jl.className="gutter-delete",Fe.push({afterLineNumber:Ca.originalRange.endLineNumberExclusive-1,domNode:J(),heightInPx:Ca.modifiedHeightInPx,marginDomNode:jl,showInHiddenAreas:!0,suppressMouseDown:!0})}else{const jl=Ca.modifiedHeightInPx-Ca.originalHeightInPx;if(jl>0){if(An!=null&&An.lineRangeMapping.original.delta(-1).deltaLength(2).contains(Ca.originalRange.endLineNumberExclusive-1))continue;Fe.push({afterLineNumber:Ca.originalRange.endLineNumberExclusive-1,domNode:J(),heightInPx:jl,showInHiddenAreas:!0,suppressMouseDown:!0})}else{let es=function(){const mp=document.createElement("div");return mp.className="arrow-revert-change "+zo.asClassName(pr.arrowRight),ye.add(en(mp,"mousedown",of=>of.stopPropagation())),ye.add(en(mp,"click",of=>{of.stopPropagation(),c.revert(Ca.diff)})),Cr("div",{},mp)};if(An!=null&&An.lineRangeMapping.modified.delta(-1).deltaLength(2).contains(Ca.modifiedRange.endLineNumberExclusive-1))continue;let ld;Ca.diff&&Ca.diff.modified.isEmpty&&this._options.shouldRenderOldRevertArrows.read(me)&&(ld=es()),rt.push({afterLineNumber:Ca.modifiedRange.endLineNumberExclusive-1,domNode:J(),heightInPx:-jl,marginDomNode:ld,showInHiddenAreas:!0,suppressMouseDown:!0})}}for(const Ca of z.read(me)??[]){if(!(An!=null&&An.lineRangeMapping.original.intersect(Ca.originalRange))||!(An!=null&&An.lineRangeMapping.modified.intersect(Ca.modifiedRange)))continue;const jl=Ca.modifiedHeightInPx-Ca.originalHeightInPx;jl>0?Fe.push({afterLineNumber:Ca.originalRange.endLineNumberExclusive-1,domNode:J(),heightInPx:jl,showInHiddenAreas:!0,suppressMouseDown:!0}):rt.push({afterLineNumber:Ca.modifiedRange.endLineNumberExclusive-1,domNode:J(),heightInPx:-jl,showInHiddenAreas:!0,suppressMouseDown:!0})}return{orig:Fe,mod:rt}});let ae=!1;this._register(this._editors.original.onDidScrollChange(me=>{me.scrollLeftChanged&&!ae&&(ae=!0,this._editors.modified.setScrollLeft(me.scrollLeft),ae=!1)})),this._register(this._editors.modified.onDidScrollChange(me=>{me.scrollLeftChanged&&!ae&&(ae=!0,this._editors.original.setScrollLeft(me.scrollLeft),ae=!1)})),this._originalScrollTop=Tu(this._editors.original.onDidScrollChange,()=>this._editors.original.getScrollTop()),this._modifiedScrollTop=Tu(this._editors.modified.onDidScrollChange,()=>this._editors.modified.getScrollTop()),this._register(ba(me=>{const ye=this._originalScrollTop.read(me)-(this._originalScrollOffsetAnimated.get()-this._modifiedScrollOffsetAnimated.read(me))-(this._originalTopPadding.get()-this._modifiedTopPadding.read(me));ye!==this._editors.modified.getScrollTop()&&this._editors.modified.setScrollTop(ye,1)})),this._register(ba(me=>{const ye=this._modifiedScrollTop.read(me)-(this._modifiedScrollOffsetAnimated.get()-this._originalScrollOffsetAnimated.read(me))-(this._modifiedTopPadding.get()-this._originalTopPadding.read(me));ye!==this._editors.original.getScrollTop()&&this._editors.original.setScrollTop(ye,1)})),this._register(ba(me=>{var Fe;const ye=(Fe=this._diffModel.read(me))==null?void 0:Fe.movedTextToCompare.read(me);let Ce=0;if(ye){const rt=this._editors.original.getTopForLineNumber(ye.lineRangeMapping.original.startLineNumber,!0)-this._originalTopPadding.get();Ce=this._editors.modified.getTopForLineNumber(ye.lineRangeMapping.modified.startLineNumber,!0)-this._modifiedTopPadding.get()-rt}Ce>0?(this._modifiedTopPadding.set(0,void 0),this._originalTopPadding.set(Ce,void 0)):Ce<0?(this._modifiedTopPadding.set(-Ce,void 0),this._originalTopPadding.set(0,void 0)):setTimeout(()=>{this._modifiedTopPadding.set(0,void 0),this._originalTopPadding.set(0,void 0)},400),this._editors.modified.hasTextFocus()?this._originalScrollOffset.set(this._modifiedScrollOffset.get()-Ce,void 0,!0):this._modifiedScrollOffset.set(this._originalScrollOffset.get()+Ce,void 0,!0)}))}};Nje=FTi([swt(8,w6),swt(9,eS)],Nje);function owt(l,e,i,n,s,c){const d=new s6(awt(l,n)),f=new s6(awt(e,s)),m=l.getOption(67),v=e.getOption(67),x=[];let w=0,I=0;function P(O,z){for(;;){let J=d.peek(),Y=f.peek();if(J&&J.lineNumber>=O&&(J=void 0),Y&&Y.lineNumber>=z&&(Y=void 0),!J&&!Y)break;const ae=J?J.lineNumber-w:Number.MAX_VALUE,me=Y?Y.lineNumber-I:Number.MAX_VALUE;ae<me?(d.dequeue(),Y={lineNumber:J.lineNumber-w+I,heightInPx:0}):ae>me?(f.dequeue(),J={lineNumber:Y.lineNumber-I+w,heightInPx:0}):(d.dequeue(),f.dequeue()),x.push({originalRange:Za.ofLength(J.lineNumber,1),modifiedRange:Za.ofLength(Y.lineNumber,1),originalHeightInPx:m+J.heightInPx,modifiedHeightInPx:v+Y.heightInPx,diff:void 0})}}for(const O of i){let me=function(ye,Ce,Fe=!1){var Bi,$i;if(ye<ae||Ce<Y)return;if(J)J=!1;else if(!Fe&&(ye===ae||Ce===Y))return;const rt=new Za(ae,ye),ct=new Za(Y,Ce);if(rt.isEmpty&&ct.isEmpty)return;const Mt=((Bi=d.takeWhile($t=>$t.lineNumber<ye))==null?void 0:Bi.reduce(($t,Hi)=>$t+Hi.heightInPx,0))??0,Yt=(($i=f.takeWhile($t=>$t.lineNumber<Ce))==null?void 0:$i.reduce(($t,Hi)=>$t+Hi.heightInPx,0))??0;x.push({originalRange:rt,modifiedRange:ct,originalHeightInPx:rt.length*m+Mt,modifiedHeightInPx:ct.length*v+Yt,diff:O.lineRangeMapping}),ae=ye,Y=Ce};const z=O.lineRangeMapping;P(z.original.startLineNumber,z.modified.startLineNumber);let J=!0,Y=z.modified.startLineNumber,ae=z.original.startLineNumber;if(c)for(const ye of z.innerChanges||[]){ye.originalRange.startColumn>1&&ye.modifiedRange.startColumn>1&&me(ye.originalRange.startLineNumber,ye.modifiedRange.startLineNumber);const Ce=l.getModel(),Fe=ye.originalRange.endLineNumber<=Ce.getLineCount()?Ce.getLineMaxColumn(ye.originalRange.endLineNumber):Number.MAX_SAFE_INTEGER;ye.originalRange.endColumn<Fe&&me(ye.originalRange.endLineNumber,ye.modifiedRange.endLineNumber)}me(z.original.endLineNumberExclusive,z.modified.endLineNumberExclusive,!0),w=z.original.endLineNumberExclusive,I=z.modified.endLineNumberExclusive}return P(Number.MAX_VALUE,Number.MAX_VALUE),x}function awt(l,e){const i=[],n=[],s=l.getOption(147).wrappingColumn!==-1,c=l._getViewModel().coordinatesConverter,d=l.getOption(67);if(s)for(let m=1;m<=l.getModel().getLineCount();m++){const v=c.getModelLineViewLineCount(m);v>1&&n.push({lineNumber:m,heightInPx:d*(v-1)})}for(const m of l.getWhitespaces()){if(e.has(m.id))continue;const v=m.afterLineNumber===0?0:c.convertViewPositionToModelPosition(new pi(m.afterLineNumber,1)).lineNumber;i.push({lineNumber:v,heightInPx:m.height})}return uTi(i,n,m=>m.lineNumber,(m,v)=>({lineNumber:m.lineNumber,heightInPx:m.heightInPx+v.heightInPx}))}function bGe(l){return l.innerChanges?l.innerChanges.every(e=>cwt(e.modifiedRange)&&cwt(e.originalRange)||e.originalRange.equalsRange(new nt(1,1,1,1))):!1}function cwt(l){return l.startLineNumber===l.endLineNumber}const bne=class bne extends xi{constructor(e,i,n,s,c){super(),this._rootElement=e,this._diffModel=i,this._originalEditorLayoutInfo=n,this._modifiedEditorLayoutInfo=s,this._editors=c,this._originalScrollTop=Tu(this,this._editors.original.onDidScrollChange,()=>this._editors.original.getScrollTop()),this._modifiedScrollTop=Tu(this,this._editors.modified.onDidScrollChange,()=>this._editors.modified.getScrollTop()),this._viewZonesChanged=k0("onDidChangeViewZones",this._editors.modified.onDidChangeViewZones),this.width=bc(this,0),this._modifiedViewZonesChangedSignal=k0("modified.onDidChangeViewZones",this._editors.modified.onDidChangeViewZones),this._originalViewZonesChangedSignal=k0("original.onDidChangeViewZones",this._editors.original.onDidChangeViewZones),this._state=VR(this,(x,w)=>{var rt;this._element.replaceChildren();const I=this._diffModel.read(x),P=(rt=I==null?void 0:I.diff.read(x))==null?void 0:rt.movedTexts;if(!P||P.length===0){this.width.set(0,void 0);return}this._viewZonesChanged.read(x);const O=this._originalEditorLayoutInfo.read(x),z=this._modifiedEditorLayoutInfo.read(x);if(!O||!z){this.width.set(0,void 0);return}this._modifiedViewZonesChangedSignal.read(x),this._originalViewZonesChangedSignal.read(x);const J=P.map(ct=>{function Mt(Wr,Dr){const Rr=Dr.getTopForLineNumber(Wr.startLineNumber,!0),na=Dr.getTopForLineNumber(Wr.endLineNumberExclusive,!0);return(Rr+na)/2}const Yt=Mt(ct.lineRangeMapping.original,this._editors.original),Bi=this._originalScrollTop.read(x),$i=Mt(ct.lineRangeMapping.modified,this._editors.modified),$t=this._modifiedScrollTop.read(x),Hi=Yt-Bi,An=$i-$t,qt=Math.min(Yt,$i),ms=Math.max(Yt,$i);return{range:new xc(qt,ms),from:Hi,to:An,fromWithoutScroll:Yt,toWithoutScroll:$i,move:ct}});J.sort(Bai(yv(ct=>ct.fromWithoutScroll>ct.toWithoutScroll,Wai),yv(ct=>ct.fromWithoutScroll>ct.toWithoutScroll?ct.fromWithoutScroll:-ct.toWithoutScroll,mT)));const Y=CGe.compute(J.map(ct=>ct.range)),ae=10,me=O.verticalScrollbarWidth,ye=(Y.getTrackCount()-1)*10+ae*2,Ce=me+ye+(z.contentLeft-bne.movedCodeBlockPadding);let Fe=0;for(const ct of J){const Mt=Y.getTrack(Fe),Yt=me+ae+Mt*10,Bi=15,$i=15,$t=Ce,Hi=z.glyphMarginWidth+z.lineNumbersWidth,An=18,qt=document.createElementNS("http://www.w3.org/2000/svg","rect");qt.classList.add("arrow-rectangle"),qt.setAttribute("x",`${$t-Hi}`),qt.setAttribute("y",`${ct.to-An/2}`),qt.setAttribute("width",`${Hi}`),qt.setAttribute("height",`${An}`),this._element.appendChild(qt);const ms=document.createElementNS("http://www.w3.org/2000/svg","g"),Wr=document.createElementNS("http://www.w3.org/2000/svg","path");Wr.setAttribute("d",`M 0 ${ct.from} L ${Yt} ${ct.from} L ${Yt} ${ct.to} L ${$t-$i} ${ct.to}`),Wr.setAttribute("fill","none"),ms.appendChild(Wr);const Dr=document.createElementNS("http://www.w3.org/2000/svg","polygon");Dr.classList.add("arrow"),w.add(ba(Rr=>{Wr.classList.toggle("currentMove",ct.move===I.activeMovedText.read(Rr)),Dr.classList.toggle("currentMove",ct.move===I.activeMovedText.read(Rr))})),Dr.setAttribute("points",`${$t-$i},${ct.to-Bi/2} ${$t},${ct.to} ${$t-$i},${ct.to+Bi/2}`),ms.appendChild(Dr),this._element.appendChild(ms),Fe++}this.width.set(ye,void 0)}),this._element=document.createElementNS("http://www.w3.org/2000/svg","svg"),this._element.setAttribute("class","moved-blocks-lines"),this._rootElement.appendChild(this._element),this._register(fo(()=>this._element.remove())),this._register(ba(x=>{const w=this._originalEditorLayoutInfo.read(x),I=this._modifiedEditorLayoutInfo.read(x);!w||!I||(this._element.style.left=`${w.width-w.verticalScrollbarWidth}px`,this._element.style.height=`${w.height}px`,this._element.style.width=`${w.verticalScrollbarWidth+w.contentLeft-bne.movedCodeBlockPadding+this.width.read(x)}px`)})),this._register(kJ(this._state));const d=ro(x=>{const w=this._diffModel.read(x),I=w==null?void 0:w.diff.read(x);return I?I.movedTexts.map(P=>({move:P,original:new pq(mk(P.lineRangeMapping.original.startLineNumber-1),18),modified:new pq(mk(P.lineRangeMapping.modified.startLineNumber-1),18)})):[]});this._register(Mve(this._editors.original,d.map(x=>x.map(w=>w.original)))),this._register(Mve(this._editors.modified,d.map(x=>x.map(w=>w.modified)))),this._register(Ib((x,w)=>{const I=d.read(x);for(const P of I)w.add(new lwt(this._editors.original,P.original,P.move,"original",this._diffModel.get())),w.add(new lwt(this._editors.modified,P.modified,P.move,"modified",this._diffModel.get()))}));const f=k0("original.onDidFocusEditorWidget",x=>this._editors.original.onDidFocusEditorWidget(()=>setTimeout(()=>x(void 0),0))),m=k0("modified.onDidFocusEditorWidget",x=>this._editors.modified.onDidFocusEditorWidget(()=>setTimeout(()=>x(void 0),0)));let v="modified";this._register(Tse({createEmptyChangeSummary:()=>{},handleChange:(x,w)=>(x.didChange(f)&&(v="original"),x.didChange(m)&&(v="modified"),!0)},x=>{f.read(x),m.read(x);const w=this._diffModel.read(x);if(!w)return;const I=w.diff.read(x);let P;if(I&&v==="original"){const O=this._editors.originalCursor.read(x);O&&(P=I.movedTexts.find(z=>z.lineRangeMapping.original.contains(O.lineNumber)))}if(I&&v==="modified"){const O=this._editors.modifiedCursor.read(x);O&&(P=I.movedTexts.find(z=>z.lineRangeMapping.modified.contains(O.lineNumber)))}P!==w.movedTextToCompare.get()&&w.movedTextToCompare.set(void 0,void 0),w.setActiveMovedText(P)}))}};bne.movedCodeBlockPadding=4;let a$=bne;class CGe{static compute(e){const i=[],n=[];for(const s of e){let c=i.findIndex(d=>!d.intersectsStrict(s));c===-1&&(i.length>=6?c=Chi(i,yv(f=>f.intersectWithRangeLength(s),mT)):(c=i.length,i.push(new C$e))),i[c].addRange(s),n.push(c)}return new CGe(i.length,n)}constructor(e,i){this._trackCount=e,this.trackPerLineIdx=i}getTrack(e){return this.trackPerLineIdx[e]}getTrackCount(){return this._trackCount}}class lwt extends dGe{constructor(e,i,n,s,c){const d=Rc("div.diff-hidden-lines-widget");super(e,i,d.root),this._editor=e,this._move=n,this._kind=s,this._diffModel=c,this._nodes=Rc("div.diff-moved-code-block",{style:{marginRight:"4px"}},[Rc("div.text-content@textContent"),Rc("div.action-bar@actionBar")]),d.root.appendChild(this._nodes.root);const f=Tu(this._editor.onDidLayoutChange,()=>this._editor.getLayoutInfo());this._register(f6(this._nodes.root,{paddingRight:f.map(I=>I.verticalScrollbarWidth)}));let m;n.changes.length>0?m=this._kind==="original"?W("codeMovedToWithChanges","Code moved with changes to line {0}-{1}",this._move.lineRangeMapping.modified.startLineNumber,this._move.lineRangeMapping.modified.endLineNumberExclusive-1):W("codeMovedFromWithChanges","Code moved with changes from line {0}-{1}",this._move.lineRangeMapping.original.startLineNumber,this._move.lineRangeMapping.original.endLineNumberExclusive-1):m=this._kind==="original"?W("codeMovedTo","Code moved to line {0}-{1}",this._move.lineRangeMapping.modified.startLineNumber,this._move.lineRangeMapping.modified.endLineNumberExclusive-1):W("codeMovedFrom","Code moved from line {0}-{1}",this._move.lineRangeMapping.original.startLineNumber,this._move.lineRangeMapping.original.endLineNumberExclusive-1);const v=this._register(new kw(this._nodes.actionBar,{highlightToggledItems:!0})),x=new $C("",m,"",!1);v.push(x,{icon:!1,label:!0});const w=new $C("","Compare",zo.asClassName(pr.compareChanges),!0,()=>{this._editor.focus(),this._diffModel.movedTextToCompare.set(this._diffModel.movedTextToCompare.get()===n?void 0:this._move,void 0)});this._register(ba(I=>{const P=this._diffModel.movedTextToCompare.read(I)===n;w.checked=P})),v.push(w,{icon:!1,label:!0})}}class BTi extends xi{constructor(e,i,n,s){super(),this._editors=e,this._diffModel=i,this._options=n,this._decorations=ro(this,c=>{const d=this._diffModel.read(c),f=d==null?void 0:d.diff.read(c);if(!f)return null;const m=this._diffModel.read(c).movedTextToCompare.read(c),v=this._options.renderIndicators.read(c),x=this._options.showEmptyDecorations.read(c),w=[],I=[];if(!m)for(const O of f.mappings)if(O.lineRangeMapping.original.isEmpty||w.push({range:O.lineRangeMapping.original.toInclusiveRange(),options:v?Ere:iwt}),O.lineRangeMapping.modified.isEmpty||I.push({range:O.lineRangeMapping.modified.toInclusiveRange(),options:v?Fve:twt}),O.lineRangeMapping.modified.isEmpty||O.lineRangeMapping.original.isEmpty)O.lineRangeMapping.original.isEmpty||w.push({range:O.lineRangeMapping.original.toInclusiveRange(),options:mGe}),O.lineRangeMapping.modified.isEmpty||I.push({range:O.lineRangeMapping.modified.toInclusiveRange(),options:_Ge});else{const z=this._options.useTrueInlineDiffRendering.read(c)&&bGe(O.lineRangeMapping);for(const J of O.lineRangeMapping.innerChanges||[])if(O.lineRangeMapping.original.contains(J.originalRange.startLineNumber)&&w.push({range:J.originalRange,options:J.originalRange.isEmpty()&&x?gGe:J$}),O.lineRangeMapping.modified.contains(J.modifiedRange.startLineNumber)&&I.push({range:J.modifiedRange,options:J.modifiedRange.isEmpty()&&x&&!z?pGe:Bve}),z){const Y=d.model.original.getValueInRange(J.originalRange);I.push({range:J.modifiedRange,options:{description:"deleted-text",before:{content:Y,inlineClassName:"inline-deleted-text"},zIndex:1e5,showIfCollapsed:!0}})}}if(m)for(const O of m.changes){const z=O.original.toInclusiveRange();z&&w.push({range:z,options:v?Ere:iwt});const J=O.modified.toInclusiveRange();J&&I.push({range:J,options:v?Fve:twt});for(const Y of O.innerChanges||[])w.push({range:Y.originalRange,options:J$}),I.push({range:Y.modifiedRange,options:Bve})}const P=this._diffModel.read(c).activeMovedText.read(c);for(const O of f.movedTexts)w.push({range:O.lineRangeMapping.original.toInclusiveRange(),options:{description:"moved",blockClassName:"movedOriginal"+(O===P?" currentMove":""),blockPadding:[a$.movedCodeBlockPadding,0,a$.movedCodeBlockPadding,a$.movedCodeBlockPadding]}}),I.push({range:O.lineRangeMapping.modified.toInclusiveRange(),options:{description:"moved",blockClassName:"movedModified"+(O===P?" currentMove":""),blockPadding:[4,0,4,4]}});return{originalDecorations:w,modifiedDecorations:I}}),this._register(Ove(this._editors.original,this._decorations.map(c=>(c==null?void 0:c.originalDecorations)||[]))),this._register(Ove(this._editors.modified,this._decorations.map(c=>(c==null?void 0:c.modifiedDecorations)||[])))}}class WTi{resetSash(){this._sashRatio.set(void 0,void 0)}constructor(e,i){this._options=e,this.dimensions=i,this.sashLeft=xse(this,n=>{const s=this._sashRatio.read(n)??this._options.splitViewDefaultRatio.read(n);return this._computeSashLeft(s,n)},(n,s)=>{const c=this.dimensions.width.get();this._sashRatio.set(n/c,s)}),this._sashRatio=bc(this,void 0)}_computeSashLeft(e,i){const n=this.dimensions.width.read(i),s=Math.floor(this._options.splitViewDefaultRatio.read(i)*n),c=this._options.enableSplitViewResizing.read(i)?Math.floor(e*n):s,d=100;return n<=d*2?s:c<d?d:c>n-d?n-d:c}}class WIt extends xi{constructor(e,i,n,s,c,d){super(),this._domNode=e,this._dimensions=i,this._enabled=n,this._boundarySashes=s,this.sashLeft=c,this._resetSash=d,this._sash=this._register(new _1(this._domNode,{getVerticalSashTop:f=>0,getVerticalSashLeft:f=>this.sashLeft.get(),getVerticalSashHeight:f=>this._dimensions.height.get()},{orientation:0})),this._startSashPosition=void 0,this._register(this._sash.onDidStart(()=>{this._startSashPosition=this.sashLeft.get()})),this._register(this._sash.onDidChange(f=>{this.sashLeft.set(this._startSashPosition+(f.currentX-f.startX),void 0)})),this._register(this._sash.onDidEnd(()=>this._sash.layout())),this._register(this._sash.onDidReset(()=>this._resetSash())),this._register(ba(f=>{const m=this._boundarySashes.read(f);m&&(this._sash.orthogonalEndSash=m.bottom)})),this._register(ba(f=>{const m=this._enabled.read(f);this._sash.state=m?3:0,this.sashLeft.read(f),this._dimensions.height.read(f),this._sash.layout()}))}}class VTi extends xi{constructor(e,i,n){super(),this._editor=e,this._domNode=i,this.itemProvider=n,this.scrollTop=Tu(this,this._editor.onDidScrollChange,d=>this._editor.getScrollTop()),this.isScrollTopZero=this.scrollTop.map(d=>d===0),this.modelAttached=Tu(this,this._editor.onDidChangeModel,d=>this._editor.hasModel()),this.editorOnDidChangeViewZones=k0("onDidChangeViewZones",this._editor.onDidChangeViewZones),this.editorOnDidContentSizeChange=k0("onDidContentSizeChange",this._editor.onDidContentSizeChange),this.domNodeSizeChanged=xJ("domNodeSizeChanged"),this.views=new Map,this._domNode.className="gutter monaco-editor";const s=this._domNode.appendChild(Rc("div.scroll-decoration",{role:"presentation",ariaHidden:"true",style:{width:"100%"}}).root),c=new ResizeObserver(()=>{th(d=>{this.domNodeSizeChanged.trigger(d)})});c.observe(this._domNode),this._register(fo(()=>c.disconnect())),this._register(ba(d=>{s.className=this.isScrollTopZero.read(d)?"":"scroll-decoration"})),this._register(ba(d=>this.render(d)))}dispose(){super.dispose(),o0(this._domNode)}render(e){if(!this.modelAttached.read(e))return;this.domNodeSizeChanged.read(e),this.editorOnDidChangeViewZones.read(e),this.editorOnDidContentSizeChange.read(e);const i=this.scrollTop.read(e),n=this._editor.getVisibleRanges(),s=new Set(this.views.keys()),c=xc.ofStartAndLength(0,this._domNode.clientHeight);if(!c.isEmpty)for(const d of n){const f=new Za(d.startLineNumber,d.endLineNumber+1),m=this.itemProvider.getIntersectingGutterItems(f,e);th(v=>{for(const x of m){if(!x.range.intersect(f))continue;s.delete(x.id);let w=this.views.get(x.id);if(w)w.item.set(x,v);else{const z=document.createElement("div");this._domNode.appendChild(z);const J=bc("item",x),Y=this.itemProvider.createView(J,z);w=new HTi(J,Y,z),this.views.set(x.id,w)}const I=x.range.startLineNumber<=this._editor.getModel().getLineCount()?this._editor.getTopForLineNumber(x.range.startLineNumber,!0)-i:this._editor.getBottomForLineNumber(x.range.startLineNumber-1,!1)-i,O=(x.range.endLineNumberExclusive===1?Math.max(I,this._editor.getTopForLineNumber(x.range.startLineNumber,!1)-i):Math.max(I,this._editor.getBottomForLineNumber(x.range.endLineNumberExclusive-1,!0)-i))-I;w.domNode.style.top=`${I}px`,w.domNode.style.height=`${O}px`,w.gutterItemView.layout(xc.ofStartAndLength(I,O),c)}})}for(const d of s){const f=this.views.get(d);f.gutterItemView.dispose(),f.domNode.remove(),this.views.delete(d)}}}class HTi{constructor(e,i,n){this.item=e,this.gutterItemView=i,this.domNode=n}}class VIt extends TB{constructor(e){super(),this._getContext=e}runAction(e,i){const n=this._getContext();return super.runAction(e,n)}}class uwt extends nTt{constructor(e){super(),this._textModel=e}getValueOfRange(e){return this._textModel.getValueInRange(e)}get length(){const e=this._textModel.getLineCount(),i=this._textModel.getLineLength(e);return new ST(e-1,i)}}class jTi extends xi{constructor(e,i,n={orientation:0}){super(),this.submenuActionViewItems=[],this.hasSecondaryActions=!1,this._onDidChangeDropdownVisibility=this._register(new lli),this.onDidChangeDropdownVisibility=this._onDidChangeDropdownVisibility.event,this.disposables=this._register(new wn),n.hoverDelegate=n.hoverDelegate??this._register(W$()),this.options=n,this.toggleMenuAction=this._register(new Ire(()=>{var s;return(s=this.toggleMenuActionViewItem)==null?void 0:s.show()},n.toggleMenuTitle)),this.element=document.createElement("div"),this.element.className="monaco-toolbar",e.appendChild(this.element),this.actionBar=this._register(new kw(this.element,{orientation:n.orientation,ariaLabel:n.ariaLabel,actionRunner:n.actionRunner,allowContextMenu:n.allowContextMenu,highlightToggledItems:n.highlightToggledItems,hoverDelegate:n.hoverDelegate,actionViewItemProvider:(s,c)=>{if(s.id===Ire.ID)return this.toggleMenuActionViewItem=new Vye(s,s.menuActions,i,{actionViewItemProvider:this.options.actionViewItemProvider,actionRunner:this.actionRunner,keybindingProvider:this.options.getKeyBinding,classNames:zo.asClassNameArray(n.moreIcon??pr.toolBarMore),anchorAlignmentProvider:this.options.anchorAlignmentProvider,menuAsChild:!!this.options.renderDropdownAsChildElement,skipTelemetry:this.options.skipTelemetry,isMenu:!0,hoverDelegate:this.options.hoverDelegate}),this.toggleMenuActionViewItem.setActionContext(this.actionBar.context),this.disposables.add(this._onDidChangeDropdownVisibility.add(this.toggleMenuActionViewItem.onDidChangeVisibility)),this.toggleMenuActionViewItem;if(n.actionViewItemProvider){const d=n.actionViewItemProvider(s,c);if(d)return d}if(s instanceof T$){const d=new Vye(s,s.actions,i,{actionViewItemProvider:this.options.actionViewItemProvider,actionRunner:this.actionRunner,keybindingProvider:this.options.getKeyBinding,classNames:s.class,anchorAlignmentProvider:this.options.anchorAlignmentProvider,menuAsChild:!!this.options.renderDropdownAsChildElement,skipTelemetry:this.options.skipTelemetry,hoverDelegate:this.options.hoverDelegate});return d.setActionContext(this.actionBar.context),this.submenuActionViewItems.push(d),this.disposables.add(this._onDidChangeDropdownVisibility.add(d.onDidChangeVisibility)),d}}}))}set actionRunner(e){this.actionBar.actionRunner=e}get actionRunner(){return this.actionBar.actionRunner}getElement(){return this.element}getItemAction(e){return this.actionBar.getAction(e)}setActions(e,i){this.clear();const n=e?e.slice(0):[];this.hasSecondaryActions=!!(i&&i.length>0),this.hasSecondaryActions&&i&&(this.toggleMenuAction.menuActions=i.slice(0),n.push(this.toggleMenuAction)),n.forEach(s=>{this.actionBar.push(s,{icon:this.options.icon??!0,label:this.options.label??!1,keybinding:this.getKeybindingLabel(s)})})}getKeybindingLabel(e){var n,s;const i=(s=(n=this.options).getKeyBinding)==null?void 0:s.call(n,e);return(i==null?void 0:i.getLabel())??void 0}clear(){this.submenuActionViewItems=[],this.disposables.clear(),this.actionBar.clear()}dispose(){this.clear(),this.disposables.dispose(),super.dispose()}}const OCe=class OCe extends $C{constructor(e,i){i=i||W("moreActions","More Actions..."),super(OCe.ID,i,void 0,!0),this._menuActions=[],this.toggleDropdownMenu=e}async run(){this.toggleDropdownMenu()}get menuActions(){return this._menuActions}set menuActions(e){this._menuActions=e}};OCe.ID="toolbar.toggle.more";let Ire=OCe;var HIt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},uT=function(l,e){return function(i,n){e(i,n,l)}};let Nre=class extends jTi{constructor(e,i,n,s,c,d,f,m){super(e,c,{getKeyBinding:x=>d.lookupKeybinding(x.id)??void 0,...i,allowContextMenu:!0,skipTelemetry:typeof(i==null?void 0:i.telemetrySource)=="string"}),this._options=i,this._menuService=n,this._contextKeyService=s,this._contextMenuService=c,this._keybindingService=d,this._commandService=f,this._sessionDisposables=this._store.add(new wn);const v=i==null?void 0:i.telemetrySource;v&&this._store.add(this.actionBar.onDidRun(x=>m.publicLog2("workbenchActionExecuted",{id:x.action.id,from:v})))}setActions(e,i=[],n){var x,w,I;this._sessionDisposables.clear();const s=e.slice(),c=i.slice(),d=[];let f=0;const m=[];let v=!1;if(((x=this._options)==null?void 0:x.hiddenItemStrategy)!==-1)for(let P=0;P<s.length;P++){const O=s[P];!(O instanceof JC)&&!(O instanceof zq)||O.hideActions&&(d.push(O.hideActions.toggle),O.hideActions.toggle.checked&&f++,O.hideActions.isHidden&&(v=!0,s[P]=void 0,((w=this._options)==null?void 0:w.hiddenItemStrategy)!==0&&(m[P]=O)))}if(((I=this._options)==null?void 0:I.overflowBehavior)!==void 0){const P=nvi(new Set(this._options.overflowBehavior.exempted),dl.map(s,J=>J==null?void 0:J.id)),O=this._options.overflowBehavior.maxItems-P.size;let z=0;for(let J=0;J<s.length;J++){const Y=s[J];Y&&(z++,!P.has(Y.id)&&z>=O&&(s[J]=void 0,m[J]=Y))}}jyt(s),jyt(m),super.setActions(s,Rm.join(m,c)),(d.length>0||s.length>0)&&this._sessionDisposables.add(en(this.getElement(),"contextmenu",P=>{var ae,me,ye,Ce,Fe;const O=new hT(Eo(this.getElement()),P),z=this.getItemAction(O.target);if(!z)return;O.preventDefault(),O.stopPropagation();const J=[];if(z instanceof JC&&z.menuKeybinding)J.push(z.menuKeybinding);else if(!(z instanceof zq||z instanceof Ire)){const rt=!!this._keybindingService.lookupKeybinding(z.id);J.push(rIt(this._commandService,this._keybindingService,z.id,void 0,rt))}if(d.length>0){let rt=!1;if(f===1&&((ae=this._options)==null?void 0:ae.hiddenItemStrategy)===0){rt=!0;for(let ct=0;ct<d.length;ct++)if(d[ct].checked){d[ct]=_9({id:z.id,label:z.label,checked:!0,enabled:!1,run(){}});break}}if(!rt&&(z instanceof JC||z instanceof zq)){if(!z.hideActions)return;J.push(z.hideActions.hide)}else J.push(_9({id:"label",label:W("hide","Hide"),enabled:!1,run(){}}))}const Y=Rm.join(J,d);(me=this._options)!=null&&me.resetMenu&&!n&&(n=[this._options.resetMenu]),v&&n&&(Y.push(new Rm),Y.push(_9({id:"resetThisMenu",label:W("resetThisMenu","Reset Menu"),run:()=>this._menuService.resetHiddenStates(n)}))),Y.length!==0&&this._contextMenuService.showContextMenu({getAnchor:()=>O,getActions:()=>Y,menuId:(ye=this._options)==null?void 0:ye.contextMenu,menuActionOptions:{renderShortTitle:!0,...(Ce=this._options)==null?void 0:Ce.menuOptions},skipTelemetry:typeof((Fe=this._options)==null?void 0:Fe.telemetrySource)=="string",contextKeyService:this._contextKeyService})}))}};Nre=HIt([uT(2,Lw),uT(3,Ko),uT(4,eS),uT(5,pu),uT(6,qd),uT(7,b1)],Nre);let Wve=class extends Nre{constructor(e,i,n,s,c,d,f,m,v){super(e,{resetMenu:i,...n},s,c,d,f,m,v),this._onDidChangeMenuItems=this._store.add(new gi),this.onDidChangeMenuItems=this._onDidChangeMenuItems.event;const x=this._store.add(s.createMenu(i,c,{emitEventsForSubmenuChanges:!0})),w=()=>{var O,z,J;const I=[],P=[];vwe(x,n==null?void 0:n.menuOptions,{primary:I,secondary:P},(O=n==null?void 0:n.toolbarOptions)==null?void 0:O.primaryGroup,(z=n==null?void 0:n.toolbarOptions)==null?void 0:z.shouldInlineSubmenu,(J=n==null?void 0:n.toolbarOptions)==null?void 0:J.useSeparatorsInPrimaryActions),e.classList.toggle("has-no-actions",I.length===0&&P.length===0),super.setActions(I,P)};this._store.add(x.onDidChange(()=>{w(),this._onDidChangeMenuItems.fire(this)})),w()}setActions(){throw new tu("This toolbar is populated from a menu.")}};Wve=HIt([uT(3,Lw),uT(4,Ko),uT(5,eS),uT(6,pu),uT(7,qd),uT(8,b1)],Wve);var jIt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},D1e=function(l,e){return function(i,n){e(i,n,l)}};const S9e=[],I0e=35;let Lje=class extends xi{constructor(e,i,n,s,c,d,f,m,v){super(),this._diffModel=i,this._editors=n,this._options=s,this._sashLayout=c,this._boundarySashes=d,this._instantiationService=f,this._contextKeyService=m,this._menuService=v,this._menu=this._register(this._menuService.createMenu(As.DiffEditorHunkToolbar,this._contextKeyService)),this._actions=Tu(this,this._menu.onDidChange,()=>this._menu.getActions()),this._hasActions=this._actions.map(x=>x.length>0),this._showSash=ro(this,x=>this._options.renderSideBySide.read(x)&&this._hasActions.read(x)),this.width=ro(this,x=>this._hasActions.read(x)?I0e:0),this.elements=Rc("div.gutter@gutter",{style:{position:"absolute",height:"100%",width:I0e+"px"}},[]),this._currentDiff=ro(this,x=>{var O;const w=this._diffModel.read(x);if(!w)return;const I=(O=w.diff.read(x))==null?void 0:O.mappings,P=this._editors.modifiedCursor.read(x);if(P)return I==null?void 0:I.find(z=>z.lineRangeMapping.modified.contains(P.lineNumber))}),this._selectedDiffs=ro(this,x=>{const w=this._diffModel.read(x),I=w==null?void 0:w.diff.read(x);if(!I)return S9e;const P=this._editors.modifiedSelections.read(x);if(P.every(Y=>Y.isEmpty()))return S9e;const O=new _k(P.map(Y=>Za.fromRangeInclusive(Y))),J=I.mappings.filter(Y=>Y.lineRangeMapping.innerChanges&&O.intersects(Y.lineRangeMapping.modified)).map(Y=>({mapping:Y,rangeMappings:Y.lineRangeMapping.innerChanges.filter(ae=>P.some(me=>nt.areIntersecting(ae.modifiedRange,me)))}));return J.length===0||J.every(Y=>Y.rangeMappings.length===0)?S9e:J}),this._register(dTi(e,this.elements.root)),this._register(en(this.elements.root,"click",()=>{this._editors.modified.focus()})),this._register(f6(this.elements.root,{display:this._hasActions.map(x=>x?"block":"none")})),Cy(this,x=>this._showSash.read(x)?new WIt(e,this._sashLayout.dimensions,this._options.enableSplitViewResizing,this._boundarySashes,xse(this,I=>this._sashLayout.sashLeft.read(I)-I0e,(I,P)=>this._sashLayout.sashLeft.set(I+I0e,P)),()=>this._sashLayout.resetSash()):void 0).recomputeInitiallyAndOnChange(this._store),this._register(new VTi(this._editors.modified,this.elements.root,{getIntersectingGutterItems:(x,w)=>{const I=this._diffModel.read(w);if(!I)return[];const P=I.diff.read(w);if(!P)return[];const O=this._selectedDiffs.read(w);if(O.length>0){const J=xw.fromRangeMappings(O.flatMap(Y=>Y.rangeMappings));return[new dwt(J,!0,As.DiffEditorSelectionToolbar,void 0,I.model.original.uri,I.model.modified.uri)]}const z=this._currentDiff.read(w);return P.mappings.map(J=>new dwt(J.lineRangeMapping.withInnerChangesFromLineRanges(),J.lineRangeMapping===(z==null?void 0:z.lineRangeMapping),As.DiffEditorHunkToolbar,void 0,I.model.original.uri,I.model.modified.uri))},createView:(x,w)=>this._instantiationService.createInstance(Pje,x,w,this)})),this._register(en(this.elements.gutter,br.MOUSE_WHEEL,x=>{this._editors.modified.getOption(104).handleMouseWheel&&this._editors.modified.delegateScrollFromMouseWheelEvent(x)},{passive:!1}))}computeStagedValue(e){const i=e.innerChanges??[],n=new uwt(this._editors.modifiedModel.get()),s=new uwt(this._editors.original.getModel());return new w$e(i.map(f=>f.toTextEdit(n))).apply(s)}layout(e){this.elements.gutter.style.left=e+"px"}};Lje=jIt([D1e(6,ho),D1e(7,Ko),D1e(8,Lw)],Lje);class dwt{constructor(e,i,n,s,c,d){this.mapping=e,this.showAlways=i,this.menuId=n,this.rangeOverride=s,this.originalUri=c,this.modifiedUri=d}get id(){return this.mapping.modified.toString()}get range(){return this.rangeOverride??this.mapping.modified}}let Pje=class extends xi{constructor(e,i,n,s){super(),this._item=e,this._elements=Rc("div.gutterItem",{style:{height:"20px",width:"34px"}},[Rc("div.background@background",{},[]),Rc("div.buttons@buttons",{},[])]),this._showAlways=this._item.map(this,d=>d.showAlways),this._menuId=this._item.map(this,d=>d.menuId),this._isSmall=bc(this,!1),this._lastItemRange=void 0,this._lastViewRange=void 0;const c=this._register(s.createInstance(P$,"element",!0,{position:{hoverPosition:1}}));this._register(_q(i,this._elements.root)),this._register(ba(d=>{const f=this._showAlways.read(d);this._elements.root.classList.toggle("noTransition",!0),this._elements.root.classList.toggle("showAlways",f),setTimeout(()=>{this._elements.root.classList.toggle("noTransition",!1)},0)})),this._register(Ib((d,f)=>{this._elements.buttons.replaceChildren();const m=f.add(s.createInstance(Wve,this._elements.buttons,this._menuId.read(d),{orientation:1,hoverDelegate:c,toolbarOptions:{primaryGroup:v=>v.startsWith("primary")},overflowBehavior:{maxItems:this._isSmall.read(d)?1:3},hiddenItemStrategy:0,actionRunner:new VIt(()=>{const v=this._item.get(),x=v.mapping;return{mapping:x,originalWithModifiedChanges:n.computeStagedValue(x),originalUri:v.originalUri,modifiedUri:v.modifiedUri}}),menuOptions:{shouldForwardArgs:!0}}));f.add(m.onDidChangeMenuItems(()=>{this._lastItemRange&&this.layout(this._lastItemRange,this._lastViewRange)}))}))}layout(e,i){this._lastItemRange=e,this._lastViewRange=i;let n=this._elements.buttons.clientHeight;this._isSmall.set(this._item.get().mapping.original.startLineNumber===1&&e.length<30,void 0),n=this._elements.buttons.clientHeight;const s=e.length/2-n/2,c=n;let d=e.start+s;const f=xc.tryCreate(c,i.endExclusive-c-n),m=xc.tryCreate(e.start+c,e.endExclusive-n-c);m&&f&&m.start<m.endExclusive&&(d=f.clip(d),d=m.clip(d)),this._elements.buttons.style.top=`${d-e.start}px`}};Pje=jIt([D1e(3,ho)],Pje);function zC(l){return Aje.get(l)}const yM=class yM extends xi{static get(e){let i=yM._map.get(e);if(!i){i=new yM(e),yM._map.set(e,i);const n=e.onDidDispose(()=>{const s=yM._map.get(e);s&&(yM._map.delete(e),s.dispose(),n.dispose())})}return i}_beginUpdate(){this._updateCounter++,this._updateCounter===1&&(this._currentTransaction=new wJ(()=>{}))}_endUpdate(){if(this._updateCounter--,this._updateCounter===0){const e=this._currentTransaction;this._currentTransaction=void 0,e.finish()}}constructor(e){var i;super(),this.editor=e,this._updateCounter=0,this._currentTransaction=void 0,this._model=bc(this,this.editor.getModel()),this.model=this._model,this.isReadonly=Tu(this,this.editor.onDidChangeConfiguration,()=>this.editor.getOption(92)),this._versionId=BVe({owner:this,lazy:!0},((i=this.editor.getModel())==null?void 0:i.getVersionId())??null),this.versionId=this._versionId,this._selections=BVe({owner:this,equalsFn:MVe(Fye(Us.selectionsEqual)),lazy:!0},this.editor.getSelections()??null),this.selections=this._selections,this.isFocused=Tu(this,n=>{const s=this.editor.onDidFocusEditorWidget(n),c=this.editor.onDidBlurEditorWidget(n);return{dispose(){s.dispose(),c.dispose()}}},()=>this.editor.hasWidgetFocus()),this.value=xse(this,n=>{var s;return this.versionId.read(n),((s=this.model.read(n))==null?void 0:s.getValue())??""},(n,s)=>{const c=this.model.get();c!==null&&n!==c.getValue()&&c.setValue(n)}),this.valueIsEmpty=ro(this,n=>{var s;return this.versionId.read(n),((s=this.editor.getModel())==null?void 0:s.getValueLength())===0}),this.cursorSelection=mw({owner:this,equalsFn:MVe(Us.selectionsEqual)},n=>{var s;return((s=this.selections.read(n))==null?void 0:s[0])??null}),this.onDidType=xJ(this),this.scrollTop=Tu(this.editor.onDidScrollChange,()=>this.editor.getScrollTop()),this.scrollLeft=Tu(this.editor.onDidScrollChange,()=>this.editor.getScrollLeft()),this.layoutInfo=Tu(this.editor.onDidLayoutChange,()=>this.editor.getLayoutInfo()),this.layoutInfoContentLeft=this.layoutInfo.map(n=>n.contentLeft),this.layoutInfoDecorationsLeft=this.layoutInfo.map(n=>n.decorationsLeft),this.contentWidth=Tu(this.editor.onDidContentSizeChange,()=>this.editor.getContentWidth()),this._overlayWidgetCounter=0,this._register(this.editor.onBeginUpdate(()=>this._beginUpdate())),this._register(this.editor.onEndUpdate(()=>this._endUpdate())),this._register(this.editor.onDidChangeModel(()=>{this._beginUpdate();try{this._model.set(this.editor.getModel(),this._currentTransaction),this._forceUpdate()}finally{this._endUpdate()}})),this._register(this.editor.onDidType(n=>{this._beginUpdate();try{this._forceUpdate(),this.onDidType.trigger(this._currentTransaction,n)}finally{this._endUpdate()}})),this._register(this.editor.onDidChangeModelContent(n=>{var s;this._beginUpdate();try{this._versionId.set(((s=this.editor.getModel())==null?void 0:s.getVersionId())??null,this._currentTransaction,n),this._forceUpdate()}finally{this._endUpdate()}})),this._register(this.editor.onDidChangeCursorSelection(n=>{this._beginUpdate();try{this._selections.set(this.editor.getSelections(),this._currentTransaction,n),this._forceUpdate()}finally{this._endUpdate()}}))}forceUpdate(e){this._beginUpdate();try{return this._forceUpdate(),e?e(this._currentTransaction):void 0}finally{this._endUpdate()}}_forceUpdate(){var e;this._beginUpdate();try{this._model.set(this.editor.getModel(),this._currentTransaction),this._versionId.set(((e=this.editor.getModel())==null?void 0:e.getVersionId())??null,this._currentTransaction,void 0),this._selections.set(this.editor.getSelections(),this._currentTransaction,void 0)}finally{this._endUpdate()}}getOption(e){return Tu(this,i=>this.editor.onDidChangeConfiguration(n=>{n.hasChanged(e)&&i(void 0)}),()=>this.editor.getOption(e))}setDecorations(e){const i=new wn,n=this.editor.createDecorationsCollection();return i.add(kse({owner:this,debugName:()=>`Apply decorations from ${e.debugName}`},s=>{const c=e.read(s);n.set(c)})),i.add({dispose:()=>{n.clear()}}),i}createOverlayWidget(e){const i="observableOverlayWidget"+this._overlayWidgetCounter++,n={getDomNode:()=>e.domNode,getPosition:()=>e.position.get(),getId:()=>i,allowEditorOverflow:e.allowEditorOverflow,getMinContentWidthInPx:()=>e.minContentWidthInPx.get()};this.editor.addOverlayWidget(n);const s=ba(c=>{e.position.read(c),e.minContentWidthInPx.read(c),this.editor.layoutOverlayWidget(n)});return fo(()=>{s.dispose(),this.editor.removeOverlayWidget(n)})}};yM._map=new Map;let Aje=yM;function Oje(l,e){return h1i({createEmptyChangeSummary:()=>({deltas:[],didChange:!1}),handleChange:(i,n)=>{if(i.didChange(l)){const s=i.change;s!==void 0&&n.deltas.push(s),n.didChange=!0}return!0}},(i,n)=>{const s=l.read(i);n.didChange&&e(s,n.deltas)})}function zTi(l,e){const i=new wn,n=Oje(l,(s,c)=>{i.clear(),e(s,c,i)});return{dispose(){n.dispose(),i.dispose()}}}var UTi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},qTi=function(l,e){return function(i,n){e(i,n,l)}},E1e,A9;let Vve=(A9=class extends xi{static setBreadcrumbsSourceFactory(e){this._breadcrumbsSourceFactory.set(e,void 0)}get isUpdatingHiddenAreas(){return this._isUpdatingHiddenAreas}constructor(e,i,n,s){super(),this._editors=e,this._diffModel=i,this._options=n,this._instantiationService=s,this._modifiedOutlineSource=Cy(this,m=>{const v=this._editors.modifiedModel.read(m),x=E1e._breadcrumbsSourceFactory.read(m);return!v||!x?void 0:x(v,this._instantiationService)}),this._isUpdatingHiddenAreas=!1,this._register(this._editors.original.onDidChangeCursorPosition(m=>{if(m.reason===1)return;const v=this._diffModel.get();th(x=>{for(const w of this._editors.original.getSelections()||[])v==null||v.ensureOriginalLineIsVisible(w.getStartPosition().lineNumber,0,x),v==null||v.ensureOriginalLineIsVisible(w.getEndPosition().lineNumber,0,x)})})),this._register(this._editors.modified.onDidChangeCursorPosition(m=>{if(m.reason===1)return;const v=this._diffModel.get();th(x=>{for(const w of this._editors.modified.getSelections()||[])v==null||v.ensureModifiedLineIsVisible(w.getStartPosition().lineNumber,0,x),v==null||v.ensureModifiedLineIsVisible(w.getEndPosition().lineNumber,0,x)})}));const c=this._diffModel.map((m,v)=>{var w;const x=(m==null?void 0:m.unchangedRegions.read(v))??[];return x.length===1&&x[0].modifiedLineNumber===1&&x[0].lineCount===((w=this._editors.modifiedModel.read(v))==null?void 0:w.getLineCount())?[]:x});this.viewZones=VR(this,(m,v)=>{const x=this._modifiedOutlineSource.read(m);if(!x)return{origViewZones:[],modViewZones:[]};const w=[],I=[],P=this._options.renderSideBySide.read(m),O=this._options.compactMode.read(m),z=c.read(m);for(let J=0;J<z.length;J++){const Y=z[J];if(!Y.shouldHideControls(m)&&!(O&&(J===0||J===z.length-1)))if(O){{const ae=ro(this,ye=>Y.getHiddenOriginalRange(ye).startLineNumber-1),me=new pq(ae,12);w.push(me),v.add(new fwt(this._editors.original,me,Y,!P))}{const ae=ro(this,ye=>Y.getHiddenModifiedRange(ye).startLineNumber-1),me=new pq(ae,12);I.push(me),v.add(new fwt(this._editors.modified,me,Y))}}else{{const ae=ro(this,ye=>Y.getHiddenOriginalRange(ye).startLineNumber-1),me=new pq(ae,24);w.push(me),v.add(new hwt(this._editors.original,me,Y,Y.originalUnchangedRange,!P,x,ye=>this._diffModel.get().ensureModifiedLineIsVisible(ye,2,void 0),this._options))}{const ae=ro(this,ye=>Y.getHiddenModifiedRange(ye).startLineNumber-1),me=new pq(ae,24);I.push(me),v.add(new hwt(this._editors.modified,me,Y,Y.modifiedUnchangedRange,!1,x,ye=>this._diffModel.get().ensureModifiedLineIsVisible(ye,2,void 0),this._options))}}}return{origViewZones:w,modViewZones:I}});const d={description:"unchanged lines",className:"diff-unchanged-lines",isWholeLine:!0},f={description:"Fold Unchanged",glyphMarginHoverMessage:new m1(void 0,{isTrusted:!0,supportThemeIcons:!0}).appendMarkdown(W("foldUnchanged","Fold Unchanged Region")),glyphMarginClassName:"fold-unchanged "+zo.asClassName(pr.fold),zIndex:10001};this._register(Ove(this._editors.original,ro(this,m=>{const v=c.read(m),x=v.map(w=>({range:w.originalUnchangedRange.toInclusiveRange(),options:d}));for(const w of v)w.shouldHideControls(m)&&x.push({range:nt.fromPositions(new pi(w.originalLineNumber,1)),options:f});return x}))),this._register(Ove(this._editors.modified,ro(this,m=>{const v=c.read(m),x=v.map(w=>({range:w.modifiedUnchangedRange.toInclusiveRange(),options:d}));for(const w of v)w.shouldHideControls(m)&&x.push({range:Za.ofLength(w.modifiedLineNumber,1).toInclusiveRange(),options:f});return x}))),this._register(ba(m=>{const v=c.read(m);this._isUpdatingHiddenAreas=!0;try{this._editors.original.setHiddenAreas(v.map(x=>x.getHiddenOriginalRange(m).toInclusiveRange()).filter(xL)),this._editors.modified.setHiddenAreas(v.map(x=>x.getHiddenModifiedRange(m).toInclusiveRange()).filter(xL))}finally{this._isUpdatingHiddenAreas=!1}})),this._register(this._editors.modified.onMouseUp(m=>{var v;if(!m.event.rightButton&&m.target.position&&((v=m.target.element)!=null&&v.className.includes("fold-unchanged"))){const x=m.target.position.lineNumber,w=this._diffModel.get();if(!w)return;const I=w.unchangedRegions.get().find(P=>P.modifiedUnchangedRange.includes(x));if(!I)return;I.collapseAll(void 0),m.event.stopPropagation(),m.event.preventDefault()}})),this._register(this._editors.original.onMouseUp(m=>{var v;if(!m.event.rightButton&&m.target.position&&((v=m.target.element)!=null&&v.className.includes("fold-unchanged"))){const x=m.target.position.lineNumber,w=this._diffModel.get();if(!w)return;const I=w.unchangedRegions.get().find(P=>P.originalUnchangedRange.includes(x));if(!I)return;I.collapseAll(void 0),m.event.stopPropagation(),m.event.preventDefault()}}))}},E1e=A9,A9._breadcrumbsSourceFactory=bc(E1e,()=>({dispose(){},getBreadcrumbItems(e,i){return[]}})),A9);Vve=E1e=UTi([qTi(3,ho)],Vve);class fwt extends dGe{constructor(e,i,n,s=!1){const c=Rc("div.diff-hidden-lines-widget");super(e,i,c.root),this._unchangedRegion=n,this._hide=s,this._nodes=Rc("div.diff-hidden-lines-compact",[Rc("div.line-left",[]),Rc("div.text@text",[]),Rc("div.line-right",[])]),c.root.appendChild(this._nodes.root),this._hide&&this._nodes.root.replaceChildren(),this._register(ba(d=>{if(!this._hide){const f=this._unchangedRegion.getHiddenModifiedRange(d).length,m=W("hiddenLines","{0} hidden lines",f);this._nodes.text.innerText=m}}))}}class hwt extends dGe{constructor(e,i,n,s,c,d,f,m){const v=Rc("div.diff-hidden-lines-widget");super(e,i,v.root),this._editor=e,this._unchangedRegion=n,this._unchangedRegionRange=s,this._hide=c,this._modifiedOutlineSource=d,this._revealModifiedHiddenLine=f,this._options=m,this._nodes=Rc("div.diff-hidden-lines",[Rc("div.top@top",{title:W("diff.hiddenLines.top","Click or drag to show more above")}),Rc("div.center@content",{style:{display:"flex"}},[Rc("div@first",{style:{display:"flex",justifyContent:"center",alignItems:"center",flexShrink:"0"}},[Cr("a",{title:W("showUnchangedRegion","Show Unchanged Region"),role:"button",onclick:()=>{this._unchangedRegion.showAll(void 0)}},...JM("$(unfold)"))]),Rc("div@others",{style:{display:"flex",justifyContent:"center",alignItems:"center"}})]),Rc("div.bottom@bottom",{title:W("diff.bottom","Click or drag to show more below"),role:"button"})]),v.root.appendChild(this._nodes.root),this._hide?o0(this._nodes.first):this._register(f6(this._nodes.first,{width:zC(this._editor).layoutInfoContentLeft})),this._register(ba(w=>{const I=this._unchangedRegion.visibleLineCountTop.read(w)+this._unchangedRegion.visibleLineCountBottom.read(w)===this._unchangedRegion.lineCount;this._nodes.bottom.classList.toggle("canMoveTop",!I),this._nodes.bottom.classList.toggle("canMoveBottom",this._unchangedRegion.visibleLineCountBottom.read(w)>0),this._nodes.top.classList.toggle("canMoveTop",this._unchangedRegion.visibleLineCountTop.read(w)>0),this._nodes.top.classList.toggle("canMoveBottom",!I);const P=this._unchangedRegion.isDragged.read(w),O=this._editor.getDomNode();O&&(O.classList.toggle("draggingUnchangedRegion",!!P),P==="top"?(O.classList.toggle("canMoveTop",this._unchangedRegion.visibleLineCountTop.read(w)>0),O.classList.toggle("canMoveBottom",!I)):P==="bottom"?(O.classList.toggle("canMoveTop",!I),O.classList.toggle("canMoveBottom",this._unchangedRegion.visibleLineCountBottom.read(w)>0)):(O.classList.toggle("canMoveTop",!1),O.classList.toggle("canMoveBottom",!1)))}));const x=this._editor;this._register(en(this._nodes.top,"mousedown",w=>{if(w.button!==0)return;this._nodes.top.classList.toggle("dragging",!0),this._nodes.root.classList.toggle("dragging",!0),w.preventDefault();const I=w.clientY;let P=!1;const O=this._unchangedRegion.visibleLineCountTop.get();this._unchangedRegion.isDragged.set("top",void 0);const z=Eo(this._nodes.top),J=en(z,"mousemove",ae=>{const ye=ae.clientY-I;P=P||Math.abs(ye)>2;const Ce=Math.round(ye/x.getOption(67)),Fe=Math.max(0,Math.min(O+Ce,this._unchangedRegion.getMaxVisibleLineCountTop()));this._unchangedRegion.visibleLineCountTop.set(Fe,void 0)}),Y=en(z,"mouseup",ae=>{P||this._unchangedRegion.showMoreAbove(this._options.hideUnchangedRegionsRevealLineCount.get(),void 0),this._nodes.top.classList.toggle("dragging",!1),this._nodes.root.classList.toggle("dragging",!1),this._unchangedRegion.isDragged.set(void 0,void 0),J.dispose(),Y.dispose()})})),this._register(en(this._nodes.bottom,"mousedown",w=>{if(w.button!==0)return;this._nodes.bottom.classList.toggle("dragging",!0),this._nodes.root.classList.toggle("dragging",!0),w.preventDefault();const I=w.clientY;let P=!1;const O=this._unchangedRegion.visibleLineCountBottom.get();this._unchangedRegion.isDragged.set("bottom",void 0);const z=Eo(this._nodes.bottom),J=en(z,"mousemove",ae=>{const ye=ae.clientY-I;P=P||Math.abs(ye)>2;const Ce=Math.round(ye/x.getOption(67)),Fe=Math.max(0,Math.min(O-Ce,this._unchangedRegion.getMaxVisibleLineCountBottom())),rt=this._unchangedRegionRange.endLineNumberExclusive>x.getModel().getLineCount()?x.getContentHeight():x.getTopForLineNumber(this._unchangedRegionRange.endLineNumberExclusive);this._unchangedRegion.visibleLineCountBottom.set(Fe,void 0);const ct=this._unchangedRegionRange.endLineNumberExclusive>x.getModel().getLineCount()?x.getContentHeight():x.getTopForLineNumber(this._unchangedRegionRange.endLineNumberExclusive);x.setScrollTop(x.getScrollTop()+(ct-rt))}),Y=en(z,"mouseup",ae=>{if(this._unchangedRegion.isDragged.set(void 0,void 0),!P){const me=x.getTopForLineNumber(this._unchangedRegionRange.endLineNumberExclusive);this._unchangedRegion.showMoreBelow(this._options.hideUnchangedRegionsRevealLineCount.get(),void 0);const ye=x.getTopForLineNumber(this._unchangedRegionRange.endLineNumberExclusive);x.setScrollTop(x.getScrollTop()+(ye-me))}this._nodes.bottom.classList.toggle("dragging",!1),this._nodes.root.classList.toggle("dragging",!1),J.dispose(),Y.dispose()})})),this._register(ba(w=>{const I=[];if(!this._hide){const P=n.getHiddenModifiedRange(w).length,O=W("hiddenLines","{0} hidden lines",P),z=Cr("span",{title:W("diff.hiddenLines.expandAll","Double click to unfold")},O);z.addEventListener("dblclick",ae=>{ae.button===0&&(ae.preventDefault(),this._unchangedRegion.showAll(void 0))}),I.push(z);const J=this._unchangedRegion.getHiddenModifiedRange(w),Y=this._modifiedOutlineSource.getBreadcrumbItems(J,w);if(Y.length>0){I.push(Cr("span",void 0,"  |  "));for(let ae=0;ae<Y.length;ae++){const me=Y[ae],ye=Y1e.toIcon(me.kind),Ce=Rc("div.breadcrumb-item",{style:{display:"flex",alignItems:"center"}},[IB(ye)," ",me.name,...ae===Y.length-1?[]:[IB(pr.chevronRight)]]).root;I.push(Ce),Ce.onclick=()=>{this._revealModifiedHiddenLine(me.startLineNumber)}}}}o0(this._nodes.others,...I)}))}}var $Ti=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},JTi=function(l,e){return function(i,n){e(i,n,l)}},fE,G4;let Lre=(G4=class extends xi{constructor(e,i,n,s,c,d,f){super(),this._editors=e,this._rootElement=i,this._diffModel=n,this._rootWidth=s,this._rootHeight=c,this._modifiedEditorLayoutInfo=d,this._themeService=f,this.width=fE.ENTIRE_DIFF_OVERVIEW_WIDTH;const m=Tu(this._themeService.onDidColorThemeChange,()=>this._themeService.getColorTheme()),v=ro(I=>{const P=m.read(I),O=P.getColor(_pi)||(P.getColor(fpi)||nVe).transparent(2),z=P.getColor(ppi)||(P.getColor(hpi)||rVe).transparent(2);return{insertColor:O,removeColor:z}}),x=_u(document.createElement("div"));x.setClassName("diffViewport"),x.setPosition("absolute");const w=Rc("div.diffOverview",{style:{position:"absolute",top:"0px",width:fE.ENTIRE_DIFF_OVERVIEW_WIDTH+"px"}}).root;this._register(_q(w,x.domNode)),this._register(ph(w,br.POINTER_DOWN,I=>{this._editors.modified.delegateVerticalScrollbarPointerDown(I)})),this._register(en(w,br.MOUSE_WHEEL,I=>{this._editors.modified.delegateScrollFromMouseWheelEvent(I)},{passive:!1})),this._register(_q(this._rootElement,w)),this._register(Ib((I,P)=>{const O=this._diffModel.read(I),z=this._editors.original.createOverviewRuler("original diffOverviewRuler");z&&(P.add(z),P.add(_q(w,z.getDomNode())));const J=this._editors.modified.createOverviewRuler("modified diffOverviewRuler");if(J&&(P.add(J),P.add(_q(w,J.getDomNode()))),!z||!J)return;const Y=k0("viewZoneChanged",this._editors.original.onDidChangeViewZones),ae=k0("viewZoneChanged",this._editors.modified.onDidChangeViewZones),me=k0("hiddenRangesChanged",this._editors.original.onDidChangeHiddenAreas),ye=k0("hiddenRangesChanged",this._editors.modified.onDidChangeHiddenAreas);P.add(ba(Ce=>{var Bi;Y.read(Ce),ae.read(Ce),me.read(Ce),ye.read(Ce);const Fe=v.read(Ce),rt=(Bi=O==null?void 0:O.diff.read(Ce))==null?void 0:Bi.mappings;function ct($i,$t,Hi){const An=Hi._getViewModel();return An?$i.filter(qt=>qt.length>0).map(qt=>{const ms=An.coordinatesConverter.convertModelPositionToViewPosition(new pi(qt.startLineNumber,1)),Wr=An.coordinatesConverter.convertModelPositionToViewPosition(new pi(qt.endLineNumberExclusive,1)),Dr=Wr.lineNumber-ms.lineNumber;return new IIt(ms.lineNumber,Wr.lineNumber,Dr,$t.toString())}):[]}const Mt=ct((rt||[]).map($i=>$i.lineRangeMapping.original),Fe.removeColor,this._editors.original),Yt=ct((rt||[]).map($i=>$i.lineRangeMapping.modified),Fe.insertColor,this._editors.modified);z==null||z.setZones(Mt),J==null||J.setZones(Yt)})),P.add(ba(Ce=>{const Fe=this._rootHeight.read(Ce),rt=this._rootWidth.read(Ce),ct=this._modifiedEditorLayoutInfo.read(Ce);if(ct){const Mt=fE.ENTIRE_DIFF_OVERVIEW_WIDTH-2*fE.ONE_OVERVIEW_WIDTH;z.setLayout({top:0,height:Fe,right:Mt+fE.ONE_OVERVIEW_WIDTH,width:fE.ONE_OVERVIEW_WIDTH}),J.setLayout({top:0,height:Fe,right:0,width:fE.ONE_OVERVIEW_WIDTH});const Yt=this._editors.modifiedScrollTop.read(Ce),Bi=this._editors.modifiedScrollHeight.read(Ce),$i=this._editors.modified.getOption(104),$t=new O$($i.verticalHasArrows?$i.arrowSize:0,$i.verticalScrollbarSize,0,ct.height,Bi,Yt);x.setTop($t.getSliderPosition()),x.setHeight($t.getSliderSize())}else x.setTop(0),x.setHeight(0);w.style.height=Fe+"px",w.style.left=rt-fE.ENTIRE_DIFF_OVERVIEW_WIDTH+"px",x.setWidth(fE.ENTIRE_DIFF_OVERVIEW_WIDTH)}))}))}},fE=G4,G4.ONE_OVERVIEW_WIDTH=15,G4.ENTIRE_DIFF_OVERVIEW_WIDTH=G4.ONE_OVERVIEW_WIDTH*2,G4);Lre=fE=$Ti([JTi(6,im)],Lre);const w9e=[];class GTi extends xi{constructor(e,i,n,s){super(),this._editors=e,this._diffModel=i,this._options=n,this._widget=s,this._selectedDiffs=ro(this,c=>{const d=this._diffModel.read(c),f=d==null?void 0:d.diff.read(c);if(!f)return w9e;const m=this._editors.modifiedSelections.read(c);if(m.every(I=>I.isEmpty()))return w9e;const v=new _k(m.map(I=>Za.fromRangeInclusive(I))),w=f.mappings.filter(I=>I.lineRangeMapping.innerChanges&&v.intersects(I.lineRangeMapping.modified)).map(I=>({mapping:I,rangeMappings:I.lineRangeMapping.innerChanges.filter(P=>m.some(O=>nt.areIntersecting(P.modifiedRange,O)))}));return w.length===0||w.every(I=>I.rangeMappings.length===0)?w9e:w}),this._register(Ib((c,d)=>{if(!this._options.shouldRenderOldRevertArrows.read(c))return;const f=this._diffModel.read(c),m=f==null?void 0:f.diff.read(c);if(!f||!m||f.movedTextToCompare.read(c))return;const v=[],x=this._selectedDiffs.read(c),w=new Set(x.map(I=>I.mapping));if(x.length>0){const I=this._editors.modifiedSelections.read(c),P=d.add(new Hve(I[I.length-1].positionLineNumber,this._widget,x.flatMap(O=>O.rangeMappings),!0));this._editors.modified.addGlyphMarginWidget(P),v.push(P)}for(const I of m.mappings)if(!w.has(I)&&!I.lineRangeMapping.modified.isEmpty&&I.lineRangeMapping.innerChanges){const P=d.add(new Hve(I.lineRangeMapping.modified.startLineNumber,this._widget,I.lineRangeMapping,!1));this._editors.modified.addGlyphMarginWidget(P),v.push(P)}d.add(fo(()=>{for(const I of v)this._editors.modified.removeGlyphMarginWidget(I)}))}))}}const MCe=class MCe extends xi{getId(){return this._id}constructor(e,i,n,s){super(),this._lineNumber=e,this._widget=i,this._diffs=n,this._revertSelection=s,this._id=`revertButton${MCe.counter++}`,this._domNode=Rc("div.revertButton",{title:this._revertSelection?W("revertSelectedChanges","Revert Selected Changes"):W("revertChange","Revert Change")},[IB(pr.arrowRight)]).root,this._register(en(this._domNode,br.MOUSE_DOWN,c=>{c.button!==2&&(c.stopPropagation(),c.preventDefault())})),this._register(en(this._domNode,br.MOUSE_UP,c=>{c.stopPropagation(),c.preventDefault()})),this._register(en(this._domNode,br.CLICK,c=>{this._diffs instanceof wy?this._widget.revert(this._diffs):this._widget.revertRangeMappings(this._diffs),c.stopPropagation(),c.preventDefault()}))}getDomNode(){return this._domNode}getPosition(){return{lane:CT.Right,range:{startColumn:1,startLineNumber:this._lineNumber,endColumn:1,endLineNumber:this._lineNumber},zIndex:10001}}};MCe.counter=0;let Hve=MCe;function KTi(l,e,i){return p1i({debugName:()=>`Configuration Key "${l}"`},n=>i.onDidChangeConfiguration(s=>{s.affectsConfiguration(l)&&n(s)}),()=>i.getValue(l)??e)}function _E(l,e,i){const n=l.bindTo(e);return kse({debugName:()=>`Set Context Key "${l.key}"`},s=>{n.set(i(s))})}var XTi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},_wt=function(l,e){return function(i,n){e(i,n,l)}};let Mje=class extends xi{get onDidContentSizeChange(){return this._onDidContentSizeChange.event}constructor(e,i,n,s,c,d,f){super(),this.originalEditorElement=e,this.modifiedEditorElement=i,this._options=n,this._argCodeEditorWidgetOptions=s,this._createInnerEditor=c,this._instantiationService=d,this._keybindingService=f,this.original=this._register(this._createLeftHandSideEditor(this._options.editorOptions.get(),this._argCodeEditorWidgetOptions.originalEditor||{})),this.modified=this._register(this._createRightHandSideEditor(this._options.editorOptions.get(),this._argCodeEditorWidgetOptions.modifiedEditor||{})),this._onDidContentSizeChange=this._register(new gi),this.modifiedScrollTop=Tu(this,this.modified.onDidScrollChange,()=>this.modified.getScrollTop()),this.modifiedScrollHeight=Tu(this,this.modified.onDidScrollChange,()=>this.modified.getScrollHeight()),this.modifiedObs=zC(this.modified),this.originalObs=zC(this.original),this.modifiedModel=this.modifiedObs.model,this.modifiedSelections=Tu(this,this.modified.onDidChangeCursorSelection,()=>this.modified.getSelections()??[]),this.modifiedCursor=mw({owner:this,equalsFn:pi.equals},m=>{var v;return((v=this.modifiedSelections.read(m)[0])==null?void 0:v.getPosition())??new pi(1,1)}),this.originalCursor=Tu(this,this.original.onDidChangeCursorPosition,()=>this.original.getPosition()??new pi(1,1)),this._argCodeEditorWidgetOptions=null,this._register(Tse({createEmptyChangeSummary:()=>({}),handleChange:(m,v)=>(m.didChange(n.editorOptions)&&Object.assign(v,m.change.changedOptions),!0)},(m,v)=>{n.editorOptions.read(m),this._options.renderSideBySide.read(m),this.modified.updateOptions(this._adjustOptionsForRightHandSide(m,v)),this.original.updateOptions(this._adjustOptionsForLeftHandSide(m,v))}))}_createLeftHandSideEditor(e,i){const n=this._adjustOptionsForLeftHandSide(void 0,e),s=this._constructInnerEditor(this._instantiationService,this.originalEditorElement,n,i);return s.setContextValue("isInDiffLeftEditor",!0),s}_createRightHandSideEditor(e,i){const n=this._adjustOptionsForRightHandSide(void 0,e),s=this._constructInnerEditor(this._instantiationService,this.modifiedEditorElement,n,i);return s.setContextValue("isInDiffRightEditor",!0),s}_constructInnerEditor(e,i,n,s){const c=this._createInnerEditor(e,i,n,s);return this._register(c.onDidContentSizeChange(d=>{const f=this.original.getContentWidth()+this.modified.getContentWidth()+Lre.ENTIRE_DIFF_OVERVIEW_WIDTH,m=Math.max(this.modified.getContentHeight(),this.original.getContentHeight());this._onDidContentSizeChange.fire({contentHeight:m,contentWidth:f,contentHeightChanged:d.contentHeightChanged,contentWidthChanged:d.contentWidthChanged})})),c}_adjustOptionsForLeftHandSide(e,i){const n=this._adjustOptionsForSubEditor(i);return this._options.renderSideBySide.get()?(n.unicodeHighlight=this._options.editorOptions.get().unicodeHighlight||{},n.wordWrapOverride1=this._options.diffWordWrap.get()):(n.wordWrapOverride1="off",n.wordWrapOverride2="off",n.stickyScroll={enabled:!1},n.unicodeHighlight={nonBasicASCII:!1,ambiguousCharacters:!1,invisibleCharacters:!1}),n.glyphMargin=this._options.renderSideBySide.get(),i.originalAriaLabel&&(n.ariaLabel=i.originalAriaLabel),n.ariaLabel=this._updateAriaLabel(n.ariaLabel),n.readOnly=!this._options.originalEditable.get(),n.dropIntoEditor={enabled:!n.readOnly},n.extraEditorClassName="original-in-monaco-diff-editor",n}_adjustOptionsForRightHandSide(e,i){const n=this._adjustOptionsForSubEditor(i);return i.modifiedAriaLabel&&(n.ariaLabel=i.modifiedAriaLabel),n.ariaLabel=this._updateAriaLabel(n.ariaLabel),n.wordWrapOverride1=this._options.diffWordWrap.get(),n.revealHorizontalRightPadding=KE.revealHorizontalRightPadding.defaultValue+Lre.ENTIRE_DIFF_OVERVIEW_WIDTH,n.scrollbar.verticalHasArrows=!1,n.extraEditorClassName="modified-in-monaco-diff-editor",n}_adjustOptionsForSubEditor(e){const i={...e,dimension:{height:0,width:0}};return i.inDiffEditor=!0,i.automaticLayout=!1,i.scrollbar={...i.scrollbar||{}},i.folding=!1,i.codeLens=this._options.diffCodeLens.get(),i.fixedOverflowWidgets=!0,i.minimap={...i.minimap||{}},i.minimap.enabled=!1,this._options.hideUnchangedRegions.get()?i.stickyScroll={enabled:!1}:i.stickyScroll=this._options.editorOptions.get().stickyScroll,i}_updateAriaLabel(e){var n;e||(e="");const i=W("diff-aria-navigation-tip"," use {0} to open the accessibility help.",(n=this._keybindingService.lookupKeybinding("editor.action.accessibilityHelp"))==null?void 0:n.getAriaLabel());return this._options.accessibilityVerbose.get()?e+i:e?e.replaceAll(i,""):""}};Mje=XTi([_wt(5,ho),_wt(6,pu)],Mje);const RCe=class RCe extends xi{constructor(){super(...arguments),this._id=++RCe.idCounter,this._onDidDispose=this._register(new gi),this.onDidDispose=this._onDidDispose.event}getId(){return this.getEditorType()+":v2:"+this._id}getVisibleColumnFromPosition(e){return this._targetEditor.getVisibleColumnFromPosition(e)}getPosition(){return this._targetEditor.getPosition()}setPosition(e,i="api"){this._targetEditor.setPosition(e,i)}revealLine(e,i=0){this._targetEditor.revealLine(e,i)}revealLineInCenter(e,i=0){this._targetEditor.revealLineInCenter(e,i)}revealLineInCenterIfOutsideViewport(e,i=0){this._targetEditor.revealLineInCenterIfOutsideViewport(e,i)}revealLineNearTop(e,i=0){this._targetEditor.revealLineNearTop(e,i)}revealPosition(e,i=0){this._targetEditor.revealPosition(e,i)}revealPositionInCenter(e,i=0){this._targetEditor.revealPositionInCenter(e,i)}revealPositionInCenterIfOutsideViewport(e,i=0){this._targetEditor.revealPositionInCenterIfOutsideViewport(e,i)}revealPositionNearTop(e,i=0){this._targetEditor.revealPositionNearTop(e,i)}getSelection(){return this._targetEditor.getSelection()}getSelections(){return this._targetEditor.getSelections()}setSelection(e,i="api"){this._targetEditor.setSelection(e,i)}setSelections(e,i="api"){this._targetEditor.setSelections(e,i)}revealLines(e,i,n=0){this._targetEditor.revealLines(e,i,n)}revealLinesInCenter(e,i,n=0){this._targetEditor.revealLinesInCenter(e,i,n)}revealLinesInCenterIfOutsideViewport(e,i,n=0){this._targetEditor.revealLinesInCenterIfOutsideViewport(e,i,n)}revealLinesNearTop(e,i,n=0){this._targetEditor.revealLinesNearTop(e,i,n)}revealRange(e,i=0,n=!1,s=!0){this._targetEditor.revealRange(e,i,n,s)}revealRangeInCenter(e,i=0){this._targetEditor.revealRangeInCenter(e,i)}revealRangeInCenterIfOutsideViewport(e,i=0){this._targetEditor.revealRangeInCenterIfOutsideViewport(e,i)}revealRangeNearTop(e,i=0){this._targetEditor.revealRangeNearTop(e,i)}revealRangeNearTopIfOutsideViewport(e,i=0){this._targetEditor.revealRangeNearTopIfOutsideViewport(e,i)}revealRangeAtTop(e,i=0){this._targetEditor.revealRangeAtTop(e,i)}getSupportedActions(){return this._targetEditor.getSupportedActions()}focus(){this._targetEditor.focus()}trigger(e,i,n){this._targetEditor.trigger(e,i,n)}createDecorationsCollection(e){return this._targetEditor.createDecorationsCollection(e)}changeDecorations(e){return this._targetEditor.changeDecorations(e)}};RCe.idCounter=0;let Rje=RCe;var QTi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},ZTi=function(l,e){return function(i,n){e(i,n,l)}};let Fje=class{get editorOptions(){return this._options}constructor(e,i){this._accessibilityService=i,this._diffEditorWidth=bc(this,0),this._screenReaderMode=Tu(this,this._accessibilityService.onDidChangeScreenReaderOptimized,()=>this._accessibilityService.isScreenReaderOptimized()),this.couldShowInlineViewBecauseOfSize=ro(this,s=>this._options.read(s).renderSideBySide&&this._diffEditorWidth.read(s)<=this._options.read(s).renderSideBySideInlineBreakpoint),this.renderOverviewRuler=ro(this,s=>this._options.read(s).renderOverviewRuler),this.renderSideBySide=ro(this,s=>this.compactMode.read(s)&&this.shouldRenderInlineViewInSmartMode.read(s)?!1:this._options.read(s).renderSideBySide&&!(this._options.read(s).useInlineViewWhenSpaceIsLimited&&this.couldShowInlineViewBecauseOfSize.read(s)&&!this._screenReaderMode.read(s))),this.readOnly=ro(this,s=>this._options.read(s).readOnly),this.shouldRenderOldRevertArrows=ro(this,s=>!(!this._options.read(s).renderMarginRevertIcon||!this.renderSideBySide.read(s)||this.readOnly.read(s)||this.shouldRenderGutterMenu.read(s))),this.shouldRenderGutterMenu=ro(this,s=>this._options.read(s).renderGutterMenu),this.renderIndicators=ro(this,s=>this._options.read(s).renderIndicators),this.enableSplitViewResizing=ro(this,s=>this._options.read(s).enableSplitViewResizing),this.splitViewDefaultRatio=ro(this,s=>this._options.read(s).splitViewDefaultRatio),this.ignoreTrimWhitespace=ro(this,s=>this._options.read(s).ignoreTrimWhitespace),this.maxComputationTimeMs=ro(this,s=>this._options.read(s).maxComputationTime),this.showMoves=ro(this,s=>this._options.read(s).experimental.showMoves&&this.renderSideBySide.read(s)),this.isInEmbeddedEditor=ro(this,s=>this._options.read(s).isInEmbeddedEditor),this.diffWordWrap=ro(this,s=>this._options.read(s).diffWordWrap),this.originalEditable=ro(this,s=>this._options.read(s).originalEditable),this.diffCodeLens=ro(this,s=>this._options.read(s).diffCodeLens),this.accessibilityVerbose=ro(this,s=>this._options.read(s).accessibilityVerbose),this.diffAlgorithm=ro(this,s=>this._options.read(s).diffAlgorithm),this.showEmptyDecorations=ro(this,s=>this._options.read(s).experimental.showEmptyDecorations),this.onlyShowAccessibleDiffViewer=ro(this,s=>this._options.read(s).onlyShowAccessibleDiffViewer),this.compactMode=ro(this,s=>this._options.read(s).compactMode),this.trueInlineDiffRenderingEnabled=ro(this,s=>this._options.read(s).experimental.useTrueInlineView),this.useTrueInlineDiffRendering=ro(this,s=>!this.renderSideBySide.read(s)&&this.trueInlineDiffRenderingEnabled.read(s)),this.hideUnchangedRegions=ro(this,s=>this._options.read(s).hideUnchangedRegions.enabled),this.hideUnchangedRegionsRevealLineCount=ro(this,s=>this._options.read(s).hideUnchangedRegions.revealLineCount),this.hideUnchangedRegionsContextLineCount=ro(this,s=>this._options.read(s).hideUnchangedRegions.contextLineCount),this.hideUnchangedRegionsMinimumLineCount=ro(this,s=>this._options.read(s).hideUnchangedRegions.minimumLineCount),this._model=bc(this,void 0),this.shouldRenderInlineViewInSmartMode=this._model.map(this,s=>v1i(this,c=>{const d=s==null?void 0:s.diff.read(c);return d?YTi(d,this.trueInlineDiffRenderingEnabled.read(c)):void 0})).flatten().map(this,s=>!!s),this.inlineViewHideOriginalLineNumbers=this.compactMode;const n={...e,...pwt(e,b0)};this._options=bc(this,n)}updateOptions(e){const i=pwt(e,this._options.get()),n={...this._options.get(),...e,...i};this._options.set(n,void 0,{changedOptions:e})}setWidth(e){this._diffEditorWidth.set(e,void 0)}setModel(e){this._model.set(e,void 0)}};Fje=QTi([ZTi(1,tS)],Fje);function YTi(l,e){return l.mappings.every(i=>eDi(i.lineRangeMapping)||tDi(i.lineRangeMapping)||e&&bGe(i.lineRangeMapping))}function eDi(l){return l.original.length===0}function tDi(l){return l.modified.length===0}function pwt(l,e){var i,n,s,c,d,f,m,v;return{enableSplitViewResizing:lo(l.enableSplitViewResizing,e.enableSplitViewResizing),splitViewDefaultRatio:oci(l.splitViewDefaultRatio,.5,.1,.9),renderSideBySide:lo(l.renderSideBySide,e.renderSideBySide),renderMarginRevertIcon:lo(l.renderMarginRevertIcon,e.renderMarginRevertIcon),maxComputationTime:kF(l.maxComputationTime,e.maxComputationTime,0,1073741824),maxFileSize:kF(l.maxFileSize,e.maxFileSize,0,1073741824),ignoreTrimWhitespace:lo(l.ignoreTrimWhitespace,e.ignoreTrimWhitespace),renderIndicators:lo(l.renderIndicators,e.renderIndicators),originalEditable:lo(l.originalEditable,e.originalEditable),diffCodeLens:lo(l.diffCodeLens,e.diffCodeLens),renderOverviewRuler:lo(l.renderOverviewRuler,e.renderOverviewRuler),diffWordWrap:Ah(l.diffWordWrap,e.diffWordWrap,["off","on","inherit"]),diffAlgorithm:Ah(l.diffAlgorithm,e.diffAlgorithm,["legacy","advanced"],{smart:"legacy",experimental:"advanced"}),accessibilityVerbose:lo(l.accessibilityVerbose,e.accessibilityVerbose),experimental:{showMoves:lo((i=l.experimental)==null?void 0:i.showMoves,e.experimental.showMoves),showEmptyDecorations:lo((n=l.experimental)==null?void 0:n.showEmptyDecorations,e.experimental.showEmptyDecorations),useTrueInlineView:lo((s=l.experimental)==null?void 0:s.useTrueInlineView,e.experimental.useTrueInlineView)},hideUnchangedRegions:{enabled:lo(((c=l.hideUnchangedRegions)==null?void 0:c.enabled)??((d=l.experimental)==null?void 0:d.collapseUnchangedRegions),e.hideUnchangedRegions.enabled),contextLineCount:kF((f=l.hideUnchangedRegions)==null?void 0:f.contextLineCount,e.hideUnchangedRegions.contextLineCount,0,1073741824),minimumLineCount:kF((m=l.hideUnchangedRegions)==null?void 0:m.minimumLineCount,e.hideUnchangedRegions.minimumLineCount,0,1073741824),revealLineCount:kF((v=l.hideUnchangedRegions)==null?void 0:v.revealLineCount,e.hideUnchangedRegions.revealLineCount,0,1073741824)},isInEmbeddedEditor:lo(l.isInEmbeddedEditor,e.isInEmbeddedEditor),onlyShowAccessibleDiffViewer:lo(l.onlyShowAccessibleDiffViewer,e.onlyShowAccessibleDiffViewer),renderSideBySideInlineBreakpoint:kF(l.renderSideBySideInlineBreakpoint,e.renderSideBySideInlineBreakpoint,0,1073741824),useInlineViewWhenSpaceIsLimited:lo(l.useInlineViewWhenSpaceIsLimited,e.useInlineViewWhenSpaceIsLimited),renderGutterMenu:lo(l.renderGutterMenu,e.renderGutterMenu),compactMode:lo(l.compactMode,e.compactMode)}}var iDi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},$te=function(l,e){return function(i,n){e(i,n,l)}};let h6=class extends Rje{get onDidContentSizeChange(){return this._editors.onDidContentSizeChange}constructor(e,i,n,s,c,d,f,m){super(),this._domElement=e,this._parentContextKeyService=s,this._parentInstantiationService=c,this._accessibilitySignalService=f,this._editorProgressService=m,this.elements=Rc("div.monaco-diff-editor.side-by-side",{style:{position:"relative",height:"100%"}},[Rc("div.editor.original@original",{style:{position:"absolute",height:"100%"}}),Rc("div.editor.modified@modified",{style:{position:"absolute",height:"100%"}}),Rc("div.accessibleDiffViewer@accessibleDiffViewer",{style:{position:"absolute",height:"100%"}})]),this._diffModelSrc=this._register(sre(this,void 0)),this._diffModel=ro(this,ye=>{var Ce;return(Ce=this._diffModelSrc.read(ye))==null?void 0:Ce.object}),this.onDidChangeModel=Tr.fromObservableLight(this._diffModel),this._contextKeyService=this._register(this._parentContextKeyService.createScoped(this._domElement)),this._instantiationService=this._register(this._parentInstantiationService.createChild(new IJ([Ko,this._contextKeyService]))),this._boundarySashes=bc(this,void 0),this._accessibleDiffViewerShouldBeVisible=bc(this,!1),this._accessibleDiffViewerVisible=ro(this,ye=>this._options.onlyShowAccessibleDiffViewer.read(ye)?!0:this._accessibleDiffViewerShouldBeVisible.read(ye)),this._movedBlocksLinesPart=bc(this,void 0),this._layoutInfo=ro(this,ye=>{var ms,Wr;const Ce=this._rootSizeObserver.width.read(ye),Fe=this._rootSizeObserver.height.read(ye);this._rootSizeObserver.automaticLayout?this.elements.root.style.height="100%":this.elements.root.style.height=Fe+"px";const rt=this._sash.read(ye),ct=this._gutter.read(ye),Mt=(ct==null?void 0:ct.width.read(ye))??0,Yt=((ms=this._overviewRulerPart.read(ye))==null?void 0:ms.width)??0;let Bi,$i,$t,Hi,An;if(!!rt){const Dr=rt.sashLeft.read(ye),Rr=((Wr=this._movedBlocksLinesPart.read(ye))==null?void 0:Wr.width.read(ye))??0;Bi=0,$i=Dr-Mt-Rr,An=Dr-Mt,$t=Dr,Hi=Ce-$t-Yt}else{An=0;const Dr=this._options.inlineViewHideOriginalLineNumbers.read(ye);Bi=Mt,Dr?$i=0:$i=Math.max(5,this._editors.originalObs.layoutInfoDecorationsLeft.read(ye)),$t=Mt+$i,Hi=Ce-$t-Yt}return this.elements.original.style.left=Bi+"px",this.elements.original.style.width=$i+"px",this._editors.original.layout({width:$i,height:Fe},!0),ct==null||ct.layout(An),this.elements.modified.style.left=$t+"px",this.elements.modified.style.width=Hi+"px",this._editors.modified.layout({width:Hi,height:Fe},!0),{modifiedEditor:this._editors.modified.getLayoutInfo(),originalEditor:this._editors.original.getLayoutInfo()}}),this._diffValue=this._diffModel.map((ye,Ce)=>ye==null?void 0:ye.diff.read(Ce)),this.onDidUpdateDiff=Tr.fromObservableLight(this._diffValue),d.willCreateDiffEditor(),this._contextKeyService.createKey("isInDiffEditor",!0),this._domElement.appendChild(this.elements.root),this._register(fo(()=>this.elements.root.remove())),this._rootSizeObserver=this._register(new OIt(this.elements.root,i.dimension)),this._rootSizeObserver.setAutomaticLayout(i.automaticLayout??!1),this._options=this._instantiationService.createInstance(Fje,i),this._register(ba(ye=>{this._options.setWidth(this._rootSizeObserver.width.read(ye))})),this._contextKeyService.createKey(Tt.isEmbeddedDiffEditor.key,!1),this._register(_E(Tt.isEmbeddedDiffEditor,this._contextKeyService,ye=>this._options.isInEmbeddedEditor.read(ye))),this._register(_E(Tt.comparingMovedCode,this._contextKeyService,ye=>{var Ce;return!!((Ce=this._diffModel.read(ye))!=null&&Ce.movedTextToCompare.read(ye))})),this._register(_E(Tt.diffEditorRenderSideBySideInlineBreakpointReached,this._contextKeyService,ye=>this._options.couldShowInlineViewBecauseOfSize.read(ye))),this._register(_E(Tt.diffEditorInlineMode,this._contextKeyService,ye=>!this._options.renderSideBySide.read(ye))),this._register(_E(Tt.hasChanges,this._contextKeyService,ye=>{var Ce,Fe;return(((Fe=(Ce=this._diffModel.read(ye))==null?void 0:Ce.diff.read(ye))==null?void 0:Fe.mappings.length)??0)>0})),this._editors=this._register(this._instantiationService.createInstance(Mje,this.elements.original,this.elements.modified,this._options,n,(ye,Ce,Fe,rt)=>this._createInnerEditor(ye,Ce,Fe,rt))),this._register(_E(Tt.diffEditorOriginalWritable,this._contextKeyService,ye=>this._options.originalEditable.read(ye))),this._register(_E(Tt.diffEditorModifiedWritable,this._contextKeyService,ye=>!this._options.readOnly.read(ye))),this._register(_E(Tt.diffEditorOriginalUri,this._contextKeyService,ye=>{var Ce;return((Ce=this._diffModel.read(ye))==null?void 0:Ce.model.original.uri.toString())??""})),this._register(_E(Tt.diffEditorModifiedUri,this._contextKeyService,ye=>{var Ce;return((Ce=this._diffModel.read(ye))==null?void 0:Ce.model.modified.uri.toString())??""})),this._overviewRulerPart=Cy(this,ye=>this._options.renderOverviewRuler.read(ye)?this._instantiationService.createInstance(WC(Lre,ye),this._editors,this.elements.root,this._diffModel,this._rootSizeObserver.width,this._rootSizeObserver.height,this._layoutInfo.map(Ce=>Ce.modifiedEditor)):void 0).recomputeInitiallyAndOnChange(this._store);const v={height:this._rootSizeObserver.height,width:this._rootSizeObserver.width.map((ye,Ce)=>{var Fe;return ye-(((Fe=this._overviewRulerPart.read(Ce))==null?void 0:Fe.width)??0)})};this._sashLayout=new WTi(this._options,v),this._sash=Cy(this,ye=>{const Ce=this._options.renderSideBySide.read(ye);return this.elements.root.classList.toggle("side-by-side",Ce),Ce?new WIt(this.elements.root,v,this._options.enableSplitViewResizing,this._boundarySashes,this._sashLayout.sashLeft,()=>this._sashLayout.resetSash()):void 0}).recomputeInitiallyAndOnChange(this._store);const x=Cy(this,ye=>this._instantiationService.createInstance(WC(Vve,ye),this._editors,this._diffModel,this._options)).recomputeInitiallyAndOnChange(this._store);Cy(this,ye=>this._instantiationService.createInstance(WC(BTi,ye),this._editors,this._diffModel,this._options,this)).recomputeInitiallyAndOnChange(this._store);const w=new Set,I=new Set;let P=!1;const O=Cy(this,ye=>this._instantiationService.createInstance(WC(Nje,ye),Eo(this._domElement),this._editors,this._diffModel,this._options,this,()=>P||x.get().isUpdatingHiddenAreas,w,I)).recomputeInitiallyAndOnChange(this._store),z=ro(this,ye=>{const Ce=O.read(ye).viewZones.read(ye).orig,Fe=x.read(ye).viewZones.read(ye).origViewZones;return Ce.concat(Fe)}),J=ro(this,ye=>{const Ce=O.read(ye).viewZones.read(ye).mod,Fe=x.read(ye).viewZones.read(ye).modViewZones;return Ce.concat(Fe)});this._register(Mve(this._editors.original,z,ye=>{P=ye},w));let Y;this._register(Mve(this._editors.modified,J,ye=>{P=ye,P?Y=GE.capture(this._editors.modified):(Y==null||Y.restore(this._editors.modified),Y=void 0)},I)),this._accessibleDiffViewer=Cy(this,ye=>this._instantiationService.createInstance(WC(a9,ye),this.elements.accessibleDiffViewer,this._accessibleDiffViewerVisible,(Ce,Fe)=>this._accessibleDiffViewerShouldBeVisible.set(Ce,Fe),this._options.onlyShowAccessibleDiffViewer.map(Ce=>!Ce),this._rootSizeObserver.width,this._rootSizeObserver.height,this._diffModel.map((Ce,Fe)=>{var rt;return(rt=Ce==null?void 0:Ce.diff.read(Fe))==null?void 0:rt.mappings.map(ct=>ct.lineRangeMapping)}),new TTi(this._editors))).recomputeInitiallyAndOnChange(this._store);const ae=this._accessibleDiffViewerVisible.map(ye=>ye?"hidden":"visible");this._register(f6(this.elements.modified,{visibility:ae})),this._register(f6(this.elements.original,{visibility:ae})),this._createDiffEditorContributions(),d.addDiffEditor(this),this._gutter=Cy(this,ye=>this._options.shouldRenderGutterMenu.read(ye)?this._instantiationService.createInstance(WC(Lje,ye),this.elements.root,this._diffModel,this._editors,this._options,this._sashLayout,this._boundarySashes):void 0),this._register(kJ(this._layoutInfo)),Cy(this,ye=>new(WC(a$,ye))(this.elements.root,this._diffModel,this._layoutInfo.map(Ce=>Ce.originalEditor),this._layoutInfo.map(Ce=>Ce.modifiedEditor),this._editors)).recomputeInitiallyAndOnChange(this._store,ye=>{this._movedBlocksLinesPart.set(ye,void 0)}),this._register(Tr.runAndSubscribe(this._editors.modified.onDidChangeCursorPosition,ye=>this._handleCursorPositionChange(ye,!0))),this._register(Tr.runAndSubscribe(this._editors.original.onDidChangeCursorPosition,ye=>this._handleCursorPositionChange(ye,!1)));const me=this._diffModel.map(this,(ye,Ce)=>{if(ye)return ye.diff.read(Ce)===void 0&&!ye.isDiffUpToDate.read(Ce)});this._register(Ib((ye,Ce)=>{if(me.read(ye)===!0){const Fe=this._editorProgressService.show(!0,1e3);Ce.add(fo(()=>Fe.done()))}})),this._register(Ib((ye,Ce)=>{Ce.add(new(WC(GTi,ye))(this._editors,this._diffModel,this._options,this))})),this._register(Ib((ye,Ce)=>{const Fe=this._diffModel.read(ye);if(Fe)for(const rt of[Fe.model.original,Fe.model.modified])Ce.add(rt.onWillDispose(ct=>{Pa(new tu("TextModel got disposed before DiffEditorWidget model got reset")),this.setModel(null)}))})),this._register(ba(ye=>{this._options.setModel(this._diffModel.read(ye))}))}_createInnerEditor(e,i,n,s){return e.createInstance(Dre,i,n,s)}_createDiffEditorContributions(){const e=p9.getDiffEditorContributions();for(const i of e)try{this._register(this._instantiationService.createInstance(i.ctor,this))}catch(n){Pa(n)}}get _targetEditor(){return this._editors.modified}getEditorType(){return Ase.IDiffEditor}layout(e){this._rootSizeObserver.observe(e)}hasTextFocus(){return this._editors.original.hasTextFocus()||this._editors.modified.hasTextFocus()}saveViewState(){var n;const e=this._editors.original.saveViewState(),i=this._editors.modified.saveViewState();return{original:e,modified:i,modelState:(n=this._diffModel.get())==null?void 0:n.serializeState()}}restoreViewState(e){var i;if(e&&e.original&&e.modified){const n=e;this._editors.original.restoreViewState(n.original),this._editors.modified.restoreViewState(n.modified),n.modelState&&((i=this._diffModel.get())==null||i.restoreSerializedState(n.modelState))}}handleInitialized(){this._editors.original.handleInitialized(),this._editors.modified.handleInitialized()}createViewModel(e){return this._instantiationService.createInstance(Ije,e,this._options)}getModel(){var e;return((e=this._diffModel.get())==null?void 0:e.model)??null}setModel(e){const i=e?"model"in e?Rve.create(e).createNewRef(this):Rve.create(this.createViewModel(e),this):null;this.setDiffModel(i)}setDiffModel(e,i){const n=this._diffModel.get();!e&&n&&this._accessibleDiffViewer.get().close(),this._diffModel.get()!==(e==null?void 0:e.object)&&PB(i,s=>{var f;const c=e==null?void 0:e.object;Tu.batchEventsGlobally(s,()=>{this._editors.original.setModel(c?c.model.original:null),this._editors.modified.setModel(c?c.model.modified:null)});const d=(f=this._diffModelSrc.get())==null?void 0:f.createNewRef(this);this._diffModelSrc.set(e==null?void 0:e.createNewRef(this),s),setTimeout(()=>{d==null||d.dispose()},0)})}updateOptions(e){this._options.updateOptions(e)}getContainerDomNode(){return this._domElement}getOriginalEditor(){return this._editors.original}getModifiedEditor(){return this._editors.modified}getLineChanges(){var i;const e=(i=this._diffModel.get())==null?void 0:i.diff.get();return e?nDi(e):null}revert(e){const i=this._diffModel.get();!i||!i.isDiffUpToDate.get()||this._editors.modified.executeEdits("diffEditor",[{range:e.modified.toExclusiveRange(),text:i.model.original.getValueInRange(e.original.toExclusiveRange())}])}revertRangeMappings(e){const i=this._diffModel.get();if(!i||!i.isDiffUpToDate.get())return;const n=e.map(s=>({range:s.modifiedRange,text:i.model.original.getValueInRange(s.originalRange)}));this._editors.modified.executeEdits("diffEditor",n)}_goTo(e){this._editors.modified.setPosition(new pi(e.lineRangeMapping.modified.startLineNumber,1)),this._editors.modified.revealRangeInCenter(e.lineRangeMapping.modified.toExclusiveRange())}goToDiff(e){var c,d;const i=(d=(c=this._diffModel.get())==null?void 0:c.diff.get())==null?void 0:d.mappings;if(!i||i.length===0)return;const n=this._editors.modified.getPosition().lineNumber;let s;e==="next"?s=i.find(f=>f.lineRangeMapping.modified.startLineNumber>n)??i[0]:s=jne(i,f=>f.lineRangeMapping.modified.startLineNumber<n)??i[i.length-1],this._goTo(s),s.lineRangeMapping.modified.isEmpty?this._accessibilitySignalService.playSignal(hw.diffLineDeleted,{source:"diffEditor.goToDiff"}):s.lineRangeMapping.original.isEmpty?this._accessibilitySignalService.playSignal(hw.diffLineInserted,{source:"diffEditor.goToDiff"}):s&&this._accessibilitySignalService.playSignal(hw.diffLineModified,{source:"diffEditor.goToDiff"})}revealFirstDiff(){const e=this._diffModel.get();e&&this.waitForDiff().then(()=>{var n;const i=(n=e.diff.get())==null?void 0:n.mappings;!i||i.length===0||this._goTo(i[0])})}accessibleDiffViewerNext(){this._accessibleDiffViewer.get().next()}accessibleDiffViewerPrev(){this._accessibleDiffViewer.get().prev()}async waitForDiff(){const e=this._diffModel.get();e&&await e.waitForDiff()}mapToOtherSide(){var d,f;const e=this._editors.modified.hasWidgetFocus(),i=e?this._editors.modified:this._editors.original,n=e?this._editors.original:this._editors.modified;let s;const c=i.getSelection();if(c){const m=(f=(d=this._diffModel.get())==null?void 0:d.diff.get())==null?void 0:f.mappings.map(v=>e?v.lineRangeMapping.flip():v.lineRangeMapping);if(m){const v=YSt(c.getStartPosition(),m),x=YSt(c.getEndPosition(),m);s=nt.plusRange(v,x)}}return{destination:n,destinationSelection:s}}switchSide(){const{destination:e,destinationSelection:i}=this.mapToOtherSide();e.focus(),i&&e.setSelection(i)}exitCompareMove(){const e=this._diffModel.get();e&&e.movedTextToCompare.set(void 0,void 0)}collapseAllUnchangedRegions(){var i;const e=(i=this._diffModel.get())==null?void 0:i.unchangedRegions.get();e&&th(n=>{for(const s of e)s.collapseAll(n)})}showAllUnchangedRegions(){var i;const e=(i=this._diffModel.get())==null?void 0:i.unchangedRegions.get();e&&th(n=>{for(const s of e)s.showAll(n)})}_handleCursorPositionChange(e,i){var n,s;if((e==null?void 0:e.reason)===3){const c=(s=(n=this._diffModel.get())==null?void 0:n.diff.get())==null?void 0:s.mappings.find(d=>i?d.lineRangeMapping.modified.contains(e.position.lineNumber):d.lineRangeMapping.original.contains(e.position.lineNumber));c!=null&&c.lineRangeMapping.modified.isEmpty?this._accessibilitySignalService.playSignal(hw.diffLineDeleted,{source:"diffEditor.cursorPositionChanged"}):c!=null&&c.lineRangeMapping.original.isEmpty?this._accessibilitySignalService.playSignal(hw.diffLineInserted,{source:"diffEditor.cursorPositionChanged"}):c&&this._accessibilitySignalService.playSignal(hw.diffLineModified,{source:"diffEditor.cursorPositionChanged"})}}};h6=iDi([$te(3,Ko),$te(4,ho),$te(5,Bl),$te(6,jR),$te(7,WR)],h6);function nDi(l){return l.mappings.map(e=>{const i=e.lineRangeMapping;let n,s,c,d,f=i.innerChanges;return i.original.isEmpty?(n=i.original.startLineNumber-1,s=0,f=void 0):(n=i.original.startLineNumber,s=i.original.endLineNumberExclusive-1),i.modified.isEmpty?(c=i.modified.startLineNumber-1,d=0,f=void 0):(c=i.modified.startLineNumber,d=i.modified.endLineNumberExclusive-1),{originalStartLineNumber:n,originalEndLineNumber:s,modifiedStartLineNumber:c,modifiedEndLineNumber:d,charChanges:f==null?void 0:f.map(m=>({originalStartLineNumber:m.originalRange.startLineNumber,originalStartColumn:m.originalRange.startColumn,originalEndLineNumber:m.originalRange.endLineNumber,originalEndColumn:m.originalRange.endColumn,modifiedStartLineNumber:m.modifiedRange.startLineNumber,modifiedStartColumn:m.modifiedRange.startColumn,modifiedEndLineNumber:m.modifiedRange.endLineNumber,modifiedEndColumn:m.modifiedRange.endColumn}))}})}var SGe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Zd=function(l,e){return function(i,n){e(i,n,l)}};let rDi=0,mwt=!1;function sDi(l){if(!l){if(mwt)return;mwt=!0}d0i(l||cd.document.body)}let jve=class extends Dre{constructor(e,i,n,s,c,d,f,m,v,x,w,I,P){const O={...i};O.ariaLabel=O.ariaLabel||LVe.editorViewAccessibleLabel,super(e,O,{},n,s,c,d,v,x,w,I,P),m instanceof U$?this._standaloneKeybindingService=m:this._standaloneKeybindingService=null,sDi(O.ariaContainerElement),x1i((z,J)=>n.createInstance(P$,z,J,{})),k1i(f)}addCommand(e,i,n){if(!this._standaloneKeybindingService)return console.warn("Cannot add command because the editor is configured with an unrecognized KeybindingService"),null;const s="DYNAMIC_"+ ++rDi,c=kn.deserialize(n);return this._standaloneKeybindingService.addDynamicKeybinding(s,e,i,c),s}createContextKey(e,i){return this._contextKeyService.createKey(e,i)}addAction(e){if(typeof e.id!="string"||typeof e.label!="string"||typeof e.run!="function")throw new Error("Invalid action descriptor, `id`, `label` and `run` are required properties!");if(!this._standaloneKeybindingService)return console.warn("Cannot add keybinding because the editor is configured with an unrecognized KeybindingService"),xi.None;const i=e.id,n=e.label,s=kn.and(kn.equals("editorId",this.getId()),kn.deserialize(e.precondition)),c=e.keybindings,d=kn.and(s,kn.deserialize(e.keybindingContext)),f=e.contextMenuGroupId||null,m=e.contextMenuOrder||0,v=(P,...O)=>Promise.resolve(e.run(this,...O)),x=new wn,w=this.getId()+":"+i;if(x.add(fl.registerCommand(w,v)),f){const P={command:{id:w,title:n},when:s,group:f,order:m};x.add(yg.appendMenuItem(As.EditorContext,P))}if(Array.isArray(c))for(const P of c)x.add(this._standaloneKeybindingService.addDynamicKeybinding(w,P,v,d));const I=new NIt(w,n,n,void 0,s,(...P)=>Promise.resolve(e.run(this,...P)),this._contextKeyService);return this._actions.set(i,I),x.add(fo(()=>{this._actions.delete(i)})),x}_triggerCommand(e,i){if(this._codeEditorService instanceof Cye)try{this._codeEditorService.setActiveCodeEditor(this),super._triggerCommand(e,i)}finally{this._codeEditorService.setActiveCodeEditor(null)}else super._triggerCommand(e,i)}};jve=SGe([Zd(2,ho),Zd(3,Bl),Zd(4,qd),Zd(5,Ko),Zd(6,jL),Zd(7,pu),Zd(8,im),Zd(9,w_),Zd(10,tS),Zd(11,rh),Zd(12,Ts)],jve);let Bje=class extends jve{constructor(e,i,n,s,c,d,f,m,v,x,w,I,P,O,z,J){const Y={...i};gve(w,Y,!1);const ae=v.registerEditorContainer(e);typeof Y.theme=="string"&&v.setTheme(Y.theme),typeof Y.autoDetectHighContrast<"u"&&v.setAutoDetectHighContrast(!!Y.autoDetectHighContrast);const me=Y.model;delete Y.model,super(e,Y,n,s,c,d,f,m,v,x,I,z,J),this._configurationService=w,this._standaloneThemeService=v,this._register(ae);let ye;if(typeof me>"u"){const Ce=O.getLanguageIdByMimeType(Y.language)||Y.language||bv;ye=zIt(P,O,Y.value||"",Ce,void 0),this._ownsModel=!0}else ye=me,this._ownsModel=!1;if(this._attachModel(ye),ye){const Ce={oldModelUrl:null,newModelUrl:ye.uri};this._onDidChangeModel.fire(Ce)}}dispose(){super.dispose()}updateOptions(e){gve(this._configurationService,e,!1),typeof e.theme=="string"&&this._standaloneThemeService.setTheme(e.theme),typeof e.autoDetectHighContrast<"u"&&this._standaloneThemeService.setAutoDetectHighContrast(!!e.autoDetectHighContrast),super.updateOptions(e)}_postDetachModelCleanup(e){super._postDetachModelCleanup(e),e&&this._ownsModel&&(e.dispose(),this._ownsModel=!1)}};Bje=SGe([Zd(2,ho),Zd(3,Bl),Zd(4,qd),Zd(5,Ko),Zd(6,jL),Zd(7,pu),Zd(8,Mw),Zd(9,w_),Zd(10,Cc),Zd(11,tS),Zd(12,rf),Zd(13,Pf),Zd(14,rh),Zd(15,Ts)],Bje);let Wje=class extends h6{constructor(e,i,n,s,c,d,f,m,v,x,w,I){const P={...i};gve(m,P,!0);const O=d.registerEditorContainer(e);typeof P.theme=="string"&&d.setTheme(P.theme),typeof P.autoDetectHighContrast<"u"&&d.setAutoDetectHighContrast(!!P.autoDetectHighContrast),super(e,P,{},s,n,c,I,x),this._configurationService=m,this._standaloneThemeService=d,this._register(O)}dispose(){super.dispose()}updateOptions(e){gve(this._configurationService,e,!0),typeof e.theme=="string"&&this._standaloneThemeService.setTheme(e.theme),typeof e.autoDetectHighContrast<"u"&&this._standaloneThemeService.setAutoDetectHighContrast(!!e.autoDetectHighContrast),super.updateOptions(e)}_createInnerEditor(e,i,n){return e.createInstance(jve,i,n)}getOriginalEditor(){return super.getOriginalEditor()}getModifiedEditor(){return super.getModifiedEditor()}addCommand(e,i,n){return this.getModifiedEditor().addCommand(e,i,n)}createContextKey(e,i){return this.getModifiedEditor().createContextKey(e,i)}addAction(e){return this.getModifiedEditor().addAction(e)}};Wje=SGe([Zd(2,ho),Zd(3,Ko),Zd(4,Bl),Zd(5,Mw),Zd(6,w_),Zd(7,Cc),Zd(8,eS),Zd(9,WR),Zd(10,w6),Zd(11,jR)],Wje);function zIt(l,e,i,n,s){if(i=i||"",!n){const c=i.indexOf(`
+`);let d=i;return c!==-1&&(d=i.substring(0,c)),gwt(l,i,e.createByFilepathOrFirstLine(s||null,d),s)}return gwt(l,i,e.createById(n),s)}function gwt(l,e,i,n){return l.createModel(e,i,n)}var oDi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},ywt=function(l,e){return function(i,n){e(i,n,l)}};class aDi{constructor(e,i){this.viewModel=e,this.deltaScrollVertical=i}getId(){return this.viewModel}}let zve=class extends xi{constructor(e,i,n,s,c){super(),this._container=e,this._overflowWidgetsDomNode=i,this._workbenchUIElementFactory=n,this._instantiationService=s,this._viewModel=bc(this,void 0),this._collapsed=ro(this,m=>{var v;return(v=this._viewModel.read(m))==null?void 0:v.collapsed.read(m)}),this._editorContentHeight=bc(this,500),this.contentHeight=ro(this,m=>(this._collapsed.read(m)?0:this._editorContentHeight.read(m))+this._outerEditorHeight),this._modifiedContentWidth=bc(this,0),this._modifiedWidth=bc(this,0),this._originalContentWidth=bc(this,0),this._originalWidth=bc(this,0),this.maxScroll=ro(this,m=>{const v=this._modifiedContentWidth.read(m)-this._modifiedWidth.read(m),x=this._originalContentWidth.read(m)-this._originalWidth.read(m);return v>x?{maxScroll:v,width:this._modifiedWidth.read(m)}:{maxScroll:x,width:this._originalWidth.read(m)}}),this._elements=Rc("div.multiDiffEntry",[Rc("div.header@header",[Rc("div.header-content",[Rc("div.collapse-button@collapseButton"),Rc("div.file-path",[Rc("div.title.modified.show-file-icons@primaryPath",[]),Rc("div.status.deleted@status",["R"]),Rc("div.title.original.show-file-icons@secondaryPath",[])]),Rc("div.actions@actions")])]),Rc("div.editorParent",[Rc("div.editorContainer@editor")])]),this.editor=this._register(this._instantiationService.createInstance(h6,this._elements.editor,{overflowWidgetsDomNode:this._overflowWidgetsDomNode},{})),this.isModifedFocused=zC(this.editor.getModifiedEditor()).isFocused,this.isOriginalFocused=zC(this.editor.getOriginalEditor()).isFocused,this.isFocused=ro(this,m=>this.isModifedFocused.read(m)||this.isOriginalFocused.read(m)),this._resourceLabel=this._workbenchUIElementFactory.createResourceLabel?this._register(this._workbenchUIElementFactory.createResourceLabel(this._elements.primaryPath)):void 0,this._resourceLabel2=this._workbenchUIElementFactory.createResourceLabel?this._register(this._workbenchUIElementFactory.createResourceLabel(this._elements.secondaryPath)):void 0,this._dataStore=this._register(new wn),this._headerHeight=40,this._lastScrollTop=-1,this._isSettingScrollTop=!1;const d=new eve(this._elements.collapseButton,{});this._register(ba(m=>{d.element.className="",d.icon=this._collapsed.read(m)?pr.chevronRight:pr.chevronDown})),this._register(d.onDidClick(()=>{var m;(m=this._viewModel.get())==null||m.collapsed.set(!this._collapsed.get(),void 0)})),this._register(ba(m=>{this._elements.editor.style.display=this._collapsed.read(m)?"none":"block"})),this._register(this.editor.getModifiedEditor().onDidLayoutChange(m=>{const v=this.editor.getModifiedEditor().getLayoutInfo().contentWidth;this._modifiedWidth.set(v,void 0)})),this._register(this.editor.getOriginalEditor().onDidLayoutChange(m=>{const v=this.editor.getOriginalEditor().getLayoutInfo().contentWidth;this._originalWidth.set(v,void 0)})),this._register(this.editor.onDidContentSizeChange(m=>{sie(v=>{this._editorContentHeight.set(m.contentHeight,v),this._modifiedContentWidth.set(this.editor.getModifiedEditor().getContentWidth(),v),this._originalContentWidth.set(this.editor.getOriginalEditor().getContentWidth(),v)})})),this._register(this.editor.getOriginalEditor().onDidScrollChange(m=>{if(this._isSettingScrollTop||!m.scrollTopChanged||!this._data)return;const v=m.scrollTop-this._lastScrollTop;this._data.deltaScrollVertical(v)})),this._register(ba(m=>{var x;const v=(x=this._viewModel.read(m))==null?void 0:x.isActive.read(m);this._elements.root.classList.toggle("active",v)})),this._container.appendChild(this._elements.root),this._outerEditorHeight=this._headerHeight,this._contextKeyService=this._register(c.createScoped(this._elements.actions));const f=this._register(this._instantiationService.createChild(new IJ([Ko,this._contextKeyService])));this._register(f.createInstance(Wve,this._elements.actions,As.MultiDiffEditorFileToolbar,{actionRunner:this._register(new VIt(()=>{var m;return(m=this._viewModel.get())==null?void 0:m.modifiedUri})),menuOptions:{shouldForwardArgs:!0},toolbarOptions:{primaryGroup:m=>m.startsWith("navigation")},actionViewItemProvider:(m,v)=>RDt(f,m,v)}))}setScrollLeft(e){this._modifiedContentWidth.get()-this._modifiedWidth.get()>this._originalContentWidth.get()-this._originalWidth.get()?this.editor.getModifiedEditor().setScrollLeft(e):this.editor.getOriginalEditor().setScrollLeft(e)}setData(e){this._data=e;function i(s){return{...s,scrollBeyondLastLine:!1,hideUnchangedRegions:{enabled:!0},scrollbar:{vertical:"hidden",horizontal:"hidden",handleMouseWheel:!1,useShadows:!1},renderOverviewRuler:!1,fixedOverflowWidgets:!0,overviewRulerBorder:!1}}if(!e){sie(s=>{this._viewModel.set(void 0,s),this.editor.setDiffModel(null,s),this._dataStore.clear()});return}const n=e.viewModel.documentDiffItem;if(sie(s=>{var v,x;(v=this._resourceLabel)==null||v.setUri(e.viewModel.modifiedUri??e.viewModel.originalUri,{strikethrough:e.viewModel.modifiedUri===void 0});let c=!1,d=!1,f=!1,m="";e.viewModel.modifiedUri&&e.viewModel.originalUri&&e.viewModel.modifiedUri.path!==e.viewModel.originalUri.path?(m="R",c=!0):e.viewModel.modifiedUri?e.viewModel.originalUri||(m="A",f=!0):(m="D",d=!0),this._elements.status.classList.toggle("renamed",c),this._elements.status.classList.toggle("deleted",d),this._elements.status.classList.toggle("added",f),this._elements.status.innerText=m,(x=this._resourceLabel2)==null||x.setUri(c?e.viewModel.originalUri:void 0,{strikethrough:!0}),this._dataStore.clear(),this._viewModel.set(e.viewModel,s),this.editor.setDiffModel(e.viewModel.diffEditorViewModelRef,s),this.editor.updateOptions(i(n.options??{}))}),n.onOptionsDidChange&&this._dataStore.add(n.onOptionsDidChange(()=>{this.editor.updateOptions(i(n.options??{}))})),e.viewModel.isAlive.recomputeInitiallyAndOnChange(this._dataStore,s=>{s||this.setData(void 0)}),e.viewModel.documentDiffItem.contextKeys)for(const[s,c]of Object.entries(e.viewModel.documentDiffItem.contextKeys))this._contextKeyService.createKey(s,c)}render(e,i,n,s){this._elements.root.style.visibility="visible",this._elements.root.style.top=`${e.start}px`,this._elements.root.style.height=`${e.length}px`,this._elements.root.style.width=`${i}px`,this._elements.root.style.position="absolute";const c=e.length-this._headerHeight,d=Math.max(0,Math.min(s.start-e.start,c));this._elements.header.style.transform=`translateY(${d}px)`,sie(f=>{this.editor.layout({width:i-2*8-2*1,height:e.length-this._outerEditorHeight})});try{this._isSettingScrollTop=!0,this._lastScrollTop=n,this.editor.getOriginalEditor().setScrollTop(n)}finally{this._isSettingScrollTop=!1}this._elements.header.classList.toggle("shadow",d>0||n>0),this._elements.header.classList.toggle("collapsed",d===c)}hide(){this._elements.root.style.top="-100000px",this._elements.root.style.visibility="hidden"}};zve=oDi([ywt(3,ho),ywt(4,Ko)],zve);class cDi{constructor(e){this._create=e,this._unused=new Set,this._used=new Set,this._itemData=new Map}getUnusedObj(e){let i;if(this._unused.size===0)i=this._create(e),this._itemData.set(i,e);else{const n=[...this._unused.values()];i=n.find(s=>this._itemData.get(s).getId()===e.getId())??n[0],this._unused.delete(i),this._itemData.set(i,e),i.setData(e)}return this._used.add(i),{object:i,dispose:()=>{this._used.delete(i),this._unused.size>5?i.dispose():this._unused.add(i)}}}dispose(){for(const e of this._used)e.dispose();for(const e of this._unused)e.dispose();this._used.clear(),this._unused.clear()}}var lDi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},vwt=function(l,e){return function(i,n){e(i,n,l)}};let Vje=class extends xi{constructor(e,i,n,s,c,d){super(),this._element=e,this._dimension=i,this._viewModel=n,this._workbenchUIElementFactory=s,this._parentContextKeyService=c,this._parentInstantiationService=d,this._scrollableElements=Rc("div.scrollContent",[Rc("div@content",{style:{overflow:"hidden"}}),Rc("div.monaco-editor@overflowWidgetsDomNode",{})]),this._scrollable=this._register(new CJ({forceIntegerValues:!1,scheduleAtNextAnimationFrame:m=>ZC(Eo(this._element),m),smoothScrollDuration:100})),this._scrollableElement=this._register(new owe(this._scrollableElements.root,{vertical:1,horizontal:1,useShadows:!1},this._scrollable)),this._elements=Rc("div.monaco-component.multiDiffEditor",{},[Rc("div",{},[this._scrollableElement.getDomNode()]),Rc("div.placeholder@placeholder",{},[Rc("div",[W("noChangedFiles","No Changed Files")])])]),this._sizeObserver=this._register(new OIt(this._element,void 0)),this._objectPool=this._register(new cDi(m=>{const v=this._instantiationService.createInstance(zve,this._scrollableElements.content,this._scrollableElements.overflowWidgetsDomNode,this._workbenchUIElementFactory);return v.setData(m),v})),this.scrollTop=Tu(this,this._scrollableElement.onScroll,()=>this._scrollableElement.getScrollPosition().scrollTop),this.scrollLeft=Tu(this,this._scrollableElement.onScroll,()=>this._scrollableElement.getScrollPosition().scrollLeft),this._viewItemsInfo=VR(this,(m,v)=>{const x=this._viewModel.read(m);if(!x)return{items:[],getItem:O=>{throw new tu}};const w=x.items.read(m),I=new Map;return{items:w.map(O=>{var Y;const z=v.add(new uDi(O,this._objectPool,this.scrollLeft,ae=>{this._scrollableElement.setScrollPosition({scrollTop:this._scrollableElement.getScrollPosition().scrollTop+ae})})),J=(Y=this._lastDocStates)==null?void 0:Y[z.getKey()];return J&&th(ae=>{z.setViewState(J,ae)}),I.set(O,z),z}),getItem:O=>I.get(O)}}),this._viewItems=this._viewItemsInfo.map(this,m=>m.items),this._spaceBetweenPx=0,this._totalHeight=this._viewItems.map(this,(m,v)=>m.reduce((x,w)=>x+w.contentHeight.read(v)+this._spaceBetweenPx,0)),this._contextKeyService=this._register(this._parentContextKeyService.createScoped(this._element)),this._instantiationService=this._register(this._parentInstantiationService.createChild(new IJ([Ko,this._contextKeyService]))),this._lastDocStates={},this._contextKeyService.createKey(Tt.inMultiDiffEditor.key,!0),this._register(Ib((m,v)=>{const x=this._viewModel.read(m);if(x&&x.contextKeys)for(const[w,I]of Object.entries(x.contextKeys)){const P=this._contextKeyService.createKey(w,void 0);P.set(I),v.add(fo(()=>P.reset()))}}));const f=this._parentContextKeyService.createKey(Tt.multiDiffEditorAllCollapsed.key,!1);this._register(ba(m=>{const v=this._viewModel.read(m);if(v){const x=v.items.read(m).every(w=>w.collapsed.read(m));f.set(x)}})),this._register(ba(m=>{const v=this._dimension.read(m);this._sizeObserver.observe(v)})),this._register(ba(m=>{const v=this._viewItems.read(m);this._elements.placeholder.classList.toggle("visible",v.length===0)})),this._scrollableElements.content.style.position="relative",this._register(ba(m=>{const v=this._sizeObserver.height.read(m);this._scrollableElements.root.style.height=`${v}px`;const x=this._totalHeight.read(m);this._scrollableElements.content.style.height=`${x}px`;const w=this._sizeObserver.width.read(m);let I=w;const P=this._viewItems.read(m),O=S$e(P,yv(z=>z.maxScroll.read(m).maxScroll,mT));if(O){const z=O.maxScroll.read(m);I=w+z.maxScroll}this._scrollableElement.setScrollDimensions({width:w,height:v,scrollHeight:x,scrollWidth:I})})),e.replaceChildren(this._elements.root),this._register(fo(()=>{e.replaceChildren()})),this._register(this._register(ba(m=>{sie(v=>{this.render(m)})})))}render(e){const i=this.scrollTop.read(e);let n=0,s=0,c=0;const d=this._sizeObserver.height.read(e),f=xc.ofStartAndLength(i,d),m=this._sizeObserver.width.read(e);for(const v of this._viewItems.read(e)){const x=v.contentHeight.read(e),w=Math.min(x,d),I=xc.ofStartAndLength(s,w),P=xc.ofStartAndLength(c,x);if(P.isBefore(f))n-=x-w,v.hide();else if(P.isAfter(f))v.hide();else{const O=Math.max(0,Math.min(f.start-P.start,x-w));n-=O;const z=xc.ofStartAndLength(i+n,d);v.render(I,O,m,z)}s+=w+this._spaceBetweenPx,c+=x+this._spaceBetweenPx}this._scrollableElements.content.style.transform=`translateY(${-(i+n)}px)`}};Vje=lDi([vwt(4,Ko),vwt(5,ho)],Vje);class uDi extends xi{constructor(e,i,n,s){super(),this.viewModel=e,this._objectPool=i,this._scrollLeft=n,this._deltaScrollVertical=s,this._templateRef=this._register(sre(this,void 0)),this.contentHeight=ro(this,c=>{var d,f;return((f=(d=this._templateRef.read(c))==null?void 0:d.object.contentHeight)==null?void 0:f.read(c))??this.viewModel.lastTemplateData.read(c).contentHeight}),this.maxScroll=ro(this,c=>{var d;return((d=this._templateRef.read(c))==null?void 0:d.object.maxScroll.read(c))??{maxScroll:0,scrollWidth:0}}),this.template=ro(this,c=>{var d;return(d=this._templateRef.read(c))==null?void 0:d.object}),this._isHidden=bc(this,!1),this._isFocused=ro(this,c=>{var d;return((d=this.template.read(c))==null?void 0:d.isFocused.read(c))??!1}),this.viewModel.setIsFocused(this._isFocused,void 0),this._register(ba(c=>{var f;const d=this._scrollLeft.read(c);(f=this._templateRef.read(c))==null||f.object.setScrollLeft(d)})),this._register(ba(c=>{const d=this._templateRef.read(c);!d||!this._isHidden.read(c)||d.object.isFocused.read(c)||this._clear()}))}dispose(){this._clear(),super.dispose()}toString(){var e;return`VirtualViewItem(${(e=this.viewModel.documentDiffItem.modified)==null?void 0:e.uri.toString()})`}getKey(){return this.viewModel.getKey()}setViewState(e,i){var d;this.viewModel.collapsed.set(e.collapsed,i),this._updateTemplateData(i);const n=this.viewModel.lastTemplateData.get(),s=(d=e.selections)==null?void 0:d.map(Us.liftSelection);this.viewModel.lastTemplateData.set({...n,selections:s},i);const c=this._templateRef.get();c&&s&&c.object.editor.setSelections(s)}_updateTemplateData(e){const i=this._templateRef.get();i&&this.viewModel.lastTemplateData.set({contentHeight:i.object.contentHeight.get(),selections:i.object.editor.getSelections()??void 0},e)}_clear(){const e=this._templateRef.get();e&&th(i=>{this._updateTemplateData(i),e.object.hide(),this._templateRef.set(void 0,i)})}hide(){this._isHidden.set(!0,void 0)}render(e,i,n,s){this._isHidden.set(!1,void 0);let c=this._templateRef.get();if(!c){c=this._objectPool.getUnusedObj(new aDi(this.viewModel,this._deltaScrollVertical)),this._templateRef.set(c,void 0);const d=this.viewModel.lastTemplateData.get().selections;d&&c.object.editor.setSelections(d)}c.object.render(e,n,i,s)}}It("multiDiffEditor.headerBackground",{dark:"#262626",light:"tab.inactiveBackground",hcDark:"tab.inactiveBackground",hcLight:"tab.inactiveBackground"},W("multiDiffEditor.headerBackground","The background color of the diff editor's header"));It("multiDiffEditor.background",wT,W("multiDiffEditor.background","The background color of the multi file diff editor"));It("multiDiffEditor.border",{dark:"sideBarSectionHeader.border",light:"#cccccc",hcDark:"sideBarSectionHeader.border",hcLight:"#cccccc"},W("multiDiffEditor.border","The border color of the multi file diff editor"));var dDi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},fDi=function(l,e){return function(i,n){e(i,n,l)}};let Hje=class extends xi{constructor(e,i,n){super(),this._element=e,this._workbenchUIElementFactory=i,this._instantiationService=n,this._dimension=bc(this,void 0),this._viewModel=bc(this,void 0),this._widgetImpl=VR(this,(s,c)=>(WC(zve,s),c.add(this._instantiationService.createInstance(WC(Vje,s),this._element,this._dimension,this._viewModel,this._workbenchUIElementFactory)))),this._register(kJ(this._widgetImpl))}};Hje=dDi([fDi(2,ho)],Hje);function hDi(l,e,i){return oa.initialize(i||{}).createInstance(Bje,l,e)}function _Di(l){return oa.get(Bl).onCodeEditorAdd(i=>{l(i)})}function pDi(l){return oa.get(Bl).onDiffEditorAdd(i=>{l(i)})}function mDi(){return oa.get(Bl).listCodeEditors()}function gDi(){return oa.get(Bl).listDiffEditors()}function yDi(l,e,i){return oa.initialize(i||{}).createInstance(Wje,l,e)}function vDi(l,e){const i=oa.initialize(e||{});return new Hje(l,{},i)}function bDi(l){if(typeof l.id!="string"||typeof l.run!="function")throw new Error("Invalid command descriptor, `id` and `run` are required properties!");return fl.registerCommand(l.id,l.run)}function CDi(l){if(typeof l.id!="string"||typeof l.label!="string"||typeof l.run!="function")throw new Error("Invalid action descriptor, `id`, `label` and `run` are required properties!");const e=kn.deserialize(l.precondition),i=(s,...c)=>tm.runEditorCommand(s,c,e,(d,f,m)=>Promise.resolve(l.run(f,...m))),n=new wn;if(n.add(fl.registerCommand(l.id,i)),l.contextMenuGroupId){const s={command:{id:l.id,title:l.label},when:e,group:l.contextMenuGroupId,order:l.contextMenuOrder||0};n.add(yg.appendMenuItem(As.EditorContext,s))}if(Array.isArray(l.keybindings)){const s=oa.get(pu);if(!(s instanceof U$))console.warn("Cannot add keybinding because the editor is configured with an unrecognized KeybindingService");else{const c=kn.and(e,kn.deserialize(l.keybindingContext));n.add(s.addDynamicKeybindings(l.keybindings.map(d=>({keybinding:d,command:l.id,when:c}))))}}return n}function SDi(l){return UIt([l])}function UIt(l){const e=oa.get(pu);return e instanceof U$?e.addDynamicKeybindings(l.map(i=>({keybinding:i.keybinding,command:i.command,commandArgs:i.commandArgs,when:kn.deserialize(i.when)}))):(console.warn("Cannot add keybinding because the editor is configured with an unrecognized KeybindingService"),xi.None)}function wDi(l,e,i){const n=oa.get(Pf),s=n.getLanguageIdByMimeType(e)||e;return zIt(oa.get(rf),n,l,s,i)}function xDi(l,e){const i=oa.get(Pf),n=i.getLanguageIdByMimeType(e)||e||bv;l.setLanguage(i.createById(n))}function kDi(l,e,i){l&&oa.get(zL).changeOne(e,l.uri,i)}function TDi(l){oa.get(zL).changeAll(l,[])}function DDi(l){return oa.get(zL).read(l)}function EDi(l){return oa.get(zL).onMarkerChanged(l)}function IDi(l){return oa.get(rf).getModel(l)}function NDi(){return oa.get(rf).getModels()}function LDi(l){return oa.get(rf).onModelAdded(l)}function PDi(l){return oa.get(rf).onModelRemoved(l)}function ADi(l){return oa.get(rf).onModelLanguageChanged(i=>{l({model:i.model,oldLanguage:i.oldLanguageId})})}function ODi(l){return vwi(oa.get(rf),l)}function MDi(l,e){const i=oa.get(Pf),n=oa.get(Mw);return zJe.colorizeElement(n,i,l,e).then(()=>{n.registerEditorContainer(l)})}function RDi(l,e,i){const n=oa.get(Pf);return oa.get(Mw).registerEditorContainer(cd.document.body),zJe.colorize(n,l,e,i)}function FDi(l,e,i=4){return oa.get(Mw).registerEditorContainer(cd.document.body),zJe.colorizeModelLine(l,e,i)}function BDi(l){const e=Oh.get(l);return e||{getInitialState:()=>R$,tokenize:(i,n,s)=>Z$e(l,s)}}function WDi(l,e){Oh.getOrCreate(e);const i=BDi(e),n=BL(l),s=[];let c=i.getInitialState();for(let d=0,f=n.length;d<f;d++){const m=n[d],v=i.tokenize(m,!0,c);s[d]=v.tokens,c=v.endState}return s}function VDi(l,e){oa.get(Mw).defineTheme(l,e)}function HDi(l){oa.get(Mw).setTheme(l)}function jDi(){EWe.clearAllFontInfos()}function zDi(l,e){return fl.registerCommand({id:l,handler:e})}function UDi(l){return oa.get(Rb).registerOpener({async open(i){return typeof i=="string"&&(i=yo.parse(i)),l.open(i)}})}function qDi(l){return oa.get(Bl).registerCodeEditorOpenHandler(async(i,n,s)=>{var f;if(!n)return null;const c=(f=i.options)==null?void 0:f.selection;let d;return c&&typeof c.endLineNumber=="number"&&typeof c.endColumn=="number"?d=c:c&&(d={lineNumber:c.startLineNumber,column:c.startColumn}),await l.openCodeEditor(n,i.resource,d)?n:null})}function $Di(){return{create:hDi,getEditors:mDi,getDiffEditors:gDi,onDidCreateEditor:_Di,onDidCreateDiffEditor:pDi,createDiffEditor:yDi,addCommand:bDi,addEditorAction:CDi,addKeybindingRule:SDi,addKeybindingRules:UIt,createModel:wDi,setModelLanguage:xDi,setModelMarkers:kDi,getModelMarkers:DDi,removeAllMarkers:TDi,onDidChangeMarkers:EDi,getModels:NDi,getModel:IDi,onDidCreateModel:LDi,onWillDisposeModel:PDi,onDidChangeModelLanguage:ADi,createWebWorker:ODi,colorizeElement:MDi,colorize:RDi,colorizeModelLine:FDi,tokenize:WDi,defineTheme:VDi,setTheme:HDi,remeasureFonts:jDi,registerCommand:zDi,registerLinkOpener:UDi,registerEditorOpener:qDi,AccessibilitySupport:wBe,ContentWidgetPositionPreference:IBe,CursorChangeReason:NBe,DefaultEndOfLine:LBe,EditorAutoIndentStrategy:ABe,EditorOption:OBe,EndOfLinePreference:MBe,EndOfLineSequence:RBe,MinimapPosition:JBe,MinimapSectionHeaderStyle:GBe,MouseTargetType:KBe,OverlayWidgetPositionPreference:ZBe,OverviewRulerLane:YBe,GlyphMarginLane:FBe,RenderLineNumbersType:iWe,RenderMinimap:nWe,ScrollbarVisibility:sWe,ScrollType:rWe,TextEditorCursorBlinkingStyle:dWe,TextEditorCursorStyle:fWe,TrackedRangeStickiness:hWe,WrappingIndent:_We,InjectedTextCursorStops:VBe,PositionAffinity:tWe,ShowLightbulbIconMode:aWe,ConfigurationChangedEvent:Akt,BareFontInfo:h9,FontInfo:DWe,TextModelResolvedOptions:a1e,FindMatch:Hne,ApplyUpdateResult:Sie,EditorZoom:fk,createMultiFileDiffEditor:vDi,EditorType:Ase,EditorOptions:KE}}function JDi(l,e){if(!e||!Array.isArray(e))return!1;for(const i of e)if(!l(i))return!1;return!0}function N0e(l,e){return typeof l=="boolean"?l:e}function bwt(l,e){return typeof l=="string"?l:e}function GDi(l){const e={};for(const i of l)e[i]=!0;return e}function Cwt(l,e=!1){e&&(l=l.map(function(n){return n.toLowerCase()}));const i=GDi(l);return e?function(n){return i[n.toLowerCase()]!==void 0&&i.hasOwnProperty(n.toLowerCase())}:function(n){return i[n]!==void 0&&i.hasOwnProperty(n)}}function jje(l,e,i){e=e.replace(/@@/g,"");let n=0,s;do s=!1,e=e.replace(/@(\w+)/g,function(d,f){s=!0;let m="";if(typeof l[f]=="string")m=l[f];else if(l[f]&&l[f]instanceof RegExp)m=l[f].source;else throw l[f]===void 0?mf(l,"language definition does not contain attribute '"+f+"', used at: "+e):mf(l,"attribute reference '"+f+"' must be a string, used at: "+e);return qF(m)?"":"(?:"+m+")"}),n++;while(s&&n<5);e=e.replace(/\x01/g,"@");const c=(l.ignoreCase?"i":"")+(l.unicode?"u":"");if(i&&e.match(/\$[sS](\d\d?)/g)){let f=null,m=null;return v=>(m&&f===v||(f=v,m=new RegExp(Bwi(l,e,v),c)),m)}return new RegExp(e,c)}function KDi(l,e,i,n){if(n<0)return l;if(n<e.length)return e[n];if(n>=100){n=n-100;const s=i.split(".");if(s.unshift(i),n<s.length)return s[n]}return null}function XDi(l,e,i,n){let s=-1,c=i,d=i.match(/^\$(([sS]?)(\d\d?)|#)(.*)$/);d&&(d[3]&&(s=parseInt(d[3]),d[2]&&(s=s+100)),c=d[4]);let f="~",m=c;!c||c.length===0?(f="!=",m=""):/^\w*$/.test(m)?f="==":(d=c.match(/^(@|!@|~|!~|==|!=)(.*)$/),d&&(f=d[1],m=d[2]));let v;if((f==="~"||f==="!~")&&/^(\w|\|)*$/.test(m)){const x=Cwt(m.split("|"),l.ignoreCase);v=function(w){return f==="~"?x(w):!x(w)}}else if(f==="@"||f==="!@"){const x=l[m];if(!x)throw mf(l,"the @ match target '"+m+"' is not defined, in rule: "+e);if(!JDi(function(I){return typeof I=="string"},x))throw mf(l,"the @ match target '"+m+"' must be an array of strings, in rule: "+e);const w=Cwt(x,l.ignoreCase);v=function(I){return f==="@"?w(I):!w(I)}}else if(f==="~"||f==="!~")if(m.indexOf("$")<0){const x=jje(l,"^"+m+"$",!1);v=function(w){return f==="~"?x.test(w):!x.test(w)}}else v=function(x,w,I,P){return jje(l,"^"+xM(l,m,w,I,P)+"$",!1).test(x)};else if(m.indexOf("$")<0){const x=e6(l,m);v=function(w){return f==="=="?w===x:w!==x}}else{const x=e6(l,m);v=function(w,I,P,O,z){const J=xM(l,x,I,P,O);return f==="=="?w===J:w!==J}}return s===-1?{name:i,value:n,test:function(x,w,I,P){return v(x,x,w,I,P)}}:{name:i,value:n,test:function(x,w,I,P){const O=KDi(x,w,I,s);return v(O||"",x,w,I,P)}}}function zje(l,e,i){if(i){if(typeof i=="string")return i;if(i.token||i.token===""){if(typeof i.token!="string")throw mf(l,"a 'token' attribute must be of type string, in rule: "+e);{const n={token:i.token};if(i.token.indexOf("$")>=0&&(n.tokenSubst=!0),typeof i.bracket=="string")if(i.bracket==="@open")n.bracket=1;else if(i.bracket==="@close")n.bracket=-1;else throw mf(l,"a 'bracket' attribute must be either '@open' or '@close', in rule: "+e);if(i.next){if(typeof i.next!="string")throw mf(l,"the next state must be a string value in rule: "+e);{let s=i.next;if(!/^(@pop|@push|@popall)$/.test(s)&&(s[0]==="@"&&(s=s.substr(1)),s.indexOf("$")<0&&!Wwi(l,xM(l,s,"",[],""))))throw mf(l,"the next state '"+i.next+"' is not defined in rule: "+e);n.next=s}}return typeof i.goBack=="number"&&(n.goBack=i.goBack),typeof i.switchTo=="string"&&(n.switchTo=i.switchTo),typeof i.log=="string"&&(n.log=i.log),typeof i.nextEmbedded=="string"&&(n.nextEmbedded=i.nextEmbedded,l.usesEmbedded=!0),n}}else if(Array.isArray(i)){const n=[];for(let s=0,c=i.length;s<c;s++)n[s]=zje(l,e,i[s]);return{group:n}}else if(i.cases){const n=[];for(const c in i.cases)if(i.cases.hasOwnProperty(c)){const d=zje(l,e,i.cases[c]);c==="@default"||c==="@"||c===""?n.push({test:void 0,value:d,name:c}):c==="@eos"?n.push({test:function(f,m,v,x){return x},value:d,name:c}):n.push(XDi(l,e,c,d))}const s=l.defaultToken;return{test:function(c,d,f,m){for(const v of n)if(!v.test||v.test(c,d,f,m))return v.value;return s}}}else throw mf(l,"an action must be a string, an object with a 'token' or 'cases' attribute, or an array of actions; in rule: "+e)}else return{token:""}}class QDi{constructor(e){this.regex=new RegExp(""),this.action={token:""},this.matchOnlyAtLineStart=!1,this.name="",this.name=e}setRegex(e,i){let n;if(typeof i=="string")n=i;else if(i instanceof RegExp)n=i.source;else throw mf(e,"rules must start with a match string or regular expression: "+this.name);this.matchOnlyAtLineStart=n.length>0&&n[0]==="^",this.name=this.name+": "+n,this.regex=jje(e,"^(?:"+(this.matchOnlyAtLineStart?n.substr(1):n)+")",!0)}setAction(e,i){this.action=zje(e,this.name,i)}resolveRegex(e){return this.regex instanceof RegExp?this.regex:this.regex(e)}}function qIt(l,e){if(!e||typeof e!="object")throw new Error("Monarch: expecting a language definition object");const i={languageId:l,includeLF:N0e(e.includeLF,!1),noThrow:!1,maxStack:100,start:typeof e.start=="string"?e.start:null,ignoreCase:N0e(e.ignoreCase,!1),unicode:N0e(e.unicode,!1),tokenPostfix:bwt(e.tokenPostfix,"."+l),defaultToken:bwt(e.defaultToken,"source"),usesEmbedded:!1,stateNames:{},tokenizer:{},brackets:[]},n=e;n.languageId=l,n.includeLF=i.includeLF,n.ignoreCase=i.ignoreCase,n.unicode=i.unicode,n.noThrow=i.noThrow,n.usesEmbedded=i.usesEmbedded,n.stateNames=e.tokenizer,n.defaultToken=i.defaultToken;function s(d,f,m){for(const v of m){let x=v.include;if(x){if(typeof x!="string")throw mf(i,"an 'include' attribute must be a string at: "+d);if(x[0]==="@"&&(x=x.substr(1)),!e.tokenizer[x])throw mf(i,"include target '"+x+"' is not defined at: "+d);s(d+"."+x,f,e.tokenizer[x])}else{const w=new QDi(d);if(Array.isArray(v)&&v.length>=1&&v.length<=3)if(w.setRegex(n,v[0]),v.length>=3)if(typeof v[1]=="string")w.setAction(n,{token:v[1],next:v[2]});else if(typeof v[1]=="object"){const I=v[1];I.next=v[2],w.setAction(n,I)}else throw mf(i,"a next state as the last element of a rule can only be given if the action is either an object or a string, at: "+d);else w.setAction(n,v[1]);else{if(!v.regex)throw mf(i,"a rule must either be an array, or an object with a 'regex' or 'include' field at: "+d);v.name&&typeof v.name=="string"&&(w.name=v.name),v.matchOnlyAtStart&&(w.matchOnlyAtLineStart=N0e(v.matchOnlyAtLineStart,!1)),w.setRegex(n,v.regex),w.setAction(n,v.action)}f.push(w)}}}if(!e.tokenizer||typeof e.tokenizer!="object")throw mf(i,"a language definition must define the 'tokenizer' attribute as an object");i.tokenizer=[];for(const d in e.tokenizer)if(e.tokenizer.hasOwnProperty(d)){i.start||(i.start=d);const f=e.tokenizer[d];i.tokenizer[d]=new Array,s("tokenizer."+d,i.tokenizer[d],f)}if(i.usesEmbedded=n.usesEmbedded,e.brackets){if(!Array.isArray(e.brackets))throw mf(i,"the 'brackets' attribute must be defined as an array")}else e.brackets=[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}];const c=[];for(const d of e.brackets){let f=d;if(f&&Array.isArray(f)&&f.length===3&&(f={token:f[2],open:f[0],close:f[1]}),f.open===f.close)throw mf(i,"open and close brackets in a 'brackets' attribute must be different: "+f.open+`
+ hint: use the 'bracket' attribute if matching on equal brackets is required.`);if(typeof f.open=="string"&&typeof f.token=="string"&&typeof f.close=="string")c.push({token:f.token+i.tokenPostfix,open:e6(i,f.open),close:e6(i,f.close)});else throw mf(i,"every element in the 'brackets' array must be a '{open,close,token}' object or array")}return i.brackets=c,i.noThrow=!0,i}function ZDi(l){D$.registerLanguage(l)}function YDi(){let l=[];return l=l.concat(D$.getLanguages()),l}function eEi(l){return oa.get(Pf).languageIdCodec.encodeLanguageId(l)}function tEi(l,e){return oa.withServices(()=>{const n=oa.get(Pf).onDidRequestRichLanguageFeatures(s=>{s===l&&(n.dispose(),e())});return n})}function iEi(l,e){return oa.withServices(()=>{const n=oa.get(Pf).onDidRequestBasicLanguageFeatures(s=>{s===l&&(n.dispose(),e())});return n})}function nEi(l,e){if(!oa.get(Pf).isRegisteredLanguageId(l))throw new Error(`Cannot set configuration for unknown language ${l}`);return oa.get(rh).register(l,e,100)}class rEi{constructor(e,i){this._languageId=e,this._actual=i}dispose(){}getInitialState(){return this._actual.getInitialState()}tokenize(e,i,n){if(typeof this._actual.tokenize=="function")return Pre.adaptTokenize(this._languageId,this._actual,e,n);throw new Error("Not supported!")}tokenizeEncoded(e,i,n){const s=this._actual.tokenizeEncoded(e,n);return new ISe(s.tokens,s.endState)}}class Pre{constructor(e,i,n,s){this._languageId=e,this._actual=i,this._languageService=n,this._standaloneThemeService=s}dispose(){}getInitialState(){return this._actual.getInitialState()}static _toClassicTokens(e,i){const n=[];let s=0;for(let c=0,d=e.length;c<d;c++){const f=e[c];let m=f.startIndex;c===0?m=0:m<s&&(m=s),n[c]=new Pne(m,f.scopes,i),s=m}return n}static adaptTokenize(e,i,n,s){const c=i.tokenize(n,s),d=Pre._toClassicTokens(c.tokens,e);let f;return c.endState.equals(s)?f=s:f=c.endState,new Qqe(d,f)}tokenize(e,i,n){return Pre.adaptTokenize(this._languageId,this._actual,e,n)}_toBinaryTokens(e,i){const n=e.encodeLanguageId(this._languageId),s=this._standaloneThemeService.getColorTheme().tokenTheme,c=[];let d=0,f=0;for(let v=0,x=i.length;v<x;v++){const w=i[v],I=s.match(n,w.scopes)|1024;if(d>0&&c[d-1]===I)continue;let P=w.startIndex;v===0?P=0:P<f&&(P=f),c[d++]=P,c[d++]=I,f=P}const m=new Uint32Array(d);for(let v=0;v<d;v++)m[v]=c[v];return m}tokenizeEncoded(e,i,n){const s=this._actual.tokenize(e,n),c=this._toBinaryTokens(this._languageService.languageIdCodec,s.tokens);let d;return s.endState.equals(n)?d=n:d=s.endState,new ISe(c,d)}}function sEi(l){return typeof l.getInitialState=="function"}function oEi(l){return"tokenizeEncoded"in l}function $It(l){return l&&typeof l.then=="function"}function aEi(l){const e=oa.get(Mw);if(l){const i=[null];for(let n=1,s=l.length;n<s;n++)i[n]=In.fromHex(l[n]);e.setColorMapOverride(i)}else e.setColorMapOverride(null)}function JIt(l,e){return oEi(e)?new rEi(l,e):new Pre(l,e,oa.get(Pf),oa.get(Mw))}function wGe(l,e){const i=new Wli(async()=>{const n=await Promise.resolve(e.create());return n?sEi(n)?JIt(l,n):new bre(oa.get(Pf),oa.get(Mw),l,qIt(l,n),oa.get(Cc)):null});return Oh.registerFactory(l,i)}function cEi(l,e){if(!oa.get(Pf).isRegisteredLanguageId(l))throw new Error(`Cannot set tokens provider for unknown language ${l}`);return $It(e)?wGe(l,{create:()=>e}):Oh.register(l,JIt(l,e))}function lEi(l,e){const i=n=>new bre(oa.get(Pf),oa.get(Mw),l,qIt(l,n),oa.get(Cc));return $It(e)?wGe(l,{create:()=>e}):Oh.register(l,i(e))}function uEi(l,e){return oa.get(Ts).referenceProvider.register(l,e)}function dEi(l,e){return oa.get(Ts).renameProvider.register(l,e)}function fEi(l,e){return oa.get(Ts).newSymbolNamesProvider.register(l,e)}function hEi(l,e){return oa.get(Ts).signatureHelpProvider.register(l,e)}function _Ei(l,e){return oa.get(Ts).hoverProvider.register(l,{provideHover:async(n,s,c,d)=>{const f=n.getWordAtPosition(s);return Promise.resolve(e.provideHover(n,s,c,d)).then(m=>{if(m)return!m.range&&f&&(m.range=new nt(s.lineNumber,f.startColumn,s.lineNumber,f.endColumn)),m.range||(m.range=new nt(s.lineNumber,s.column,s.lineNumber,s.column)),m})}})}function pEi(l,e){return oa.get(Ts).documentSymbolProvider.register(l,e)}function mEi(l,e){return oa.get(Ts).documentHighlightProvider.register(l,e)}function gEi(l,e){return oa.get(Ts).linkedEditingRangeProvider.register(l,e)}function yEi(l,e){return oa.get(Ts).definitionProvider.register(l,e)}function vEi(l,e){return oa.get(Ts).implementationProvider.register(l,e)}function bEi(l,e){return oa.get(Ts).typeDefinitionProvider.register(l,e)}function CEi(l,e){return oa.get(Ts).codeLensProvider.register(l,e)}function SEi(l,e,i){return oa.get(Ts).codeActionProvider.register(l,{providedCodeActionKinds:i==null?void 0:i.providedCodeActionKinds,documentation:i==null?void 0:i.documentation,provideCodeActions:(s,c,d,f)=>{const v=oa.get(zL).read({resource:s.uri}).filter(x=>nt.areIntersectingOrTouching(x,c));return e.provideCodeActions(s,c,{markers:v,only:d.only,trigger:d.trigger},f)},resolveCodeAction:e.resolveCodeAction})}function wEi(l,e){return oa.get(Ts).documentFormattingEditProvider.register(l,e)}function xEi(l,e){return oa.get(Ts).documentRangeFormattingEditProvider.register(l,e)}function kEi(l,e){return oa.get(Ts).onTypeFormattingEditProvider.register(l,e)}function TEi(l,e){return oa.get(Ts).linkProvider.register(l,e)}function DEi(l,e){return oa.get(Ts).completionProvider.register(l,e)}function EEi(l,e){return oa.get(Ts).colorProvider.register(l,e)}function IEi(l,e){return oa.get(Ts).foldingRangeProvider.register(l,e)}function NEi(l,e){return oa.get(Ts).declarationProvider.register(l,e)}function LEi(l,e){return oa.get(Ts).selectionRangeProvider.register(l,e)}function PEi(l,e){return oa.get(Ts).documentSemanticTokensProvider.register(l,e)}function AEi(l,e){return oa.get(Ts).documentRangeSemanticTokensProvider.register(l,e)}function OEi(l,e){return oa.get(Ts).inlineCompletionsProvider.register(l,e)}function MEi(l,e){return oa.get(Ts).inlineEditProvider.register(l,e)}function REi(l,e){return oa.get(Ts).inlayHintsProvider.register(l,e)}function FEi(){return{register:ZDi,getLanguages:YDi,onLanguage:tEi,onLanguageEncountered:iEi,getEncodedLanguageId:eEi,setLanguageConfiguration:nEi,setColorMap:aEi,registerTokensProviderFactory:wGe,setTokensProvider:cEi,setMonarchTokensProvider:lEi,registerReferenceProvider:uEi,registerRenameProvider:dEi,registerNewSymbolNameProvider:fEi,registerCompletionItemProvider:DEi,registerSignatureHelpProvider:hEi,registerHoverProvider:_Ei,registerDocumentSymbolProvider:pEi,registerDocumentHighlightProvider:mEi,registerLinkedEditingRangeProvider:gEi,registerDefinitionProvider:yEi,registerImplementationProvider:vEi,registerTypeDefinitionProvider:bEi,registerCodeLensProvider:CEi,registerCodeActionProvider:SEi,registerDocumentFormattingEditProvider:wEi,registerDocumentRangeFormattingEditProvider:xEi,registerOnTypeFormattingEditProvider:kEi,registerLinkProvider:TEi,registerColorProvider:EEi,registerFoldingRangeProvider:IEi,registerDeclarationProvider:NEi,registerSelectionRangeProvider:LEi,registerDocumentSemanticTokensProvider:PEi,registerDocumentRangeSemanticTokensProvider:AEi,registerInlineCompletionsProvider:OEi,registerInlineEditProvider:MEi,registerInlayHintsProvider:REi,DocumentHighlightKind:PBe,CompletionItemKind:TBe,CompletionItemTag:DBe,CompletionItemInsertTextRule:kBe,SymbolKind:lWe,SymbolTag:uWe,IndentAction:WBe,CompletionTriggerKind:EBe,SignatureHelpTriggerKind:cWe,InlayHintKind:HBe,InlineCompletionTriggerKind:jBe,InlineEditTriggerKind:zBe,CodeActionTriggerType:xBe,NewSymbolNameTag:XBe,NewSymbolNameTriggerKind:QBe,PartialAcceptTriggerKind:eWe,HoverVerbosityAction:BBe,FoldingRangeKind:yR,SelectedSuggestionInfo:Kkt}}const xGe=jc("IEditorCancelService"),GIt=new rs("cancellableOperation",!1,W("cancellableOperation","Whether the editor runs a cancellable operation, e.g. like 'Peek References'"));nl(xGe,class{constructor(){this._tokens=new WeakMap}add(l,e){let i=this._tokens.get(l);i||(i=l.invokeWithinContext(s=>{const c=GIt.bindTo(s.get(Ko)),d=new _v;return{key:c,tokens:d}}),this._tokens.set(l,i));let n;return i.key.set(!0),n=i.tokens.push(e),()=>{n&&(n(),i.key.set(!i.tokens.isEmpty()),n=void 0)}}cancel(l){const e=this._tokens.get(l);if(!e)return;const i=e.tokens.pop();i&&(i.cancel(),e.key.set(!e.tokens.isEmpty()))}},1);class BEi extends ih{constructor(e,i){super(i),this.editor=e,this._unregister=e.invokeWithinContext(n=>n.get(xGe).add(e,this))}dispose(){this._unregister(),super.dispose()}}$r(new class extends tm{constructor(){super({id:"editor.cancelOperation",kbOpts:{weight:100,primary:9},precondition:GIt})}runEditorCommand(l,e){l.get(xGe).cancel(e)}});let KIt=class Uje{constructor(e,i){if(this.flags=i,this.flags&1){const n=e.getModel();this.modelVersionId=n?SB("{0}#{1}",n.uri.toString(),n.getVersionId()):null}else this.modelVersionId=null;this.flags&4?this.position=e.getPosition():this.position=null,this.flags&2?this.selection=e.getSelection():this.selection=null,this.flags&8?(this.scrollLeft=e.getScrollLeft(),this.scrollTop=e.getScrollTop()):(this.scrollLeft=-1,this.scrollTop=-1)}_equals(e){if(!(e instanceof Uje))return!1;const i=e;return!(this.modelVersionId!==i.modelVersionId||this.scrollLeft!==i.scrollLeft||this.scrollTop!==i.scrollTop||!this.position&&i.position||this.position&&!i.position||this.position&&i.position&&!this.position.equals(i.position)||!this.selection&&i.selection||this.selection&&!i.selection||this.selection&&i.selection&&!this.selection.equalsRange(i.selection))}validate(e){return this._equals(new Uje(e,this.flags))}};class LR extends BEi{constructor(e,i,n,s){super(e,s),this._listener=new wn,i&4&&this._listener.add(e.onDidChangeCursorPosition(c=>{(!n||!nt.containsPosition(n,c.position))&&this.cancel()})),i&2&&this._listener.add(e.onDidChangeCursorSelection(c=>{(!n||!nt.containsRange(n,c.selection))&&this.cancel()})),i&8&&this._listener.add(e.onDidScrollChange(c=>this.cancel())),i&1&&(this._listener.add(e.onDidChangeModel(c=>this.cancel())),this._listener.add(e.onDidChangeModelContent(c=>this.cancel())))}dispose(){this._listener.dispose(),super.dispose()}}class kGe extends ih{constructor(e,i){super(i),this._listener=e.onDidChangeContent(()=>this.cancel())}dispose(){this._listener.dispose(),super.dispose()}}function FL(l){return l&&typeof l.getEditorType=="function"?l.getEditorType()===Ase.ICodeEditor:!1}function TGe(l){return l&&typeof l.getEditorType=="function"?l.getEditorType()===Ase.IDiffEditor:!1}function WEi(l){return!!l&&typeof l=="object"&&typeof l.onDidChangeActiveEditor=="function"}function XIt(l){return FL(l)?l:TGe(l)?l.getModifiedEditor():WEi(l)&&FL(l.activeCodeEditor)?l.activeCodeEditor:null}class G${static _handleEolEdits(e,i){let n;const s=[];for(const c of i)typeof c.eol=="number"&&(n=c.eol),c.range&&typeof c.text=="string"&&s.push(c);return typeof n=="number"&&e.hasModel()&&e.getModel().pushEOL(n),s}static _isFullModelReplaceEdit(e,i){if(!e.hasModel())return!1;const n=e.getModel(),s=n.validateRange(i.range);return n.getFullModelRange().equalsRange(s)}static execute(e,i,n){n&&e.pushUndoStop();const s=GE.capture(e),c=G$._handleEolEdits(e,i);c.length===1&&G$._isFullModelReplaceEdit(e,c[0])?e.executeEdits("formatEditsCommand",c.map(d=>eh.replace(nt.lift(d.range),d.text))):e.executeEdits("formatEditsCommand",c.map(d=>eh.replaceMove(nt.lift(d.range),d.text))),n&&e.pushUndoStop(),s.restoreRelativeVerticalPositionOfCursor(e)}}class Swt{constructor(e){this.value=e,this._lower=e.toLowerCase()}static toKey(e){return typeof e=="string"?e.toLowerCase():e._lower}}class VEi{constructor(e){if(this._set=new Set,e)for(const i of e)this.add(i)}add(e){this._set.add(Swt.toKey(e))}has(e){return this._set.has(Swt.toKey(e))}}function QIt(l,e,i){const n=[],s=new VEi,c=l.ordered(i);for(const f of c)n.push(f),f.extensionId&&s.add(f.extensionId);const d=e.ordered(i);for(const f of d){if(f.extensionId){if(s.has(f.extensionId))continue;s.add(f.extensionId)}n.push({displayName:f.displayName,extensionId:f.extensionId,provideDocumentFormattingEdits(m,v,x){return f.provideDocumentRangeFormattingEdits(m,m.getFullModelRange(),v,x)}})}return n}const Cne=class Cne{static setFormatterSelector(e){return{dispose:Cne._selectors.unshift(e)}}static async select(e,i,n,s){if(e.length===0)return;const c=dl.first(Cne._selectors);if(c)return await c(e,i,n,s)}};Cne._selectors=new _v;let Are=Cne;async function ZIt(l,e,i,n,s,c,d){const f=l.get(ho),{documentRangeFormattingEditProvider:m}=l.get(Ts),v=FL(e)?e.getModel():e,x=m.ordered(v),w=await Are.select(x,v,n,2);w&&(s.report(w),await f.invokeFunction(HEi,w,e,i,c,d))}async function HEi(l,e,i,n,s,c){var Y,ae;const d=l.get(Fb),f=l.get(N0),m=l.get(jR);let v,x;FL(i)?(v=i.getModel(),x=new LR(i,5,void 0,s)):(v=i,x=new kGe(i,s));const w=[];let I=0;for(const me of Vqe(n).sort(nt.compareRangesUsingStarts))I>0&&nt.areIntersectingOrTouching(w[I-1],me)?w[I-1]=nt.fromPositions(w[I-1].getStartPosition(),me.getEndPosition()):I=w.push(me);const P=async me=>{var Ce,Fe;f.trace("[format][provideDocumentRangeFormattingEdits] (request)",(Ce=e.extensionId)==null?void 0:Ce.value,me);const ye=await e.provideDocumentRangeFormattingEdits(v,me,v.getFormattingOptions(),x.token)||[];return f.trace("[format][provideDocumentRangeFormattingEdits] (response)",(Fe=e.extensionId)==null?void 0:Fe.value,ye),ye},O=(me,ye)=>{if(!me.length||!ye.length)return!1;const Ce=me.reduce((Fe,rt)=>nt.plusRange(Fe,rt.range),me[0].range);if(!ye.some(Fe=>nt.intersectRanges(Ce,Fe.range)))return!1;for(const Fe of me)for(const rt of ye)if(nt.intersectRanges(Fe.range,rt.range))return!0;return!1},z=[],J=[];try{if(typeof e.provideDocumentRangesFormattingEdits=="function"){f.trace("[format][provideDocumentRangeFormattingEdits] (request)",(Y=e.extensionId)==null?void 0:Y.value,w);const me=await e.provideDocumentRangesFormattingEdits(v,w,v.getFormattingOptions(),x.token)||[];f.trace("[format][provideDocumentRangeFormattingEdits] (response)",(ae=e.extensionId)==null?void 0:ae.value,me),J.push(me)}else{for(const me of w){if(x.token.isCancellationRequested)return!0;J.push(await P(me))}for(let me=0;me<w.length;++me)for(let ye=me+1;ye<w.length;++ye){if(x.token.isCancellationRequested)return!0;if(O(J[me],J[ye])){const Ce=nt.plusRange(w[me],w[ye]),Fe=await P(Ce);w.splice(ye,1),w.splice(me,1),w.push(Ce),J.splice(ye,1),J.splice(me,1),J.push(Fe),me=0,ye=0}}}for(const me of J){if(x.token.isCancellationRequested)return!0;const ye=await d.computeMoreMinimalEdits(v.uri,me);ye&&z.push(...ye)}}finally{x.dispose()}if(z.length===0)return!1;if(FL(i))G$.execute(i,z,!0),i.revealPositionInCenterIfOutsideViewport(i.getPosition(),1);else{const[{range:me}]=z,ye=new Us(me.startLineNumber,me.startColumn,me.endLineNumber,me.endColumn);v.pushEditOperations([ye],z.map(Ce=>({text:Ce.text,range:nt.lift(Ce.range),forceMoveMarkers:!0})),Ce=>{for(const{range:Fe}of Ce)if(nt.areIntersectingOrTouching(Fe,ye))return[new Us(Fe.startLineNumber,Fe.startColumn,Fe.endLineNumber,Fe.endColumn)];return null})}return m.playSignal(hw.format,{userGesture:c}),!0}async function jEi(l,e,i,n,s,c){const d=l.get(ho),f=l.get(Ts),m=FL(e)?e.getModel():e,v=QIt(f.documentFormattingEditProvider,f.documentRangeFormattingEditProvider,m),x=await Are.select(v,m,i,1);x&&(n.report(x),await d.invokeFunction(zEi,x,e,i,s,c))}async function zEi(l,e,i,n,s,c){const d=l.get(Fb),f=l.get(jR);let m,v;FL(i)?(m=i.getModel(),v=new LR(i,5,void 0,s)):(m=i,v=new kGe(i,s));let x;try{const w=await e.provideDocumentFormattingEdits(m,m.getFormattingOptions(),v.token);if(x=await d.computeMoreMinimalEdits(m.uri,w),v.token.isCancellationRequested)return!0}finally{v.dispose()}if(!x||x.length===0)return!1;if(FL(i))G$.execute(i,x,n!==2),n!==2&&i.revealPositionInCenterIfOutsideViewport(i.getPosition(),1);else{const[{range:w}]=x,I=new Us(w.startLineNumber,w.startColumn,w.endLineNumber,w.endColumn);m.pushEditOperations([I],x.map(P=>({text:P.text,range:nt.lift(P.range),forceMoveMarkers:!0})),P=>{for(const{range:O}of P)if(nt.areIntersectingOrTouching(O,I))return[new Us(O.startLineNumber,O.startColumn,O.endLineNumber,O.endColumn)];return null})}return f.playSignal(hw.format,{userGesture:c}),!0}async function UEi(l,e,i,n,s,c){const d=e.documentRangeFormattingEditProvider.ordered(i);for(const f of d){const m=await Promise.resolve(f.provideDocumentRangeFormattingEdits(i,n,s,c)).catch(t_);if(ky(m))return await l.computeMoreMinimalEdits(i.uri,m)}}async function qEi(l,e,i,n,s){const c=QIt(e.documentFormattingEditProvider,e.documentRangeFormattingEditProvider,i);for(const d of c){const f=await Promise.resolve(d.provideDocumentFormattingEdits(i,n,s)).catch(t_);if(ky(f))return await l.computeMoreMinimalEdits(i.uri,f)}}function YIt(l,e,i,n,s,c,d){const f=e.onTypeFormattingEditProvider.ordered(i);return f.length===0||f[0].autoFormatTriggerCharacters.indexOf(s)<0?Promise.resolve(void 0):Promise.resolve(f[0].provideOnTypeFormattingEdits(i,n,s,c,d)).catch(t_).then(m=>l.computeMoreMinimalEdits(i.uri,m))}fl.registerCommand("_executeFormatRangeProvider",async function(l,...e){const[i,n,s]=e;Fl(yo.isUri(i)),Fl(nt.isIRange(n));const c=l.get(Ob),d=l.get(Fb),f=l.get(Ts),m=await c.createModelReference(i);try{return UEi(d,f,m.object.textEditorModel,nt.lift(n),s,cc.None)}finally{m.dispose()}});fl.registerCommand("_executeFormatDocumentProvider",async function(l,...e){const[i,n]=e;Fl(yo.isUri(i));const s=l.get(Ob),c=l.get(Fb),d=l.get(Ts),f=await s.createModelReference(i);try{return qEi(c,d,f.object.textEditorModel,n,cc.None)}finally{f.dispose()}});fl.registerCommand("_executeFormatOnTypeProvider",async function(l,...e){const[i,n,s,c]=e;Fl(yo.isUri(i)),Fl(pi.isIPosition(n)),Fl(typeof s=="string");const d=l.get(Ob),f=l.get(Fb),m=l.get(Ts),v=await d.createModelReference(i);try{return YIt(f,m,v.object.textEditorModel,pi.lift(n),s,c,cc.None)}finally{v.dispose()}});KE.wrappingIndent.defaultValue=0;KE.glyphMargin.defaultValue=!1;KE.autoIndent.defaultValue=3;KE.overviewRulerLanes.defaultValue=2;Are.setFormatterSelector((l,e,i)=>Promise.resolve(l[0]));const Ev=Xkt();Ev.editor=$Di();Ev.languages=FEi();const eNt=Ev.CancellationTokenSource,tNt=Ev.Emitter,iNt=Ev.KeyCode,nNt=Ev.KeyMod,rNt=Ev.Position,sNt=Ev.Range,oNt=Ev.Selection,aNt=Ev.SelectionDirection,cNt=Ev.MarkerSeverity,lNt=Ev.MarkerTag,Bwe=Ev.Uri,uNt=Ev.Token,c9=Ev.editor,aT=Ev.languages,x9e=globalThis.MonacoEnvironment;(x9e!=null&&x9e.globalAPI||typeof define=="function"&&define.amd)&&(globalThis.monaco=Ev);typeof globalThis.require<"u"&&typeof globalThis.require.config=="function"&&globalThis.require.config({ignoreDuplicateModules:["vscode-languageserver-types","vscode-languageserver-types/main","vscode-languageserver-textdocument","vscode-languageserver-textdocument/main","vscode-nls","vscode-nls/vscode-nls","jsonc-parser","jsonc-parser/main","vscode-uri","vscode-uri/index","vs/basic-languages/typescript/typescript"]});const Fse=Object.freeze(Object.defineProperty({__proto__:null,CancellationTokenSource:eNt,Emitter:tNt,KeyCode:iNt,KeyMod:nNt,MarkerSeverity:cNt,MarkerTag:lNt,Position:rNt,Range:sNt,Selection:oNt,SelectionDirection:aNt,Token:uNt,Uri:Bwe,editor:c9,languages:aT},Symbol.toStringTag,{value:"Module"}));/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var $Ei=Object.defineProperty,JEi=Object.getOwnPropertyDescriptor,GEi=Object.getOwnPropertyNames,KEi=Object.prototype.hasOwnProperty,XEi=(l,e,i,n)=>{if(e&&typeof e=="object"||typeof e=="function")for(let s of GEi(e))!KEi.call(l,s)&&s!==i&&$Ei(l,s,{get:()=>e[s],enumerable:!(n=JEi(e,s))||n.enumerable});return l},QEi=(l,e,i)=>(XEi(l,e,"default"),i),die={};QEi(die,Fse);var dNt={},k9e={},ZEi=class fNt{static getOrCreate(e){return k9e[e]||(k9e[e]=new fNt(e)),k9e[e]}constructor(e){this._languageId=e,this._loadingTriggered=!1,this._lazyLoadPromise=new Promise((i,n)=>{this._lazyLoadPromiseResolve=i,this._lazyLoadPromiseReject=n})}load(){return this._loadingTriggered||(this._loadingTriggered=!0,dNt[this._languageId].loader().then(e=>this._lazyLoadPromiseResolve(e),e=>this._lazyLoadPromiseReject(e))),this._lazyLoadPromise}};function Io(l){const e=l.id;dNt[e]=l,die.languages.register(l);const i=ZEi.getOrCreate(e);die.languages.registerTokensProviderFactory(e,{create:async()=>(await i.load()).language}),die.languages.onLanguageEncountered(e,async()=>{const n=await i.load();die.languages.setLanguageConfiguration(e,n.conf)})}Io({id:"abap",extensions:[".abap"],aliases:["abap","ABAP"],loader:()=>_o(()=>import("./abap-Dwu-KH2x.js"),[])});Io({id:"apex",extensions:[".cls"],aliases:["Apex","apex"],mimetypes:["text/x-apex-source","text/x-apex"],loader:()=>_o(()=>import("./apex-Dn-XaljK.js"),[])});Io({id:"azcli",extensions:[".azcli"],aliases:["Azure CLI","azcli"],loader:()=>_o(()=>import("./azcli-C9RgAbUI.js"),[])});Io({id:"bat",extensions:[".bat",".cmd"],aliases:["Batch","bat"],loader:()=>_o(()=>import("./bat-CoZ5qQQA.js"),[])});Io({id:"bicep",extensions:[".bicep"],aliases:["Bicep"],loader:()=>_o(()=>import("./bicep-BTXPn4uT.js"),[])});Io({id:"cameligo",extensions:[".mligo"],aliases:["Cameligo"],loader:()=>_o(()=>import("./cameligo-J_4-LjdT.js"),[])});Io({id:"clojure",extensions:[".clj",".cljs",".cljc",".edn"],aliases:["clojure","Clojure"],loader:()=>_o(()=>import("./clojure-DDKRPAGl.js"),[])});Io({id:"coffeescript",extensions:[".coffee"],aliases:["CoffeeScript","coffeescript","coffee"],mimetypes:["text/x-coffeescript","text/coffeescript"],loader:()=>_o(()=>import("./coffee-C8z2l6sj.js"),[])});Io({id:"c",extensions:[".c",".h"],aliases:["C","c"],loader:()=>_o(()=>import("./cpp-DI3p9f9v.js"),[])});Io({id:"cpp",extensions:[".cpp",".cc",".cxx",".hpp",".hh",".hxx"],aliases:["C++","Cpp","cpp"],loader:()=>_o(()=>import("./cpp-DI3p9f9v.js"),[])});Io({id:"csharp",extensions:[".cs",".csx",".cake"],aliases:["C#","csharp"],loader:()=>_o(()=>import("./csharp-Bya7J2fC.js"),[])});Io({id:"csp",extensions:[".csp"],aliases:["CSP","csp"],loader:()=>_o(()=>import("./csp-g7vr-vut.js"),[])});Io({id:"css",extensions:[".css"],aliases:["CSS","css"],mimetypes:["text/css"],loader:()=>_o(()=>import("./css-fOVBx6Gk.js"),[])});Io({id:"cypher",extensions:[".cypher",".cyp"],aliases:["Cypher","OpenCypher"],loader:()=>_o(()=>import("./cypher-DciL1opS.js"),[])});Io({id:"dart",extensions:[".dart"],aliases:["Dart","dart"],mimetypes:["text/x-dart-source","text/x-dart"],loader:()=>_o(()=>import("./dart-CAkRrlCV.js"),[])});Io({id:"dockerfile",extensions:[".dockerfile"],filenames:["Dockerfile"],aliases:["Dockerfile"],loader:()=>_o(()=>import("./dockerfile-CHkS34TV.js"),[])});Io({id:"ecl",extensions:[".ecl"],aliases:["ECL","Ecl","ecl"],loader:()=>_o(()=>import("./ecl-qjrLrnvP.js"),[])});Io({id:"elixir",extensions:[".ex",".exs"],aliases:["Elixir","elixir","ex"],loader:()=>_o(()=>import("./elixir-Bn2aVyyF.js"),[])});Io({id:"flow9",extensions:[".flow"],aliases:["Flow9","Flow","flow9","flow"],loader:()=>_o(()=>import("./flow9-CcGMeAxr.js"),[])});Io({id:"fsharp",extensions:[".fs",".fsi",".ml",".mli",".fsx",".fsscript"],aliases:["F#","FSharp","fsharp"],loader:()=>_o(()=>import("./fsharp-P5WqO7sL.js"),[])});Io({id:"freemarker2",extensions:[".ftl",".ftlh",".ftlx"],aliases:["FreeMarker2","Apache FreeMarker2"],loader:()=>_o(()=>import("./freemarker2-OnI5Gveq.js"),__vite__mapDeps([0,1,2])).then(l=>l.TagAutoInterpolationDollar)});Io({id:"freemarker2.tag-angle.interpolation-dollar",aliases:["FreeMarker2 (Angle/Dollar)","Apache FreeMarker2 (Angle/Dollar)"],loader:()=>_o(()=>import("./freemarker2-OnI5Gveq.js"),__vite__mapDeps([0,1,2])).then(l=>l.TagAngleInterpolationDollar)});Io({id:"freemarker2.tag-bracket.interpolation-dollar",aliases:["FreeMarker2 (Bracket/Dollar)","Apache FreeMarker2 (Bracket/Dollar)"],loader:()=>_o(()=>import("./freemarker2-OnI5Gveq.js"),__vite__mapDeps([0,1,2])).then(l=>l.TagBracketInterpolationDollar)});Io({id:"freemarker2.tag-angle.interpolation-bracket",aliases:["FreeMarker2 (Angle/Bracket)","Apache FreeMarker2 (Angle/Bracket)"],loader:()=>_o(()=>import("./freemarker2-OnI5Gveq.js"),__vite__mapDeps([0,1,2])).then(l=>l.TagAngleInterpolationBracket)});Io({id:"freemarker2.tag-bracket.interpolation-bracket",aliases:["FreeMarker2 (Bracket/Bracket)","Apache FreeMarker2 (Bracket/Bracket)"],loader:()=>_o(()=>import("./freemarker2-OnI5Gveq.js"),__vite__mapDeps([0,1,2])).then(l=>l.TagBracketInterpolationBracket)});Io({id:"freemarker2.tag-auto.interpolation-dollar",aliases:["FreeMarker2 (Auto/Dollar)","Apache FreeMarker2 (Auto/Dollar)"],loader:()=>_o(()=>import("./freemarker2-OnI5Gveq.js"),__vite__mapDeps([0,1,2])).then(l=>l.TagAutoInterpolationDollar)});Io({id:"freemarker2.tag-auto.interpolation-bracket",aliases:["FreeMarker2 (Auto/Bracket)","Apache FreeMarker2 (Auto/Bracket)"],loader:()=>_o(()=>import("./freemarker2-OnI5Gveq.js"),__vite__mapDeps([0,1,2])).then(l=>l.TagAutoInterpolationBracket)});Io({id:"go",extensions:[".go"],aliases:["Go"],loader:()=>_o(()=>import("./go-DHzw8g6E.js"),[])});Io({id:"graphql",extensions:[".graphql",".gql"],aliases:["GraphQL","graphql","gql"],mimetypes:["application/graphql"],loader:()=>_o(()=>import("./graphql-DHR0rah7.js"),[])});Io({id:"handlebars",extensions:[".handlebars",".hbs"],aliases:["Handlebars","handlebars","hbs"],mimetypes:["text/x-handlebars-template"],loader:()=>_o(()=>import("./handlebars-BHvBDF_T.js"),__vite__mapDeps([3,1,2]))});Io({id:"hcl",extensions:[".tf",".tfvars",".hcl"],aliases:["Terraform","tf","HCL","hcl"],loader:()=>_o(()=>import("./hcl-C75BKXms.js"),[])});Io({id:"html",extensions:[".html",".htm",".shtml",".xhtml",".mdoc",".jsp",".asp",".aspx",".jshtm"],aliases:["HTML","htm","html","xhtml"],mimetypes:["text/html","text/x-jshtm","text/template","text/ng-template"],loader:()=>_o(()=>import("./html-DfZYg3qg.js"),__vite__mapDeps([4,1,2]))});Io({id:"ini",extensions:[".ini",".properties",".gitconfig"],filenames:["config",".gitattributes",".gitconfig",".editorconfig"],aliases:["Ini","ini"],loader:()=>_o(()=>import("./ini-BJrO4HmQ.js"),[])});Io({id:"java",extensions:[".java",".jav"],aliases:["Java","java"],mimetypes:["text/x-java-source","text/x-java"],loader:()=>_o(()=>import("./java-BZVcG1df.js"),[])});Io({id:"javascript",extensions:[".js",".es6",".jsx",".mjs",".cjs"],firstLine:"^#!.*\\bnode",filenames:["jakefile"],aliases:["JavaScript","javascript","js"],mimetypes:["text/javascript"],loader:()=>_o(()=>import("./javascript-DbX-vxQa.js"),__vite__mapDeps([5,6,1,2]))});Io({id:"julia",extensions:[".jl"],aliases:["julia","Julia"],loader:()=>_o(()=>import("./julia-y6tXNwRO.js"),[])});Io({id:"kotlin",extensions:[".kt",".kts"],aliases:["Kotlin","kotlin"],mimetypes:["text/x-kotlin-source","text/x-kotlin"],loader:()=>_o(()=>import("./kotlin-a3XSJ_gM.js"),[])});Io({id:"less",extensions:[".less"],aliases:["Less","less"],mimetypes:["text/x-less","text/less"],loader:()=>_o(()=>import("./less-DFUTkVLx.js"),[])});Io({id:"lexon",extensions:[".lex"],aliases:["Lexon"],loader:()=>_o(()=>import("./lexon-DVsYuwex.js"),[])});Io({id:"lua",extensions:[".lua"],aliases:["Lua","lua"],loader:()=>_o(()=>import("./lua-DYx-Jejz.js"),[])});Io({id:"liquid",extensions:[".liquid",".html.liquid"],aliases:["Liquid","liquid"],mimetypes:["application/liquid"],loader:()=>_o(()=>import("./liquid-fLVojwb4.js"),__vite__mapDeps([7,1,2]))});Io({id:"m3",extensions:[".m3",".i3",".mg",".ig"],aliases:["Modula-3","Modula3","modula3","m3"],loader:()=>_o(()=>import("./m3-BMrvfaad.js"),[])});Io({id:"markdown",extensions:[".md",".markdown",".mdown",".mkdn",".mkd",".mdwn",".mdtxt",".mdtext"],aliases:["Markdown","markdown"],loader:()=>_o(()=>import("./markdown-DuPmBnHi.js"),[])});Io({id:"mdx",extensions:[".mdx"],aliases:["MDX","mdx"],loader:()=>_o(()=>import("./mdx-gI0abYvb.js"),__vite__mapDeps([8,1,2]))});Io({id:"mips",extensions:[".s"],aliases:["MIPS","MIPS-V"],mimetypes:["text/x-mips","text/mips","text/plaintext"],loader:()=>_o(()=>import("./mips-BqUeXQd9.js"),[])});Io({id:"msdax",extensions:[".dax",".msdax"],aliases:["DAX","MSDAX"],loader:()=>_o(()=>import("./msdax-Dw-TSVhm.js"),[])});Io({id:"mysql",extensions:[],aliases:["MySQL","mysql"],loader:()=>_o(()=>import("./mysql-CcpH9Ljq.js"),[])});Io({id:"objective-c",extensions:[".m"],aliases:["Objective-C"],loader:()=>_o(()=>import("./objective-c-BRNxDdm3.js"),[])});Io({id:"pascal",extensions:[".pas",".p",".pp"],aliases:["Pascal","pas"],mimetypes:["text/x-pascal-source","text/x-pascal"],loader:()=>_o(()=>import("./pascal-Cn8aAeCV.js"),[])});Io({id:"pascaligo",extensions:[".ligo"],aliases:["Pascaligo","ligo"],loader:()=>_o(()=>import("./pascaligo-dBAnVStS.js"),[])});Io({id:"perl",extensions:[".pl",".pm"],aliases:["Perl","pl"],loader:()=>_o(()=>import("./perl-C4L3BrdA.js"),[])});Io({id:"pgsql",extensions:[],aliases:["PostgreSQL","postgres","pg","postgre"],loader:()=>_o(()=>import("./pgsql-BOSk5tQb.js"),[])});Io({id:"php",extensions:[".php",".php4",".php5",".phtml",".ctp"],aliases:["PHP","php"],mimetypes:["application/x-php"],loader:()=>_o(()=>import("./php-DzsKq5vr.js"),[])});Io({id:"pla",extensions:[".pla"],loader:()=>_o(()=>import("./pla-C6GoMDq5.js"),[])});Io({id:"postiats",extensions:[".dats",".sats",".hats"],aliases:["ATS","ATS/Postiats"],loader:()=>_o(()=>import("./postiats-DQpJ_7Kk.js"),[])});Io({id:"powerquery",extensions:[".pq",".pqm"],aliases:["PQ","M","Power Query","Power Query M"],loader:()=>_o(()=>import("./powerquery-M-mSA4YD.js"),[])});Io({id:"powershell",extensions:[".ps1",".psm1",".psd1"],aliases:["PowerShell","powershell","ps","ps1"],loader:()=>_o(()=>import("./powershell-DzOgjbHk.js"),[])});Io({id:"proto",extensions:[".proto"],aliases:["protobuf","Protocol Buffers"],loader:()=>_o(()=>import("./protobuf-BIE5FnyZ.js"),[])});Io({id:"pug",extensions:[".jade",".pug"],aliases:["Pug","Jade","jade"],loader:()=>_o(()=>import("./pug-s9KOoKAL.js"),[])});Io({id:"python",extensions:[".py",".rpy",".pyw",".cpy",".gyp",".gypi"],aliases:["Python","py"],firstLine:"^#!/.*\\bpython[0-9.-]*\\b",loader:()=>_o(()=>import("./python-D975luWu.js"),__vite__mapDeps([9,1,2]))});Io({id:"qsharp",extensions:[".qs"],aliases:["Q#","qsharp"],loader:()=>_o(()=>import("./qsharp-DWDrKSir.js"),[])});Io({id:"r",extensions:[".r",".rhistory",".rmd",".rprofile",".rt"],aliases:["R","r"],loader:()=>_o(()=>import("./r-DnKTOUT4.js"),[])});Io({id:"razor",extensions:[".cshtml"],aliases:["Razor","razor"],mimetypes:["text/x-cshtml"],loader:()=>_o(()=>import("./razor-BSJ28aAe.js"),__vite__mapDeps([10,1,2]))});Io({id:"redis",extensions:[".redis"],aliases:["redis"],loader:()=>_o(()=>import("./redis-CWZNkOVt.js"),[])});Io({id:"redshift",extensions:[],aliases:["Redshift","redshift"],loader:()=>_o(()=>import("./redshift-Bhrh1xcx.js"),[])});Io({id:"restructuredtext",extensions:[".rst"],aliases:["reStructuredText","restructuredtext"],loader:()=>_o(()=>import("./restructuredtext-CWmU_seV.js"),[])});Io({id:"ruby",extensions:[".rb",".rbx",".rjs",".gemspec",".pp"],filenames:["rakefile","Gemfile"],aliases:["Ruby","rb"],loader:()=>_o(()=>import("./ruby-2Tz7EiV8.js"),[])});Io({id:"rust",extensions:[".rs",".rlib"],aliases:["Rust","rust"],loader:()=>_o(()=>import("./rust-CrxrYIVW.js"),[])});Io({id:"sb",extensions:[".sb"],aliases:["Small Basic","sb"],loader:()=>_o(()=>import("./sb-DkpzO6Ij.js"),[])});Io({id:"scala",extensions:[".scala",".sc",".sbt"],aliases:["Scala","scala","SBT","Sbt","sbt","Dotty","dotty"],mimetypes:["text/x-scala-source","text/x-scala","text/x-sbt","text/x-dotty"],loader:()=>_o(()=>import("./scala-Du7mGxrp.js"),[])});Io({id:"scheme",extensions:[".scm",".ss",".sch",".rkt"],aliases:["scheme","Scheme"],loader:()=>_o(()=>import("./scheme-DnCYVA2x.js"),[])});Io({id:"scss",extensions:[".scss"],aliases:["Sass","sass","scss"],mimetypes:["text/x-scss","text/scss"],loader:()=>_o(()=>import("./scss-3iLYYaxs.js"),[])});Io({id:"shell",extensions:[".sh",".bash"],aliases:["Shell","sh"],loader:()=>_o(()=>import("./shell-D4ITwtg2.js"),[])});Io({id:"sol",extensions:[".sol"],aliases:["sol","solidity","Solidity"],loader:()=>_o(()=>import("./solidity-Bq53zNw4.js"),[])});Io({id:"aes",extensions:[".aes"],aliases:["aes","sophia","Sophia"],loader:()=>_o(()=>import("./sophia-BtzCauDs.js"),[])});Io({id:"sparql",extensions:[".rq"],aliases:["sparql","SPARQL"],loader:()=>_o(()=>import("./sparql-7--tI-JV.js"),[])});Io({id:"sql",extensions:[".sql"],aliases:["SQL"],loader:()=>_o(()=>import("./sql-B8Hnjumu.js"),[])});Io({id:"st",extensions:[".st",".iecst",".iecplc",".lc3lib",".TcPOU",".TcDUT",".TcGVL",".TcIO"],aliases:["StructuredText","scl","stl"],loader:()=>_o(()=>import("./st-BtpAQKub.js"),[])});Io({id:"swift",aliases:["Swift","swift"],extensions:[".swift"],mimetypes:["text/swift"],loader:()=>_o(()=>import("./swift-CVGPmAnX.js"),[])});Io({id:"systemverilog",extensions:[".sv",".svh"],aliases:["SV","sv","SystemVerilog","systemverilog"],loader:()=>_o(()=>import("./systemverilog-CzfWLrvP.js"),[])});Io({id:"verilog",extensions:[".v",".vh"],aliases:["V","v","Verilog","verilog"],loader:()=>_o(()=>import("./systemverilog-CzfWLrvP.js"),[])});Io({id:"tcl",extensions:[".tcl"],aliases:["tcl","Tcl","tcltk","TclTk","tcl/tk","Tcl/Tk"],loader:()=>_o(()=>import("./tcl-0V1PA5XN.js"),[])});Io({id:"twig",extensions:[".twig"],aliases:["Twig","twig"],mimetypes:["text/x-twig"],loader:()=>_o(()=>import("./twig-BwWq3-l7.js"),[])});Io({id:"typescript",extensions:[".ts",".tsx",".cts",".mts"],aliases:["TypeScript","ts","typescript"],mimetypes:["text/typescript"],loader:()=>_o(()=>import("./typescript-DSer1JU9.js"),__vite__mapDeps([6,1,2]))});Io({id:"typespec",extensions:[".tsp"],aliases:["TypeSpec"],loader:()=>_o(()=>import("./typespec-B73e1L9X.js"),[])});Io({id:"vb",extensions:[".vb"],aliases:["Visual Basic","vb"],loader:()=>_o(()=>import("./vb-ByO2b-39.js"),[])});Io({id:"wgsl",extensions:[".wgsl"],aliases:["WebGPU Shading Language","WGSL","wgsl"],loader:()=>_o(()=>import("./wgsl-DYQqGqAW.js"),[])});Io({id:"xml",extensions:[".xml",".xsd",".dtd",".ascx",".csproj",".config",".props",".targets",".wxi",".wxl",".wxs",".xaml",".svg",".svgz",".opf",".xslt",".xsl"],firstLine:"(\\<\\?xml.*)|(\\<svg)|(\\<\\!doctype\\s+svg)",aliases:["XML","xml"],mimetypes:["text/xml","application/xml","application/xaml+xml","application/xml-dtd"],loader:()=>_o(()=>import("./xml-Bfx2yygV.js"),__vite__mapDeps([11,1,2]))});Io({id:"yaml",extensions:[".yaml",".yml"],aliases:["YAML","yaml","YML","yml"],mimetypes:["application/x-yaml","text/x-yaml"],loader:()=>_o(()=>import("./yaml-BZGo8wj-.js"),__vite__mapDeps([12,1,2]))});/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var YEi=Object.defineProperty,eIi=Object.getOwnPropertyDescriptor,tIi=Object.getOwnPropertyNames,iIi=Object.prototype.hasOwnProperty,nIi=(l,e,i,n)=>{if(e&&typeof e=="object"||typeof e=="function")for(let s of tIi(e))!iIi.call(l,s)&&s!==i&&YEi(l,s,{get:()=>e[s],enumerable:!(n=eIi(e,s))||n.enumerable});return l},rIi=(l,e,i)=>(nIi(l,e,"default"),i),PJ={};rIi(PJ,Fse);var DGe=class{constructor(e,i,n){this._onDidChange=new PJ.Emitter,this._languageId=e,this.setOptions(i),this.setModeConfiguration(n)}get onDidChange(){return this._onDidChange.event}get languageId(){return this._languageId}get modeConfiguration(){return this._modeConfiguration}get diagnosticsOptions(){return this.options}get options(){return this._options}setOptions(e){this._options=e||Object.create(null),this._onDidChange.fire(this)}setDiagnosticsOptions(e){this.setOptions(e)}setModeConfiguration(e){this._modeConfiguration=e||Object.create(null),this._onDidChange.fire(this)}},EGe={validate:!0,lint:{compatibleVendorPrefixes:"ignore",vendorPrefix:"warning",duplicateProperties:"warning",emptyRules:"warning",importStatement:"ignore",boxModel:"ignore",universalSelector:"ignore",zeroUnits:"ignore",fontFaceProperties:"warning",hexColorLength:"error",argumentsInColorFunction:"error",unknownProperties:"warning",ieHack:"ignore",unknownVendorSpecificProperties:"ignore",propertyIgnoredDueToDisplay:"warning",important:"ignore",float:"ignore",idSelector:"ignore"},data:{useDefaultDataProvider:!0},format:{newlineBetweenSelectors:!0,newlineBetweenRules:!0,spaceAroundSelectorSeparator:!1,braceStyle:"collapse",maxPreserveNewLines:void 0,preserveNewLines:!0}},IGe={completionItems:!0,hovers:!0,documentSymbols:!0,definitions:!0,references:!0,documentHighlights:!0,rename:!0,colors:!0,foldingRanges:!0,diagnostics:!0,selectionRanges:!0,documentFormattingEdits:!0,documentRangeFormattingEdits:!0},hNt=new DGe("css",EGe,IGe),_Nt=new DGe("scss",EGe,IGe),pNt=new DGe("less",EGe,IGe);PJ.languages.css={cssDefaults:hNt,lessDefaults:pNt,scssDefaults:_Nt};function NGe(){return _o(()=>import("./cssMode-8LFZwXV3.js"),__vite__mapDeps([13,1,2]))}PJ.languages.onLanguage("less",()=>{NGe().then(l=>l.setupMode(pNt))});PJ.languages.onLanguage("scss",()=>{NGe().then(l=>l.setupMode(_Nt))});PJ.languages.onLanguage("css",()=>{NGe().then(l=>l.setupMode(hNt))});/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var sIi=Object.defineProperty,oIi=Object.getOwnPropertyDescriptor,aIi=Object.getOwnPropertyNames,cIi=Object.prototype.hasOwnProperty,lIi=(l,e,i,n)=>{if(e&&typeof e=="object"||typeof e=="function")for(let s of aIi(e))!cIi.call(l,s)&&s!==i&&sIi(l,s,{get:()=>e[s],enumerable:!(n=oIi(e,s))||n.enumerable});return l},uIi=(l,e,i)=>(lIi(l,e,"default"),i),Wwe={};uIi(Wwe,Fse);var dIi=class{constructor(e,i,n){this._onDidChange=new Wwe.Emitter,this._languageId=e,this.setOptions(i),this.setModeConfiguration(n)}get onDidChange(){return this._onDidChange.event}get languageId(){return this._languageId}get options(){return this._options}get modeConfiguration(){return this._modeConfiguration}setOptions(e){this._options=e||Object.create(null),this._onDidChange.fire(this)}setModeConfiguration(e){this._modeConfiguration=e||Object.create(null),this._onDidChange.fire(this)}},fIi={tabSize:4,insertSpaces:!1,wrapLineLength:120,unformatted:'default": "a, abbr, acronym, b, bdo, big, br, button, cite, code, dfn, em, i, img, input, kbd, label, map, object, q, samp, select, small, span, strong, sub, sup, textarea, tt, var',contentUnformatted:"pre",indentInnerHtml:!1,preserveNewLines:!0,maxPreserveNewLines:void 0,indentHandlebars:!1,endWithNewline:!1,extraLiners:"head, body, /html",wrapAttributes:"auto"},Vwe={format:fIi,suggest:{},data:{useDefaultDataProvider:!0}};function Hwe(l){return{completionItems:!0,hovers:!0,documentSymbols:!0,links:!0,documentHighlights:!0,rename:!0,colors:!0,foldingRanges:!0,selectionRanges:!0,diagnostics:l===Qie,documentFormattingEdits:l===Qie,documentRangeFormattingEdits:l===Qie}}var Qie="html",wwt="handlebars",xwt="razor",mNt=jwe(Qie,Vwe,Hwe(Qie)),hIi=mNt.defaults,gNt=jwe(wwt,Vwe,Hwe(wwt)),_Ii=gNt.defaults,yNt=jwe(xwt,Vwe,Hwe(xwt)),pIi=yNt.defaults;Wwe.languages.html={htmlDefaults:hIi,razorDefaults:pIi,handlebarDefaults:_Ii,htmlLanguageService:mNt,handlebarLanguageService:gNt,razorLanguageService:yNt,registerHTMLLanguageService:jwe};function mIi(){return _o(()=>import("./htmlMode-c_yfuTN3.js"),__vite__mapDeps([14,1,2]))}function jwe(l,e=Vwe,i=Hwe(l)){const n=new dIi(l,e,i);let s;const c=Wwe.languages.onLanguage(l,async()=>{s=(await mIi()).setupMode(n)});return{defaults:n,dispose(){c.dispose(),s==null||s.dispose(),s=void 0}}}/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var gIi=Object.defineProperty,yIi=Object.getOwnPropertyDescriptor,vIi=Object.getOwnPropertyNames,bIi=Object.prototype.hasOwnProperty,CIi=(l,e,i,n)=>{if(e&&typeof e=="object"||typeof e=="function")for(let s of vIi(e))!bIi.call(l,s)&&s!==i&&gIi(l,s,{get:()=>e[s],enumerable:!(n=yIi(e,s))||n.enumerable});return l},SIi=(l,e,i)=>(CIi(l,e,"default"),i),Bse={};SIi(Bse,Fse);var wIi=class{constructor(e,i,n){this._onDidChange=new Bse.Emitter,this._languageId=e,this.setDiagnosticsOptions(i),this.setModeConfiguration(n)}get onDidChange(){return this._onDidChange.event}get languageId(){return this._languageId}get modeConfiguration(){return this._modeConfiguration}get diagnosticsOptions(){return this._diagnosticsOptions}setDiagnosticsOptions(e){this._diagnosticsOptions=e||Object.create(null),this._onDidChange.fire(this)}setModeConfiguration(e){this._modeConfiguration=e||Object.create(null),this._onDidChange.fire(this)}},xIi={validate:!0,allowComments:!0,schemas:[],enableSchemaRequest:!1,schemaRequest:"warning",schemaValidation:"warning",comments:"error",trailingCommas:"error"},kIi={documentFormattingEdits:!0,documentRangeFormattingEdits:!0,completionItems:!0,hovers:!0,documentSymbols:!0,tokens:!0,colors:!0,foldingRanges:!0,diagnostics:!0,selectionRanges:!0},vNt=new wIi("json",xIi,kIi),TIi=()=>bNt().then(l=>l.getWorker());Bse.languages.json={jsonDefaults:vNt,getWorker:TIi};function bNt(){return _o(()=>import("./jsonMode-BkHO8n_X.js"),__vite__mapDeps([15,1,2]))}Bse.languages.register({id:"json",extensions:[".json",".bowerrc",".jshintrc",".jscsrc",".eslintrc",".babelrc",".har"],aliases:["JSON","json"],mimetypes:["application/json"]});Bse.languages.onLanguage("json",()=>{bNt().then(l=>l.setupMode(vNt))});/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var DIi=Object.defineProperty,EIi=Object.getOwnPropertyDescriptor,IIi=Object.getOwnPropertyNames,NIi=Object.prototype.hasOwnProperty,LIi=(l,e,i,n)=>{if(e&&typeof e=="object"||typeof e=="function")for(let s of IIi(e))!NIi.call(l,s)&&s!==i&&DIi(l,s,{get:()=>e[s],enumerable:!(n=EIi(e,s))||n.enumerable});return l},PIi=(l,e,i)=>(LIi(l,e,"default"),i),AIi="5.4.5",K$={};PIi(K$,Fse);var CNt=(l=>(l[l.None=0]="None",l[l.CommonJS=1]="CommonJS",l[l.AMD=2]="AMD",l[l.UMD=3]="UMD",l[l.System=4]="System",l[l.ES2015=5]="ES2015",l[l.ESNext=99]="ESNext",l))(CNt||{}),SNt=(l=>(l[l.None=0]="None",l[l.Preserve=1]="Preserve",l[l.React=2]="React",l[l.ReactNative=3]="ReactNative",l[l.ReactJSX=4]="ReactJSX",l[l.ReactJSXDev=5]="ReactJSXDev",l))(SNt||{}),wNt=(l=>(l[l.CarriageReturnLineFeed=0]="CarriageReturnLineFeed",l[l.LineFeed=1]="LineFeed",l))(wNt||{}),xNt=(l=>(l[l.ES3=0]="ES3",l[l.ES5=1]="ES5",l[l.ES2015=2]="ES2015",l[l.ES2016=3]="ES2016",l[l.ES2017=4]="ES2017",l[l.ES2018=5]="ES2018",l[l.ES2019=6]="ES2019",l[l.ES2020=7]="ES2020",l[l.ESNext=99]="ESNext",l[l.JSON=100]="JSON",l[l.Latest=99]="Latest",l))(xNt||{}),kNt=(l=>(l[l.Classic=1]="Classic",l[l.NodeJs=2]="NodeJs",l))(kNt||{}),TNt=class{constructor(l,e,i,n,s){this._onDidChange=new K$.Emitter,this._onDidExtraLibsChange=new K$.Emitter,this._extraLibs=Object.create(null),this._removedExtraLibs=Object.create(null),this._eagerModelSync=!1,this.setCompilerOptions(l),this.setDiagnosticsOptions(e),this.setWorkerOptions(i),this.setInlayHintsOptions(n),this.setModeConfiguration(s),this._onDidExtraLibsChangeTimeout=-1}get onDidChange(){return this._onDidChange.event}get onDidExtraLibsChange(){return this._onDidExtraLibsChange.event}get modeConfiguration(){return this._modeConfiguration}get workerOptions(){return this._workerOptions}get inlayHintsOptions(){return this._inlayHintsOptions}getExtraLibs(){return this._extraLibs}addExtraLib(l,e){let i;if(typeof e>"u"?i=`ts:extralib-${Math.random().toString(36).substring(2,15)}`:i=e,this._extraLibs[i]&&this._extraLibs[i].content===l)return{dispose:()=>{}};let n=1;return this._removedExtraLibs[i]&&(n=this._removedExtraLibs[i]+1),this._extraLibs[i]&&(n=this._extraLibs[i].version+1),this._extraLibs[i]={content:l,version:n},this._fireOnDidExtraLibsChangeSoon(),{dispose:()=>{let s=this._extraLibs[i];s&&s.version===n&&(delete this._extraLibs[i],this._removedExtraLibs[i]=n,this._fireOnDidExtraLibsChangeSoon())}}}setExtraLibs(l){for(const e in this._extraLibs)this._removedExtraLibs[e]=this._extraLibs[e].version;if(this._extraLibs=Object.create(null),l&&l.length>0)for(const e of l){const i=e.filePath||`ts:extralib-${Math.random().toString(36).substring(2,15)}`,n=e.content;let s=1;this._removedExtraLibs[i]&&(s=this._removedExtraLibs[i]+1),this._extraLibs[i]={content:n,version:s}}this._fireOnDidExtraLibsChangeSoon()}_fireOnDidExtraLibsChangeSoon(){this._onDidExtraLibsChangeTimeout===-1&&(this._onDidExtraLibsChangeTimeout=window.setTimeout(()=>{this._onDidExtraLibsChangeTimeout=-1,this._onDidExtraLibsChange.fire(void 0)},0))}getCompilerOptions(){return this._compilerOptions}setCompilerOptions(l){this._compilerOptions=l||Object.create(null),this._onDidChange.fire(void 0)}getDiagnosticsOptions(){return this._diagnosticsOptions}setDiagnosticsOptions(l){this._diagnosticsOptions=l||Object.create(null),this._onDidChange.fire(void 0)}setWorkerOptions(l){this._workerOptions=l||Object.create(null),this._onDidChange.fire(void 0)}setInlayHintsOptions(l){this._inlayHintsOptions=l||Object.create(null),this._onDidChange.fire(void 0)}setMaximumWorkerIdleTime(l){}setEagerModelSync(l){this._eagerModelSync=l}getEagerModelSync(){return this._eagerModelSync}setModeConfiguration(l){this._modeConfiguration=l||Object.create(null),this._onDidChange.fire(void 0)}},OIi=AIi,DNt={completionItems:!0,hovers:!0,documentSymbols:!0,definitions:!0,references:!0,documentHighlights:!0,rename:!0,diagnostics:!0,documentRangeFormattingEdits:!0,signatureHelp:!0,onTypeFormattingEdits:!0,codeActions:!0,inlayHints:!0},ENt=new TNt({allowNonTsExtensions:!0,target:99},{noSemanticValidation:!1,noSyntaxValidation:!1,onlyVisible:!1},{},{},DNt),INt=new TNt({allowNonTsExtensions:!0,allowJs:!0,target:99},{noSemanticValidation:!0,noSyntaxValidation:!1,onlyVisible:!1},{},{},DNt),MIi=()=>zwe().then(l=>l.getTypeScriptWorker()),RIi=()=>zwe().then(l=>l.getJavaScriptWorker());K$.languages.typescript={ModuleKind:CNt,JsxEmit:SNt,NewLineKind:wNt,ScriptTarget:xNt,ModuleResolutionKind:kNt,typescriptVersion:OIi,typescriptDefaults:ENt,javascriptDefaults:INt,getTypeScriptWorker:MIi,getJavaScriptWorker:RIi};function zwe(){return _o(()=>import("./tsMode-CSjQzO28.js"),__vite__mapDeps([16,1,2]))}K$.languages.onLanguage("typescript",()=>zwe().then(l=>l.setupTypeScript(ENt)));K$.languages.onLanguage("javascript",()=>zwe().then(l=>l.setupJavaScript(INt)));class FIi extends Tv{constructor(){super({id:"diffEditor.toggleCollapseUnchangedRegions",title:aa("toggleCollapseUnchangedRegions","Toggle Collapse Unchanged Regions"),icon:pr.map,toggled:kn.has("config.diffEditor.hideUnchangedRegions.enabled"),precondition:kn.has("isInDiffEditor"),menu:{when:kn.has("isInDiffEditor"),id:As.EditorTitle,order:22,group:"navigation"}})}run(e,...i){const n=e.get(Cc),s=!n.getValue("diffEditor.hideUnchangedRegions.enabled");n.updateValue("diffEditor.hideUnchangedRegions.enabled",s)}}class NNt extends Tv{constructor(){super({id:"diffEditor.toggleShowMovedCodeBlocks",title:aa("toggleShowMovedCodeBlocks","Toggle Show Moved Code Blocks"),precondition:kn.has("isInDiffEditor")})}run(e,...i){const n=e.get(Cc),s=!n.getValue("diffEditor.experimental.showMoves");n.updateValue("diffEditor.experimental.showMoves",s)}}class LNt extends Tv{constructor(){super({id:"diffEditor.toggleUseInlineViewWhenSpaceIsLimited",title:aa("toggleUseInlineViewWhenSpaceIsLimited","Toggle Use Inline View When Space Is Limited"),precondition:kn.has("isInDiffEditor")})}run(e,...i){const n=e.get(Cc),s=!n.getValue("diffEditor.useInlineViewWhenSpaceIsLimited");n.updateValue("diffEditor.useInlineViewWhenSpaceIsLimited",s)}}const Wse=aa("diffEditor","Diff Editor");class BIi extends XE{constructor(){super({id:"diffEditor.switchSide",title:aa("switchSide","Switch Side"),icon:pr.arrowSwap,precondition:kn.has("isInDiffEditor"),f1:!0,category:Wse})}runEditorCommand(e,i,n){const s=AJ(e);if(s instanceof h6){if(n&&n.dryRun)return{destinationSelection:s.mapToOtherSide().destinationSelection};s.switchSide()}}}class WIi extends XE{constructor(){super({id:"diffEditor.exitCompareMove",title:aa("exitCompareMove","Exit Compare Move"),icon:pr.close,precondition:Tt.comparingMovedCode,f1:!1,category:Wse,keybinding:{weight:1e4,primary:9}})}runEditorCommand(e,i,...n){const s=AJ(e);s instanceof h6&&s.exitCompareMove()}}class VIi extends XE{constructor(){super({id:"diffEditor.collapseAllUnchangedRegions",title:aa("collapseAllUnchangedRegions","Collapse All Unchanged Regions"),icon:pr.fold,precondition:kn.has("isInDiffEditor"),f1:!0,category:Wse})}runEditorCommand(e,i,...n){const s=AJ(e);s instanceof h6&&s.collapseAllUnchangedRegions()}}class HIi extends XE{constructor(){super({id:"diffEditor.showAllUnchangedRegions",title:aa("showAllUnchangedRegions","Show All Unchanged Regions"),icon:pr.unfold,precondition:kn.has("isInDiffEditor"),f1:!0,category:Wse})}runEditorCommand(e,i,...n){const s=AJ(e);s instanceof h6&&s.showAllUnchangedRegions()}}class qje extends Tv{constructor(){super({id:"diffEditor.revert",title:aa("revert","Revert"),f1:!1,category:Wse})}run(e,i){const n=jIi(e,i.originalUri,i.modifiedUri);n instanceof h6&&n.revertRangeMappings(i.mapping.innerChanges??[])}}const PNt=aa("accessibleDiffViewer","Accessible Diff Viewer"),FCe=class FCe extends Tv{constructor(){super({id:FCe.id,title:aa("editor.action.accessibleDiffViewer.next","Go to Next Difference"),category:PNt,precondition:kn.has("isInDiffEditor"),keybinding:{primary:65,weight:100},f1:!0})}run(e){const i=AJ(e);i==null||i.accessibleDiffViewerNext()}};FCe.id="editor.action.accessibleDiffViewer.next";let Ore=FCe;const BCe=class BCe extends Tv{constructor(){super({id:BCe.id,title:aa("editor.action.accessibleDiffViewer.prev","Go to Previous Difference"),category:PNt,precondition:kn.has("isInDiffEditor"),keybinding:{primary:1089,weight:100},f1:!0})}run(e){const i=AJ(e);i==null||i.accessibleDiffViewerPrev()}};BCe.id="editor.action.accessibleDiffViewer.prev";let Uve=BCe;function jIi(l,e,i){return l.get(Bl).listDiffEditors().find(c=>{var m,v;const d=c.getModifiedEditor(),f=c.getOriginalEditor();return d&&((m=d.getModel())==null?void 0:m.uri.toString())===i.toString()&&f&&((v=f.getModel())==null?void 0:v.uri.toString())===e.toString()})||null}function AJ(l){const i=l.get(Bl).listDiffEditors(),n=D0();if(n)for(const s of i){const c=s.getContainerDomNode();if(zIi(c,n))return s}return null}function zIi(l,e){let i=e;for(;i;){if(i===l)return!0;i=i.parentElement}return!1}Nd(FIi);Nd(NNt);Nd(LNt);yg.appendMenuItem(As.EditorTitle,{command:{id:new LNt().desc.id,title:W("useInlineViewWhenSpaceIsLimited","Use Inline View When Space Is Limited"),toggled:kn.has("config.diffEditor.useInlineViewWhenSpaceIsLimited"),precondition:kn.has("isInDiffEditor")},order:11,group:"1_diff",when:kn.and(Tt.diffEditorRenderSideBySideInlineBreakpointReached,kn.has("isInDiffEditor"))});yg.appendMenuItem(As.EditorTitle,{command:{id:new NNt().desc.id,title:W("showMoves","Show Moved Code Blocks"),icon:pr.move,toggled:pJ.create("config.diffEditor.experimental.showMoves",!0),precondition:kn.has("isInDiffEditor")},order:10,group:"1_diff",when:kn.has("isInDiffEditor")});Nd(qje);for(const l of[{icon:pr.arrowRight,key:Tt.diffEditorInlineMode.toNegated()},{icon:pr.discard,key:Tt.diffEditorInlineMode}])yg.appendMenuItem(As.DiffEditorHunkToolbar,{command:{id:new qje().desc.id,title:W("revertHunk","Revert Block"),icon:l.icon},when:kn.and(Tt.diffEditorModifiedWritable,l.key),order:5,group:"primary"}),yg.appendMenuItem(As.DiffEditorSelectionToolbar,{command:{id:new qje().desc.id,title:W("revertSelection","Revert Selection"),icon:l.icon},when:kn.and(Tt.diffEditorModifiedWritable,l.key),order:5,group:"primary"});Nd(BIi);Nd(WIi);Nd(VIi);Nd(HIi);yg.appendMenuItem(As.EditorTitle,{command:{id:Ore.id,title:W("Open Accessible Diff Viewer","Open Accessible Diff Viewer"),precondition:kn.has("isInDiffEditor")},order:10,group:"2_diff",when:kn.and(Tt.accessibleDiffViewerVisible.negate(),kn.has("isInDiffEditor"))});fl.registerCommandAlias("editor.action.diffReview.next",Ore.id);Nd(Ore);fl.registerCommandAlias("editor.action.diffReview.prev",Uve.id);Nd(Uve);var UIi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},qIi=function(l,e){return function(i,n){e(i,n,l)}},$je;const Uwe=new rs("selectionAnchorSet",!1);var O9;let PR=(O9=class{static get(e){return e.getContribution($je.ID)}constructor(e,i){this.editor=e,this.selectionAnchorSetContextKey=Uwe.bindTo(i),this.modelChangeListener=e.onDidChangeModel(()=>this.selectionAnchorSetContextKey.reset())}setSelectionAnchor(){if(this.editor.hasModel()){const e=this.editor.getPosition();this.editor.changeDecorations(i=>{this.decorationId&&i.removeDecoration(this.decorationId),this.decorationId=i.addDecoration(Us.fromPositions(e,e),{description:"selection-anchor",stickiness:1,hoverMessage:new m1().appendText(W("selectionAnchor","Selection Anchor")),className:"selection-anchor"})}),this.selectionAnchorSetContextKey.set(!!this.decorationId),xv(W("anchorSet","Anchor set at {0}:{1}",e.lineNumber,e.column))}}goToSelectionAnchor(){if(this.editor.hasModel()&&this.decorationId){const e=this.editor.getModel().getDecorationRange(this.decorationId);e&&this.editor.setPosition(e.getStartPosition())}}selectFromAnchorToCursor(){if(this.editor.hasModel()&&this.decorationId){const e=this.editor.getModel().getDecorationRange(this.decorationId);if(e){const i=this.editor.getPosition();this.editor.setSelection(Us.fromPositions(e.getStartPosition(),i)),this.cancelSelectionAnchor()}}}cancelSelectionAnchor(){if(this.decorationId){const e=this.decorationId;this.editor.changeDecorations(i=>{i.removeDecoration(e),this.decorationId=void 0}),this.selectionAnchorSetContextKey.set(!1)}}dispose(){this.cancelSelectionAnchor(),this.modelChangeListener.dispose()}},$je=O9,O9.ID="editor.contrib.selectionAnchorController",O9);PR=$je=UIi([qIi(1,Ko)],PR);class $Ii extends hs{constructor(){super({id:"editor.action.setSelectionAnchor",label:W("setSelectionAnchor","Set Selection Anchor"),alias:"Set Selection Anchor",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2080),weight:100}})}async run(e,i){var n;(n=PR.get(i))==null||n.setSelectionAnchor()}}class JIi extends hs{constructor(){super({id:"editor.action.goToSelectionAnchor",label:W("goToSelectionAnchor","Go to Selection Anchor"),alias:"Go to Selection Anchor",precondition:Uwe})}async run(e,i){var n;(n=PR.get(i))==null||n.goToSelectionAnchor()}}class GIi extends hs{constructor(){super({id:"editor.action.selectFromAnchorToCursor",label:W("selectFromAnchorToCursor","Select from Anchor to Cursor"),alias:"Select from Anchor to Cursor",precondition:Uwe,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2089),weight:100}})}async run(e,i){var n;(n=PR.get(i))==null||n.selectFromAnchorToCursor()}}class KIi extends hs{constructor(){super({id:"editor.action.cancelSelectionAnchor",label:W("cancelSelectionAnchor","Cancel Selection Anchor"),alias:"Cancel Selection Anchor",precondition:Uwe,kbOpts:{kbExpr:Tt.editorTextFocus,primary:9,weight:100}})}async run(e,i){var n;(n=PR.get(i))==null||n.cancelSelectionAnchor()}}Cl(PR.ID,PR,4);sr($Ii);sr(JIi);sr(GIi);sr(KIi);const XIi=It("editorOverviewRuler.bracketMatchForeground","#A0A0A0",W("overviewRulerBracketMatchForeground","Overview ruler marker color for matching brackets."));class QIi extends hs{constructor(){super({id:"editor.action.jumpToBracket",label:W("smartSelect.jumpBracket","Go to Bracket"),alias:"Go to Bracket",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:3165,weight:100}})}run(e,i){var n;(n=FB.get(i))==null||n.jumpToBracket()}}class ZIi extends hs{constructor(){super({id:"editor.action.selectToBracket",label:W("smartSelect.selectToBracket","Select to Bracket"),alias:"Select to Bracket",precondition:void 0,metadata:{description:aa("smartSelect.selectToBracketDescription","Select the text inside and including the brackets or curly braces"),args:[{name:"args",schema:{type:"object",properties:{selectBrackets:{type:"boolean",default:!0}}}}]}})}run(e,i,n){var c;let s=!0;n&&n.selectBrackets===!1&&(s=!1),(c=FB.get(i))==null||c.selectToBracket(s)}}class YIi extends hs{constructor(){super({id:"editor.action.removeBrackets",label:W("smartSelect.removeBrackets","Remove Brackets"),alias:"Remove Brackets",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:2561,weight:100}})}run(e,i){var n;(n=FB.get(i))==null||n.removeBrackets(this.id)}}class eNi{constructor(e,i,n){this.position=e,this.brackets=i,this.options=n}}const vM=class vM extends xi{static get(e){return e.getContribution(vM.ID)}constructor(e){super(),this._editor=e,this._lastBracketsData=[],this._lastVersionId=0,this._decorations=this._editor.createDecorationsCollection(),this._updateBracketsSoon=this._register(new qu(()=>this._updateBrackets(),50)),this._matchBrackets=this._editor.getOption(72),this._updateBracketsSoon.schedule(),this._register(e.onDidChangeCursorPosition(i=>{this._matchBrackets!=="never"&&this._updateBracketsSoon.schedule()})),this._register(e.onDidChangeModelContent(i=>{this._updateBracketsSoon.schedule()})),this._register(e.onDidChangeModel(i=>{this._lastBracketsData=[],this._updateBracketsSoon.schedule()})),this._register(e.onDidChangeModelLanguageConfiguration(i=>{this._lastBracketsData=[],this._updateBracketsSoon.schedule()})),this._register(e.onDidChangeConfiguration(i=>{i.hasChanged(72)&&(this._matchBrackets=this._editor.getOption(72),this._decorations.clear(),this._lastBracketsData=[],this._lastVersionId=0,this._updateBracketsSoon.schedule())})),this._register(e.onDidBlurEditorWidget(()=>{this._updateBracketsSoon.schedule()})),this._register(e.onDidFocusEditorWidget(()=>{this._updateBracketsSoon.schedule()}))}jumpToBracket(){if(!this._editor.hasModel())return;const e=this._editor.getModel(),i=this._editor.getSelections().map(n=>{const s=n.getStartPosition(),c=e.bracketPairs.matchBracket(s);let d=null;if(c)c[0].containsPosition(s)&&!c[1].containsPosition(s)?d=c[1].getStartPosition():c[1].containsPosition(s)&&(d=c[0].getStartPosition());else{const f=e.bracketPairs.findEnclosingBrackets(s);if(f)d=f[1].getStartPosition();else{const m=e.bracketPairs.findNextBracket(s);m&&m.range&&(d=m.range.getStartPosition())}}return d?new Us(d.lineNumber,d.column,d.lineNumber,d.column):new Us(s.lineNumber,s.column,s.lineNumber,s.column)});this._editor.setSelections(i),this._editor.revealRange(i[0])}selectToBracket(e){if(!this._editor.hasModel())return;const i=this._editor.getModel(),n=[];this._editor.getSelections().forEach(s=>{const c=s.getStartPosition();let d=i.bracketPairs.matchBracket(c);if(!d&&(d=i.bracketPairs.findEnclosingBrackets(c),!d)){const v=i.bracketPairs.findNextBracket(c);v&&v.range&&(d=i.bracketPairs.matchBracket(v.range.getStartPosition()))}let f=null,m=null;if(d){d.sort(nt.compareRangesUsingStarts);const[v,x]=d;if(f=e?v.getStartPosition():v.getEndPosition(),m=e?x.getEndPosition():x.getStartPosition(),x.containsPosition(c)){const w=f;f=m,m=w}}f&&m&&n.push(new Us(f.lineNumber,f.column,m.lineNumber,m.column))}),n.length>0&&(this._editor.setSelections(n),this._editor.revealRange(n[0]))}removeBrackets(e){if(!this._editor.hasModel())return;const i=this._editor.getModel();this._editor.getSelections().forEach(n=>{const s=n.getPosition();let c=i.bracketPairs.matchBracket(s);c||(c=i.bracketPairs.findEnclosingBrackets(s)),c&&(this._editor.pushUndoStop(),this._editor.executeEdits(e,[{range:c[0],text:""},{range:c[1],text:""}]),this._editor.pushUndoStop())})}_updateBrackets(){if(this._matchBrackets==="never")return;this._recomputeBrackets();const e=[];let i=0;for(const n of this._lastBracketsData){const s=n.brackets;s&&(e[i++]={range:s[0],options:n.options},e[i++]={range:s[1],options:n.options})}this._decorations.set(e)}_recomputeBrackets(){if(!this._editor.hasModel()||!this._editor.hasWidgetFocus()){this._lastBracketsData=[],this._lastVersionId=0;return}const e=this._editor.getSelections();if(e.length>100){this._lastBracketsData=[],this._lastVersionId=0;return}const i=this._editor.getModel(),n=i.getVersionId();let s=[];this._lastVersionId===n&&(s=this._lastBracketsData);const c=[];let d=0;for(let w=0,I=e.length;w<I;w++){const P=e[w];P.isEmpty()&&(c[d++]=P.getStartPosition())}c.length>1&&c.sort(pi.compare);const f=[];let m=0,v=0;const x=s.length;for(let w=0,I=c.length;w<I;w++){const P=c[w];for(;v<x&&s[v].position.isBefore(P);)v++;if(v<x&&s[v].position.equals(P))f[m++]=s[v];else{let O=i.bracketPairs.matchBracket(P,20),z=vM._DECORATION_OPTIONS_WITH_OVERVIEW_RULER;!O&&this._matchBrackets==="always"&&(O=i.bracketPairs.findEnclosingBrackets(P,20),z=vM._DECORATION_OPTIONS_WITHOUT_OVERVIEW_RULER),f[m++]=new eNi(P,O,z)}}this._lastBracketsData=f,this._lastVersionId=n}};vM.ID="editor.contrib.bracketMatchingController",vM._DECORATION_OPTIONS_WITH_OVERVIEW_RULER=qa.register({description:"bracket-match-overview",stickiness:1,className:"bracket-match",overviewRuler:{color:Mh(XIi),position:ww.Center}}),vM._DECORATION_OPTIONS_WITHOUT_OVERVIEW_RULER=qa.register({description:"bracket-match-no-overview",stickiness:1,className:"bracket-match"});let FB=vM;Cl(FB.ID,FB,1);sr(ZIi);sr(QIi);sr(YIi);yg.appendMenuItem(As.MenubarGoMenu,{group:"5_infile_nav",command:{id:"editor.action.jumpToBracket",title:W({key:"miGoToBracket",comment:["&& denotes a mnemonic"]},"Go to &&Bracket")},order:2});class tNi{constructor(e,i){this._selection=e,this._isMovingLeft=i}getEditOperations(e,i){if(this._selection.startLineNumber!==this._selection.endLineNumber||this._selection.isEmpty())return;const n=this._selection.startLineNumber,s=this._selection.startColumn,c=this._selection.endColumn;if(!(this._isMovingLeft&&s===1)&&!(!this._isMovingLeft&&c===e.getLineMaxColumn(n)))if(this._isMovingLeft){const d=new nt(n,s-1,n,s),f=e.getValueInRange(d);i.addEditOperation(d,null),i.addEditOperation(new nt(n,c,n,c),f)}else{const d=new nt(n,c,n,c+1),f=e.getValueInRange(d);i.addEditOperation(d,null),i.addEditOperation(new nt(n,s,n,s),f)}}computeCursorState(e,i){return this._isMovingLeft?new Us(this._selection.startLineNumber,this._selection.startColumn-1,this._selection.endLineNumber,this._selection.endColumn-1):new Us(this._selection.startLineNumber,this._selection.startColumn+1,this._selection.endLineNumber,this._selection.endColumn+1)}}class ANt extends hs{constructor(e,i){super(i),this.left=e}run(e,i){if(!i.hasModel())return;const n=[],s=i.getSelections();for(const c of s)n.push(new tNi(c,this.left));i.pushUndoStop(),i.executeCommands(this.id,n),i.pushUndoStop()}}class iNi extends ANt{constructor(){super(!0,{id:"editor.action.moveCarretLeftAction",label:W("caret.moveLeft","Move Selected Text Left"),alias:"Move Selected Text Left",precondition:Tt.writable})}}class nNi extends ANt{constructor(){super(!1,{id:"editor.action.moveCarretRightAction",label:W("caret.moveRight","Move Selected Text Right"),alias:"Move Selected Text Right",precondition:Tt.writable})}}sr(iNi);sr(nNi);class rNi extends hs{constructor(){super({id:"editor.action.transposeLetters",label:W("transposeLetters.label","Transpose Letters"),alias:"Transpose Letters",precondition:Tt.writable,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:306},weight:100}})}run(e,i){if(!i.hasModel())return;const n=i.getModel(),s=[],c=i.getSelections();for(const d of c){if(!d.isEmpty())continue;const f=d.startLineNumber,m=d.startColumn,v=n.getLineMaxColumn(f);if(f===1&&(m===1||m===2&&v===2))continue;const x=m===v?d.getPosition():ku.rightPosition(n,d.getPosition().lineNumber,d.getPosition().column),w=ku.leftPosition(n,x),I=ku.leftPosition(n,w),P=n.getValueInRange(nt.fromPositions(I,w)),O=n.getValueInRange(nt.fromPositions(w,x)),z=nt.fromPositions(I,x);s.push(new T0(z,O+P))}s.length>0&&(i.pushUndoStop(),i.executeCommands(this.id,s),i.pushUndoStop())}}sr(rNi);const qwe=function(){if(typeof crypto=="object"&&typeof crypto.randomUUID=="function")return crypto.randomUUID.bind(crypto);let l;typeof crypto=="object"&&typeof crypto.getRandomValues=="function"?l=crypto.getRandomValues.bind(crypto):l=function(n){for(let s=0;s<n.length;s++)n[s]=Math.floor(Math.random()*256);return n};const e=new Uint8Array(16),i=[];for(let n=0;n<256;n++)i.push(n.toString(16).padStart(2,"0"));return function(){l(e),e[6]=e[6]&15|64,e[8]=e[8]&63|128;let s=0,c="";return c+=i[e[s++]],c+=i[e[s++]],c+=i[e[s++]],c+=i[e[s++]],c+="-",c+=i[e[s++]],c+=i[e[s++]],c+="-",c+=i[e[s++]],c+=i[e[s++]],c+="-",c+=i[e[s++]],c+=i[e[s++]],c+="-",c+=i[e[s++]],c+=i[e[s++]],c+=i[e[s++]],c+=i[e[s++]],c+=i[e[s++]],c+=i[e[s++]],c}}();function LGe(l){return{asString:async()=>l,asFile:()=>{},value:typeof l=="string"?l:void 0}}function sNi(l,e,i){const n={id:qwe(),name:l,uri:e,data:i};return{asString:async()=>"",asFile:()=>n,value:void 0}}class ONt{constructor(){this._entries=new Map}get size(){let e=0;for(const i of this._entries)e++;return e}has(e){return this._entries.has(this.toKey(e))}matches(e){const i=[...this._entries.keys()];return dl.some(this,([n,s])=>s.asFile())&&i.push("files"),RNt(qve(e),i)}get(e){var i;return(i=this._entries.get(this.toKey(e)))==null?void 0:i[0]}append(e,i){const n=this._entries.get(e);n?n.push(i):this._entries.set(this.toKey(e),[i])}replace(e,i){this._entries.set(this.toKey(e),[i])}delete(e){this._entries.delete(this.toKey(e))}*[Symbol.iterator](){for(const[e,i]of this._entries)for(const n of i)yield[e,n]}toKey(e){return qve(e)}}function qve(l){return l.toLowerCase()}function MNt(l,e){return RNt(qve(l),e.map(qve))}function RNt(l,e){if(l==="*/*")return e.length>0;if(e.includes(l))return!0;const i=l.match(/^([a-z]+)\/([a-z]+|\*)$/i);if(!i)return!1;const[n,s,c]=i;return c==="*"?e.some(d=>d.startsWith(s+"/")):!1}const $we=Object.freeze({create:l=>r6(l.map(e=>e.toString())).join(`\r
+`),split:l=>l.split(`\r
+`),parse:l=>$we.split(l).filter(e=>!e.startsWith("#"))}),oL=class oL{constructor(e){this.value=e}equals(e){return this.value===e.value}contains(e){return this.equals(e)||this.value===""||e.value.startsWith(this.value+oL.sep)}intersects(e){return this.contains(e)||e.contains(this)}append(...e){return new oL((this.value?[this.value,...e]:e).join(oL.sep))}};oL.sep=".",oL.None=new oL("@@none@@"),oL.Empty=new oL("");let Nf=oL;const kwt={EDITORS:"CodeEditors",FILES:"CodeFiles"};class oNi{}const aNi={DragAndDropContribution:"workbench.contributions.dragAndDrop"};nh.add(aNi.DragAndDropContribution,new oNi);const Sne=class Sne{constructor(){}static getInstance(){return Sne.INSTANCE}hasData(e){return e&&e===this.proto}getData(e){if(this.hasData(e))return this.data}};Sne.INSTANCE=new Sne;let Jje=Sne;function FNt(l){const e=new ONt;for(const i of l.items){const n=i.type;if(i.kind==="string"){const s=new Promise(c=>i.getAsString(c));e.append(n,LGe(s))}else if(i.kind==="file"){const s=i.getAsFile();s&&e.append(n,cNi(s))}}return e}function cNi(l){const e=l.path?yo.parse(l.path):void 0;return sNi(l.name,e,async()=>new Uint8Array(await l.arrayBuffer()))}const lNi=Object.freeze([kwt.EDITORS,kwt.FILES,ore.RESOURCES,ore.INTERNAL_URI_LIST]);function BNt(l,e=!1){const i=FNt(l),n=i.get(ore.INTERNAL_URI_LIST);if(n)i.replace(Yh.uriList,n);else if(e||!i.has(Yh.uriList)){const s=[];for(const c of l.items){const d=c.getAsFile();if(d){const f=d.path;try{f?s.push(yo.file(f).toString()):s.push(yo.parse(d.name,!0).toString())}catch{}}}s.length&&i.replace(Yh.uriList,LGe($we.create(s)))}for(const s of lNi)i.delete(s);return i}var PGe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Mre=function(l,e){return function(i,n){e(i,n,l)}};class AGe{async provideDocumentPasteEdits(e,i,n,s,c){const d=await this.getEdit(n,c);if(d)return{edits:[{insertText:d.insertText,title:d.title,kind:d.kind,handledMimeType:d.handledMimeType,yieldTo:d.yieldTo}],dispose(){}}}async provideDocumentDropEdits(e,i,n,s){const c=await this.getEdit(n,s);if(c)return{edits:[{insertText:c.insertText,title:c.title,kind:c.kind,handledMimeType:c.handledMimeType,yieldTo:c.yieldTo}],dispose(){}}}}const wne=class wne extends AGe{constructor(){super(...arguments),this.kind=wne.kind,this.dropMimeTypes=[Yh.text],this.pasteMimeTypes=[Yh.text]}async getEdit(e,i){const n=e.get(Yh.text);if(!n||e.has(Yh.uriList))return;const s=await n.asString();return{handledMimeType:Yh.text,title:W("text.label","Insert Plain Text"),insertText:s,kind:this.kind}}};wne.id="text",wne.kind=new Nf("text.plain");let BB=wne;class WNt extends AGe{constructor(){super(...arguments),this.kind=new Nf("uri.absolute"),this.dropMimeTypes=[Yh.uriList],this.pasteMimeTypes=[Yh.uriList]}async getEdit(e,i){const n=await VNt(e);if(!n.length||i.isCancellationRequested)return;let s=0;const c=n.map(({uri:f,originalText:m})=>f.scheme===Ma.file?f.fsPath:(s++,m)).join(" ");let d;return s>0?d=n.length>1?W("defaultDropProvider.uriList.uris","Insert Uris"):W("defaultDropProvider.uriList.uri","Insert Uri"):d=n.length>1?W("defaultDropProvider.uriList.paths","Insert Paths"):W("defaultDropProvider.uriList.path","Insert Path"),{handledMimeType:Yh.uriList,insertText:c,title:d,kind:this.kind}}}let $ve=class extends AGe{constructor(e){super(),this._workspaceContextService=e,this.kind=new Nf("uri.relative"),this.dropMimeTypes=[Yh.uriList],this.pasteMimeTypes=[Yh.uriList]}async getEdit(e,i){const n=await VNt(e);if(!n.length||i.isCancellationRequested)return;const s=yT(n.map(({uri:c})=>{const d=this._workspaceContextService.getWorkspaceFolder(c);return d?Egi(d.uri,c):void 0}));if(s.length)return{handledMimeType:Yh.uriList,insertText:s.join(" "),title:n.length>1?W("defaultDropProvider.uriList.relativePaths","Insert Relative Paths"):W("defaultDropProvider.uriList.relativePath","Insert Relative Path"),kind:this.kind}}};$ve=PGe([Mre(0,LB)],$ve);class uNi{constructor(){this.kind=new Nf("html"),this.pasteMimeTypes=["text/html"],this._yieldTo=[{mimeType:Yh.text}]}async provideDocumentPasteEdits(e,i,n,s,c){var m;if(s.triggerKind!==One.PasteAs&&!((m=s.only)!=null&&m.contains(this.kind)))return;const d=n.get("text/html"),f=await(d==null?void 0:d.asString());if(!(!f||c.isCancellationRequested))return{dispose(){},edits:[{insertText:f,yieldTo:this._yieldTo,title:W("pasteHtmlLabel","Insert HTML"),kind:this.kind}]}}}async function VNt(l){const e=l.get(Yh.uriList);if(!e)return[];const i=await e.asString(),n=[];for(const s of $we.parse(i))try{n.push({uri:yo.parse(s),originalText:s})}catch{}return n}let Gje=class extends xi{constructor(e,i){super(),this._register(e.documentDropEditProvider.register("*",new BB)),this._register(e.documentDropEditProvider.register("*",new WNt)),this._register(e.documentDropEditProvider.register("*",new $ve(i)))}};Gje=PGe([Mre(0,Ts),Mre(1,LB)],Gje);let Kje=class extends xi{constructor(e,i){super(),this._register(e.documentPasteEditProvider.register("*",new BB)),this._register(e.documentPasteEditProvider.register("*",new WNt)),this._register(e.documentPasteEditProvider.register("*",new $ve(i))),this._register(e.documentPasteEditProvider.register("*",new uNi))}};Kje=PGe([Mre(0,Ts),Mre(1,LB)],Kje);const rT=class rT{constructor(){this.value="",this.pos=0}static isDigitCharacter(e){return e>=48&&e<=57}static isVariableCharacter(e){return e===95||e>=97&&e<=122||e>=65&&e<=90}text(e){this.value=e,this.pos=0}tokenText(e){return this.value.substr(e.pos,e.len)}next(){if(this.pos>=this.value.length)return{type:14,pos:this.pos,len:0};const e=this.pos;let i=0,n=this.value.charCodeAt(e),s;if(s=rT._table[n],typeof s=="number")return this.pos+=1,{type:s,pos:e,len:1};if(rT.isDigitCharacter(n)){s=8;do i+=1,n=this.value.charCodeAt(e+i);while(rT.isDigitCharacter(n));return this.pos+=i,{type:s,pos:e,len:i}}if(rT.isVariableCharacter(n)){s=9;do n=this.value.charCodeAt(e+ ++i);while(rT.isVariableCharacter(n)||rT.isDigitCharacter(n));return this.pos+=i,{type:s,pos:e,len:i}}s=10;do i+=1,n=this.value.charCodeAt(e+i);while(!isNaN(n)&&typeof rT._table[n]>"u"&&!rT.isDigitCharacter(n)&&!rT.isVariableCharacter(n));return this.pos+=i,{type:s,pos:e,len:i}}};rT._table={36:0,58:1,44:2,123:3,125:4,92:5,47:6,124:7,43:11,45:12,63:13};let Xje=rT;class OJ{constructor(){this._children=[]}appendChild(e){return e instanceof hv&&this._children[this._children.length-1]instanceof hv?this._children[this._children.length-1].value+=e.value:(e.parent=this,this._children.push(e)),this}replace(e,i){const{parent:n}=e,s=n.children.indexOf(e),c=n.children.slice(0);c.splice(s,1,...i),n._children=c,function d(f,m){for(const v of f)v.parent=m,d(v.children,v)}(i,n)}get children(){return this._children}get rightMostDescendant(){return this._children.length>0?this._children[this._children.length-1].rightMostDescendant:this}get snippet(){let e=this;for(;;){if(!e)return;if(e instanceof Vse)return e;e=e.parent}}toString(){return this.children.reduce((e,i)=>e+i.toString(),"")}len(){return 0}}class hv extends OJ{constructor(e){super(),this.value=e}toString(){return this.value}len(){return this.value.length}clone(){return new hv(this.value)}}class HNt extends OJ{}class dk extends HNt{static compareByIndex(e,i){return e.index===i.index?0:e.isFinalTabstop?1:i.isFinalTabstop||e.index<i.index?-1:e.index>i.index?1:0}constructor(e){super(),this.index=e}get isFinalTabstop(){return this.index===0}get choice(){return this._children.length===1&&this._children[0]instanceof MJ?this._children[0]:void 0}clone(){const e=new dk(this.index);return this.transform&&(e.transform=this.transform.clone()),e._children=this.children.map(i=>i.clone()),e}}class MJ extends OJ{constructor(){super(...arguments),this.options=[]}appendChild(e){return e instanceof hv&&(e.parent=this,this.options.push(e)),this}toString(){return this.options[0].value}len(){return this.options[0].len()}clone(){const e=new MJ;return this.options.forEach(e.appendChild,e),e}}class OGe extends OJ{constructor(){super(...arguments),this.regexp=new RegExp("")}resolve(e){const i=this;let n=!1,s=e.replace(this.regexp,function(){return n=!0,i._replace(Array.prototype.slice.call(arguments,0,-2))});return!n&&this._children.some(c=>c instanceof bE&&!!c.elseValue)&&(s=this._replace([])),s}_replace(e){let i="";for(const n of this._children)if(n instanceof bE){let s=e[n.index]||"";s=n.resolve(s),i+=s}else i+=n.toString();return i}toString(){return""}clone(){const e=new OGe;return e.regexp=new RegExp(this.regexp.source,(this.regexp.ignoreCase?"i":"")+(this.regexp.global?"g":"")),e._children=this.children.map(i=>i.clone()),e}}class bE extends OJ{constructor(e,i,n,s){super(),this.index=e,this.shorthandName=i,this.ifValue=n,this.elseValue=s}resolve(e){return this.shorthandName==="upcase"?e?e.toLocaleUpperCase():"":this.shorthandName==="downcase"?e?e.toLocaleLowerCase():"":this.shorthandName==="capitalize"?e?e[0].toLocaleUpperCase()+e.substr(1):"":this.shorthandName==="pascalcase"?e?this._toPascalCase(e):"":this.shorthandName==="camelcase"?e?this._toCamelCase(e):"":e&&typeof this.ifValue=="string"?this.ifValue:!e&&typeof this.elseValue=="string"?this.elseValue:e||""}_toPascalCase(e){const i=e.match(/[a-z0-9]+/gi);return i?i.map(n=>n.charAt(0).toUpperCase()+n.substr(1)).join(""):e}_toCamelCase(e){const i=e.match(/[a-z0-9]+/gi);return i?i.map((n,s)=>s===0?n.charAt(0).toLowerCase()+n.substr(1):n.charAt(0).toUpperCase()+n.substr(1)).join(""):e}clone(){return new bE(this.index,this.shorthandName,this.ifValue,this.elseValue)}}class Rre extends HNt{constructor(e){super(),this.name=e}resolve(e){let i=e.resolve(this);return this.transform&&(i=this.transform.resolve(i||"")),i!==void 0?(this._children=[new hv(i)],!0):!1}clone(){const e=new Rre(this.name);return this.transform&&(e.transform=this.transform.clone()),e._children=this.children.map(i=>i.clone()),e}}function Twt(l,e){const i=[...l];for(;i.length>0;){const n=i.shift();if(!e(n))break;i.unshift(...n.children)}}class Vse extends OJ{get placeholderInfo(){if(!this._placeholders){const e=[];let i;this.walk(function(n){return n instanceof dk&&(e.push(n),i=!i||i.index<n.index?n:i),!0}),this._placeholders={all:e,last:i}}return this._placeholders}get placeholders(){const{all:e}=this.placeholderInfo;return e}offset(e){let i=0,n=!1;return this.walk(s=>s===e?(n=!0,!1):(i+=s.len(),!0)),n?i:-1}fullLen(e){let i=0;return Twt([e],n=>(i+=n.len(),!0)),i}enclosingPlaceholders(e){const i=[];let{parent:n}=e;for(;n;)n instanceof dk&&i.push(n),n=n.parent;return i}resolveVariables(e){return this.walk(i=>(i instanceof Rre&&i.resolve(e)&&(this._placeholders=void 0),!0)),this}appendChild(e){return this._placeholders=void 0,super.appendChild(e)}replace(e,i){return this._placeholders=void 0,super.replace(e,i)}clone(){const e=new Vse;return this._children=this.children.map(i=>i.clone()),e}walk(e){Twt(this.children,e)}}class WB{constructor(){this._scanner=new Xje,this._token={type:14,pos:0,len:0}}static escape(e){return e.replace(/\$|}|\\/g,"\\$&")}static guessNeedsClipboard(e){return/\${?CLIPBOARD/.test(e)}parse(e,i,n){const s=new Vse;return this.parseFragment(e,s),this.ensureFinalTabstop(s,n??!1,i??!1),s}parseFragment(e,i){const n=i.children.length;for(this._scanner.text(e),this._token=this._scanner.next();this._parse(i););const s=new Map,c=[];i.walk(m=>(m instanceof dk&&(m.isFinalTabstop?s.set(0,void 0):!s.has(m.index)&&m.children.length>0?s.set(m.index,m.children):c.push(m)),!0));const d=(m,v)=>{const x=s.get(m.index);if(!x)return;const w=new dk(m.index);w.transform=m.transform;for(const I of x){const P=I.clone();w.appendChild(P),P instanceof dk&&s.has(P.index)&&!v.has(P.index)&&(v.add(P.index),d(P,v),v.delete(P.index))}i.replace(m,[w])},f=new Set;for(const m of c)d(m,f);return i.children.slice(n)}ensureFinalTabstop(e,i,n){(i||n&&e.placeholders.length>0)&&(e.placeholders.find(c=>c.index===0)||e.appendChild(new dk(0)))}_accept(e,i){if(e===void 0||this._token.type===e){const n=i?this._scanner.tokenText(this._token):!0;return this._token=this._scanner.next(),n}return!1}_backTo(e){return this._scanner.pos=e.pos+e.len,this._token=e,!1}_until(e){const i=this._token;for(;this._token.type!==e;){if(this._token.type===14)return!1;if(this._token.type===5){const s=this._scanner.next();if(s.type!==0&&s.type!==4&&s.type!==5)return!1}this._token=this._scanner.next()}const n=this._scanner.value.substring(i.pos,this._token.pos).replace(/\\(\$|}|\\)/g,"$1");return this._token=this._scanner.next(),n}_parse(e){return this._parseEscaped(e)||this._parseTabstopOrVariableName(e)||this._parseComplexPlaceholder(e)||this._parseComplexVariable(e)||this._parseAnything(e)}_parseEscaped(e){let i;return(i=this._accept(5,!0))?(i=this._accept(0,!0)||this._accept(4,!0)||this._accept(5,!0)||i,e.appendChild(new hv(i)),!0):!1}_parseTabstopOrVariableName(e){let i;const n=this._token;return this._accept(0)&&(i=this._accept(9,!0)||this._accept(8,!0))?(e.appendChild(/^\d+$/.test(i)?new dk(Number(i)):new Rre(i)),!0):this._backTo(n)}_parseComplexPlaceholder(e){let i;const n=this._token;if(!(this._accept(0)&&this._accept(3)&&(i=this._accept(8,!0))))return this._backTo(n);const c=new dk(Number(i));if(this._accept(1))for(;;){if(this._accept(4))return e.appendChild(c),!0;if(!this._parse(c))return e.appendChild(new hv("${"+i+":")),c.children.forEach(e.appendChild,e),!0}else if(c.index>0&&this._accept(7)){const d=new MJ;for(;;){if(this._parseChoiceElement(d)){if(this._accept(2))continue;if(this._accept(7)&&(c.appendChild(d),this._accept(4)))return e.appendChild(c),!0}return this._backTo(n),!1}}else return this._accept(6)?this._parseTransform(c)?(e.appendChild(c),!0):(this._backTo(n),!1):this._accept(4)?(e.appendChild(c),!0):this._backTo(n)}_parseChoiceElement(e){const i=this._token,n=[];for(;!(this._token.type===2||this._token.type===7);){let s;if((s=this._accept(5,!0))?s=this._accept(2,!0)||this._accept(7,!0)||this._accept(5,!0)||s:s=this._accept(void 0,!0),!s)return this._backTo(i),!1;n.push(s)}return n.length===0?(this._backTo(i),!1):(e.appendChild(new hv(n.join(""))),!0)}_parseComplexVariable(e){let i;const n=this._token;if(!(this._accept(0)&&this._accept(3)&&(i=this._accept(9,!0))))return this._backTo(n);const c=new Rre(i);if(this._accept(1))for(;;){if(this._accept(4))return e.appendChild(c),!0;if(!this._parse(c))return e.appendChild(new hv("${"+i+":")),c.children.forEach(e.appendChild,e),!0}else return this._accept(6)?this._parseTransform(c)?(e.appendChild(c),!0):(this._backTo(n),!1):this._accept(4)?(e.appendChild(c),!0):this._backTo(n)}_parseTransform(e){const i=new OGe;let n="",s="";for(;!this._accept(6);){let c;if(c=this._accept(5,!0)){c=this._accept(6,!0)||c,n+=c;continue}if(this._token.type!==14){n+=this._accept(void 0,!0);continue}return!1}for(;!this._accept(6);){let c;if(c=this._accept(5,!0)){c=this._accept(5,!0)||this._accept(6,!0)||c,i.appendChild(new hv(c));continue}if(!(this._parseFormatString(i)||this._parseAnything(i)))return!1}for(;!this._accept(4);){if(this._token.type!==14){s+=this._accept(void 0,!0);continue}return!1}try{i.regexp=new RegExp(n,s)}catch{return!1}return e.transform=i,!0}_parseFormatString(e){const i=this._token;if(!this._accept(0))return!1;let n=!1;this._accept(3)&&(n=!0);const s=this._accept(8,!0);if(s)if(n){if(this._accept(4))return e.appendChild(new bE(Number(s))),!0;if(!this._accept(1))return this._backTo(i),!1}else return e.appendChild(new bE(Number(s))),!0;else return this._backTo(i),!1;if(this._accept(6)){const c=this._accept(9,!0);return!c||!this._accept(4)?(this._backTo(i),!1):(e.appendChild(new bE(Number(s),c)),!0)}else if(this._accept(11)){const c=this._until(4);if(c)return e.appendChild(new bE(Number(s),void 0,c,void 0)),!0}else if(this._accept(12)){const c=this._until(4);if(c)return e.appendChild(new bE(Number(s),void 0,void 0,c)),!0}else if(this._accept(13)){const c=this._until(1);if(c){const d=this._until(4);if(d)return e.appendChild(new bE(Number(s),void 0,c,d)),!0}}else{const c=this._until(4);if(c)return e.appendChild(new bE(Number(s),void 0,void 0,c)),!0}return this._backTo(i),!1}_parseAnything(e){return this._token.type!==14?(e.appendChild(new hv(this._scanner.tokenText(this._token))),this._accept(void 0),!0):!1}}function jNt(l,e,i){var n,s;return(typeof i.insertText=="string"?i.insertText==="":i.insertText.snippet==="")?{edits:((n=i.additionalEdit)==null?void 0:n.edits)??[]}:{edits:[...e.map(c=>new GM(l,{range:c,text:typeof i.insertText=="string"?WB.escape(i.insertText)+"$0":i.insertText.snippet,insertAsSnippet:!0})),...((s=i.additionalEdit)==null?void 0:s.edits)??[]]}}function zNt(l){function e(d,f){return"mimeType"in d?d.mimeType===f.handledMimeType:!!f.kind&&d.kind.contains(f.kind)}const i=new Map;for(const d of l)for(const f of d.yieldTo??[])for(const m of l)if(m!==d&&e(f,m)){let v=i.get(d);v||(v=[],i.set(d,v)),v.push(m)}if(!i.size)return Array.from(l);const n=new Set,s=[];function c(d){if(!d.length)return[];const f=d[0];if(s.includes(f))return console.warn("Yield to cycle detected",f),d;if(n.has(f))return c(d.slice(1));let m=[];const v=i.get(f);return v&&(s.push(f),m=c(v),s.pop()),n.add(f),[...m,f,...c(d.slice(1))]}return c(Array.from(l))}var dNi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},fNi=function(l,e){return function(i,n){e(i,n,l)}};const hNi=qa.register({description:"inline-progress-widget",stickiness:1,showIfCollapsed:!0,after:{content:s2t,inlineClassName:"inline-editor-progress-decoration",inlineClassNameAffectsLetterSpacing:!0}}),WCe=class WCe extends xi{constructor(e,i,n,s,c){super(),this.typeId=e,this.editor=i,this.range=n,this.delegate=c,this.allowEditorOverflow=!1,this.suppressMouseDown=!0,this.create(s),this.editor.addContentWidget(this),this.editor.layoutContentWidget(this)}create(e){this.domNode=Cr(".inline-progress-widget"),this.domNode.role="button",this.domNode.title=e;const i=Cr("span.icon");this.domNode.append(i),i.classList.add(...zo.asClassNameArray(pr.loading),"codicon-modifier-spin");const n=()=>{const s=this.editor.getOption(67);this.domNode.style.height=`${s}px`,this.domNode.style.width=`${Math.ceil(.8*s)}px`};n(),this._register(this.editor.onDidChangeConfiguration(s=>{(s.hasChanged(52)||s.hasChanged(67))&&n()})),this._register(en(this.domNode,br.CLICK,s=>{this.delegate.cancel()}))}getId(){return WCe.baseId+"."+this.typeId}getDomNode(){return this.domNode}getPosition(){return{position:{lineNumber:this.range.startLineNumber,column:this.range.startColumn},preference:[0]}}dispose(){super.dispose(),this.editor.removeContentWidget(this)}};WCe.baseId="editor.widget.inlineProgressWidget";let Qje=WCe,Jve=class extends xi{constructor(e,i,n){super(),this.id=e,this._editor=i,this._instantiationService=n,this._showDelay=500,this._showPromise=this._register(new Fm),this._currentWidget=this._register(new Fm),this._operationIdPool=0,this._currentDecorations=i.createDecorationsCollection()}dispose(){super.dispose(),this._currentDecorations.clear()}async showWhile(e,i,n,s,c){const d=this._operationIdPool++;this._currentOperation=d,this.clear(),this._showPromise.value=CR(()=>{const f=nt.fromPositions(e);this._currentDecorations.set([{range:f,options:hNi}]).length>0&&(this._currentWidget.value=this._instantiationService.createInstance(Qje,this.id,this._editor,f,i,s))},c??this._showDelay);try{return await n}finally{this._currentOperation===d&&(this.clear(),this._currentOperation=void 0)}}clear(){this._showPromise.clear(),this._currentDecorations.clear(),this._currentWidget.clear()}};Jve=dNi([fNi(2,ho)],Jve);var _Ni=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Dwt=function(l,e){return function(i,n){e(i,n,l)}},I1e,YM;let GC=(YM=class{static get(e){return e.getContribution(I1e.ID)}constructor(e,i,n){this._openerService=n,this._messageWidget=new Fm,this._messageListeners=new wn,this._mouseOverMessage=!1,this._editor=e,this._visible=I1e.MESSAGE_VISIBLE.bindTo(i)}dispose(){var e;(e=this._message)==null||e.dispose(),this._messageListeners.dispose(),this._messageWidget.dispose(),this._visible.reset()}showMessage(e,i){xv(WE(e)?e.value:e),this._visible.set(!0),this._messageWidget.clear(),this._messageListeners.clear(),this._message=WE(e)?dwe(e,{actionHandler:{callback:s=>{this.closeMessage(),Y$e(this._openerService,s,WE(e)?e.isTrusted:void 0)},disposables:this._messageListeners}}):void 0,this._messageWidget.value=new Ewt(this._editor,i,typeof e=="string"?e:this._message.element),this._messageListeners.add(Tr.debounce(this._editor.onDidBlurEditorText,(s,c)=>c,0)(()=>{this._mouseOverMessage||this._messageWidget.value&&Am(D0(),this._messageWidget.value.getDomNode())||this.closeMessage()})),this._messageListeners.add(this._editor.onDidChangeCursorPosition(()=>this.closeMessage())),this._messageListeners.add(this._editor.onDidDispose(()=>this.closeMessage())),this._messageListeners.add(this._editor.onDidChangeModel(()=>this.closeMessage())),this._messageListeners.add(en(this._messageWidget.value.getDomNode(),br.MOUSE_ENTER,()=>this._mouseOverMessage=!0,!0)),this._messageListeners.add(en(this._messageWidget.value.getDomNode(),br.MOUSE_LEAVE,()=>this._mouseOverMessage=!1,!0));let n;this._messageListeners.add(this._editor.onMouseMove(s=>{s.target.position&&(n?n.containsPosition(s.target.position)||this.closeMessage():n=new nt(i.lineNumber-3,1,s.target.position.lineNumber+3,1))}))}closeMessage(){this._visible.reset(),this._messageListeners.clear(),this._messageWidget.value&&this._messageListeners.add(Ewt.fadeOut(this._messageWidget.value))}},I1e=YM,YM.ID="editor.contrib.messageController",YM.MESSAGE_VISIBLE=new rs("messageVisible",!1,W("messageVisible","Whether the editor is currently showing an inline message")),YM);GC=I1e=_Ni([Dwt(1,Ko),Dwt(2,Rb)],GC);const pNi=tm.bindToContribution(GC.get);$r(new pNi({id:"leaveEditorMessage",precondition:GC.MESSAGE_VISIBLE,handler:l=>l.closeMessage(),kbOpts:{weight:130,primary:9}}));let Ewt=class{static fadeOut(e){const i=()=>{e.dispose(),clearTimeout(n),e.getDomNode().removeEventListener("animationend",i)},n=setTimeout(i,110);return e.getDomNode().addEventListener("animationend",i),e.getDomNode().classList.add("fadeOut"),{dispose:i}}constructor(e,{lineNumber:i,column:n},s){this.allowEditorOverflow=!0,this.suppressMouseDown=!1,this._editor=e,this._editor.revealLinesInCenterIfOutsideViewport(i,i,0),this._position={lineNumber:i,column:n},this._domNode=document.createElement("div"),this._domNode.classList.add("monaco-editor-overlaymessage"),this._domNode.style.marginLeft="-6px";const c=document.createElement("div");c.classList.add("anchor","top"),this._domNode.appendChild(c);const d=document.createElement("div");typeof s=="string"?(d.classList.add("message"),d.textContent=s):(s.classList.add("message"),d.appendChild(s)),this._domNode.appendChild(d);const f=document.createElement("div");f.classList.add("anchor","below"),this._domNode.appendChild(f),this._editor.addContentWidget(this),this._domNode.classList.add("fadeIn")}dispose(){this._editor.removeContentWidget(this)}getId(){return"messageoverlay"}getDomNode(){return this._domNode}getPosition(){return{position:this._position,preference:[1,2],positionAffinity:1}}afterRender(e){this._domNode.classList.toggle("below",e===2)}};Cl(GC.ID,GC,4);function T9e(l,e){return e&&(l.stack||l.stacktrace)?W("stackTrace.format","{0}: {1}",Nwt(l),Iwt(l.stack)||Iwt(l.stacktrace)):Nwt(l)}function Iwt(l){return Array.isArray(l)?l.join(`
+`):l}function Nwt(l){return l.code==="ERR_UNC_HOST_NOT_ALLOWED"?`${l.message}. Please update the 'security.allowedUNCHosts' setting if you want to allow this host.`:typeof l.code=="string"&&typeof l.errno=="number"&&typeof l.syscall=="string"?W("nodeExceptionMessage","A system error occurred ({0})",l.message):l.message||W("error.defaultMessage","An unknown error occurred. Please consult the log for more details.")}function Gve(l=null,e=!1){if(!l)return W("error.defaultMessage","An unknown error occurred. Please consult the log for more details.");if(Array.isArray(l)){const i=yT(l),n=Gve(i[0],e);return i.length>1?W("error.moreErrors","{0} ({1} errors in total)",n,i.length):n}if(Eb(l))return l;if(l.detail){const i=l.detail;if(i.error)return T9e(i.error,e);if(i.exception)return T9e(i.exception,e)}return l.stack?T9e(l,e):l.message?l.message:W("error.defaultMessage","An unknown error occurred. Please consult the log for more details.")}var UNt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},c$=function(l,e){return function(i,n){e(i,n,l)}},Zje,M9;let Yje=(M9=class extends xi{constructor(e,i,n,s,c,d,f,m,v,x){super(),this.typeId=e,this.editor=i,this.showCommand=s,this.range=c,this.edits=d,this.onSelectNewEdit=f,this._contextMenuService=m,this._keybindingService=x,this.allowEditorOverflow=!0,this.suppressMouseDown=!0,this.create(),this.visibleContext=n.bindTo(v),this.visibleContext.set(!0),this._register(fo(()=>this.visibleContext.reset())),this.editor.addContentWidget(this),this.editor.layoutContentWidget(this),this._register(fo(()=>this.editor.removeContentWidget(this))),this._register(this.editor.onDidChangeCursorPosition(w=>{c.containsPosition(w.position)||this.dispose()})),this._register(Tr.runAndSubscribe(x.onDidUpdateKeybindings,()=>{this._updateButtonTitle()}))}_updateButtonTitle(){var i;const e=(i=this._keybindingService.lookupKeybinding(this.showCommand.id))==null?void 0:i.getLabel();this.button.element.title=this.showCommand.label+(e?` (${e})`:"")}create(){this.domNode=Cr(".post-edit-widget"),this.button=this._register(new eve(this.domNode,{supportIcons:!0})),this.button.label="$(insert)",this._register(en(this.domNode,br.CLICK,()=>this.showSelector()))}getId(){return Zje.baseId+"."+this.typeId}getDomNode(){return this.domNode}getPosition(){return{position:this.range.getEndPosition(),preference:[2]}}showSelector(){this._contextMenuService.showContextMenu({getAnchor:()=>{const e=e_(this.button.element);return{x:e.left+e.width,y:e.top+e.height}},getActions:()=>this.edits.allEdits.map((e,i)=>_9({id:"",label:e.title,checked:i===this.edits.activeEditIndex,run:()=>{if(i!==this.edits.activeEditIndex)return this.onSelectNewEdit(i)}}))})}},Zje=M9,M9.baseId="editor.widget.postEditWidget",M9);Yje=Zje=UNt([c$(7,eS),c$(8,Ko),c$(9,pu)],Yje);let Kve=class extends xi{constructor(e,i,n,s,c,d,f){super(),this._id=e,this._editor=i,this._visibleContext=n,this._showCommand=s,this._instantiationService=c,this._bulkEditService=d,this._notificationService=f,this._currentWidget=this._register(new Fm),this._register(Tr.any(i.onDidChangeModel,i.onDidChangeModelContent)(()=>this.clear()))}async applyEditAndShowIfNeeded(e,i,n,s,c){const d=this._editor.getModel();if(!d||!e.length)return;const f=i.allEdits.at(i.activeEditIndex);if(!f)return;const m=async J=>{const Y=this._editor.getModel();Y&&(await Y.undo(),this.applyEditAndShowIfNeeded(e,{activeEditIndex:J,allEdits:i.allEdits},n,s,c))},v=(J,Y)=>{Tk(J)||(this._notificationService.error(Y),n&&this.show(e[0],i,m))};let x;try{x=await s(f,c)}catch(J){return v(J,W("resolveError",`Error resolving edit '{0}':
+{1}`,f.title,Gve(J)))}if(c.isCancellationRequested)return;const w=jNt(d.uri,e,x),I=e[0],P=d.deltaDecorations([],[{range:I,options:{description:"paste-line-suffix",stickiness:0}}]);this._editor.focus();let O,z;try{O=await this._bulkEditService.apply(w,{editor:this._editor,token:c}),z=d.getDecorationRange(P[0])}catch(J){return v(J,W("applyError",`Error applying edit '{0}':
+{1}`,f.title,Gve(J)))}finally{d.deltaDecorations(P,[])}c.isCancellationRequested||n&&O.isApplied&&i.allEdits.length>1&&this.show(z??I,i,m)}show(e,i,n){this.clear(),this._editor.hasModel()&&(this._currentWidget.value=this._instantiationService.createInstance(Yje,this._id,this._editor,this._visibleContext,this._showCommand,e,i,n))}clear(){this._currentWidget.clear()}tryShowSelector(){var e;(e=this._currentWidget.value)==null||e.showSelector()}};Kve=UNt([c$(4,ho),c$(5,Sse),c$(6,w_)],Kve);var mNi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},PU=function(l,e){return function(i,n){e(i,n,l)}},AF;const qNt="editor.changePasteType",MGe=new rs("pasteWidgetVisible",!1,W("pasteWidgetVisible","Whether the paste widget is showing")),D9e="application/vnd.code.copyMetadata";var R9;let _6=(R9=class extends xi{static get(e){return e.getContribution(AF.ID)}constructor(e,i,n,s,c,d,f){super(),this._bulkEditService=n,this._clipboardService=s,this._languageFeaturesService=c,this._quickInputService=d,this._progressService=f,this._editor=e;const m=e.getContainerDomNode();this._register(en(m,"copy",v=>this.handleCopy(v))),this._register(en(m,"cut",v=>this.handleCopy(v))),this._register(en(m,"paste",v=>this.handlePaste(v),!0)),this._pasteProgressManager=this._register(new Jve("pasteIntoEditor",e,i)),this._postPasteWidgetManager=this._register(i.createInstance(Kve,"pasteIntoEditor",e,MGe,{id:qNt,label:W("postPasteWidgetTitle","Show paste options...")}))}changePasteType(){this._postPasteWidgetManager.tryShowSelector()}pasteAs(e){this._editor.focus();try{this._pasteAsActionContext={preferred:e},_J().execCommand("paste")}finally{this._pasteAsActionContext=void 0}}clearWidgets(){this._postPasteWidgetManager.clear()}isPasteAsEnabled(){return this._editor.getOption(85).enabled}async finishedPaste(){await this._currentPasteOperation}handleCopy(e){var z,J,Y,ae;if(!this._editor.hasTextFocus()||((J=(z=this._clipboardService).clearInternalState)==null||J.call(z),!e.clipboardData||!this.isPasteAsEnabled()))return;const i=this._editor.getModel(),n=this._editor.getSelections();if(!i||!(n!=null&&n.length))return;const s=this._editor.getOption(37);let c=n;const d=n.length===1&&n[0].isEmpty();if(d){if(!s)return;c=[new nt(c[0].startLineNumber,1,c[0].startLineNumber,1+i.getLineLength(c[0].startLineNumber))]}const f=(Y=this._editor._getViewModel())==null?void 0:Y.getPlainTextToCopy(n,s,I0),v={multicursorText:Array.isArray(f)?f:null,pasteOnNewLine:d,mode:null},x=this._languageFeaturesService.documentPasteEditProvider.ordered(i).filter(me=>!!me.prepareDocumentPaste);if(!x.length){this.setCopyMetadata(e.clipboardData,{defaultPastePayload:v});return}const w=FNt(e.clipboardData),I=x.flatMap(me=>me.copyMimeTypes??[]),P=qwe();this.setCopyMetadata(e.clipboardData,{id:P,providerCopyMimeTypes:I,defaultPastePayload:v});const O=Om(async me=>{const ye=yT(await Promise.all(x.map(async Ce=>{try{return await Ce.prepareDocumentPaste(i,c,w,me)}catch(Fe){console.error(Fe);return}})));ye.reverse();for(const Ce of ye)for(const[Fe,rt]of Ce)w.replace(Fe,rt);return w});(ae=AF._currentCopyOperation)==null||ae.dataTransferPromise.cancel(),AF._currentCopyOperation={handle:P,dataTransferPromise:O}}async handlePaste(e){var m,v,x;if(!e.clipboardData||!this._editor.hasTextFocus())return;(m=GC.get(this._editor))==null||m.closeMessage(),(v=this._currentPasteOperation)==null||v.cancel(),this._currentPasteOperation=void 0;const i=this._editor.getModel(),n=this._editor.getSelections();if(!(n!=null&&n.length)||!i||this._editor.getOption(92)||!this.isPasteAsEnabled()&&!this._pasteAsActionContext)return;const s=this.fetchCopyMetadata(e),c=BNt(e.clipboardData);c.delete(D9e);const d=[...e.clipboardData.types,...(s==null?void 0:s.providerCopyMimeTypes)??[],Yh.uriList],f=this._languageFeaturesService.documentPasteEditProvider.ordered(i).filter(w=>{var P,O;const I=(P=this._pasteAsActionContext)==null?void 0:P.preferred;return I&&w.providedPasteEditKinds&&!this.providerMatchesPreference(w,I)?!1:(O=w.pasteMimeTypes)==null?void 0:O.some(z=>MNt(z,d))});if(!f.length){(x=this._pasteAsActionContext)!=null&&x.preferred&&this.showPasteAsNoEditMessage(n,this._pasteAsActionContext.preferred);return}e.preventDefault(),e.stopImmediatePropagation(),this._pasteAsActionContext?this.showPasteAsPick(this._pasteAsActionContext.preferred,f,n,c,s):this.doPasteInline(f,n,c,s,e)}showPasteAsNoEditMessage(e,i){var n;(n=GC.get(this._editor))==null||n.showMessage(W("pasteAsError","No paste edits for '{0}' found",i instanceof Nf?i.value:i.providerId),e[0].getStartPosition())}doPasteInline(e,i,n,s,c){const d=this._editor;if(!d.hasModel())return;const f=new LR(d,3,void 0),m=Om(async v=>{const x=this._editor;if(!x.hasModel())return;const w=x.getModel(),I=new wn,P=I.add(new ih(v));I.add(f.token.onCancellationRequested(()=>P.cancel()));const O=P.token;try{if(await this.mergeInDataFromCopy(n,s,O),O.isCancellationRequested)return;const z=e.filter(ae=>this.isSupportedPasteProvider(ae,n));if(!z.length||z.length===1&&z[0]instanceof BB)return this.applyDefaultPasteHandler(n,s,O,c);const J={triggerKind:One.Automatic},Y=await this.getPasteEdits(z,n,w,i,J,O);if(I.add(Y),O.isCancellationRequested)return;if(Y.edits.length===1&&Y.edits[0].provider instanceof BB)return this.applyDefaultPasteHandler(n,s,O,c);if(Y.edits.length){const ae=x.getOption(85).showPasteSelector==="afterPaste";return this._postPasteWidgetManager.applyEditAndShowIfNeeded(i,{activeEditIndex:0,allEdits:Y.edits},ae,(me,ye)=>new Promise((Ce,Fe)=>{(async()=>{var rt,ct;try{const Mt=(ct=(rt=me.provider).resolveDocumentPasteEdit)==null?void 0:ct.call(rt,me,ye),Yt=new hJ,Bi=Mt&&await this._pasteProgressManager.showWhile(i[0].getEndPosition(),W("resolveProcess","Resolving paste edit. Click to cancel"),Promise.race([Yt.p,Mt]),{cancel:()=>(Yt.cancel(),Fe(new vT))},0);return Bi&&(me.additionalEdit=Bi.additionalEdit),Ce(me)}catch(Mt){return Fe(Mt)}})()}),O)}await this.applyDefaultPasteHandler(n,s,O,c)}finally{I.dispose(),this._currentPasteOperation===m&&(this._currentPasteOperation=void 0)}});this._pasteProgressManager.showWhile(i[0].getEndPosition(),W("pasteIntoEditorProgress","Running paste handlers. Click to cancel and do basic paste"),m,{cancel:async()=>{try{if(m.cancel(),f.token.isCancellationRequested)return;await this.applyDefaultPasteHandler(n,s,f.token,c)}finally{f.dispose()}}}).then(()=>{f.dispose()}),this._currentPasteOperation=m}showPasteAsPick(e,i,n,s,c){const d=Om(async f=>{const m=this._editor;if(!m.hasModel())return;const v=m.getModel(),x=new wn,w=x.add(new LR(m,3,void 0,f));try{if(await this.mergeInDataFromCopy(s,c,w.token),w.token.isCancellationRequested)return;let I=i.filter(Y=>this.isSupportedPasteProvider(Y,s,e));e&&(I=I.filter(Y=>this.providerMatchesPreference(Y,e)));const P={triggerKind:One.PasteAs,only:e&&e instanceof Nf?e:void 0};let O=x.add(await this.getPasteEdits(I,s,v,n,P,w.token));if(w.token.isCancellationRequested)return;if(e&&(O={edits:O.edits.filter(Y=>e instanceof Nf?e.contains(Y.kind):e.providerId===Y.provider.id),dispose:O.dispose}),!O.edits.length){P.only&&this.showPasteAsNoEditMessage(n,P.only);return}let z;if(e)z=O.edits.at(0);else{const Y=await this._quickInputService.pick(O.edits.map(ae=>{var me;return{label:ae.title,description:(me=ae.kind)==null?void 0:me.value,edit:ae}}),{placeHolder:W("pasteAsPickerPlaceholder","Select Paste Action")});z=Y==null?void 0:Y.edit}if(!z)return;const J=jNt(v.uri,n,z);await this._bulkEditService.apply(J,{editor:this._editor})}finally{x.dispose(),this._currentPasteOperation===d&&(this._currentPasteOperation=void 0)}});this._progressService.withProgress({location:10,title:W("pasteAsProgress","Running paste handlers")},()=>d)}setCopyMetadata(e,i){e.setData(D9e,JSON.stringify(i))}fetchCopyMetadata(e){if(!e.clipboardData)return;const i=e.clipboardData.getData(D9e);if(i)try{return JSON.parse(i)}catch{return}const[n,s]=oje.getTextData(e.clipboardData);if(s)return{defaultPastePayload:{mode:s.mode,multicursorText:s.multicursorText??null,pasteOnNewLine:!!s.isFromEmptySelection}}}async mergeInDataFromCopy(e,i,n){var s;if(i!=null&&i.id&&((s=AF._currentCopyOperation)==null?void 0:s.handle)===i.id){const c=await AF._currentCopyOperation.dataTransferPromise;if(n.isCancellationRequested)return;for(const[d,f]of c)e.replace(d,f)}if(!e.has(Yh.uriList)){const c=await this._clipboardService.readResources();if(n.isCancellationRequested)return;c.length&&e.append(Yh.uriList,LGe($we.create(c)))}}async getPasteEdits(e,i,n,s,c,d){const f=new wn,m=await _se(Promise.all(e.map(async x=>{var w,I;try{const P=await((w=x.provideDocumentPasteEdits)==null?void 0:w.call(x,n,s,i,c,d));return P&&f.add(P),(I=P==null?void 0:P.edits)==null?void 0:I.map(O=>({...O,provider:x}))}catch(P){Tk(P)||console.error(P);return}})),d),v=yT(m??[]).flat().filter(x=>!c.only||c.only.contains(x.kind));return{edits:zNt(v),dispose:()=>f.dispose()}}async applyDefaultPasteHandler(e,i,n,s){const c=e.get(Yh.text)??e.get("text"),d=await(c==null?void 0:c.asString())??"";if(n.isCancellationRequested)return;const f={clipboardEvent:s,text:d,pasteOnNewLine:(i==null?void 0:i.defaultPastePayload.pasteOnNewLine)??!1,multicursorText:(i==null?void 0:i.defaultPastePayload.multicursorText)??null,mode:null};this._editor.trigger("keyboard","paste",f)}isSupportedPasteProvider(e,i,n){var s;return(s=e.pasteMimeTypes)!=null&&s.some(c=>i.matches(c))?!n||this.providerMatchesPreference(e,n):!1}providerMatchesPreference(e,i){return i instanceof Nf?e.providedPasteEditKinds?e.providedPasteEditKinds.some(n=>i.contains(n)):!0:e.id===i.providerId}},AF=R9,R9.ID="editor.contrib.copyPasteActionController",R9);_6=AF=mNi([PU(1,ho),PU(2,Sse),PU(3,w6),PU(4,Ts),PU(5,Ek),PU(6,_Dt)],_6);const VB="9_cutcopypaste",gNi=PE||document.queryCommandSupported("cut"),$Nt=PE||document.queryCommandSupported("copy"),yNi=typeof navigator.clipboard>"u"||bk?document.queryCommandSupported("paste"):!0;function RGe(l){return l.register(),l}const vNi=gNi?RGe(new mJ({id:"editor.action.clipboardCutAction",precondition:void 0,kbOpts:PE?{primary:2102,win:{primary:2102,secondary:[1044]},weight:100}:void 0,menuOpts:[{menuId:As.MenubarEditMenu,group:"2_ccp",title:W({key:"miCut",comment:["&& denotes a mnemonic"]},"Cu&&t"),order:1},{menuId:As.EditorContext,group:VB,title:W("actions.clipboard.cutLabel","Cut"),when:Tt.writable,order:1},{menuId:As.CommandPalette,group:"",title:W("actions.clipboard.cutLabel","Cut"),order:1},{menuId:As.SimpleEditorContext,group:VB,title:W("actions.clipboard.cutLabel","Cut"),when:Tt.writable,order:1}]})):void 0,bNi=$Nt?RGe(new mJ({id:"editor.action.clipboardCopyAction",precondition:void 0,kbOpts:PE?{primary:2081,win:{primary:2081,secondary:[2067]},weight:100}:void 0,menuOpts:[{menuId:As.MenubarEditMenu,group:"2_ccp",title:W({key:"miCopy",comment:["&& denotes a mnemonic"]},"&&Copy"),order:2},{menuId:As.EditorContext,group:VB,title:W("actions.clipboard.copyLabel","Copy"),order:2},{menuId:As.CommandPalette,group:"",title:W("actions.clipboard.copyLabel","Copy"),order:1},{menuId:As.SimpleEditorContext,group:VB,title:W("actions.clipboard.copyLabel","Copy"),order:2}]})):void 0;yg.appendMenuItem(As.MenubarEditMenu,{submenu:As.MenubarCopy,title:aa("copy as","Copy As"),group:"2_ccp",order:3});yg.appendMenuItem(As.EditorContext,{submenu:As.EditorContextCopy,title:aa("copy as","Copy As"),group:VB,order:3});yg.appendMenuItem(As.EditorContext,{submenu:As.EditorContextShare,title:aa("share","Share"),group:"11_share",order:-1,when:kn.and(kn.notEquals("resourceScheme","output"),Tt.editorTextFocus)});yg.appendMenuItem(As.ExplorerContext,{submenu:As.ExplorerContextShare,title:aa("share","Share"),group:"11_share",order:-1});const E9e=yNi?RGe(new mJ({id:"editor.action.clipboardPasteAction",precondition:void 0,kbOpts:PE?{primary:2100,win:{primary:2100,secondary:[1043]},linux:{primary:2100,secondary:[1043]},weight:100}:void 0,menuOpts:[{menuId:As.MenubarEditMenu,group:"2_ccp",title:W({key:"miPaste",comment:["&& denotes a mnemonic"]},"&&Paste"),order:4},{menuId:As.EditorContext,group:VB,title:W("actions.clipboard.pasteLabel","Paste"),when:Tt.writable,order:4},{menuId:As.CommandPalette,group:"",title:W("actions.clipboard.pasteLabel","Paste"),order:1},{menuId:As.SimpleEditorContext,group:VB,title:W("actions.clipboard.pasteLabel","Paste"),when:Tt.writable,order:4}]})):void 0;class CNi extends hs{constructor(){super({id:"editor.action.clipboardCopyWithSyntaxHighlightingAction",label:W("actions.clipboard.copyWithSyntaxHighlightingLabel","Copy With Syntax Highlighting"),alias:"Copy With Syntax Highlighting",precondition:void 0,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,weight:100}})}run(e,i){!i.hasModel()||!i.getOption(37)&&i.getSelection().isEmpty()||(rje.forceCopyWithSyntaxHighlighting=!0,i.focus(),i.getContainerDomNode().ownerDocument.execCommand("copy"),rje.forceCopyWithSyntaxHighlighting=!1)}}function JNt(l,e){l&&(l.addImplementation(1e4,"code-editor",(i,n)=>{const s=i.get(Bl).getFocusedCodeEditor();if(s&&s.hasTextFocus()){const c=s.getOption(37),d=s.getSelection();return d&&d.isEmpty()&&!c||s.getContainerDomNode().ownerDocument.execCommand(e),!0}return!1}),l.addImplementation(0,"generic-dom",(i,n)=>(_J().execCommand(e),!0)))}JNt(vNi,"cut");JNt(bNi,"copy");E9e&&(E9e.addImplementation(1e4,"code-editor",(l,e)=>{var c;const i=l.get(Bl),n=l.get(w6),s=i.getFocusedCodeEditor();return s&&s.hasTextFocus()?s.getContainerDomNode().ownerDocument.execCommand("paste")?((c=_6.get(s))==null?void 0:c.finishedPaste())??Promise.resolve():XB?(async()=>{const f=await n.readText();if(f!==""){const m=wre.INSTANCE.get(f);let v=!1,x=null,w=null;m&&(v=s.getOption(37)&&!!m.isFromEmptySelection,x=typeof m.multicursorText<"u"?m.multicursorText:null,w=m.mode),s.trigger("keyboard","paste",{text:f,pasteOnNewLine:v,multicursorText:x,mode:w})}})():!0:!1}),E9e.addImplementation(0,"generic-dom",(l,e)=>(_J().execCommand("paste"),!0)));$Nt&&sr(CNi);const gf=new class{constructor(){this.QuickFix=new Nf("quickfix"),this.Refactor=new Nf("refactor"),this.RefactorExtract=this.Refactor.append("extract"),this.RefactorInline=this.Refactor.append("inline"),this.RefactorMove=this.Refactor.append("move"),this.RefactorRewrite=this.Refactor.append("rewrite"),this.Notebook=new Nf("notebook"),this.Source=new Nf("source"),this.SourceOrganizeImports=this.Source.append("organizeImports"),this.SourceFixAll=this.Source.append("fixAll"),this.SurroundWith=this.Refactor.append("surround")}};var YC;(function(l){l.Refactor="refactor",l.RefactorPreview="refactor preview",l.Lightbulb="lightbulb",l.Default="other (default)",l.SourceAction="source action",l.QuickFix="quick fix action",l.FixAll="fix all",l.OrganizeImports="organize imports",l.AutoFix="auto fix",l.QuickFixHover="quick fix hover window",l.OnSave="save participants",l.ProblemsView="problems view"})(YC||(YC={}));function SNi(l,e){return!(l.include&&!l.include.intersects(e)||l.excludes&&l.excludes.some(i=>GNt(e,i,l.include))||!l.includeSourceActions&&gf.Source.contains(e))}function wNi(l,e){const i=e.kind?new Nf(e.kind):void 0;return!(l.include&&(!i||!l.include.contains(i))||l.excludes&&i&&l.excludes.some(n=>GNt(i,n,l.include))||!l.includeSourceActions&&i&&gf.Source.contains(i)||l.onlyIncludePreferredActions&&!e.isPreferred)}function GNt(l,e,i){return!(!e.contains(l)||i&&e.contains(i))}class yL{static fromUser(e,i){return!e||typeof e!="object"?new yL(i.kind,i.apply,!1):new yL(yL.getKindFromUser(e,i.kind),yL.getApplyFromUser(e,i.apply),yL.getPreferredUser(e))}static getApplyFromUser(e,i){switch(typeof e.apply=="string"?e.apply.toLowerCase():""){case"first":return"first";case"never":return"never";case"ifsingle":return"ifSingle";default:return i}}static getKindFromUser(e,i){return typeof e.kind=="string"?new Nf(e.kind):i}static getPreferredUser(e){return typeof e.preferred=="boolean"?e.preferred:!1}constructor(e,i,n){this.kind=e,this.apply=i,this.preferred=n}}class xNi{constructor(e,i,n){this.action=e,this.provider=i,this.highlightRange=n}async resolve(e){var i;if((i=this.provider)!=null&&i.resolveCodeAction&&!this.action.edit){let n;try{n=await this.provider.resolveCodeAction(this.action,e)}catch(s){t_(s)}n&&(this.action.edit=n.edit)}return this}}const KNt="editor.action.codeAction",FGe="editor.action.quickFix",XNt="editor.action.autoFix",QNt="editor.action.refactor",ZNt="editor.action.sourceAction",eze="editor.action.organizeImports",tze="editor.action.fixAll";class Zie extends xi{static codeActionsPreferredComparator(e,i){return e.isPreferred&&!i.isPreferred?-1:!e.isPreferred&&i.isPreferred?1:0}static codeActionsComparator({action:e},{action:i}){return e.isAI&&!i.isAI?1:!e.isAI&&i.isAI?-1:ky(e.diagnostics)?ky(i.diagnostics)?Zie.codeActionsPreferredComparator(e,i):-1:ky(i.diagnostics)?1:Zie.codeActionsPreferredComparator(e,i)}constructor(e,i,n){super(),this.documentation=i,this._register(n),this.allActions=[...e].sort(Zie.codeActionsComparator),this.validActions=this.allActions.filter(({action:s})=>!s.disabled)}get hasAutoFix(){return this.validActions.some(({action:e})=>!!e.kind&&gf.QuickFix.contains(new Nf(e.kind))&&!!e.isPreferred)}get hasAIFix(){return this.validActions.some(({action:e})=>!!e.isAI)}get allAIFixes(){return this.validActions.every(({action:e})=>!!e.isAI)}}const Lwt={actions:[],documentation:void 0};async function mq(l,e,i,n,s,c){var z;const d=n.filter||{},f={...d,excludes:[...d.excludes||[],gf.Notebook]},m={only:(z=d.include)==null?void 0:z.value,trigger:n.type},v=new kGe(e,c),x=n.type===2,w=kNi(l,e,x?f:d),I=new wn,P=w.map(async J=>{try{s.report(J);const Y=await J.provideCodeActions(e,i,m,v.token);if(Y&&I.add(Y),v.token.isCancellationRequested)return Lwt;const ae=((Y==null?void 0:Y.actions)||[]).filter(ye=>ye&&wNi(d,ye)),me=DNi(J,ae,d.include);return{actions:ae.map(ye=>new xNi(ye,J)),documentation:me}}catch(Y){if(Tk(Y))throw Y;return t_(Y),Lwt}}),O=l.onDidChange(()=>{const J=l.all(e);Lf(J,w)||v.cancel()});try{const J=await Promise.all(P),Y=J.map(me=>me.actions).flat(),ae=[...yT(J.map(me=>me.documentation)),...TNi(l,e,n,Y)];return new Zie(Y,ae,I)}finally{O.dispose(),v.dispose()}}function kNi(l,e,i){return l.all(e).filter(n=>n.providedCodeActionKinds?n.providedCodeActionKinds.some(s=>SNi(i,new Nf(s))):!0)}function*TNi(l,e,i,n){var s,c,d;if(e&&n.length)for(const f of l.all(e))f._getAdditionalMenuItems&&(yield*(d=f._getAdditionalMenuItems)==null?void 0:d.call(f,{trigger:i.type,only:(c=(s=i.filter)==null?void 0:s.include)==null?void 0:c.value},n.map(m=>m.action)))}function DNi(l,e,i){if(!l.documentation)return;const n=l.documentation.map(s=>({kind:new Nf(s.kind),command:s.command}));if(i){let s;for(const c of n)c.kind.contains(i)&&(s?s.kind.contains(c.kind)&&(s=c):s=c);if(s)return s==null?void 0:s.command}for(const s of e)if(s.kind){for(const c of n)if(c.kind.contains(new Nf(s.kind)))return c.command}}var l9;(function(l){l.OnSave="onSave",l.FromProblemsView="fromProblemsView",l.FromCodeActions="fromCodeActions",l.FromAILightbulb="fromAILightbulb"})(l9||(l9={}));async function ENi(l,e,i,n,s=cc.None){var v;const c=l.get(Sse),d=l.get(qd),f=l.get(b1),m=l.get(w_);if(f.publicLog2("codeAction.applyCodeAction",{codeActionTitle:e.action.title,codeActionKind:e.action.kind,codeActionIsPreferred:!!e.action.isPreferred,reason:i}),await e.resolve(s),!s.isCancellationRequested&&!((v=e.action.edit)!=null&&v.edits.length&&!(await c.apply(e.action.edit,{editor:n==null?void 0:n.editor,label:e.action.title,quotableLabel:e.action.title,code:"undoredo.codeAction",respectAutoSaveConfig:i!==l9.OnSave,showPreview:n==null?void 0:n.preview})).isApplied)&&e.action.command)try{await d.executeCommand(e.action.command.id,...e.action.command.arguments||[])}catch(x){const w=INi(x);m.error(typeof w=="string"?w:W("applyCodeActionFailed","An unknown error occurred while applying the code action"))}}function INi(l){return typeof l=="string"?l:l instanceof Error&&typeof l.message=="string"?l.message:void 0}fl.registerCommand("_executeCodeActionProvider",async function(l,e,i,n,s){if(!(e instanceof yo))throw gk();const{codeActionProvider:c}=l.get(Ts),d=l.get(rf).getModel(e);if(!d)throw gk();const f=Us.isISelection(i)?Us.liftSelection(i):nt.isIRange(i)?d.validateRange(i):void 0;if(!f)throw gk();const m=typeof n=="string"?new Nf(n):void 0,v=await mq(c,d,f,{type:1,triggerAction:YC.Default,filter:{includeSourceActions:!0,include:m}},NE.None,cc.None),x=[],w=Math.min(v.validActions.length,typeof s=="number"?s:0);for(let I=0;I<w;I++)x.push(v.validActions[I].resolve(cc.None));try{return await Promise.all(x),v.validActions.map(I=>I.action)}finally{setTimeout(()=>v.dispose(),100)}});var NNi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},LNi=function(l,e){return function(i,n){e(i,n,l)}},ize,F9;let nze=(F9=class{constructor(e){this.keybindingService=e}getResolver(){const e=new HE(()=>this.keybindingService.getKeybindings().filter(i=>ize.codeActionCommands.indexOf(i.command)>=0).filter(i=>i.resolvedKeybinding).map(i=>{let n=i.commandArgs;return i.command===eze?n={kind:gf.SourceOrganizeImports.value}:i.command===tze&&(n={kind:gf.SourceFixAll.value}),{resolvedKeybinding:i.resolvedKeybinding,...yL.fromUser(n,{kind:Nf.None,apply:"never"})}}));return i=>{if(i.kind){const n=this.bestKeybindingForCodeAction(i,e.value);return n==null?void 0:n.resolvedKeybinding}}}bestKeybindingForCodeAction(e,i){if(!e.kind)return;const n=new Nf(e.kind);return i.filter(s=>s.kind.contains(n)).filter(s=>s.preferred?e.isPreferred:!0).reduceRight((s,c)=>s?s.kind.contains(c.kind)?c:s:c,void 0)}},ize=F9,F9.codeActionCommands=[QNt,KNt,ZNt,eze,tze],F9);nze=ize=NNi([LNi(0,pu)],nze);It("symbolIcon.arrayForeground",kc,W("symbolIcon.arrayForeground","The foreground color for array symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.booleanForeground",kc,W("symbolIcon.booleanForeground","The foreground color for boolean symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.classForeground",{dark:"#EE9D28",light:"#D67E00",hcDark:"#EE9D28",hcLight:"#D67E00"},W("symbolIcon.classForeground","The foreground color for class symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.colorForeground",kc,W("symbolIcon.colorForeground","The foreground color for color symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.constantForeground",kc,W("symbolIcon.constantForeground","The foreground color for constant symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.constructorForeground",{dark:"#B180D7",light:"#652D90",hcDark:"#B180D7",hcLight:"#652D90"},W("symbolIcon.constructorForeground","The foreground color for constructor symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.enumeratorForeground",{dark:"#EE9D28",light:"#D67E00",hcDark:"#EE9D28",hcLight:"#D67E00"},W("symbolIcon.enumeratorForeground","The foreground color for enumerator symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.enumeratorMemberForeground",{dark:"#75BEFF",light:"#007ACC",hcDark:"#75BEFF",hcLight:"#007ACC"},W("symbolIcon.enumeratorMemberForeground","The foreground color for enumerator member symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.eventForeground",{dark:"#EE9D28",light:"#D67E00",hcDark:"#EE9D28",hcLight:"#D67E00"},W("symbolIcon.eventForeground","The foreground color for event symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.fieldForeground",{dark:"#75BEFF",light:"#007ACC",hcDark:"#75BEFF",hcLight:"#007ACC"},W("symbolIcon.fieldForeground","The foreground color for field symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.fileForeground",kc,W("symbolIcon.fileForeground","The foreground color for file symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.folderForeground",kc,W("symbolIcon.folderForeground","The foreground color for folder symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.functionForeground",{dark:"#B180D7",light:"#652D90",hcDark:"#B180D7",hcLight:"#652D90"},W("symbolIcon.functionForeground","The foreground color for function symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.interfaceForeground",{dark:"#75BEFF",light:"#007ACC",hcDark:"#75BEFF",hcLight:"#007ACC"},W("symbolIcon.interfaceForeground","The foreground color for interface symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.keyForeground",kc,W("symbolIcon.keyForeground","The foreground color for key symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.keywordForeground",kc,W("symbolIcon.keywordForeground","The foreground color for keyword symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.methodForeground",{dark:"#B180D7",light:"#652D90",hcDark:"#B180D7",hcLight:"#652D90"},W("symbolIcon.methodForeground","The foreground color for method symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.moduleForeground",kc,W("symbolIcon.moduleForeground","The foreground color for module symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.namespaceForeground",kc,W("symbolIcon.namespaceForeground","The foreground color for namespace symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.nullForeground",kc,W("symbolIcon.nullForeground","The foreground color for null symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.numberForeground",kc,W("symbolIcon.numberForeground","The foreground color for number symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.objectForeground",kc,W("symbolIcon.objectForeground","The foreground color for object symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.operatorForeground",kc,W("symbolIcon.operatorForeground","The foreground color for operator symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.packageForeground",kc,W("symbolIcon.packageForeground","The foreground color for package symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.propertyForeground",kc,W("symbolIcon.propertyForeground","The foreground color for property symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.referenceForeground",kc,W("symbolIcon.referenceForeground","The foreground color for reference symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.snippetForeground",kc,W("symbolIcon.snippetForeground","The foreground color for snippet symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.stringForeground",kc,W("symbolIcon.stringForeground","The foreground color for string symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.structForeground",kc,W("symbolIcon.structForeground","The foreground color for struct symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.textForeground",kc,W("symbolIcon.textForeground","The foreground color for text symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.typeParameterForeground",kc,W("symbolIcon.typeParameterForeground","The foreground color for type parameter symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.unitForeground",kc,W("symbolIcon.unitForeground","The foreground color for unit symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));It("symbolIcon.variableForeground",{dark:"#75BEFF",light:"#007ACC",hcDark:"#75BEFF",hcLight:"#007ACC"},W("symbolIcon.variableForeground","The foreground color for variable symbols. These symbols appear in the outline, breadcrumb, and suggest widget."));const YNt=Object.freeze({kind:Nf.Empty,title:W("codeAction.widget.id.more","More Actions...")}),PNi=Object.freeze([{kind:gf.QuickFix,title:W("codeAction.widget.id.quickfix","Quick Fix")},{kind:gf.RefactorExtract,title:W("codeAction.widget.id.extract","Extract"),icon:pr.wrench},{kind:gf.RefactorInline,title:W("codeAction.widget.id.inline","Inline"),icon:pr.wrench},{kind:gf.RefactorRewrite,title:W("codeAction.widget.id.convert","Rewrite"),icon:pr.wrench},{kind:gf.RefactorMove,title:W("codeAction.widget.id.move","Move"),icon:pr.wrench},{kind:gf.SurroundWith,title:W("codeAction.widget.id.surround","Surround With"),icon:pr.surroundWith},{kind:gf.Source,title:W("codeAction.widget.id.source","Source Action"),icon:pr.symbolFile},YNt]);function ANi(l,e,i){if(!e)return l.map(c=>{var d;return{kind:"action",item:c,group:YNt,disabled:!!c.action.disabled,label:c.action.disabled||c.action.title,canPreview:!!((d=c.action.edit)!=null&&d.edits.length)}});const n=PNi.map(c=>({group:c,actions:[]}));for(const c of l){const d=c.action.kind?new Nf(c.action.kind):Nf.None;for(const f of n)if(f.group.kind.contains(d)){f.actions.push(c);break}}const s=[];for(const c of n)if(c.actions.length){s.push({kind:"header",group:c.group});for(const d of c.actions){const f=c.group;s.push({kind:"action",item:d,group:d.action.isAI?{title:f.title,kind:f.kind,icon:pr.sparkle}:f,label:d.action.title,disabled:!!d.action.disabled,keybinding:i(d.action)})}}return s}var ONi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},MNi=function(l,e){return function(i,n){e(i,n,l)}},$U;const Pwt=sf("gutter-lightbulb",pr.lightBulb,W("gutterLightbulbWidget","Icon which spawns code actions menu from the gutter when there is no space in the editor.")),Awt=sf("gutter-lightbulb-auto-fix",pr.lightbulbAutofix,W("gutterLightbulbAutoFixWidget","Icon which spawns code actions menu from the gutter when there is no space in the editor and a quick fix is available.")),Owt=sf("gutter-lightbulb-sparkle",pr.lightbulbSparkle,W("gutterLightbulbAIFixWidget","Icon which spawns code actions menu from the gutter when there is no space in the editor and an AI fix is available.")),Mwt=sf("gutter-lightbulb-aifix-auto-fix",pr.lightbulbSparkleAutofix,W("gutterLightbulbAIFixAutoFixWidget","Icon which spawns code actions menu from the gutter when there is no space in the editor and an AI fix and a quick fix is available.")),Rwt=sf("gutter-lightbulb-sparkle-filled",pr.sparkleFilled,W("gutterLightbulbSparkleFilledWidget","Icon which spawns code actions menu from the gutter when there is no space in the editor and an AI fix and a quick fix is available."));var pE;(function(l){l.Hidden={type:0};class e{constructor(n,s,c,d){this.actions=n,this.trigger=s,this.editorPosition=c,this.widgetPosition=d,this.type=1}}l.Showing=e})(pE||(pE={}));var K4;let Fre=(K4=class extends xi{constructor(e,i){super(),this._editor=e,this._keybindingService=i,this._onClick=this._register(new gi),this.onClick=this._onClick.event,this._state=pE.Hidden,this._gutterState=pE.Hidden,this._iconClasses=[],this.lightbulbClasses=["codicon-"+Pwt.id,"codicon-"+Mwt.id,"codicon-"+Awt.id,"codicon-"+Owt.id,"codicon-"+Rwt.id],this.gutterDecoration=$U.GUTTER_DECORATION,this._domNode=Cr("div.lightBulbWidget"),this._domNode.role="listbox",this._register(y1.ignoreTarget(this._domNode)),this._editor.addContentWidget(this),this._register(this._editor.onDidChangeModelContent(n=>{const s=this._editor.getModel();(this.state.type!==1||!s||this.state.editorPosition.lineNumber>=s.getLineCount())&&this.hide(),(this.gutterState.type!==1||!s||this.gutterState.editorPosition.lineNumber>=s.getLineCount())&&this.gutterHide()})),this._register(tdi(this._domNode,n=>{if(this.state.type!==1)return;this._editor.focus(),n.preventDefault();const{top:s,height:c}=e_(this._domNode),d=this._editor.getOption(67);let f=Math.floor(d/3);this.state.widgetPosition.position!==null&&this.state.widgetPosition.position.lineNumber<this.state.editorPosition.lineNumber&&(f+=d),this._onClick.fire({x:n.posx,y:s+c+f,actions:this.state.actions,trigger:this.state.trigger})})),this._register(en(this._domNode,"mouseenter",n=>{(n.buttons&1)===1&&this.hide()})),this._register(Tr.runAndSubscribe(this._keybindingService.onDidUpdateKeybindings,()=>{var n,s;this._preferredKbLabel=((n=this._keybindingService.lookupKeybinding(XNt))==null?void 0:n.getLabel())??void 0,this._quickFixKbLabel=((s=this._keybindingService.lookupKeybinding(FGe))==null?void 0:s.getLabel())??void 0,this._updateLightBulbTitleAndIcon()})),this._register(this._editor.onMouseDown(async n=>{if(!n.target.element||!this.lightbulbClasses.some(m=>n.target.element&&n.target.element.classList.contains(m))||this.gutterState.type!==1)return;this._editor.focus();const{top:s,height:c}=e_(n.target.element),d=this._editor.getOption(67);let f=Math.floor(d/3);this.gutterState.widgetPosition.position!==null&&this.gutterState.widgetPosition.position.lineNumber<this.gutterState.editorPosition.lineNumber&&(f+=d),this._onClick.fire({x:n.event.posx,y:s+c+f,actions:this.gutterState.actions,trigger:this.gutterState.trigger})}))}dispose(){super.dispose(),this._editor.removeContentWidget(this),this._gutterDecorationID&&this._removeGutterDecoration(this._gutterDecorationID)}getId(){return"LightBulbWidget"}getDomNode(){return this._domNode}getPosition(){return this._state.type===1?this._state.widgetPosition:null}update(e,i,n){if(e.validActions.length<=0)return this.gutterHide(),this.hide();if(!this._editor.hasTextFocus())return this.gutterHide(),this.hide();if(!this._editor.getOptions().get(65).enabled)return this.gutterHide(),this.hide();const d=this._editor.getModel();if(!d)return this.gutterHide(),this.hide();const{lineNumber:f,column:m}=d.validatePosition(n),v=d.getOptions().tabSize,x=this._editor.getOptions().get(50),w=d.getLineContent(f),I=Cwe(w,v),P=x.spaceWidth*I>22,O=Ce=>Ce>2&&this._editor.getTopForLineNumber(Ce)===this._editor.getTopForLineNumber(Ce-1),z=this._editor.getLineDecorations(f);let J=!1;if(z)for(const Ce of z){const Fe=Ce.options.glyphMarginClassName;if(Fe&&!this.lightbulbClasses.some(rt=>Fe.includes(rt))){J=!0;break}}let Y=f,ae=1;if(!P){const Ce=Fe=>{const rt=d.getLineContent(Fe);return/^\s*$|^\s+/.test(rt)||rt.length<=ae};if(f>1&&!O(f-1)){const Fe=d.getLineCount(),rt=f===Fe,ct=f>1&&Ce(f-1),Mt=!rt&&Ce(f+1),Yt=Ce(f),Bi=!Mt&&!ct;if(!Mt&&!ct&&!J)return this.gutterState=new pE.Showing(e,i,n,{position:{lineNumber:Y,column:ae},preference:$U._posPref}),this.renderGutterLightbub(),this.hide();ct||rt||ct&&!Yt?Y-=1:(Mt||Bi&&Yt)&&(Y+=1)}else if(f===1&&(f===d.getLineCount()||!Ce(f+1)&&!Ce(f)))if(this.gutterState=new pE.Showing(e,i,n,{position:{lineNumber:Y,column:ae},preference:$U._posPref}),J)this.gutterHide();else return this.renderGutterLightbub(),this.hide();else if(f<d.getLineCount()&&!O(f+1))Y+=1;else if(m*x.spaceWidth<22)return this.hide();ae=/^\S\s*$/.test(d.getLineContent(Y))?2:1}this.state=new pE.Showing(e,i,n,{position:{lineNumber:Y,column:ae},preference:$U._posPref}),this._gutterDecorationID&&(this._removeGutterDecoration(this._gutterDecorationID),this.gutterHide());const me=e.validActions,ye=e.validActions[0].action.kind;if(me.length!==1||!ye){this._editor.layoutContentWidget(this);return}this._editor.layoutContentWidget(this)}hide(){this.state!==pE.Hidden&&(this.state=pE.Hidden,this._editor.layoutContentWidget(this))}gutterHide(){this.gutterState!==pE.Hidden&&(this._gutterDecorationID&&this._removeGutterDecoration(this._gutterDecorationID),this.gutterState=pE.Hidden)}get state(){return this._state}set state(e){this._state=e,this._updateLightBulbTitleAndIcon()}get gutterState(){return this._gutterState}set gutterState(e){this._gutterState=e,this._updateGutterLightBulbTitleAndIcon()}_updateLightBulbTitleAndIcon(){if(this._domNode.classList.remove(...this._iconClasses),this._iconClasses=[],this.state.type!==1)return;let e,i=!1;this.state.actions.allAIFixes?(e=pr.sparkleFilled,this.state.actions.validActions.length===1&&(i=!0)):this.state.actions.hasAutoFix?this.state.actions.hasAIFix?e=pr.lightbulbSparkleAutofix:e=pr.lightbulbAutofix:this.state.actions.hasAIFix?e=pr.lightbulbSparkle:e=pr.lightBulb,this._updateLightbulbTitle(this.state.actions.hasAutoFix,i),this._iconClasses=zo.asClassNameArray(e),this._domNode.classList.add(...this._iconClasses)}_updateGutterLightBulbTitleAndIcon(){if(this.gutterState.type!==1)return;let e,i=!1;this.gutterState.actions.allAIFixes?(e=Rwt,this.gutterState.actions.validActions.length===1&&(i=!0)):this.gutterState.actions.hasAutoFix?this.gutterState.actions.hasAIFix?e=Mwt:e=Awt:this.gutterState.actions.hasAIFix?e=Owt:e=Pwt,this._updateLightbulbTitle(this.gutterState.actions.hasAutoFix,i);const n=qa.register({description:"codicon-gutter-lightbulb-decoration",glyphMarginClassName:zo.asClassName(e),glyphMargin:{position:CT.Left},stickiness:1});this.gutterDecoration=n}renderGutterLightbub(){const e=this._editor.getSelection();e&&(this._gutterDecorationID===void 0?this._addGutterDecoration(e.startLineNumber):this._updateGutterDecoration(this._gutterDecorationID,e.startLineNumber))}_addGutterDecoration(e){this._editor.changeDecorations(i=>{this._gutterDecorationID=i.addDecoration(new nt(e,0,e,0),this.gutterDecoration)})}_removeGutterDecoration(e){this._editor.changeDecorations(i=>{i.removeDecoration(e),this._gutterDecorationID=void 0})}_updateGutterDecoration(e,i){this._editor.changeDecorations(n=>{n.changeDecoration(e,new nt(i,0,i,0)),n.changeDecorationOptions(e,this.gutterDecoration)})}_updateLightbulbTitle(e,i){this.state.type===1&&(i?this.title=W("codeActionAutoRun","Run: {0}",this.state.actions.validActions[0].action.title):e&&this._preferredKbLabel?this.title=W("preferredcodeActionWithKb","Show Code Actions. Preferred Quick Fix Available ({0})",this._preferredKbLabel):!e&&this._quickFixKbLabel?this.title=W("codeActionWithKb","Show Code Actions ({0})",this._quickFixKbLabel):e||(this.title=W("codeAction","Show Code Actions")))}set title(e){this._domNode.title=e}},$U=K4,K4.GUTTER_DECORATION=qa.register({description:"codicon-gutter-lightbulb-decoration",glyphMarginClassName:zo.asClassName(pr.lightBulb),glyphMargin:{position:CT.Left},stickiness:1}),K4.ID="editor.contrib.lightbulbWidget",K4._posPref=[0],K4);Fre=$U=ONi([MNi(1,pu)],Fre);var eLt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},rze=function(l,e){return function(i,n){e(i,n,l)}};const tLt="acceptSelectedCodeAction",iLt="previewSelectedCodeAction";class RNi{get templateId(){return"header"}renderTemplate(e){e.classList.add("group-header");const i=document.createElement("span");return e.append(i),{container:e,text:i}}renderElement(e,i,n){var s;n.text.textContent=((s=e.group)==null?void 0:s.title)??""}disposeTemplate(e){}}let sze=class{get templateId(){return"action"}constructor(e,i){this._supportsPreview=e,this._keybindingService=i}renderTemplate(e){e.classList.add(this.templateId);const i=document.createElement("div");i.className="icon",e.append(i);const n=document.createElement("span");n.className="title",e.append(n);const s=new EJ(e,UC);return{container:e,icon:i,text:n,keybinding:s}}renderElement(e,i,n){var d,f,m;if((d=e.group)!=null&&d.icon?(n.icon.className=zo.asClassName(e.group.icon),e.group.icon.color&&(n.icon.style.color=cs(e.group.icon.color.id))):(n.icon.className=zo.asClassName(pr.lightBulb),n.icon.style.color="var(--vscode-editorLightBulb-foreground)"),!e.item||!e.label)return;n.text.textContent=nLt(e.label),n.keybinding.set(e.keybinding),mdi(!!e.keybinding,n.keybinding.element);const s=(f=this._keybindingService.lookupKeybinding(tLt))==null?void 0:f.getLabel(),c=(m=this._keybindingService.lookupKeybinding(iLt))==null?void 0:m.getLabel();n.container.classList.toggle("option-disabled",e.disabled),e.disabled?n.container.title=e.label:s&&c?this._supportsPreview&&e.canPreview?n.container.title=W({key:"label-preview",comment:['placeholders are keybindings, e.g "F2 to Apply, Shift+F2 to Preview"']},"{0} to Apply, {1} to Preview",s,c):n.container.title=W({key:"label",comment:['placeholder is a keybinding, e.g "F2 to Apply"']},"{0} to Apply",s):n.container.title=""}disposeTemplate(e){e.keybinding.dispose()}};sze=eLt([rze(1,pu)],sze);class FNi extends UIEvent{constructor(){super("acceptSelectedAction")}}class Fwt extends UIEvent{constructor(){super("previewSelectedAction")}}function BNi(l){if(l.kind==="action")return l.label}let oze=class extends xi{constructor(e,i,n,s,c,d){super(),this._delegate=s,this._contextViewService=c,this._keybindingService=d,this._actionLineHeight=24,this._headerLineHeight=26,this.cts=this._register(new ih),this.domNode=document.createElement("div"),this.domNode.classList.add("actionList");const f={getHeight:m=>m.kind==="header"?this._headerLineHeight:this._actionLineHeight,getTemplateId:m=>m.kind};this._list=this._register(new Ow(e,this.domNode,f,[new sze(i,this._keybindingService),new RNi],{keyboardSupport:!1,typeNavigationEnabled:!0,keyboardNavigationLabelProvider:{getKeyboardNavigationLabel:BNi},accessibilityProvider:{getAriaLabel:m=>{if(m.kind==="action"){let v=m.label?nLt(m==null?void 0:m.label):"";return m.disabled&&(v=W({key:"customQuickFixWidget.labels",comment:["Action widget labels for accessibility."]},"{0}, Disabled Reason: {1}",v,m.disabled)),v}return null},getWidgetAriaLabel:()=>W({key:"customQuickFixWidget",comment:["An action widget option"]},"Action Widget"),getRole:m=>m.kind==="action"?"option":"separator",getWidgetRole:()=>"listbox"}})),this._list.style(tW),this._register(this._list.onMouseClick(m=>this.onListClick(m))),this._register(this._list.onMouseOver(m=>this.onListHover(m))),this._register(this._list.onDidChangeFocus(()=>this.onFocus())),this._register(this._list.onDidChangeSelection(m=>this.onListSelection(m))),this._allMenuItems=n,this._list.splice(0,this._list.length,this._allMenuItems),this._list.length&&this.focusNext()}focusCondition(e){return!e.disabled&&e.kind==="action"}hide(e){this._delegate.onHide(e),this.cts.cancel(),this._contextViewService.hideContextView()}layout(e){const i=this._allMenuItems.filter(m=>m.kind==="header").length,s=this._allMenuItems.length*this._actionLineHeight+i*this._headerLineHeight-i*this._actionLineHeight;this._list.layout(s);let c=e;if(this._allMenuItems.length>=50)c=380;else{const m=this._allMenuItems.map((v,x)=>{const w=this.domNode.ownerDocument.getElementById(this._list.getElementID(x));if(w){w.style.width="auto";const I=w.getBoundingClientRect().width;return w.style.width="",I}return 0});c=Math.max(...m,e)}const f=Math.min(s,this.domNode.ownerDocument.body.clientHeight*.7);return this._list.layout(f,c),this.domNode.style.height=`${f}px`,this._list.domFocus(),c}focusPrevious(){this._list.focusPrevious(1,!0,void 0,this.focusCondition)}focusNext(){this._list.focusNext(1,!0,void 0,this.focusCondition)}acceptSelected(e){const i=this._list.getFocus();if(i.length===0)return;const n=i[0],s=this._list.element(n);if(!this.focusCondition(s))return;const c=e?new Fwt:new FNi;this._list.setSelection([n],c)}onListSelection(e){if(!e.elements.length)return;const i=e.elements[0];i.item&&this.focusCondition(i)?this._delegate.onSelect(i.item,e.browserEvent instanceof Fwt):this._list.setSelection([])}onFocus(){var s,c;const e=this._list.getFocus();if(e.length===0)return;const i=e[0],n=this._list.element(i);(c=(s=this._delegate).onFocus)==null||c.call(s,n.item)}async onListHover(e){const i=e.element;if(i&&i.item&&this.focusCondition(i)){if(this._delegate.onHover&&!i.disabled&&i.kind==="action"){const n=await this._delegate.onHover(i.item,this.cts.token);i.canPreview=n?n.canPreview:void 0}e.index&&this._list.splice(e.index,1,[i])}this._list.setFocus(typeof e.index=="number"?[e.index]:[])}onListClick(e){e.element&&this.focusCondition(e.element)&&this._list.setFocus([])}};oze=eLt([rze(4,b6),rze(5,pu)],oze);function nLt(l){return l.replace(/\r\n|\r|\n/g," ")}var WNi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},I9e=function(l,e){return function(i,n){e(i,n,l)}};It("actionBar.toggledBackground",yse,W("actionBar.toggledBackground","Background color for toggled action items in action bar."));const HB={Visible:new rs("codeActionMenuVisible",!1,W("codeActionMenuVisible","Whether the action widget list is visible"))},cW=jc("actionWidgetService");let jB=class extends xi{get isVisible(){return HB.Visible.getValue(this._contextKeyService)||!1}constructor(e,i,n){super(),this._contextViewService=e,this._contextKeyService=i,this._instantiationService=n,this._list=this._register(new Fm)}show(e,i,n,s,c,d,f){const m=HB.Visible.bindTo(this._contextKeyService),v=this._instantiationService.createInstance(oze,e,i,n,s);this._contextViewService.showContextView({getAnchor:()=>c,render:x=>(m.set(!0),this._renderWidget(x,v,f??[])),onHide:x=>{m.reset(),this._onWidgetClosed(x)}},d,!1)}acceptSelected(e){var i;(i=this._list.value)==null||i.acceptSelected(e)}focusPrevious(){var e,i;(i=(e=this._list)==null?void 0:e.value)==null||i.focusPrevious()}focusNext(){var e,i;(i=(e=this._list)==null?void 0:e.value)==null||i.focusNext()}hide(e){var i;(i=this._list.value)==null||i.hide(e),this._list.clear()}_renderWidget(e,i,n){var P;const s=document.createElement("div");if(s.classList.add("action-widget"),e.appendChild(s),this._list.value=i,this._list.value)s.appendChild(this._list.value.domNode);else throw new Error("List has no value");const c=new wn,d=document.createElement("div"),f=e.appendChild(d);f.classList.add("context-view-block"),c.add(en(f,br.MOUSE_DOWN,O=>O.stopPropagation()));const m=document.createElement("div"),v=e.appendChild(m);v.classList.add("context-view-pointerBlock"),c.add(en(v,br.POINTER_MOVE,()=>v.remove())),c.add(en(v,br.MOUSE_DOWN,()=>v.remove()));let x=0;if(n.length){const O=this._createActionBar(".action-widget-action-bar",n);O&&(s.appendChild(O.getContainer().parentElement),c.add(O),x=O.getContainer().offsetWidth)}const w=(P=this._list.value)==null?void 0:P.layout(x);s.style.width=`${w}px`;const I=c.add(zE(e));return c.add(I.onDidBlur(()=>this.hide(!0))),c}_createActionBar(e,i){if(!i.length)return;const n=Cr(e),s=new kw(n);return s.push(i,{icon:!1,label:!0}),s}_onWidgetClosed(e){var i;(i=this._list.value)==null||i.hide(e)}};jB=WNi([I9e(0,b6),I9e(1,Ko),I9e(2,ho)],jB);nl(cW,jB,1);const Hse=1100;Nd(class extends Tv{constructor(){super({id:"hideCodeActionWidget",title:aa("hideCodeActionWidget.title","Hide action widget"),precondition:HB.Visible,keybinding:{weight:Hse,primary:9,secondary:[1033]}})}run(l){l.get(cW).hide(!0)}});Nd(class extends Tv{constructor(){super({id:"selectPrevCodeAction",title:aa("selectPrevCodeAction.title","Select previous action"),precondition:HB.Visible,keybinding:{weight:Hse,primary:16,secondary:[2064],mac:{primary:16,secondary:[2064,302]}}})}run(l){const e=l.get(cW);e instanceof jB&&e.focusPrevious()}});Nd(class extends Tv{constructor(){super({id:"selectNextCodeAction",title:aa("selectNextCodeAction.title","Select next action"),precondition:HB.Visible,keybinding:{weight:Hse,primary:18,secondary:[2066],mac:{primary:18,secondary:[2066,300]}}})}run(l){const e=l.get(cW);e instanceof jB&&e.focusNext()}});Nd(class extends Tv{constructor(){super({id:tLt,title:aa("acceptSelected.title","Accept selected action"),precondition:HB.Visible,keybinding:{weight:Hse,primary:3,secondary:[2137]}})}run(l){const e=l.get(cW);e instanceof jB&&e.acceptSelected()}});Nd(class extends Tv{constructor(){super({id:iLt,title:aa("previewSelected.title","Preview selected action"),precondition:HB.Visible,keybinding:{weight:Hse,primary:2051}})}run(l){const e=l.get(cW);e instanceof jB&&e.acceptSelected(!0)}});const rLt=new rs("supportedCodeAction",""),Bwt="_typescript.applyFixAllCodeAction";class VNi extends xi{constructor(e,i,n,s=250){super(),this._editor=e,this._markerService=i,this._signalChange=n,this._delay=s,this._autoTriggerTimer=this._register(new TT),this._register(this._markerService.onMarkerChanged(c=>this._onMarkerChanges(c))),this._register(this._editor.onDidChangeCursorPosition(()=>this._tryAutoTrigger()))}trigger(e){const i=this._getRangeOfSelectionUnlessWhitespaceEnclosed(e);this._signalChange(i?{trigger:e,selection:i}:void 0)}_onMarkerChanges(e){const i=this._editor.getModel();i&&e.some(n=>ire(n,i.uri))&&this._tryAutoTrigger()}_tryAutoTrigger(){this._autoTriggerTimer.cancelAndSet(()=>{this.trigger({type:2,triggerAction:YC.Default})},this._delay)}_getRangeOfSelectionUnlessWhitespaceEnclosed(e){if(!this._editor.hasModel())return;const i=this._editor.getSelection();if(e.type===1)return i;const n=this._editor.getOption(65).enabled;if(n!==cT.Off){{if(n===cT.On)return i;if(n===cT.OnCode){if(!i.isEmpty())return i;const c=this._editor.getModel(),{lineNumber:d,column:f}=i.getPosition(),m=c.getLineContent(d);if(m.length===0)return;if(f===1){if(/\s/.test(m[0]))return}else if(f===c.getLineMaxColumn(d)){if(/\s/.test(m[m.length-1]))return}else if(/\s/.test(m[f-2])&&/\s/.test(m[f-1]))return}}return i}}}var GF;(function(l){l.Empty={type:0};class e{constructor(n,s,c){this.trigger=n,this.position=s,this._cancellablePromise=c,this.type=1,this.actions=c.catch(d=>{if(Tk(d))return sLt;throw d})}cancel(){this._cancellablePromise.cancel()}}l.Triggered=e})(GF||(GF={}));const sLt=Object.freeze({allActions:[],validActions:[],dispose:()=>{},documentation:[],hasAutoFix:!1,hasAIFix:!1,allAIFixes:!1});class HNi extends xi{constructor(e,i,n,s,c,d,f){super(),this._editor=e,this._registry=i,this._markerService=n,this._progressService=c,this._configurationService=d,this._telemetryService=f,this._codeActionOracle=this._register(new Fm),this._state=GF.Empty,this._onDidChangeState=this._register(new gi),this.onDidChangeState=this._onDidChangeState.event,this._disposed=!1,this._supportedCodeActions=rLt.bindTo(s),this._register(this._editor.onDidChangeModel(()=>this._update())),this._register(this._editor.onDidChangeModelLanguage(()=>this._update())),this._register(this._registry.onDidChange(()=>this._update())),this._register(this._editor.onDidChangeConfiguration(m=>{m.hasChanged(65)&&this._update()})),this._update()}dispose(){this._disposed||(this._disposed=!0,super.dispose(),this.setState(GF.Empty,!0))}_settingEnabledNearbyQuickfixes(){var i;const e=(i=this._editor)==null?void 0:i.getModel();return this._configurationService?this._configurationService.getValue("editor.codeActionWidget.includeNearbyQuickFixes",{resource:e==null?void 0:e.uri}):!1}_update(){if(this._disposed)return;this._codeActionOracle.value=void 0,this.setState(GF.Empty);const e=this._editor.getModel();if(e&&this._registry.has(e)&&!this._editor.getOption(92)){const i=this._registry.all(e).flatMap(n=>n.providedCodeActionKinds??[]);this._supportedCodeActions.set(i.join(" ")),this._codeActionOracle.value=new VNi(this._editor,this._markerService,n=>{var m;if(!n){this.setState(GF.Empty);return}const s=n.selection.getStartPosition(),c=Om(async v=>{var x,w,I,P,O,z,J,Y,ae,me;if(this._settingEnabledNearbyQuickfixes()&&n.trigger.type===1&&(n.trigger.triggerAction===YC.QuickFix||(w=(x=n.trigger.filter)==null?void 0:x.include)!=null&&w.contains(gf.QuickFix))){const ye=await mq(this._registry,e,n.selection,n.trigger,NE.None,v),Ce=[...ye.allActions];if(v.isCancellationRequested)return sLt;const Fe=(I=ye.validActions)==null?void 0:I.some(ct=>ct.action.kind?gf.QuickFix.contains(new Nf(ct.action.kind)):!1),rt=this._markerService.read({resource:e.uri});if(Fe){for(const ct of ye.validActions)(O=(P=ct.action.command)==null?void 0:P.arguments)!=null&&O.some(Mt=>typeof Mt=="string"&&Mt.includes(Bwt))&&(ct.action.diagnostics=[...rt.filter(Mt=>Mt.relatedInformation)]);return{validActions:ye.validActions,allActions:Ce,documentation:ye.documentation,hasAutoFix:ye.hasAutoFix,hasAIFix:ye.hasAIFix,allAIFixes:ye.allAIFixes,dispose:()=>{ye.dispose()}}}else if(!Fe&&rt.length>0){const ct=n.selection.getPosition();let Mt=ct,Yt=Number.MAX_VALUE;const Bi=[...ye.validActions];for(const $t of rt){const Hi=$t.endColumn,An=$t.endLineNumber,qt=$t.startLineNumber;if(An===ct.lineNumber||qt===ct.lineNumber){Mt=new pi(An,Hi);const ms={type:n.trigger.type,triggerAction:n.trigger.triggerAction,filter:{include:(z=n.trigger.filter)!=null&&z.include?(J=n.trigger.filter)==null?void 0:J.include:gf.QuickFix},autoApply:n.trigger.autoApply,context:{notAvailableMessage:((Y=n.trigger.context)==null?void 0:Y.notAvailableMessage)||"",position:Mt}},Wr=new Us(Mt.lineNumber,Mt.column,Mt.lineNumber,Mt.column),Dr=await mq(this._registry,e,Wr,ms,NE.None,v);if(Dr.validActions.length!==0){for(const Rr of Dr.validActions)(me=(ae=Rr.action.command)==null?void 0:ae.arguments)!=null&&me.some(na=>typeof na=="string"&&na.includes(Bwt))&&(Rr.action.diagnostics=[...rt.filter(na=>na.relatedInformation)]);ye.allActions.length===0&&Ce.push(...Dr.allActions),Math.abs(ct.column-Hi)<Yt?Bi.unshift(...Dr.validActions):Bi.push(...Dr.validActions)}Yt=Math.abs(ct.column-Hi)}}const $i=Bi.filter(($t,Hi,An)=>An.findIndex(qt=>qt.action.title===$t.action.title)===Hi);return $i.sort(($t,Hi)=>$t.action.isPreferred&&!Hi.action.isPreferred?-1:!$t.action.isPreferred&&Hi.action.isPreferred||$t.action.isAI&&!Hi.action.isAI?1:!$t.action.isAI&&Hi.action.isAI?-1:0),{validActions:$i,allActions:Ce,documentation:ye.documentation,hasAutoFix:ye.hasAutoFix,hasAIFix:ye.hasAIFix,allAIFixes:ye.allAIFixes,dispose:()=>{ye.dispose()}}}}if(n.trigger.type===1){const ye=new bg,Ce=await mq(this._registry,e,n.selection,n.trigger,NE.None,v);return this._telemetryService&&this._telemetryService.publicLog2("codeAction.invokedDurations",{codeActions:Ce.validActions.length,duration:ye.elapsed()}),Ce}return mq(this._registry,e,n.selection,n.trigger,NE.None,v)});n.trigger.type===1&&((m=this._progressService)==null||m.showWhile(c,250));const d=new GF.Triggered(n.trigger,s,c);let f=!1;this._state.type===1&&(f=this._state.trigger.type===1&&d.type===1&&d.trigger.type===2&&this._state.position!==d.position),f?setTimeout(()=>{this.setState(d)},500):this.setState(d)},void 0),this._codeActionOracle.value.trigger({type:2,triggerAction:YC.Default})}else this._supportedCodeActions.reset()}trigger(e){var i;(i=this._codeActionOracle.value)==null||i.trigger(e)}setState(e,i){e!==this._state&&(this._state.type===1&&this._state.cancel(),this._state=e,!i&&!this._disposed&&this._onDidChangeState.fire(e))}}var jNi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},GN=function(l,e){return function(i,n){e(i,n,l)}},JU;const zNi="quickfix-edit-highlight";var eR;let X$=(eR=class extends xi{static get(e){return e.getContribution(JU.ID)}constructor(e,i,n,s,c,d,f,m,v,x,w){super(),this._commandService=f,this._configurationService=m,this._actionWidgetService=v,this._instantiationService=x,this._telemetryService=w,this._activeCodeActions=this._register(new Fm),this._showDisabled=!1,this._disposed=!1,this._editor=e,this._model=this._register(new HNi(this._editor,c.codeActionProvider,i,n,d,m,this._telemetryService)),this._register(this._model.onDidChangeState(I=>this.update(I))),this._lightBulbWidget=new HE(()=>{const I=this._editor.getContribution(Fre.ID);return I&&this._register(I.onClick(P=>this.showCodeActionsFromLightbulb(P.actions,P))),I}),this._resolver=s.createInstance(nze),this._register(this._editor.onDidLayoutChange(()=>this._actionWidgetService.hide()))}dispose(){this._disposed=!0,super.dispose()}async showCodeActionsFromLightbulb(e,i){if(e.allAIFixes&&e.validActions.length===1){const n=e.validActions[0],s=n.action.command;s&&s.id==="inlineChat.start"&&s.arguments&&s.arguments.length>=1&&(s.arguments[0]={...s.arguments[0],autoSend:!1}),await this._applyCodeAction(n,!1,!1,l9.FromAILightbulb);return}await this.showCodeActionList(e,i,{includeDisabledActions:!1,fromLightbulb:!0})}showCodeActions(e,i,n){return this.showCodeActionList(i,n,{includeDisabledActions:!1,fromLightbulb:!1})}manualTriggerAtCurrentPosition(e,i,n,s){var d;if(!this._editor.hasModel())return;(d=GC.get(this._editor))==null||d.closeMessage();const c=this._editor.getPosition();this._trigger({type:1,triggerAction:i,filter:n,autoApply:s,context:{notAvailableMessage:e,position:c}})}_trigger(e){return this._model.trigger(e)}async _applyCodeAction(e,i,n,s){try{await this._instantiationService.invokeFunction(ENi,e,s,{preview:n,editor:this._editor})}finally{i&&this._trigger({type:2,triggerAction:YC.QuickFix,filter:{}})}}hideLightBulbWidget(){var e,i;(e=this._lightBulbWidget.rawValue)==null||e.hide(),(i=this._lightBulbWidget.rawValue)==null||i.gutterHide()}async update(e){var s,c,d,f,m;if(e.type!==1){this.hideLightBulbWidget();return}let i;try{i=await e.actions}catch(v){Pa(v);return}if(this._disposed)return;const n=this._editor.getSelection();if((n==null?void 0:n.startLineNumber)===e.position.lineNumber)if((s=this._lightBulbWidget.value)==null||s.update(i,e.trigger,e.position),e.trigger.type===1){if((c=e.trigger.filter)!=null&&c.include){const x=this.tryGetValidActionToApply(e.trigger,i);if(x){try{this.hideLightBulbWidget(),await this._applyCodeAction(x,!1,!1,l9.FromCodeActions)}finally{i.dispose()}return}if(e.trigger.context){const w=this.getInvalidActionThatWouldHaveBeenApplied(e.trigger,i);if(w&&w.action.disabled){(d=GC.get(this._editor))==null||d.showMessage(w.action.disabled,e.trigger.context.position),i.dispose();return}}}const v=!!((f=e.trigger.filter)!=null&&f.include);if(e.trigger.context&&(!i.allActions.length||!v&&!i.validActions.length)){(m=GC.get(this._editor))==null||m.showMessage(e.trigger.context.notAvailableMessage,e.trigger.context.position),this._activeCodeActions.value=i,i.dispose();return}this._activeCodeActions.value=i,this.showCodeActionList(i,this.toCoords(e.position),{includeDisabledActions:v,fromLightbulb:!1})}else this._actionWidgetService.isVisible?i.dispose():this._activeCodeActions.value=i}getInvalidActionThatWouldHaveBeenApplied(e,i){if(i.allActions.length&&(e.autoApply==="first"&&i.validActions.length===0||e.autoApply==="ifSingle"&&i.allActions.length===1))return i.allActions.find(({action:n})=>n.disabled)}tryGetValidActionToApply(e,i){if(i.validActions.length&&(e.autoApply==="first"&&i.validActions.length>0||e.autoApply==="ifSingle"&&i.validActions.length===1))return i.validActions[0]}async showCodeActionList(e,i,n){const s=this._editor.createDecorationsCollection(),c=this._editor.getDomNode();if(!c)return;const d=n.includeDisabledActions&&(this._showDisabled||e.validActions.length===0)?e.allActions:e.validActions;if(!d.length)return;const f=pi.isIPosition(i)?this.toCoords(i):i,m={onSelect:async(v,x)=>{this._applyCodeAction(v,!0,!!x,n.fromLightbulb?l9.FromAILightbulb:l9.FromCodeActions),this._actionWidgetService.hide(!1),s.clear()},onHide:v=>{var x;(x=this._editor)==null||x.focus(),s.clear()},onHover:async(v,x)=>{var P;if(x.isCancellationRequested)return;let w=!1;const I=v.action.kind;if(I){const O=new Nf(I);w=[gf.RefactorExtract,gf.RefactorInline,gf.RefactorRewrite,gf.RefactorMove,gf.Source].some(J=>J.contains(O))}return{canPreview:w||!!((P=v.action.edit)!=null&&P.edits.length)}},onFocus:v=>{var x,w;if(v&&v.action){const I=v.action.ranges,P=v.action.diagnostics;if(s.clear(),I&&I.length>0){const O=P&&(P==null?void 0:P.length)>1?P.map(z=>({range:z,options:JU.DECORATION})):I.map(z=>({range:z,options:JU.DECORATION}));s.set(O)}else if(P&&P.length>0){const O=P.map(J=>({range:J,options:JU.DECORATION}));s.set(O);const z=P[0];if(z.startLineNumber&&z.startColumn){const J=(w=(x=this._editor.getModel())==null?void 0:x.getWordAtPosition({lineNumber:z.startLineNumber,column:z.startColumn}))==null?void 0:w.word;PL(W("editingNewSelection","Context: {0} at line {1} and column {2}.",J,z.startLineNumber,z.startColumn))}}}else s.clear()}};this._actionWidgetService.show("codeActionWidget",!0,ANi(d,this._shouldShowHeaders(),this._resolver.getResolver()),m,f,c,this._getActionBarActions(e,i,n))}toCoords(e){if(!this._editor.hasModel())return{x:0,y:0};this._editor.revealPosition(e,1),this._editor.render();const i=this._editor.getScrolledVisiblePosition(e),n=e_(this._editor.getDomNode()),s=n.left+i.left,c=n.top+i.top+i.height;return{x:s,y:c}}_shouldShowHeaders(){var i;const e=(i=this._editor)==null?void 0:i.getModel();return this._configurationService.getValue("editor.codeActionWidget.showHeaders",{resource:e==null?void 0:e.uri})}_getActionBarActions(e,i,n){if(n.fromLightbulb)return[];const s=e.documentation.map(c=>({id:c.id,label:c.title,tooltip:c.tooltip??"",class:void 0,enabled:!0,run:()=>this._commandService.executeCommand(c.id,...c.arguments??[])}));return n.includeDisabledActions&&e.validActions.length>0&&e.allActions.length!==e.validActions.length&&s.push(this._showDisabled?{id:"hideMoreActions",label:W("hideMoreActions","Hide Disabled"),enabled:!0,tooltip:"",class:void 0,run:()=>(this._showDisabled=!1,this.showCodeActionList(e,i,n))}:{id:"showMoreActions",label:W("showMoreActions","Show Disabled"),enabled:!0,tooltip:"",class:void 0,run:()=>(this._showDisabled=!0,this.showCodeActionList(e,i,n))}),s}},JU=eR,eR.ID="editor.contrib.codeActionController",eR.DECORATION=qa.register({description:"quickfix-highlight",className:zNi}),eR);X$=JU=jNi([GN(1,zL),GN(2,Ko),GN(3,ho),GN(4,Ts),GN(5,WR),GN(6,qd),GN(7,Cc),GN(8,cW),GN(9,ho),GN(10,b1)],X$);Dk((l,e)=>{((s,c)=>{c&&e.addRule(`.monaco-editor ${s} { background-color: ${c}; }`)})(".quickfix-edit-highlight",l.getColor(H4));const n=l.getColor(AM);n&&e.addRule(`.monaco-editor .quickfix-edit-highlight { border: 1px ${RE(l.type)?"dotted":"solid"} ${n}; box-sizing: border-box; }`)});function jse(l){return kn.regex(rLt.keys()[0],new RegExp("(\\s|^)"+Tw(l.value)+"\\b"))}const BGe={type:"object",defaultSnippets:[{body:{kind:""}}],properties:{kind:{type:"string",description:W("args.schema.kind","Kind of the code action to run.")},apply:{type:"string",description:W("args.schema.apply","Controls when the returned actions are applied."),default:"ifSingle",enum:["first","ifSingle","never"],enumDescriptions:[W("args.schema.apply.first","Always apply the first returned code action."),W("args.schema.apply.ifSingle","Apply the first returned code action if it is the only one."),W("args.schema.apply.never","Do not apply the returned code actions.")]},preferred:{type:"boolean",default:!1,description:W("args.schema.preferred","Controls if only preferred code actions should be returned.")}}};function lW(l,e,i,n,s=YC.Default){if(l.hasModel()){const c=X$.get(l);c==null||c.manualTriggerAtCurrentPosition(e,s,i,n)}}class UNi extends hs{constructor(){super({id:FGe,label:W("quickfix.trigger.label","Quick Fix..."),alias:"Quick Fix...",precondition:kn.and(Tt.writable,Tt.hasCodeActionsProvider),kbOpts:{kbExpr:Tt.textInputFocus,primary:2137,weight:100}})}run(e,i){return lW(i,W("editor.action.quickFix.noneMessage","No code actions available"),void 0,void 0,YC.QuickFix)}}class qNi extends tm{constructor(){super({id:KNt,precondition:kn.and(Tt.writable,Tt.hasCodeActionsProvider),metadata:{description:"Trigger a code action",args:[{name:"args",schema:BGe}]}})}runEditorCommand(e,i,n){const s=yL.fromUser(n,{kind:Nf.Empty,apply:"ifSingle"});return lW(i,typeof(n==null?void 0:n.kind)=="string"?s.preferred?W("editor.action.codeAction.noneMessage.preferred.kind","No preferred code actions for '{0}' available",n.kind):W("editor.action.codeAction.noneMessage.kind","No code actions for '{0}' available",n.kind):s.preferred?W("editor.action.codeAction.noneMessage.preferred","No preferred code actions available"):W("editor.action.codeAction.noneMessage","No code actions available"),{include:s.kind,includeSourceActions:!0,onlyIncludePreferredActions:s.preferred},s.apply)}}class $Ni extends hs{constructor(){super({id:QNt,label:W("refactor.label","Refactor..."),alias:"Refactor...",precondition:kn.and(Tt.writable,Tt.hasCodeActionsProvider),kbOpts:{kbExpr:Tt.textInputFocus,primary:3120,mac:{primary:1328},weight:100},contextMenuOpts:{group:"1_modification",order:2,when:kn.and(Tt.writable,jse(gf.Refactor))},metadata:{description:"Refactor...",args:[{name:"args",schema:BGe}]}})}run(e,i,n){const s=yL.fromUser(n,{kind:gf.Refactor,apply:"never"});return lW(i,typeof(n==null?void 0:n.kind)=="string"?s.preferred?W("editor.action.refactor.noneMessage.preferred.kind","No preferred refactorings for '{0}' available",n.kind):W("editor.action.refactor.noneMessage.kind","No refactorings for '{0}' available",n.kind):s.preferred?W("editor.action.refactor.noneMessage.preferred","No preferred refactorings available"):W("editor.action.refactor.noneMessage","No refactorings available"),{include:gf.Refactor.contains(s.kind)?s.kind:Nf.None,onlyIncludePreferredActions:s.preferred},s.apply,YC.Refactor)}}class JNi extends hs{constructor(){super({id:ZNt,label:W("source.label","Source Action..."),alias:"Source Action...",precondition:kn.and(Tt.writable,Tt.hasCodeActionsProvider),contextMenuOpts:{group:"1_modification",order:2.1,when:kn.and(Tt.writable,jse(gf.Source))},metadata:{description:"Source Action...",args:[{name:"args",schema:BGe}]}})}run(e,i,n){const s=yL.fromUser(n,{kind:gf.Source,apply:"never"});return lW(i,typeof(n==null?void 0:n.kind)=="string"?s.preferred?W("editor.action.source.noneMessage.preferred.kind","No preferred source actions for '{0}' available",n.kind):W("editor.action.source.noneMessage.kind","No source actions for '{0}' available",n.kind):s.preferred?W("editor.action.source.noneMessage.preferred","No preferred source actions available"):W("editor.action.source.noneMessage","No source actions available"),{include:gf.Source.contains(s.kind)?s.kind:Nf.None,includeSourceActions:!0,onlyIncludePreferredActions:s.preferred},s.apply,YC.SourceAction)}}class GNi extends hs{constructor(){super({id:eze,label:W("organizeImports.label","Organize Imports"),alias:"Organize Imports",precondition:kn.and(Tt.writable,jse(gf.SourceOrganizeImports)),kbOpts:{kbExpr:Tt.textInputFocus,primary:1581,weight:100}})}run(e,i){return lW(i,W("editor.action.organize.noneMessage","No organize imports action available"),{include:gf.SourceOrganizeImports,includeSourceActions:!0},"ifSingle",YC.OrganizeImports)}}class KNi extends hs{constructor(){super({id:tze,label:W("fixAll.label","Fix All"),alias:"Fix All",precondition:kn.and(Tt.writable,jse(gf.SourceFixAll))})}run(e,i){return lW(i,W("fixAll.noneMessage","No fix all action available"),{include:gf.SourceFixAll,includeSourceActions:!0},"ifSingle",YC.FixAll)}}class XNi extends hs{constructor(){super({id:XNt,label:W("autoFix.label","Auto Fix..."),alias:"Auto Fix...",precondition:kn.and(Tt.writable,jse(gf.QuickFix)),kbOpts:{kbExpr:Tt.textInputFocus,primary:1625,mac:{primary:2649},weight:100}})}run(e,i){return lW(i,W("editor.action.autoFix.noneMessage","No auto fixes available"),{include:gf.QuickFix,onlyIncludePreferredActions:!0},"ifSingle",YC.AutoFix)}}Cl(X$.ID,X$,3);Cl(Fre.ID,Fre,4);sr(UNi);sr($Ni);sr(JNi);sr(GNi);sr(XNi);sr(KNi);$r(new qNi);nh.as(DT.Configuration).registerConfiguration({...wse,properties:{"editor.codeActionWidget.showHeaders":{type:"boolean",scope:5,description:W("showCodeActionHeaders","Enable/disable showing group headers in the Code Action menu."),default:!0}}});nh.as(DT.Configuration).registerConfiguration({...wse,properties:{"editor.codeActionWidget.includeNearbyQuickFixes":{type:"boolean",scope:5,description:W("includeNearbyQuickFixes","Enable/disable showing nearest Quick Fix within a line when not currently on a diagnostic."),default:!0}}});nh.as(DT.Configuration).registerConfiguration({...wse,properties:{"editor.codeActions.triggerOnFocusChange":{type:"boolean",scope:5,markdownDescription:W("triggerOnFocusChange","Enable triggering {0} when {1} is set to {2}. Code Actions must be set to {3} to be triggered for window and focus changes.","`#editor.codeActionsOnSave#`","`#files.autoSave#`","`afterDelay`","`always`"),default:!1}}});class aze{constructor(){this.lenses=[],this._disposables=new wn}dispose(){this._disposables.dispose()}get isDisposed(){return this._disposables.isDisposed}add(e,i){this._disposables.add(e);for(const n of e.lenses)this.lenses.push({symbol:n,provider:i})}}async function oLt(l,e,i){const n=l.ordered(e),s=new Map,c=new aze,d=n.map(async(f,m)=>{s.set(f,m);try{const v=await Promise.resolve(f.provideCodeLenses(e,i));v&&c.add(v,f)}catch(v){t_(v)}});return await Promise.all(d),c.lenses=c.lenses.sort((f,m)=>f.symbol.range.startLineNumber<m.symbol.range.startLineNumber?-1:f.symbol.range.startLineNumber>m.symbol.range.startLineNumber?1:s.get(f.provider)<s.get(m.provider)?-1:s.get(f.provider)>s.get(m.provider)?1:f.symbol.range.startColumn<m.symbol.range.startColumn?-1:f.symbol.range.startColumn>m.symbol.range.startColumn?1:0),c}fl.registerCommand("_executeCodeLensProvider",function(l,...e){let[i,n]=e;Fl(yo.isUri(i)),Fl(typeof n=="number"||!n);const{codeLensProvider:s}=l.get(Ts),c=l.get(rf).getModel(i);if(!c)throw gk();const d=[],f=new wn;return oLt(s,c,cc.None).then(m=>{f.add(m);const v=[];for(const x of m.lenses)n==null||x.symbol.command?d.push(x.symbol):n-- >0&&x.provider.resolveCodeLens&&v.push(Promise.resolve(x.provider.resolveCodeLens(c,x.symbol,cc.None)).then(w=>d.push(w||x.symbol)));return Promise.all(v)}).then(()=>d).finally(()=>{setTimeout(()=>f.dispose(),100)})});var QNi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},ZNi=function(l,e){return function(i,n){e(i,n,l)}};const aLt=jc("ICodeLensCache");class Wwt{constructor(e,i){this.lineCount=e,this.data=i}}let cze=class{constructor(e){this._fakeProvider=new class{provideCodeLenses(){throw new Error("not supported")}},this._cache=new VL(20,.75);const i="codelens/cache";Yte(cd,()=>e.remove(i,1));const n="codelens/cache2",s=e.get(n,1,"{}");this._deserialize(s);const c=Tr.filter(e.onWillSaveState,d=>d.reason===cre.SHUTDOWN);Tr.once(c)(d=>{e.store(n,this._serialize(),1,1)})}put(e,i){const n=i.lenses.map(d=>{var f;return{range:d.symbol.range,command:d.symbol.command&&{id:"",title:(f=d.symbol.command)==null?void 0:f.title}}}),s=new aze;s.add({lenses:n,dispose:()=>{}},this._fakeProvider);const c=new Wwt(e.getLineCount(),s);this._cache.set(e.uri.toString(),c)}get(e){const i=this._cache.get(e.uri.toString());return i&&i.lineCount===e.getLineCount()?i.data:void 0}delete(e){this._cache.delete(e.uri.toString())}_serialize(){const e=Object.create(null);for(const[i,n]of this._cache){const s=new Set;for(const c of n.data.lenses)s.add(c.symbol.range.startLineNumber);e[i]={lineCount:n.lineCount,lines:[...s.values()]}}return JSON.stringify(e)}_deserialize(e){try{const i=JSON.parse(e);for(const n in i){const s=i[n],c=[];for(const f of s.lines)c.push({range:new nt(f,1,f,11)});const d=new aze;d.add({lenses:c,dispose(){}},this._fakeProvider),this._cache.set(n,new Wwt(s.lineCount,d))}}catch{}}};cze=QNi([ZNi(0,ET)],cze);nl(aLt,cze,1);class YNi{constructor(e,i,n){this.afterColumn=1073741824,this.afterLineNumber=e,this.heightInPx=i,this._onHeight=n,this.suppressMouseDown=!0,this.domNode=document.createElement("div")}onComputedHeight(e){this._lastHeight===void 0?this._lastHeight=e:this._lastHeight!==e&&(this._lastHeight=e,this._onHeight())}isVisible(){return this._lastHeight!==0&&this.domNode.hasAttribute("monaco-visible-view-zone")}}const xne=class xne{constructor(e,i){this.allowEditorOverflow=!1,this.suppressMouseDown=!0,this._commands=new Map,this._isEmpty=!0,this._editor=e,this._id=`codelens.widget-${xne._idPool++}`,this.updatePosition(i),this._domNode=document.createElement("span"),this._domNode.className="codelens-decoration"}withCommands(e,i){this._commands.clear();const n=[];let s=!1;for(let c=0;c<e.length;c++){const d=e[c];if(d&&(s=!0,d.command)){const f=JM(d.command.title.trim());if(d.command.id){const m=`c${xne._idPool++}`;n.push(Cr("a",{id:m,title:d.command.tooltip,role:"button"},...f)),this._commands.set(m,d.command)}else n.push(Cr("span",{title:d.command.tooltip},...f));c+1<e.length&&n.push(Cr("span",void 0," | "))}}s?(o0(this._domNode,...n),this._isEmpty&&i&&this._domNode.classList.add("fadein"),this._isEmpty=!1):o0(this._domNode,Cr("span",void 0,"no commands"))}getCommand(e){return e.parentElement===this._domNode?this._commands.get(e.id):void 0}getId(){return this._id}getDomNode(){return this._domNode}updatePosition(e){const i=this._editor.getModel().getLineFirstNonWhitespaceColumn(e);this._widgetPosition={position:{lineNumber:e,column:i},preference:[1]}}getPosition(){return this._widgetPosition||null}};xne._idPool=0;let lze=xne;class N9e{constructor(){this._removeDecorations=[],this._addDecorations=[],this._addDecorationsCallbacks=[]}addDecoration(e,i){this._addDecorations.push(e),this._addDecorationsCallbacks.push(i)}removeDecoration(e){this._removeDecorations.push(e)}commit(e){const i=e.deltaDecorations(this._removeDecorations,this._addDecorations);for(let n=0,s=i.length;n<s;n++)this._addDecorationsCallbacks[n](i[n])}}const Vwt=qa.register({collapseOnReplaceEdit:!0,description:"codelens"});class Hwt{constructor(e,i,n,s,c,d){this._isDisposed=!1,this._editor=i,this._data=e,this._decorationIds=[];let f;const m=[];this._data.forEach((v,x)=>{v.symbol.command&&m.push(v.symbol),n.addDecoration({range:v.symbol.range,options:Vwt},w=>this._decorationIds[x]=w),f?f=nt.plusRange(f,v.symbol.range):f=nt.lift(v.symbol.range)}),this._viewZone=new YNi(f.startLineNumber-1,c,d),this._viewZoneId=s.addZone(this._viewZone),m.length>0&&(this._createContentWidgetIfNecessary(),this._contentWidget.withCommands(m,!1))}_createContentWidgetIfNecessary(){this._contentWidget?this._editor.layoutContentWidget(this._contentWidget):(this._contentWidget=new lze(this._editor,this._viewZone.afterLineNumber+1),this._editor.addContentWidget(this._contentWidget))}dispose(e,i){this._decorationIds.forEach(e.removeDecoration,e),this._decorationIds=[],i==null||i.removeZone(this._viewZoneId),this._contentWidget&&(this._editor.removeContentWidget(this._contentWidget),this._contentWidget=void 0),this._isDisposed=!0}isDisposed(){return this._isDisposed}isValid(){return this._decorationIds.some((e,i)=>{const n=this._editor.getModel().getDecorationRange(e),s=this._data[i].symbol;return!!(n&&nt.isEmpty(s.range)===n.isEmpty())})}updateCodeLensSymbols(e,i){this._decorationIds.forEach(i.removeDecoration,i),this._decorationIds=[],this._data=e,this._data.forEach((n,s)=>{i.addDecoration({range:n.symbol.range,options:Vwt},c=>this._decorationIds[s]=c)})}updateHeight(e,i){this._viewZone.heightInPx=e,i.layoutZone(this._viewZoneId),this._contentWidget&&this._editor.layoutContentWidget(this._contentWidget)}computeIfNecessary(e){if(!this._viewZone.isVisible())return null;for(let i=0;i<this._decorationIds.length;i++){const n=e.getDecorationRange(this._decorationIds[i]);n&&(this._data[i].symbol.range=n)}return this._data}updateCommands(e){this._createContentWidgetIfNecessary(),this._contentWidget.withCommands(e,!0);for(let i=0;i<this._data.length;i++){const n=e[i];if(n){const{symbol:s}=this._data[i];s.command=n.command||s.command}}}getCommand(e){var i;return(i=this._contentWidget)==null?void 0:i.getCommand(e)}getLineNumber(){const e=this._editor.getModel().getDecorationRange(this._decorationIds[0]);return e?e.startLineNumber:-1}update(e){if(this.isValid()){const i=this._editor.getModel().getDecorationRange(this._decorationIds[0]);i&&(this._viewZone.afterLineNumber=i.startLineNumber-1,e.layoutZone(this._viewZoneId),this._contentWidget&&(this._contentWidget.updatePosition(i.startLineNumber),this._editor.layoutContentWidget(this._contentWidget)))}}}var eLi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Jte=function(l,e){return function(i,n){e(i,n,l)}},h$;let Bre=(h$=class{constructor(e,i,n,s,c,d){this._editor=e,this._languageFeaturesService=i,this._commandService=s,this._notificationService=c,this._codeLensCache=d,this._disposables=new wn,this._localToDispose=new wn,this._lenses=[],this._oldCodeLensModels=new wn,this._provideCodeLensDebounce=n.for(i.codeLensProvider,"CodeLensProvide",{min:250}),this._resolveCodeLensesDebounce=n.for(i.codeLensProvider,"CodeLensResolve",{min:250,salt:"resolve"}),this._resolveCodeLensesScheduler=new qu(()=>this._resolveCodeLensesInViewport(),this._resolveCodeLensesDebounce.default()),this._disposables.add(this._editor.onDidChangeModel(()=>this._onModelChange())),this._disposables.add(this._editor.onDidChangeModelLanguage(()=>this._onModelChange())),this._disposables.add(this._editor.onDidChangeConfiguration(f=>{(f.hasChanged(50)||f.hasChanged(19)||f.hasChanged(18))&&this._updateLensStyle(),f.hasChanged(17)&&this._onModelChange()})),this._disposables.add(i.codeLensProvider.onDidChange(this._onModelChange,this)),this._onModelChange(),this._updateLensStyle()}dispose(){var e;this._localDispose(),this._disposables.dispose(),this._oldCodeLensModels.dispose(),(e=this._currentCodeLensModel)==null||e.dispose()}_getLayoutInfo(){const e=Math.max(1.3,this._editor.getOption(67)/this._editor.getOption(52));let i=this._editor.getOption(19);return(!i||i<5)&&(i=this._editor.getOption(52)*.9|0),{fontSize:i,codeLensHeight:i*e|0}}_updateLensStyle(){const{codeLensHeight:e,fontSize:i}=this._getLayoutInfo(),n=this._editor.getOption(18),s=this._editor.getOption(50),{style:c}=this._editor.getContainerDomNode();c.setProperty("--vscode-editorCodeLens-lineHeight",`${e}px`),c.setProperty("--vscode-editorCodeLens-fontSize",`${i}px`),c.setProperty("--vscode-editorCodeLens-fontFeatureSettings",s.fontFeatureSettings),n&&(c.setProperty("--vscode-editorCodeLens-fontFamily",n),c.setProperty("--vscode-editorCodeLens-fontFamilyDefault",vv.fontFamily)),this._editor.changeViewZones(d=>{for(const f of this._lenses)f.updateHeight(e,d)})}_localDispose(){var e,i,n;(e=this._getCodeLensModelPromise)==null||e.cancel(),this._getCodeLensModelPromise=void 0,(i=this._resolveCodeLensesPromise)==null||i.cancel(),this._resolveCodeLensesPromise=void 0,this._localToDispose.clear(),this._oldCodeLensModels.clear(),(n=this._currentCodeLensModel)==null||n.dispose()}_onModelChange(){this._localDispose();const e=this._editor.getModel();if(!e||!this._editor.getOption(17)||e.isTooLargeForTokenization())return;const i=this._codeLensCache.get(e);if(i&&this._renderCodeLensSymbols(i),!this._languageFeaturesService.codeLensProvider.has(e)){i&&CR(()=>{const s=this._codeLensCache.get(e);i===s&&(this._codeLensCache.delete(e),this._onModelChange())},30*1e3,this._localToDispose);return}for(const s of this._languageFeaturesService.codeLensProvider.all(e))if(typeof s.onDidChange=="function"){const c=s.onDidChange(()=>n.schedule());this._localToDispose.add(c)}const n=new qu(()=>{var c;const s=Date.now();(c=this._getCodeLensModelPromise)==null||c.cancel(),this._getCodeLensModelPromise=Om(d=>oLt(this._languageFeaturesService.codeLensProvider,e,d)),this._getCodeLensModelPromise.then(d=>{this._currentCodeLensModel&&this._oldCodeLensModels.add(this._currentCodeLensModel),this._currentCodeLensModel=d,this._codeLensCache.put(e,d);const f=this._provideCodeLensDebounce.update(e,Date.now()-s);n.delay=f,this._renderCodeLensSymbols(d),this._resolveCodeLensesInViewportSoon()},Pa)},this._provideCodeLensDebounce.get(e));this._localToDispose.add(n),this._localToDispose.add(fo(()=>this._resolveCodeLensesScheduler.cancel())),this._localToDispose.add(this._editor.onDidChangeModelContent(()=>{var s;this._editor.changeDecorations(c=>{this._editor.changeViewZones(d=>{const f=[];let m=-1;this._lenses.forEach(x=>{!x.isValid()||m===x.getLineNumber()?f.push(x):(x.update(d),m=x.getLineNumber())});const v=new N9e;f.forEach(x=>{x.dispose(v,d),this._lenses.splice(this._lenses.indexOf(x),1)}),v.commit(c)})}),n.schedule(),this._resolveCodeLensesScheduler.cancel(),(s=this._resolveCodeLensesPromise)==null||s.cancel(),this._resolveCodeLensesPromise=void 0})),this._localToDispose.add(this._editor.onDidFocusEditorText(()=>{n.schedule()})),this._localToDispose.add(this._editor.onDidBlurEditorText(()=>{n.cancel()})),this._localToDispose.add(this._editor.onDidScrollChange(s=>{s.scrollTopChanged&&this._lenses.length>0&&this._resolveCodeLensesInViewportSoon()})),this._localToDispose.add(this._editor.onDidLayoutChange(()=>{this._resolveCodeLensesInViewportSoon()})),this._localToDispose.add(fo(()=>{if(this._editor.getModel()){const s=GE.capture(this._editor);this._editor.changeDecorations(c=>{this._editor.changeViewZones(d=>{this._disposeAllLenses(c,d)})}),s.restore(this._editor)}else this._disposeAllLenses(void 0,void 0)})),this._localToDispose.add(this._editor.onMouseDown(s=>{if(s.target.type!==9)return;let c=s.target.element;if((c==null?void 0:c.tagName)==="SPAN"&&(c=c.parentElement),(c==null?void 0:c.tagName)==="A")for(const d of this._lenses){const f=d.getCommand(c);if(f){this._commandService.executeCommand(f.id,...f.arguments||[]).catch(m=>this._notificationService.error(m));break}}})),n.schedule()}_disposeAllLenses(e,i){const n=new N9e;for(const s of this._lenses)s.dispose(n,i);e&&n.commit(e),this._lenses.length=0}_renderCodeLensSymbols(e){if(!this._editor.hasModel())return;const i=this._editor.getModel().getLineCount(),n=[];let s;for(const f of e.lenses){const m=f.symbol.range.startLineNumber;m<1||m>i||(s&&s[s.length-1].symbol.range.startLineNumber===m?s.push(f):(s=[f],n.push(s)))}if(!n.length&&!this._lenses.length)return;const c=GE.capture(this._editor),d=this._getLayoutInfo();this._editor.changeDecorations(f=>{this._editor.changeViewZones(m=>{const v=new N9e;let x=0,w=0;for(;w<n.length&&x<this._lenses.length;){const I=n[w][0].symbol.range.startLineNumber,P=this._lenses[x].getLineNumber();P<I?(this._lenses[x].dispose(v,m),this._lenses.splice(x,1)):P===I?(this._lenses[x].updateCodeLensSymbols(n[w],v),w++,x++):(this._lenses.splice(x,0,new Hwt(n[w],this._editor,v,m,d.codeLensHeight,()=>this._resolveCodeLensesInViewportSoon())),x++,w++)}for(;x<this._lenses.length;)this._lenses[x].dispose(v,m),this._lenses.splice(x,1);for(;w<n.length;)this._lenses.push(new Hwt(n[w],this._editor,v,m,d.codeLensHeight,()=>this._resolveCodeLensesInViewportSoon())),w++;v.commit(f)})}),c.restore(this._editor)}_resolveCodeLensesInViewportSoon(){this._editor.getModel()&&this._resolveCodeLensesScheduler.schedule()}_resolveCodeLensesInViewport(){var d;(d=this._resolveCodeLensesPromise)==null||d.cancel(),this._resolveCodeLensesPromise=void 0;const e=this._editor.getModel();if(!e)return;const i=[],n=[];if(this._lenses.forEach(f=>{const m=f.computeIfNecessary(e);m&&(i.push(m),n.push(f))}),i.length===0)return;const s=Date.now(),c=Om(f=>{const m=i.map((v,x)=>{const w=new Array(v.length),I=v.map((P,O)=>!P.symbol.command&&typeof P.provider.resolveCodeLens=="function"?Promise.resolve(P.provider.resolveCodeLens(e,P.symbol,f)).then(z=>{w[O]=z},t_):(w[O]=P.symbol,Promise.resolve(void 0)));return Promise.all(I).then(()=>{!f.isCancellationRequested&&!n[x].isDisposed()&&n[x].updateCommands(w)})});return Promise.all(m)});this._resolveCodeLensesPromise=c,this._resolveCodeLensesPromise.then(()=>{const f=this._resolveCodeLensesDebounce.update(e,Date.now()-s);this._resolveCodeLensesScheduler.delay=f,this._currentCodeLensModel&&this._codeLensCache.put(e,this._currentCodeLensModel),this._oldCodeLensModels.clear(),c===this._resolveCodeLensesPromise&&(this._resolveCodeLensesPromise=void 0)},f=>{Pa(f),c===this._resolveCodeLensesPromise&&(this._resolveCodeLensesPromise=void 0)})}async getModel(){var e;return await this._getCodeLensModelPromise,await this._resolveCodeLensesPromise,(e=this._currentCodeLensModel)!=null&&e.isDisposed?void 0:this._currentCodeLensModel}},h$.ID="css.editor.codeLens",h$);Bre=eLi([Jte(1,Ts),Jte(2,Pw),Jte(3,qd),Jte(4,w_),Jte(5,aLt)],Bre);Cl(Bre.ID,Bre,1);sr(class extends hs{constructor(){super({id:"codelens.showLensesInCurrentLine",precondition:Tt.hasCodeLensProvider,label:W("showLensOnLine","Show CodeLens Commands For Current Line"),alias:"Show CodeLens Commands For Current Line"})}async run(e,i){if(!i.hasModel())return;const n=e.get(Ek),s=e.get(qd),c=e.get(w_),d=i.getSelection().positionLineNumber,f=i.getContribution(Bre.ID);if(!f)return;const m=await f.getModel();if(!m)return;const v=[];for(const I of m.lenses)I.symbol.command&&I.symbol.range.startLineNumber===d&&v.push({label:I.symbol.command.title,command:I.symbol.command});if(v.length===0)return;const x=await n.pick(v,{canPickMany:!1,placeHolder:W("placeHolder","Select a command")});if(!x)return;let w=x.command;if(m.isDisposed){const I=await f.getModel(),P=I==null?void 0:I.lenses.find(O=>{var z;return O.symbol.range.startLineNumber===d&&((z=O.symbol.command)==null?void 0:z.title)===w.title});if(!P||!P.symbol.command)return;w=P.symbol.command}try{await s.executeCommand(w.id,...w.arguments||[])}catch(I){c.error(I)}}});var cLt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},uze=function(l,e){return function(i,n){e(i,n,l)}};let Wre=class{constructor(e){this._editorWorkerService=e}async provideDocumentColors(e,i){return this._editorWorkerService.computeDefaultDocumentColors(e.uri)}provideColorPresentations(e,i,n){const s=i.range,c=i.color,d=c.alpha,f=new In(new El(Math.round(255*c.red),Math.round(255*c.green),Math.round(255*c.blue),d)),m=d?In.Format.CSS.formatRGB(f):In.Format.CSS.formatRGBA(f),v=d?In.Format.CSS.formatHSL(f):In.Format.CSS.formatHSLA(f),x=d?In.Format.CSS.formatHex(f):In.Format.CSS.formatHexA(f),w=[];return w.push({label:m,textEdit:{range:s,text:m}}),w.push({label:v,textEdit:{range:s,text:v}}),w.push({label:x,textEdit:{range:s,text:x}}),w}};Wre=cLt([uze(0,Fb)],Wre);let dze=class extends xi{constructor(e,i){super(),this._register(e.colorProvider.register("*",new Wre(i)))}};dze=cLt([uze(0,Ts),uze(1,Fb)],dze);NJ(dze);async function lLt(l,e,i,n=!0){return WGe(new tLi,l,e,i,n)}function uLt(l,e,i,n){return Promise.resolve(i.provideColorPresentations(l,e,n))}class tLi{constructor(){}async compute(e,i,n,s){const c=await e.provideDocumentColors(i,n);if(Array.isArray(c))for(const d of c)s.push({colorInfo:d,provider:e});return Array.isArray(c)}}class iLi{constructor(){}async compute(e,i,n,s){const c=await e.provideDocumentColors(i,n);if(Array.isArray(c))for(const d of c)s.push({range:d.range,color:[d.color.red,d.color.green,d.color.blue,d.color.alpha]});return Array.isArray(c)}}class nLi{constructor(e){this.colorInfo=e}async compute(e,i,n,s){const c=await e.provideColorPresentations(i,this.colorInfo,cc.None);return Array.isArray(c)&&s.push(...c),Array.isArray(c)}}async function WGe(l,e,i,n,s){let c=!1,d;const f=[],m=e.ordered(i);for(let v=m.length-1;v>=0;v--){const x=m[v];if(x instanceof Wre)d=x;else try{await l.compute(x,i,n,f)&&(c=!0)}catch(w){t_(w)}}return c?f:d&&s?(await l.compute(d,i,n,f),f):[]}function dLt(l,e){const{colorProvider:i}=l.get(Ts),n=l.get(rf).getModel(e);if(!n)throw gk();const s=l.get(Cc).getValue("editor.defaultColorDecorators",{resource:e});return{model:n,colorProviderRegistry:i,isDefaultColorDecoratorsEnabled:s}}fl.registerCommand("_executeDocumentColorProvider",function(l,...e){const[i]=e;if(!(i instanceof yo))throw gk();const{model:n,colorProviderRegistry:s,isDefaultColorDecoratorsEnabled:c}=dLt(l,i);return WGe(new iLi,s,n,cc.None,c)});fl.registerCommand("_executeColorPresentationProvider",function(l,...e){const[i,n]=e,{uri:s,range:c}=n;if(!(s instanceof yo)||!Array.isArray(i)||i.length!==4||!nt.isIRange(c))throw gk();const{model:d,colorProviderRegistry:f,isDefaultColorDecoratorsEnabled:m}=dLt(l,s),[v,x,w,I]=i;return WGe(new nLi({range:c,color:{red:v,green:x,blue:w,alpha:I}}),f,d,cc.None,m)});var rLi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},L9e=function(l,e){return function(i,n){e(i,n,l)}},fze;const fLt=Object.create({});var tR;let Q$=(tR=class extends xi{constructor(e,i,n,s){super(),this._editor=e,this._configurationService=i,this._languageFeaturesService=n,this._localToDispose=this._register(new wn),this._decorationsIds=[],this._colorDatas=new Map,this._colorDecoratorIds=this._editor.createDecorationsCollection(),this._ruleFactory=new Cve(this._editor),this._decoratorLimitReporter=new sLi,this._colorDecorationClassRefs=this._register(new wn),this._debounceInformation=s.for(n.colorProvider,"Document Colors",{min:fze.RECOMPUTE_TIME}),this._register(e.onDidChangeModel(()=>{this._isColorDecoratorsEnabled=this.isEnabled(),this.updateColors()})),this._register(e.onDidChangeModelLanguage(()=>this.updateColors())),this._register(n.colorProvider.onDidChange(()=>this.updateColors())),this._register(e.onDidChangeConfiguration(c=>{const d=this._isColorDecoratorsEnabled;this._isColorDecoratorsEnabled=this.isEnabled(),this._isDefaultColorDecoratorsEnabled=this._editor.getOption(148);const f=d!==this._isColorDecoratorsEnabled||c.hasChanged(21),m=c.hasChanged(148);(f||m)&&(this._isColorDecoratorsEnabled?this.updateColors():this.removeAllDecorations())})),this._timeoutTimer=null,this._computePromise=null,this._isColorDecoratorsEnabled=this.isEnabled(),this._isDefaultColorDecoratorsEnabled=this._editor.getOption(148),this.updateColors()}isEnabled(){const e=this._editor.getModel();if(!e)return!1;const i=e.getLanguageId(),n=this._configurationService.getValue(i);if(n&&typeof n=="object"){const s=n.colorDecorators;if(s&&s.enable!==void 0&&!s.enable)return s.enable}return this._editor.getOption(20)}static get(e){return e.getContribution(this.ID)}dispose(){this.stop(),this.removeAllDecorations(),super.dispose()}updateColors(){if(this.stop(),!this._isColorDecoratorsEnabled)return;const e=this._editor.getModel();!e||!this._languageFeaturesService.colorProvider.has(e)||(this._localToDispose.add(this._editor.onDidChangeModelContent(()=>{this._timeoutTimer||(this._timeoutTimer=new TT,this._timeoutTimer.cancelAndSet(()=>{this._timeoutTimer=null,this.beginCompute()},this._debounceInformation.get(e)))})),this.beginCompute())}async beginCompute(){this._computePromise=Om(async e=>{const i=this._editor.getModel();if(!i)return[];const n=new bg(!1),s=await lLt(this._languageFeaturesService.colorProvider,i,e,this._isDefaultColorDecoratorsEnabled);return this._debounceInformation.update(i,n.elapsed()),s});try{const e=await this._computePromise;this.updateDecorations(e),this.updateColorDecorators(e),this._computePromise=null}catch(e){Pa(e)}}stop(){this._timeoutTimer&&(this._timeoutTimer.cancel(),this._timeoutTimer=null),this._computePromise&&(this._computePromise.cancel(),this._computePromise=null),this._localToDispose.clear()}updateDecorations(e){const i=e.map(n=>({range:{startLineNumber:n.colorInfo.range.startLineNumber,startColumn:n.colorInfo.range.startColumn,endLineNumber:n.colorInfo.range.endLineNumber,endColumn:n.colorInfo.range.endColumn},options:qa.EMPTY}));this._editor.changeDecorations(n=>{this._decorationsIds=n.deltaDecorations(this._decorationsIds,i),this._colorDatas=new Map,this._decorationsIds.forEach((s,c)=>this._colorDatas.set(s,e[c]))})}updateColorDecorators(e){this._colorDecorationClassRefs.clear();const i=[],n=this._editor.getOption(21);for(let c=0;c<e.length&&i.length<n;c++){const{red:d,green:f,blue:m,alpha:v}=e[c].colorInfo.color,x=new El(Math.round(d*255),Math.round(f*255),Math.round(m*255),v),w=`rgba(${x.r}, ${x.g}, ${x.b}, ${x.a})`,I=this._colorDecorationClassRefs.add(this._ruleFactory.createClassNameRef({backgroundColor:w}));i.push({range:{startLineNumber:e[c].colorInfo.range.startLineNumber,startColumn:e[c].colorInfo.range.startColumn,endLineNumber:e[c].colorInfo.range.endLineNumber,endColumn:e[c].colorInfo.range.endColumn},options:{description:"colorDetector",before:{content:s2t,inlineClassName:`${I.className} colorpicker-color-decoration`,inlineClassNameAffectsLetterSpacing:!0,attachedData:fLt}}})}const s=n<e.length?n:!1;this._decoratorLimitReporter.update(e.length,s),this._colorDecoratorIds.set(i)}removeAllDecorations(){this._editor.removeDecorations(this._decorationsIds),this._decorationsIds=[],this._colorDecoratorIds.clear(),this._colorDecorationClassRefs.clear()}getColorData(e){const i=this._editor.getModel();if(!i)return null;const n=i.getDecorationsInRange(nt.fromPositions(e,e)).filter(s=>this._colorDatas.has(s.id));return n.length===0?null:this._colorDatas.get(n[0].id)}isColorDecoration(e){return this._colorDecoratorIds.has(e)}},fze=tR,tR.ID="editor.contrib.colorDetector",tR.RECOMPUTE_TIME=1e3,tR);Q$=fze=rLi([L9e(1,Cc),L9e(2,Ts),L9e(3,Pw)],Q$);class sLi{constructor(){this._onDidChange=new gi,this._computed=0,this._limited=!1}update(e,i){(e!==this._computed||i!==this._limited)&&(this._computed=e,this._limited=i,this._onDidChange.fire())}}Cl(Q$.ID,Q$,1);class oLi{get color(){return this._color}set color(e){this._color.equals(e)||(this._color=e,this._onDidChangeColor.fire(e))}get presentation(){return this.colorPresentations[this.presentationIndex]}get colorPresentations(){return this._colorPresentations}set colorPresentations(e){this._colorPresentations=e,this.presentationIndex>e.length-1&&(this.presentationIndex=0),this._onDidChangePresentation.fire(this.presentation)}constructor(e,i,n){this.presentationIndex=n,this._onColorFlushed=new gi,this.onColorFlushed=this._onColorFlushed.event,this._onDidChangeColor=new gi,this.onDidChangeColor=this._onDidChangeColor.event,this._onDidChangePresentation=new gi,this.onDidChangePresentation=this._onDidChangePresentation.event,this.originalColor=e,this._color=e,this._colorPresentations=i}selectNextColorPresentation(){this.presentationIndex=(this.presentationIndex+1)%this.colorPresentations.length,this.flushColor(),this._onDidChangePresentation.fire(this.presentation)}guessColorPresentation(e,i){let n=-1;for(let s=0;s<this.colorPresentations.length;s++)if(i.toLowerCase()===this.colorPresentations[s].label){n=s;break}if(n===-1){const s=i.split("(")[0].toLowerCase();for(let c=0;c<this.colorPresentations.length;c++)if(this.colorPresentations[c].label.toLowerCase().startsWith(s)){n=c;break}}n!==-1&&n!==this.presentationIndex&&(this.presentationIndex=n,this._onDidChangePresentation.fire(this.presentation))}flushColor(){this._onColorFlushed.fire(this._color)}}const yw=Cr;class aLi extends xi{constructor(e,i,n,s=!1){super(),this.model=i,this.showingStandaloneColorPicker=s,this._closeButton=null,this._domNode=yw(".colorpicker-header"),jn(e,this._domNode),this._pickedColorNode=jn(this._domNode,yw(".picked-color")),jn(this._pickedColorNode,yw("span.codicon.codicon-color-mode")),this._pickedColorPresentation=jn(this._pickedColorNode,document.createElement("span")),this._pickedColorPresentation.classList.add("picked-color-presentation");const c=W("clickToToggleColorOptions","Click to toggle color options (rgb/hsl/hex)");this._pickedColorNode.setAttribute("title",c),this._originalColorNode=jn(this._domNode,yw(".original-color")),this._originalColorNode.style.backgroundColor=In.Format.CSS.format(this.model.originalColor)||"",this.backgroundColor=n.getColorTheme().getColor(Tye)||In.white,this._register(n.onDidColorThemeChange(d=>{this.backgroundColor=d.getColor(Tye)||In.white})),this._register(en(this._pickedColorNode,br.CLICK,()=>this.model.selectNextColorPresentation())),this._register(en(this._originalColorNode,br.CLICK,()=>{this.model.color=this.model.originalColor,this.model.flushColor()})),this._register(i.onDidChangeColor(this.onDidChangeColor,this)),this._register(i.onDidChangePresentation(this.onDidChangePresentation,this)),this._pickedColorNode.style.backgroundColor=In.Format.CSS.format(i.color)||"",this._pickedColorNode.classList.toggle("light",i.color.rgba.a<.5?this.backgroundColor.isLighter():i.color.isLighter()),this.onDidChangeColor(this.model.color),this.showingStandaloneColorPicker&&(this._domNode.classList.add("standalone-colorpicker"),this._closeButton=this._register(new cLi(this._domNode)))}get closeButton(){return this._closeButton}get pickedColorNode(){return this._pickedColorNode}get originalColorNode(){return this._originalColorNode}onDidChangeColor(e){this._pickedColorNode.style.backgroundColor=In.Format.CSS.format(e)||"",this._pickedColorNode.classList.toggle("light",e.rgba.a<.5?this.backgroundColor.isLighter():e.isLighter()),this.onDidChangePresentation()}onDidChangePresentation(){this._pickedColorPresentation.textContent=this.model.presentation?this.model.presentation.label:""}}class cLi extends xi{constructor(e){super(),this._onClicked=this._register(new gi),this.onClicked=this._onClicked.event,this._button=document.createElement("div"),this._button.classList.add("close-button"),jn(e,this._button);const i=document.createElement("div");i.classList.add("close-button-inner-div"),jn(this._button,i),jn(i,yw(".button"+zo.asCSSSelector(sf("color-picker-close",pr.close,W("closeIcon","Icon to close the color picker"))))).classList.add("close-icon"),this._register(en(this._button,br.CLICK,()=>{this._onClicked.fire()}))}}class lLi extends xi{constructor(e,i,n,s=!1){super(),this.model=i,this.pixelRatio=n,this._insertButton=null,this._domNode=yw(".colorpicker-body"),jn(e,this._domNode),this._saturationBox=new uLi(this._domNode,this.model,this.pixelRatio),this._register(this._saturationBox),this._register(this._saturationBox.onDidChange(this.onDidSaturationValueChange,this)),this._register(this._saturationBox.onColorFlushed(this.flushColor,this)),this._opacityStrip=new dLi(this._domNode,this.model,s),this._register(this._opacityStrip),this._register(this._opacityStrip.onDidChange(this.onDidOpacityChange,this)),this._register(this._opacityStrip.onColorFlushed(this.flushColor,this)),this._hueStrip=new fLi(this._domNode,this.model,s),this._register(this._hueStrip),this._register(this._hueStrip.onDidChange(this.onDidHueChange,this)),this._register(this._hueStrip.onColorFlushed(this.flushColor,this)),s&&(this._insertButton=this._register(new hLi(this._domNode)),this._domNode.classList.add("standalone-colorpicker"))}flushColor(){this.model.flushColor()}onDidSaturationValueChange({s:e,v:i}){const n=this.model.color.hsva;this.model.color=new In(new SL(n.h,e,i,n.a))}onDidOpacityChange(e){const i=this.model.color.hsva;this.model.color=new In(new SL(i.h,i.s,i.v,e))}onDidHueChange(e){const i=this.model.color.hsva,n=(1-e)*360;this.model.color=new In(new SL(n===360?0:n,i.s,i.v,i.a))}get domNode(){return this._domNode}get saturationBox(){return this._saturationBox}get enterButton(){return this._insertButton}layout(){this._saturationBox.layout(),this._opacityStrip.layout(),this._hueStrip.layout()}}class uLi extends xi{constructor(e,i,n){super(),this.model=i,this.pixelRatio=n,this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this._onColorFlushed=new gi,this.onColorFlushed=this._onColorFlushed.event,this._domNode=yw(".saturation-wrap"),jn(e,this._domNode),this._canvas=document.createElement("canvas"),this._canvas.className="saturation-box",jn(this._domNode,this._canvas),this.selection=yw(".saturation-selection"),jn(this._domNode,this.selection),this.layout(),this._register(en(this._domNode,br.POINTER_DOWN,s=>this.onPointerDown(s))),this._register(this.model.onDidChangeColor(this.onDidChangeColor,this)),this.monitor=null}get domNode(){return this._domNode}onPointerDown(e){if(!e.target||!(e.target instanceof Element))return;this.monitor=this._register(new bJ);const i=e_(this._domNode);e.target!==this.selection&&this.onDidChangePosition(e.offsetX,e.offsetY),this.monitor.startMonitoring(e.target,e.pointerId,e.buttons,s=>this.onDidChangePosition(s.pageX-i.left,s.pageY-i.top),()=>null);const n=en(e.target.ownerDocument,br.POINTER_UP,()=>{this._onColorFlushed.fire(),n.dispose(),this.monitor&&(this.monitor.stopMonitoring(!0),this.monitor=null)},!0)}onDidChangePosition(e,i){const n=Math.max(0,Math.min(1,e/this.width)),s=Math.max(0,Math.min(1,1-i/this.height));this.paintSelection(n,s),this._onDidChange.fire({s:n,v:s})}layout(){this.width=this._domNode.offsetWidth,this.height=this._domNode.offsetHeight,this._canvas.width=this.width*this.pixelRatio,this._canvas.height=this.height*this.pixelRatio,this.paint();const e=this.model.color.hsva;this.paintSelection(e.s,e.v)}paint(){const e=this.model.color.hsva,i=new In(new SL(e.h,1,1,1)),n=this._canvas.getContext("2d"),s=n.createLinearGradient(0,0,this._canvas.width,0);s.addColorStop(0,"rgba(255, 255, 255, 1)"),s.addColorStop(.5,"rgba(255, 255, 255, 0.5)"),s.addColorStop(1,"rgba(255, 255, 255, 0)");const c=n.createLinearGradient(0,0,0,this._canvas.height);c.addColorStop(0,"rgba(0, 0, 0, 0)"),c.addColorStop(1,"rgba(0, 0, 0, 1)"),n.rect(0,0,this._canvas.width,this._canvas.height),n.fillStyle=In.Format.CSS.format(i),n.fill(),n.fillStyle=s,n.fill(),n.fillStyle=c,n.fill()}paintSelection(e,i){this.selection.style.left=`${e*this.width}px`,this.selection.style.top=`${this.height-i*this.height}px`}onDidChangeColor(e){if(this.monitor&&this.monitor.isMonitoring())return;this.paint();const i=e.hsva;this.paintSelection(i.s,i.v)}}class hLt extends xi{constructor(e,i,n=!1){super(),this.model=i,this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this._onColorFlushed=new gi,this.onColorFlushed=this._onColorFlushed.event,n?(this.domNode=jn(e,yw(".standalone-strip")),this.overlay=jn(this.domNode,yw(".standalone-overlay"))):(this.domNode=jn(e,yw(".strip")),this.overlay=jn(this.domNode,yw(".overlay"))),this.slider=jn(this.domNode,yw(".slider")),this.slider.style.top="0px",this._register(en(this.domNode,br.POINTER_DOWN,s=>this.onPointerDown(s))),this._register(i.onDidChangeColor(this.onDidChangeColor,this)),this.layout()}layout(){this.height=this.domNode.offsetHeight-this.slider.offsetHeight;const e=this.getValue(this.model.color);this.updateSliderPosition(e)}onDidChangeColor(e){const i=this.getValue(e);this.updateSliderPosition(i)}onPointerDown(e){if(!e.target||!(e.target instanceof Element))return;const i=this._register(new bJ),n=e_(this.domNode);this.domNode.classList.add("grabbing"),e.target!==this.slider&&this.onDidChangeTop(e.offsetY),i.startMonitoring(e.target,e.pointerId,e.buttons,c=>this.onDidChangeTop(c.pageY-n.top),()=>null);const s=en(e.target.ownerDocument,br.POINTER_UP,()=>{this._onColorFlushed.fire(),s.dispose(),i.stopMonitoring(!0),this.domNode.classList.remove("grabbing")},!0)}onDidChangeTop(e){const i=Math.max(0,Math.min(1,1-e/this.height));this.updateSliderPosition(i),this._onDidChange.fire(i)}updateSliderPosition(e){this.slider.style.top=`${(1-e)*this.height}px`}}class dLi extends hLt{constructor(e,i,n=!1){super(e,i,n),this.domNode.classList.add("opacity-strip"),this.onDidChangeColor(this.model.color)}onDidChangeColor(e){super.onDidChangeColor(e);const{r:i,g:n,b:s}=e.rgba,c=new In(new El(i,n,s,1)),d=new In(new El(i,n,s,0));this.overlay.style.background=`linear-gradient(to bottom, ${c} 0%, ${d} 100%)`}getValue(e){return e.hsva.a}}class fLi extends hLt{constructor(e,i,n=!1){super(e,i,n),this.domNode.classList.add("hue-strip")}getValue(e){return 1-e.hsva.h/360}}class hLi extends xi{constructor(e){super(),this._onClicked=this._register(new gi),this.onClicked=this._onClicked.event,this._button=jn(e,document.createElement("button")),this._button.classList.add("insert-button"),this._button.textContent="Insert",this._register(en(this._button,br.CLICK,()=>{this._onClicked.fire()}))}get button(){return this._button}}class _Li extends Aw{constructor(e,i,n,s,c=!1){super(),this.model=i,this.pixelRatio=n,this._register(Wne.getInstance(Eo(e)).onDidChange(()=>this.layout())),this._domNode=yw(".colorpicker-widget"),e.appendChild(this._domNode),this.header=this._register(new aLi(this._domNode,this.model,s,c)),this.body=this._register(new lLi(this._domNode,this.model,this.pixelRatio,c))}layout(){this.body.layout()}get domNode(){return this._domNode}}class P9e{constructor(e,i,n,s){this.priority=e,this.range=i,this.initialMousePosX=n,this.initialMousePosY=s,this.type=1}equals(e){return e.type===1&&this.range.equalsRange(e.range)}canAdoptVisibleHover(e,i){return e.type===1&&i.lineNumber===this.range.startLineNumber}}class N1e{constructor(e,i,n,s,c,d){this.priority=e,this.owner=i,this.range=n,this.initialMousePosX=s,this.initialMousePosY=c,this.supportsMarkerHover=d,this.type=2}equals(e){return e.type===2&&this.owner===e.owner}canAdoptVisibleHover(e,i){return e.type===2&&this.owner===e.owner}}class zB{constructor(e){this.renderedHoverParts=e}dispose(){for(const e of this.renderedHoverParts)e.dispose()}}const uW=new class{constructor(){this._participants=[]}register(e){this._participants.push(e)}getAll(){return this._participants}};var _Lt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},pLt=function(l,e){return function(i,n){e(i,n,l)}};class pLi{constructor(e,i,n,s){this.owner=e,this.range=i,this.model=n,this.provider=s,this.forceShowAtRange=!0}isValidForHoverAnchor(e){return e.type===1&&this.range.startColumn<=e.range.startColumn&&this.range.endColumn>=e.range.endColumn}}let Vre=class{constructor(e,i){this._editor=e,this._themeService=i,this.hoverOrdinal=2}computeSync(e,i){return[]}computeAsync(e,i,n){return bw.fromPromise(this._computeAsync(e,i,n))}async _computeAsync(e,i,n){if(!this._editor.hasModel())return[];const s=Q$.get(this._editor);if(!s)return[];for(const c of i){if(!s.isColorDecoration(c))continue;const d=s.getColorData(c.range.getStartPosition());if(d)return[await mLt(this,this._editor.getModel(),d.colorInfo,d.provider)]}return[]}renderHoverParts(e,i){const n=gLt(this,this._editor,this._themeService,i,e);if(!n)return new zB([]);this._colorPicker=n.colorPicker;const s={hoverPart:n.hoverPart,hoverElement:this._colorPicker.domNode,dispose(){n.disposables.dispose()}};return new zB([s])}handleResize(){var e;(e=this._colorPicker)==null||e.layout()}isColorPickerVisible(){return!!this._colorPicker}};Vre=_Lt([pLt(1,im)],Vre);class mLi{constructor(e,i,n,s){this.owner=e,this.range=i,this.model=n,this.provider=s}}let Hre=class{constructor(e,i){this._editor=e,this._themeService=i,this._color=null}async createColorHover(e,i,n){if(!this._editor.hasModel()||!Q$.get(this._editor))return null;const c=await lLt(n,this._editor.getModel(),cc.None);let d=null,f=null;for(const w of c){const I=w.colorInfo;nt.containsRange(I.range,e.range)&&(d=I,f=w.provider)}const m=d??e,v=f??i,x=!!d;return{colorHover:await mLt(this,this._editor.getModel(),m,v),foundInEditor:x}}async updateEditorModel(e){if(!this._editor.hasModel())return;const i=e.model;let n=new nt(e.range.startLineNumber,e.range.startColumn,e.range.endLineNumber,e.range.endColumn);this._color&&(await L1e(this._editor.getModel(),i,this._color,n,e),n=yLt(this._editor,n,i))}renderHoverParts(e,i){return gLt(this,this._editor,this._themeService,i,e)}set color(e){this._color=e}get color(){return this._color}};Hre=_Lt([pLt(1,im)],Hre);async function mLt(l,e,i,n){const s=e.getValueInRange(i.range),{red:c,green:d,blue:f,alpha:m}=i.color,v=new El(Math.round(c*255),Math.round(d*255),Math.round(f*255),m),x=new In(v),w=await uLt(e,i,n,cc.None),I=new oLi(x,[],0);return I.colorPresentations=w||[],I.guessColorPresentation(x,s),l instanceof Vre?new pLi(l,nt.lift(i.range),I,n):new mLi(l,nt.lift(i.range),I,n)}function gLt(l,e,i,n,s){if(n.length===0||!e.hasModel())return;if(s.setMinimumDimensions){const I=e.getOption(67)+8;s.setMinimumDimensions(new Du(302,I))}const c=new wn,d=n[0],f=e.getModel(),m=d.model,v=c.add(new _Li(s.fragment,m,e.getOption(144),i,l instanceof Hre));let x=!1,w=new nt(d.range.startLineNumber,d.range.startColumn,d.range.endLineNumber,d.range.endColumn);if(l instanceof Hre){const I=d.model.color;l.color=I,L1e(f,m,I,w,d),c.add(m.onColorFlushed(P=>{l.color=P}))}else c.add(m.onColorFlushed(async I=>{await L1e(f,m,I,w,d),x=!0,w=yLt(e,w,m)}));return c.add(m.onDidChangeColor(I=>{L1e(f,m,I,w,d)})),c.add(e.onDidChangeModelContent(I=>{x?x=!1:(s.hide(),e.focus())})),{hoverPart:d,colorPicker:v,disposables:c}}function yLt(l,e,i){const n=[],s=i.presentation.textEdit??{range:e,text:i.presentation.label,forceMoveMarkers:!1};n.push(s),i.presentation.additionalTextEdits&&n.push(...i.presentation.additionalTextEdits);const c=nt.lift(s.range),d=l.getModel()._setTrackedRange(null,c,3);return l.executeEdits("colorpicker",n),l.pushUndoStop(),l.getModel()._getTrackedRange(d)??c}async function L1e(l,e,i,n,s){const c=await uLt(l,{range:n,color:{red:i.rgba.r/255,green:i.rgba.g/255,blue:i.rgba.b/255,alpha:i.rgba.a}},s.provider,cc.None);e.colorPresentations=c||[]}const vLt="editor.action.showHover",gLi="editor.action.showDefinitionPreviewHover",yLi="editor.action.scrollUpHover",vLi="editor.action.scrollDownHover",bLi="editor.action.scrollLeftHover",CLi="editor.action.scrollRightHover",SLi="editor.action.pageUpHover",wLi="editor.action.pageDownHover",xLi="editor.action.goToTopHover",kLi="editor.action.goToBottomHover",Jwe="editor.action.increaseHoverVerbosityLevel",TLi=W({key:"increaseHoverVerbosityLevel",comment:["Label for action that will increase the hover verbosity level."]},"Increase Hover Verbosity Level"),Gwe="editor.action.decreaseHoverVerbosityLevel",DLi=W({key:"decreaseHoverVerbosityLevel",comment:["Label for action that will decrease the hover verbosity level."]},"Decrease Hover Verbosity Level"),bLt="editor.action.inlineSuggest.commit",CLt="editor.action.inlineSuggest.showPrevious",SLt="editor.action.inlineSuggest.showNext";var VGe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},dT=function(l,e){return function(i,n){e(i,n,l)}},P1e;let hze=class extends xi{constructor(e,i,n){super(),this.editor=e,this.model=i,this.instantiationService=n,this.alwaysShowToolbar=Tu(this,this.editor.onDidChangeConfiguration,()=>this.editor.getOption(62).showToolbar==="always"),this.sessionPosition=void 0,this.position=ro(this,s=>{var m,v;const c=(m=this.model.read(s))==null?void 0:m.primaryGhostText.read(s);if(!this.alwaysShowToolbar.read(s)||!c||c.parts.length===0)return this.sessionPosition=void 0,null;const d=c.parts[0].column;this.sessionPosition&&this.sessionPosition.lineNumber!==c.lineNumber&&(this.sessionPosition=void 0);const f=new pi(c.lineNumber,Math.min(d,((v=this.sessionPosition)==null?void 0:v.column)??Number.MAX_SAFE_INTEGER));return this.sessionPosition=f,f}),this._register(Ib((s,c)=>{const d=this.model.read(s);if(!d||!this.alwaysShowToolbar.read(s))return;const f=VR((v,x)=>{const w=x.add(this.instantiationService.createInstance(Z$,this.editor,!0,this.position,d.selectedInlineCompletionIndex,d.inlineCompletionsCount,d.activeCommands));return e.addContentWidget(w),x.add(fo(()=>e.removeContentWidget(w))),x.add(ba(I=>{this.position.read(I)&&d.lastTriggerKind.read(I)!==OE.Explicit&&d.triggerExplicitly()})),w}),m=Dse(this,(v,x)=>!!this.position.read(v)||!!x);c.add(ba(v=>{m.read(v)&&f.read(v)}))}))}};hze=VGe([dT(2,ho)],hze);const ELi=sf("inline-suggestion-hints-next",pr.chevronRight,W("parameterHintsNextIcon","Icon for show next parameter hint.")),ILi=sf("inline-suggestion-hints-previous",pr.chevronLeft,W("parameterHintsPreviousIcon","Icon for show previous parameter hint."));var iR;let Z$=(iR=class extends xi{static get dropDownVisible(){return this._dropDownVisible}createCommandAction(e,i,n){const s=new $C(e,i,n,!0,()=>this._commandService.executeCommand(e)),c=this.keybindingService.lookupKeybinding(e,this._contextKeyService);let d=i;return c&&(d=W({key:"content",comment:["A label","A keybinding"]},"{0} ({1})",i,c.getLabel())),s.tooltip=d,s}constructor(e,i,n,s,c,d,f,m,v,x,w){super(),this.editor=e,this.withBorder=i,this._position=n,this._currentSuggestionIdx=s,this._suggestionCount=c,this._extraCommands=d,this._commandService=f,this.keybindingService=v,this._contextKeyService=x,this._menuService=w,this.id=`InlineSuggestionHintsContentWidget${P1e.id++}`,this.allowEditorOverflow=!0,this.suppressMouseDown=!1,this.nodes=Rc("div.inlineSuggestionsHints",{className:this.withBorder?".withBorder":""},[Rc("div@toolBar")]),this.previousAction=this.createCommandAction(CLt,W("previous","Previous"),zo.asClassName(ILi)),this.availableSuggestionCountAction=new $C("inlineSuggestionHints.availableSuggestionCount","",void 0,!1),this.nextAction=this.createCommandAction(SLt,W("next","Next"),zo.asClassName(ELi)),this.inlineCompletionsActionsMenus=this._register(this._menuService.createMenu(As.InlineCompletionsActions,this._contextKeyService)),this.clearAvailableSuggestionCountLabelDebounced=this._register(new qu(()=>{this.availableSuggestionCountAction.label=""},100)),this.disableButtonsDebounced=this._register(new qu(()=>{this.previousAction.enabled=this.nextAction.enabled=!1},100)),this.toolBar=this._register(m.createInstance(_ze,this.nodes.toolBar,As.InlineSuggestionToolbar,{menuOptions:{renderShortTitle:!0},toolbarOptions:{primaryGroup:I=>I.startsWith("primary")},actionViewItemProvider:(I,P)=>{if(I instanceof JC)return m.createInstance(LLi,I,void 0);if(I===this.availableSuggestionCountAction){const O=new NLi(void 0,I,{label:!0,icon:!1});return O.setClass("availableSuggestionCount"),O}},telemetrySource:"InlineSuggestionToolbar"})),this.toolBar.setPrependedPrimaryActions([this.previousAction,this.availableSuggestionCountAction,this.nextAction]),this._register(this.toolBar.onDidChangeDropdownVisibility(I=>{P1e._dropDownVisible=I})),this._register(ba(I=>{this._position.read(I),this.editor.layoutContentWidget(this)})),this._register(ba(I=>{const P=this._suggestionCount.read(I),O=this._currentSuggestionIdx.read(I);P!==void 0?(this.clearAvailableSuggestionCountLabelDebounced.cancel(),this.availableSuggestionCountAction.label=`${O+1}/${P}`):this.clearAvailableSuggestionCountLabelDebounced.schedule(),P!==void 0&&P>1?(this.disableButtonsDebounced.cancel(),this.previousAction.enabled=this.nextAction.enabled=!0):this.disableButtonsDebounced.schedule()})),this._register(ba(I=>{const O=this._extraCommands.read(I).map(z=>({class:void 0,id:z.id,enabled:!0,tooltip:z.tooltip||"",label:z.title,run:J=>this._commandService.executeCommand(z.id)}));for(const[z,J]of this.inlineCompletionsActionsMenus.getActions())for(const Y of J)Y instanceof JC&&O.push(Y);O.length>0&&O.unshift(new Rm),this.toolBar.setAdditionalSecondaryActions(O)}))}getId(){return this.id}getDomNode(){return this.nodes.root}getPosition(){return{position:this._position.get(),preference:[1,2],positionAffinity:3}}},P1e=iR,iR._dropDownVisible=!1,iR.id=0,iR);Z$=P1e=VGe([dT(6,qd),dT(7,ho),dT(8,pu),dT(9,Ko),dT(10,Lw)],Z$);class NLi extends V${constructor(){super(...arguments),this._className=void 0}setClass(e){this._className=e}render(e){super.render(e),this._className&&e.classList.add(this._className)}updateTooltip(){}}let LLi=class extends TR{updateLabel(){const e=this._keybindingService.lookupKeybinding(this._action.id,this._contextKeyService);if(!e)return super.updateLabel();if(this.label){const i=Rc("div.keybinding").root;this._register(new EJ(i,UC,{disableTitle:!0,...qEt})).set(e),this.label.textContent=this._action.label,this.label.appendChild(i),this.label.classList.add("inlineSuggestionStatusBarItemLabel")}}updateTooltip(){}},_ze=class extends Nre{constructor(e,i,n,s,c,d,f,m,v){super(e,{resetMenu:i,...n},s,c,d,f,m,v),this.menuId=i,this.options2=n,this.menuService=s,this.contextKeyService=c,this.menu=this._store.add(this.menuService.createMenu(this.menuId,this.contextKeyService,{emitEventsForSubmenuChanges:!0})),this.additionalActions=[],this.prependedPrimaryActions=[],this._store.add(this.menu.onDidChange(()=>this.updateToolbar())),this.updateToolbar()}updateToolbar(){var n,s,c,d,f,m,v;const e=[],i=[];vwe(this.menu,(n=this.options2)==null?void 0:n.menuOptions,{primary:e,secondary:i},(c=(s=this.options2)==null?void 0:s.toolbarOptions)==null?void 0:c.primaryGroup,(f=(d=this.options2)==null?void 0:d.toolbarOptions)==null?void 0:f.shouldInlineSubmenu,(v=(m=this.options2)==null?void 0:m.toolbarOptions)==null?void 0:v.useSeparatorsInPrimaryActions),i.push(...this.additionalActions),e.unshift(...this.prependedPrimaryActions),this.setActions(e,i)}setPrependedPrimaryActions(e){Lf(this.prependedPrimaryActions,e,(i,n)=>i===n)||(this.prependedPrimaryActions=e,this.updateToolbar())}setAdditionalSecondaryActions(e){Lf(this.additionalActions,e,(i,n)=>i===n)||(this.additionalActions=e,this.updateToolbar())}};_ze=VGe([dT(3,Lw),dT(4,Ko),dT(5,eS),dT(6,pu),dT(7,qd),dT(8,b1)],_ze);function Kwe(l,e,i){const n=e_(l);return!(e<n.left||e>n.left+n.width||i<n.top||i>n.top+n.height)}let PLi=class{constructor(e,i,n){this.value=e,this.isComplete=i,this.hasLoadingMessage=n}};class wLt extends xi{constructor(e,i){super(),this._editor=e,this._computer=i,this._onResult=this._register(new gi),this.onResult=this._onResult.event,this._firstWaitScheduler=this._register(new qu(()=>this._triggerAsyncComputation(),0)),this._secondWaitScheduler=this._register(new qu(()=>this._triggerSyncComputation(),0)),this._loadingMessageScheduler=this._register(new qu(()=>this._triggerLoadingMessage(),0)),this._state=0,this._asyncIterable=null,this._asyncIterableDone=!1,this._result=[]}dispose(){this._asyncIterable&&(this._asyncIterable.cancel(),this._asyncIterable=null),super.dispose()}get _hoverTime(){return this._editor.getOption(60).delay}get _firstWaitTime(){return this._hoverTime/2}get _secondWaitTime(){return this._hoverTime-this._firstWaitTime}get _loadingMessageTime(){return 3*this._hoverTime}_setState(e,i=!0){this._state=e,i&&this._fireResult()}_triggerAsyncComputation(){this._setState(2),this._secondWaitScheduler.schedule(this._secondWaitTime),this._computer.computeAsync?(this._asyncIterableDone=!1,this._asyncIterable=Sui(e=>this._computer.computeAsync(e)),(async()=>{try{for await(const e of this._asyncIterable)e&&(this._result.push(e),this._fireResult());this._asyncIterableDone=!0,(this._state===3||this._state===4)&&this._setState(0)}catch(e){Pa(e)}})()):this._asyncIterableDone=!0}_triggerSyncComputation(){this._computer.computeSync&&(this._result=this._result.concat(this._computer.computeSync())),this._setState(this._asyncIterableDone?0:3)}_triggerLoadingMessage(){this._state===3&&this._setState(4)}_fireResult(){if(this._state===1||this._state===2)return;const e=this._state===0,i=this._state===4;this._onResult.fire(new PLi(this._result.slice(0),e,i))}start(e){if(e===0)this._state===0&&(this._setState(1),this._firstWaitScheduler.schedule(this._firstWaitTime),this._loadingMessageScheduler.schedule(this._loadingMessageTime));else switch(this._state){case 0:this._triggerAsyncComputation(),this._secondWaitScheduler.cancel(),this._triggerSyncComputation();break;case 2:this._secondWaitScheduler.cancel(),this._triggerSyncComputation();break}}cancel(){this._firstWaitScheduler.cancel(),this._secondWaitScheduler.cancel(),this._loadingMessageScheduler.cancel(),this._asyncIterable&&(this._asyncIterable.cancel(),this._asyncIterable=null),this._result=[],this._setState(0,!1)}}class HGe{constructor(){this._onDidWillResize=new gi,this.onDidWillResize=this._onDidWillResize.event,this._onDidResize=new gi,this.onDidResize=this._onDidResize.event,this._sashListener=new wn,this._size=new Du(0,0),this._minSize=new Du(0,0),this._maxSize=new Du(Number.MAX_SAFE_INTEGER,Number.MAX_SAFE_INTEGER),this.domNode=document.createElement("div"),this._eastSash=new _1(this.domNode,{getVerticalSashLeft:()=>this._size.width},{orientation:0}),this._westSash=new _1(this.domNode,{getVerticalSashLeft:()=>0},{orientation:0}),this._northSash=new _1(this.domNode,{getHorizontalSashTop:()=>0},{orientation:1,orthogonalEdge:tve.North}),this._southSash=new _1(this.domNode,{getHorizontalSashTop:()=>this._size.height},{orientation:1,orthogonalEdge:tve.South}),this._northSash.orthogonalStartSash=this._westSash,this._northSash.orthogonalEndSash=this._eastSash,this._southSash.orthogonalStartSash=this._westSash,this._southSash.orthogonalEndSash=this._eastSash;let e,i=0,n=0;this._sashListener.add(Tr.any(this._northSash.onDidStart,this._eastSash.onDidStart,this._southSash.onDidStart,this._westSash.onDidStart)(()=>{e===void 0&&(this._onDidWillResize.fire(),e=this._size,i=0,n=0)})),this._sashListener.add(Tr.any(this._northSash.onDidEnd,this._eastSash.onDidEnd,this._southSash.onDidEnd,this._westSash.onDidEnd)(()=>{e!==void 0&&(e=void 0,i=0,n=0,this._onDidResize.fire({dimension:this._size,done:!0}))})),this._sashListener.add(this._eastSash.onDidChange(s=>{e&&(n=s.currentX-s.startX,this.layout(e.height+i,e.width+n),this._onDidResize.fire({dimension:this._size,done:!1,east:!0}))})),this._sashListener.add(this._westSash.onDidChange(s=>{e&&(n=-(s.currentX-s.startX),this.layout(e.height+i,e.width+n),this._onDidResize.fire({dimension:this._size,done:!1,west:!0}))})),this._sashListener.add(this._northSash.onDidChange(s=>{e&&(i=-(s.currentY-s.startY),this.layout(e.height+i,e.width+n),this._onDidResize.fire({dimension:this._size,done:!1,north:!0}))})),this._sashListener.add(this._southSash.onDidChange(s=>{e&&(i=s.currentY-s.startY,this.layout(e.height+i,e.width+n),this._onDidResize.fire({dimension:this._size,done:!1,south:!0}))})),this._sashListener.add(Tr.any(this._eastSash.onDidReset,this._westSash.onDidReset)(s=>{this._preferredSize&&(this.layout(this._size.height,this._preferredSize.width),this._onDidResize.fire({dimension:this._size,done:!0}))})),this._sashListener.add(Tr.any(this._northSash.onDidReset,this._southSash.onDidReset)(s=>{this._preferredSize&&(this.layout(this._preferredSize.height,this._size.width),this._onDidResize.fire({dimension:this._size,done:!0}))}))}dispose(){this._northSash.dispose(),this._southSash.dispose(),this._eastSash.dispose(),this._westSash.dispose(),this._sashListener.dispose(),this._onDidResize.dispose(),this._onDidWillResize.dispose(),this.domNode.remove()}enableSashes(e,i,n,s){this._northSash.state=e?3:0,this._eastSash.state=i?3:0,this._southSash.state=n?3:0,this._westSash.state=s?3:0}layout(e=this.size.height,i=this.size.width){const{height:n,width:s}=this._minSize,{height:c,width:d}=this._maxSize;e=Math.max(n,Math.min(c,e)),i=Math.max(s,Math.min(d,i));const f=new Du(i,e);Du.equals(f,this._size)||(this.domNode.style.height=e+"px",this.domNode.style.width=i+"px",this._size=f,this._northSash.layout(),this._eastSash.layout(),this._southSash.layout(),this._westSash.layout())}clearSashHoverState(){this._eastSash.clearSashHoverState(),this._westSash.clearSashHoverState(),this._northSash.clearSashHoverState(),this._southSash.clearSashHoverState()}get size(){return this._size}set maxSize(e){this._maxSize=e}get maxSize(){return this._maxSize}set minSize(e){this._minSize=e}get minSize(){return this._minSize}set preferredSize(e){this._preferredSize=e}get preferredSize(){return this._preferredSize}}const ALi=30,OLi=24;class MLi extends xi{constructor(e,i=new Du(10,10)){super(),this._editor=e,this.allowEditorOverflow=!0,this.suppressMouseDown=!1,this._resizableNode=this._register(new HGe),this._contentPosition=null,this._isResizing=!1,this._resizableNode.domNode.style.position="absolute",this._resizableNode.minSize=Du.lift(i),this._resizableNode.layout(i.height,i.width),this._resizableNode.enableSashes(!0,!0,!0,!0),this._register(this._resizableNode.onDidResize(n=>{this._resize(new Du(n.dimension.width,n.dimension.height)),n.done&&(this._isResizing=!1)})),this._register(this._resizableNode.onDidWillResize(()=>{this._isResizing=!0}))}get isResizing(){return this._isResizing}getDomNode(){return this._resizableNode.domNode}getPosition(){return this._contentPosition}get position(){var e;return(e=this._contentPosition)!=null&&e.position?pi.lift(this._contentPosition.position):void 0}_availableVerticalSpaceAbove(e){const i=this._editor.getDomNode(),n=this._editor.getScrolledVisiblePosition(e);return!i||!n?void 0:e_(i).top+n.top-ALi}_availableVerticalSpaceBelow(e){const i=this._editor.getDomNode(),n=this._editor.getScrolledVisiblePosition(e);if(!i||!n)return;const s=e_(i),c=SR(i.ownerDocument.body),d=s.top+n.top+n.height;return c.height-d-OLi}_findPositionPreference(e,i){const n=Math.min(this._availableVerticalSpaceBelow(i)??1/0,e),s=Math.min(this._availableVerticalSpaceAbove(i)??1/0,e),c=Math.min(Math.max(s,n),e),d=Math.min(e,c);let f;return this._editor.getOption(60).above?f=d<=s?1:2:f=d<=n?2:1,f===1?this._resizableNode.enableSashes(!0,!0,!1,!1):this._resizableNode.enableSashes(!1,!0,!0,!1),f}_resize(e){this._resizableNode.layout(e.height,e.width)}}var RLi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},L0e=function(l,e){return function(i,n){e(i,n,l)}},eL;const jwt=30,FLi=6;var nR;let pze=(nR=class extends MLi{get isVisibleFromKeyboard(){var e;return((e=this._renderedHover)==null?void 0:e.source)===1}get isVisible(){return this._hoverVisibleKey.get()??!1}get isFocused(){return this._hoverFocusedKey.get()??!1}constructor(e,i,n,s,c){const d=e.getOption(67)+8,f=150,m=new Du(f,d);super(e,m),this._configurationService=n,this._accessibilityService=s,this._keybindingService=c,this._hover=this._register(new H$e),this._onDidResize=this._register(new gi),this.onDidResize=this._onDidResize.event,this._minimumSize=m,this._hoverVisibleKey=Tt.hoverVisible.bindTo(i),this._hoverFocusedKey=Tt.hoverFocused.bindTo(i),jn(this._resizableNode.domNode,this._hover.containerDomNode),this._resizableNode.domNode.style.zIndex="50",this._register(this._editor.onDidLayoutChange(()=>{this.isVisible&&this._updateMaxDimensions()})),this._register(this._editor.onDidChangeConfiguration(x=>{x.hasChanged(50)&&this._updateFont()}));const v=this._register(zE(this._resizableNode.domNode));this._register(v.onDidFocus(()=>{this._hoverFocusedKey.set(!0)})),this._register(v.onDidBlur(()=>{this._hoverFocusedKey.set(!1)})),this._setRenderedHover(void 0),this._editor.addContentWidget(this)}dispose(){var e;super.dispose(),(e=this._renderedHover)==null||e.dispose(),this._editor.removeContentWidget(this)}getId(){return eL.ID}static _applyDimensions(e,i,n){const s=typeof i=="number"?`${i}px`:i,c=typeof n=="number"?`${n}px`:n;e.style.width=s,e.style.height=c}_setContentsDomNodeDimensions(e,i){const n=this._hover.contentsDomNode;return eL._applyDimensions(n,e,i)}_setContainerDomNodeDimensions(e,i){const n=this._hover.containerDomNode;return eL._applyDimensions(n,e,i)}_setHoverWidgetDimensions(e,i){this._setContentsDomNodeDimensions(e,i),this._setContainerDomNodeDimensions(e,i),this._layoutContentWidget()}static _applyMaxDimensions(e,i,n){const s=typeof i=="number"?`${i}px`:i,c=typeof n=="number"?`${n}px`:n;e.style.maxWidth=s,e.style.maxHeight=c}_setHoverWidgetMaxDimensions(e,i){eL._applyMaxDimensions(this._hover.contentsDomNode,e,i),eL._applyMaxDimensions(this._hover.containerDomNode,e,i),this._hover.containerDomNode.style.setProperty("--vscode-hover-maxWidth",typeof e=="number"?`${e}px`:e),this._layoutContentWidget()}_setAdjustedHoverWidgetDimensions(e){this._setHoverWidgetMaxDimensions("none","none");const i=e.width,n=e.height;this._setHoverWidgetDimensions(i,n)}_updateResizableNodeMaxDimensions(){const e=this._findMaximumRenderingWidth()??1/0,i=this._findMaximumRenderingHeight()??1/0;this._resizableNode.maxSize=new Du(e,i),this._setHoverWidgetMaxDimensions(e,i)}_resize(e){eL._lastDimensions=new Du(e.width,e.height),this._setAdjustedHoverWidgetDimensions(e),this._resizableNode.layout(e.height,e.width),this._updateResizableNodeMaxDimensions(),this._hover.scrollbar.scanDomNode(),this._editor.layoutContentWidget(this),this._onDidResize.fire()}_findAvailableSpaceVertically(){var i;const e=(i=this._renderedHover)==null?void 0:i.showAtPosition;if(e)return this._positionPreference===1?this._availableVerticalSpaceAbove(e):this._availableVerticalSpaceBelow(e)}_findMaximumRenderingHeight(){const e=this._findAvailableSpaceVertically();if(!e)return;let i=FLi;return Array.from(this._hover.contentsDomNode.children).forEach(n=>{i+=n.clientHeight}),Math.min(e,i)}_isHoverTextOverflowing(){this._hover.containerDomNode.style.setProperty("--vscode-hover-whiteSpace","nowrap"),this._hover.containerDomNode.style.setProperty("--vscode-hover-sourceWhiteSpace","nowrap");const e=Array.from(this._hover.contentsDomNode.children).some(i=>i.scrollWidth>i.clientWidth);return this._hover.containerDomNode.style.removeProperty("--vscode-hover-whiteSpace"),this._hover.containerDomNode.style.removeProperty("--vscode-hover-sourceWhiteSpace"),e}_findMaximumRenderingWidth(){if(!this._editor||!this._editor.hasModel())return;const e=this._isHoverTextOverflowing(),i=typeof this._contentWidth>"u"?0:this._contentWidth-2;return e||this._hover.containerDomNode.clientWidth<i?SR(this._hover.containerDomNode.ownerDocument.body).width-14:this._hover.containerDomNode.clientWidth+2}isMouseGettingCloser(e,i){if(!this._renderedHover)return!1;if(this._renderedHover.initialMousePosX===void 0||this._renderedHover.initialMousePosY===void 0)return this._renderedHover.initialMousePosX=e,this._renderedHover.initialMousePosY=i,!1;const n=e_(this.getDomNode());this._renderedHover.closestMouseDistance===void 0&&(this._renderedHover.closestMouseDistance=zwt(this._renderedHover.initialMousePosX,this._renderedHover.initialMousePosY,n.left,n.top,n.width,n.height));const s=zwt(e,i,n.left,n.top,n.width,n.height);return s>this._renderedHover.closestMouseDistance+4?!1:(this._renderedHover.closestMouseDistance=Math.min(this._renderedHover.closestMouseDistance,s),!0)}_setRenderedHover(e){var i;(i=this._renderedHover)==null||i.dispose(),this._renderedHover=e,this._hoverVisibleKey.set(!!e),this._hover.containerDomNode.classList.toggle("hidden",!e)}_updateFont(){const{fontSize:e,lineHeight:i}=this._editor.getOption(50),n=this._hover.contentsDomNode;n.style.fontSize=`${e}px`,n.style.lineHeight=`${i/e}`,Array.prototype.slice.call(this._hover.contentsDomNode.getElementsByClassName("code")).forEach(c=>this._editor.applyFontInfo(c))}_updateContent(e){const i=this._hover.contentsDomNode;i.style.paddingBottom="",i.textContent="",i.appendChild(e)}_layoutContentWidget(){this._editor.layoutContentWidget(this),this._hover.onContentsChanged()}_updateMaxDimensions(){const e=Math.max(this._editor.getLayoutInfo().height/4,250,eL._lastDimensions.height),i=Math.max(this._editor.getLayoutInfo().width*.66,500,eL._lastDimensions.width);this._setHoverWidgetMaxDimensions(i,e)}_render(e){this._setRenderedHover(e),this._updateFont(),this._updateContent(e.domNode),this._updateMaxDimensions(),this.onContentsChanged(),this._editor.render()}getPosition(){return this._renderedHover?{position:this._renderedHover.showAtPosition,secondaryPosition:this._renderedHover.showAtSecondaryPosition,positionAffinity:this._renderedHover.shouldAppearBeforeContent?3:void 0,preference:[this._positionPreference??1]}:null}show(e){var d;if(!this._editor||!this._editor.hasModel())return;this._render(e);const i=B4(this._hover.containerDomNode),n=e.showAtPosition;this._positionPreference=this._findPositionPreference(i,n)??1,this.onContentsChanged(),e.shouldFocus&&this._hover.containerDomNode.focus(),this._onDidResize.fire();const c=this._hover.containerDomNode.ownerDocument.activeElement===this._hover.containerDomNode&&GTt(this._configurationService.getValue("accessibility.verbosity.hover")===!0&&this._accessibilityService.isScreenReaderOptimized(),((d=this._keybindingService.lookupKeybinding("editor.action.accessibleView"))==null?void 0:d.getAriaLabel())??"");c&&(this._hover.contentsDomNode.ariaLabel=this._hover.contentsDomNode.textContent+", "+c)}hide(){if(!this._renderedHover)return;const e=this._renderedHover.shouldFocus||this._hoverFocusedKey.get();this._setRenderedHover(void 0),this._resizableNode.maxSize=new Du(1/0,1/0),this._resizableNode.clearSashHoverState(),this._hoverFocusedKey.set(!1),this._editor.layoutContentWidget(this),e&&this._editor.focus()}_removeConstraintsRenderNormally(){const e=this._editor.getLayoutInfo();this._resizableNode.layout(e.height,e.width),this._setHoverWidgetDimensions("auto","auto")}setMinimumDimensions(e){this._minimumSize=new Du(Math.max(this._minimumSize.width,e.width),Math.max(this._minimumSize.height,e.height)),this._updateMinimumWidth()}_updateMinimumWidth(){const e=typeof this._contentWidth>"u"?this._minimumSize.width:Math.min(this._contentWidth,this._minimumSize.width);this._resizableNode.minSize=new Du(e,this._minimumSize.height)}onContentsChanged(){var s;this._removeConstraintsRenderNormally();const e=this._hover.containerDomNode;let i=B4(e),n=FC(e);if(this._resizableNode.layout(i,n),this._setHoverWidgetDimensions(n,i),i=B4(e),n=FC(e),this._contentWidth=n,this._updateMinimumWidth(),this._resizableNode.layout(i,n),(s=this._renderedHover)!=null&&s.showAtPosition){const c=B4(this._hover.containerDomNode);this._positionPreference=this._findPositionPreference(c,this._renderedHover.showAtPosition)}this._layoutContentWidget()}focus(){this._hover.containerDomNode.focus()}scrollUp(){const e=this._hover.scrollbar.getScrollPosition().scrollTop,i=this._editor.getOption(50);this._hover.scrollbar.setScrollPosition({scrollTop:e-i.lineHeight})}scrollDown(){const e=this._hover.scrollbar.getScrollPosition().scrollTop,i=this._editor.getOption(50);this._hover.scrollbar.setScrollPosition({scrollTop:e+i.lineHeight})}scrollLeft(){const e=this._hover.scrollbar.getScrollPosition().scrollLeft;this._hover.scrollbar.setScrollPosition({scrollLeft:e-jwt})}scrollRight(){const e=this._hover.scrollbar.getScrollPosition().scrollLeft;this._hover.scrollbar.setScrollPosition({scrollLeft:e+jwt})}pageUp(){const e=this._hover.scrollbar.getScrollPosition().scrollTop,i=this._hover.scrollbar.getScrollDimensions().height;this._hover.scrollbar.setScrollPosition({scrollTop:e-i})}pageDown(){const e=this._hover.scrollbar.getScrollPosition().scrollTop,i=this._hover.scrollbar.getScrollDimensions().height;this._hover.scrollbar.setScrollPosition({scrollTop:e+i})}goToTop(){this._hover.scrollbar.setScrollPosition({scrollTop:0})}goToBottom(){this._hover.scrollbar.setScrollPosition({scrollTop:this._hover.scrollbar.getScrollDimensions().scrollHeight})}},eL=nR,nR.ID="editor.contrib.resizableContentHoverWidget",nR._lastDimensions=new Du(0,0),nR);pze=eL=RLi([L0e(1,Ko),L0e(2,Cc),L0e(3,tS),L0e(4,pu)],pze);function zwt(l,e,i,n,s,c){const d=i+s/2,f=n+c/2,m=Math.max(Math.abs(l-d)-s/2,0),v=Math.max(Math.abs(e-f)-c/2,0);return Math.sqrt(m*m+v*v)}class Xve{get anchor(){return this._anchor}set anchor(e){this._anchor=e}get shouldFocus(){return this._shouldFocus}set shouldFocus(e){this._shouldFocus=e}get source(){return this._source}set source(e){this._source=e}get insistOnKeepingHoverVisible(){return this._insistOnKeepingHoverVisible}set insistOnKeepingHoverVisible(e){this._insistOnKeepingHoverVisible=e}constructor(e,i){this._editor=e,this._participants=i,this._anchor=null,this._shouldFocus=!1,this._source=0,this._insistOnKeepingHoverVisible=!1}static _getLineDecorations(e,i){if(i.type!==1&&!i.supportsMarkerHover)return[];const n=e.getModel(),s=i.range.startLineNumber;if(s>n.getLineCount())return[];const c=n.getLineMaxColumn(s);return e.getLineDecorations(s).filter(d=>{if(d.options.isWholeLine)return!0;const f=d.range.startLineNumber===s?d.range.startColumn:1,m=d.range.endLineNumber===s?d.range.endColumn:c;if(d.options.showIfCollapsed){if(f>i.range.startColumn+1||i.range.endColumn-1>m)return!1}else if(f>i.range.startColumn||i.range.endColumn>m)return!1;return!0})}computeAsync(e){const i=this._anchor;if(!this._editor.hasModel()||!i)return bw.EMPTY;const n=Xve._getLineDecorations(this._editor,i);return bw.merge(this._participants.map(s=>s.computeAsync?s.computeAsync(i,n,e):bw.EMPTY))}computeSync(){if(!this._editor.hasModel()||!this._anchor)return[];const e=Xve._getLineDecorations(this._editor,this._anchor);let i=[];for(const n of this._participants)i=i.concat(n.computeSync(this._anchor,e));return yT(i)}}class xLt{constructor(e,i,n){this.anchor=e,this.hoverParts=i,this.isComplete=n}filter(e){const i=this.hoverParts.filter(n=>n.isValidForHoverAnchor(e));return i.length===this.hoverParts.length?this:new BLi(this,this.anchor,i,this.isComplete)}}class BLi extends xLt{constructor(e,i,n,s){super(i,n,s),this.original=e}filter(e){return this.original.filter(e)}}var WLi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},VLi=function(l,e){return function(i,n){e(i,n,l)}};const Uwt=Cr;let Qve=class extends xi{get hasContent(){return this._hasContent}constructor(e){super(),this._keybindingService=e,this.actions=[],this._hasContent=!1,this.hoverElement=Uwt("div.hover-row.status-bar"),this.hoverElement.tabIndex=0,this.actionsElement=jn(this.hoverElement,Uwt("div.actions"))}addAction(e){const i=this._keybindingService.lookupKeybinding(e.commandId),n=i?i.getLabel():null;this._hasContent=!0;const s=this._register(awe.render(this.actionsElement,e,n));return this.actions.push(s),s}append(e){const i=jn(this.actionsElement,e);return this._hasContent=!0,i}};Qve=WLi([VLi(0,pu)],Qve);class HLi{constructor(e,i,n){this.provider=e,this.hover=i,this.ordinal=n}}async function jLi(l,e,i,n,s){const c=await Promise.resolve(l.provideHover(i,n,s)).catch(t_);if(!(!c||!zLi(c)))return new HLi(l,c,e)}function jGe(l,e,i,n,s=!1){const d=l.ordered(e,s).map((f,m)=>jLi(f,m,e,i,n));return bw.fromPromises(d).coalesce()}function kLt(l,e,i,n,s=!1){return jGe(l,e,i,n,s).map(c=>c.hover).toPromise()}Mb("_executeHoverProvider",(l,e,i)=>{const n=l.get(Ts);return kLt(n.hoverProvider,e,i,cc.None)});Mb("_executeHoverProvider_recursive",(l,e,i)=>{const n=l.get(Ts);return kLt(n.hoverProvider,e,i,cc.None,!0)});function zLi(l){const e=typeof l.range<"u",i=typeof l.contents<"u"&&l.contents&&l.contents.length>0;return e&&i}var ULi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},CF=function(l,e){return function(i,n){e(i,n,l)}};const gq=Cr,qLi=sf("hover-increase-verbosity",pr.add,W("increaseHoverVerbosity","Icon for increaseing hover verbosity.")),$Li=sf("hover-decrease-verbosity",pr.remove,W("decreaseHoverVerbosity","Icon for decreasing hover verbosity."));class fT{constructor(e,i,n,s,c,d=void 0){this.owner=e,this.range=i,this.contents=n,this.isBeforeContent=s,this.ordinal=c,this.source=d}isValidForHoverAnchor(e){return e.type===1&&this.range.startColumn<=e.range.startColumn&&this.range.endColumn>=e.range.endColumn}}class TLt{constructor(e,i,n){this.hover=e,this.hoverProvider=i,this.hoverPosition=n}supportsVerbosityAction(e){switch(e){case VC.Increase:return this.hover.canIncreaseVerbosity??!1;case VC.Decrease:return this.hover.canDecreaseVerbosity??!1}}}let jre=class{constructor(e,i,n,s,c,d,f,m){this._editor=e,this._languageService=i,this._openerService=n,this._configurationService=s,this._languageFeaturesService=c,this._keybindingService=d,this._hoverService=f,this._commandService=m,this.hoverOrdinal=3}createLoadingMessage(e){return new fT(this,e.range,[new m1().appendText(W("modesContentHover.loading","Loading..."))],!1,2e3)}computeSync(e,i){if(!this._editor.hasModel()||e.type!==1)return[];const n=this._editor.getModel(),s=e.range.startLineNumber,c=n.getLineMaxColumn(s),d=[];let f=1e3;const m=n.getLineLength(s),v=n.getLanguageIdAtPosition(e.range.startLineNumber,e.range.startColumn),x=this._editor.getOption(118),w=this._configurationService.getValue("editor.maxTokenizationLineLength",{overrideIdentifier:v});let I=!1;x>=0&&m>x&&e.range.startColumn>=x&&(I=!0,d.push(new fT(this,e.range,[{value:W("stopped rendering","Rendering paused for long line for performance reasons. This can be configured via `editor.stopRenderingLineAfter`.")}],!1,f++))),!I&&typeof w=="number"&&m>=w&&d.push(new fT(this,e.range,[{value:W("too many characters","Tokenization is skipped for long lines for performance reasons. This can be configured via `editor.maxTokenizationLineLength`.")}],!1,f++));let P=!1;for(const O of i){const z=O.range.startLineNumber===s?O.range.startColumn:1,J=O.range.endLineNumber===s?O.range.endColumn:c,Y=O.options.hoverMessage;if(!Y||M$(Y))continue;O.options.beforeContentClassName&&(P=!0);const ae=new nt(e.range.startLineNumber,z,e.range.startLineNumber,J);d.push(new fT(this,ae,Vqe(Y),P,f++))}return d}computeAsync(e,i,n){if(!this._editor.hasModel()||e.type!==1)return bw.EMPTY;const s=this._editor.getModel(),c=this._languageFeaturesService.hoverProvider;return c.has(s)?this._getMarkdownHovers(c,s,e,n):bw.EMPTY}_getMarkdownHovers(e,i,n,s){const c=n.range.getStartPosition();return jGe(e,i,c,s).filter(m=>!M$(m.hover.contents)).map(m=>{const v=m.hover.range?nt.lift(m.hover.range):n.range,x=new TLt(m.hover,m.provider,c);return new fT(this,v,m.hover.contents,!1,m.ordinal,x)})}renderHoverParts(e,i){return this._renderedHoverParts=new JLi(i,e.fragment,this,this._editor,this._languageService,this._openerService,this._commandService,this._keybindingService,this._hoverService,this._configurationService,e.onContentsChanged),this._renderedHoverParts}updateMarkdownHoverVerbosityLevel(e,i,n){var s;return Promise.resolve((s=this._renderedHoverParts)==null?void 0:s.updateMarkdownHoverPartVerbosityLevel(e,i,n))}};jre=ULi([CF(1,Pf),CF(2,Rb),CF(3,Cc),CF(4,Ts),CF(5,pu),CF(6,jL),CF(7,qd)],jre);class P0e{constructor(e,i,n){this.hoverPart=e,this.hoverElement=i,this.disposables=n}dispose(){this.disposables.dispose()}}class JLi{constructor(e,i,n,s,c,d,f,m,v,x,w){this._hoverParticipant=n,this._editor=s,this._languageService=c,this._openerService=d,this._commandService=f,this._keybindingService=m,this._hoverService=v,this._configurationService=x,this._onFinishedRendering=w,this._ongoingHoverOperations=new Map,this._disposables=new wn,this.renderedHoverParts=this._renderHoverParts(e,i,this._onFinishedRendering),this._disposables.add(fo(()=>{this.renderedHoverParts.forEach(I=>{I.dispose()}),this._ongoingHoverOperations.forEach(I=>{I.tokenSource.dispose(!0)})}))}_renderHoverParts(e,i,n){return e.sort(yv(s=>s.ordinal,mT)),e.map(s=>{const c=this._renderHoverPart(s,n);return i.appendChild(c.hoverElement),c})}_renderHoverPart(e,i){const n=this._renderMarkdownHover(e,i),s=n.hoverElement,c=e.source,d=new wn;if(d.add(n),!c)return new P0e(e,s,d);const f=c.supportsVerbosityAction(VC.Increase),m=c.supportsVerbosityAction(VC.Decrease);if(!f&&!m)return new P0e(e,s,d);const v=gq("div.verbosity-actions");return s.prepend(v),d.add(this._renderHoverExpansionAction(v,VC.Increase,f)),d.add(this._renderHoverExpansionAction(v,VC.Decrease,m)),new P0e(e,s,d)}_renderMarkdownHover(e,i){return DLt(this._editor,e,this._languageService,this._openerService,i)}_renderHoverExpansionAction(e,i,n){const s=new wn,c=i===VC.Increase,d=jn(e,gq(zo.asCSSSelector(c?qLi:$Li)));d.tabIndex=0;const f=new P$("mouse",!1,{target:e,position:{hoverPosition:0}},this._configurationService,this._hoverService);if(s.add(this._hoverService.setupManagedHover(f,d,KLi(this._keybindingService,i))),!n)return d.classList.add("disabled"),s;d.classList.add("enabled");const m=()=>this._commandService.executeCommand(i===VC.Increase?Jwe:Gwe);return s.add(new KTt(d,m)),s.add(new XTt(d,m,[3,10])),s}async updateMarkdownHoverPartVerbosityLevel(e,i,n=!0){const s=this._editor.getModel();if(!s)return;const c=this._getRenderedHoverPartAtIndex(i),d=c==null?void 0:c.hoverPart.source;if(!c||!(d!=null&&d.supportsVerbosityAction(e)))return;const f=await this._fetchHover(d,s,e);if(!f)return;const m=new TLt(f,d.hoverProvider,d.hoverPosition),v=c.hoverPart,x=new fT(this._hoverParticipant,v.range,f.contents,v.isBeforeContent,v.ordinal,m),w=this._renderHoverPart(x,this._onFinishedRendering);return this._replaceRenderedHoverPartAtIndex(i,w,x),n&&this._focusOnHoverPartWithIndex(i),{hoverPart:x,hoverElement:w.hoverElement}}async _fetchHover(e,i,n){let s=n===VC.Increase?1:-1;const c=e.hoverProvider,d=this._ongoingHoverOperations.get(c);d&&(d.tokenSource.cancel(),s+=d.verbosityDelta);const f=new ih;this._ongoingHoverOperations.set(c,{verbosityDelta:s,tokenSource:f});const m={verbosityRequest:{verbosityDelta:s,previousHover:e.hover}};let v;try{v=await Promise.resolve(c.provideHover(i,e.hoverPosition,f.token,m))}catch(x){t_(x)}return f.dispose(),this._ongoingHoverOperations.delete(c),v}_replaceRenderedHoverPartAtIndex(e,i,n){if(e>=this.renderedHoverParts.length||e<0)return;const s=this.renderedHoverParts[e],c=s.hoverElement,d=i.hoverElement,f=Array.from(d.children);c.replaceChildren(...f);const m=new P0e(n,c,i.disposables);c.focus(),s.dispose(),this.renderedHoverParts[e]=m}_focusOnHoverPartWithIndex(e){this.renderedHoverParts[e].hoverElement.focus()}_getRenderedHoverPartAtIndex(e){return this.renderedHoverParts[e]}dispose(){this._disposables.dispose()}}function GLi(l,e,i,n,s){e.sort(yv(d=>d.ordinal,mT));const c=[];for(const d of e)c.push(DLt(i,d,n,s,l.onContentsChanged));return new zB(c)}function DLt(l,e,i,n,s){const c=new wn,d=gq("div.hover-row"),f=gq("div.hover-row-contents");d.appendChild(f);const m=e.contents;for(const x of m){if(M$(x))continue;const w=gq("div.markdown-hover"),I=jn(w,gq("div.hover-contents")),P=c.add(new d6({editor:l},i,n));c.add(P.onDidRenderAsync(()=>{I.className="hover-contents code-hover-contents",s()}));const O=c.add(P.render(x));I.appendChild(O.element),f.appendChild(w)}return{hoverPart:e,hoverElement:d,dispose(){c.dispose()}}}function KLi(l,e){switch(e){case VC.Increase:{const i=l.lookupKeybinding(Jwe);return i?W("increaseVerbosityWithKb","Increase Hover Verbosity ({0})",i.getLabel()):W("increaseVerbosity","Increase Hover Verbosity")}case VC.Decrease:{const i=l.lookupKeybinding(Gwe);return i?W("decreaseVerbosityWithKb","Decrease Hover Verbosity ({0})",i.getLabel()):W("decreaseVerbosity","Decrease Hover Verbosity")}}}function mze(l,e){return!!l[e]}class A9e{constructor(e,i){this.target=e.target,this.isLeftClick=e.event.leftButton,this.isMiddleClick=e.event.middleButton,this.isRightClick=e.event.rightButton,this.hasTriggerModifier=mze(e.event,i.triggerModifier),this.hasSideBySideModifier=mze(e.event,i.triggerSideBySideModifier),this.isNoneOrSingleMouseDown=e.event.detail<=1}}class qwt{constructor(e,i){this.keyCodeIsTriggerKey=e.keyCode===i.triggerKey,this.keyCodeIsSideBySideKey=e.keyCode===i.triggerSideBySideKey,this.hasTriggerModifier=mze(e,i.triggerModifier)}}class A0e{constructor(e,i,n,s){this.triggerKey=e,this.triggerModifier=i,this.triggerSideBySideKey=n,this.triggerSideBySideModifier=s}equals(e){return this.triggerKey===e.triggerKey&&this.triggerModifier===e.triggerModifier&&this.triggerSideBySideKey===e.triggerSideBySideKey&&this.triggerSideBySideModifier===e.triggerSideBySideModifier}}function $wt(l){return l==="altKey"?Hc?new A0e(57,"metaKey",6,"altKey"):new A0e(5,"ctrlKey",6,"altKey"):Hc?new A0e(6,"altKey",57,"metaKey"):new A0e(6,"altKey",5,"ctrlKey")}class Xwe extends xi{constructor(e,i){super(),this._onMouseMoveOrRelevantKeyDown=this._register(new gi),this.onMouseMoveOrRelevantKeyDown=this._onMouseMoveOrRelevantKeyDown.event,this._onExecute=this._register(new gi),this.onExecute=this._onExecute.event,this._onCancel=this._register(new gi),this.onCancel=this._onCancel.event,this._editor=e,this._extractLineNumberFromMouseEvent=(i==null?void 0:i.extractLineNumberFromMouseEvent)??(n=>n.target.position?n.target.position.lineNumber:0),this._opts=$wt(this._editor.getOption(78)),this._lastMouseMoveEvent=null,this._hasTriggerKeyOnMouseDown=!1,this._lineNumberOnMouseDown=0,this._register(this._editor.onDidChangeConfiguration(n=>{if(n.hasChanged(78)){const s=$wt(this._editor.getOption(78));if(this._opts.equals(s))return;this._opts=s,this._lastMouseMoveEvent=null,this._hasTriggerKeyOnMouseDown=!1,this._lineNumberOnMouseDown=0,this._onCancel.fire()}})),this._register(this._editor.onMouseMove(n=>this._onEditorMouseMove(new A9e(n,this._opts)))),this._register(this._editor.onMouseDown(n=>this._onEditorMouseDown(new A9e(n,this._opts)))),this._register(this._editor.onMouseUp(n=>this._onEditorMouseUp(new A9e(n,this._opts)))),this._register(this._editor.onKeyDown(n=>this._onEditorKeyDown(new qwt(n,this._opts)))),this._register(this._editor.onKeyUp(n=>this._onEditorKeyUp(new qwt(n,this._opts)))),this._register(this._editor.onMouseDrag(()=>this._resetHandler())),this._register(this._editor.onDidChangeCursorSelection(n=>this._onDidChangeCursorSelection(n))),this._register(this._editor.onDidChangeModel(n=>this._resetHandler())),this._register(this._editor.onDidChangeModelContent(()=>this._resetHandler())),this._register(this._editor.onDidScrollChange(n=>{(n.scrollTopChanged||n.scrollLeftChanged)&&this._resetHandler()}))}_onDidChangeCursorSelection(e){e.selection&&e.selection.startColumn!==e.selection.endColumn&&this._resetHandler()}_onEditorMouseMove(e){this._lastMouseMoveEvent=e,this._onMouseMoveOrRelevantKeyDown.fire([e,null])}_onEditorMouseDown(e){this._hasTriggerKeyOnMouseDown=e.hasTriggerModifier,this._lineNumberOnMouseDown=this._extractLineNumberFromMouseEvent(e)}_onEditorMouseUp(e){const i=this._extractLineNumberFromMouseEvent(e);this._hasTriggerKeyOnMouseDown&&this._lineNumberOnMouseDown&&this._lineNumberOnMouseDown===i&&this._onExecute.fire(e)}_onEditorKeyDown(e){this._lastMouseMoveEvent&&(e.keyCodeIsTriggerKey||e.keyCodeIsSideBySideKey&&e.hasTriggerModifier)?this._onMouseMoveOrRelevantKeyDown.fire([this._lastMouseMoveEvent,e]):e.hasTriggerModifier&&this._onCancel.fire()}_onEditorKeyUp(e){e.keyCodeIsTriggerKey&&this._onCancel.fire()}_resetHandler(){this._lastMouseMoveEvent=null,this._hasTriggerKeyOnMouseDown=!1,this._onCancel.fire()}}class ELt{constructor(e,i){this.range=e,this.direction=i}}class zGe{constructor(e,i,n){this.hint=e,this.anchor=i,this.provider=n,this._isResolved=!1}with(e){const i=new zGe(this.hint,e.anchor,this.provider);return i._isResolved=this._isResolved,i._currentResolve=this._currentResolve,i}async resolve(e){if(typeof this.provider.resolveInlayHint=="function"){if(this._currentResolve)return await this._currentResolve,e.isCancellationRequested?void 0:this.resolve(e);this._isResolved||(this._currentResolve=this._doResolve(e).finally(()=>this._currentResolve=void 0)),await this._currentResolve}}async _doResolve(e){try{const i=await Promise.resolve(this.provider.resolveInlayHint(this.hint,e));this.hint.tooltip=(i==null?void 0:i.tooltip)??this.hint.tooltip,this.hint.label=(i==null?void 0:i.label)??this.hint.label,this.hint.textEdits=(i==null?void 0:i.textEdits)??this.hint.textEdits,this._isResolved=!0}catch(i){t_(i),this._isResolved=!1}}}const Oq=class Oq{static async create(e,i,n,s){const c=[],d=e.ordered(i).reverse().map(f=>n.map(async m=>{try{const v=await f.provideInlayHints(i,m,s);(v!=null&&v.hints.length||f.onDidChangeInlayHints)&&c.push([v??Oq._emptyInlayHintList,f])}catch(v){t_(v)}}));if(await Promise.all(d.flat()),s.isCancellationRequested||i.isDisposed())throw new vT;return new Oq(n,c,i)}constructor(e,i,n){this._disposables=new wn,this.ranges=e,this.provider=new Set;const s=[];for(const[c,d]of i){this._disposables.add(c),this.provider.add(d);for(const f of c.hints){const m=n.validatePosition(f.position);let v="before";const x=Oq._getRangeAtPosition(n,m);let w;x.getStartPosition().isBefore(m)?(w=nt.fromPositions(x.getStartPosition(),m),v="after"):(w=nt.fromPositions(m,x.getEndPosition()),v="before"),s.push(new zGe(f,new ELt(w,v),d))}}this.items=s.sort((c,d)=>pi.compare(c.hint.position,d.hint.position))}dispose(){this._disposables.dispose()}static _getRangeAtPosition(e,i){const n=i.lineNumber,s=e.getWordAtPosition(i);if(s)return new nt(n,s.startColumn,n,s.endColumn);e.tokenization.tokenizeIfCheap(n);const c=e.tokenization.getLineTokens(n),d=i.column-1,f=c.findTokenIndexAtOffset(d);let m=c.getStartOffset(f),v=c.getEndOffset(f);return v-m===1&&(m===d&&f>1?(m=c.getStartOffset(f-1),v=c.getEndOffset(f-1)):v===d&&f<c.getCount()-1&&(m=c.getStartOffset(f+1),v=c.getEndOffset(f+1))),new nt(n,m+1,n,v+1)}};Oq._emptyInlayHintList=Object.freeze({dispose(){},hints:[]});let Zve=Oq;function XLi(l){return yo.from({scheme:Ma.command,path:l.id,query:l.arguments&&encodeURIComponent(JSON.stringify(l.arguments))}).toString()}var QLi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},h4=function(l,e){return function(i,n){e(i,n,l)}};let kT=class extends Dre{constructor(e,i,n,s,c,d,f,m,v,x,w,I,P){super(e,{...s.getRawOptions(),overflowWidgetsDomNode:s.getOverflowWidgetsDomNode()},n,c,d,f,m,v,x,w,I,P),this._parentEditor=s,this._overwriteOptions=i,super.updateOptions(this._overwriteOptions),this._register(s.onDidChangeConfiguration(O=>this._onParentConfigurationChanged(O)))}getParentEditor(){return this._parentEditor}_onParentConfigurationChanged(e){super.updateOptions(this._parentEditor.getRawOptions()),super.updateOptions(this._overwriteOptions)}updateOptions(e){TSe(this._overwriteOptions,e,!0),super.updateOptions(this._overwriteOptions)}};kT=QLi([h4(4,ho),h4(5,Bl),h4(6,qd),h4(7,Ko),h4(8,im),h4(9,w_),h4(10,tS),h4(11,rh),h4(12,Ts)],kT);const Jwt=new In(new El(0,122,204)),ZLi={showArrow:!0,showFrame:!0,className:"",frameColor:Jwt,arrowColor:Jwt,keepEditorSelection:!1},YLi="vs.editor.contrib.zoneWidget";class ePi{constructor(e,i,n,s,c,d,f,m){this.id="",this.domNode=e,this.afterLineNumber=i,this.afterColumn=n,this.heightInLines=s,this.showInHiddenAreas=f,this.ordinal=m,this._onDomNodeTop=c,this._onComputedHeight=d}onDomNodeTop(e){this._onDomNodeTop(e)}onComputedHeight(e){this._onComputedHeight(e)}}class tPi{constructor(e,i){this._id=e,this._domNode=i}getId(){return this._id}getDomNode(){return this._domNode}getPosition(){return null}}const VCe=class VCe{constructor(e){this._editor=e,this._ruleName=VCe._IdGenerator.nextId(),this._decorations=this._editor.createDecorationsCollection(),this._color=null,this._height=-1}dispose(){this.hide(),TWe(this._ruleName)}set color(e){this._color!==e&&(this._color=e,this._updateStyle())}set height(e){this._height!==e&&(this._height=e,this._updateStyle())}_updateStyle(){TWe(this._ruleName),lye(`.monaco-editor ${this._ruleName}`,`border-style: solid; border-color: transparent; border-bottom-color: ${this._color}; border-width: ${this._height}px; bottom: -${this._height}px !important; margin-left: -${this._height}px; `)}show(e){e.column===1&&(e={lineNumber:e.lineNumber,column:2}),this._decorations.set([{range:nt.fromPositions(e),options:{description:"zone-widget-arrow",className:this._ruleName,stickiness:1}}])}hide(){this._decorations.clear()}};VCe._IdGenerator=new X$e(".arrow-decoration-");let gze=VCe;class iPi{constructor(e,i={}){this._arrow=null,this._overlayWidget=null,this._resizeSash=null,this._viewZone=null,this._disposables=new wn,this.container=null,this._isShowing=!1,this.editor=e,this._positionMarkerId=this.editor.createDecorationsCollection(),this.options=D4(i),TSe(this.options,ZLi,!1),this.domNode=document.createElement("div"),this.options.isAccessible||(this.domNode.setAttribute("aria-hidden","true"),this.domNode.setAttribute("role","presentation")),this._disposables.add(this.editor.onDidLayoutChange(n=>{const s=this._getWidth(n);this.domNode.style.width=s+"px",this.domNode.style.left=this._getLeft(n)+"px",this._onWidth(s)}))}dispose(){this._overlayWidget&&(this.editor.removeOverlayWidget(this._overlayWidget),this._overlayWidget=null),this._viewZone&&this.editor.changeViewZones(e=>{this._viewZone&&e.removeZone(this._viewZone.id),this._viewZone=null}),this._positionMarkerId.clear(),this._disposables.dispose()}create(){this.domNode.classList.add("zone-widget"),this.options.className&&this.domNode.classList.add(this.options.className),this.container=document.createElement("div"),this.container.classList.add("zone-widget-container"),this.domNode.appendChild(this.container),this.options.showArrow&&(this._arrow=new gze(this.editor),this._disposables.add(this._arrow)),this._fillContainer(this.container),this._initSash(),this._applyStyles()}style(e){e.frameColor&&(this.options.frameColor=e.frameColor),e.arrowColor&&(this.options.arrowColor=e.arrowColor),this._applyStyles()}_applyStyles(){if(this.container&&this.options.frameColor){const e=this.options.frameColor.toString();this.container.style.borderTopColor=e,this.container.style.borderBottomColor=e}if(this._arrow&&this.options.arrowColor){const e=this.options.arrowColor.toString();this._arrow.color=e}}_getWidth(e){return e.width-e.minimap.minimapWidth-e.verticalScrollbarWidth}_getLeft(e){return e.minimap.minimapWidth>0&&e.minimap.minimapLeft===0?e.minimap.minimapWidth:0}_onViewZoneTop(e){this.domNode.style.top=e+"px"}_onViewZoneHeight(e){var i;if(this.domNode.style.height=`${e}px`,this.container){const n=e-this._decoratingElementsHeight();this.container.style.height=`${n}px`;const s=this.editor.getLayoutInfo();this._doLayout(n,this._getWidth(s))}(i=this._resizeSash)==null||i.layout()}get position(){const e=this._positionMarkerId.getRange(0);if(e)return e.getStartPosition()}show(e,i){const n=nt.isIRange(e)?nt.lift(e):nt.fromPositions(e);this._isShowing=!0,this._showImpl(n,i),this._isShowing=!1,this._positionMarkerId.set([{range:n,options:qa.EMPTY}])}hide(){var e;this._viewZone&&(this.editor.changeViewZones(i=>{this._viewZone&&i.removeZone(this._viewZone.id)}),this._viewZone=null),this._overlayWidget&&(this.editor.removeOverlayWidget(this._overlayWidget),this._overlayWidget=null),(e=this._arrow)==null||e.hide(),this._positionMarkerId.clear()}_decoratingElementsHeight(){const e=this.editor.getOption(67);let i=0;if(this.options.showArrow){const n=Math.round(e/3);i+=2*n}if(this.options.showFrame){const n=Math.round(e/9);i+=2*n}return i}_showImpl(e,i){const n=e.getStartPosition(),s=this.editor.getLayoutInfo(),c=this._getWidth(s);this.domNode.style.width=`${c}px`,this.domNode.style.left=this._getLeft(s)+"px";const d=document.createElement("div");d.style.overflow="hidden";const f=this.editor.getOption(67);if(!this.options.allowUnlimitedHeight){const I=Math.max(12,this.editor.getLayoutInfo().height/f*.8);i=Math.min(i,I)}let m=0,v=0;if(this._arrow&&this.options.showArrow&&(m=Math.round(f/3),this._arrow.height=m,this._arrow.show(n)),this.options.showFrame&&(v=Math.round(f/9)),this.editor.changeViewZones(I=>{this._viewZone&&I.removeZone(this._viewZone.id),this._overlayWidget&&(this.editor.removeOverlayWidget(this._overlayWidget),this._overlayWidget=null),this.domNode.style.top="-1000px",this._viewZone=new ePi(d,n.lineNumber,n.column,i,P=>this._onViewZoneTop(P),P=>this._onViewZoneHeight(P),this.options.showInHiddenAreas,this.options.ordinal),this._viewZone.id=I.addZone(this._viewZone),this._overlayWidget=new tPi(YLi+this._viewZone.id,this.domNode),this.editor.addOverlayWidget(this._overlayWidget)}),this.container&&this.options.showFrame){const I=this.options.frameWidth?this.options.frameWidth:v;this.container.style.borderTopWidth=I+"px",this.container.style.borderBottomWidth=I+"px"}const x=i*f-this._decoratingElementsHeight();this.container&&(this.container.style.top=m+"px",this.container.style.height=x+"px",this.container.style.overflow="hidden"),this._doLayout(x,c),this.options.keepEditorSelection||this.editor.setSelection(e);const w=this.editor.getModel();if(w){const I=w.validateRange(new nt(e.startLineNumber,1,e.endLineNumber+1,1));this.revealRange(I,I.startLineNumber===w.getLineCount())}}revealRange(e,i){i?this.editor.revealLineNearTop(e.endLineNumber,0):this.editor.revealRange(e,0)}setCssClass(e,i){this.container&&(i&&this.container.classList.remove(i),this.container.classList.add(e))}_onWidth(e){}_doLayout(e,i){}_relayout(e){this._viewZone&&this._viewZone.heightInLines!==e&&this.editor.changeViewZones(i=>{this._viewZone&&(this._viewZone.heightInLines=e,i.layoutZone(this._viewZone.id))})}_initSash(){if(this._resizeSash)return;this._resizeSash=this._disposables.add(new _1(this.domNode,this,{orientation:1})),this.options.isResizeable||(this._resizeSash.state=0);let e;this._disposables.add(this._resizeSash.onDidStart(i=>{this._viewZone&&(e={startY:i.startY,heightInLines:this._viewZone.heightInLines})})),this._disposables.add(this._resizeSash.onDidEnd(()=>{e=void 0})),this._disposables.add(this._resizeSash.onDidChange(i=>{if(e){const n=(i.currentY-e.startY)/this.editor.getOption(67),s=n<0?Math.ceil(n):Math.floor(n),c=e.heightInLines+s;c>5&&c<35&&this._relayout(c)}}))}getHorizontalSashLeft(){return 0}getHorizontalSashTop(){return(this.domNode.style.height===null?0:parseInt(this.domNode.style.height))-this._decoratingElementsHeight()/2}getHorizontalSashWidth(){const e=this.editor.getLayoutInfo();return e.width-e.minimap.minimapWidth}}var ILt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},NLt=function(l,e){return function(i,n){e(i,n,l)}};const LLt=jc("IPeekViewService");nl(LLt,class{constructor(){this._widgets=new Map}addExclusiveWidget(l,e){const i=this._widgets.get(l);i&&(i.listener.dispose(),i.widget.dispose());const n=()=>{const s=this._widgets.get(l);s&&s.widget===e&&(s.listener.dispose(),this._widgets.delete(l))};this._widgets.set(l,{widget:e,listener:e.onDidClose(n)})}},1);var Pb;(function(l){l.inPeekEditor=new rs("inReferenceSearchEditor",!0,W("inReferenceSearchEditor","Whether the current code editor is embedded inside peek")),l.notInPeekEditor=l.inPeekEditor.toNegated()})(Pb||(Pb={}));var _$;let Yve=(_$=class{constructor(e,i){e instanceof kT&&Pb.inPeekEditor.bindTo(i)}dispose(){}},_$.ID="editor.contrib.referenceController",_$);Yve=ILt([NLt(1,Ko)],Yve);Cl(Yve.ID,Yve,0);function nPi(l){const e=l.get(Bl).getFocusedCodeEditor();return e instanceof kT?e.getParentEditor():e}const rPi={headerBackgroundColor:In.white,primaryHeadingColor:In.fromHex("#333333"),secondaryHeadingColor:In.fromHex("#6c6c6cb3")};let ebe=class extends iPi{constructor(e,i,n){super(e,i),this.instantiationService=n,this._onDidClose=new gi,this.onDidClose=this._onDidClose.event,TSe(this.options,rPi,!1)}dispose(){this.disposed||(this.disposed=!0,super.dispose(),this._onDidClose.fire(this))}style(e){const i=this.options;e.headerBackgroundColor&&(i.headerBackgroundColor=e.headerBackgroundColor),e.primaryHeadingColor&&(i.primaryHeadingColor=e.primaryHeadingColor),e.secondaryHeadingColor&&(i.secondaryHeadingColor=e.secondaryHeadingColor),super.style(e)}_applyStyles(){super._applyStyles();const e=this.options;this._headElement&&e.headerBackgroundColor&&(this._headElement.style.backgroundColor=e.headerBackgroundColor.toString()),this._primaryHeading&&e.primaryHeadingColor&&(this._primaryHeading.style.color=e.primaryHeadingColor.toString()),this._secondaryHeading&&e.secondaryHeadingColor&&(this._secondaryHeading.style.color=e.secondaryHeadingColor.toString()),this._bodyElement&&e.frameColor&&(this._bodyElement.style.borderColor=e.frameColor.toString())}_fillContainer(e){this.setCssClass("peekview-widget"),this._headElement=Cr(".head"),this._bodyElement=Cr(".body"),this._fillHead(this._headElement),this._fillBody(this._bodyElement),e.appendChild(this._headElement),e.appendChild(this._bodyElement)}_fillHead(e,i){this._titleElement=Cr(".peekview-title"),this.options.supportOnTitleClick&&(this._titleElement.classList.add("clickable"),ph(this._titleElement,"click",c=>this._onTitleClick(c))),jn(this._headElement,this._titleElement),this._fillTitleIcon(this._titleElement),this._primaryHeading=Cr("span.filename"),this._secondaryHeading=Cr("span.dirname"),this._metaHeading=Cr("span.meta"),jn(this._titleElement,this._primaryHeading,this._secondaryHeading,this._metaHeading);const n=Cr(".peekview-actions");jn(this._headElement,n);const s=this._getActionBarOptions();this._actionbarWidget=new kw(n,s),this._disposables.add(this._actionbarWidget),i||this._actionbarWidget.push(new $C("peekview.close",W("label.close","Close"),zo.asClassName(pr.close),!0,()=>(this.dispose(),Promise.resolve())),{label:!1,icon:!0})}_fillTitleIcon(e){}_getActionBarOptions(){return{actionViewItemProvider:RDt.bind(void 0,this.instantiationService),orientation:0}}_onTitleClick(e){}setTitle(e,i){this._primaryHeading&&this._secondaryHeading&&(this._primaryHeading.innerText=e,this._primaryHeading.setAttribute("title",e),i?this._secondaryHeading.innerText=i:s0(this._secondaryHeading))}setMetaTitle(e){this._metaHeading&&(e?(this._metaHeading.innerText=e,HC(this._metaHeading)):dv(this._metaHeading))}_doLayout(e,i){if(!this._isShowing&&e<0){this.dispose();return}const n=Math.ceil(this.editor.getOption(67)*1.2),s=Math.round(e-(n+2));this._doLayoutHead(n,i),this._doLayoutBody(s,i)}_doLayoutHead(e,i){this._headElement&&(this._headElement.style.height=`${e}px`,this._headElement.style.lineHeight=this._headElement.style.height)}_doLayoutBody(e,i){this._bodyElement&&(this._bodyElement.style.height=`${e}px`)}};ebe=ILt([NLt(2,ho)],ebe);const sPi=It("peekViewTitle.background",{dark:"#252526",light:"#F3F3F3",hcDark:In.black,hcLight:In.white},W("peekViewTitleBackground","Background color of the peek view title area.")),PLt=It("peekViewTitleLabel.foreground",{dark:In.white,light:In.black,hcDark:In.white,hcLight:HL},W("peekViewTitleForeground","Color of the peek view title.")),ALt=It("peekViewTitleDescription.foreground",{dark:"#ccccccb3",light:"#616161",hcDark:"#FFFFFF99",hcLight:"#292929"},W("peekViewTitleInfoForeground","Color of the peek view title info.")),oPi=It("peekView.border",{dark:LL,light:LL,hcDark:bl,hcLight:bl},W("peekViewBorder","Color of the peek view borders and arrow.")),aPi=It("peekViewResult.background",{dark:"#252526",light:"#F3F3F3",hcDark:In.black,hcLight:In.white},W("peekViewResultsBackground","Background color of the peek view result list."));It("peekViewResult.lineForeground",{dark:"#bbbbbb",light:"#646465",hcDark:In.white,hcLight:HL},W("peekViewResultsMatchForeground","Foreground color for line nodes in the peek view result list."));It("peekViewResult.fileForeground",{dark:In.white,light:"#1E1E1E",hcDark:In.white,hcLight:HL},W("peekViewResultsFileForeground","Foreground color for file nodes in the peek view result list."));It("peekViewResult.selectionBackground",{dark:"#3399ff33",light:"#3399ff33",hcDark:null,hcLight:null},W("peekViewResultsSelectionBackground","Background color of the selected entry in the peek view result list."));It("peekViewResult.selectionForeground",{dark:In.white,light:"#6C6C6C",hcDark:In.white,hcLight:HL},W("peekViewResultsSelectionForeground","Foreground color of the selected entry in the peek view result list."));const OLt=It("peekViewEditor.background",{dark:"#001F33",light:"#F2F8FC",hcDark:In.black,hcLight:In.white},W("peekViewEditorBackground","Background color of the peek view editor."));It("peekViewEditorGutter.background",OLt,W("peekViewEditorGutterBackground","Background color of the gutter in the peek view editor."));It("peekViewEditorStickyScroll.background",OLt,W("peekViewEditorStickScrollBackground","Background color of sticky scroll in the peek view editor."));It("peekViewResult.matchHighlightBackground",{dark:"#ea5c004d",light:"#ea5c004d",hcDark:null,hcLight:null},W("peekViewResultsMatchHighlight","Match highlight color in the peek view result list."));It("peekViewEditor.matchHighlightBackground",{dark:"#ff8f0099",light:"#f5d802de",hcDark:null,hcLight:null},W("peekViewEditorMatchHighlight","Match highlight color in the peek view editor."));It("peekViewEditor.matchHighlightBorder",{dark:null,light:null,hcDark:yf,hcLight:yf},W("peekViewEditorMatchHighlightBorder","Match highlight border in the peek view editor."));class AR{constructor(e,i,n,s){this.isProviderFirst=e,this.parent=i,this.link=n,this._rangeCallback=s,this.id=mVe.nextId()}get uri(){return this.link.uri}get range(){return this._range??this.link.targetSelectionRange??this.link.range}set range(e){this._range=e,this._rangeCallback(this)}get ariaMessage(){var i;const e=(i=this.parent.getPreview(this))==null?void 0:i.preview(this.range);return e?W({key:"aria.oneReference.preview",comment:["Placeholders are: 0: filename, 1:line number, 2: column number, 3: preview snippet of source code"]},"{0} in {1} on line {2} at column {3}",e.value,wk(this.uri),this.range.startLineNumber,this.range.startColumn):W("aria.oneReference","in {0} on line {1} at column {2}",wk(this.uri),this.range.startLineNumber,this.range.startColumn)}}class cPi{constructor(e){this._modelReference=e}dispose(){this._modelReference.dispose()}preview(e,i=8){const n=this._modelReference.object.textEditorModel;if(!n)return;const{startLineNumber:s,startColumn:c,endLineNumber:d,endColumn:f}=e,m=n.getWordUntilPosition({lineNumber:s,column:c-i}),v=new nt(s,m.startColumn,s,c),x=new nt(d,f,d,1073741824),w=n.getValueInRange(v).replace(/^\s+/,""),I=n.getValueInRange(e),P=n.getValueInRange(x).replace(/\s+$/,"");return{value:w+I+P,highlight:{start:w.length,end:w.length+I.length}}}}class zre{constructor(e,i){this.parent=e,this.uri=i,this.children=[],this._previews=new vg}dispose(){vd(this._previews.values()),this._previews.clear()}getPreview(e){return this._previews.get(e.uri)}get ariaMessage(){const e=this.children.length;return e===1?W("aria.fileReferences.1","1 symbol in {0}, full path {1}",wk(this.uri),this.uri.fsPath):W("aria.fileReferences.N","{0} symbols in {1}, full path {2}",e,wk(this.uri),this.uri.fsPath)}async resolve(e){if(this._previews.size!==0)return this;for(const i of this.children)if(!this._previews.has(i.uri))try{const n=await e.createModelReference(i.uri);this._previews.set(i.uri,new cPi(n))}catch(n){Pa(n)}return this}}class KC{constructor(e,i){this.groups=[],this.references=[],this._onDidChangeReferenceRange=new gi,this.onDidChangeReferenceRange=this._onDidChangeReferenceRange.event,this._links=e,this._title=i;const[n]=e;e.sort(KC._compareReferences);let s;for(const c of e)if((!s||!vf.isEqual(s.uri,c.uri,!0))&&(s=new zre(this,c.uri),this.groups.push(s)),s.children.length===0||KC._compareReferences(c,s.children[s.children.length-1])!==0){const d=new AR(n===c,s,c,f=>this._onDidChangeReferenceRange.fire(f));this.references.push(d),s.children.push(d)}}dispose(){vd(this.groups),this._onDidChangeReferenceRange.dispose(),this.groups.length=0}clone(){return new KC(this._links,this._title)}get title(){return this._title}get isEmpty(){return this.groups.length===0}get ariaMessage(){return this.isEmpty?W("aria.result.0","No results found"):this.references.length===1?W("aria.result.1","Found 1 symbol in {0}",this.references[0].uri.fsPath):this.groups.length===1?W("aria.result.n1","Found {0} symbols in {1}",this.references.length,this.groups[0].uri.fsPath):W("aria.result.nm","Found {0} symbols in {1} files",this.references.length,this.groups.length)}nextOrPreviousReference(e,i){const{parent:n}=e;let s=n.children.indexOf(e);const c=n.children.length,d=n.parent.groups.length;return d===1||i&&s+1<c||!i&&s>0?(i?s=(s+1)%c:s=(s+c-1)%c,n.children[s]):(s=n.parent.groups.indexOf(n),i?(s=(s+1)%d,n.parent.groups[s].children[0]):(s=(s+d-1)%d,n.parent.groups[s].children[n.parent.groups[s].children.length-1]))}nearestReference(e,i){const n=this.references.map((s,c)=>({idx:c,prefixLen:vR(s.uri.toString(),e.toString()),offsetDist:Math.abs(s.range.startLineNumber-i.lineNumber)*100+Math.abs(s.range.startColumn-i.column)})).sort((s,c)=>s.prefixLen>c.prefixLen?-1:s.prefixLen<c.prefixLen?1:s.offsetDist<c.offsetDist?-1:s.offsetDist>c.offsetDist?1:0)[0];if(n)return this.references[n.idx]}referenceAt(e,i){for(const n of this.references)if(n.uri.toString()===e.toString()&&nt.containsPosition(n.range,i))return n}firstReference(){for(const e of this.references)if(e.isProviderFirst)return e;return this.references[0]}static _compareReferences(e,i){return vf.compare(e.uri,i.uri)||nt.compareRangesUsingStarts(e.range,i.range)}}var Qwe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Zwe=function(l,e){return function(i,n){e(i,n,l)}},yze;let vze=class{constructor(e){this._resolverService=e}hasChildren(e){return e instanceof KC||e instanceof zre}getChildren(e){if(e instanceof KC)return e.groups;if(e instanceof zre)return e.resolve(this._resolverService).then(i=>i.children);throw new Error("bad tree")}};vze=Qwe([Zwe(0,Ob)],vze);class lPi{getHeight(){return 23}getTemplateId(e){return e instanceof zre?tbe.id:ibe.id}}let bze=class{constructor(e){this._keybindingService=e}getKeyboardNavigationLabel(e){var i;if(e instanceof AR){const n=(i=e.parent.getPreview(e))==null?void 0:i.preview(e.range);if(n)return n.value}return wk(e.uri)}};bze=Qwe([Zwe(0,pu)],bze);class uPi{getId(e){return e instanceof AR?e.id:e.uri}}let Cze=class extends xi{constructor(e,i){super(),this._labelService=i;const n=document.createElement("div");n.classList.add("reference-file"),this.file=this._register(new uve(n,{supportHighlights:!0})),this.badge=new pHe(jn(n,Cr(".count")),{},ODt),e.appendChild(n)}set(e,i){const n=lwe(e.uri);this.file.setLabel(this._labelService.getUriBasenameLabel(e.uri),this._labelService.getUriLabel(n,{relative:!0}),{title:this._labelService.getUriLabel(e.uri),matches:i});const s=e.children.length;this.badge.setCount(s),s>1?this.badge.setTitleFormat(W("referencesCount","{0} references",s)):this.badge.setTitleFormat(W("referenceCount","{0} reference",s))}};Cze=Qwe([Zwe(1,F$)],Cze);var B9;let tbe=(B9=class{constructor(e){this._instantiationService=e,this.templateId=yze.id}renderTemplate(e){return this._instantiationService.createInstance(Cze,e)}renderElement(e,i,n){n.set(e.element,bse(e.filterData))}disposeTemplate(e){e.dispose()}},yze=B9,B9.id="FileReferencesRenderer",B9);tbe=yze=Qwe([Zwe(0,ho)],tbe);class dPi extends xi{constructor(e){super(),this.label=this._register(new XM(e))}set(e,i){var s;const n=(s=e.parent.getPreview(e))==null?void 0:s.preview(e.range);if(!n||!n.value)this.label.set(`${wk(e.uri)}:${e.range.startLineNumber+1}:${e.range.startColumn+1}`);else{const{value:c,highlight:d}=n;i&&!BE.isDefault(i)?(this.label.element.classList.toggle("referenceMatch",!1),this.label.set(c,bse(i))):(this.label.element.classList.toggle("referenceMatch",!0),this.label.set(c,[d]))}}}const HCe=class HCe{constructor(){this.templateId=HCe.id}renderTemplate(e){return new dPi(e)}renderElement(e,i,n){n.set(e.element,e.filterData)}disposeTemplate(e){e.dispose()}};HCe.id="OneReferenceRenderer";let ibe=HCe;class fPi{getWidgetAriaLabel(){return W("treeAriaLabel","References")}getAriaLabel(e){return e.ariaMessage}}var hPi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},AU=function(l,e){return function(i,n){e(i,n,l)}};const jCe=class jCe{constructor(e,i){this._editor=e,this._model=i,this._decorations=new Map,this._decorationIgnoreSet=new Set,this._callOnDispose=new wn,this._callOnModelChange=new wn,this._callOnDispose.add(this._editor.onDidChangeModel(()=>this._onModelChanged())),this._onModelChanged()}dispose(){this._callOnModelChange.dispose(),this._callOnDispose.dispose(),this.removeDecorations()}_onModelChanged(){this._callOnModelChange.clear();const e=this._editor.getModel();if(e){for(const i of this._model.references)if(i.uri.toString()===e.uri.toString()){this._addDecorations(i.parent);return}}}_addDecorations(e){if(!this._editor.hasModel())return;this._callOnModelChange.add(this._editor.getModel().onDidChangeDecorations(()=>this._onDecorationChanged()));const i=[],n=[];for(let s=0,c=e.children.length;s<c;s++){const d=e.children[s];this._decorationIgnoreSet.has(d.id)||d.uri.toString()===this._editor.getModel().uri.toString()&&(i.push({range:d.range,options:jCe.DecorationOptions}),n.push(s))}this._editor.changeDecorations(s=>{const c=s.deltaDecorations([],i);for(let d=0;d<c.length;d++)this._decorations.set(c[d],e.children[n[d]])})}_onDecorationChanged(){const e=[],i=this._editor.getModel();if(i){for(const[n,s]of this._decorations){const c=i.getDecorationRange(n);if(!c)continue;let d=!1;if(!nt.equalsRange(c,s.range)){if(nt.spansMultipleLines(c))d=!0;else{const f=s.range.endColumn-s.range.startColumn,m=c.endColumn-c.startColumn;f!==m&&(d=!0)}d?(this._decorationIgnoreSet.add(s.id),e.push(n)):s.range=c}}for(let n=0,s=e.length;n<s;n++)this._decorations.delete(e[n]);this._editor.removeDecorations(e)}}removeDecorations(){this._editor.removeDecorations([...this._decorations.keys()]),this._decorations.clear()}};jCe.DecorationOptions=qa.register({description:"reference-decoration",stickiness:1,className:"reference-decoration"});let Sze=jCe;class _Pi{constructor(){this.ratio=.7,this.heightInLines=18}static fromJSON(e){let i,n;try{const s=JSON.parse(e);i=s.ratio,n=s.heightInLines}catch{}return{ratio:i||.7,heightInLines:n||18}}}class pPi extends wHe{}let wze=class extends ebe{constructor(e,i,n,s,c,d,f,m,v){super(e,{showFrame:!1,showArrow:!0,isResizeable:!0,isAccessible:!0,supportOnTitleClick:!0},d),this._defaultTreeKeyboardSupport=i,this.layoutData=n,this._textModelResolverService=c,this._instantiationService=d,this._peekViewService=f,this._uriLabel=m,this._keybindingService=v,this._disposeOnNewModel=new wn,this._callOnDispose=new wn,this._onDidSelectReference=new gi,this.onDidSelectReference=this._onDidSelectReference.event,this._dim=new Du(0,0),this._isClosing=!1,this._applyTheme(s.getColorTheme()),this._callOnDispose.add(s.onDidColorThemeChange(this._applyTheme.bind(this))),this._peekViewService.addExclusiveWidget(e,this),this.create()}get isClosing(){return this._isClosing}dispose(){this._isClosing=!0,this.setModel(void 0),this._callOnDispose.dispose(),this._disposeOnNewModel.dispose(),vd(this._preview),vd(this._previewNotAvailableMessage),vd(this._tree),vd(this._previewModelReference),this._splitView.dispose(),super.dispose()}_applyTheme(e){const i=e.getColor(oPi)||In.transparent;this.style({arrowColor:i,frameColor:i,headerBackgroundColor:e.getColor(sPi)||In.transparent,primaryHeadingColor:e.getColor(PLt),secondaryHeadingColor:e.getColor(ALt)})}show(e){super.show(e,this.layoutData.heightInLines||18)}focusOnReferenceTree(){this._tree.domFocus()}focusOnPreviewEditor(){this._preview.focus()}isPreviewEditorFocused(){return this._preview.hasTextFocus()}_onTitleClick(e){this._preview&&this._preview.getModel()&&this._onDidSelectReference.fire({element:this._getFocusedReference(),kind:e.ctrlKey||e.metaKey||e.altKey?"side":"open",source:"title"})}_fillBody(e){this.setCssClass("reference-zone-widget"),this._messageContainer=jn(e,Cr("div.messages")),dv(this._messageContainer),this._splitView=new TEt(e,{orientation:1}),this._previewContainer=jn(e,Cr("div.preview.inline"));const i={scrollBeyondLastLine:!1,scrollbar:{verticalScrollbarSize:14,horizontal:"auto",useShadows:!0,verticalHasArrows:!1,horizontalHasArrows:!1,alwaysConsumeMouseWheel:!0},overviewRulerLanes:2,fixedOverflowWidgets:!0,minimap:{enabled:!1}};this._preview=this._instantiationService.createInstance(kT,this._previewContainer,i,{},this.editor),dv(this._previewContainer),this._previewNotAvailableMessage=this._instantiationService.createInstance(LE,W("missingPreviewMessage","no preview available"),bv,LE.DEFAULT_CREATION_OPTIONS,null),this._treeContainer=jn(e,Cr("div.ref-tree.inline"));const n={keyboardSupport:this._defaultTreeKeyboardSupport,accessibilityProvider:new fPi,keyboardNavigationLabelProvider:this._instantiationService.createInstance(bze),identityProvider:new uPi,openOnSingleClick:!0,selectionNavigation:!0,overrideStyles:{listBackground:aPi}};this._defaultTreeKeyboardSupport&&this._callOnDispose.add(ph(this._treeContainer,"keydown",c=>{c.equals(9)&&(this._keybindingService.dispatchEvent(c,c.target),c.stopPropagation())},!0)),this._tree=this._instantiationService.createInstance(pPi,"ReferencesWidget",this._treeContainer,new lPi,[this._instantiationService.createInstance(tbe),this._instantiationService.createInstance(ibe)],this._instantiationService.createInstance(vze),n),this._splitView.addView({onDidChange:Tr.None,element:this._previewContainer,minimumSize:200,maximumSize:Number.MAX_VALUE,layout:c=>{this._preview.layout({height:this._dim.height,width:c})}},nve.Distribute),this._splitView.addView({onDidChange:Tr.None,element:this._treeContainer,minimumSize:100,maximumSize:Number.MAX_VALUE,layout:c=>{this._treeContainer.style.height=`${this._dim.height}px`,this._treeContainer.style.width=`${c}px`,this._tree.layout(this._dim.height,c)}},nve.Distribute),this._disposables.add(this._splitView.onDidSashChange(()=>{this._dim.width&&(this.layoutData.ratio=this._splitView.getViewSize(0)/this._dim.width)},void 0));const s=(c,d)=>{c instanceof AR&&(d==="show"&&this._revealReference(c,!1),this._onDidSelectReference.fire({element:c,kind:d,source:"tree"}))};this._disposables.add(this._tree.onDidOpen(c=>{c.sideBySide?s(c.element,"side"):c.editorOptions.pinned?s(c.element,"goto"):s(c.element,"show")})),dv(this._treeContainer)}_onWidth(e){this._dim&&this._doLayoutBody(this._dim.height,e)}_doLayoutBody(e,i){super._doLayoutBody(e,i),this._dim=new Du(i,e),this.layoutData.heightInLines=this._viewZone?this._viewZone.heightInLines:this.layoutData.heightInLines,this._splitView.layout(i),this._splitView.resizeView(0,i*this.layoutData.ratio)}setSelection(e){return this._revealReference(e,!0).then(()=>{this._model&&(this._tree.setSelection([e]),this._tree.setFocus([e]))})}setModel(e){return this._disposeOnNewModel.clear(),this._model=e,this._model?this._onNewModel():Promise.resolve()}_onNewModel(){return this._model?this._model.isEmpty?(this.setTitle(""),this._messageContainer.innerText=W("noResults","No results"),HC(this._messageContainer),Promise.resolve(void 0)):(dv(this._messageContainer),this._decorationsManager=new Sze(this._preview,this._model),this._disposeOnNewModel.add(this._decorationsManager),this._disposeOnNewModel.add(this._model.onDidChangeReferenceRange(e=>this._tree.rerender(e))),this._disposeOnNewModel.add(this._preview.onMouseDown(e=>{const{event:i,target:n}=e;if(i.detail!==2)return;const s=this._getFocusedReference();s&&this._onDidSelectReference.fire({element:{uri:s.uri,range:n.range},kind:i.ctrlKey||i.metaKey||i.altKey?"side":"open",source:"editor"})})),this.container.classList.add("results-loaded"),HC(this._treeContainer),HC(this._previewContainer),this._splitView.layout(this._dim.width),this.focusOnReferenceTree(),this._tree.setInput(this._model.groups.length===1?this._model.groups[0]:this._model)):Promise.resolve(void 0)}_getFocusedReference(){const[e]=this._tree.getFocus();if(e instanceof AR)return e;if(e instanceof zre&&e.children.length>0)return e.children[0]}async revealReference(e){await this._revealReference(e,!1),this._onDidSelectReference.fire({element:e,kind:"goto",source:"tree"})}async _revealReference(e,i){if(this._revealedReference===e)return;this._revealedReference=e,e.uri.scheme!==Ma.inMemory?this.setTitle(xgi(e.uri),this._uriLabel.getUriLabel(lwe(e.uri))):this.setTitle(W("peekView.alternateTitle","References"));const n=this._textModelResolverService.createModelReference(e.uri);this._tree.getInput()===e.parent?this._tree.reveal(e):(i&&this._tree.reveal(e.parent),await this._tree.expand(e.parent),this._tree.reveal(e));const s=await n;if(!this._model){s.dispose();return}vd(this._previewModelReference);const c=s.object;if(c){const d=this._preview.getModel()===c.textEditorModel?0:1,f=nt.lift(e.range).collapseToStart();this._previewModelReference=s,this._preview.setModel(c.textEditorModel),this._preview.setSelection(f),this._preview.revealRangeInCenter(f,d)}else this._preview.setModel(this._previewNotAvailableMessage),s.dispose()}};wze=hPi([AU(3,im),AU(4,Ob),AU(5,ho),AU(6,LLt),AU(7,F$),AU(8,pu)],wze);var mPi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},OU=function(l,e){return function(i,n){e(i,n,l)}},A1e;const dW=new rs("referenceSearchVisible",!1,W("referenceSearchVisible","Whether reference peek is visible, like 'Peek References' or 'Peek Definition'"));var W9;let UB=(W9=class{static get(e){return e.getContribution(A1e.ID)}constructor(e,i,n,s,c,d,f,m){this._defaultTreeKeyboardSupport=e,this._editor=i,this._editorService=s,this._notificationService=c,this._instantiationService=d,this._storageService=f,this._configurationService=m,this._disposables=new wn,this._requestIdPool=0,this._ignoreModelChangeEvent=!1,this._referenceSearchVisible=dW.bindTo(n)}dispose(){var e,i;this._referenceSearchVisible.reset(),this._disposables.dispose(),(e=this._widget)==null||e.dispose(),(i=this._model)==null||i.dispose(),this._widget=void 0,this._model=void 0}toggleWidget(e,i,n){let s;if(this._widget&&(s=this._widget.position),this.closeWidget(),s&&e.containsPosition(s))return;this._peekMode=n,this._referenceSearchVisible.set(!0),this._disposables.add(this._editor.onDidChangeModelLanguage(()=>{this.closeWidget()})),this._disposables.add(this._editor.onDidChangeModel(()=>{this._ignoreModelChangeEvent||this.closeWidget()}));const c="peekViewLayout",d=_Pi.fromJSON(this._storageService.get(c,0,"{}"));this._widget=this._instantiationService.createInstance(wze,this._editor,this._defaultTreeKeyboardSupport,d),this._widget.setTitle(W("labelLoading","Loading...")),this._widget.show(e),this._disposables.add(this._widget.onDidClose(()=>{i.cancel(),this._widget?(this._storageService.store(c,JSON.stringify(this._widget.layoutData),0,1),this._widget.isClosing||this.closeWidget(),this._widget=void 0):this.closeWidget()})),this._disposables.add(this._widget.onDidSelectReference(m=>{const{element:v,kind:x}=m;if(v)switch(x){case"open":(m.source!=="editor"||!this._configurationService.getValue("editor.stablePeek"))&&this.openReference(v,!1,!1);break;case"side":this.openReference(v,!0,!1);break;case"goto":n?this._gotoReference(v,!0):this.openReference(v,!1,!0);break}}));const f=++this._requestIdPool;i.then(m=>{var v;if(f!==this._requestIdPool||!this._widget){m.dispose();return}return(v=this._model)==null||v.dispose(),this._model=m,this._widget.setModel(this._model).then(()=>{if(this._widget&&this._model&&this._editor.hasModel()){this._model.isEmpty?this._widget.setMetaTitle(""):this._widget.setMetaTitle(W("metaTitle.N","{0} ({1})",this._model.title,this._model.references.length));const x=this._editor.getModel().uri,w=new pi(e.startLineNumber,e.startColumn),I=this._model.nearestReference(x,w);if(I)return this._widget.setSelection(I).then(()=>{this._widget&&this._editor.getOption(87)==="editor"&&this._widget.focusOnPreviewEditor()})}})},m=>{this._notificationService.error(m)})}changeFocusBetweenPreviewAndReferences(){this._widget&&(this._widget.isPreviewEditorFocused()?this._widget.focusOnReferenceTree():this._widget.focusOnPreviewEditor())}async goToNextOrPreviousReference(e){if(!this._editor.hasModel()||!this._model||!this._widget)return;const i=this._widget.position;if(!i)return;const n=this._model.nearestReference(this._editor.getModel().uri,i);if(!n)return;const s=this._model.nextOrPreviousReference(n,e),c=this._editor.hasTextFocus(),d=this._widget.isPreviewEditorFocused();await this._widget.setSelection(s),await this._gotoReference(s,!1),c?this._editor.focus():this._widget&&d&&this._widget.focusOnPreviewEditor()}async revealReference(e){!this._editor.hasModel()||!this._model||!this._widget||await this._widget.revealReference(e)}closeWidget(e=!0){var i,n;(i=this._widget)==null||i.dispose(),(n=this._model)==null||n.dispose(),this._referenceSearchVisible.reset(),this._disposables.clear(),this._widget=void 0,this._model=void 0,e&&this._editor.focus(),this._requestIdPool+=1}_gotoReference(e,i){var s;(s=this._widget)==null||s.hide(),this._ignoreModelChangeEvent=!0;const n=nt.lift(e.range).collapseToStart();return this._editorService.openCodeEditor({resource:e.uri,options:{selection:n,selectionSource:"code.jump",pinned:i}},this._editor).then(c=>{if(this._ignoreModelChangeEvent=!1,!c||!this._widget){this.closeWidget();return}if(this._editor===c)this._widget.show(n),this._widget.focusOnReferenceTree();else{const d=A1e.get(c),f=this._model.clone();this.closeWidget(),c.focus(),d==null||d.toggleWidget(n,Om(m=>Promise.resolve(f)),this._peekMode??!1)}},c=>{this._ignoreModelChangeEvent=!1,Pa(c)})}openReference(e,i,n){i||this.closeWidget();const{uri:s,range:c}=e;this._editorService.openCodeEditor({resource:s,options:{selection:c,selectionSource:"code.jump",pinned:n}},this._editor,i)}},A1e=W9,W9.ID="editor.contrib.referencesController",W9);UB=A1e=mPi([OU(2,Ko),OU(3,Bl),OU(4,w_),OU(5,ho),OU(6,ET),OU(7,Cc)],UB);function fW(l,e){const i=nPi(l);if(!i)return;const n=UB.get(i);n&&e(n)}wv.registerCommandAndKeybindingRule({id:"togglePeekWidgetFocus",weight:100,primary:pp(2089,60),when:kn.or(dW,Pb.inPeekEditor),handler(l){fW(l,e=>{e.changeFocusBetweenPreviewAndReferences()})}});wv.registerCommandAndKeybindingRule({id:"goToNextReference",weight:90,primary:62,secondary:[70],when:kn.or(dW,Pb.inPeekEditor),handler(l){fW(l,e=>{e.goToNextOrPreviousReference(!0)})}});wv.registerCommandAndKeybindingRule({id:"goToPreviousReference",weight:90,primary:1086,secondary:[1094],when:kn.or(dW,Pb.inPeekEditor),handler(l){fW(l,e=>{e.goToNextOrPreviousReference(!1)})}});fl.registerCommandAlias("goToNextReferenceFromEmbeddedEditor","goToNextReference");fl.registerCommandAlias("goToPreviousReferenceFromEmbeddedEditor","goToPreviousReference");fl.registerCommandAlias("closeReferenceSearchEditor","closeReferenceSearch");fl.registerCommand("closeReferenceSearch",l=>fW(l,e=>e.closeWidget()));wv.registerKeybindingRule({id:"closeReferenceSearch",weight:-1,primary:9,secondary:[1033],when:kn.and(Pb.inPeekEditor,kn.not("config.editor.stablePeek"))});wv.registerKeybindingRule({id:"closeReferenceSearch",weight:250,primary:9,secondary:[1033],when:kn.and(dW,kn.not("config.editor.stablePeek"),kn.or(Tt.editorTextFocus,REt.negate()))});wv.registerCommandAndKeybindingRule({id:"revealReference",weight:200,primary:3,mac:{primary:3,secondary:[2066]},when:kn.and(dW,WEt,AJe.negate(),OJe.negate()),handler(l){var n;const i=(n=l.get(Ik).lastFocusedList)==null?void 0:n.getFocus();Array.isArray(i)&&i[0]instanceof AR&&fW(l,s=>s.revealReference(i[0]))}});wv.registerCommandAndKeybindingRule({id:"openReferenceToSide",weight:100,primary:2051,mac:{primary:259},when:kn.and(dW,WEt,AJe.negate(),OJe.negate()),handler(l){var n;const i=(n=l.get(Ik).lastFocusedList)==null?void 0:n.getFocus();Array.isArray(i)&&i[0]instanceof AR&&fW(l,s=>s.openReference(i[0],!0,!0))}});fl.registerCommand("openReference",l=>{var n;const i=(n=l.get(Ik).lastFocusedList)==null?void 0:n.getFocus();Array.isArray(i)&&i[0]instanceof AR&&fW(l,s=>s.openReference(i[0],!1,!0))});var MLt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},fie=function(l,e){return function(i,n){e(i,n,l)}};const UGe=new rs("hasSymbols",!1,W("hasSymbols","Whether there are symbol locations that can be navigated via keyboard-only.")),Ywe=jc("ISymbolNavigationService");let xze=class{constructor(e,i,n,s){this._editorService=i,this._notificationService=n,this._keybindingService=s,this._currentModel=void 0,this._currentIdx=-1,this._ignoreEditorChange=!1,this._ctxHasSymbols=UGe.bindTo(e)}reset(){var e,i;this._ctxHasSymbols.reset(),(e=this._currentState)==null||e.dispose(),(i=this._currentMessage)==null||i.dispose(),this._currentModel=void 0,this._currentIdx=-1}put(e){const i=e.parent.parent;if(i.references.length<=1){this.reset();return}this._currentModel=i,this._currentIdx=i.references.indexOf(e),this._ctxHasSymbols.set(!0),this._showMessage();const n=new kze(this._editorService),s=n.onDidChange(c=>{if(this._ignoreEditorChange)return;const d=this._editorService.getActiveCodeEditor();if(!d)return;const f=d.getModel(),m=d.getPosition();if(!f||!m)return;let v=!1,x=!1;for(const w of i.references)if(ire(w.uri,f.uri))v=!0,x=x||nt.containsPosition(w.range,m);else if(v)break;(!v||!x)&&this.reset()});this._currentState=gT(n,s)}revealNext(e){if(!this._currentModel)return Promise.resolve();this._currentIdx+=1,this._currentIdx%=this._currentModel.references.length;const i=this._currentModel.references[this._currentIdx];return this._showMessage(),this._ignoreEditorChange=!0,this._editorService.openCodeEditor({resource:i.uri,options:{selection:nt.collapseToStart(i.range),selectionRevealType:3}},e).finally(()=>{this._ignoreEditorChange=!1})}_showMessage(){var n;(n=this._currentMessage)==null||n.dispose();const e=this._keybindingService.lookupKeybinding("editor.gotoNextSymbolFromResult"),i=e?W("location.kb","Symbol {0} of {1}, {2} for next",this._currentIdx+1,this._currentModel.references.length,e.getLabel()):W("location","Symbol {0} of {1}",this._currentIdx+1,this._currentModel.references.length);this._currentMessage=this._notificationService.status(i)}};xze=MLt([fie(0,Ko),fie(1,Bl),fie(2,w_),fie(3,pu)],xze);nl(Ywe,xze,1);$r(new class extends tm{constructor(){super({id:"editor.gotoNextSymbolFromResult",precondition:UGe,kbOpts:{weight:100,primary:70}})}runEditorCommand(l,e){return l.get(Ywe).revealNext(e)}});wv.registerCommandAndKeybindingRule({id:"editor.gotoNextSymbolFromResult.cancel",weight:100,when:UGe,primary:9,handler(l){l.get(Ywe).reset()}});let kze=class{constructor(e){this._listener=new Map,this._disposables=new wn,this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this._disposables.add(e.onCodeEditorRemove(this._onDidRemoveEditor,this)),this._disposables.add(e.onCodeEditorAdd(this._onDidAddEditor,this)),e.listCodeEditors().forEach(this._onDidAddEditor,this)}dispose(){this._disposables.dispose(),this._onDidChange.dispose(),vd(this._listener.values())}_onDidAddEditor(e){this._listener.set(e,gT(e.onDidChangeCursorPosition(i=>this._onDidChange.fire({editor:e})),e.onDidChangeModelContent(i=>this._onDidChange.fire({editor:e}))))}_onDidRemoveEditor(e){var i;(i=this._listener.get(e))==null||i.dispose(),this._listener.delete(e)}};kze=MLt([fie(0,Bl)],kze);function Tze(l,e){return e.uri.scheme===l.uri.scheme?!0:!SWe(e.uri,Ma.walkThroughSnippet,Ma.vscodeChatCodeBlock,Ma.vscodeChatCodeCompareBlock)}async function zse(l,e,i,n,s){const d=i.ordered(l,n).map(m=>Promise.resolve(s(m,l,e)).then(void 0,v=>{t_(v)})),f=await Promise.all(d);return yT(f.flat()).filter(m=>Tze(l,m))}function Use(l,e,i,n,s){return zse(e,i,l,n,(c,d,f)=>c.provideDefinition(d,f,s))}function qGe(l,e,i,n,s){return zse(e,i,l,n,(c,d,f)=>c.provideDeclaration(d,f,s))}function $Ge(l,e,i,n,s){return zse(e,i,l,n,(c,d,f)=>c.provideImplementation(d,f,s))}function JGe(l,e,i,n,s){return zse(e,i,l,n,(c,d,f)=>c.provideTypeDefinition(d,f,s))}function qse(l,e,i,n,s,c){return zse(e,i,l,s,async(d,f,m)=>{var w,I;const v=(w=await d.provideReferences(f,m,{includeDeclaration:!0},c))==null?void 0:w.filter(P=>Tze(f,P));if(!n||!v||v.length!==2)return v;const x=(I=await d.provideReferences(f,m,{includeDeclaration:!1},c))==null?void 0:I.filter(P=>Tze(f,P));return x&&x.length===1?x:v})}async function qL(l){const e=await l(),i=new KC(e,""),n=i.references.map(s=>s.link);return i.dispose(),n}Mb("_executeDefinitionProvider",(l,e,i)=>{const n=l.get(Ts),s=Use(n.definitionProvider,e,i,!1,cc.None);return qL(()=>s)});Mb("_executeDefinitionProvider_recursive",(l,e,i)=>{const n=l.get(Ts),s=Use(n.definitionProvider,e,i,!0,cc.None);return qL(()=>s)});Mb("_executeTypeDefinitionProvider",(l,e,i)=>{const n=l.get(Ts),s=JGe(n.typeDefinitionProvider,e,i,!1,cc.None);return qL(()=>s)});Mb("_executeTypeDefinitionProvider_recursive",(l,e,i)=>{const n=l.get(Ts),s=JGe(n.typeDefinitionProvider,e,i,!0,cc.None);return qL(()=>s)});Mb("_executeDeclarationProvider",(l,e,i)=>{const n=l.get(Ts),s=qGe(n.declarationProvider,e,i,!1,cc.None);return qL(()=>s)});Mb("_executeDeclarationProvider_recursive",(l,e,i)=>{const n=l.get(Ts),s=qGe(n.declarationProvider,e,i,!0,cc.None);return qL(()=>s)});Mb("_executeReferenceProvider",(l,e,i)=>{const n=l.get(Ts),s=qse(n.referenceProvider,e,i,!1,!1,cc.None);return qL(()=>s)});Mb("_executeReferenceProvider_recursive",(l,e,i)=>{const n=l.get(Ts),s=qse(n.referenceProvider,e,i,!1,!0,cc.None);return qL(()=>s)});Mb("_executeImplementationProvider",(l,e,i)=>{const n=l.get(Ts),s=$Ge(n.implementationProvider,e,i,!1,cc.None);return qL(()=>s)});Mb("_executeImplementationProvider_recursive",(l,e,i)=>{const n=l.get(Ts),s=$Ge(n.implementationProvider,e,i,!0,cc.None);return qL(()=>s)});yg.appendMenuItem(As.EditorContext,{submenu:As.EditorContextPeek,title:W("peek.submenu","Peek"),group:"navigation",order:100});class Y${static is(e){return!e||typeof e!="object"?!1:!!(e instanceof Y$||pi.isIPosition(e.position)&&e.model)}constructor(e,i){this.model=e,this.position=i}}const sT=class sT extends XE{static all(){return sT._allSymbolNavigationCommands.values()}static _patchConfig(e){const i={...e,f1:!0};if(i.menu)for(const n of dl.wrap(i.menu))(n.id===As.EditorContext||n.id===As.EditorContextPeek)&&(n.when=kn.and(e.precondition,n.when));return i}constructor(e,i){super(sT._patchConfig(i)),this.configuration=e,sT._allSymbolNavigationCommands.set(i.id,this)}runEditorCommand(e,i,n,s){if(!i.hasModel())return Promise.resolve(void 0);const c=e.get(w_),d=e.get(Bl),f=e.get(WR),m=e.get(Ywe),v=e.get(Ts),x=e.get(ho),w=i.getModel(),I=i.getPosition(),P=Y$.is(n)?n:new Y$(w,I),O=new LR(i,5),z=_se(this._getLocationModel(v,P.model,P.position,O.token),O.token).then(async J=>{var me;if(!J||O.token.isCancellationRequested)return;xv(J.ariaMessage);let Y;if(J.referenceAt(w.uri,I)){const ye=this._getAlternativeCommand(i);!sT._activeAlternativeCommands.has(ye)&&sT._allSymbolNavigationCommands.has(ye)&&(Y=sT._allSymbolNavigationCommands.get(ye))}const ae=J.references.length;if(ae===0){if(!this.configuration.muteMessage){const ye=w.getWordAtPosition(I);(me=GC.get(i))==null||me.showMessage(this._getNoResultFoundMessage(ye),I)}}else if(ae===1&&Y)sT._activeAlternativeCommands.add(this.desc.id),x.invokeFunction(ye=>Y.runEditorCommand(ye,i,n,s).finally(()=>{sT._activeAlternativeCommands.delete(this.desc.id)}));else return this._onResult(d,m,i,J,s)},J=>{c.error(J)}).finally(()=>{O.dispose()});return f.showWhile(z,250),z}async _onResult(e,i,n,s,c){const d=this._getGoToPreference(n);if(!(n instanceof kT)&&(this.configuration.openInPeek||d==="peek"&&s.references.length>1))this._openInPeek(n,s,c);else{const f=s.firstReference(),m=s.references.length>1&&d==="gotoAndPeek",v=await this._openReference(n,e,f,this.configuration.openToSide,!m);m&&v?this._openInPeek(v,s,c):s.dispose(),d==="goto"&&i.put(f)}}async _openReference(e,i,n,s,c){let d;if(Rli(n)&&(d=n.targetSelectionRange),d||(d=n.range),!d)return;const f=await i.openCodeEditor({resource:n.uri,options:{selection:nt.collapseToStart(d),selectionRevealType:3,selectionSource:"code.jump"}},e,s);if(f){if(c){const m=f.getModel(),v=f.createDecorationsCollection([{range:d,options:{description:"symbol-navigate-action-highlight",className:"symbolHighlight"}}]);setTimeout(()=>{f.getModel()===m&&v.clear()},350)}return f}}_openInPeek(e,i,n){const s=UB.get(e);s&&e.hasModel()?s.toggleWidget(n??e.getSelection(),Om(c=>Promise.resolve(i)),this.configuration.openInPeek):i.dispose()}};sT._allSymbolNavigationCommands=new Map,sT._activeAlternativeCommands=new Set;let p6=sT;class $se extends p6{async _getLocationModel(e,i,n,s){return new KC(await Use(e.definitionProvider,i,n,!1,s),W("def.title","Definitions"))}_getNoResultFoundMessage(e){return e&&e.word?W("noResultWord","No definition found for '{0}'",e.word):W("generic.noResults","No definition found")}_getAlternativeCommand(e){return e.getOption(58).alternativeDefinitionCommand}_getGoToPreference(e){return e.getOption(58).multipleDefinitions}}var rR;Nd((rR=class extends $se{constructor(){super({openToSide:!1,openInPeek:!1,muteMessage:!1},{id:rR.id,title:{...aa("actions.goToDecl.label","Go to Definition"),mnemonicTitle:W({key:"miGotoDefinition",comment:["&& denotes a mnemonic"]},"Go to &&Definition")},precondition:Tt.hasDefinitionProvider,keybinding:[{when:Tt.editorTextFocus,primary:70,weight:100},{when:kn.and(Tt.editorTextFocus,OEt),primary:2118,weight:100}],menu:[{id:As.EditorContext,group:"navigation",order:1.1},{id:As.MenubarGoMenu,precondition:null,group:"4_symbol_nav",order:2}]}),fl.registerCommandAlias("editor.action.goToDeclaration",rR.id)}},rR.id="editor.action.revealDefinition",rR));var sR;Nd((sR=class extends $se{constructor(){super({openToSide:!0,openInPeek:!1,muteMessage:!1},{id:sR.id,title:aa("actions.goToDeclToSide.label","Open Definition to the Side"),precondition:kn.and(Tt.hasDefinitionProvider,Tt.isInEmbeddedEditor.toNegated()),keybinding:[{when:Tt.editorTextFocus,primary:pp(2089,70),weight:100},{when:kn.and(Tt.editorTextFocus,OEt),primary:pp(2089,2118),weight:100}]}),fl.registerCommandAlias("editor.action.openDeclarationToTheSide",sR.id)}},sR.id="editor.action.revealDefinitionAside",sR));var oR;Nd((oR=class extends $se{constructor(){super({openToSide:!1,openInPeek:!0,muteMessage:!1},{id:oR.id,title:aa("actions.previewDecl.label","Peek Definition"),precondition:kn.and(Tt.hasDefinitionProvider,Pb.notInPeekEditor,Tt.isInEmbeddedEditor.toNegated()),keybinding:{when:Tt.editorTextFocus,primary:582,linux:{primary:3140},weight:100},menu:{id:As.EditorContextPeek,group:"peek",order:2}}),fl.registerCommandAlias("editor.action.previewDeclaration",oR.id)}},oR.id="editor.action.peekDefinition",oR));class RLt extends p6{async _getLocationModel(e,i,n,s){return new KC(await qGe(e.declarationProvider,i,n,!1,s),W("decl.title","Declarations"))}_getNoResultFoundMessage(e){return e&&e.word?W("decl.noResultWord","No declaration found for '{0}'",e.word):W("decl.generic.noResults","No declaration found")}_getAlternativeCommand(e){return e.getOption(58).alternativeDeclarationCommand}_getGoToPreference(e){return e.getOption(58).multipleDeclarations}}var V9;Nd((V9=class extends RLt{constructor(){super({openToSide:!1,openInPeek:!1,muteMessage:!1},{id:V9.id,title:{...aa("actions.goToDeclaration.label","Go to Declaration"),mnemonicTitle:W({key:"miGotoDeclaration",comment:["&& denotes a mnemonic"]},"Go to &&Declaration")},precondition:kn.and(Tt.hasDeclarationProvider,Tt.isInEmbeddedEditor.toNegated()),menu:[{id:As.EditorContext,group:"navigation",order:1.3},{id:As.MenubarGoMenu,precondition:null,group:"4_symbol_nav",order:3}]})}_getNoResultFoundMessage(e){return e&&e.word?W("decl.noResultWord","No declaration found for '{0}'",e.word):W("decl.generic.noResults","No declaration found")}},V9.id="editor.action.revealDeclaration",V9));Nd(class extends RLt{constructor(){super({openToSide:!1,openInPeek:!0,muteMessage:!1},{id:"editor.action.peekDeclaration",title:aa("actions.peekDecl.label","Peek Declaration"),precondition:kn.and(Tt.hasDeclarationProvider,Pb.notInPeekEditor,Tt.isInEmbeddedEditor.toNegated()),menu:{id:As.EditorContextPeek,group:"peek",order:3}})}});class FLt extends p6{async _getLocationModel(e,i,n,s){return new KC(await JGe(e.typeDefinitionProvider,i,n,!1,s),W("typedef.title","Type Definitions"))}_getNoResultFoundMessage(e){return e&&e.word?W("goToTypeDefinition.noResultWord","No type definition found for '{0}'",e.word):W("goToTypeDefinition.generic.noResults","No type definition found")}_getAlternativeCommand(e){return e.getOption(58).alternativeTypeDefinitionCommand}_getGoToPreference(e){return e.getOption(58).multipleTypeDefinitions}}var H9;Nd((H9=class extends FLt{constructor(){super({openToSide:!1,openInPeek:!1,muteMessage:!1},{id:H9.ID,title:{...aa("actions.goToTypeDefinition.label","Go to Type Definition"),mnemonicTitle:W({key:"miGotoTypeDefinition",comment:["&& denotes a mnemonic"]},"Go to &&Type Definition")},precondition:Tt.hasTypeDefinitionProvider,keybinding:{when:Tt.editorTextFocus,primary:0,weight:100},menu:[{id:As.EditorContext,group:"navigation",order:1.4},{id:As.MenubarGoMenu,precondition:null,group:"4_symbol_nav",order:3}]})}},H9.ID="editor.action.goToTypeDefinition",H9));var j9;Nd((j9=class extends FLt{constructor(){super({openToSide:!1,openInPeek:!0,muteMessage:!1},{id:j9.ID,title:aa("actions.peekTypeDefinition.label","Peek Type Definition"),precondition:kn.and(Tt.hasTypeDefinitionProvider,Pb.notInPeekEditor,Tt.isInEmbeddedEditor.toNegated()),menu:{id:As.EditorContextPeek,group:"peek",order:4}})}},j9.ID="editor.action.peekTypeDefinition",j9));class BLt extends p6{async _getLocationModel(e,i,n,s){return new KC(await $Ge(e.implementationProvider,i,n,!1,s),W("impl.title","Implementations"))}_getNoResultFoundMessage(e){return e&&e.word?W("goToImplementation.noResultWord","No implementation found for '{0}'",e.word):W("goToImplementation.generic.noResults","No implementation found")}_getAlternativeCommand(e){return e.getOption(58).alternativeImplementationCommand}_getGoToPreference(e){return e.getOption(58).multipleImplementations}}var z9;Nd((z9=class extends BLt{constructor(){super({openToSide:!1,openInPeek:!1,muteMessage:!1},{id:z9.ID,title:{...aa("actions.goToImplementation.label","Go to Implementations"),mnemonicTitle:W({key:"miGotoImplementation",comment:["&& denotes a mnemonic"]},"Go to &&Implementations")},precondition:Tt.hasImplementationProvider,keybinding:{when:Tt.editorTextFocus,primary:2118,weight:100},menu:[{id:As.EditorContext,group:"navigation",order:1.45},{id:As.MenubarGoMenu,precondition:null,group:"4_symbol_nav",order:4}]})}},z9.ID="editor.action.goToImplementation",z9));var U9;Nd((U9=class extends BLt{constructor(){super({openToSide:!1,openInPeek:!0,muteMessage:!1},{id:U9.ID,title:aa("actions.peekImplementation.label","Peek Implementations"),precondition:kn.and(Tt.hasImplementationProvider,Pb.notInPeekEditor,Tt.isInEmbeddedEditor.toNegated()),keybinding:{when:Tt.editorTextFocus,primary:3142,weight:100},menu:{id:As.EditorContextPeek,group:"peek",order:5}})}},U9.ID="editor.action.peekImplementation",U9));class WLt extends p6{_getNoResultFoundMessage(e){return e?W("references.no","No references found for '{0}'",e.word):W("references.noGeneric","No references found")}_getAlternativeCommand(e){return e.getOption(58).alternativeReferenceCommand}_getGoToPreference(e){return e.getOption(58).multipleReferences}}Nd(class extends WLt{constructor(){super({openToSide:!1,openInPeek:!1,muteMessage:!1},{id:"editor.action.goToReferences",title:{...aa("goToReferences.label","Go to References"),mnemonicTitle:W({key:"miGotoReference",comment:["&& denotes a mnemonic"]},"Go to &&References")},precondition:kn.and(Tt.hasReferenceProvider,Pb.notInPeekEditor,Tt.isInEmbeddedEditor.toNegated()),keybinding:{when:Tt.editorTextFocus,primary:1094,weight:100},menu:[{id:As.EditorContext,group:"navigation",order:1.45},{id:As.MenubarGoMenu,precondition:null,group:"4_symbol_nav",order:5}]})}async _getLocationModel(e,i,n,s){return new KC(await qse(e.referenceProvider,i,n,!0,!1,s),W("ref.title","References"))}});Nd(class extends WLt{constructor(){super({openToSide:!1,openInPeek:!0,muteMessage:!1},{id:"editor.action.referenceSearch.trigger",title:aa("references.action.label","Peek References"),precondition:kn.and(Tt.hasReferenceProvider,Pb.notInPeekEditor,Tt.isInEmbeddedEditor.toNegated()),menu:{id:As.EditorContextPeek,group:"peek",order:6}})}async _getLocationModel(e,i,n,s){return new KC(await qse(e.referenceProvider,i,n,!1,!1,s),W("ref.title","References"))}});class gPi extends p6{constructor(e,i,n){super(e,{id:"editor.action.goToLocation",title:aa("label.generic","Go to Any Symbol"),precondition:kn.and(Pb.notInPeekEditor,Tt.isInEmbeddedEditor.toNegated())}),this._references=i,this._gotoMultipleBehaviour=n}async _getLocationModel(e,i,n,s){return new KC(this._references,W("generic.title","Locations"))}_getNoResultFoundMessage(e){return e&&W("generic.noResult","No results for '{0}'",e.word)||""}_getGoToPreference(e){return this._gotoMultipleBehaviour??e.getOption(58).multipleReferences}_getAlternativeCommand(){return""}}fl.registerCommand({id:"editor.action.goToLocations",metadata:{description:"Go to locations from a position in a file",args:[{name:"uri",description:"The text document in which to start",constraint:yo},{name:"position",description:"The position at which to start",constraint:pi.isIPosition},{name:"locations",description:"An array of locations.",constraint:Array},{name:"multiple",description:"Define what to do when having multiple results, either `peek`, `gotoAndPeek`, or `goto`"},{name:"noResultsMessage",description:"Human readable message that shows when locations is empty."}]},handler:async(l,e,i,n,s,c,d)=>{Fl(yo.isUri(e)),Fl(pi.isIPosition(i)),Fl(Array.isArray(n)),Fl(typeof s>"u"||typeof s=="string"),Fl(typeof d>"u"||typeof d=="boolean");const f=l.get(Bl),m=await f.openCodeEditor({resource:e},f.getFocusedCodeEditor());if(FL(m))return m.setPosition(i),m.revealPositionInCenterIfOutsideViewport(i,0),m.invokeWithinContext(v=>{const x=new class extends gPi{_getNoResultFoundMessage(w){return c||super._getNoResultFoundMessage(w)}}({muteMessage:!c,openInPeek:!!d,openToSide:!1},n,s);v.get(ho).invokeFunction(x.run.bind(x),m)})}});fl.registerCommand({id:"editor.action.peekLocations",metadata:{description:"Peek locations from a position in a file",args:[{name:"uri",description:"The text document in which to start",constraint:yo},{name:"position",description:"The position at which to start",constraint:pi.isIPosition},{name:"locations",description:"An array of locations.",constraint:Array},{name:"multiple",description:"Define what to do when having multiple results, either `peek`, `gotoAndPeek`, or `goto`"}]},handler:async(l,e,i,n,s)=>{l.get(qd).executeCommand("editor.action.goToLocations",e,i,n,s,void 0,!0)}});fl.registerCommand({id:"editor.action.findReferences",handler:(l,e,i)=>{Fl(yo.isUri(e)),Fl(pi.isIPosition(i));const n=l.get(Ts),s=l.get(Bl);return s.openCodeEditor({resource:e},s.getFocusedCodeEditor()).then(c=>{if(!FL(c)||!c.hasModel())return;const d=UB.get(c);if(!d)return;const f=Om(v=>qse(n.referenceProvider,c.getModel(),pi.lift(i),!1,!1,v).then(x=>new KC(x,W("ref.title","References")))),m=new nt(i.lineNumber,i.column,i.lineNumber,i.column);return Promise.resolve(d.toggleWidget(m,f,!1))})}});fl.registerCommandAlias("editor.action.showReferences","editor.action.peekLocations");async function yPi(l,e,i,n){const s=l.get(Ob),c=l.get(eS),d=l.get(qd),f=l.get(ho),m=l.get(w_);if(await n.item.resolve(cc.None),!n.part.location)return;const v=n.part.location,x=[],w=new Set(yg.getMenuItems(As.EditorContext).map(P=>jq(P)?P.command.id:qwe()));for(const P of p6.all())w.has(P.desc.id)&&x.push(new $C(P.desc.id,JC.label(P.desc,{renderShortTitle:!0}),void 0,!0,async()=>{const O=await s.createModelReference(v.uri);try{const z=new Y$(O.object.textEditorModel,nt.getStartPosition(v.range)),J=n.item.anchor.range;await f.invokeFunction(P.runEditorCommand.bind(P),e,z,J)}finally{O.dispose()}}));if(n.part.command){const{command:P}=n.part;x.push(new Rm),x.push(new $C(P.id,P.title,void 0,!0,async()=>{try{await d.executeCommand(P.id,...P.arguments??[])}catch(O){m.notify({severity:QSe.Error,source:n.item.provider.displayName,message:O})}}))}const I=e.getOption(128);c.showContextMenu({domForShadowRoot:I?e.getDomNode()??void 0:void 0,getAnchor:()=>{const P=e_(i);return{x:P.left,y:P.top+P.height+8}},getActions:()=>x,onHide:()=>{e.focus()},autoSelectFirstItem:!0})}async function VLt(l,e,i,n){const c=await l.get(Ob).createModelReference(n.uri);await i.invokeWithinContext(async d=>{const f=e.hasSideBySideModifier,m=d.get(Ko),v=Pb.inPeekEditor.getValue(m),x=!f&&i.getOption(89)&&!v;return new $se({openToSide:f,openInPeek:x,muteMessage:!0},{title:{value:"",original:""},id:"",precondition:void 0}).run(d,new Y$(c.object.textEditorModel,nt.getStartPosition(n.range)),nt.lift(n.range))}),c.dispose()}var vPi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},MU=function(l,e){return function(i,n){e(i,n,l)}},GU;class nbe{constructor(){this._entries=new VL(50)}get(e){const i=nbe._key(e);return this._entries.get(i)}set(e,i){const n=nbe._key(e);this._entries.set(n,i)}static _key(e){return`${e.uri.toString()}/${e.getVersionId()}`}}const HLt=jc("IInlayHintsCache");nl(HLt,nbe,1);class Dze{constructor(e,i){this.item=e,this.index=i}get part(){const e=this.item.hint.label;return typeof e=="string"?{label:e}:e[this.index]}}class bPi{constructor(e,i){this.part=e,this.hasTriggerModifier=i}}var X4;let Ure=(X4=class{static get(e){return e.getContribution(GU.ID)??void 0}constructor(e,i,n,s,c,d,f){this._editor=e,this._languageFeaturesService=i,this._inlayHintsCache=s,this._commandService=c,this._notificationService=d,this._instaService=f,this._disposables=new wn,this._sessionDisposables=new wn,this._decorationsMetadata=new Map,this._ruleFactory=new Cve(this._editor),this._activeRenderMode=0,this._debounceInfo=n.for(i.inlayHintsProvider,"InlayHint",{min:25}),this._disposables.add(i.inlayHintsProvider.onDidChange(()=>this._update())),this._disposables.add(e.onDidChangeModel(()=>this._update())),this._disposables.add(e.onDidChangeModelLanguage(()=>this._update())),this._disposables.add(e.onDidChangeConfiguration(m=>{m.hasChanged(142)&&this._update()})),this._update()}dispose(){this._sessionDisposables.dispose(),this._removeAllDecorations(),this._disposables.dispose()}_update(){this._sessionDisposables.clear(),this._removeAllDecorations();const e=this._editor.getOption(142);if(e.enabled==="off")return;const i=this._editor.getModel();if(!i||!this._languageFeaturesService.inlayHintsProvider.has(i))return;if(e.enabled==="on")this._activeRenderMode=0;else{let f,m;e.enabled==="onUnlessPressed"?(f=0,m=1):(f=1,m=0),this._activeRenderMode=f,this._sessionDisposables.add(W4.getInstance().event(v=>{if(!this._editor.hasModel())return;const x=v.altKey&&v.ctrlKey&&!(v.shiftKey||v.metaKey)?m:f;if(x!==this._activeRenderMode){this._activeRenderMode=x;const w=this._editor.getModel(),I=this._copyInlayHintsWithCurrentAnchor(w);this._updateHintsDecorators([w.getFullModelRange()],I),d.schedule(0)}}))}const n=this._inlayHintsCache.get(i);n&&this._updateHintsDecorators([i.getFullModelRange()],n),this._sessionDisposables.add(fo(()=>{i.isDisposed()||this._cacheHintsForFastRestore(i)}));let s;const c=new Set,d=new qu(async()=>{const f=Date.now();s==null||s.dispose(!0),s=new ih;const m=i.onWillDispose(()=>s==null?void 0:s.cancel());try{const v=s.token,x=await Zve.create(this._languageFeaturesService.inlayHintsProvider,i,this._getHintsRanges(),v);if(d.delay=this._debounceInfo.update(i,Date.now()-f),v.isCancellationRequested){x.dispose();return}for(const w of x.provider)typeof w.onDidChangeInlayHints=="function"&&!c.has(w)&&(c.add(w),this._sessionDisposables.add(w.onDidChangeInlayHints(()=>{d.isScheduled()||d.schedule()})));this._sessionDisposables.add(x),this._updateHintsDecorators(x.ranges,x.items),this._cacheHintsForFastRestore(i)}catch(v){Pa(v)}finally{s.dispose(),m.dispose()}},this._debounceInfo.get(i));this._sessionDisposables.add(d),this._sessionDisposables.add(fo(()=>s==null?void 0:s.dispose(!0))),d.schedule(0),this._sessionDisposables.add(this._editor.onDidScrollChange(f=>{(f.scrollTopChanged||!d.isScheduled())&&d.schedule()})),this._sessionDisposables.add(this._editor.onDidChangeModelContent(f=>{s==null||s.cancel();const m=Math.max(d.delay,1250);d.schedule(m)})),this._sessionDisposables.add(this._installDblClickGesture(()=>d.schedule(0))),this._sessionDisposables.add(this._installLinkGesture()),this._sessionDisposables.add(this._installContextMenu())}_installLinkGesture(){const e=new wn,i=e.add(new Xwe(this._editor)),n=new wn;return e.add(n),e.add(i.onMouseMoveOrRelevantKeyDown(s=>{const[c]=s,d=this._getInlayHintLabelPart(c),f=this._editor.getModel();if(!d||!f){n.clear();return}const m=new ih;n.add(fo(()=>m.dispose(!0))),d.item.resolve(m.token),this._activeInlayHintPart=d.part.command||d.part.location?new bPi(d,c.hasTriggerModifier):void 0;const v=f.validatePosition(d.item.hint.position).lineNumber,x=new nt(v,1,v,f.getLineMaxColumn(v)),w=this._getInlineHintsForRange(x);this._updateHintsDecorators([x],w),n.add(fo(()=>{this._activeInlayHintPart=void 0,this._updateHintsDecorators([x],w)}))})),e.add(i.onCancel(()=>n.clear())),e.add(i.onExecute(async s=>{const c=this._getInlayHintLabelPart(s);if(c){const d=c.part;d.location?this._instaService.invokeFunction(VLt,s,this._editor,d.location):CBe.is(d.command)&&await this._invokeCommand(d.command,c.item)}})),e}_getInlineHintsForRange(e){const i=new Set;for(const n of this._decorationsMetadata.values())e.containsRange(n.item.anchor.range)&&i.add(n.item);return Array.from(i)}_installDblClickGesture(e){return this._editor.onMouseUp(async i=>{if(i.event.detail!==2)return;const n=this._getInlayHintLabelPart(i);if(n&&(i.event.preventDefault(),await n.item.resolve(cc.None),ky(n.item.hint.textEdits))){const s=n.item.hint.textEdits.map(c=>eh.replace(nt.lift(c.range),c.text));this._editor.executeEdits("inlayHint.default",s),e()}})}_installContextMenu(){return this._editor.onContextMenu(async e=>{if(!Mm(e.event.target))return;const i=this._getInlayHintLabelPart(e);i&&await this._instaService.invokeFunction(yPi,this._editor,e.event.target,i)})}_getInlayHintLabelPart(e){var n;if(e.target.type!==6)return;const i=(n=e.target.detail.injectedText)==null?void 0:n.options;if(i instanceof ER&&(i==null?void 0:i.attachedData)instanceof Dze)return i.attachedData}async _invokeCommand(e,i){try{await this._commandService.executeCommand(e.id,...e.arguments??[])}catch(n){this._notificationService.notify({severity:QSe.Error,source:i.provider.displayName,message:n})}}_cacheHintsForFastRestore(e){const i=this._copyInlayHintsWithCurrentAnchor(e);this._inlayHintsCache.set(e,i)}_copyInlayHintsWithCurrentAnchor(e){const i=new Map;for(const[n,s]of this._decorationsMetadata){if(i.has(s.item))continue;const c=e.getDecorationRange(n);if(c){const d=new ELt(c,s.item.anchor.direction),f=s.item.with({anchor:d});i.set(s.item,f)}}return Array.from(i.values())}_getHintsRanges(){const i=this._editor.getModel(),n=this._editor.getVisibleRangesPlusViewportAboveBelow(),s=[];for(const c of n.sort(nt.compareRangesUsingStarts)){const d=i.validateRange(new nt(c.startLineNumber-30,c.startColumn,c.endLineNumber+30,c.endColumn));s.length===0||!nt.areIntersectingOrTouching(s[s.length-1],d)?s.push(d):s[s.length-1]=nt.plusRange(s[s.length-1],d)}return s}_updateHintsDecorators(e,i){var O,z;const n=[],s=(J,Y,ae,me,ye)=>{const Ce={content:ae,inlineClassNameAffectsLetterSpacing:!0,inlineClassName:Y.className,cursorStops:me,attachedData:ye};n.push({item:J,classNameRef:Y,decoration:{range:J.anchor.range,options:{description:"InlayHint",showIfCollapsed:J.anchor.range.isEmpty(),collapseOnReplaceEdit:!J.anchor.range.isEmpty(),stickiness:0,[J.anchor.direction]:this._activeRenderMode===0?Ce:void 0}}})},c=(J,Y)=>{const ae=this._ruleFactory.createClassNameRef({width:`${d/3|0}px`,display:"inline-block"});s(J,ae," ",Y?_T.Right:_T.None)},{fontSize:d,fontFamily:f,padding:m,isUniform:v}=this._getLayoutInfo(),x="--code-editorInlayHintsFontFamily";this._editor.getContainerDomNode().style.setProperty(x,f);let w={line:0,totalLen:0};for(const J of i){if(w.line!==J.anchor.range.startLineNumber&&(w={line:J.anchor.range.startLineNumber,totalLen:0}),w.totalLen>GU._MAX_LABEL_LEN)continue;J.hint.paddingLeft&&c(J,!1);const Y=typeof J.hint.label=="string"?[{label:J.hint.label}]:J.hint.label;for(let ae=0;ae<Y.length;ae++){const me=Y[ae],ye=ae===0,Ce=ae===Y.length-1,Fe={fontSize:`${d}px`,fontFamily:`var(${x}), ${vv.fontFamily}`,verticalAlign:v?"baseline":"middle",unicodeBidi:"isolate"};ky(J.hint.textEdits)&&(Fe.cursor="default"),this._fillInColors(Fe,J.hint),(me.command||me.location)&&((O=this._activeInlayHintPart)==null?void 0:O.part.item)===J&&this._activeInlayHintPart.part.index===ae&&(Fe.textDecoration="underline",this._activeInlayHintPart.hasTriggerModifier&&(Fe.color=Mh(ipi),Fe.cursor="pointer")),m&&(ye&&Ce?(Fe.padding=`1px ${Math.max(1,d/4)|0}px`,Fe.borderRadius=`${d/4|0}px`):ye?(Fe.padding=`1px 0 1px ${Math.max(1,d/4)|0}px`,Fe.borderRadius=`${d/4|0}px 0 0 ${d/4|0}px`):Ce?(Fe.padding=`1px ${Math.max(1,d/4)|0}px 1px 0`,Fe.borderRadius=`0 ${d/4|0}px ${d/4|0}px 0`):Fe.padding="1px 0 1px 0");let rt=me.label;w.totalLen+=rt.length;let ct=!1;const Mt=w.totalLen-GU._MAX_LABEL_LEN;if(Mt>0&&(rt=rt.slice(0,-Mt)+"…",ct=!0),s(J,this._ruleFactory.createClassNameRef(Fe),CPi(rt),Ce&&!J.hint.paddingRight?_T.Right:_T.None,new Dze(J,ae)),ct)break}if(J.hint.paddingRight&&c(J,!0),n.length>GU._MAX_DECORATORS)break}const I=[];for(const[J,Y]of this._decorationsMetadata){const ae=(z=this._editor.getModel())==null?void 0:z.getDecorationRange(J);ae&&e.some(me=>me.containsRange(ae))&&(I.push(J),Y.classNameRef.dispose(),this._decorationsMetadata.delete(J))}const P=GE.capture(this._editor);this._editor.changeDecorations(J=>{const Y=J.deltaDecorations(I,n.map(ae=>ae.decoration));for(let ae=0;ae<Y.length;ae++){const me=n[ae];this._decorationsMetadata.set(Y[ae],me)}}),P.restore(this._editor)}_fillInColors(e,i){i.kind===eye.Parameter?(e.backgroundColor=Mh(upi),e.color=Mh(lpi)):i.kind===eye.Type?(e.backgroundColor=Mh(cpi),e.color=Mh(api)):(e.backgroundColor=Mh(M$e),e.color=Mh(O$e))}_getLayoutInfo(){const e=this._editor.getOption(142),i=e.padding,n=this._editor.getOption(52),s=this._editor.getOption(49);let c=e.fontSize;(!c||c<5||c>n)&&(c=n);const d=e.fontFamily||s;return{fontSize:c,fontFamily:d,padding:i,isUniform:!i&&d===s&&c===n}}_removeAllDecorations(){this._editor.removeDecorations(Array.from(this._decorationsMetadata.keys()));for(const e of this._decorationsMetadata.values())e.classNameRef.dispose();this._decorationsMetadata.clear()}},GU=X4,X4.ID="editor.contrib.InlayHints",X4._MAX_DECORATORS=1500,X4._MAX_LABEL_LEN=43,X4);Ure=GU=vPi([MU(1,Ts),MU(2,Pw),MU(3,HLt),MU(4,qd),MU(5,w_),MU(6,ho)],Ure);function CPi(l){return l.replace(/[ \t]/g," ")}fl.registerCommand("_executeInlayHintProvider",async(l,...e)=>{const[i,n]=e;Fl(yo.isUri(i)),Fl(nt.isIRange(n));const{inlayHintsProvider:s}=l.get(Ts),c=await l.get(Ob).createModelReference(i);try{const d=await Zve.create(s,c.object.textEditorModel,[nt.lift(n)],cc.None),f=d.items.map(m=>m.hint);return setTimeout(()=>d.dispose(),0),f}finally{c.dispose()}});var SPi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},sM=function(l,e){return function(i,n){e(i,n,l)}};class Gwt extends N1e{constructor(e,i,n,s){super(10,i,e.item.anchor.range,n,s,!0),this.part=e}}let rbe=class extends jre{constructor(e,i,n,s,c,d,f,m,v){super(e,i,n,d,m,s,c,v),this._resolverService=f,this.hoverOrdinal=6}suggestHoverAnchor(e){var s;if(!Ure.get(this._editor)||e.target.type!==6)return null;const n=(s=e.target.detail.injectedText)==null?void 0:s.options;return n instanceof ER&&n.attachedData instanceof Dze?new Gwt(n.attachedData,this,e.event.posx,e.event.posy):null}computeSync(){return[]}computeAsync(e,i,n){return e instanceof Gwt?new bw(async s=>{const{part:c}=e;if(await c.item.resolve(n),n.isCancellationRequested)return;let d;typeof c.item.hint.tooltip=="string"?d=new m1().appendText(c.item.hint.tooltip):c.item.hint.tooltip&&(d=c.item.hint.tooltip),d&&s.emitOne(new fT(this,e.range,[d],!1,0)),ky(c.item.hint.textEdits)&&s.emitOne(new fT(this,e.range,[new m1().appendText(W("hint.dbl","Double-click to insert"))],!1,10001));let f;if(typeof c.part.tooltip=="string"?f=new m1().appendText(c.part.tooltip):c.part.tooltip&&(f=c.part.tooltip),f&&s.emitOne(new fT(this,e.range,[f],!1,1)),c.part.location||c.part.command){let v;const w=this._editor.getOption(78)==="altKey"?Hc?W("links.navigate.kb.meta.mac","cmd + click"):W("links.navigate.kb.meta","ctrl + click"):Hc?W("links.navigate.kb.alt.mac","option + click"):W("links.navigate.kb.alt","alt + click");c.part.location&&c.part.command?v=new m1().appendText(W("hint.defAndCommand","Go to Definition ({0}), right click for more",w)):c.part.location?v=new m1().appendText(W("hint.def","Go to Definition ({0})",w)):c.part.command&&(v=new m1(`[${W("hint.cmd","Execute Command")}](${XLi(c.part.command)} "${c.part.command.title}") (${w})`,{isTrusted:!0})),v&&s.emitOne(new fT(this,e.range,[v],!1,1e4))}const m=await this._resolveInlayHintLabelPartHover(c,n);for await(const v of m)s.emitOne(v)}):bw.EMPTY}async _resolveInlayHintLabelPartHover(e,i){if(!e.part.location)return bw.EMPTY;const{uri:n,range:s}=e.part.location,c=await this._resolverService.createModelReference(n);try{const d=c.object.textEditorModel;return this._languageFeaturesService.hoverProvider.has(d)?jGe(this._languageFeaturesService.hoverProvider,d,new pi(s.startLineNumber,s.startColumn),i).filter(f=>!M$(f.hover.contents)).map(f=>new fT(this,e.item.anchor.range,f.hover.contents,!1,2+f.ordinal)):bw.EMPTY}finally{c.dispose()}}};rbe=SPi([sM(1,Pf),sM(2,Rb),sM(3,pu),sM(4,jL),sM(5,Cc),sM(6,Ob),sM(7,Ts),sM(8,qd)],rbe);class GGe extends xi{constructor(e,i,n,s,c,d){super();const f=i.anchor,m=i.hoverParts;this._renderedHoverParts=this._register(new Eze(e,n,m,d,c));const{showAtPosition:v,showAtSecondaryPosition:x}=GGe.computeHoverPositions(e,f.range,m);this.shouldAppearBeforeContent=m.some(w=>w.isBeforeContent),this.showAtPosition=v,this.showAtSecondaryPosition=x,this.initialMousePosX=f.initialMousePosX,this.initialMousePosY=f.initialMousePosY,this.shouldFocus=s.shouldFocus,this.source=s.source}get domNode(){return this._renderedHoverParts.domNode}get domNodeHasChildren(){return this._renderedHoverParts.domNodeHasChildren}get focusedHoverPartIndex(){return this._renderedHoverParts.focusedHoverPartIndex}async updateHoverVerbosityLevel(e,i,n){this._renderedHoverParts.updateHoverVerbosityLevel(e,i,n)}isColorPickerVisible(){return this._renderedHoverParts.isColorPickerVisible()}static computeHoverPositions(e,i,n){let s=1;if(e.hasModel()){const x=e._getViewModel(),w=x.coordinatesConverter,I=w.convertModelRangeToViewRange(i),P=x.getLineMinColumn(I.startLineNumber),O=new pi(I.startLineNumber,P);s=w.convertViewPositionToModelPosition(O).column}const c=i.startLineNumber;let d=i.startColumn,f;for(const x of n){const w=x.range,I=w.startLineNumber===c,P=w.endLineNumber===c;if(I&&P){const z=w.startColumn,J=Math.min(d,z);d=Math.max(J,s)}x.forceShowAtRange&&(f=w)}let m,v;if(f){const x=f.getStartPosition();m=x,v=x}else m=i.getStartPosition(),v=new pi(c,d);return{showAtPosition:m,showAtSecondaryPosition:v}}}class wPi{constructor(e,i){this._statusBar=i,e.appendChild(this._statusBar.hoverElement)}get hoverElement(){return this._statusBar.hoverElement}get actions(){return this._statusBar.actions}dispose(){this._statusBar.dispose()}}const zCe=class zCe extends xi{constructor(e,i,n,s,c){super(),this._renderedParts=[],this._focusedHoverPartIndex=-1,this._context=c,this._fragment=document.createDocumentFragment(),this._register(this._renderParts(i,n,c,s)),this._register(this._registerListenersOnRenderedParts()),this._register(this._createEditorDecorations(e,n)),this._updateMarkdownAndColorParticipantInfo(i)}_createEditorDecorations(e,i){if(i.length===0)return xi.None;let n=i[0].range;for(const c of i){const d=c.range;n=nt.plusRange(n,d)}const s=e.createDecorationsCollection();return s.set([{range:n,options:zCe._DECORATION_OPTIONS}]),fo(()=>{s.clear()})}_renderParts(e,i,n,s){const c=new Qve(s),d={fragment:this._fragment,statusBar:c,...n},f=new wn;for(const v of e){const x=this._renderHoverPartsForParticipant(i,v,d);f.add(x);for(const w of x.renderedHoverParts)this._renderedParts.push({type:"hoverPart",participant:v,hoverPart:w.hoverPart,hoverElement:w.hoverElement})}const m=this._renderStatusBar(this._fragment,c);return m&&(f.add(m),this._renderedParts.push({type:"statusBar",hoverElement:m.hoverElement,actions:m.actions})),fo(()=>{f.dispose()})}_renderHoverPartsForParticipant(e,i,n){const s=e.filter(d=>d.owner===i);return s.length>0?i.renderHoverParts(n,s):new zB([])}_renderStatusBar(e,i){if(i.hasContent)return new wPi(e,i)}_registerListenersOnRenderedParts(){const e=new wn;return this._renderedParts.forEach((i,n)=>{const s=i.hoverElement;s.tabIndex=0,e.add(en(s,br.FOCUS_IN,c=>{c.stopPropagation(),this._focusedHoverPartIndex=n})),e.add(en(s,br.FOCUS_OUT,c=>{c.stopPropagation(),this._focusedHoverPartIndex=-1}))}),e}_updateMarkdownAndColorParticipantInfo(e){const i=e.find(n=>n instanceof jre&&!(n instanceof rbe));i&&(this._markdownHoverParticipant=i),this._colorHoverParticipant=e.find(n=>n instanceof Vre)}async updateHoverVerbosityLevel(e,i,n){if(!this._markdownHoverParticipant)return;const s=this._normalizedIndexToMarkdownHoverIndexRange(this._markdownHoverParticipant,i);if(s===void 0)return;const c=await this._markdownHoverParticipant.updateMarkdownHoverVerbosityLevel(e,s,n);c&&(this._renderedParts[i]={type:"hoverPart",participant:this._markdownHoverParticipant,hoverPart:c.hoverPart,hoverElement:c.hoverElement},this._context.onContentsChanged())}isColorPickerVisible(){var e;return((e=this._colorHoverParticipant)==null?void 0:e.isColorPickerVisible())??!1}_normalizedIndexToMarkdownHoverIndexRange(e,i){const n=this._renderedParts[i];if(!n||n.type!=="hoverPart"||!(n.participant===e))return;const c=this._renderedParts.findIndex(d=>d.type==="hoverPart"&&d.participant===e);if(c===-1)throw new tu;return i-c}get domNode(){return this._fragment}get domNodeHasChildren(){return this._fragment.hasChildNodes()}get focusedHoverPartIndex(){return this._focusedHoverPartIndex}};zCe._DECORATION_OPTIONS=qa.register({description:"content-hover-highlight",className:"hoverHighlight"});let Eze=zCe;var xPi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Kwt=function(l,e){return function(i,n){e(i,n,l)}};let Ize=class extends xi{constructor(e,i,n){super(),this._editor=e,this._instantiationService=i,this._keybindingService=n,this._currentResult=null,this._onContentsChanged=this._register(new gi),this.onContentsChanged=this._onContentsChanged.event,this._contentHoverWidget=this._register(this._instantiationService.createInstance(pze,this._editor)),this._participants=this._initializeHoverParticipants(),this._computer=new Xve(this._editor,this._participants),this._hoverOperation=this._register(new wLt(this._editor,this._computer)),this._registerListeners()}_initializeHoverParticipants(){const e=[];for(const i of uW.getAll()){const n=this._instantiationService.createInstance(i,this._editor);e.push(n)}return e.sort((i,n)=>i.hoverOrdinal-n.hoverOrdinal),this._register(this._contentHoverWidget.onDidResize(()=>{this._participants.forEach(i=>{var n;return(n=i.handleResize)==null?void 0:n.call(i)})})),e}_registerListeners(){this._register(this._hoverOperation.onResult(i=>{if(!this._computer.anchor)return;const n=i.hasLoadingMessage?this._addLoadingMessage(i.value):i.value;this._withResult(new xLt(this._computer.anchor,n,i.isComplete))}));const e=this._contentHoverWidget.getDomNode();this._register(ph(e,"keydown",i=>{i.equals(9)&&this.hide()})),this._register(ph(e,"mouseleave",i=>{this._onMouseLeave(i)})),this._register(Oh.onDidChange(()=>{this._contentHoverWidget.position&&this._currentResult&&this._setCurrentResult(this._currentResult)}))}_startShowingOrUpdateHover(e,i,n,s,c){if(!(this._contentHoverWidget.position&&this._currentResult))return e?(this._startHoverOperationIfNecessary(e,i,n,s,!1),!0):!1;const f=this._editor.getOption(60).sticky,m=c&&this._contentHoverWidget.isMouseGettingCloser(c.event.posx,c.event.posy);return f&&m?(e&&this._startHoverOperationIfNecessary(e,i,n,s,!0),!0):e?this._currentResult.anchor.equals(e)?!0:e.canAdoptVisibleHover(this._currentResult.anchor,this._contentHoverWidget.position)?(this._setCurrentResult(this._currentResult.filter(e)),this._startHoverOperationIfNecessary(e,i,n,s,!1),!0):(this._setCurrentResult(null),this._startHoverOperationIfNecessary(e,i,n,s,!1),!0):(this._setCurrentResult(null),!1)}_startHoverOperationIfNecessary(e,i,n,s,c){this._computer.anchor&&this._computer.anchor.equals(e)||(this._hoverOperation.cancel(),this._computer.anchor=e,this._computer.shouldFocus=s,this._computer.source=n,this._computer.insistOnKeepingHoverVisible=c,this._hoverOperation.start(i))}_setCurrentResult(e){let i=e;if(this._currentResult===i)return;i&&i.hoverParts.length===0&&(i=null),this._currentResult=i,this._currentResult?this._showHover(this._currentResult):this._hideHover()}_addLoadingMessage(e){if(!this._computer.anchor)return e;for(const i of this._participants){if(!i.createLoadingMessage)continue;const n=i.createLoadingMessage(this._computer.anchor);if(n)return e.slice(0).concat([n])}return e}_withResult(e){if(this._contentHoverWidget.position&&this._currentResult&&this._currentResult.isComplete||this._setCurrentResult(e),!e.isComplete)return;const s=e.hoverParts.length===0,c=this._computer.insistOnKeepingHoverVisible;s&&c||this._setCurrentResult(e)}_showHover(e){const i=this._getHoverContext();this._renderedContentHover=new GGe(this._editor,e,this._participants,this._computer,i,this._keybindingService),this._renderedContentHover.domNodeHasChildren?this._contentHoverWidget.show(this._renderedContentHover):this._renderedContentHover.dispose()}_hideHover(){this._contentHoverWidget.hide()}_getHoverContext(){return{hide:()=>{this.hide()},onContentsChanged:()=>{this._onContentsChanged.fire(),this._contentHoverWidget.onContentsChanged()},setMinimumDimensions:s=>{this._contentHoverWidget.setMinimumDimensions(s)}}}showsOrWillShow(e){if(this._contentHoverWidget.isResizing)return!0;const n=this._findHoverAnchorCandidates(e);if(!(n.length>0))return this._startShowingOrUpdateHover(null,0,0,!1,e);const c=n[0];return this._startShowingOrUpdateHover(c,0,0,!1,e)}_findHoverAnchorCandidates(e){const i=[];for(const s of this._participants){if(!s.suggestHoverAnchor)continue;const c=s.suggestHoverAnchor(e);c&&i.push(c)}const n=e.target;switch(n.type){case 6:{i.push(new P9e(0,n.range,e.event.posx,e.event.posy));break}case 7:{const s=this._editor.getOption(50).typicalHalfwidthCharacterWidth/2;if(!(!n.detail.isAfterLines&&typeof n.detail.horizontalDistanceToText=="number"&&n.detail.horizontalDistanceToText<s))break;i.push(new P9e(0,n.range,e.event.posx,e.event.posy));break}}return i.sort((s,c)=>c.priority-s.priority),i}_onMouseLeave(e){const i=this._editor.getDomNode();(!i||!Kwe(i,e.x,e.y))&&this.hide()}startShowingAtRange(e,i,n,s){this._startShowingOrUpdateHover(new P9e(0,e,void 0,void 0),i,n,s,null)}async updateHoverVerbosityLevel(e,i,n){var s;(s=this._renderedContentHover)==null||s.updateHoverVerbosityLevel(e,i,n)}focusedHoverPartIndex(){var e;return((e=this._renderedContentHover)==null?void 0:e.focusedHoverPartIndex)??-1}containsNode(e){return e?this._contentHoverWidget.getDomNode().contains(e):!1}focus(){this._contentHoverWidget.focus()}scrollUp(){this._contentHoverWidget.scrollUp()}scrollDown(){this._contentHoverWidget.scrollDown()}scrollLeft(){this._contentHoverWidget.scrollLeft()}scrollRight(){this._contentHoverWidget.scrollRight()}pageUp(){this._contentHoverWidget.pageUp()}pageDown(){this._contentHoverWidget.pageDown()}goToTop(){this._contentHoverWidget.goToTop()}goToBottom(){this._contentHoverWidget.goToBottom()}hide(){this._computer.anchor=null,this._hoverOperation.cancel(),this._setCurrentResult(null)}getDomNode(){return this._contentHoverWidget.getDomNode()}get isColorPickerVisible(){var e;return((e=this._renderedContentHover)==null?void 0:e.isColorPickerVisible())??!1}get isVisibleFromKeyboard(){return this._contentHoverWidget.isVisibleFromKeyboard}get isVisible(){return this._contentHoverWidget.isVisible}get isFocused(){return this._contentHoverWidget.isFocused}get isResizing(){return this._contentHoverWidget.isResizing}get widget(){return this._contentHoverWidget}};Ize=xPi([Kwt(1,ho),Kwt(2,pu)],Ize);var kPi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Xwt=function(l,e){return function(i,n){e(i,n,l)}},Nze;const TPi=!1;var q9;let Dy=(q9=class extends xi{constructor(e,i,n){super(),this._editor=e,this._instantiationService=i,this._keybindingService=n,this._onHoverContentsChanged=this._register(new gi),this.shouldKeepOpenOnEditorMouseMoveOrLeave=!1,this._listenersStore=new wn,this._hoverState={mouseDown:!1,activatedByDecoratorClick:!1},this._reactToEditorMouseMoveRunner=this._register(new qu(()=>this._reactToEditorMouseMove(this._mouseMoveEvent),0)),this._hookListeners(),this._register(this._editor.onDidChangeConfiguration(s=>{s.hasChanged(60)&&(this._unhookListeners(),this._hookListeners())}))}static get(e){return e.getContribution(Nze.ID)}_hookListeners(){const e=this._editor.getOption(60);this._hoverSettings={enabled:e.enabled,sticky:e.sticky,hidingDelay:e.hidingDelay},e.enabled?(this._listenersStore.add(this._editor.onMouseDown(i=>this._onEditorMouseDown(i))),this._listenersStore.add(this._editor.onMouseUp(()=>this._onEditorMouseUp())),this._listenersStore.add(this._editor.onMouseMove(i=>this._onEditorMouseMove(i))),this._listenersStore.add(this._editor.onKeyDown(i=>this._onKeyDown(i)))):(this._listenersStore.add(this._editor.onMouseMove(i=>this._onEditorMouseMove(i))),this._listenersStore.add(this._editor.onKeyDown(i=>this._onKeyDown(i)))),this._listenersStore.add(this._editor.onMouseLeave(i=>this._onEditorMouseLeave(i))),this._listenersStore.add(this._editor.onDidChangeModel(()=>{this._cancelScheduler(),this._hideWidgets()})),this._listenersStore.add(this._editor.onDidChangeModelContent(()=>this._cancelScheduler())),this._listenersStore.add(this._editor.onDidScrollChange(i=>this._onEditorScrollChanged(i)))}_unhookListeners(){this._listenersStore.clear()}_cancelScheduler(){this._mouseMoveEvent=void 0,this._reactToEditorMouseMoveRunner.cancel()}_onEditorScrollChanged(e){(e.scrollTopChanged||e.scrollLeftChanged)&&this._hideWidgets()}_onEditorMouseDown(e){this._hoverState.mouseDown=!0,!this._shouldNotHideCurrentHoverWidget(e)&&this._hideWidgets()}_shouldNotHideCurrentHoverWidget(e){return this._isMouseOnContentHoverWidget(e)||this._isContentWidgetResizing()}_isMouseOnContentHoverWidget(e){var n;const i=(n=this._contentWidget)==null?void 0:n.getDomNode();return i?Kwe(i,e.event.posx,e.event.posy):!1}_onEditorMouseUp(){this._hoverState.mouseDown=!1}_onEditorMouseLeave(e){this.shouldKeepOpenOnEditorMouseMoveOrLeave||(this._cancelScheduler(),this._shouldNotHideCurrentHoverWidget(e))||this._hideWidgets()}_shouldNotRecomputeCurrentHoverWidget(e){const i=this._hoverSettings.sticky,n=(d,f)=>{const m=this._isMouseOnContentHoverWidget(d);return f&&m},s=d=>{var v;const f=this._isMouseOnContentHoverWidget(d),m=((v=this._contentWidget)==null?void 0:v.isColorPickerVisible)??!1;return f&&m},c=(d,f)=>{var m,v,x,w;return(f&&((v=this._contentWidget)==null?void 0:v.containsNode((m=d.event.browserEvent.view)==null?void 0:m.document.activeElement))&&!((w=(x=d.event.browserEvent.view)==null?void 0:x.getSelection())!=null&&w.isCollapsed))??!1};return n(e,i)||s(e)||c(e,i)}_onEditorMouseMove(e){var f,m,v,x;if(this.shouldKeepOpenOnEditorMouseMoveOrLeave||(this._mouseMoveEvent=e,(f=this._contentWidget)!=null&&f.isFocused||(m=this._contentWidget)!=null&&m.isResizing))return;const i=this._hoverSettings.sticky;if(i&&((v=this._contentWidget)!=null&&v.isVisibleFromKeyboard))return;if(this._shouldNotRecomputeCurrentHoverWidget(e)){this._reactToEditorMouseMoveRunner.cancel();return}const s=this._hoverSettings.hidingDelay;if(((x=this._contentWidget)==null?void 0:x.isVisible)&&i&&s>0){this._reactToEditorMouseMoveRunner.isScheduled()||this._reactToEditorMouseMoveRunner.schedule(s);return}this._reactToEditorMouseMove(e)}_reactToEditorMouseMove(e){var m;if(!e)return;const n=(m=e.target.element)==null?void 0:m.classList.contains("colorpicker-color-decoration"),s=this._editor.getOption(149),c=this._hoverSettings.enabled,d=this._hoverState.activatedByDecoratorClick;if(n&&(s==="click"&&!d||s==="hover"&&!c&&!TPi||s==="clickAndHover"&&!c&&!d)||!n&&!c&&!d){this._hideWidgets();return}this._tryShowHoverWidget(e)||this._hideWidgets()}_tryShowHoverWidget(e){return this._getOrCreateContentWidget().showsOrWillShow(e)}_onKeyDown(e){var s;if(!this._editor.hasModel())return;const i=this._keybindingService.softDispatch(e,this._editor.getDomNode()),n=i.kind===1||i.kind===2&&(i.commandId===vLt||i.commandId===Jwe||i.commandId===Gwe)&&((s=this._contentWidget)==null?void 0:s.isVisible);e.keyCode===5||e.keyCode===6||e.keyCode===57||e.keyCode===4||n||this._hideWidgets()}_hideWidgets(){var e,i;this._hoverState.mouseDown&&((e=this._contentWidget)!=null&&e.isColorPickerVisible)||Z$.dropDownVisible||(this._hoverState.activatedByDecoratorClick=!1,(i=this._contentWidget)==null||i.hide())}_getOrCreateContentWidget(){return this._contentWidget||(this._contentWidget=this._instantiationService.createInstance(Ize,this._editor),this._listenersStore.add(this._contentWidget.onContentsChanged(()=>this._onHoverContentsChanged.fire()))),this._contentWidget}showContentHover(e,i,n,s,c=!1){this._hoverState.activatedByDecoratorClick=c,this._getOrCreateContentWidget().startShowingAtRange(e,i,n,s)}_isContentWidgetResizing(){var e;return((e=this._contentWidget)==null?void 0:e.widget.isResizing)||!1}focusedHoverPartIndex(){return this._getOrCreateContentWidget().focusedHoverPartIndex()}updateHoverVerbosityLevel(e,i,n){this._getOrCreateContentWidget().updateHoverVerbosityLevel(e,i,n)}focus(){var e;(e=this._contentWidget)==null||e.focus()}scrollUp(){var e;(e=this._contentWidget)==null||e.scrollUp()}scrollDown(){var e;(e=this._contentWidget)==null||e.scrollDown()}scrollLeft(){var e;(e=this._contentWidget)==null||e.scrollLeft()}scrollRight(){var e;(e=this._contentWidget)==null||e.scrollRight()}pageUp(){var e;(e=this._contentWidget)==null||e.pageUp()}pageDown(){var e;(e=this._contentWidget)==null||e.pageDown()}goToTop(){var e;(e=this._contentWidget)==null||e.goToTop()}goToBottom(){var e;(e=this._contentWidget)==null||e.goToBottom()}get isColorPickerVisible(){var e;return(e=this._contentWidget)==null?void 0:e.isColorPickerVisible}get isHoverVisible(){var e;return(e=this._contentWidget)==null?void 0:e.isVisible}dispose(){var e;super.dispose(),this._unhookListeners(),this._listenersStore.dispose(),(e=this._contentWidget)==null||e.dispose()}},Nze=q9,q9.ID="editor.contrib.contentHover",q9);Dy=Nze=kPi([Xwt(1,ho),Xwt(2,pu)],Dy);const DKe=class DKe extends xi{constructor(e){super(),this._editor=e,this._register(e.onMouseDown(i=>this.onMouseDown(i)))}dispose(){super.dispose()}onMouseDown(e){const i=this._editor.getOption(149);if(i!=="click"&&i!=="clickAndHover")return;const n=e.target;if(n.type!==6||!n.detail.injectedText||n.detail.injectedText.options.attachedData!==fLt||!n.range)return;const s=this._editor.getContribution(Dy.ID);if(s&&!s.isColorPickerVisible){const c=new nt(n.range.startLineNumber,n.range.startColumn+1,n.range.endLineNumber,n.range.endColumn+1);s.showContentHover(c,1,0,!1,!0)}}};DKe.ID="editor.contrib.colorContribution";let sbe=DKe;Cl(sbe.ID,sbe,2);uW.register(Vre);var jLt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},yq=function(l,e){return function(i,n){e(i,n,l)}},Lze,Pze,$9;let qB=($9=class extends xi{constructor(e,i,n){super(),this._editor=e,this._instantiationService=n,this._standaloneColorPickerWidget=null,this._standaloneColorPickerVisible=Tt.standaloneColorPickerVisible.bindTo(i),this._standaloneColorPickerFocused=Tt.standaloneColorPickerFocused.bindTo(i)}showOrFocus(){var e;this._editor.hasModel()&&(this._standaloneColorPickerVisible.get()?this._standaloneColorPickerFocused.get()||(e=this._standaloneColorPickerWidget)==null||e.focus():this._standaloneColorPickerWidget=this._instantiationService.createInstance(Aze,this._editor,this._standaloneColorPickerVisible,this._standaloneColorPickerFocused))}hide(){var e;this._standaloneColorPickerFocused.set(!1),this._standaloneColorPickerVisible.set(!1),(e=this._standaloneColorPickerWidget)==null||e.hide(),this._editor.focus()}insertColor(){var e;(e=this._standaloneColorPickerWidget)==null||e.updateEditor(),this.hide()}static get(e){return e.getContribution(Lze.ID)}},Lze=$9,$9.ID="editor.contrib.standaloneColorPickerController",$9);qB=Lze=jLt([yq(1,Ko),yq(2,ho)],qB);Cl(qB.ID,qB,1);const Qwt=8,DPi=22;var J9;let Aze=(J9=class extends xi{constructor(e,i,n,s,c,d,f){var w;super(),this._editor=e,this._standaloneColorPickerVisible=i,this._standaloneColorPickerFocused=n,this._keybindingService=c,this._languageFeaturesService=d,this._editorWorkerService=f,this.allowEditorOverflow=!0,this._position=void 0,this._body=document.createElement("div"),this._colorHover=null,this._selectionSetInEditor=!1,this._onResult=this._register(new gi),this.onResult=this._onResult.event,this._standaloneColorPickerVisible.set(!0),this._standaloneColorPickerParticipant=s.createInstance(Hre,this._editor),this._position=(w=this._editor._getViewModel())==null?void 0:w.getPrimaryCursorState().modelState.position;const m=this._editor.getSelection(),v=m?{startLineNumber:m.startLineNumber,startColumn:m.startColumn,endLineNumber:m.endLineNumber,endColumn:m.endColumn}:{startLineNumber:0,endLineNumber:0,endColumn:0,startColumn:0},x=this._register(zE(this._body));this._register(x.onDidBlur(I=>{this.hide()})),this._register(x.onDidFocus(I=>{this.focus()})),this._register(this._editor.onDidChangeCursorPosition(()=>{this._selectionSetInEditor?this._selectionSetInEditor=!1:this.hide()})),this._register(this._editor.onMouseMove(I=>{var O;const P=(O=I.target.element)==null?void 0:O.classList;P&&P.contains("colorpicker-color-decoration")&&this.hide()})),this._register(this.onResult(I=>{this._render(I.value,I.foundInEditor)})),this._start(v),this._body.style.zIndex="50",this._editor.addContentWidget(this)}updateEditor(){this._colorHover&&this._standaloneColorPickerParticipant.updateEditorModel(this._colorHover)}getId(){return Pze.ID}getDomNode(){return this._body}getPosition(){if(!this._position)return null;const e=this._editor.getOption(60).above;return{position:this._position,secondaryPosition:this._position,preference:e?[1,2]:[2,1],positionAffinity:2}}hide(){this.dispose(),this._standaloneColorPickerVisible.set(!1),this._standaloneColorPickerFocused.set(!1),this._editor.removeContentWidget(this),this._editor.focus()}focus(){this._standaloneColorPickerFocused.set(!0),this._body.focus()}async _start(e){const i=await this._computeAsync(e);i&&this._onResult.fire(new EPi(i.result,i.foundInEditor))}async _computeAsync(e){if(!this._editor.hasModel())return null;const i={range:e,color:{red:0,green:0,blue:0,alpha:1}},n=await this._standaloneColorPickerParticipant.createColorHover(i,new Wre(this._editorWorkerService),this._languageFeaturesService.colorProvider);return n?{result:n.colorHover,foundInEditor:n.foundInEditor}:null}_render(e,i){const n=document.createDocumentFragment(),s=this._register(new Qve(this._keybindingService)),c={fragment:n,statusBar:s,onContentsChanged:()=>{},hide:()=>this.hide()};this._colorHover=e;const d=this._standaloneColorPickerParticipant.renderHoverParts(c,[e]);if(!d)return;this._register(d.disposables);const f=d.colorPicker;this._body.classList.add("standalone-colorpicker-body"),this._body.style.maxHeight=Math.max(this._editor.getLayoutInfo().height/4,250)+"px",this._body.style.maxWidth=Math.max(this._editor.getLayoutInfo().width*.66,500)+"px",this._body.tabIndex=0,this._body.appendChild(n),f.layout();const m=f.body,v=m.saturationBox.domNode.clientWidth,x=m.domNode.clientWidth-v-DPi-Qwt,w=f.body.enterButton;w==null||w.onClicked(()=>{this.updateEditor(),this.hide()});const I=f.header,P=I.pickedColorNode;P.style.width=v+Qwt+"px";const O=I.originalColorNode;O.style.width=x+"px";const z=f.header.closeButton;z==null||z.onClicked(()=>{this.hide()}),i&&(w&&(w.button.textContent="Replace"),this._selectionSetInEditor=!0,this._editor.setSelection(e.range)),this._editor.layoutContentWidget(this)}},Pze=J9,J9.ID="editor.contrib.standaloneColorPickerWidget",J9);Aze=Pze=jLt([yq(3,ho),yq(4,pu),yq(5,Ts),yq(6,Fb)],Aze);class EPi{constructor(e,i){this.value=e,this.foundInEditor=i}}class IPi extends XE{constructor(){super({id:"editor.action.showOrFocusStandaloneColorPicker",title:{...aa("showOrFocusStandaloneColorPicker","Show or Focus Standalone Color Picker"),mnemonicTitle:W({key:"mishowOrFocusStandaloneColorPicker",comment:["&& denotes a mnemonic"]},"&&Show or Focus Standalone Color Picker")},precondition:void 0,menu:[{id:As.CommandPalette}],metadata:{description:aa("showOrFocusStandaloneColorPickerDescription","Show or focus a standalone color picker which uses the default color provider. It displays hex/rgb/hsl colors.")}})}runEditorCommand(e,i){var n;(n=qB.get(i))==null||n.showOrFocus()}}class NPi extends hs{constructor(){super({id:"editor.action.hideColorPicker",label:W({key:"hideColorPicker",comment:["Action that hides the color picker"]},"Hide the Color Picker"),alias:"Hide the Color Picker",precondition:Tt.standaloneColorPickerVisible.isEqualTo(!0),kbOpts:{primary:9,weight:100},metadata:{description:aa("hideColorPickerDescription","Hide the standalone color picker.")}})}run(e,i){var n;(n=qB.get(i))==null||n.hide()}}class LPi extends hs{constructor(){super({id:"editor.action.insertColorWithStandaloneColorPicker",label:W({key:"insertColorWithStandaloneColorPicker",comment:["Action that inserts color with standalone color picker"]},"Insert Color with Standalone Color Picker"),alias:"Insert Color with Standalone Color Picker",precondition:Tt.standaloneColorPickerFocused.isEqualTo(!0),kbOpts:{primary:3,weight:100},metadata:{description:aa("insertColorWithStandaloneColorPickerDescription","Insert hex/rgb/hsl colors with the focused standalone color picker.")}})}run(e,i){var n;(n=qB.get(i))==null||n.insertColor()}}sr(NPi);sr(LPi);Nd(IPi);class HM{constructor(e,i,n){this.languageConfigurationService=n,this._selection=e,this._insertSpace=i,this._usedEndToken=null}static _haystackHasNeedleAtOffset(e,i,n){if(n<0)return!1;const s=i.length,c=e.length;if(n+s>c)return!1;for(let d=0;d<s;d++){const f=e.charCodeAt(n+d),m=i.charCodeAt(d);if(f!==m&&!(f>=65&&f<=90&&f+32===m)&&!(m>=65&&m<=90&&m+32===f))return!1}return!0}_createOperationsForBlockComment(e,i,n,s,c,d){const f=e.startLineNumber,m=e.startColumn,v=e.endLineNumber,x=e.endColumn,w=c.getLineContent(f),I=c.getLineContent(v);let P=w.lastIndexOf(i,m-1+i.length),O=I.indexOf(n,x-1-n.length);if(P!==-1&&O!==-1)if(f===v)w.substring(P+i.length,O).indexOf(n)>=0&&(P=-1,O=-1);else{const J=w.substring(P+i.length),Y=I.substring(0,O);(J.indexOf(n)>=0||Y.indexOf(n)>=0)&&(P=-1,O=-1)}let z;P!==-1&&O!==-1?(s&&P+i.length<w.length&&w.charCodeAt(P+i.length)===32&&(i=i+" "),s&&O>0&&I.charCodeAt(O-1)===32&&(n=" "+n,O-=1),z=HM._createRemoveBlockCommentOperations(new nt(f,P+i.length+1,v,O+1),i,n)):(z=HM._createAddBlockCommentOperations(e,i,n,this._insertSpace),this._usedEndToken=z.length===1?n:null);for(const J of z)d.addTrackedEditOperation(J.range,J.text)}static _createRemoveBlockCommentOperations(e,i,n){const s=[];return nt.isEmpty(e)?s.push(eh.delete(new nt(e.startLineNumber,e.startColumn-i.length,e.endLineNumber,e.endColumn+n.length))):(s.push(eh.delete(new nt(e.startLineNumber,e.startColumn-i.length,e.startLineNumber,e.startColumn))),s.push(eh.delete(new nt(e.endLineNumber,e.endColumn,e.endLineNumber,e.endColumn+n.length)))),s}static _createAddBlockCommentOperations(e,i,n,s){const c=[];return nt.isEmpty(e)?c.push(eh.replace(new nt(e.startLineNumber,e.startColumn,e.endLineNumber,e.endColumn),i+" "+n)):(c.push(eh.insert(new pi(e.startLineNumber,e.startColumn),i+(s?" ":""))),c.push(eh.insert(new pi(e.endLineNumber,e.endColumn),(s?" ":"")+n))),c}getEditOperations(e,i){const n=this._selection.startLineNumber,s=this._selection.startColumn;e.tokenization.tokenizeIfCheap(n);const c=e.getLanguageIdAtPosition(n,s),d=this.languageConfigurationService.getLanguageConfiguration(c).comments;!d||!d.blockCommentStartToken||!d.blockCommentEndToken||this._createOperationsForBlockComment(this._selection,d.blockCommentStartToken,d.blockCommentEndToken,this._insertSpace,e,i)}computeCursorState(e,i){const n=i.getInverseEditOperations();if(n.length===2){const s=n[0],c=n[1];return new Us(s.range.endLineNumber,s.range.endColumn,c.range.startLineNumber,c.range.startColumn)}else{const s=n[0].range,c=this._usedEndToken?-this._usedEndToken.length-1:0;return new Us(s.endLineNumber,s.endColumn+c,s.endLineNumber,s.endColumn+c)}}}class b4{constructor(e,i,n,s,c,d,f){this.languageConfigurationService=e,this._selection=i,this._indentSize=n,this._type=s,this._insertSpace=c,this._selectionId=null,this._deltaColumn=0,this._moveEndPositionDown=!1,this._ignoreEmptyLines=d,this._ignoreFirstLine=f||!1}static _gatherPreflightCommentStrings(e,i,n,s){e.tokenization.tokenizeIfCheap(i);const c=e.getLanguageIdAtPosition(i,1),d=s.getLanguageConfiguration(c).comments,f=d?d.lineCommentToken:null;if(!f)return null;const m=[];for(let v=0,x=n-i+1;v<x;v++)m[v]={ignore:!1,commentStr:f,commentStrOffset:0,commentStrLength:f.length};return m}static _analyzeLines(e,i,n,s,c,d,f,m){let v=!0,x;e===0?x=!0:e===1?x=!1:x=!0;for(let w=0,I=s.length;w<I;w++){const P=s[w],O=c+w;if(O===c&&f){P.ignore=!0;continue}const z=n.getLineContent(O),J=Ty(z);if(J===-1){P.ignore=d,P.commentStrOffset=z.length;continue}if(v=!1,P.ignore=!1,P.commentStrOffset=J,x&&!HM._haystackHasNeedleAtOffset(z,P.commentStr,J)&&(e===0?x=!1:e===1||(P.ignore=!0)),x&&i){const Y=J+P.commentStrLength;Y<z.length&&z.charCodeAt(Y)===32&&(P.commentStrLength+=1)}}if(e===0&&v){x=!1;for(let w=0,I=s.length;w<I;w++)s[w].ignore=!1}return{supported:!0,shouldRemoveComments:x,lines:s}}static _gatherPreflightData(e,i,n,s,c,d,f,m){const v=b4._gatherPreflightCommentStrings(n,s,c,m);return v===null?{supported:!1}:b4._analyzeLines(e,i,n,v,s,d,f,m)}_executeLineComments(e,i,n,s){let c;n.shouldRemoveComments?c=b4._createRemoveLineCommentsOperations(n.lines,s.startLineNumber):(b4._normalizeInsertionPoint(e,n.lines,s.startLineNumber,this._indentSize),c=this._createAddLineCommentsOperations(n.lines,s.startLineNumber));const d=new pi(s.positionLineNumber,s.positionColumn);for(let f=0,m=c.length;f<m;f++)i.addEditOperation(c[f].range,c[f].text),nt.isEmpty(c[f].range)&&nt.getStartPosition(c[f].range).equals(d)&&e.getLineContent(d.lineNumber).length+1===d.column&&(this._deltaColumn=(c[f].text||"").length);this._selectionId=i.trackSelection(s)}_attemptRemoveBlockComment(e,i,n,s){let c=i.startLineNumber,d=i.endLineNumber;const f=s.length+Math.max(e.getLineFirstNonWhitespaceColumn(i.startLineNumber),i.startColumn);let m=e.getLineContent(c).lastIndexOf(n,f-1),v=e.getLineContent(d).indexOf(s,i.endColumn-1-n.length);return m!==-1&&v===-1&&(v=e.getLineContent(c).indexOf(s,m+n.length),d=c),m===-1&&v!==-1&&(m=e.getLineContent(d).lastIndexOf(n,v),c=d),i.isEmpty()&&(m===-1||v===-1)&&(m=e.getLineContent(c).indexOf(n),m!==-1&&(v=e.getLineContent(c).indexOf(s,m+n.length))),m!==-1&&e.getLineContent(c).charCodeAt(m+n.length)===32&&(n+=" "),v!==-1&&e.getLineContent(d).charCodeAt(v-1)===32&&(s=" "+s,v-=1),m!==-1&&v!==-1?HM._createRemoveBlockCommentOperations(new nt(c,m+n.length+1,d,v+1),n,s):null}_executeBlockComment(e,i,n){e.tokenization.tokenizeIfCheap(n.startLineNumber);const s=e.getLanguageIdAtPosition(n.startLineNumber,1),c=this.languageConfigurationService.getLanguageConfiguration(s).comments;if(!c||!c.blockCommentStartToken||!c.blockCommentEndToken)return;const d=c.blockCommentStartToken,f=c.blockCommentEndToken;let m=this._attemptRemoveBlockComment(e,n,d,f);if(!m){if(n.isEmpty()){const v=e.getLineContent(n.startLineNumber);let x=Ty(v);x===-1&&(x=v.length),m=HM._createAddBlockCommentOperations(new nt(n.startLineNumber,x+1,n.startLineNumber,v.length+1),d,f,this._insertSpace)}else m=HM._createAddBlockCommentOperations(new nt(n.startLineNumber,e.getLineFirstNonWhitespaceColumn(n.startLineNumber),n.endLineNumber,e.getLineMaxColumn(n.endLineNumber)),d,f,this._insertSpace);m.length===1&&(this._deltaColumn=d.length+1)}this._selectionId=i.trackSelection(n);for(const v of m)i.addEditOperation(v.range,v.text)}getEditOperations(e,i){let n=this._selection;if(this._moveEndPositionDown=!1,n.startLineNumber===n.endLineNumber&&this._ignoreFirstLine){i.addEditOperation(new nt(n.startLineNumber,e.getLineMaxColumn(n.startLineNumber),n.startLineNumber+1,1),n.startLineNumber===e.getLineCount()?"":`
+`),this._selectionId=i.trackSelection(n);return}n.startLineNumber<n.endLineNumber&&n.endColumn===1&&(this._moveEndPositionDown=!0,n=n.setEndPosition(n.endLineNumber-1,e.getLineMaxColumn(n.endLineNumber-1)));const s=b4._gatherPreflightData(this._type,this._insertSpace,e,n.startLineNumber,n.endLineNumber,this._ignoreEmptyLines,this._ignoreFirstLine,this.languageConfigurationService);return s.supported?this._executeLineComments(e,i,s,n):this._executeBlockComment(e,i,n)}computeCursorState(e,i){let n=i.getTrackedSelection(this._selectionId);return this._moveEndPositionDown&&(n=n.setEndPosition(n.endLineNumber+1,1)),new Us(n.selectionStartLineNumber,n.selectionStartColumn+this._deltaColumn,n.positionLineNumber,n.positionColumn+this._deltaColumn)}static _createRemoveLineCommentsOperations(e,i){const n=[];for(let s=0,c=e.length;s<c;s++){const d=e[s];d.ignore||n.push(eh.delete(new nt(i+s,d.commentStrOffset+1,i+s,d.commentStrOffset+d.commentStrLength+1)))}return n}_createAddLineCommentsOperations(e,i){const n=[],s=this._insertSpace?" ":"";for(let c=0,d=e.length;c<d;c++){const f=e[c];f.ignore||n.push(eh.insert(new pi(i+c,f.commentStrOffset+1),f.commentStr+s))}return n}static nextVisibleColumn(e,i,n,s){return n?e+(i-e%i):e+s}static _normalizeInsertionPoint(e,i,n,s){let c=1073741824,d,f;for(let m=0,v=i.length;m<v;m++){if(i[m].ignore)continue;const x=e.getLineContent(n+m);let w=0;for(let I=0,P=i[m].commentStrOffset;w<c&&I<P;I++)w=b4.nextVisibleColumn(w,s,x.charCodeAt(I)===9,1);w<c&&(c=w)}c=Math.floor(c/s)*s;for(let m=0,v=i.length;m<v;m++){if(i[m].ignore)continue;const x=e.getLineContent(n+m);let w=0;for(d=0,f=i[m].commentStrOffset;w<c&&d<f;d++)w=b4.nextVisibleColumn(w,s,x.charCodeAt(d)===9,1);w>c?i[m].commentStrOffset=d-1:i[m].commentStrOffset=d}}}class KGe extends hs{constructor(e,i){super(i),this._type=e}run(e,i){const n=e.get(rh);if(!i.hasModel())return;const s=i.getModel(),c=[],d=s.getOptions(),f=i.getOption(23),m=i.getSelections().map((x,w)=>({selection:x,index:w,ignoreFirstLine:!1}));m.sort((x,w)=>nt.compareRangesUsingStarts(x.selection,w.selection));let v=m[0];for(let x=1;x<m.length;x++){const w=m[x];v.selection.endLineNumber===w.selection.startLineNumber&&(v.index<w.index?w.ignoreFirstLine=!0:(v.ignoreFirstLine=!0,v=w))}for(const x of m)c.push(new b4(n,x.selection,d.indentSize,this._type,f.insertSpace,f.ignoreEmptyLines,x.ignoreFirstLine));i.pushUndoStop(),i.executeCommands(this.id,c),i.pushUndoStop()}}class PPi extends KGe{constructor(){super(0,{id:"editor.action.commentLine",label:W("comment.line","Toggle Line Comment"),alias:"Toggle Line Comment",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:2138,weight:100},menuOpts:{menuId:As.MenubarEditMenu,group:"5_insert",title:W({key:"miToggleLineComment",comment:["&& denotes a mnemonic"]},"&&Toggle Line Comment"),order:1}})}}class APi extends KGe{constructor(){super(1,{id:"editor.action.addCommentLine",label:W("comment.line.add","Add Line Comment"),alias:"Add Line Comment",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2081),weight:100}})}}class OPi extends KGe{constructor(){super(2,{id:"editor.action.removeCommentLine",label:W("comment.line.remove","Remove Line Comment"),alias:"Remove Line Comment",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2099),weight:100}})}}class MPi extends hs{constructor(){super({id:"editor.action.blockComment",label:W("comment.block","Toggle Block Comment"),alias:"Toggle Block Comment",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:1567,linux:{primary:3103},weight:100},menuOpts:{menuId:As.MenubarEditMenu,group:"5_insert",title:W({key:"miToggleBlockComment",comment:["&& denotes a mnemonic"]},"Toggle &&Block Comment"),order:2}})}run(e,i){const n=e.get(rh);if(!i.hasModel())return;const s=i.getOption(23),c=[],d=i.getSelections();for(const f of d)c.push(new HM(f,s.insertSpace,n));i.pushUndoStop(),i.executeCommands(this.id,c),i.pushUndoStop()}}sr(PPi);sr(APi);sr(OPi);sr(MPi);var RPi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},SF=function(l,e){return function(i,n){e(i,n,l)}},Oze,G9;let eJ=(G9=class{static get(e){return e.getContribution(Oze.ID)}constructor(e,i,n,s,c,d,f,m){this._contextMenuService=i,this._contextViewService=n,this._contextKeyService=s,this._keybindingService=c,this._menuService=d,this._configurationService=f,this._workspaceContextService=m,this._toDispose=new wn,this._contextMenuIsBeingShownCount=0,this._editor=e,this._toDispose.add(this._editor.onContextMenu(v=>this._onContextMenu(v))),this._toDispose.add(this._editor.onMouseWheel(v=>{if(this._contextMenuIsBeingShownCount>0){const x=this._contextViewService.getContextViewElement(),w=v.srcElement;w.shadowRoot&&kB(x)===w.shadowRoot||this._contextViewService.hideContextView()}})),this._toDispose.add(this._editor.onKeyDown(v=>{this._editor.getOption(24)&&v.keyCode===58&&(v.preventDefault(),v.stopPropagation(),this.showContextMenu())}))}_onContextMenu(e){if(!this._editor.hasModel())return;if(!this._editor.getOption(24)){this._editor.focus(),e.target.position&&!this._editor.getSelection().containsPosition(e.target.position)&&this._editor.setPosition(e.target.position);return}if(e.target.type===12||e.target.type===6&&e.target.detail.injectedText)return;if(e.event.preventDefault(),e.event.stopPropagation(),e.target.type===11)return this._showScrollbarContextMenu(e.event);if(e.target.type!==6&&e.target.type!==7&&e.target.type!==1)return;if(this._editor.focus(),e.target.position){let n=!1;for(const s of this._editor.getSelections())if(s.containsPosition(e.target.position)){n=!0;break}n||this._editor.setPosition(e.target.position)}let i=null;e.target.type!==1&&(i=e.event),this.showContextMenu(i)}showContextMenu(e){if(!this._editor.getOption(24)||!this._editor.hasModel())return;const i=this._getMenuActions(this._editor.getModel(),this._editor.contextMenuId);i.length>0&&this._doShowContextMenu(i,e)}_getMenuActions(e,i){const n=[],s=this._menuService.getMenuActions(i,this._contextKeyService,{arg:e.uri});for(const c of s){const[,d]=c;let f=0;for(const m of d)if(m instanceof zq){const v=this._getMenuActions(e,m.item.submenu);v.length>0&&(n.push(new T$(m.id,m.label,v)),f++)}else n.push(m),f++;f&&n.push(new Rm)}return n.length&&n.pop(),n}_doShowContextMenu(e,i=null){if(!this._editor.hasModel())return;const n=this._editor.getOption(60);this._editor.updateOptions({hover:{enabled:!1}});let s=i;if(!s){this._editor.revealPosition(this._editor.getPosition(),1),this._editor.render();const d=this._editor.getScrolledVisiblePosition(this._editor.getPosition()),f=e_(this._editor.getDomNode()),m=f.left+d.left,v=f.top+d.top+d.height;s={x:m,y:v}}const c=this._editor.getOption(128)&&!VE;this._contextMenuIsBeingShownCount++,this._contextMenuService.showContextMenu({domForShadowRoot:c?this._editor.getOverflowWidgetsDomNode()??this._editor.getDomNode():void 0,getAnchor:()=>s,getActions:()=>e,getActionViewItem:d=>{const f=this._keybindingFor(d);if(f)return new V$(d,d,{label:!0,keybinding:f.getLabel(),isMenu:!0});const m=d;return typeof m.getActionViewItem=="function"?m.getActionViewItem():new V$(d,d,{icon:!0,label:!0,isMenu:!0})},getKeyBinding:d=>this._keybindingFor(d),onHide:d=>{this._contextMenuIsBeingShownCount--,this._editor.updateOptions({hover:n})}})}_showScrollbarContextMenu(e){if(!this._editor.hasModel()||J0i(this._workspaceContextService.getWorkspace()))return;const i=this._editor.getOption(73);let n=0;const s=v=>({id:`menu-action-${++n}`,label:v.label,tooltip:"",class:void 0,enabled:typeof v.enabled>"u"?!0:v.enabled,checked:v.checked,run:v.run}),c=(v,x)=>new T$(`menu-action-${++n}`,v,x,void 0),d=(v,x,w,I,P)=>{if(!x)return s({label:v,enabled:x,run:()=>{}});const O=J=>()=>{this._configurationService.updateValue(w,J)},z=[];for(const J of P)z.push(s({label:J.label,checked:I===J.value,run:O(J.value)}));return c(v,z)},f=[];f.push(s({label:W("context.minimap.minimap","Minimap"),checked:i.enabled,run:()=>{this._configurationService.updateValue("editor.minimap.enabled",!i.enabled)}})),f.push(new Rm),f.push(s({label:W("context.minimap.renderCharacters","Render Characters"),enabled:i.enabled,checked:i.renderCharacters,run:()=>{this._configurationService.updateValue("editor.minimap.renderCharacters",!i.renderCharacters)}})),f.push(d(W("context.minimap.size","Vertical size"),i.enabled,"editor.minimap.size",i.size,[{label:W("context.minimap.size.proportional","Proportional"),value:"proportional"},{label:W("context.minimap.size.fill","Fill"),value:"fill"},{label:W("context.minimap.size.fit","Fit"),value:"fit"}])),f.push(d(W("context.minimap.slider","Slider"),i.enabled,"editor.minimap.showSlider",i.showSlider,[{label:W("context.minimap.slider.mouseover","Mouse Over"),value:"mouseover"},{label:W("context.minimap.slider.always","Always"),value:"always"}]));const m=this._editor.getOption(128)&&!VE;this._contextMenuIsBeingShownCount++,this._contextMenuService.showContextMenu({domForShadowRoot:m?this._editor.getDomNode():void 0,getAnchor:()=>e,getActions:()=>f,onHide:v=>{this._contextMenuIsBeingShownCount--,this._editor.focus()}})}_keybindingFor(e){return this._keybindingService.lookupKeybinding(e.id)}dispose(){this._contextMenuIsBeingShownCount>0&&this._contextViewService.hideContextView(),this._toDispose.dispose()}},Oze=G9,G9.ID="editor.contrib.contextmenu",G9);eJ=Oze=RPi([SF(1,eS),SF(2,b6),SF(3,Ko),SF(4,pu),SF(5,Lw),SF(6,Cc),SF(7,LB)],eJ);class FPi extends hs{constructor(){super({id:"editor.action.showContextMenu",label:W("action.showContextMenu.label","Show Editor Context Menu"),alias:"Show Editor Context Menu",precondition:void 0,kbOpts:{kbExpr:Tt.textInputFocus,primary:1092,weight:100}})}run(e,i){var n;(n=eJ.get(i))==null||n.showContextMenu()}}Cl(eJ.ID,eJ,2);sr(FPi);class O9e{constructor(e){this.selections=e}equals(e){const i=this.selections.length,n=e.selections.length;if(i!==n)return!1;for(let s=0;s<i;s++)if(!this.selections[s].equalsSelection(e.selections[s]))return!1;return!0}}class M9e{constructor(e,i,n){this.cursorState=e,this.scrollTop=i,this.scrollLeft=n}}const UCe=class UCe extends xi{static get(e){return e.getContribution(UCe.ID)}constructor(e){super(),this._editor=e,this._isCursorUndoRedo=!1,this._undoStack=[],this._redoStack=[],this._register(e.onDidChangeModel(i=>{this._undoStack=[],this._redoStack=[]})),this._register(e.onDidChangeModelContent(i=>{this._undoStack=[],this._redoStack=[]})),this._register(e.onDidChangeCursorSelection(i=>{if(this._isCursorUndoRedo||!i.oldSelections||i.oldModelVersionId!==i.modelVersionId)return;const n=new O9e(i.oldSelections);this._undoStack.length>0&&this._undoStack[this._undoStack.length-1].cursorState.equals(n)||(this._undoStack.push(new M9e(n,e.getScrollTop(),e.getScrollLeft())),this._redoStack=[],this._undoStack.length>50&&this._undoStack.shift())}))}cursorUndo(){!this._editor.hasModel()||this._undoStack.length===0||(this._redoStack.push(new M9e(new O9e(this._editor.getSelections()),this._editor.getScrollTop(),this._editor.getScrollLeft())),this._applyState(this._undoStack.pop()))}cursorRedo(){!this._editor.hasModel()||this._redoStack.length===0||(this._undoStack.push(new M9e(new O9e(this._editor.getSelections()),this._editor.getScrollTop(),this._editor.getScrollLeft())),this._applyState(this._redoStack.pop()))}_applyState(e){this._isCursorUndoRedo=!0,this._editor.setSelections(e.cursorState.selections),this._editor.setScrollPosition({scrollTop:e.scrollTop,scrollLeft:e.scrollLeft}),this._isCursorUndoRedo=!1}};UCe.ID="editor.contrib.cursorUndoRedoController";let tJ=UCe;class BPi extends hs{constructor(){super({id:"cursorUndo",label:W("cursor.undo","Cursor Undo"),alias:"Cursor Undo",precondition:void 0,kbOpts:{kbExpr:Tt.textInputFocus,primary:2099,weight:100}})}run(e,i,n){var s;(s=tJ.get(i))==null||s.cursorUndo()}}class WPi extends hs{constructor(){super({id:"cursorRedo",label:W("cursor.redo","Cursor Redo"),alias:"Cursor Redo",precondition:void 0})}run(e,i,n){var s;(s=tJ.get(i))==null||s.cursorRedo()}}Cl(tJ.ID,tJ,0);sr(BPi);sr(WPi);class VPi{constructor(e,i,n){this.selection=e,this.targetPosition=i,this.copy=n,this.targetSelection=null}getEditOperations(e,i){const n=e.getValueInRange(this.selection);if(this.copy||i.addEditOperation(this.selection,null),i.addEditOperation(new nt(this.targetPosition.lineNumber,this.targetPosition.column,this.targetPosition.lineNumber,this.targetPosition.column),n),this.selection.containsPosition(this.targetPosition)&&!(this.copy&&(this.selection.getEndPosition().equals(this.targetPosition)||this.selection.getStartPosition().equals(this.targetPosition)))){this.targetSelection=this.selection;return}if(this.copy){this.targetSelection=new Us(this.targetPosition.lineNumber,this.targetPosition.column,this.selection.endLineNumber-this.selection.startLineNumber+this.targetPosition.lineNumber,this.selection.startLineNumber===this.selection.endLineNumber?this.targetPosition.column+this.selection.endColumn-this.selection.startColumn:this.selection.endColumn);return}if(this.targetPosition.lineNumber>this.selection.endLineNumber){this.targetSelection=new Us(this.targetPosition.lineNumber-this.selection.endLineNumber+this.selection.startLineNumber,this.targetPosition.column,this.targetPosition.lineNumber,this.selection.startLineNumber===this.selection.endLineNumber?this.targetPosition.column+this.selection.endColumn-this.selection.startColumn:this.selection.endColumn);return}if(this.targetPosition.lineNumber<this.selection.endLineNumber){this.targetSelection=new Us(this.targetPosition.lineNumber,this.targetPosition.column,this.targetPosition.lineNumber+this.selection.endLineNumber-this.selection.startLineNumber,this.selection.startLineNumber===this.selection.endLineNumber?this.targetPosition.column+this.selection.endColumn-this.selection.startColumn:this.selection.endColumn);return}this.selection.endColumn<=this.targetPosition.column?this.targetSelection=new Us(this.targetPosition.lineNumber-this.selection.endLineNumber+this.selection.startLineNumber,this.selection.startLineNumber===this.selection.endLineNumber?this.targetPosition.column-this.selection.endColumn+this.selection.startColumn:this.targetPosition.column-this.selection.endColumn+this.selection.startColumn,this.targetPosition.lineNumber,this.selection.startLineNumber===this.selection.endLineNumber?this.targetPosition.column:this.selection.endColumn):this.targetSelection=new Us(this.targetPosition.lineNumber-this.selection.endLineNumber+this.selection.startLineNumber,this.targetPosition.column,this.targetPosition.lineNumber,this.targetPosition.column+this.selection.endColumn-this.selection.startColumn)}computeCursorState(e,i){return this.targetSelection}}function RU(l){return Hc?l.altKey:l.ctrlKey}const bM=class bM extends xi{constructor(e){super(),this._editor=e,this._dndDecorationIds=this._editor.createDecorationsCollection(),this._register(this._editor.onMouseDown(i=>this._onEditorMouseDown(i))),this._register(this._editor.onMouseUp(i=>this._onEditorMouseUp(i))),this._register(this._editor.onMouseDrag(i=>this._onEditorMouseDrag(i))),this._register(this._editor.onMouseDrop(i=>this._onEditorMouseDrop(i))),this._register(this._editor.onMouseDropCanceled(()=>this._onEditorMouseDropCanceled())),this._register(this._editor.onKeyDown(i=>this.onEditorKeyDown(i))),this._register(this._editor.onKeyUp(i=>this.onEditorKeyUp(i))),this._register(this._editor.onDidBlurEditorWidget(()=>this.onEditorBlur())),this._register(this._editor.onDidBlurEditorText(()=>this.onEditorBlur())),this._mouseDown=!1,this._modifierPressed=!1,this._dragSelection=null}onEditorBlur(){this._removeDecoration(),this._dragSelection=null,this._mouseDown=!1,this._modifierPressed=!1}onEditorKeyDown(e){!this._editor.getOption(35)||this._editor.getOption(22)||(RU(e)&&(this._modifierPressed=!0),this._mouseDown&&RU(e)&&this._editor.updateOptions({mouseStyle:"copy"}))}onEditorKeyUp(e){!this._editor.getOption(35)||this._editor.getOption(22)||(RU(e)&&(this._modifierPressed=!1),this._mouseDown&&e.keyCode===bM.TRIGGER_KEY_VALUE&&this._editor.updateOptions({mouseStyle:"default"}))}_onEditorMouseDown(e){this._mouseDown=!0}_onEditorMouseUp(e){this._mouseDown=!1,this._editor.updateOptions({mouseStyle:"text"})}_onEditorMouseDrag(e){const i=e.target;if(this._dragSelection===null){const s=(this._editor.getSelections()||[]).filter(c=>i.position&&c.containsPosition(i.position));if(s.length===1)this._dragSelection=s[0];else return}RU(e.event)?this._editor.updateOptions({mouseStyle:"copy"}):this._editor.updateOptions({mouseStyle:"default"}),i.position&&(this._dragSelection.containsPosition(i.position)?this._removeDecoration():this.showAt(i.position))}_onEditorMouseDropCanceled(){this._editor.updateOptions({mouseStyle:"text"}),this._removeDecoration(),this._dragSelection=null,this._mouseDown=!1}_onEditorMouseDrop(e){if(e.target&&(this._hitContent(e.target)||this._hitMargin(e.target))&&e.target.position){const i=new pi(e.target.position.lineNumber,e.target.position.column);if(this._dragSelection===null){let n=null;if(e.event.shiftKey){const s=this._editor.getSelection();if(s){const{selectionStartLineNumber:c,selectionStartColumn:d}=s;n=[new Us(c,d,i.lineNumber,i.column)]}}else n=(this._editor.getSelections()||[]).map(s=>s.containsPosition(i)?new Us(i.lineNumber,i.column,i.lineNumber,i.column):s);this._editor.setSelections(n||[],"mouse",3)}else(!this._dragSelection.containsPosition(i)||(RU(e.event)||this._modifierPressed)&&(this._dragSelection.getEndPosition().equals(i)||this._dragSelection.getStartPosition().equals(i)))&&(this._editor.pushUndoStop(),this._editor.executeCommand(bM.ID,new VPi(this._dragSelection,i,RU(e.event)||this._modifierPressed)),this._editor.pushUndoStop())}this._editor.updateOptions({mouseStyle:"text"}),this._removeDecoration(),this._dragSelection=null,this._mouseDown=!1}showAt(e){this._dndDecorationIds.set([{range:new nt(e.lineNumber,e.column,e.lineNumber,e.column),options:bM._DECORATION_OPTIONS}]),this._editor.revealPosition(e,1)}_removeDecoration(){this._dndDecorationIds.clear()}_hitContent(e){return e.type===6||e.type===7}_hitMargin(e){return e.type===2||e.type===3||e.type===4}dispose(){this._removeDecoration(),this._dragSelection=null,this._mouseDown=!1,this._modifierPressed=!1,super.dispose()}};bM.ID="editor.contrib.dragAndDrop",bM.TRIGGER_KEY_VALUE=Hc?6:5,bM._DECORATION_OPTIONS=qa.register({description:"dnd-target",className:"dnd-target"});let obe=bM;Cl(obe.ID,obe,2);Cl(_6.ID,_6,0);NJ(Kje);$r(new class extends tm{constructor(){super({id:qNt,precondition:MGe,kbOpts:{weight:100,primary:2137}})}runEditorCommand(l,e){var i;return(i=_6.get(e))==null?void 0:i.changePasteType()}});$r(new class extends tm{constructor(){super({id:"editor.hidePasteWidget",precondition:MGe,kbOpts:{weight:100,primary:9}})}runEditorCommand(l,e){var i;(i=_6.get(e))==null||i.clearWidgets()}});var K9;sr((K9=class extends hs{constructor(){super({id:"editor.action.pasteAs",label:W("pasteAs","Paste As..."),alias:"Paste As...",precondition:Tt.writable,metadata:{description:"Paste as",args:[{name:"args",schema:K9.argsSchema}]}})}run(e,i,n){var c;let s=typeof(n==null?void 0:n.kind)=="string"?n.kind:void 0;return!s&&n&&(s=typeof n.id=="string"?n.id:void 0),(c=_6.get(i))==null?void 0:c.pasteAs(s?new Nf(s):void 0)}},K9.argsSchema={type:"object",properties:{kind:{type:"string",description:W("pasteAs.kind","The kind of the paste edit to try applying. If not provided or there are multiple edits for this kind, the editor will show a picker.")}}},K9));sr(class extends hs{constructor(){super({id:"editor.action.pasteAsText",label:W("pasteAsText","Paste as Text"),alias:"Paste as Text",precondition:Tt.writable})}run(l,e){var i;return(i=_6.get(e))==null?void 0:i.pasteAs({providerId:BB.id})}});class HPi{constructor(){this._dragOperations=new Map}removeDragOperationTransfer(e){if(e&&this._dragOperations.has(e)){const i=this._dragOperations.get(e);return this._dragOperations.delete(e),i}}}class Zwt{constructor(e){this.identifier=e}}const zLt=jc("treeViewsDndService");nl(zLt,HPi,1);var jPi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},O0e=function(l,e){return function(i,n){e(i,n,l)}},Mze;const ULt="editor.experimental.dropIntoEditor.defaultProvider",qLt="editor.changeDropType",XGe=new rs("dropWidgetVisible",!1,W("dropWidgetVisible","Whether the drop widget is showing"));var X9;let iJ=(X9=class extends xi{static get(e){return e.getContribution(Mze.ID)}constructor(e,i,n,s,c){super(),this._configService=n,this._languageFeaturesService=s,this._treeViewsDragAndDropService=c,this.treeItemsTransfer=Jje.getInstance(),this._dropProgressManager=this._register(i.createInstance(Jve,"dropIntoEditor",e)),this._postDropWidgetManager=this._register(i.createInstance(Kve,"dropIntoEditor",e,XGe,{id:qLt,label:W("postDropWidgetTitle","Show drop options...")})),this._register(e.onDropIntoEditor(d=>this.onDropIntoEditor(e,d.position,d.event)))}clearWidgets(){this._postDropWidgetManager.clear()}changeDropType(){this._postDropWidgetManager.tryShowSelector()}async onDropIntoEditor(e,i,n){var c;if(!n.dataTransfer||!e.hasModel())return;(c=this._currentOperation)==null||c.cancel(),e.focus(),e.setPosition(i);const s=Om(async d=>{const f=new wn,m=f.add(new LR(e,1,void 0,d));try{const v=await this.extractDataTransferData(n);if(v.size===0||m.token.isCancellationRequested)return;const x=e.getModel();if(!x)return;const w=this._languageFeaturesService.documentDropEditProvider.ordered(x).filter(P=>P.dropMimeTypes?P.dropMimeTypes.some(O=>v.matches(O)):!0),I=f.add(await this.getDropEdits(w,x,i,v,m));if(m.token.isCancellationRequested)return;if(I.edits.length){const P=this.getInitialActiveEditIndex(x,I.edits),O=e.getOption(36).showDropSelector==="afterDrop";await this._postDropWidgetManager.applyEditAndShowIfNeeded([nt.fromPositions(i)],{activeEditIndex:P,allEdits:I.edits},O,async z=>z,d)}}finally{f.dispose(),this._currentOperation===s&&(this._currentOperation=void 0)}});this._dropProgressManager.showWhile(i,W("dropIntoEditorProgress","Running drop handlers. Click to cancel"),s,{cancel:()=>s.cancel()}),this._currentOperation=s}async getDropEdits(e,i,n,s,c){const d=new wn,f=await _se(Promise.all(e.map(async v=>{try{const x=await v.provideDocumentDropEdits(i,n,s,c.token);return x&&d.add(x),x==null?void 0:x.edits.map(w=>({...w,providerId:v.id}))}catch(x){console.error(x)}})),c.token),m=yT(f??[]).flat();return{edits:zNt(m),dispose:()=>d.dispose()}}getInitialActiveEditIndex(e,i){const n=this._configService.getValue(ULt,{resource:e.uri});for(const[s,c]of Object.entries(n)){const d=new Nf(c),f=i.findIndex(m=>d.value===m.providerId&&m.handledMimeType&&MNt(s,[m.handledMimeType]));if(f>=0)return f}return 0}async extractDataTransferData(e){if(!e.dataTransfer)return new ONt;const i=BNt(e.dataTransfer);if(this.treeItemsTransfer.hasData(Zwt.prototype)){const n=this.treeItemsTransfer.getData(Zwt.prototype);if(Array.isArray(n))for(const s of n){const c=await this._treeViewsDragAndDropService.removeDragOperationTransfer(s.identifier);if(c)for(const[d,f]of c)i.replace(d,f)}}return i}},Mze=X9,X9.ID="editor.contrib.dropIntoEditorController",X9);iJ=Mze=jPi([O0e(1,ho),O0e(2,Cc),O0e(3,Ts),O0e(4,zLt)],iJ);Cl(iJ.ID,iJ,2);NJ(Gje);$r(new class extends tm{constructor(){super({id:qLt,precondition:XGe,kbOpts:{weight:100,primary:2137}})}runEditorCommand(l,e,i){var n;(n=iJ.get(e))==null||n.changeDropType()}});$r(new class extends tm{constructor(){super({id:"editor.hideDropWidget",precondition:XGe,kbOpts:{weight:100,primary:9}})}runEditorCommand(l,e,i){var n;(n=iJ.get(e))==null||n.clearWidgets()}});nh.as(DT.Configuration).registerConfiguration({...wse,properties:{[ULt]:{type:"object",scope:5,description:W("defaultProviderDescription","Configures the default drop provider to use for content of a given mime type."),default:{},additionalProperties:{type:"string"}}}});const my=class my{constructor(e){this._editor=e,this._decorations=[],this._overviewRulerApproximateDecorations=[],this._findScopeDecorationIds=[],this._rangeHighlightDecorationId=null,this._highlightedDecorationId=null,this._startPosition=this._editor.getPosition()}dispose(){this._editor.removeDecorations(this._allDecorations()),this._decorations=[],this._overviewRulerApproximateDecorations=[],this._findScopeDecorationIds=[],this._rangeHighlightDecorationId=null,this._highlightedDecorationId=null}reset(){this._decorations=[],this._overviewRulerApproximateDecorations=[],this._findScopeDecorationIds=[],this._rangeHighlightDecorationId=null,this._highlightedDecorationId=null}getCount(){return this._decorations.length}getFindScope(){return this._findScopeDecorationIds[0]?this._editor.getModel().getDecorationRange(this._findScopeDecorationIds[0]):null}getFindScopes(){if(this._findScopeDecorationIds.length){const e=this._findScopeDecorationIds.map(i=>this._editor.getModel().getDecorationRange(i)).filter(i=>!!i);if(e.length)return e}return null}getStartPosition(){return this._startPosition}setStartPosition(e){this._startPosition=e,this.setCurrentFindMatch(null)}_getDecorationIndex(e){const i=this._decorations.indexOf(e);return i>=0?i+1:1}getDecorationRangeAt(e){const i=e<this._decorations.length?this._decorations[e]:null;return i?this._editor.getModel().getDecorationRange(i):null}getCurrentMatchesPosition(e){const i=this._editor.getModel().getDecorationsInRange(e);for(const n of i){const s=n.options;if(s===my._FIND_MATCH_DECORATION||s===my._CURRENT_FIND_MATCH_DECORATION)return this._getDecorationIndex(n.id)}return 0}setCurrentFindMatch(e){let i=null,n=0;if(e)for(let s=0,c=this._decorations.length;s<c;s++){const d=this._editor.getModel().getDecorationRange(this._decorations[s]);if(e.equalsRange(d)){i=this._decorations[s],n=s+1;break}}return(this._highlightedDecorationId!==null||i!==null)&&this._editor.changeDecorations(s=>{if(this._highlightedDecorationId!==null&&(s.changeDecorationOptions(this._highlightedDecorationId,my._FIND_MATCH_DECORATION),this._highlightedDecorationId=null),i!==null&&(this._highlightedDecorationId=i,s.changeDecorationOptions(this._highlightedDecorationId,my._CURRENT_FIND_MATCH_DECORATION)),this._rangeHighlightDecorationId!==null&&(s.removeDecoration(this._rangeHighlightDecorationId),this._rangeHighlightDecorationId=null),i!==null){let c=this._editor.getModel().getDecorationRange(i);if(c.startLineNumber!==c.endLineNumber&&c.endColumn===1){const d=c.endLineNumber-1,f=this._editor.getModel().getLineMaxColumn(d);c=new nt(c.startLineNumber,c.startColumn,d,f)}this._rangeHighlightDecorationId=s.addDecoration(c,my._RANGE_HIGHLIGHT_DECORATION)}}),n}set(e,i){this._editor.changeDecorations(n=>{let s=my._FIND_MATCH_DECORATION;const c=[];if(e.length>1e3){s=my._FIND_MATCH_NO_OVERVIEW_DECORATION;const f=this._editor.getModel().getLineCount(),v=this._editor.getLayoutInfo().height/f,x=Math.max(2,Math.ceil(3/v));let w=e[0].range.startLineNumber,I=e[0].range.endLineNumber;for(let P=1,O=e.length;P<O;P++){const z=e[P].range;I+x>=z.startLineNumber?z.endLineNumber>I&&(I=z.endLineNumber):(c.push({range:new nt(w,1,I,1),options:my._FIND_MATCH_ONLY_OVERVIEW_DECORATION}),w=z.startLineNumber,I=z.endLineNumber)}c.push({range:new nt(w,1,I,1),options:my._FIND_MATCH_ONLY_OVERVIEW_DECORATION})}const d=new Array(e.length);for(let f=0,m=e.length;f<m;f++)d[f]={range:e[f].range,options:s};this._decorations=n.deltaDecorations(this._decorations,d),this._overviewRulerApproximateDecorations=n.deltaDecorations(this._overviewRulerApproximateDecorations,c),this._rangeHighlightDecorationId&&(n.removeDecoration(this._rangeHighlightDecorationId),this._rangeHighlightDecorationId=null),this._findScopeDecorationIds.length&&(this._findScopeDecorationIds.forEach(f=>n.removeDecoration(f)),this._findScopeDecorationIds=[]),i!=null&&i.length&&(this._findScopeDecorationIds=i.map(f=>n.addDecoration(f,my._FIND_SCOPE_DECORATION)))})}matchBeforePosition(e){if(this._decorations.length===0)return null;for(let i=this._decorations.length-1;i>=0;i--){const n=this._decorations[i],s=this._editor.getModel().getDecorationRange(n);if(!(!s||s.endLineNumber>e.lineNumber)){if(s.endLineNumber<e.lineNumber)return s;if(!(s.endColumn>e.column))return s}}return this._editor.getModel().getDecorationRange(this._decorations[this._decorations.length-1])}matchAfterPosition(e){if(this._decorations.length===0)return null;for(let i=0,n=this._decorations.length;i<n;i++){const s=this._decorations[i],c=this._editor.getModel().getDecorationRange(s);if(!(!c||c.startLineNumber<e.lineNumber)){if(c.startLineNumber>e.lineNumber)return c;if(!(c.startColumn<e.column))return c}}return this._editor.getModel().getDecorationRange(this._decorations[0])}_allDecorations(){let e=[];return e=e.concat(this._decorations),e=e.concat(this._overviewRulerApproximateDecorations),this._findScopeDecorationIds.length&&e.push(...this._findScopeDecorationIds),this._rangeHighlightDecorationId&&e.push(this._rangeHighlightDecorationId),e}};my._CURRENT_FIND_MATCH_DECORATION=qa.register({description:"current-find-match",stickiness:1,zIndex:13,className:"currentFindMatch",inlineClassName:"currentFindMatchInline",showIfCollapsed:!0,overviewRuler:{color:Mh(LFe),position:ww.Center},minimap:{color:Mh(cVe),position:1}}),my._FIND_MATCH_DECORATION=qa.register({description:"find-match",stickiness:1,zIndex:10,className:"findMatch",inlineClassName:"findMatchInline",showIfCollapsed:!0,overviewRuler:{color:Mh(LFe),position:ww.Center},minimap:{color:Mh(cVe),position:1}}),my._FIND_MATCH_NO_OVERVIEW_DECORATION=qa.register({description:"find-match-no-overview",stickiness:1,className:"findMatch",showIfCollapsed:!0}),my._FIND_MATCH_ONLY_OVERVIEW_DECORATION=qa.register({description:"find-match-only-overview",stickiness:1,overviewRuler:{color:Mh(LFe),position:ww.Center}}),my._RANGE_HIGHLIGHT_DECORATION=qa.register({description:"find-range-highlight",stickiness:1,className:"rangeHighlight",isWholeLine:!0}),my._FIND_SCOPE_DECORATION=qa.register({description:"find-scope",className:"findScope",isWholeLine:!0});let Rze=my;class zPi{constructor(e,i,n){this._editorSelection=e,this._ranges=i,this._replaceStrings=n,this._trackedEditorSelectionId=null}getEditOperations(e,i){if(this._ranges.length>0){const n=[];for(let d=0;d<this._ranges.length;d++)n.push({range:this._ranges[d],text:this._replaceStrings[d]});n.sort((d,f)=>nt.compareRangesUsingStarts(d.range,f.range));const s=[];let c=n[0];for(let d=1;d<n.length;d++)c.range.endLineNumber===n[d].range.startLineNumber&&c.range.endColumn===n[d].range.startColumn?(c.range=c.range.plusRange(n[d].range),c.text=c.text+n[d].text):(s.push(c),c=n[d]);s.push(c);for(const d of s)i.addEditOperation(d.range,d.text)}this._trackedEditorSelectionId=i.trackSelection(this._editorSelection)}computeCursorState(e,i){return i.getTrackedSelection(this._trackedEditorSelectionId)}}function $Lt(l,e){if(l&&l[0]!==""){const i=Ywt(l,e,"-"),n=Ywt(l,e,"_");return i&&!n?ext(l,e,"-"):!i&&n?ext(l,e,"_"):l[0].toUpperCase()===l[0]?e.toUpperCase():l[0].toLowerCase()===l[0]?e.toLowerCase():tui(l[0][0])&&e.length>0?e[0].toUpperCase()+e.substr(1):l[0][0].toUpperCase()!==l[0][0]&&e.length>0?e[0].toLowerCase()+e.substr(1):e}else return e}function Ywt(l,e,i){return l[0].indexOf(i)!==-1&&e.indexOf(i)!==-1&&l[0].split(i).length===e.split(i).length}function ext(l,e,i){const n=e.split(i),s=l[0].split(i);let c="";return n.forEach((d,f)=>{c+=$Lt([s[f]],d)+i}),c.slice(0,-1)}class txt{constructor(e){this.staticValue=e,this.kind=0}}class UPi{constructor(e){this.pieces=e,this.kind=1}}class nJ{static fromStaticValue(e){return new nJ([S9.staticValue(e)])}get hasReplacementPatterns(){return this._state.kind===1}constructor(e){!e||e.length===0?this._state=new txt(""):e.length===1&&e[0].staticValue!==null?this._state=new txt(e[0].staticValue):this._state=new UPi(e)}buildReplaceString(e,i){if(this._state.kind===0)return i?$Lt(e,this._state.staticValue):this._state.staticValue;let n="";for(let s=0,c=this._state.pieces.length;s<c;s++){const d=this._state.pieces[s];if(d.staticValue!==null){n+=d.staticValue;continue}let f=nJ._substitute(d.matchIndex,e);if(d.caseOps!==null&&d.caseOps.length>0){const m=[],v=d.caseOps.length;let x=0;for(let w=0,I=f.length;w<I;w++){if(x>=v){m.push(f.slice(w));break}switch(d.caseOps[x]){case"U":m.push(f[w].toUpperCase());break;case"u":m.push(f[w].toUpperCase()),x++;break;case"L":m.push(f[w].toLowerCase());break;case"l":m.push(f[w].toLowerCase()),x++;break;default:m.push(f[w])}}f=m.join("")}n+=f}return n}static _substitute(e,i){if(i===null)return"";if(e===0)return i[0];let n="";for(;e>0;){if(e<i.length)return(i[e]||"")+n;n=String(e%10)+n,e=Math.floor(e/10)}return"$"+n}}class S9{static staticValue(e){return new S9(e,-1,null)}static caseOps(e,i){return new S9(null,e,i)}constructor(e,i,n){this.staticValue=e,this.matchIndex=i,!n||n.length===0?this.caseOps=null:this.caseOps=n.slice(0)}}class qPi{constructor(e){this._source=e,this._lastCharIndex=0,this._result=[],this._resultLen=0,this._currentStaticPiece=""}emitUnchanged(e){this._emitStatic(this._source.substring(this._lastCharIndex,e)),this._lastCharIndex=e}emitStatic(e,i){this._emitStatic(e),this._lastCharIndex=i}_emitStatic(e){e.length!==0&&(this._currentStaticPiece+=e)}emitMatchIndex(e,i,n){this._currentStaticPiece.length!==0&&(this._result[this._resultLen++]=S9.staticValue(this._currentStaticPiece),this._currentStaticPiece=""),this._result[this._resultLen++]=S9.caseOps(e,n),this._lastCharIndex=i}finalize(){return this.emitUnchanged(this._source.length),this._currentStaticPiece.length!==0&&(this._result[this._resultLen++]=S9.staticValue(this._currentStaticPiece),this._currentStaticPiece=""),new nJ(this._result)}}function $Pi(l){if(!l||l.length===0)return new nJ(null);const e=[],i=new qPi(l);for(let n=0,s=l.length;n<s;n++){const c=l.charCodeAt(n);if(c===92){if(n++,n>=s)break;const d=l.charCodeAt(n);switch(d){case 92:i.emitUnchanged(n-1),i.emitStatic("\\",n+1);break;case 110:i.emitUnchanged(n-1),i.emitStatic(`
+`,n+1);break;case 116:i.emitUnchanged(n-1),i.emitStatic(" ",n+1);break;case 117:case 85:case 108:case 76:i.emitUnchanged(n-1),i.emitStatic("",n+1),e.push(String.fromCharCode(d));break}continue}if(c===36){if(n++,n>=s)break;const d=l.charCodeAt(n);if(d===36){i.emitUnchanged(n-1),i.emitStatic("$",n+1);continue}if(d===48||d===38){i.emitUnchanged(n-1),i.emitMatchIndex(0,n+1,e),e.length=0;continue}if(49<=d&&d<=57){let f=d-48;if(n+1<s){const m=l.charCodeAt(n+1);if(48<=m&&m<=57){n++,f=f*10+(m-48),i.emitUnchanged(n-2),i.emitMatchIndex(f,n+1,e),e.length=0;continue}}i.emitUnchanged(n-1),i.emitMatchIndex(f,n+1,e),e.length=0;continue}}}return i.finalize()}const x6=new rs("findWidgetVisible",!1);x6.toNegated();const exe=new rs("findInputFocussed",!1),QGe=new rs("replaceInputFocussed",!1),M0e={primary:545,mac:{primary:2593}},R0e={primary:565,mac:{primary:2613}},F0e={primary:560,mac:{primary:2608}},B0e={primary:554,mac:{primary:2602}},W0e={primary:558,mac:{primary:2606}},ef={StartFindAction:"actions.find",StartFindWithSelection:"actions.findWithSelection",StartFindWithArgs:"editor.actions.findWithArgs",NextMatchFindAction:"editor.action.nextMatchFindAction",PreviousMatchFindAction:"editor.action.previousMatchFindAction",GoToMatchFindAction:"editor.action.goToMatchFindAction",NextSelectionMatchFindAction:"editor.action.nextSelectionMatchFindAction",PreviousSelectionMatchFindAction:"editor.action.previousSelectionMatchFindAction",StartFindReplaceAction:"editor.action.startFindReplaceAction",CloseFindWidgetCommand:"closeFindWidget",ToggleCaseSensitiveCommand:"toggleFindCaseSensitive",ToggleWholeWordCommand:"toggleFindWholeWord",ToggleRegexCommand:"toggleFindRegex",ToggleSearchScopeCommand:"toggleFindInSelection",TogglePreserveCaseCommand:"togglePreserveCase",ReplaceOneAction:"editor.action.replaceOne",ReplaceAllAction:"editor.action.replaceAll",SelectAllMatchesAction:"editor.action.selectAllMatches"},jM=19999,JPi=240;class Yie{constructor(e,i){this._toDispose=new wn,this._editor=e,this._state=i,this._isDisposed=!1,this._startSearchingTimer=new TT,this._decorations=new Rze(e),this._toDispose.add(this._decorations),this._updateDecorationsScheduler=new qu(()=>{if(this._editor.hasModel())return this.research(!1)},100),this._toDispose.add(this._updateDecorationsScheduler),this._toDispose.add(this._editor.onDidChangeCursorPosition(n=>{(n.reason===3||n.reason===5||n.reason===6)&&this._decorations.setStartPosition(this._editor.getPosition())})),this._ignoreModelContentChanged=!1,this._toDispose.add(this._editor.onDidChangeModelContent(n=>{this._ignoreModelContentChanged||(n.isFlush&&this._decorations.reset(),this._decorations.setStartPosition(this._editor.getPosition()),this._updateDecorationsScheduler.schedule())})),this._toDispose.add(this._state.onFindReplaceStateChange(n=>this._onStateChanged(n))),this.research(!1,this._state.searchScope)}dispose(){this._isDisposed=!0,vd(this._startSearchingTimer),this._toDispose.dispose()}_onStateChanged(e){this._isDisposed||this._editor.hasModel()&&(e.searchString||e.isReplaceRevealed||e.isRegex||e.wholeWord||e.matchCase||e.searchScope)&&(this._editor.getModel().isTooLargeForSyncing()?(this._startSearchingTimer.cancel(),this._startSearchingTimer.setIfNotSet(()=>{e.searchScope?this.research(e.moveCursor,this._state.searchScope):this.research(e.moveCursor)},JPi)):e.searchScope?this.research(e.moveCursor,this._state.searchScope):this.research(e.moveCursor))}static _getSearchRange(e,i){return i||e.getFullModelRange()}research(e,i){let n=null;typeof i<"u"?i!==null&&(Array.isArray(i)?n=i:n=[i]):n=this._decorations.getFindScopes(),n!==null&&(n=n.map(f=>{if(f.startLineNumber!==f.endLineNumber){let m=f.endLineNumber;return f.endColumn===1&&(m=m-1),new nt(f.startLineNumber,1,m,this._editor.getModel().getLineMaxColumn(m))}return f}));const s=this._findMatches(n,!1,jM);this._decorations.set(s,n);const c=this._editor.getSelection();let d=this._decorations.getCurrentMatchesPosition(c);if(d===0&&s.length>0){const f=Une(s.map(m=>m.range),m=>nt.compareRangesUsingStarts(m,c)>=0);d=f>0?f-1+1:d}this._state.changeMatchInfo(d,this._decorations.getCount(),void 0),e&&this._editor.getOption(41).cursorMoveOnType&&this._moveToNextMatch(this._decorations.getStartPosition())}_hasMatches(){return this._state.matchesCount>0}_cannotFind(){if(!this._hasMatches()){const e=this._decorations.getFindScope();return e&&this._editor.revealRangeInCenterIfOutsideViewport(e,0),!0}return!1}_setCurrentFindMatch(e){const i=this._decorations.setCurrentFindMatch(e);this._state.changeMatchInfo(i,this._decorations.getCount(),e),this._editor.setSelection(e),this._editor.revealRangeInCenterIfOutsideViewport(e,0)}_prevSearchPosition(e){const i=this._state.isRegex&&(this._state.searchString.indexOf("^")>=0||this._state.searchString.indexOf("$")>=0);let{lineNumber:n,column:s}=e;const c=this._editor.getModel();return i||s===1?(n===1?n=c.getLineCount():n--,s=c.getLineMaxColumn(n)):s--,new pi(n,s)}_moveToPrevMatch(e,i=!1){if(!this._state.canNavigateBack()){const x=this._decorations.matchAfterPosition(e);x&&this._setCurrentFindMatch(x);return}if(this._decorations.getCount()<jM){let x=this._decorations.matchBeforePosition(e);x&&x.isEmpty()&&x.getStartPosition().equals(e)&&(e=this._prevSearchPosition(e),x=this._decorations.matchBeforePosition(e)),x&&this._setCurrentFindMatch(x);return}if(this._cannotFind())return;const n=this._decorations.getFindScope(),s=Yie._getSearchRange(this._editor.getModel(),n);s.getEndPosition().isBefore(e)&&(e=s.getEndPosition()),e.isBefore(s.getStartPosition())&&(e=s.getEndPosition());const{lineNumber:c,column:d}=e,f=this._editor.getModel();let m=new pi(c,d),v=f.findPreviousMatch(this._state.searchString,m,this._state.isRegex,this._state.matchCase,this._state.wholeWord?this._editor.getOption(132):null,!1);if(v&&v.range.isEmpty()&&v.range.getStartPosition().equals(m)&&(m=this._prevSearchPosition(m),v=f.findPreviousMatch(this._state.searchString,m,this._state.isRegex,this._state.matchCase,this._state.wholeWord?this._editor.getOption(132):null,!1)),!!v){if(!i&&!s.containsRange(v.range))return this._moveToPrevMatch(v.range.getStartPosition(),!0);this._setCurrentFindMatch(v.range)}}moveToPrevMatch(){this._moveToPrevMatch(this._editor.getSelection().getStartPosition())}_nextSearchPosition(e){const i=this._state.isRegex&&(this._state.searchString.indexOf("^")>=0||this._state.searchString.indexOf("$")>=0);let{lineNumber:n,column:s}=e;const c=this._editor.getModel();return i||s===c.getLineMaxColumn(n)?(n===c.getLineCount()?n=1:n++,s=1):s++,new pi(n,s)}_moveToNextMatch(e){if(!this._state.canNavigateForward()){const n=this._decorations.matchBeforePosition(e);n&&this._setCurrentFindMatch(n);return}if(this._decorations.getCount()<jM){let n=this._decorations.matchAfterPosition(e);n&&n.isEmpty()&&n.getStartPosition().equals(e)&&(e=this._nextSearchPosition(e),n=this._decorations.matchAfterPosition(e)),n&&this._setCurrentFindMatch(n);return}const i=this._getNextMatch(e,!1,!0);i&&this._setCurrentFindMatch(i.range)}_getNextMatch(e,i,n,s=!1){if(this._cannotFind())return null;const c=this._decorations.getFindScope(),d=Yie._getSearchRange(this._editor.getModel(),c);d.getEndPosition().isBefore(e)&&(e=d.getStartPosition()),e.isBefore(d.getStartPosition())&&(e=d.getStartPosition());const{lineNumber:f,column:m}=e,v=this._editor.getModel();let x=new pi(f,m),w=v.findNextMatch(this._state.searchString,x,this._state.isRegex,this._state.matchCase,this._state.wholeWord?this._editor.getOption(132):null,i);return n&&w&&w.range.isEmpty()&&w.range.getStartPosition().equals(x)&&(x=this._nextSearchPosition(x),w=v.findNextMatch(this._state.searchString,x,this._state.isRegex,this._state.matchCase,this._state.wholeWord?this._editor.getOption(132):null,i)),w?!s&&!d.containsRange(w.range)?this._getNextMatch(w.range.getEndPosition(),i,n,!0):w:null}moveToNextMatch(){this._moveToNextMatch(this._editor.getSelection().getEndPosition())}_moveToMatch(e){const i=this._decorations.getDecorationRangeAt(e);i&&this._setCurrentFindMatch(i)}moveToMatch(e){this._moveToMatch(e)}_getReplacePattern(){return this._state.isRegex?$Pi(this._state.replaceString):nJ.fromStaticValue(this._state.replaceString)}replace(){if(!this._hasMatches())return;const e=this._getReplacePattern(),i=this._editor.getSelection(),n=this._getNextMatch(i.getStartPosition(),!0,!1);if(n)if(i.equalsRange(n.range)){const s=e.buildReplaceString(n.matches,this._state.preserveCase),c=new T0(i,s);this._executeEditorCommand("replace",c),this._decorations.setStartPosition(new pi(i.startLineNumber,i.startColumn+s.length)),this.research(!0)}else this._decorations.setStartPosition(this._editor.getPosition()),this._setCurrentFindMatch(n.range)}_findMatches(e,i,n){const s=(e||[null]).map(c=>Yie._getSearchRange(this._editor.getModel(),c));return this._editor.getModel().findMatches(this._state.searchString,s,this._state.isRegex,this._state.matchCase,this._state.wholeWord?this._editor.getOption(132):null,i,n)}replaceAll(){if(!this._hasMatches())return;const e=this._decorations.getFindScopes();e===null&&this._state.matchesCount>=jM?this._largeReplaceAll():this._regularReplaceAll(e),this.research(!1)}_largeReplaceAll(){const i=new DF(this._state.searchString,this._state.isRegex,this._state.matchCase,this._state.wholeWord?this._editor.getOption(132):null).parseSearchRequest();if(!i)return;let n=i.regex;if(!n.multiline){let w="mu";n.ignoreCase&&(w+="i"),n.global&&(w+="g"),n=new RegExp(n.source,w)}const s=this._editor.getModel(),c=s.getValue(1),d=s.getFullModelRange(),f=this._getReplacePattern();let m;const v=this._state.preserveCase;f.hasReplacementPatterns||v?m=c.replace(n,function(){return f.buildReplaceString(arguments,v)}):m=c.replace(n,f.buildReplaceString(null,v));const x=new GJe(d,m,this._editor.getSelection());this._executeEditorCommand("replaceAll",x)}_regularReplaceAll(e){const i=this._getReplacePattern(),n=this._findMatches(e,i.hasReplacementPatterns||this._state.preserveCase,1073741824),s=[];for(let d=0,f=n.length;d<f;d++)s[d]=i.buildReplaceString(n[d].matches,this._state.preserveCase);const c=new zPi(this._editor.getSelection(),n.map(d=>d.range),s);this._executeEditorCommand("replaceAll",c)}selectAllMatches(){if(!this._hasMatches())return;const e=this._decorations.getFindScopes();let n=this._findMatches(e,!1,1073741824).map(c=>new Us(c.range.startLineNumber,c.range.startColumn,c.range.endLineNumber,c.range.endColumn));const s=this._editor.getSelection();for(let c=0,d=n.length;c<d;c++)if(n[c].equalsRange(s)){n=[s].concat(n.slice(0,c)).concat(n.slice(c+1));break}this._editor.setSelections(n)}_executeEditorCommand(e,i){try{this._ignoreModelContentChanged=!0,this._editor.pushUndoStop(),this._editor.executeCommand(e,i),this._editor.pushUndoStop()}finally{this._ignoreModelContentChanged=!1}}}const qCe=class qCe extends Aw{constructor(e,i,n){super(),this._hideSoon=this._register(new qu(()=>this._hide(),2e3)),this._isVisible=!1,this._editor=e,this._state=i,this._keybindingService=n,this._domNode=document.createElement("div"),this._domNode.className="findOptionsWidget",this._domNode.style.display="none",this._domNode.style.top="10px",this._domNode.style.zIndex="12",this._domNode.setAttribute("role","presentation"),this._domNode.setAttribute("aria-hidden","true");const s={inputActiveOptionBorder:cs(nwe),inputActiveOptionForeground:cs(rwe),inputActiveOptionBackground:cs(yse)},c=this._register(W$());this.caseSensitive=this._register(new bEt({appendTitle:this._keybindingLabelFor(ef.ToggleCaseSensitiveCommand),isChecked:this._state.matchCase,hoverDelegate:c,...s})),this._domNode.appendChild(this.caseSensitive.domNode),this._register(this.caseSensitive.onChange(()=>{this._state.change({matchCase:this.caseSensitive.checked},!1)})),this.wholeWords=this._register(new CEt({appendTitle:this._keybindingLabelFor(ef.ToggleWholeWordCommand),isChecked:this._state.wholeWord,hoverDelegate:c,...s})),this._domNode.appendChild(this.wholeWords.domNode),this._register(this.wholeWords.onChange(()=>{this._state.change({wholeWord:this.wholeWords.checked},!1)})),this.regex=this._register(new SEt({appendTitle:this._keybindingLabelFor(ef.ToggleRegexCommand),isChecked:this._state.isRegex,hoverDelegate:c,...s})),this._domNode.appendChild(this.regex.domNode),this._register(this.regex.onChange(()=>{this._state.change({isRegex:this.regex.checked},!1)})),this._editor.addOverlayWidget(this),this._register(this._state.onFindReplaceStateChange(d=>{let f=!1;d.isRegex&&(this.regex.checked=this._state.isRegex,f=!0),d.wholeWord&&(this.wholeWords.checked=this._state.wholeWord,f=!0),d.matchCase&&(this.caseSensitive.checked=this._state.matchCase,f=!0),!this._state.isRevealed&&f&&this._revealTemporarily()})),this._register(en(this._domNode,br.MOUSE_LEAVE,d=>this._onMouseLeave())),this._register(en(this._domNode,"mouseover",d=>this._onMouseOver()))}_keybindingLabelFor(e){const i=this._keybindingService.lookupKeybinding(e);return i?` (${i.getLabel()})`:""}dispose(){this._editor.removeOverlayWidget(this),super.dispose()}getId(){return qCe.ID}getDomNode(){return this._domNode}getPosition(){return{preference:0}}highlightFindOptions(){this._revealTemporarily()}_revealTemporarily(){this._show(),this._hideSoon.schedule()}_onMouseLeave(){this._hideSoon.schedule()}_onMouseOver(){this._hideSoon.cancel()}_show(){this._isVisible||(this._isVisible=!0,this._domNode.style.display="block")}_hide(){this._isVisible&&(this._isVisible=!1,this._domNode.style.display="none")}};qCe.ID="editor.contrib.findOptionsWidget";let Fze=qCe;function V0e(l,e){return l===1?!0:l===2?!1:e}class GPi extends xi{get searchString(){return this._searchString}get replaceString(){return this._replaceString}get isRevealed(){return this._isRevealed}get isReplaceRevealed(){return this._isReplaceRevealed}get isRegex(){return V0e(this._isRegexOverride,this._isRegex)}get wholeWord(){return V0e(this._wholeWordOverride,this._wholeWord)}get matchCase(){return V0e(this._matchCaseOverride,this._matchCase)}get preserveCase(){return V0e(this._preserveCaseOverride,this._preserveCase)}get actualIsRegex(){return this._isRegex}get actualWholeWord(){return this._wholeWord}get actualMatchCase(){return this._matchCase}get actualPreserveCase(){return this._preserveCase}get searchScope(){return this._searchScope}get matchesPosition(){return this._matchesPosition}get matchesCount(){return this._matchesCount}get currentMatch(){return this._currentMatch}constructor(){super(),this._onFindReplaceStateChange=this._register(new gi),this.onFindReplaceStateChange=this._onFindReplaceStateChange.event,this._searchString="",this._replaceString="",this._isRevealed=!1,this._isReplaceRevealed=!1,this._isRegex=!1,this._isRegexOverride=0,this._wholeWord=!1,this._wholeWordOverride=0,this._matchCase=!1,this._matchCaseOverride=0,this._preserveCase=!1,this._preserveCaseOverride=0,this._searchScope=null,this._matchesPosition=0,this._matchesCount=0,this._currentMatch=null,this._loop=!0,this._isSearching=!1,this._filters=null}changeMatchInfo(e,i,n){const s={moveCursor:!1,updateHistory:!1,searchString:!1,replaceString:!1,isRevealed:!1,isReplaceRevealed:!1,isRegex:!1,wholeWord:!1,matchCase:!1,preserveCase:!1,searchScope:!1,matchesPosition:!1,matchesCount:!1,currentMatch:!1,loop:!1,isSearching:!1,filters:!1};let c=!1;i===0&&(e=0),e>i&&(e=i),this._matchesPosition!==e&&(this._matchesPosition=e,s.matchesPosition=!0,c=!0),this._matchesCount!==i&&(this._matchesCount=i,s.matchesCount=!0,c=!0),typeof n<"u"&&(nt.equalsRange(this._currentMatch,n)||(this._currentMatch=n,s.currentMatch=!0,c=!0)),c&&this._onFindReplaceStateChange.fire(s)}change(e,i,n=!0){var x;const s={moveCursor:i,updateHistory:n,searchString:!1,replaceString:!1,isRevealed:!1,isReplaceRevealed:!1,isRegex:!1,wholeWord:!1,matchCase:!1,preserveCase:!1,searchScope:!1,matchesPosition:!1,matchesCount:!1,currentMatch:!1,loop:!1,isSearching:!1,filters:!1};let c=!1;const d=this.isRegex,f=this.wholeWord,m=this.matchCase,v=this.preserveCase;typeof e.searchString<"u"&&this._searchString!==e.searchString&&(this._searchString=e.searchString,s.searchString=!0,c=!0),typeof e.replaceString<"u"&&this._replaceString!==e.replaceString&&(this._replaceString=e.replaceString,s.replaceString=!0,c=!0),typeof e.isRevealed<"u"&&this._isRevealed!==e.isRevealed&&(this._isRevealed=e.isRevealed,s.isRevealed=!0,c=!0),typeof e.isReplaceRevealed<"u"&&this._isReplaceRevealed!==e.isReplaceRevealed&&(this._isReplaceRevealed=e.isReplaceRevealed,s.isReplaceRevealed=!0,c=!0),typeof e.isRegex<"u"&&(this._isRegex=e.isRegex),typeof e.wholeWord<"u"&&(this._wholeWord=e.wholeWord),typeof e.matchCase<"u"&&(this._matchCase=e.matchCase),typeof e.preserveCase<"u"&&(this._preserveCase=e.preserveCase),typeof e.searchScope<"u"&&((x=e.searchScope)!=null&&x.every(w=>{var I;return(I=this._searchScope)==null?void 0:I.some(P=>!nt.equalsRange(P,w))})||(this._searchScope=e.searchScope,s.searchScope=!0,c=!0)),typeof e.loop<"u"&&this._loop!==e.loop&&(this._loop=e.loop,s.loop=!0,c=!0),typeof e.isSearching<"u"&&this._isSearching!==e.isSearching&&(this._isSearching=e.isSearching,s.isSearching=!0,c=!0),typeof e.filters<"u"&&(this._filters?this._filters.update(e.filters):this._filters=e.filters,s.filters=!0,c=!0),this._isRegexOverride=typeof e.isRegexOverride<"u"?e.isRegexOverride:0,this._wholeWordOverride=typeof e.wholeWordOverride<"u"?e.wholeWordOverride:0,this._matchCaseOverride=typeof e.matchCaseOverride<"u"?e.matchCaseOverride:0,this._preserveCaseOverride=typeof e.preserveCaseOverride<"u"?e.preserveCaseOverride:0,d!==this.isRegex&&(c=!0,s.isRegex=!0),f!==this.wholeWord&&(c=!0,s.wholeWord=!0),m!==this.matchCase&&(c=!0,s.matchCase=!0),v!==this.preserveCase&&(c=!0,s.preserveCase=!0),c&&this._onFindReplaceStateChange.fire(s)}canNavigateBack(){return this.canNavigateInLoop()||this.matchesPosition!==1}canNavigateForward(){return this.canNavigateInLoop()||this.matchesPosition<this.matchesCount}canNavigateInLoop(){return this._loop||this.matchesCount>=jM}}const KPi=W("defaultLabel","input"),XPi=W("label.preserveCaseToggle","Preserve Case");class QPi extends TJ{constructor(e){super({icon:pr.preserveCase,title:XPi+e.appendTitle,isChecked:e.isChecked,hoverDelegate:e.hoverDelegate??Dv("element"),inputActiveOptionBorder:e.inputActiveOptionBorder,inputActiveOptionForeground:e.inputActiveOptionForeground,inputActiveOptionBackground:e.inputActiveOptionBackground})}}class ZPi extends Aw{constructor(e,i,n,s){super(),this._showOptionButtons=n,this.fixFocusOnOptionClickEnabled=!0,this.cachedOptionsWidth=0,this._onDidOptionChange=this._register(new gi),this.onDidOptionChange=this._onDidOptionChange.event,this._onKeyDown=this._register(new gi),this.onKeyDown=this._onKeyDown.event,this._onMouseDown=this._register(new gi),this._onInput=this._register(new gi),this._onKeyUp=this._register(new gi),this._onPreserveCaseKeyDown=this._register(new gi),this.onPreserveCaseKeyDown=this._onPreserveCaseKeyDown.event,this.contextViewProvider=i,this.placeholder=s.placeholder||"",this.validation=s.validation,this.label=s.label||KPi;const c=s.appendPreserveCaseLabel||"",d=s.history||[],f=!!s.flexibleHeight,m=!!s.flexibleWidth,v=s.flexibleMaxHeight;this.domNode=document.createElement("div"),this.domNode.classList.add("monaco-findInput"),this.inputBox=this._register(new wEt(this.domNode,this.contextViewProvider,{ariaLabel:this.label||"",placeholder:this.placeholder||"",validationOptions:{validation:this.validation},history:d,showHistoryHint:s.showHistoryHint,flexibleHeight:f,flexibleWidth:m,flexibleMaxHeight:v,inputBoxStyles:s.inputBoxStyles})),this.preserveCase=this._register(new QPi({appendTitle:c,isChecked:!1,...s.toggleStyles})),this._register(this.preserveCase.onChange(I=>{this._onDidOptionChange.fire(I),!I&&this.fixFocusOnOptionClickEnabled&&this.inputBox.focus(),this.validate()})),this._register(this.preserveCase.onKeyDown(I=>{this._onPreserveCaseKeyDown.fire(I)})),this._showOptionButtons?this.cachedOptionsWidth=this.preserveCase.width():this.cachedOptionsWidth=0;const x=[this.preserveCase.domNode];this.onkeydown(this.domNode,I=>{if(I.equals(15)||I.equals(17)||I.equals(9)){const P=x.indexOf(this.domNode.ownerDocument.activeElement);if(P>=0){let O=-1;I.equals(17)?O=(P+1)%x.length:I.equals(15)&&(P===0?O=x.length-1:O=P-1),I.equals(9)?(x[P].blur(),this.inputBox.focus()):O>=0&&x[O].focus(),il.stop(I,!0)}}});const w=document.createElement("div");w.className="controls",w.style.display=this._showOptionButtons?"block":"none",w.appendChild(this.preserveCase.domNode),this.domNode.appendChild(w),e==null||e.appendChild(this.domNode),this.onkeydown(this.inputBox.inputElement,I=>this._onKeyDown.fire(I)),this.onkeyup(this.inputBox.inputElement,I=>this._onKeyUp.fire(I)),this.oninput(this.inputBox.inputElement,I=>this._onInput.fire()),this.onmousedown(this.inputBox.inputElement,I=>this._onMouseDown.fire(I))}enable(){this.domNode.classList.remove("disabled"),this.inputBox.enable(),this.preserveCase.enable()}disable(){this.domNode.classList.add("disabled"),this.inputBox.disable(),this.preserveCase.disable()}setEnabled(e){e?this.enable():this.disable()}select(){this.inputBox.select()}focus(){this.inputBox.focus()}getPreserveCase(){return this.preserveCase.checked}setPreserveCase(e){this.preserveCase.checked=e}focusOnPreserve(){this.preserveCase.focus()}validate(){var e;(e=this.inputBox)==null||e.validate()}set width(e){this.inputBox.paddingRight=this.cachedOptionsWidth,this.domNode.style.width=e+"px"}dispose(){super.dispose()}}var JLt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},GLt=function(l,e){return function(i,n){e(i,n,l)}};const ZGe=new rs("suggestWidgetVisible",!1,W("suggestWidgetVisible","Whether suggestion are visible")),YGe="historyNavigationWidgetFocus",KLt="historyNavigationForwardsEnabled",XLt="historyNavigationBackwardsEnabled";let i6;const H0e=[];function QLt(l,e){if(H0e.includes(e))throw new Error("Cannot register the same widget multiple times");H0e.push(e);const i=new wn,n=new rs(YGe,!1).bindTo(l),s=new rs(KLt,!0).bindTo(l),c=new rs(XLt,!0).bindTo(l),d=()=>{n.set(!0),i6=e},f=()=>{n.set(!1),i6===e&&(i6=void 0)};return RSe(e.element)&&d(),i.add(e.onDidFocus(()=>d())),i.add(e.onDidBlur(()=>f())),i.add(fo(()=>{H0e.splice(H0e.indexOf(e),1),f()})),{historyNavigationForwardsEnablement:s,historyNavigationBackwardsEnablement:c,dispose(){i.dispose()}}}let Bze=class extends xEt{constructor(e,i,n,s){super(e,i,n);const c=this._register(s.createScoped(this.inputBox.element));this._register(QLt(c,this.inputBox))}};Bze=JLt([GLt(3,Ko)],Bze);let Wze=class extends ZPi{constructor(e,i,n,s,c=!1){super(e,i,c,n);const d=this._register(s.createScoped(this.inputBox.element));this._register(QLt(d,this.inputBox))}};Wze=JLt([GLt(3,Ko)],Wze);wv.registerCommandAndKeybindingRule({id:"history.showPrevious",weight:200,when:kn.and(kn.has(YGe),kn.equals(XLt,!0),kn.not("isComposing"),ZGe.isEqualTo(!1)),primary:16,secondary:[528],handler:l=>{i6==null||i6.showPreviousValue()}});wv.registerCommandAndKeybindingRule({id:"history.showNext",weight:200,when:kn.and(kn.has(YGe),kn.equals(KLt,!0),kn.not("isComposing"),ZGe.isEqualTo(!1)),primary:18,secondary:[530],handler:l=>{i6==null||i6.showNextValue()}});function ixt(l){var e,i;return((e=l.lookupKeybinding("history.showPrevious"))==null?void 0:e.getElectronAccelerator())==="Up"&&((i=l.lookupKeybinding("history.showNext"))==null?void 0:i.getElectronAccelerator())==="Down"}const nxt=sf("find-collapsed",pr.chevronRight,W("findCollapsedIcon","Icon to indicate that the editor find widget is collapsed.")),rxt=sf("find-expanded",pr.chevronDown,W("findExpandedIcon","Icon to indicate that the editor find widget is expanded.")),YPi=sf("find-selection",pr.selection,W("findSelectionIcon","Icon for 'Find in Selection' in the editor find widget.")),eAi=sf("find-replace",pr.replace,W("findReplaceIcon","Icon for 'Replace' in the editor find widget.")),tAi=sf("find-replace-all",pr.replaceAll,W("findReplaceAllIcon","Icon for 'Replace All' in the editor find widget.")),iAi=sf("find-previous-match",pr.arrowUp,W("findPreviousMatchIcon","Icon for 'Find Previous' in the editor find widget.")),nAi=sf("find-next-match",pr.arrowDown,W("findNextMatchIcon","Icon for 'Find Next' in the editor find widget.")),rAi=W("label.findDialog","Find / Replace"),sAi=W("label.find","Find"),oAi=W("placeholder.find","Find"),aAi=W("label.previousMatchButton","Previous Match"),cAi=W("label.nextMatchButton","Next Match"),lAi=W("label.toggleSelectionFind","Find in Selection"),uAi=W("label.closeButton","Close"),dAi=W("label.replace","Replace"),fAi=W("placeholder.replace","Replace"),hAi=W("label.replaceButton","Replace"),_Ai=W("label.replaceAllButton","Replace All"),pAi=W("label.toggleReplaceButton","Toggle Replace"),mAi=W("title.matchesCountLimit","Only the first {0} results are highlighted, but all find operations work on the entire text.",jM),gAi=W("label.matchesLocation","{0} of {1}"),sxt=W("label.noResults","No results"),KN=419,yAi=275,vAi=yAi-54;let Gte=69;const bAi=33,oxt="ctrlEnterReplaceAll.windows.donotask",axt=Hc?256:2048;class R9e{constructor(e){this.afterLineNumber=e,this.heightInPx=bAi,this.suppressMouseDown=!1,this.domNode=document.createElement("div"),this.domNode.className="dock-find-viewzone"}}function cxt(l,e,i){const n=!!e.match(/\n/);if(i&&n&&i.selectionStart>0){l.stopPropagation();return}}function lxt(l,e,i){const n=!!e.match(/\n/);if(i&&n&&i.selectionEnd<i.value.length){l.stopPropagation();return}}const $Ce=class $Ce extends Aw{constructor(e,i,n,s,c,d,f,m,v,x){super(),this._hoverService=x,this._cachedHeight=null,this._revealTimeouts=[],this._codeEditor=e,this._controller=i,this._state=n,this._contextViewProvider=s,this._keybindingService=c,this._contextKeyService=d,this._storageService=m,this._notificationService=v,this._ctrlEnterReplaceAllWarningPrompted=!!m.getBoolean(oxt,0),this._isVisible=!1,this._isReplaceVisible=!1,this._ignoreChangeEvent=!1,this._updateHistoryDelayer=new Ck(500),this._register(fo(()=>this._updateHistoryDelayer.cancel())),this._register(this._state.onFindReplaceStateChange(w=>this._onStateChanged(w))),this._buildDomNode(),this._updateButtons(),this._tryUpdateWidgetWidth(),this._findInput.inputBox.layout(),this._register(this._codeEditor.onDidChangeConfiguration(w=>{if(w.hasChanged(92)&&(this._codeEditor.getOption(92)&&this._state.change({isReplaceRevealed:!1},!1),this._updateButtons()),w.hasChanged(146)&&this._tryUpdateWidgetWidth(),w.hasChanged(2)&&this.updateAccessibilitySupport(),w.hasChanged(41)){const I=this._codeEditor.getOption(41).loop;this._state.change({loop:I},!1);const P=this._codeEditor.getOption(41).addExtraSpaceOnTop;P&&!this._viewZone&&(this._viewZone=new R9e(0),this._showViewZone()),!P&&this._viewZone&&this._removeViewZone()}})),this.updateAccessibilitySupport(),this._register(this._codeEditor.onDidChangeCursorSelection(()=>{this._isVisible&&this._updateToggleSelectionFindButton()})),this._register(this._codeEditor.onDidFocusEditorWidget(async()=>{if(this._isVisible){const w=await this._controller.getGlobalBufferTerm();w&&w!==this._state.searchString&&(this._state.change({searchString:w},!1),this._findInput.select())}})),this._findInputFocused=exe.bindTo(d),this._findFocusTracker=this._register(zE(this._findInput.inputBox.inputElement)),this._register(this._findFocusTracker.onDidFocus(()=>{this._findInputFocused.set(!0),this._updateSearchScope()})),this._register(this._findFocusTracker.onDidBlur(()=>{this._findInputFocused.set(!1)})),this._replaceInputFocused=QGe.bindTo(d),this._replaceFocusTracker=this._register(zE(this._replaceInput.inputBox.inputElement)),this._register(this._replaceFocusTracker.onDidFocus(()=>{this._replaceInputFocused.set(!0),this._updateSearchScope()})),this._register(this._replaceFocusTracker.onDidBlur(()=>{this._replaceInputFocused.set(!1)})),this._codeEditor.addOverlayWidget(this),this._codeEditor.getOption(41).addExtraSpaceOnTop&&(this._viewZone=new R9e(0)),this._register(this._codeEditor.onDidChangeModel(()=>{this._isVisible&&(this._viewZoneId=void 0)})),this._register(this._codeEditor.onDidScrollChange(w=>{if(w.scrollTopChanged){this._layoutViewZone();return}setTimeout(()=>{this._layoutViewZone()},0)}))}getId(){return $Ce.ID}getDomNode(){return this._domNode}getPosition(){return this._isVisible?{preference:0}:null}_onStateChanged(e){if(e.searchString){try{this._ignoreChangeEvent=!0,this._findInput.setValue(this._state.searchString)}finally{this._ignoreChangeEvent=!1}this._updateButtons()}if(e.replaceString&&(this._replaceInput.inputBox.value=this._state.replaceString),e.isRevealed&&(this._state.isRevealed?this._reveal():this._hide(!0)),e.isReplaceRevealed&&(this._state.isReplaceRevealed?!this._codeEditor.getOption(92)&&!this._isReplaceVisible&&(this._isReplaceVisible=!0,this._replaceInput.width=FC(this._findInput.domNode),this._updateButtons(),this._replaceInput.inputBox.layout()):this._isReplaceVisible&&(this._isReplaceVisible=!1,this._updateButtons())),(e.isRevealed||e.isReplaceRevealed)&&(this._state.isRevealed||this._state.isReplaceRevealed)&&this._tryUpdateHeight()&&this._showViewZone(),e.isRegex&&this._findInput.setRegex(this._state.isRegex),e.wholeWord&&this._findInput.setWholeWords(this._state.wholeWord),e.matchCase&&this._findInput.setCaseSensitive(this._state.matchCase),e.preserveCase&&this._replaceInput.setPreserveCase(this._state.preserveCase),e.searchScope&&(this._state.searchScope?this._toggleSelectionFind.checked=!0:this._toggleSelectionFind.checked=!1,this._updateToggleSelectionFindButton()),e.searchString||e.matchesCount||e.matchesPosition){const i=this._state.searchString.length>0&&this._state.matchesCount===0;this._domNode.classList.toggle("no-results",i),this._updateMatchesCount(),this._updateButtons()}(e.searchString||e.currentMatch)&&this._layoutViewZone(),e.updateHistory&&this._delayedUpdateHistory(),e.loop&&this._updateButtons()}_delayedUpdateHistory(){this._updateHistoryDelayer.trigger(this._updateHistory.bind(this)).then(void 0,Pa)}_updateHistory(){this._state.searchString&&this._findInput.inputBox.addToHistory(),this._state.replaceString&&this._replaceInput.inputBox.addToHistory()}_updateMatchesCount(){var i;this._matchesCount.style.minWidth=Gte+"px",this._state.matchesCount>=jM?this._matchesCount.title=mAi:this._matchesCount.title="",(i=this._matchesCount.firstChild)==null||i.remove();let e;if(this._state.matchesCount>0){let n=String(this._state.matchesCount);this._state.matchesCount>=jM&&(n+="+");let s=String(this._state.matchesPosition);s==="0"&&(s="?"),e=SB(gAi,s,n)}else e=sxt;this._matchesCount.appendChild(document.createTextNode(e)),xv(this._getAriaLabel(e,this._state.currentMatch,this._state.searchString)),Gte=Math.max(Gte,this._matchesCount.clientWidth)}_getAriaLabel(e,i,n){if(e===sxt)return n===""?W("ariaSearchNoResultEmpty","{0} found",e):W("ariaSearchNoResult","{0} found for '{1}'",e,n);if(i){const s=W("ariaSearchNoResultWithLineNum","{0} found for '{1}', at {2}",e,n,i.startLineNumber+":"+i.startColumn),c=this._codeEditor.getModel();return c&&i.startLineNumber<=c.getLineCount()&&i.startLineNumber>=1?`${c.getLineContent(i.startLineNumber)}, ${s}`:s}return W("ariaSearchNoResultWithLineNumNoCurrentMatch","{0} found for '{1}'",e,n)}_updateToggleSelectionFindButton(){const e=this._codeEditor.getSelection(),i=e?e.startLineNumber!==e.endLineNumber||e.startColumn!==e.endColumn:!1,n=this._toggleSelectionFind.checked;this._isVisible&&(n||i)?this._toggleSelectionFind.enable():this._toggleSelectionFind.disable()}_updateButtons(){this._findInput.setEnabled(this._isVisible),this._replaceInput.setEnabled(this._isVisible&&this._isReplaceVisible),this._updateToggleSelectionFindButton(),this._closeBtn.setEnabled(this._isVisible);const e=this._state.searchString.length>0,i=!!this._state.matchesCount;this._prevBtn.setEnabled(this._isVisible&&e&&i&&this._state.canNavigateBack()),this._nextBtn.setEnabled(this._isVisible&&e&&i&&this._state.canNavigateForward()),this._replaceBtn.setEnabled(this._isVisible&&this._isReplaceVisible&&e),this._replaceAllBtn.setEnabled(this._isVisible&&this._isReplaceVisible&&e),this._domNode.classList.toggle("replaceToggled",this._isReplaceVisible),this._toggleReplaceBtn.setExpanded(this._isReplaceVisible);const n=!this._codeEditor.getOption(92);this._toggleReplaceBtn.setEnabled(this._isVisible&&n)}_reveal(){if(this._revealTimeouts.forEach(e=>{clearTimeout(e)}),this._revealTimeouts=[],!this._isVisible){this._isVisible=!0;const e=this._codeEditor.getSelection();switch(this._codeEditor.getOption(41).autoFindInSelection){case"always":this._toggleSelectionFind.checked=!0;break;case"never":this._toggleSelectionFind.checked=!1;break;case"multiline":{const n=!!e&&e.startLineNumber!==e.endLineNumber;this._toggleSelectionFind.checked=n;break}}this._tryUpdateWidgetWidth(),this._updateButtons(),this._revealTimeouts.push(setTimeout(()=>{this._domNode.classList.add("visible"),this._domNode.setAttribute("aria-hidden","false")},0)),this._revealTimeouts.push(setTimeout(()=>{this._findInput.validate()},200)),this._codeEditor.layoutOverlayWidget(this);let i=!0;if(this._codeEditor.getOption(41).seedSearchStringFromSelection&&e){const n=this._codeEditor.getDomNode();if(n){const s=e_(n),c=this._codeEditor.getScrolledVisiblePosition(e.getStartPosition()),d=s.left+(c?c.left:0),f=c?c.top:0;if(this._viewZone&&f<this._viewZone.heightInPx){e.endLineNumber>e.startLineNumber&&(i=!1);const m=S2t(this._domNode).left;d>m&&(i=!1);const v=this._codeEditor.getScrolledVisiblePosition(e.getEndPosition());s.left+(v?v.left:0)>m&&(i=!1)}}}this._showViewZone(i)}}_hide(e){this._revealTimeouts.forEach(i=>{clearTimeout(i)}),this._revealTimeouts=[],this._isVisible&&(this._isVisible=!1,this._updateButtons(),this._domNode.classList.remove("visible"),this._domNode.setAttribute("aria-hidden","true"),this._findInput.clearMessage(),e&&this._codeEditor.focus(),this._codeEditor.layoutOverlayWidget(this),this._removeViewZone())}_layoutViewZone(e){if(!this._codeEditor.getOption(41).addExtraSpaceOnTop){this._removeViewZone();return}if(!this._isVisible)return;const n=this._viewZone;this._viewZoneId!==void 0||!n||this._codeEditor.changeViewZones(s=>{n.heightInPx=this._getHeight(),this._viewZoneId=s.addZone(n),this._codeEditor.setScrollTop(e||this._codeEditor.getScrollTop()+n.heightInPx)})}_showViewZone(e=!0){if(!this._isVisible||!this._codeEditor.getOption(41).addExtraSpaceOnTop)return;this._viewZone===void 0&&(this._viewZone=new R9e(0));const n=this._viewZone;this._codeEditor.changeViewZones(s=>{if(this._viewZoneId!==void 0){const c=this._getHeight();if(c===n.heightInPx)return;const d=c-n.heightInPx;n.heightInPx=c,s.layoutZone(this._viewZoneId),e&&this._codeEditor.setScrollTop(this._codeEditor.getScrollTop()+d);return}else{let c=this._getHeight();if(c-=this._codeEditor.getOption(84).top,c<=0)return;n.heightInPx=c,this._viewZoneId=s.addZone(n),e&&this._codeEditor.setScrollTop(this._codeEditor.getScrollTop()+c)}})}_removeViewZone(){this._codeEditor.changeViewZones(e=>{this._viewZoneId!==void 0&&(e.removeZone(this._viewZoneId),this._viewZoneId=void 0,this._viewZone&&(this._codeEditor.setScrollTop(this._codeEditor.getScrollTop()-this._viewZone.heightInPx),this._viewZone=void 0))})}_tryUpdateWidgetWidth(){if(!this._isVisible||!this._domNode.isConnected)return;const e=this._codeEditor.getLayoutInfo();if(e.contentWidth<=0){this._domNode.classList.add("hiddenEditor");return}else this._domNode.classList.contains("hiddenEditor")&&this._domNode.classList.remove("hiddenEditor");const n=e.width,s=e.minimap.minimapWidth;let c=!1,d=!1,f=!1;if(this._resized&&FC(this._domNode)>KN){this._domNode.style.maxWidth=`${n-28-s-15}px`,this._replaceInput.width=FC(this._findInput.domNode);return}if(KN+28+s>=n&&(d=!0),KN+28+s-Gte>=n&&(f=!0),KN+28+s-Gte>=n+50&&(c=!0),this._domNode.classList.toggle("collapsed-find-widget",c),this._domNode.classList.toggle("narrow-find-widget",f),this._domNode.classList.toggle("reduced-find-widget",d),!f&&!c&&(this._domNode.style.maxWidth=`${n-28-s-15}px`),this._findInput.layout({collapsedFindWidget:c,narrowFindWidget:f,reducedFindWidget:d}),this._resized){const m=this._findInput.inputBox.element.clientWidth;m>0&&(this._replaceInput.width=m)}else this._isReplaceVisible&&(this._replaceInput.width=FC(this._findInput.domNode))}_getHeight(){let e=0;return e+=4,e+=this._findInput.inputBox.height+2,this._isReplaceVisible&&(e+=4,e+=this._replaceInput.inputBox.height+2),e+=4,e}_tryUpdateHeight(){const e=this._getHeight();return this._cachedHeight!==null&&this._cachedHeight===e?!1:(this._cachedHeight=e,this._domNode.style.height=`${e}px`,!0)}focusFindInput(){this._findInput.select(),this._findInput.focus()}focusReplaceInput(){this._replaceInput.select(),this._replaceInput.focus()}highlightFindOptions(){this._findInput.highlightFindOptions()}_updateSearchScope(){if(this._codeEditor.hasModel()&&this._toggleSelectionFind.checked){const e=this._codeEditor.getSelections();e.map(i=>{i.endColumn===1&&i.endLineNumber>i.startLineNumber&&(i=i.setEndPosition(i.endLineNumber-1,this._codeEditor.getModel().getLineMaxColumn(i.endLineNumber-1)));const n=this._state.currentMatch;return i.startLineNumber!==i.endLineNumber&&!nt.equalsRange(i,n)?i:null}).filter(i=>!!i),e.length&&this._state.change({searchScope:e},!0)}}_onFindInputMouseDown(e){e.middleButton&&e.stopPropagation()}_onFindInputKeyDown(e){if(e.equals(axt|3))if(this._keybindingService.dispatchEvent(e,e.target)){e.preventDefault();return}else{this._findInput.inputBox.insertAtCursor(`
+`),e.preventDefault();return}if(e.equals(2)){this._isReplaceVisible?this._replaceInput.focus():this._findInput.focusOnCaseSensitive(),e.preventDefault();return}if(e.equals(2066)){this._codeEditor.focus(),e.preventDefault();return}if(e.equals(16))return cxt(e,this._findInput.getValue(),this._findInput.domNode.querySelector("textarea"));if(e.equals(18))return lxt(e,this._findInput.getValue(),this._findInput.domNode.querySelector("textarea"))}_onReplaceInputKeyDown(e){if(e.equals(axt|3))if(this._keybindingService.dispatchEvent(e,e.target)){e.preventDefault();return}else{I0&&PE&&!this._ctrlEnterReplaceAllWarningPrompted&&(this._notificationService.info(W("ctrlEnter.keybindingChanged","Ctrl+Enter now inserts line break instead of replacing all. You can modify the keybinding for editor.action.replaceAll to override this behavior.")),this._ctrlEnterReplaceAllWarningPrompted=!0,this._storageService.store(oxt,!0,0,0)),this._replaceInput.inputBox.insertAtCursor(`
+`),e.preventDefault();return}if(e.equals(2)){this._findInput.focusOnCaseSensitive(),e.preventDefault();return}if(e.equals(1026)){this._findInput.focus(),e.preventDefault();return}if(e.equals(2066)){this._codeEditor.focus(),e.preventDefault();return}if(e.equals(16))return cxt(e,this._replaceInput.inputBox.value,this._replaceInput.inputBox.element.querySelector("textarea"));if(e.equals(18))return lxt(e,this._replaceInput.inputBox.value,this._replaceInput.inputBox.element.querySelector("textarea"))}getVerticalSashLeft(e){return 0}_keybindingLabelFor(e){const i=this._keybindingService.lookupKeybinding(e);return i?` (${i.getLabel()})`:""}_buildDomNode(){this._findInput=this._register(new Bze(null,this._contextViewProvider,{width:vAi,label:sAi,placeholder:oAi,appendCaseSensitiveLabel:this._keybindingLabelFor(ef.ToggleCaseSensitiveCommand),appendWholeWordsLabel:this._keybindingLabelFor(ef.ToggleWholeWordCommand),appendRegexLabel:this._keybindingLabelFor(ef.ToggleRegexCommand),validation:x=>{if(x.length===0||!this._findInput.getRegex())return null;try{return new RegExp(x,"gu"),null}catch(w){return{content:w.message}}},flexibleHeight:!0,flexibleWidth:!0,flexibleMaxHeight:118,showCommonFindToggles:!0,showHistoryHint:()=>ixt(this._keybindingService),inputBoxStyles:jye,toggleStyles:Hye},this._contextKeyService)),this._findInput.setRegex(!!this._state.isRegex),this._findInput.setCaseSensitive(!!this._state.matchCase),this._findInput.setWholeWords(!!this._state.wholeWord),this._register(this._findInput.onKeyDown(x=>this._onFindInputKeyDown(x))),this._register(this._findInput.inputBox.onDidChange(()=>{this._ignoreChangeEvent||this._state.change({searchString:this._findInput.getValue()},!0)})),this._register(this._findInput.onDidOptionChange(()=>{this._state.change({isRegex:this._findInput.getRegex(),wholeWord:this._findInput.getWholeWords(),matchCase:this._findInput.getCaseSensitive()},!0)})),this._register(this._findInput.onCaseSensitiveKeyDown(x=>{x.equals(1026)&&this._isReplaceVisible&&(this._replaceInput.focus(),x.preventDefault())})),this._register(this._findInput.onRegexKeyDown(x=>{x.equals(2)&&this._isReplaceVisible&&(this._replaceInput.focusOnPreserve(),x.preventDefault())})),this._register(this._findInput.inputBox.onDidHeightChange(x=>{this._tryUpdateHeight()&&this._showViewZone()})),Cv&&this._register(this._findInput.onMouseDown(x=>this._onFindInputMouseDown(x))),this._matchesCount=document.createElement("div"),this._matchesCount.className="matchesCount",this._updateMatchesCount();const n=this._register(W$());this._prevBtn=this._register(new FU({label:aAi+this._keybindingLabelFor(ef.PreviousMatchFindAction),icon:iAi,hoverDelegate:n,onTrigger:()=>{NM(this._codeEditor.getAction(ef.PreviousMatchFindAction)).run().then(void 0,Pa)}},this._hoverService)),this._nextBtn=this._register(new FU({label:cAi+this._keybindingLabelFor(ef.NextMatchFindAction),icon:nAi,hoverDelegate:n,onTrigger:()=>{NM(this._codeEditor.getAction(ef.NextMatchFindAction)).run().then(void 0,Pa)}},this._hoverService));const s=document.createElement("div");s.className="find-part",s.appendChild(this._findInput.domNode);const c=document.createElement("div");c.className="find-actions",s.appendChild(c),c.appendChild(this._matchesCount),c.appendChild(this._prevBtn.domNode),c.appendChild(this._nextBtn.domNode),this._toggleSelectionFind=this._register(new TJ({icon:YPi,title:lAi+this._keybindingLabelFor(ef.ToggleSearchScopeCommand),isChecked:!1,hoverDelegate:n,inputActiveOptionBackground:cs(yse),inputActiveOptionBorder:cs(nwe),inputActiveOptionForeground:cs(rwe)})),this._register(this._toggleSelectionFind.onChange(()=>{if(this._toggleSelectionFind.checked){if(this._codeEditor.hasModel()){let x=this._codeEditor.getSelections();x=x.map(w=>(w.endColumn===1&&w.endLineNumber>w.startLineNumber&&(w=w.setEndPosition(w.endLineNumber-1,this._codeEditor.getModel().getLineMaxColumn(w.endLineNumber-1))),w.isEmpty()?null:w)).filter(w=>!!w),x.length&&this._state.change({searchScope:x},!0)}}else this._state.change({searchScope:null},!0)})),c.appendChild(this._toggleSelectionFind.domNode),this._closeBtn=this._register(new FU({label:uAi+this._keybindingLabelFor(ef.CloseFindWidgetCommand),icon:eIt,hoverDelegate:n,onTrigger:()=>{this._state.change({isRevealed:!1,searchScope:null},!1)},onKeyDown:x=>{x.equals(2)&&this._isReplaceVisible&&(this._replaceBtn.isEnabled()?this._replaceBtn.focus():this._codeEditor.focus(),x.preventDefault())}},this._hoverService)),this._replaceInput=this._register(new Wze(null,void 0,{label:dAi,placeholder:fAi,appendPreserveCaseLabel:this._keybindingLabelFor(ef.TogglePreserveCaseCommand),history:[],flexibleHeight:!0,flexibleWidth:!0,flexibleMaxHeight:118,showHistoryHint:()=>ixt(this._keybindingService),inputBoxStyles:jye,toggleStyles:Hye},this._contextKeyService,!0)),this._replaceInput.setPreserveCase(!!this._state.preserveCase),this._register(this._replaceInput.onKeyDown(x=>this._onReplaceInputKeyDown(x))),this._register(this._replaceInput.inputBox.onDidChange(()=>{this._state.change({replaceString:this._replaceInput.inputBox.value},!1)})),this._register(this._replaceInput.inputBox.onDidHeightChange(x=>{this._isReplaceVisible&&this._tryUpdateHeight()&&this._showViewZone()})),this._register(this._replaceInput.onDidOptionChange(()=>{this._state.change({preserveCase:this._replaceInput.getPreserveCase()},!0)})),this._register(this._replaceInput.onPreserveCaseKeyDown(x=>{x.equals(2)&&(this._prevBtn.isEnabled()?this._prevBtn.focus():this._nextBtn.isEnabled()?this._nextBtn.focus():this._toggleSelectionFind.enabled?this._toggleSelectionFind.focus():this._closeBtn.isEnabled()&&this._closeBtn.focus(),x.preventDefault())}));const d=this._register(W$());this._replaceBtn=this._register(new FU({label:hAi+this._keybindingLabelFor(ef.ReplaceOneAction),icon:eAi,hoverDelegate:d,onTrigger:()=>{this._controller.replace()},onKeyDown:x=>{x.equals(1026)&&(this._closeBtn.focus(),x.preventDefault())}},this._hoverService)),this._replaceAllBtn=this._register(new FU({label:_Ai+this._keybindingLabelFor(ef.ReplaceAllAction),icon:tAi,hoverDelegate:d,onTrigger:()=>{this._controller.replaceAll()}},this._hoverService));const f=document.createElement("div");f.className="replace-part",f.appendChild(this._replaceInput.domNode);const m=document.createElement("div");m.className="replace-actions",f.appendChild(m),m.appendChild(this._replaceBtn.domNode),m.appendChild(this._replaceAllBtn.domNode),this._toggleReplaceBtn=this._register(new FU({label:pAi,className:"codicon toggle left",onTrigger:()=>{this._state.change({isReplaceRevealed:!this._isReplaceVisible},!1),this._isReplaceVisible&&(this._replaceInput.width=FC(this._findInput.domNode),this._replaceInput.inputBox.layout()),this._showViewZone()}},this._hoverService)),this._toggleReplaceBtn.setExpanded(this._isReplaceVisible),this._domNode=document.createElement("div"),this._domNode.className="editor-widget find-widget",this._domNode.setAttribute("aria-hidden","true"),this._domNode.ariaLabel=rAi,this._domNode.role="dialog",this._domNode.style.width=`${KN}px`,this._domNode.appendChild(this._toggleReplaceBtn.domNode),this._domNode.appendChild(s),this._domNode.appendChild(this._closeBtn.domNode),this._domNode.appendChild(f),this._resizeSash=this._register(new _1(this._domNode,this,{orientation:0,size:2})),this._resized=!1;let v=KN;this._register(this._resizeSash.onDidStart(()=>{v=FC(this._domNode)})),this._register(this._resizeSash.onDidChange(x=>{this._resized=!0;const w=v+x.startX-x.currentX;if(w<KN)return;const I=parseFloat(MSe(this._domNode).maxWidth)||0;w>I||(this._domNode.style.width=`${w}px`,this._isReplaceVisible&&(this._replaceInput.width=FC(this._findInput.domNode)),this._findInput.inputBox.layout(),this._tryUpdateHeight())})),this._register(this._resizeSash.onDidReset(()=>{const x=FC(this._domNode);if(x<KN)return;let w=KN;if(!this._resized||x===KN){const I=this._codeEditor.getLayoutInfo();w=I.width-28-I.minimap.minimapWidth-15,this._resized=!0}this._domNode.style.width=`${w}px`,this._isReplaceVisible&&(this._replaceInput.width=FC(this._findInput.domNode)),this._findInput.inputBox.layout()}))}updateAccessibilitySupport(){const e=this._codeEditor.getOption(2);this._findInput.setFocusInputOnOptionClick(e!==2)}};$Ce.ID="editor.contrib.findWidget";let Vze=$Ce;class FU extends Aw{constructor(e,i){super(),this._opts=e;let n="button";this._opts.className&&(n=n+" "+this._opts.className),this._opts.icon&&(n=n+" "+zo.asClassName(this._opts.icon)),this._domNode=document.createElement("div"),this._domNode.tabIndex=0,this._domNode.className=n,this._domNode.setAttribute("role","button"),this._domNode.setAttribute("aria-label",this._opts.label),this._register(i.setupManagedHover(e.hoverDelegate??Dv("element"),this._domNode,this._opts.label)),this.onclick(this._domNode,s=>{this._opts.onTrigger(),s.preventDefault()}),this.onkeydown(this._domNode,s=>{var c,d;if(s.equals(10)||s.equals(3)){this._opts.onTrigger(),s.preventDefault();return}(d=(c=this._opts).onKeyDown)==null||d.call(c,s)})}get domNode(){return this._domNode}isEnabled(){return this._domNode.tabIndex>=0}focus(){this._domNode.focus()}setEnabled(e){this._domNode.classList.toggle("disabled",!e),this._domNode.setAttribute("aria-disabled",String(!e)),this._domNode.tabIndex=e?0:-1}setExpanded(e){this._domNode.setAttribute("aria-expanded",String(!!e)),e?(this._domNode.classList.remove(...zo.asClassNameArray(nxt)),this._domNode.classList.add(...zo.asClassNameArray(rxt))):(this._domNode.classList.remove(...zo.asClassNameArray(rxt)),this._domNode.classList.add(...zo.asClassNameArray(nxt)))}}Dk((l,e)=>{const i=l.getColor(AM);i&&e.addRule(`.monaco-editor .findMatch { border: 1px ${RE(l.type)?"dotted":"solid"} ${i}; box-sizing: border-box; }`);const n=l.getColor(opi);n&&e.addRule(`.monaco-editor .findScope { border: 1px ${RE(l.type)?"dashed":"solid"} ${n}; }`);const s=l.getColor(bl);s&&e.addRule(`.monaco-editor .find-widget { border: 1px solid ${s}; }`);const c=l.getColor(rpi);c&&e.addRule(`.monaco-editor .findMatchInline { color: ${c}; }`);const d=l.getColor(spi);d&&e.addRule(`.monaco-editor .currentFindMatchInline { color: ${d}; }`)});var ZLt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},lk=function(l,e){return function(i,n){e(i,n,l)}},Hze;const CAi=524288;function jze(l,e="single",i=!1){if(!l.hasModel())return null;const n=l.getSelection();if(e==="single"&&n.startLineNumber===n.endLineNumber||e==="multiple"){if(n.isEmpty()){const s=l.getConfiguredWordAtPosition(n.getStartPosition());if(s&&i===!1)return s.word}else if(l.getModel().getValueLengthInRange(n)<CAi)return l.getModel().getValueInRange(n)}return null}var Q9;let Ab=(Q9=class extends xi{get editor(){return this._editor}static get(e){return e.getContribution(Hze.ID)}constructor(e,i,n,s,c,d){super(),this._editor=e,this._findWidgetVisible=x6.bindTo(i),this._contextKeyService=i,this._storageService=n,this._clipboardService=s,this._notificationService=c,this._hoverService=d,this._updateHistoryDelayer=new Ck(500),this._state=this._register(new GPi),this.loadQueryState(),this._register(this._state.onFindReplaceStateChange(f=>this._onStateChanged(f))),this._model=null,this._register(this._editor.onDidChangeModel(()=>{const f=this._editor.getModel()&&this._state.isRevealed;this.disposeModel(),this._state.change({searchScope:null,matchCase:this._storageService.getBoolean("editor.matchCase",1,!1),wholeWord:this._storageService.getBoolean("editor.wholeWord",1,!1),isRegex:this._storageService.getBoolean("editor.isRegex",1,!1),preserveCase:this._storageService.getBoolean("editor.preserveCase",1,!1)},!1),f&&this._start({forceRevealReplace:!1,seedSearchStringFromSelection:"none",seedSearchStringFromNonEmptySelection:!1,seedSearchStringFromGlobalClipboard:!1,shouldFocus:0,shouldAnimate:!1,updateSearchScope:!1,loop:this._editor.getOption(41).loop})}))}dispose(){this.disposeModel(),super.dispose()}disposeModel(){this._model&&(this._model.dispose(),this._model=null)}_onStateChanged(e){this.saveQueryState(e),e.isRevealed&&(this._state.isRevealed?this._findWidgetVisible.set(!0):(this._findWidgetVisible.reset(),this.disposeModel())),e.searchString&&this.setGlobalBufferTerm(this._state.searchString)}saveQueryState(e){e.isRegex&&this._storageService.store("editor.isRegex",this._state.actualIsRegex,1,1),e.wholeWord&&this._storageService.store("editor.wholeWord",this._state.actualWholeWord,1,1),e.matchCase&&this._storageService.store("editor.matchCase",this._state.actualMatchCase,1,1),e.preserveCase&&this._storageService.store("editor.preserveCase",this._state.actualPreserveCase,1,1)}loadQueryState(){this._state.change({matchCase:this._storageService.getBoolean("editor.matchCase",1,this._state.matchCase),wholeWord:this._storageService.getBoolean("editor.wholeWord",1,this._state.wholeWord),isRegex:this._storageService.getBoolean("editor.isRegex",1,this._state.isRegex),preserveCase:this._storageService.getBoolean("editor.preserveCase",1,this._state.preserveCase)},!1)}isFindInputFocused(){return!!exe.getValue(this._contextKeyService)}getState(){return this._state}closeFindWidget(){this._state.change({isRevealed:!1,searchScope:null},!1),this._editor.focus()}toggleCaseSensitive(){this._state.change({matchCase:!this._state.matchCase},!1),this._state.isRevealed||this.highlightFindOptions()}toggleWholeWords(){this._state.change({wholeWord:!this._state.wholeWord},!1),this._state.isRevealed||this.highlightFindOptions()}toggleRegex(){this._state.change({isRegex:!this._state.isRegex},!1),this._state.isRevealed||this.highlightFindOptions()}togglePreserveCase(){this._state.change({preserveCase:!this._state.preserveCase},!1),this._state.isRevealed||this.highlightFindOptions()}toggleSearchScope(){if(this._state.searchScope)this._state.change({searchScope:null},!0);else if(this._editor.hasModel()){let e=this._editor.getSelections();e=e.map(i=>(i.endColumn===1&&i.endLineNumber>i.startLineNumber&&(i=i.setEndPosition(i.endLineNumber-1,this._editor.getModel().getLineMaxColumn(i.endLineNumber-1))),i.isEmpty()?null:i)).filter(i=>!!i),e.length&&this._state.change({searchScope:e},!0)}}setSearchString(e){this._state.isRegex&&(e=Tw(e)),this._state.change({searchString:e},!1)}highlightFindOptions(e=!1){}async _start(e,i){if(this.disposeModel(),!this._editor.hasModel())return;const n={...i,isRevealed:!0};if(e.seedSearchStringFromSelection==="single"){const s=jze(this._editor,e.seedSearchStringFromSelection,e.seedSearchStringFromNonEmptySelection);s&&(this._state.isRegex?n.searchString=Tw(s):n.searchString=s)}else if(e.seedSearchStringFromSelection==="multiple"&&!e.updateSearchScope){const s=jze(this._editor,e.seedSearchStringFromSelection);s&&(n.searchString=s)}if(!n.searchString&&e.seedSearchStringFromGlobalClipboard){const s=await this.getGlobalBufferTerm();if(!this._editor.hasModel())return;s&&(n.searchString=s)}if(e.forceRevealReplace||n.isReplaceRevealed?n.isReplaceRevealed=!0:this._findWidgetVisible.get()||(n.isReplaceRevealed=!1),e.updateSearchScope){const s=this._editor.getSelections();s.some(c=>!c.isEmpty())&&(n.searchScope=s)}n.loop=e.loop,this._state.change(n,!1),this._model||(this._model=new Yie(this._editor,this._state))}start(e,i){return this._start(e,i)}moveToNextMatch(){return this._model?(this._model.moveToNextMatch(),!0):!1}moveToPrevMatch(){return this._model?(this._model.moveToPrevMatch(),!0):!1}goToMatch(e){return this._model?(this._model.moveToMatch(e),!0):!1}replace(){return this._model?(this._model.replace(),!0):!1}replaceAll(){var e;return this._model?(e=this._editor.getModel())!=null&&e.isTooLargeForHeapOperation()?(this._notificationService.warn(W("too.large.for.replaceall","The file is too large to perform a replace all operation.")),!1):(this._model.replaceAll(),!0):!1}selectAllMatches(){return this._model?(this._model.selectAllMatches(),this._editor.focus(),!0):!1}async getGlobalBufferTerm(){return this._editor.getOption(41).globalFindClipboard&&this._editor.hasModel()&&!this._editor.getModel().isTooLargeForSyncing()?this._clipboardService.readFindText():""}setGlobalBufferTerm(e){this._editor.getOption(41).globalFindClipboard&&this._editor.hasModel()&&!this._editor.getModel().isTooLargeForSyncing()&&this._clipboardService.writeFindText(e)}},Hze=Q9,Q9.ID="editor.contrib.findController",Q9);Ab=Hze=ZLt([lk(1,Ko),lk(2,ET),lk(3,w6),lk(4,w_),lk(5,jL)],Ab);let zze=class extends Ab{constructor(e,i,n,s,c,d,f,m,v){super(e,n,f,m,d,v),this._contextViewService=i,this._keybindingService=s,this._themeService=c,this._widget=null,this._findOptionsWidget=null}async _start(e,i){this._widget||this._createFindWidget();const n=this._editor.getSelection();let s=!1;switch(this._editor.getOption(41).autoFindInSelection){case"always":s=!0;break;case"never":s=!1;break;case"multiline":{s=!!n&&n.startLineNumber!==n.endLineNumber;break}}e.updateSearchScope=e.updateSearchScope||s,await super._start(e,i),this._widget&&(e.shouldFocus===2?this._widget.focusReplaceInput():e.shouldFocus===1&&this._widget.focusFindInput())}highlightFindOptions(e=!1){this._widget||this._createFindWidget(),this._state.isRevealed&&!e?this._widget.highlightFindOptions():this._findOptionsWidget.highlightFindOptions()}_createFindWidget(){this._widget=this._register(new Vze(this._editor,this,this._state,this._contextViewService,this._keybindingService,this._contextKeyService,this._themeService,this._storageService,this._notificationService,this._hoverService)),this._findOptionsWidget=this._register(new Fze(this._editor,this._state,this._keybindingService))}};zze=ZLt([lk(1,b6),lk(2,Ko),lk(3,pu),lk(4,im),lk(5,w_),lk(6,ET),lk(7,w6),lk(8,jL)],zze);const SAi=W2t(new B2t({id:ef.StartFindAction,label:W("startFindAction","Find"),alias:"Find",precondition:kn.or(Tt.focus,kn.has("editorIsOpen")),kbOpts:{kbExpr:null,primary:2084,weight:100},menuOpts:{menuId:As.MenubarEditMenu,group:"3_find",title:W({key:"miFind",comment:["&& denotes a mnemonic"]},"&&Find"),order:1}}));SAi.addImplementation(0,(l,e,i)=>{const n=Ab.get(e);return n?n.start({forceRevealReplace:!1,seedSearchStringFromSelection:e.getOption(41).seedSearchStringFromSelection!=="never"?"single":"none",seedSearchStringFromNonEmptySelection:e.getOption(41).seedSearchStringFromSelection==="selection",seedSearchStringFromGlobalClipboard:e.getOption(41).globalFindClipboard,shouldFocus:1,shouldAnimate:!0,updateSearchScope:!1,loop:e.getOption(41).loop}):!1});const wAi={description:"Open a new In-Editor Find Widget.",args:[{name:"Open a new In-Editor Find Widget args",schema:{properties:{searchString:{type:"string"},replaceString:{type:"string"},isRegex:{type:"boolean"},matchWholeWord:{type:"boolean"},isCaseSensitive:{type:"boolean"},preserveCase:{type:"boolean"},findInSelection:{type:"boolean"}}}}]};class xAi extends hs{constructor(){super({id:ef.StartFindWithArgs,label:W("startFindWithArgsAction","Find With Arguments"),alias:"Find With Arguments",precondition:void 0,kbOpts:{kbExpr:null,primary:0,weight:100},metadata:wAi})}async run(e,i,n){const s=Ab.get(i);if(s){const c=n?{searchString:n.searchString,replaceString:n.replaceString,isReplaceRevealed:n.replaceString!==void 0,isRegex:n.isRegex,wholeWord:n.matchWholeWord,matchCase:n.isCaseSensitive,preserveCase:n.preserveCase}:{};await s.start({forceRevealReplace:!1,seedSearchStringFromSelection:s.getState().searchString.length===0&&i.getOption(41).seedSearchStringFromSelection!=="never"?"single":"none",seedSearchStringFromNonEmptySelection:i.getOption(41).seedSearchStringFromSelection==="selection",seedSearchStringFromGlobalClipboard:!0,shouldFocus:1,shouldAnimate:!0,updateSearchScope:(n==null?void 0:n.findInSelection)||!1,loop:i.getOption(41).loop},c),s.setGlobalBufferTerm(s.getState().searchString)}}}class kAi extends hs{constructor(){super({id:ef.StartFindWithSelection,label:W("startFindWithSelectionAction","Find With Selection"),alias:"Find With Selection",precondition:void 0,kbOpts:{kbExpr:null,primary:0,mac:{primary:2083},weight:100}})}async run(e,i){const n=Ab.get(i);n&&(await n.start({forceRevealReplace:!1,seedSearchStringFromSelection:"multiple",seedSearchStringFromNonEmptySelection:!1,seedSearchStringFromGlobalClipboard:!1,shouldFocus:0,shouldAnimate:!0,updateSearchScope:!1,loop:i.getOption(41).loop}),n.setGlobalBufferTerm(n.getState().searchString))}}class YLt extends hs{async run(e,i){const n=Ab.get(i);n&&!this._run(n)&&(await n.start({forceRevealReplace:!1,seedSearchStringFromSelection:n.getState().searchString.length===0&&i.getOption(41).seedSearchStringFromSelection!=="never"?"single":"none",seedSearchStringFromNonEmptySelection:i.getOption(41).seedSearchStringFromSelection==="selection",seedSearchStringFromGlobalClipboard:!0,shouldFocus:0,shouldAnimate:!0,updateSearchScope:!1,loop:i.getOption(41).loop}),this._run(n))}}class TAi extends YLt{constructor(){super({id:ef.NextMatchFindAction,label:W("findNextMatchAction","Find Next"),alias:"Find Next",precondition:void 0,kbOpts:[{kbExpr:Tt.focus,primary:61,mac:{primary:2085,secondary:[61]},weight:100},{kbExpr:kn.and(Tt.focus,exe),primary:3,weight:100}]})}_run(e){return e.moveToNextMatch()?(e.editor.pushUndoStop(),!0):!1}}class DAi extends YLt{constructor(){super({id:ef.PreviousMatchFindAction,label:W("findPreviousMatchAction","Find Previous"),alias:"Find Previous",precondition:void 0,kbOpts:[{kbExpr:Tt.focus,primary:1085,mac:{primary:3109,secondary:[1085]},weight:100},{kbExpr:kn.and(Tt.focus,exe),primary:1027,weight:100}]})}_run(e){return e.moveToPrevMatch()}}class EAi extends hs{constructor(){super({id:ef.GoToMatchFindAction,label:W("findMatchAction.goToMatch","Go to Match..."),alias:"Go to Match...",precondition:x6}),this._highlightDecorations=[]}run(e,i,n){const s=Ab.get(i);if(!s)return;const c=s.getState().matchesCount;if(c<1){e.get(w_).notify({severity:QSe.Warning,message:W("findMatchAction.noResults","No matches. Try searching for something else.")});return}const d=e.get(Ek),f=new wn,m=f.add(d.createInputBox());m.placeholder=W("findMatchAction.inputPlaceHolder","Type a number to go to a specific match (between 1 and {0})",c);const v=w=>{const I=parseInt(w);if(isNaN(I))return;const P=s.getState().matchesCount;if(I>0&&I<=P)return I-1;if(I<0&&I>=-P)return P+I},x=w=>{const I=v(w);if(typeof I=="number"){m.validationMessage=void 0,s.goToMatch(I);const P=s.getState().currentMatch;P&&this.addDecorations(i,P)}else m.validationMessage=W("findMatchAction.inputValidationMessage","Please type a number between 1 and {0}",s.getState().matchesCount),this.clearDecorations(i)};f.add(m.onDidChangeValue(w=>{x(w)})),f.add(m.onDidAccept(()=>{const w=v(m.value);typeof w=="number"?(s.goToMatch(w),m.hide()):m.validationMessage=W("findMatchAction.inputValidationMessage","Please type a number between 1 and {0}",s.getState().matchesCount)})),f.add(m.onDidHide(()=>{this.clearDecorations(i),f.dispose()})),m.show()}clearDecorations(e){e.changeDecorations(i=>{this._highlightDecorations=i.deltaDecorations(this._highlightDecorations,[])})}addDecorations(e,i){e.changeDecorations(n=>{this._highlightDecorations=n.deltaDecorations(this._highlightDecorations,[{range:i,options:{description:"find-match-quick-access-range-highlight",className:"rangeHighlight",isWholeLine:!0}},{range:i,options:{description:"find-match-quick-access-range-highlight-overview",overviewRuler:{color:Mh(HDt),position:ww.Full}}}])})}}class ePt extends hs{async run(e,i){const n=Ab.get(i);if(!n)return;const s=jze(i,"single",!1);s&&n.setSearchString(s),this._run(n)||(await n.start({forceRevealReplace:!1,seedSearchStringFromSelection:"none",seedSearchStringFromNonEmptySelection:!1,seedSearchStringFromGlobalClipboard:!1,shouldFocus:0,shouldAnimate:!0,updateSearchScope:!1,loop:i.getOption(41).loop}),this._run(n))}}class IAi extends ePt{constructor(){super({id:ef.NextSelectionMatchFindAction,label:W("nextSelectionMatchFindAction","Find Next Selection"),alias:"Find Next Selection",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:2109,weight:100}})}_run(e){return e.moveToNextMatch()}}class NAi extends ePt{constructor(){super({id:ef.PreviousSelectionMatchFindAction,label:W("previousSelectionMatchFindAction","Find Previous Selection"),alias:"Find Previous Selection",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:3133,weight:100}})}_run(e){return e.moveToPrevMatch()}}const LAi=W2t(new B2t({id:ef.StartFindReplaceAction,label:W("startReplace","Replace"),alias:"Replace",precondition:kn.or(Tt.focus,kn.has("editorIsOpen")),kbOpts:{kbExpr:null,primary:2086,mac:{primary:2596},weight:100},menuOpts:{menuId:As.MenubarEditMenu,group:"3_find",title:W({key:"miReplace",comment:["&& denotes a mnemonic"]},"&&Replace"),order:2}}));LAi.addImplementation(0,(l,e,i)=>{if(!e.hasModel()||e.getOption(92))return!1;const n=Ab.get(e);if(!n)return!1;const s=e.getSelection(),c=n.isFindInputFocused(),d=!s.isEmpty()&&s.startLineNumber===s.endLineNumber&&e.getOption(41).seedSearchStringFromSelection!=="never"&&!c,f=c||d?2:1;return n.start({forceRevealReplace:!0,seedSearchStringFromSelection:d?"single":"none",seedSearchStringFromNonEmptySelection:e.getOption(41).seedSearchStringFromSelection==="selection",seedSearchStringFromGlobalClipboard:e.getOption(41).seedSearchStringFromSelection!=="never",shouldFocus:f,shouldAnimate:!0,updateSearchScope:!1,loop:e.getOption(41).loop})});Cl(Ab.ID,zze,0);sr(xAi);sr(kAi);sr(TAi);sr(DAi);sr(EAi);sr(IAi);sr(NAi);const YE=tm.bindToContribution(Ab.get);$r(new YE({id:ef.CloseFindWidgetCommand,precondition:x6,handler:l=>l.closeFindWidget(),kbOpts:{weight:105,kbExpr:kn.and(Tt.focus,kn.not("isComposing")),primary:9,secondary:[1033]}}));$r(new YE({id:ef.ToggleCaseSensitiveCommand,precondition:void 0,handler:l=>l.toggleCaseSensitive(),kbOpts:{weight:105,kbExpr:Tt.focus,primary:M0e.primary,mac:M0e.mac,win:M0e.win,linux:M0e.linux}}));$r(new YE({id:ef.ToggleWholeWordCommand,precondition:void 0,handler:l=>l.toggleWholeWords(),kbOpts:{weight:105,kbExpr:Tt.focus,primary:R0e.primary,mac:R0e.mac,win:R0e.win,linux:R0e.linux}}));$r(new YE({id:ef.ToggleRegexCommand,precondition:void 0,handler:l=>l.toggleRegex(),kbOpts:{weight:105,kbExpr:Tt.focus,primary:F0e.primary,mac:F0e.mac,win:F0e.win,linux:F0e.linux}}));$r(new YE({id:ef.ToggleSearchScopeCommand,precondition:void 0,handler:l=>l.toggleSearchScope(),kbOpts:{weight:105,kbExpr:Tt.focus,primary:B0e.primary,mac:B0e.mac,win:B0e.win,linux:B0e.linux}}));$r(new YE({id:ef.TogglePreserveCaseCommand,precondition:void 0,handler:l=>l.togglePreserveCase(),kbOpts:{weight:105,kbExpr:Tt.focus,primary:W0e.primary,mac:W0e.mac,win:W0e.win,linux:W0e.linux}}));$r(new YE({id:ef.ReplaceOneAction,precondition:x6,handler:l=>l.replace(),kbOpts:{weight:105,kbExpr:Tt.focus,primary:3094}}));$r(new YE({id:ef.ReplaceOneAction,precondition:x6,handler:l=>l.replace(),kbOpts:{weight:105,kbExpr:kn.and(Tt.focus,QGe),primary:3}}));$r(new YE({id:ef.ReplaceAllAction,precondition:x6,handler:l=>l.replaceAll(),kbOpts:{weight:105,kbExpr:Tt.focus,primary:2563}}));$r(new YE({id:ef.ReplaceAllAction,precondition:x6,handler:l=>l.replaceAll(),kbOpts:{weight:105,kbExpr:kn.and(Tt.focus,QGe),primary:void 0,mac:{primary:2051}}}));$r(new YE({id:ef.SelectAllMatchesAction,precondition:x6,handler:l=>l.selectAllMatches(),kbOpts:{weight:105,kbExpr:Tt.focus,primary:515}}));const PAi={0:" ",1:"u",2:"r"},uxt=65535,CE=16777215,dxt=4278190080;class F9e{constructor(e){const i=Math.ceil(e/32);this._states=new Uint32Array(i)}get(e){const i=e/32|0,n=e%32;return(this._states[i]&1<<n)!==0}set(e,i){const n=e/32|0,s=e%32,c=this._states[n];i?this._states[n]=c|1<<s:this._states[n]=c&~(1<<s)}}class _w{constructor(e,i,n){if(e.length!==i.length||e.length>uxt)throw new Error("invalid startIndexes or endIndexes size");this._startIndexes=e,this._endIndexes=i,this._collapseStates=new F9e(e.length),this._userDefinedStates=new F9e(e.length),this._recoveredStates=new F9e(e.length),this._types=n,this._parentsComputed=!1}ensureParentIndices(){if(!this._parentsComputed){this._parentsComputed=!0;const e=[],i=(n,s)=>{const c=e[e.length-1];return this.getStartLineNumber(c)<=n&&this.getEndLineNumber(c)>=s};for(let n=0,s=this._startIndexes.length;n<s;n++){const c=this._startIndexes[n],d=this._endIndexes[n];if(c>CE||d>CE)throw new Error("startLineNumber or endLineNumber must not exceed "+CE);for(;e.length>0&&!i(c,d);)e.pop();const f=e.length>0?e[e.length-1]:-1;e.push(n),this._startIndexes[n]=c+((f&255)<<24),this._endIndexes[n]=d+((f&65280)<<16)}}}get length(){return this._startIndexes.length}getStartLineNumber(e){return this._startIndexes[e]&CE}getEndLineNumber(e){return this._endIndexes[e]&CE}getType(e){return this._types?this._types[e]:void 0}hasTypes(){return!!this._types}isCollapsed(e){return this._collapseStates.get(e)}setCollapsed(e,i){this._collapseStates.set(e,i)}isUserDefined(e){return this._userDefinedStates.get(e)}setUserDefined(e,i){return this._userDefinedStates.set(e,i)}isRecovered(e){return this._recoveredStates.get(e)}setRecovered(e,i){return this._recoveredStates.set(e,i)}getSource(e){return this.isUserDefined(e)?1:this.isRecovered(e)?2:0}setSource(e,i){i===1?(this.setUserDefined(e,!0),this.setRecovered(e,!1)):i===2?(this.setUserDefined(e,!1),this.setRecovered(e,!0)):(this.setUserDefined(e,!1),this.setRecovered(e,!1))}setCollapsedAllOfType(e,i){let n=!1;if(this._types)for(let s=0;s<this._types.length;s++)this._types[s]===e&&(this.setCollapsed(s,i),n=!0);return n}toRegion(e){return new AAi(this,e)}getParentIndex(e){this.ensureParentIndices();const i=((this._startIndexes[e]&dxt)>>>24)+((this._endIndexes[e]&dxt)>>>16);return i===uxt?-1:i}contains(e,i){return this.getStartLineNumber(e)<=i&&this.getEndLineNumber(e)>=i}findIndex(e){let i=0,n=this._startIndexes.length;if(n===0)return-1;for(;i<n;){const s=Math.floor((i+n)/2);e<this.getStartLineNumber(s)?n=s:i=s+1}return i-1}findRange(e){let i=this.findIndex(e);if(i>=0){if(this.getEndLineNumber(i)>=e)return i;for(i=this.getParentIndex(i);i!==-1;){if(this.contains(i,e))return i;i=this.getParentIndex(i)}}return-1}toString(){const e=[];for(let i=0;i<this.length;i++)e[i]=`[${PAi[this.getSource(i)]}${this.isCollapsed(i)?"+":"-"}] ${this.getStartLineNumber(i)}/${this.getEndLineNumber(i)}`;return e.join(", ")}toFoldRange(e){return{startLineNumber:this._startIndexes[e]&CE,endLineNumber:this._endIndexes[e]&CE,type:this._types?this._types[e]:void 0,isCollapsed:this.isCollapsed(e),source:this.getSource(e)}}static fromFoldRanges(e){const i=e.length,n=new Uint32Array(i),s=new Uint32Array(i);let c=[],d=!1;for(let m=0;m<i;m++){const v=e[m];n[m]=v.startLineNumber,s[m]=v.endLineNumber,c.push(v.type),v.type&&(d=!0)}d||(c=void 0);const f=new _w(n,s,c);for(let m=0;m<i;m++)e[m].isCollapsed&&f.setCollapsed(m,!0),f.setSource(m,e[m].source);return f}static sanitizeAndMerge(e,i,n,s){n=n??Number.MAX_VALUE;const c=(J,Y)=>Array.isArray(J)?ae=>ae<Y?J[ae]:void 0:ae=>ae<Y?J.toFoldRange(ae):void 0,d=c(e,e.length),f=c(i,i.length);let m=0,v=0,x=d(0),w=f(0);const I=[];let P,O=0;const z=[];for(;x||w;){let J;if(w&&(!x||x.startLineNumber>=w.startLineNumber))x&&x.startLineNumber===w.startLineNumber?(w.source===1?J=w:(J=x,J.isCollapsed=w.isCollapsed&&(x.endLineNumber===w.endLineNumber||!(s!=null&&s.startsInside(x.startLineNumber+1,x.endLineNumber+1))),J.source=0),x=d(++m)):(J=w,w.isCollapsed&&w.source===0&&(J.source=2)),w=f(++v);else{let Y=v,ae=w;for(;;){if(!ae||ae.startLineNumber>x.endLineNumber){J=x;break}if(ae.source===1&&ae.endLineNumber>x.endLineNumber)break;ae=f(++Y)}x=d(++m)}if(J){for(;P&&P.endLineNumber<J.startLineNumber;)P=I.pop();J.endLineNumber>J.startLineNumber&&J.startLineNumber>O&&J.endLineNumber<=n&&(!P||P.endLineNumber>=J.endLineNumber)&&(z.push(J),O=J.startLineNumber,P&&I.push(P),P=J)}}return z}}class AAi{constructor(e,i){this.ranges=e,this.index=i}get startLineNumber(){return this.ranges.getStartLineNumber(this.index)}get endLineNumber(){return this.ranges.getEndLineNumber(this.index)}get regionIndex(){return this.index}get parentIndex(){return this.ranges.getParentIndex(this.index)}get isCollapsed(){return this.ranges.isCollapsed(this.index)}containedBy(e){return e.startLineNumber<=this.startLineNumber&&e.endLineNumber>=this.endLineNumber}containsLine(e){return this.startLineNumber<=e&&e<=this.endLineNumber}}class OAi{get regions(){return this._regions}get textModel(){return this._textModel}constructor(e,i){this._updateEventEmitter=new gi,this.onDidChange=this._updateEventEmitter.event,this._textModel=e,this._decorationProvider=i,this._regions=new _w(new Uint32Array(0),new Uint32Array(0)),this._editorDecorationIds=[]}toggleCollapseState(e){if(!e.length)return;e=e.sort((n,s)=>n.regionIndex-s.regionIndex);const i={};this._decorationProvider.changeDecorations(n=>{let s=0,c=-1,d=-1;const f=m=>{for(;s<m;){const v=this._regions.getEndLineNumber(s),x=this._regions.isCollapsed(s);if(v<=c){const w=this.regions.getSource(s)!==0;n.changeDecorationOptions(this._editorDecorationIds[s],this._decorationProvider.getDecorationOption(x,v<=d,w))}x&&v>d&&(d=v),s++}};for(const m of e){const v=m.regionIndex,x=this._editorDecorationIds[v];if(x&&!i[x]){i[x]=!0,f(v);const w=!this._regions.isCollapsed(v);this._regions.setCollapsed(v,w),c=Math.max(c,this._regions.getEndLineNumber(v))}}f(this._regions.length)}),this._updateEventEmitter.fire({model:this,collapseStateChanged:e})}removeManualRanges(e){const i=new Array,n=s=>{for(const c of e)if(!(c.startLineNumber>s.endLineNumber||s.startLineNumber>c.endLineNumber))return!0;return!1};for(let s=0;s<this._regions.length;s++){const c=this._regions.toFoldRange(s);(c.source===0||!n(c))&&i.push(c)}this.updatePost(_w.fromFoldRanges(i))}update(e,i){const n=this._currentFoldedOrManualRanges(i),s=_w.sanitizeAndMerge(e,n,this._textModel.getLineCount(),i);this.updatePost(_w.fromFoldRanges(s))}updatePost(e){const i=[];let n=-1;for(let s=0,c=e.length;s<c;s++){const d=e.getStartLineNumber(s),f=e.getEndLineNumber(s),m=e.isCollapsed(s),v=e.getSource(s)!==0,x={startLineNumber:d,startColumn:this._textModel.getLineMaxColumn(d),endLineNumber:f,endColumn:this._textModel.getLineMaxColumn(f)+1};i.push({range:x,options:this._decorationProvider.getDecorationOption(m,f<=n,v)}),m&&f>n&&(n=f)}this._decorationProvider.changeDecorations(s=>this._editorDecorationIds=s.deltaDecorations(this._editorDecorationIds,i)),this._regions=e,this._updateEventEmitter.fire({model:this})}_currentFoldedOrManualRanges(e){const i=[];for(let n=0,s=this._regions.length;n<s;n++){let c=this.regions.isCollapsed(n);const d=this.regions.getSource(n);if(c||d!==0){const f=this._regions.toFoldRange(n),m=this._textModel.getDecorationRange(this._editorDecorationIds[n]);m&&(c&&(e!=null&&e.startsInside(m.startLineNumber+1,m.endLineNumber))&&(c=!1),i.push({startLineNumber:m.startLineNumber,endLineNumber:m.endLineNumber,type:f.type,isCollapsed:c,source:d}))}}return i}getMemento(){const e=this._currentFoldedOrManualRanges(),i=[],n=this._textModel.getLineCount();for(let s=0,c=e.length;s<c;s++){const d=e[s];if(d.startLineNumber>=d.endLineNumber||d.startLineNumber<1||d.endLineNumber>n)continue;const f=this._getLinesChecksum(d.startLineNumber+1,d.endLineNumber);i.push({startLineNumber:d.startLineNumber,endLineNumber:d.endLineNumber,isCollapsed:d.isCollapsed,source:d.source,checksum:f})}return i.length>0?i:void 0}applyMemento(e){if(!Array.isArray(e))return;const i=[],n=this._textModel.getLineCount();for(const c of e){if(c.startLineNumber>=c.endLineNumber||c.startLineNumber<1||c.endLineNumber>n)continue;const d=this._getLinesChecksum(c.startLineNumber+1,c.endLineNumber);(!c.checksum||d===c.checksum)&&i.push({startLineNumber:c.startLineNumber,endLineNumber:c.endLineNumber,type:void 0,isCollapsed:c.isCollapsed??!0,source:c.source??0})}const s=_w.sanitizeAndMerge(this._regions,i,n);this.updatePost(_w.fromFoldRanges(s))}_getLinesChecksum(e,i){return PSe(this._textModel.getLineContent(e)+this._textModel.getLineContent(i))%1e6}dispose(){this._decorationProvider.removeDecorations(this._editorDecorationIds)}getAllRegionsAtLine(e,i){const n=[];if(this._regions){let s=this._regions.findRange(e),c=1;for(;s>=0;){const d=this._regions.toRegion(s);(!i||i(d,c))&&n.push(d),c++,s=d.parentIndex}}return n}getRegionAtLine(e){if(this._regions){const i=this._regions.findRange(e);if(i>=0)return this._regions.toRegion(i)}return null}getRegionsInside(e,i){const n=[],s=e?e.regionIndex+1:0,c=e?e.endLineNumber:Number.MAX_VALUE;if(i&&i.length===2){const d=[];for(let f=s,m=this._regions.length;f<m;f++){const v=this._regions.toRegion(f);if(this._regions.getStartLineNumber(f)<c){for(;d.length>0&&!v.containedBy(d[d.length-1]);)d.pop();d.push(v),i(v,d.length)&&n.push(v)}else break}}else for(let d=s,f=this._regions.length;d<f;d++){const m=this._regions.toRegion(d);if(this._regions.getStartLineNumber(d)<c)(!i||i(m))&&n.push(m);else break}return n}}function eKe(l,e,i){const n=[];for(const s of i){const c=l.getRegionAtLine(s);if(c){const d=!c.isCollapsed;if(n.push(c),e>1){const f=l.getRegionsInside(c,(m,v)=>m.isCollapsed!==d&&v<e);n.push(...f)}}}l.toggleCollapseState(n)}function RJ(l,e,i=Number.MAX_VALUE,n){const s=[];if(n&&n.length>0)for(const c of n){const d=l.getRegionAtLine(c);if(d&&(d.isCollapsed!==e&&s.push(d),i>1)){const f=l.getRegionsInside(d,(m,v)=>m.isCollapsed!==e&&v<i);s.push(...f)}}else{const c=l.getRegionsInside(null,(d,f)=>d.isCollapsed!==e&&f<i);s.push(...c)}l.toggleCollapseState(s)}function tPt(l,e,i,n){const s=[];for(const c of n){const d=l.getAllRegionsAtLine(c,(f,m)=>f.isCollapsed!==e&&m<=i);s.push(...d)}l.toggleCollapseState(s)}function MAi(l,e,i){const n=[];for(const s of i){const c=l.getAllRegionsAtLine(s,d=>d.isCollapsed!==e);c.length>0&&n.push(c[0])}l.toggleCollapseState(n)}function RAi(l,e,i,n){const s=(d,f)=>f===e&&d.isCollapsed!==i&&!n.some(m=>d.containsLine(m)),c=l.getRegionsInside(null,s);l.toggleCollapseState(c)}function iPt(l,e,i){const n=[];for(const d of i){const f=l.getAllRegionsAtLine(d,void 0);f.length>0&&n.push(f[0])}const s=d=>n.every(f=>!f.containedBy(d)&&!d.containedBy(f))&&d.isCollapsed!==e,c=l.getRegionsInside(null,s);l.toggleCollapseState(c)}function tKe(l,e,i){const n=l.textModel,s=l.regions,c=[];for(let d=s.length-1;d>=0;d--)if(i!==s.isCollapsed(d)){const f=s.getStartLineNumber(d);e.test(n.getLineContent(f))&&c.push(s.toRegion(d))}l.toggleCollapseState(c)}function iKe(l,e,i){const n=l.regions,s=[];for(let c=n.length-1;c>=0;c--)i!==n.isCollapsed(c)&&e===n.getType(c)&&s.push(n.toRegion(c));l.toggleCollapseState(s)}function FAi(l,e){let i=null;const n=e.getRegionAtLine(l);if(n!==null&&(i=n.startLineNumber,l===i)){const s=n.parentIndex;s!==-1?i=e.regions.getStartLineNumber(s):i=null}return i}function BAi(l,e){let i=e.getRegionAtLine(l);if(i!==null&&i.startLineNumber===l){if(l!==i.startLineNumber)return i.startLineNumber;{const n=i.parentIndex;let s=0;for(n!==-1&&(s=e.regions.getStartLineNumber(i.parentIndex));i!==null;)if(i.regionIndex>0){if(i=e.regions.toRegion(i.regionIndex-1),i.startLineNumber<=s)return null;if(i.parentIndex===n)return i.startLineNumber}else return null}}else if(e.regions.length>0)for(i=e.regions.toRegion(e.regions.length-1);i!==null;){if(i.startLineNumber<l)return i.startLineNumber;i.regionIndex>0?i=e.regions.toRegion(i.regionIndex-1):i=null}return null}function WAi(l,e){let i=e.getRegionAtLine(l);if(i!==null&&i.startLineNumber===l){const n=i.parentIndex;let s=0;if(n!==-1)s=e.regions.getEndLineNumber(i.parentIndex);else{if(e.regions.length===0)return null;s=e.regions.getEndLineNumber(e.regions.length-1)}for(;i!==null;)if(i.regionIndex<e.regions.length){if(i=e.regions.toRegion(i.regionIndex+1),i.startLineNumber>=s)return null;if(i.parentIndex===n)return i.startLineNumber}else return null}else if(e.regions.length>0)for(i=e.regions.toRegion(0);i!==null;){if(i.startLineNumber>l)return i.startLineNumber;i.regionIndex<e.regions.length?i=e.regions.toRegion(i.regionIndex+1):i=null}return null}class VAi{get onDidChange(){return this._updateEventEmitter.event}get hiddenRanges(){return this._hiddenRanges}constructor(e){this._updateEventEmitter=new gi,this._hasLineChanges=!1,this._foldingModel=e,this._foldingModelListener=e.onDidChange(i=>this.updateHiddenRanges()),this._hiddenRanges=[],e.regions.length&&this.updateHiddenRanges()}notifyChangeModelContent(e){this._hiddenRanges.length&&!this._hasLineChanges&&(this._hasLineChanges=e.changes.some(i=>i.range.endLineNumber!==i.range.startLineNumber||xR(i.text)[0]!==0))}updateHiddenRanges(){let e=!1;const i=[];let n=0,s=0,c=Number.MAX_VALUE,d=-1;const f=this._foldingModel.regions;for(;n<f.length;n++){if(!f.isCollapsed(n))continue;const m=f.getStartLineNumber(n)+1,v=f.getEndLineNumber(n);c<=m&&v<=d||(!e&&s<this._hiddenRanges.length&&this._hiddenRanges[s].startLineNumber===m&&this._hiddenRanges[s].endLineNumber===v?(i.push(this._hiddenRanges[s]),s++):(e=!0,i.push(new nt(m,1,v,1))),c=m,d=v)}(this._hasLineChanges||e||s<this._hiddenRanges.length)&&this.applyHiddenRanges(i)}applyHiddenRanges(e){this._hiddenRanges=e,this._hasLineChanges=!1,this._updateEventEmitter.fire(e)}hasRanges(){return this._hiddenRanges.length>0}isHidden(e){return fxt(this._hiddenRanges,e)!==null}adjustSelections(e){let i=!1;const n=this._foldingModel.textModel;let s=null;const c=d=>((!s||!HAi(d,s))&&(s=fxt(this._hiddenRanges,d)),s?s.startLineNumber-1:null);for(let d=0,f=e.length;d<f;d++){let m=e[d];const v=c(m.startLineNumber);v&&(m=m.setStartPosition(v,n.getLineMaxColumn(v)),i=!0);const x=c(m.endLineNumber);x&&(m=m.setEndPosition(x,n.getLineMaxColumn(x)),i=!0),e[d]=m}return i}dispose(){this.hiddenRanges.length>0&&(this._hiddenRanges=[],this._updateEventEmitter.fire(this._hiddenRanges)),this._foldingModelListener&&(this._foldingModelListener.dispose(),this._foldingModelListener=null)}}function HAi(l,e){return l>=e.startLineNumber&&l<=e.endLineNumber}function fxt(l,e){const i=Une(l,n=>e<n.startLineNumber)-1;return i>=0&&l[i].endLineNumber>=e?l[i]:null}const jAi=5e3,zAi="indent";class nKe{constructor(e,i,n){this.editorModel=e,this.languageConfigurationService=i,this.foldingRangesLimit=n,this.id=zAi}dispose(){}compute(e){const i=this.languageConfigurationService.getLanguageConfiguration(this.editorModel.getLanguageId()).foldingRules,n=i&&!!i.offSide,s=i&&i.markers;return Promise.resolve($Ai(this.editorModel,n,s,this.foldingRangesLimit))}}let UAi=class{constructor(e){this._startIndexes=[],this._endIndexes=[],this._indentOccurrences=[],this._length=0,this._foldingRangesLimit=e}insertFirst(e,i,n){if(e>CE||i>CE)return;const s=this._length;this._startIndexes[s]=e,this._endIndexes[s]=i,this._length++,n<1e3&&(this._indentOccurrences[n]=(this._indentOccurrences[n]||0)+1)}toIndentRanges(e){const i=this._foldingRangesLimit.limit;if(this._length<=i){this._foldingRangesLimit.update(this._length,!1);const n=new Uint32Array(this._length),s=new Uint32Array(this._length);for(let c=this._length-1,d=0;c>=0;c--,d++)n[d]=this._startIndexes[c],s[d]=this._endIndexes[c];return new _w(n,s)}else{this._foldingRangesLimit.update(this._length,i);let n=0,s=this._indentOccurrences.length;for(let m=0;m<this._indentOccurrences.length;m++){const v=this._indentOccurrences[m];if(v){if(v+n>i){s=m;break}n+=v}}const c=e.getOptions().tabSize,d=new Uint32Array(i),f=new Uint32Array(i);for(let m=this._length-1,v=0;m>=0;m--){const x=this._startIndexes[m],w=e.getLineContent(x),I=Cwe(w,c);(I<s||I===s&&n++<i)&&(d[v]=x,f[v]=this._endIndexes[m],v++)}return new _w(d,f)}}};const qAi={limit:jAi,update:()=>{}};function $Ai(l,e,i,n=qAi){const s=l.getOptions().tabSize,c=new UAi(n);let d;i&&(d=new RegExp(`(${i.start.source})|(?:${i.end.source})`));const f=[],m=l.getLineCount()+1;f.push({indent:-1,endAbove:m,line:m});for(let v=l.getLineCount();v>0;v--){const x=l.getLineContent(v),w=Cwe(x,s);let I=f[f.length-1];if(w===-1){e&&(I.endAbove=v);continue}let P;if(d&&(P=x.match(d)))if(P[1]){let O=f.length-1;for(;O>0&&f[O].indent!==-2;)O--;if(O>0){f.length=O+1,I=f[O],c.insertFirst(v,I.line,w),I.line=v,I.indent=w,I.endAbove=v;continue}}else{f.push({indent:-2,endAbove:v,line:v});continue}if(I.indent>w){do f.pop(),I=f[f.length-1];while(I.indent>w);const O=I.endAbove-1;O-v>=1&&c.insertFirst(v,O,w)}I.indent===w?I.endAbove=v:f.push({indent:w,endAbove:v,line:v})}return c.toIndentRanges(l)}const JAi=It("editor.foldBackground",{light:hc(PM,.3),dark:hc(PM,.3),hcDark:null,hcLight:null},W("foldBackgroundBackground","Background color behind folded ranges. The color must not be opaque so as not to hide underlying decorations."),!0);It("editor.foldPlaceholderForeground",{light:"#808080",dark:"#808080",hcDark:null,hcLight:null},W("collapsedTextColor","Color of the collapsed text after the first line of a folded range."));It("editorGutter.foldingControlForeground",kye,W("editorGutter.foldingControlForeground","Color of the folding control in the editor gutter."));const abe=sf("folding-expanded",pr.chevronDown,W("foldingExpandedIcon","Icon for expanded ranges in the editor glyph margin.")),cbe=sf("folding-collapsed",pr.chevronRight,W("foldingCollapsedIcon","Icon for collapsed ranges in the editor glyph margin.")),hxt=sf("folding-manual-collapsed",cbe,W("foldingManualCollapedIcon","Icon for manually collapsed ranges in the editor glyph margin.")),_xt=sf("folding-manual-expanded",abe,W("foldingManualExpandedIcon","Icon for manually expanded ranges in the editor glyph margin.")),B9e={color:Mh(JAi),position:1},BU=W("linesCollapsed","Click to expand the range."),j0e=W("linesExpanded","Click to collapse the range."),hp=class hp{constructor(e){this.editor=e,this.showFoldingControls="mouseover",this.showFoldingHighlights=!0}getDecorationOption(e,i,n){return i?hp.HIDDEN_RANGE_DECORATION:this.showFoldingControls==="never"?e?this.showFoldingHighlights?hp.NO_CONTROLS_COLLAPSED_HIGHLIGHTED_RANGE_DECORATION:hp.NO_CONTROLS_COLLAPSED_RANGE_DECORATION:hp.NO_CONTROLS_EXPANDED_RANGE_DECORATION:e?n?this.showFoldingHighlights?hp.MANUALLY_COLLAPSED_HIGHLIGHTED_VISUAL_DECORATION:hp.MANUALLY_COLLAPSED_VISUAL_DECORATION:this.showFoldingHighlights?hp.COLLAPSED_HIGHLIGHTED_VISUAL_DECORATION:hp.COLLAPSED_VISUAL_DECORATION:this.showFoldingControls==="mouseover"?n?hp.MANUALLY_EXPANDED_AUTO_HIDE_VISUAL_DECORATION:hp.EXPANDED_AUTO_HIDE_VISUAL_DECORATION:n?hp.MANUALLY_EXPANDED_VISUAL_DECORATION:hp.EXPANDED_VISUAL_DECORATION}changeDecorations(e){return this.editor.changeDecorations(e)}removeDecorations(e){this.editor.removeDecorations(e)}};hp.COLLAPSED_VISUAL_DECORATION=qa.register({description:"folding-collapsed-visual-decoration",stickiness:0,afterContentClassName:"inline-folded",isWholeLine:!0,linesDecorationsTooltip:BU,firstLineDecorationClassName:zo.asClassName(cbe)}),hp.COLLAPSED_HIGHLIGHTED_VISUAL_DECORATION=qa.register({description:"folding-collapsed-highlighted-visual-decoration",stickiness:0,afterContentClassName:"inline-folded",className:"folded-background",minimap:B9e,isWholeLine:!0,linesDecorationsTooltip:BU,firstLineDecorationClassName:zo.asClassName(cbe)}),hp.MANUALLY_COLLAPSED_VISUAL_DECORATION=qa.register({description:"folding-manually-collapsed-visual-decoration",stickiness:0,afterContentClassName:"inline-folded",isWholeLine:!0,linesDecorationsTooltip:BU,firstLineDecorationClassName:zo.asClassName(hxt)}),hp.MANUALLY_COLLAPSED_HIGHLIGHTED_VISUAL_DECORATION=qa.register({description:"folding-manually-collapsed-highlighted-visual-decoration",stickiness:0,afterContentClassName:"inline-folded",className:"folded-background",minimap:B9e,isWholeLine:!0,linesDecorationsTooltip:BU,firstLineDecorationClassName:zo.asClassName(hxt)}),hp.NO_CONTROLS_COLLAPSED_RANGE_DECORATION=qa.register({description:"folding-no-controls-range-decoration",stickiness:0,afterContentClassName:"inline-folded",isWholeLine:!0,linesDecorationsTooltip:BU}),hp.NO_CONTROLS_COLLAPSED_HIGHLIGHTED_RANGE_DECORATION=qa.register({description:"folding-no-controls-range-decoration",stickiness:0,afterContentClassName:"inline-folded",className:"folded-background",minimap:B9e,isWholeLine:!0,linesDecorationsTooltip:BU}),hp.EXPANDED_VISUAL_DECORATION=qa.register({description:"folding-expanded-visual-decoration",stickiness:1,isWholeLine:!0,firstLineDecorationClassName:"alwaysShowFoldIcons "+zo.asClassName(abe),linesDecorationsTooltip:j0e}),hp.EXPANDED_AUTO_HIDE_VISUAL_DECORATION=qa.register({description:"folding-expanded-auto-hide-visual-decoration",stickiness:1,isWholeLine:!0,firstLineDecorationClassName:zo.asClassName(abe),linesDecorationsTooltip:j0e}),hp.MANUALLY_EXPANDED_VISUAL_DECORATION=qa.register({description:"folding-manually-expanded-visual-decoration",stickiness:0,isWholeLine:!0,firstLineDecorationClassName:"alwaysShowFoldIcons "+zo.asClassName(_xt),linesDecorationsTooltip:j0e}),hp.MANUALLY_EXPANDED_AUTO_HIDE_VISUAL_DECORATION=qa.register({description:"folding-manually-expanded-auto-hide-visual-decoration",stickiness:0,isWholeLine:!0,firstLineDecorationClassName:zo.asClassName(_xt),linesDecorationsTooltip:j0e}),hp.NO_CONTROLS_EXPANDED_RANGE_DECORATION=qa.register({description:"folding-no-controls-range-decoration",stickiness:0,isWholeLine:!0}),hp.HIDDEN_RANGE_DECORATION=qa.register({description:"folding-hidden-range-decoration",stickiness:1});let Uze=hp;const GAi={},KAi="syntax";class rKe{constructor(e,i,n,s,c){this.editorModel=e,this.providers=i,this.handleFoldingRangesChange=n,this.foldingRangesLimit=s,this.fallbackRangeProvider=c,this.id=KAi,this.disposables=new wn,c&&this.disposables.add(c);for(const d of i)typeof d.onDidChange=="function"&&this.disposables.add(d.onDidChange(n))}compute(e){return XAi(this.providers,this.editorModel,e).then(i=>{var n;return i?ZAi(i,this.foldingRangesLimit):((n=this.fallbackRangeProvider)==null?void 0:n.compute(e))??null})}dispose(){this.disposables.dispose()}}function XAi(l,e,i){let n=null;const s=l.map((c,d)=>Promise.resolve(c.provideFoldingRanges(e,GAi,i)).then(f=>{if(!i.isCancellationRequested&&Array.isArray(f)){Array.isArray(n)||(n=[]);const m=e.getLineCount();for(const v of f)v.start>0&&v.end>v.start&&v.end<=m&&n.push({start:v.start,end:v.end,rank:d,kind:v.kind})}},t_));return Promise.all(s).then(c=>n)}class QAi{constructor(e){this._startIndexes=[],this._endIndexes=[],this._nestingLevels=[],this._nestingLevelCounts=[],this._types=[],this._length=0,this._foldingRangesLimit=e}add(e,i,n,s){if(e>CE||i>CE)return;const c=this._length;this._startIndexes[c]=e,this._endIndexes[c]=i,this._nestingLevels[c]=s,this._types[c]=n,this._length++,s<30&&(this._nestingLevelCounts[s]=(this._nestingLevelCounts[s]||0)+1)}toIndentRanges(){const e=this._foldingRangesLimit.limit;if(this._length<=e){this._foldingRangesLimit.update(this._length,!1);const i=new Uint32Array(this._length),n=new Uint32Array(this._length);for(let s=0;s<this._length;s++)i[s]=this._startIndexes[s],n[s]=this._endIndexes[s];return new _w(i,n,this._types)}else{this._foldingRangesLimit.update(this._length,e);let i=0,n=this._nestingLevelCounts.length;for(let f=0;f<this._nestingLevelCounts.length;f++){const m=this._nestingLevelCounts[f];if(m){if(m+i>e){n=f;break}i+=m}}const s=new Uint32Array(e),c=new Uint32Array(e),d=[];for(let f=0,m=0;f<this._length;f++){const v=this._nestingLevels[f];(v<n||v===n&&i++<e)&&(s[m]=this._startIndexes[f],c[m]=this._endIndexes[f],d[m]=this._types[f],m++)}return new _w(s,c,d)}}}function ZAi(l,e){const i=l.sort((d,f)=>{let m=d.start-f.start;return m===0&&(m=d.rank-f.rank),m}),n=new QAi(e);let s;const c=[];for(const d of i)if(!s)s=d,n.add(d.start,d.end,d.kind&&d.kind.value,c.length);else if(d.start>s.start)if(d.end<=s.end)c.push(s),s=d,n.add(d.start,d.end,d.kind&&d.kind.value,c.length);else{if(d.start>s.end){do s=c.pop();while(s&&d.start>s.end);s&&c.push(s),s=d}n.add(d.start,d.end,d.kind&&d.kind.value,c.length)}return n.toIndentRanges()}var YAi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Kte=function(l,e){return function(i,n){e(i,n,l)}},OF;const L0=new rs("foldingEnabled",!1);var Z9;let OR=(Z9=class extends xi{static get(e){return e.getContribution(OF.ID)}static getFoldingRangeProviders(e,i){var s;const n=e.foldingRangeProvider.ordered(i);return((s=OF._foldingRangeSelector)==null?void 0:s.call(OF,n,i))??n}constructor(e,i,n,s,c,d){super(),this.contextKeyService=i,this.languageConfigurationService=n,this.languageFeaturesService=d,this.localToDispose=this._register(new wn),this.editor=e,this._foldingLimitReporter=new nPt(e);const f=this.editor.getOptions();this._isEnabled=f.get(43),this._useFoldingProviders=f.get(44)!=="indentation",this._unfoldOnClickAfterEndOfLine=f.get(48),this._restoringViewState=!1,this._currentModelHasFoldedImports=!1,this._foldingImportsByDefault=f.get(46),this.updateDebounceInfo=c.for(d.foldingRangeProvider,"Folding",{min:200}),this.foldingModel=null,this.hiddenRangeModel=null,this.rangeProvider=null,this.foldingRegionPromise=null,this.foldingModelPromise=null,this.updateScheduler=null,this.cursorChangedScheduler=null,this.mouseDownInfo=null,this.foldingDecorationProvider=new Uze(e),this.foldingDecorationProvider.showFoldingControls=f.get(111),this.foldingDecorationProvider.showFoldingHighlights=f.get(45),this.foldingEnabled=L0.bindTo(this.contextKeyService),this.foldingEnabled.set(this._isEnabled),this._register(this.editor.onDidChangeModel(()=>this.onModelChanged())),this._register(this.editor.onDidChangeConfiguration(m=>{if(m.hasChanged(43)&&(this._isEnabled=this.editor.getOptions().get(43),this.foldingEnabled.set(this._isEnabled),this.onModelChanged()),m.hasChanged(47)&&this.onModelChanged(),m.hasChanged(111)||m.hasChanged(45)){const v=this.editor.getOptions();this.foldingDecorationProvider.showFoldingControls=v.get(111),this.foldingDecorationProvider.showFoldingHighlights=v.get(45),this.triggerFoldingModelChanged()}m.hasChanged(44)&&(this._useFoldingProviders=this.editor.getOptions().get(44)!=="indentation",this.onFoldingStrategyChanged()),m.hasChanged(48)&&(this._unfoldOnClickAfterEndOfLine=this.editor.getOptions().get(48)),m.hasChanged(46)&&(this._foldingImportsByDefault=this.editor.getOptions().get(46))})),this.onModelChanged()}saveViewState(){const e=this.editor.getModel();if(!e||!this._isEnabled||e.isTooLargeForTokenization())return{};if(this.foldingModel){const i=this.foldingModel.getMemento(),n=this.rangeProvider?this.rangeProvider.id:void 0;return{collapsedRegions:i,lineCount:e.getLineCount(),provider:n,foldedImports:this._currentModelHasFoldedImports}}}restoreViewState(e){const i=this.editor.getModel();if(!(!i||!this._isEnabled||i.isTooLargeForTokenization()||!this.hiddenRangeModel)&&e&&(this._currentModelHasFoldedImports=!!e.foldedImports,e.collapsedRegions&&e.collapsedRegions.length>0&&this.foldingModel)){this._restoringViewState=!0;try{this.foldingModel.applyMemento(e.collapsedRegions)}finally{this._restoringViewState=!1}}}onModelChanged(){this.localToDispose.clear();const e=this.editor.getModel();!this._isEnabled||!e||e.isTooLargeForTokenization()||(this._currentModelHasFoldedImports=!1,this.foldingModel=new OAi(e,this.foldingDecorationProvider),this.localToDispose.add(this.foldingModel),this.hiddenRangeModel=new VAi(this.foldingModel),this.localToDispose.add(this.hiddenRangeModel),this.localToDispose.add(this.hiddenRangeModel.onDidChange(i=>this.onHiddenRangesChanges(i))),this.updateScheduler=new Ck(this.updateDebounceInfo.get(e)),this.cursorChangedScheduler=new qu(()=>this.revealCursor(),200),this.localToDispose.add(this.cursorChangedScheduler),this.localToDispose.add(this.languageFeaturesService.foldingRangeProvider.onDidChange(()=>this.onFoldingStrategyChanged())),this.localToDispose.add(this.editor.onDidChangeModelLanguageConfiguration(()=>this.onFoldingStrategyChanged())),this.localToDispose.add(this.editor.onDidChangeModelContent(i=>this.onDidChangeModelContent(i))),this.localToDispose.add(this.editor.onDidChangeCursorPosition(()=>this.onCursorPositionChanged())),this.localToDispose.add(this.editor.onMouseDown(i=>this.onEditorMouseDown(i))),this.localToDispose.add(this.editor.onMouseUp(i=>this.onEditorMouseUp(i))),this.localToDispose.add({dispose:()=>{var i,n;this.foldingRegionPromise&&(this.foldingRegionPromise.cancel(),this.foldingRegionPromise=null),(i=this.updateScheduler)==null||i.cancel(),this.updateScheduler=null,this.foldingModel=null,this.foldingModelPromise=null,this.hiddenRangeModel=null,this.cursorChangedScheduler=null,(n=this.rangeProvider)==null||n.dispose(),this.rangeProvider=null}}),this.triggerFoldingModelChanged())}onFoldingStrategyChanged(){var e;(e=this.rangeProvider)==null||e.dispose(),this.rangeProvider=null,this.triggerFoldingModelChanged()}getRangeProvider(e){if(this.rangeProvider)return this.rangeProvider;const i=new nKe(e,this.languageConfigurationService,this._foldingLimitReporter);if(this.rangeProvider=i,this._useFoldingProviders&&this.foldingModel){const n=OF.getFoldingRangeProviders(this.languageFeaturesService,e);n.length>0&&(this.rangeProvider=new rKe(e,n,()=>this.triggerFoldingModelChanged(),this._foldingLimitReporter,i))}return this.rangeProvider}getFoldingModel(){return this.foldingModelPromise}onDidChangeModelContent(e){var i;(i=this.hiddenRangeModel)==null||i.notifyChangeModelContent(e),this.triggerFoldingModelChanged()}triggerFoldingModelChanged(){this.updateScheduler&&(this.foldingRegionPromise&&(this.foldingRegionPromise.cancel(),this.foldingRegionPromise=null),this.foldingModelPromise=this.updateScheduler.trigger(()=>{const e=this.foldingModel;if(!e)return null;const i=new bg,n=this.getRangeProvider(e.textModel),s=this.foldingRegionPromise=Om(c=>n.compute(c));return s.then(c=>{if(c&&s===this.foldingRegionPromise){let d;if(this._foldingImportsByDefault&&!this._currentModelHasFoldedImports){const v=c.setCollapsedAllOfType(yR.Imports.value,!0);v&&(d=GE.capture(this.editor),this._currentModelHasFoldedImports=v)}const f=this.editor.getSelections();e.update(c,e4i(f)),d==null||d.restore(this.editor);const m=this.updateDebounceInfo.update(e.textModel,i.elapsed());this.updateScheduler&&(this.updateScheduler.defaultDelay=m)}return e})}).then(void 0,e=>(Pa(e),null)))}onHiddenRangesChanges(e){if(this.hiddenRangeModel&&e.length&&!this._restoringViewState){const i=this.editor.getSelections();i&&this.hiddenRangeModel.adjustSelections(i)&&this.editor.setSelections(i)}this.editor.setHiddenAreas(e,this)}onCursorPositionChanged(){this.hiddenRangeModel&&this.hiddenRangeModel.hasRanges()&&this.cursorChangedScheduler.schedule()}revealCursor(){const e=this.getFoldingModel();e&&e.then(i=>{if(i){const n=this.editor.getSelections();if(n&&n.length>0){const s=[];for(const c of n){const d=c.selectionStartLineNumber;this.hiddenRangeModel&&this.hiddenRangeModel.isHidden(d)&&s.push(...i.getAllRegionsAtLine(d,f=>f.isCollapsed&&d>f.startLineNumber))}s.length&&(i.toggleCollapseState(s),this.reveal(n[0].getPosition()))}}}).then(void 0,Pa)}onEditorMouseDown(e){if(this.mouseDownInfo=null,!this.hiddenRangeModel||!e.target||!e.target.range||!e.event.leftButton&&!e.event.middleButton)return;const i=e.target.range;let n=!1;switch(e.target.type){case 4:{const s=e.target.detail,c=e.target.element.offsetLeft;if(s.offsetX-c<4)return;n=!0;break}case 7:{if(this._unfoldOnClickAfterEndOfLine&&this.hiddenRangeModel.hasRanges()&&!e.target.detail.isAfterLines)break;return}case 6:{if(this.hiddenRangeModel.hasRanges()){const s=this.editor.getModel();if(s&&i.startColumn===s.getLineMaxColumn(i.startLineNumber))break}return}default:return}this.mouseDownInfo={lineNumber:i.startLineNumber,iconClicked:n}}onEditorMouseUp(e){const i=this.foldingModel;if(!i||!this.mouseDownInfo||!e.target)return;const n=this.mouseDownInfo.lineNumber,s=this.mouseDownInfo.iconClicked,c=e.target.range;if(!c||c.startLineNumber!==n)return;if(s){if(e.target.type!==4)return}else{const f=this.editor.getModel();if(!f||c.startColumn!==f.getLineMaxColumn(n))return}const d=i.getRegionAtLine(n);if(d&&d.startLineNumber===n){const f=d.isCollapsed;if(s||f){const m=e.event.altKey;let v=[];if(m){const x=I=>!I.containedBy(d)&&!d.containedBy(I),w=i.getRegionsInside(null,x);for(const I of w)I.isCollapsed&&v.push(I);v.length===0&&(v=w)}else{const x=e.event.middleButton||e.event.shiftKey;if(x)for(const w of i.getRegionsInside(d))w.isCollapsed===f&&v.push(w);(f||!x||v.length===0)&&v.push(d)}i.toggleCollapseState(v),this.reveal({lineNumber:n,column:1})}}}reveal(e){this.editor.revealPositionInCenterIfOutsideViewport(e,0)}},OF=Z9,Z9.ID="editor.contrib.folding",Z9);OR=OF=YAi([Kte(1,Ko),Kte(2,rh),Kte(3,w_),Kte(4,Pw),Kte(5,Ts)],OR);class nPt{constructor(e){this.editor=e,this._onDidChange=new gi,this._computed=0,this._limited=!1}get limit(){return this.editor.getOptions().get(47)}update(e,i){(e!==this._computed||i!==this._limited)&&(this._computed=e,this._limited=i,this._onDidChange.fire())}}class S1 extends hs{runEditorCommand(e,i,n){const s=e.get(rh),c=OR.get(i);if(!c)return;const d=c.getFoldingModel();if(d)return this.reportTelemetry(e,i),d.then(f=>{if(f){this.invoke(c,f,i,n,s);const m=i.getSelection();m&&c.reveal(m.getStartPosition())}})}getSelectedLines(e){const i=e.getSelections();return i?i.map(n=>n.startLineNumber):[]}getLineNumbers(e,i){return e&&e.selectionLines?e.selectionLines.map(n=>n+1):this.getSelectedLines(i)}run(e,i){}}function e4i(l){return!l||l.length===0?{startsInside:()=>!1}:{startsInside(e,i){for(const n of l){const s=n.startLineNumber;if(s>=e&&s<=i)return!0}return!1}}}function rPt(l){if(!xy(l)){if(!gg(l))return!1;const e=l;if(!xy(e.levels)&&!pR(e.levels)||!xy(e.direction)&&!Eb(e.direction)||!xy(e.selectionLines)&&(!Array.isArray(e.selectionLines)||!e.selectionLines.every(pR)))return!1}return!0}class t4i extends S1{constructor(){super({id:"editor.unfold",label:W("unfoldAction.label","Unfold"),alias:"Unfold",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:3166,mac:{primary:2654},weight:100},metadata:{description:"Unfold the content in the editor",args:[{name:"Unfold editor argument",description:`Property-value pairs that can be passed through this argument:
+ * 'levels': Number of levels to unfold. If not set, defaults to 1.
+ * 'direction': If 'up', unfold given number of levels up otherwise unfolds down.
+ * 'selectionLines': Array of the start lines (0-based) of the editor selections to apply the unfold action to. If not set, the active selection(s) will be used.
+ `,constraint:rPt,schema:{type:"object",properties:{levels:{type:"number",default:1},direction:{type:"string",enum:["up","down"],default:"down"},selectionLines:{type:"array",items:{type:"number"}}}}}]}})}invoke(e,i,n,s){const c=s&&s.levels||1,d=this.getLineNumbers(s,n);s&&s.direction==="up"?tPt(i,!1,c,d):RJ(i,!1,c,d)}}class i4i extends S1{constructor(){super({id:"editor.unfoldRecursively",label:W("unFoldRecursivelyAction.label","Unfold Recursively"),alias:"Unfold Recursively",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2142),weight:100}})}invoke(e,i,n,s){RJ(i,!1,Number.MAX_VALUE,this.getSelectedLines(n))}}class n4i extends S1{constructor(){super({id:"editor.fold",label:W("foldAction.label","Fold"),alias:"Fold",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:3164,mac:{primary:2652},weight:100},metadata:{description:"Fold the content in the editor",args:[{name:"Fold editor argument",description:`Property-value pairs that can be passed through this argument:
+ * 'levels': Number of levels to fold.
+ * 'direction': If 'up', folds given number of levels up otherwise folds down.
+ * 'selectionLines': Array of the start lines (0-based) of the editor selections to apply the fold action to. If not set, the active selection(s) will be used.
+ If no levels or direction is set, folds the region at the locations or if already collapsed, the first uncollapsed parent instead.
+ `,constraint:rPt,schema:{type:"object",properties:{levels:{type:"number"},direction:{type:"string",enum:["up","down"]},selectionLines:{type:"array",items:{type:"number"}}}}}]}})}invoke(e,i,n,s){const c=this.getLineNumbers(s,n),d=s&&s.levels,f=s&&s.direction;typeof d!="number"&&typeof f!="string"?MAi(i,!0,c):f==="up"?tPt(i,!0,d||1,c):RJ(i,!0,d||1,c)}}class r4i extends S1{constructor(){super({id:"editor.toggleFold",label:W("toggleFoldAction.label","Toggle Fold"),alias:"Toggle Fold",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2090),weight:100}})}invoke(e,i,n){const s=this.getSelectedLines(n);eKe(i,1,s)}}class s4i extends S1{constructor(){super({id:"editor.foldRecursively",label:W("foldRecursivelyAction.label","Fold Recursively"),alias:"Fold Recursively",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2140),weight:100}})}invoke(e,i,n){const s=this.getSelectedLines(n);RJ(i,!0,Number.MAX_VALUE,s)}}class o4i extends S1{constructor(){super({id:"editor.toggleFoldRecursively",label:W("toggleFoldRecursivelyAction.label","Toggle Fold Recursively"),alias:"Toggle Fold Recursively",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,3114),weight:100}})}invoke(e,i,n){const s=this.getSelectedLines(n);eKe(i,Number.MAX_VALUE,s)}}class a4i extends S1{constructor(){super({id:"editor.foldAllBlockComments",label:W("foldAllBlockComments.label","Fold All Block Comments"),alias:"Fold All Block Comments",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2138),weight:100}})}invoke(e,i,n,s,c){if(i.regions.hasTypes())iKe(i,yR.Comment.value,!0);else{const d=n.getModel();if(!d)return;const f=c.getLanguageConfiguration(d.getLanguageId()).comments;if(f&&f.blockCommentStartToken){const m=new RegExp("^\\s*"+Tw(f.blockCommentStartToken));tKe(i,m,!0)}}}}class c4i extends S1{constructor(){super({id:"editor.foldAllMarkerRegions",label:W("foldAllMarkerRegions.label","Fold All Regions"),alias:"Fold All Regions",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2077),weight:100}})}invoke(e,i,n,s,c){if(i.regions.hasTypes())iKe(i,yR.Region.value,!0);else{const d=n.getModel();if(!d)return;const f=c.getLanguageConfiguration(d.getLanguageId()).foldingRules;if(f&&f.markers&&f.markers.start){const m=new RegExp(f.markers.start);tKe(i,m,!0)}}}}class l4i extends S1{constructor(){super({id:"editor.unfoldAllMarkerRegions",label:W("unfoldAllMarkerRegions.label","Unfold All Regions"),alias:"Unfold All Regions",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2078),weight:100}})}invoke(e,i,n,s,c){if(i.regions.hasTypes())iKe(i,yR.Region.value,!1);else{const d=n.getModel();if(!d)return;const f=c.getLanguageConfiguration(d.getLanguageId()).foldingRules;if(f&&f.markers&&f.markers.start){const m=new RegExp(f.markers.start);tKe(i,m,!1)}}}}class u4i extends S1{constructor(){super({id:"editor.foldAllExcept",label:W("foldAllExcept.label","Fold All Except Selected"),alias:"Fold All Except Selected",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2136),weight:100}})}invoke(e,i,n){const s=this.getSelectedLines(n);iPt(i,!0,s)}}class d4i extends S1{constructor(){super({id:"editor.unfoldAllExcept",label:W("unfoldAllExcept.label","Unfold All Except Selected"),alias:"Unfold All Except Selected",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2134),weight:100}})}invoke(e,i,n){const s=this.getSelectedLines(n);iPt(i,!1,s)}}class f4i extends S1{constructor(){super({id:"editor.foldAll",label:W("foldAllAction.label","Fold All"),alias:"Fold All",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2069),weight:100}})}invoke(e,i,n){RJ(i,!0)}}class h4i extends S1{constructor(){super({id:"editor.unfoldAll",label:W("unfoldAllAction.label","Unfold All"),alias:"Unfold All",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2088),weight:100}})}invoke(e,i,n){RJ(i,!1)}}const Mq=class Mq extends S1{getFoldingLevel(){return parseInt(this.id.substr(Mq.ID_PREFIX.length))}invoke(e,i,n){RAi(i,this.getFoldingLevel(),!0,this.getSelectedLines(n))}};Mq.ID_PREFIX="editor.foldLevel",Mq.ID=e=>Mq.ID_PREFIX+e;let lbe=Mq;class _4i extends S1{constructor(){super({id:"editor.gotoParentFold",label:W("gotoParentFold.label","Go to Parent Fold"),alias:"Go to Parent Fold",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,weight:100}})}invoke(e,i,n){const s=this.getSelectedLines(n);if(s.length>0){const c=FAi(s[0],i);c!==null&&n.setSelection({startLineNumber:c,startColumn:1,endLineNumber:c,endColumn:1})}}}class p4i extends S1{constructor(){super({id:"editor.gotoPreviousFold",label:W("gotoPreviousFold.label","Go to Previous Folding Range"),alias:"Go to Previous Folding Range",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,weight:100}})}invoke(e,i,n){const s=this.getSelectedLines(n);if(s.length>0){const c=BAi(s[0],i);c!==null&&n.setSelection({startLineNumber:c,startColumn:1,endLineNumber:c,endColumn:1})}}}class m4i extends S1{constructor(){super({id:"editor.gotoNextFold",label:W("gotoNextFold.label","Go to Next Folding Range"),alias:"Go to Next Folding Range",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,weight:100}})}invoke(e,i,n){const s=this.getSelectedLines(n);if(s.length>0){const c=WAi(s[0],i);c!==null&&n.setSelection({startLineNumber:c,startColumn:1,endLineNumber:c,endColumn:1})}}}class g4i extends S1{constructor(){super({id:"editor.createFoldingRangeFromSelection",label:W("createManualFoldRange.label","Create Folding Range from Selection"),alias:"Create Folding Range from Selection",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2135),weight:100}})}invoke(e,i,n){var d;const s=[],c=n.getSelections();if(c){for(const f of c){let m=f.endLineNumber;f.endColumn===1&&--m,m>f.startLineNumber&&(s.push({startLineNumber:f.startLineNumber,endLineNumber:m,type:void 0,isCollapsed:!0,source:1}),n.setSelection({startLineNumber:f.startLineNumber,startColumn:1,endLineNumber:f.startLineNumber,endColumn:1}))}if(s.length>0){s.sort((m,v)=>m.startLineNumber-v.startLineNumber);const f=_w.sanitizeAndMerge(i.regions,s,(d=n.getModel())==null?void 0:d.getLineCount());i.updatePost(_w.fromFoldRanges(f))}}}}class y4i extends S1{constructor(){super({id:"editor.removeManualFoldingRanges",label:W("removeManualFoldingRanges.label","Remove Manual Folding Ranges"),alias:"Remove Manual Folding Ranges",precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2137),weight:100}})}invoke(e,i,n){const s=n.getSelections();if(s){const c=[];for(const d of s){const{startLineNumber:f,endLineNumber:m}=d;c.push(m>=f?{startLineNumber:f,endLineNumber:m}:{endLineNumber:m,startLineNumber:f})}i.removeManualRanges(c),e.triggerFoldingModelChanged()}}}Cl(OR.ID,OR,0);sr(t4i);sr(i4i);sr(n4i);sr(s4i);sr(o4i);sr(f4i);sr(h4i);sr(a4i);sr(c4i);sr(l4i);sr(u4i);sr(d4i);sr(r4i);sr(_4i);sr(p4i);sr(m4i);sr(g4i);sr(y4i);for(let l=1;l<=7;l++)Zdi(new lbe({id:lbe.ID(l),label:W("foldLevelAction.label","Fold Level {0}",l),alias:`Fold Level ${l}`,precondition:L0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2048|21+l),weight:100}}));fl.registerCommand("_executeFoldingRangeProvider",async function(l,...e){const[i]=e;if(!(i instanceof yo))throw gk();const n=l.get(Ts),s=l.get(rf).getModel(i);if(!s)throw gk();const c=l.get(Cc);if(!c.getValue("editor.folding",{resource:i}))return[];const d=l.get(rh),f=c.getValue("editor.foldingStrategy",{resource:i}),m={get limit(){return c.getValue("editor.foldingMaximumRegions",{resource:i})},update:(P,O)=>{}},v=new nKe(s,d,m);let x=v;if(f!=="indentation"){const P=OR.getFoldingRangeProviders(n,s);P.length&&(x=new rKe(s,P,()=>{},m,v))}const w=await x.compute(cc.None),I=[];try{if(w)for(let P=0;P<w.length;P++){const O=w.getType(P);I.push({start:w.getStartLineNumber(P),end:w.getEndLineNumber(P),kind:O?yR.fromValue(O):void 0})}return I}finally{x.dispose()}});class v4i extends hs{constructor(){super({id:"editor.action.fontZoomIn",label:W("EditorFontZoomIn.label","Increase Editor Font Size"),alias:"Increase Editor Font Size",precondition:void 0})}run(e,i){fk.setZoomLevel(fk.getZoomLevel()+1)}}class b4i extends hs{constructor(){super({id:"editor.action.fontZoomOut",label:W("EditorFontZoomOut.label","Decrease Editor Font Size"),alias:"Decrease Editor Font Size",precondition:void 0})}run(e,i){fk.setZoomLevel(fk.getZoomLevel()-1)}}class C4i extends hs{constructor(){super({id:"editor.action.fontZoomReset",label:W("EditorFontZoomReset.label","Reset Editor Font Size"),alias:"Reset Editor Font Size",precondition:void 0})}run(e,i){fk.setZoomLevel(0)}}sr(v4i);sr(b4i);sr(C4i);var sPt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},ene=function(l,e){return function(i,n){e(i,n,l)}},p$;let ube=(p$=class{constructor(e,i,n,s){this._editor=e,this._languageFeaturesService=i,this._workerService=n,this._accessibilitySignalService=s,this._disposables=new wn,this._sessionDisposables=new wn,this._disposables.add(i.onTypeFormattingEditProvider.onDidChange(this._update,this)),this._disposables.add(e.onDidChangeModel(()=>this._update())),this._disposables.add(e.onDidChangeModelLanguage(()=>this._update())),this._disposables.add(e.onDidChangeConfiguration(c=>{c.hasChanged(56)&&this._update()})),this._update()}dispose(){this._disposables.dispose(),this._sessionDisposables.dispose()}_update(){if(this._sessionDisposables.clear(),!this._editor.getOption(56)||!this._editor.hasModel())return;const e=this._editor.getModel(),[i]=this._languageFeaturesService.onTypeFormattingEditProvider.ordered(e);if(!i||!i.autoFormatTriggerCharacters)return;const n=new pye;for(const s of i.autoFormatTriggerCharacters)n.add(s.charCodeAt(0));this._sessionDisposables.add(this._editor.onDidType(s=>{const c=s.charCodeAt(s.length-1);n.has(c)&&this._trigger(String.fromCharCode(c))}))}_trigger(e){if(!this._editor.hasModel()||this._editor.getSelections().length>1||!this._editor.getSelection().isEmpty())return;const i=this._editor.getModel(),n=this._editor.getPosition(),s=new ih,c=this._editor.onDidChangeModelContent(d=>{if(d.isFlush){s.cancel(),c.dispose();return}for(let f=0,m=d.changes.length;f<m;f++)if(d.changes[f].range.endLineNumber<=n.lineNumber){s.cancel(),c.dispose();return}});YIt(this._workerService,this._languageFeaturesService,i,n,e,i.getFormattingOptions(),s.token).then(d=>{s.token.isCancellationRequested||ky(d)&&(this._accessibilitySignalService.playSignal(hw.format,{userGesture:!1}),G$.execute(this._editor,d,!0))}).finally(()=>{c.dispose()})}},p$.ID="editor.contrib.autoFormat",p$);ube=sPt([ene(1,Ts),ene(2,Fb),ene(3,jR)],ube);var m$;let dbe=(m$=class{constructor(e,i,n){this.editor=e,this._languageFeaturesService=i,this._instantiationService=n,this._callOnDispose=new wn,this._callOnModel=new wn,this._callOnDispose.add(e.onDidChangeConfiguration(()=>this._update())),this._callOnDispose.add(e.onDidChangeModel(()=>this._update())),this._callOnDispose.add(e.onDidChangeModelLanguage(()=>this._update())),this._callOnDispose.add(i.documentRangeFormattingEditProvider.onDidChange(this._update,this))}dispose(){this._callOnDispose.dispose(),this._callOnModel.dispose()}_update(){this._callOnModel.clear(),this.editor.getOption(55)&&this.editor.hasModel()&&this._languageFeaturesService.documentRangeFormattingEditProvider.has(this.editor.getModel())&&this._callOnModel.add(this.editor.onDidPaste(({range:e})=>this._trigger(e)))}_trigger(e){this.editor.hasModel()&&(this.editor.getSelections().length>1||this._instantiationService.invokeFunction(ZIt,this.editor,e,2,NE.None,cc.None,!1).catch(Pa))}},m$.ID="editor.contrib.formatOnPaste",m$);dbe=sPt([ene(1,Ts),ene(2,ho)],dbe);class S4i extends hs{constructor(){super({id:"editor.action.formatDocument",label:W("formatDocument.label","Format Document"),alias:"Format Document",precondition:kn.and(Tt.notInCompositeEditor,Tt.writable,Tt.hasDocumentFormattingProvider),kbOpts:{kbExpr:Tt.editorTextFocus,primary:1572,linux:{primary:3111},weight:100},contextMenuOpts:{group:"1_modification",order:1.3}})}async run(e,i){if(i.hasModel()){const n=e.get(ho);await e.get(WR).showWhile(n.invokeFunction(jEi,i,1,NE.None,cc.None,!0),250)}}}class w4i extends hs{constructor(){super({id:"editor.action.formatSelection",label:W("formatSelection.label","Format Selection"),alias:"Format Selection",precondition:kn.and(Tt.writable,Tt.hasDocumentSelectionFormattingProvider),kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2084),weight:100},contextMenuOpts:{when:Tt.hasNonEmptySelection,group:"1_modification",order:1.31}})}async run(e,i){if(!i.hasModel())return;const n=e.get(ho),s=i.getModel(),c=i.getSelections().map(f=>f.isEmpty()?new nt(f.startLineNumber,1,f.startLineNumber,s.getLineMaxColumn(f.startLineNumber)):f);await e.get(WR).showWhile(n.invokeFunction(ZIt,i,c,1,NE.None,cc.None,!0),250)}}Cl(ube.ID,ube,2);Cl(dbe.ID,dbe,2);sr(S4i);sr(w4i);fl.registerCommand("editor.action.format",async l=>{const e=l.get(Bl).getFocusedCodeEditor();if(!e||!e.hasModel())return;const i=l.get(qd);e.getSelection().isEmpty()?await i.executeCommand("editor.action.formatDocument"):await i.executeCommand("editor.action.formatSelection")});var x4i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},W9e=function(l,e){return function(i,n){e(i,n,l)}};class vq{remove(){var e;(e=this.parent)==null||e.children.delete(this.id)}static findId(e,i){let n;typeof e=="string"?n=`${i.id}/${e}`:(n=`${i.id}/${e.name}`,i.children.get(n)!==void 0&&(n=`${i.id}/${e.name}_${e.range.startLineNumber}_${e.range.startColumn}`));let s=n;for(let c=0;i.children.get(s)!==void 0;c++)s=`${n}_${c}`;return s}static empty(e){return e.children.size===0}}class qze extends vq{constructor(e,i,n){super(),this.id=e,this.parent=i,this.symbol=n,this.children=new Map}}class oPt extends vq{constructor(e,i,n,s){super(),this.id=e,this.parent=i,this.label=n,this.order=s,this.children=new Map}}class L4 extends vq{static create(e,i,n){const s=new ih(n),c=new L4(i.uri),d=e.ordered(i),f=d.map((v,x)=>{const w=vq.findId(`provider_${x}`,c),I=new oPt(w,c,v.displayName??"Unknown Outline Provider",x);return Promise.resolve(v.provideDocumentSymbols(i,s.token)).then(P=>{for(const O of P||[])L4._makeOutlineElement(O,I);return I},P=>(t_(P),I)).then(P=>{vq.empty(P)?P.remove():c._groups.set(w,P)})}),m=e.onDidChange(()=>{const v=e.ordered(i);Lf(v,d)||s.cancel()});return Promise.all(f).then(()=>s.token.isCancellationRequested&&!n.isCancellationRequested?L4.create(e,i,n):c._compact()).finally(()=>{s.dispose(),m.dispose(),s.dispose()})}static _makeOutlineElement(e,i){const n=vq.findId(e,i),s=new qze(n,i,e);if(e.children)for(const c of e.children)L4._makeOutlineElement(c,s);i.children.set(s.id,s)}constructor(e){super(),this.uri=e,this.id="root",this.parent=void 0,this._groups=new Map,this.children=new Map,this.id="root",this.parent=void 0}_compact(){let e=0;for(const[i,n]of this._groups)n.children.size===0?this._groups.delete(i):e+=1;if(e!==1)this.children=this._groups;else{const i=dl.first(this._groups.values());for(const[,n]of i.children)n.parent=this,this.children.set(n.id,n)}return this}getTopLevelSymbols(){const e=[];for(const i of this.children.values())i instanceof qze?e.push(i.symbol):e.push(...dl.map(i.children.values(),n=>n.symbol));return e.sort((i,n)=>nt.compareRangesUsingStarts(i.range,n.range))}asListOfDocumentSymbols(){const e=this.getTopLevelSymbols(),i=[];return L4._flattenDocumentSymbols(i,e,""),i.sort((n,s)=>pi.compare(nt.getStartPosition(n.range),nt.getStartPosition(s.range))||pi.compare(nt.getEndPosition(s.range),nt.getEndPosition(n.range)))}static _flattenDocumentSymbols(e,i,n){for(const s of i)e.push({kind:s.kind,tags:s.tags,name:s.name,detail:s.detail,containerName:s.containerName||n,range:s.range,selectionRange:s.selectionRange,children:void 0}),s.children&&L4._flattenDocumentSymbols(e,s.children,s.name)}}const Jse=jc("IOutlineModelService");let $ze=class{constructor(e,i,n){this._languageFeaturesService=e,this._disposables=new wn,this._cache=new VL(10,.7),this._debounceInformation=i.for(e.documentSymbolProvider,"DocumentSymbols",{min:350}),this._disposables.add(n.onModelRemoved(s=>{this._cache.delete(s.id)}))}dispose(){this._disposables.dispose()}async getOrCreate(e,i){const n=this._languageFeaturesService.documentSymbolProvider,s=n.ordered(e);let c=this._cache.get(e.id);if(!c||c.versionId!==e.getVersionId()||!Lf(c.provider,s)){const f=new ih;c={versionId:e.getVersionId(),provider:s,promiseCnt:0,source:f,promise:L4.create(n,e,f.token),model:void 0},this._cache.set(e.id,c);const m=Date.now();c.promise.then(v=>{c.model=v,this._debounceInformation.update(e,Date.now()-m)}).catch(v=>{this._cache.delete(e.id)})}if(c.model)return c.model;c.promiseCnt+=1;const d=i.onCancellationRequested(()=>{--c.promiseCnt===0&&(c.source.cancel(),this._cache.delete(e.id))});try{return await c.promise}finally{d.dispose()}}};$ze=x4i([W9e(0,Ts),W9e(1,Pw),W9e(2,rf)],$ze);nl(Jse,$ze,1);fl.registerCommand("_executeDocumentSymbolProvider",async function(l,...e){const[i]=e;Fl(yo.isUri(i));const n=l.get(Jse),c=await l.get(Ob).createModelReference(i);try{return(await n.getOrCreate(c.object.textEditorModel,cc.None)).getTopLevelSymbols()}finally{c.dispose()}});const aL=class aL extends xi{constructor(e,i){super(),this.contextKeyService=e,this.model=i,this.inlineCompletionVisible=aL.inlineSuggestionVisible.bindTo(this.contextKeyService),this.inlineCompletionSuggestsIndentation=aL.inlineSuggestionHasIndentation.bindTo(this.contextKeyService),this.inlineCompletionSuggestsIndentationLessThanTabSize=aL.inlineSuggestionHasIndentationLessThanTabSize.bindTo(this.contextKeyService),this.suppressSuggestions=aL.suppressSuggestions.bindTo(this.contextKeyService),this._register(ba(n=>{const s=this.model.read(n),c=s==null?void 0:s.state.read(n),d=!!(c!=null&&c.inlineCompletion)&&(c==null?void 0:c.primaryGhostText)!==void 0&&!(c!=null&&c.primaryGhostText.isEmpty());this.inlineCompletionVisible.set(d),c!=null&&c.primaryGhostText&&(c!=null&&c.inlineCompletion)&&this.suppressSuggestions.set(c.inlineCompletion.inlineCompletion.source.inlineCompletions.suppressSuggestions)})),this._register(ba(n=>{const s=this.model.read(n);let c=!1,d=!0;const f=s==null?void 0:s.primaryGhostText.read(n);if(s!=null&&s.selectedSuggestItem&&f&&f.parts.length>0){const{column:m,lines:v}=f.parts[0],x=v[0],w=s.textModel.getLineIndentColumn(f.lineNumber);if(m<=w){let P=Ty(x);P===-1&&(P=x.length-1),c=P>0;const O=s.textModel.getOptions().tabSize;d=em.visibleColumnFromColumn(x,P+1,O)<O}}this.inlineCompletionSuggestsIndentation.set(c),this.inlineCompletionSuggestsIndentationLessThanTabSize.set(d)}))}};aL.inlineSuggestionVisible=new rs("inlineSuggestionVisible",!1,W("inlineSuggestionVisible","Whether an inline suggestion is visible")),aL.inlineSuggestionHasIndentation=new rs("inlineSuggestionHasIndentation",!1,W("inlineSuggestionHasIndentation","Whether the inline suggestion starts with whitespace")),aL.inlineSuggestionHasIndentationLessThanTabSize=new rs("inlineSuggestionHasIndentationLessThanTabSize",!0,W("inlineSuggestionHasIndentationLessThanTabSize","Whether the inline suggestion starts with whitespace that is less than what would be inserted by tab")),aL.suppressSuggestions=new rs("inlineSuggestionSuppressSuggestions",void 0,W("suppressSuggestions","Whether suggestions should be suppressed for the current suggestion"));let XC=aL;function k4i(l){const e=new wn,i=e.add(T2t());return e.add(ba(n=>{i.setStyle(l.read(n))})),e}class qre{constructor(e,i){this.lineNumber=e,this.parts=i}equals(e){return this.lineNumber===e.lineNumber&&this.parts.length===e.parts.length&&this.parts.every((i,n)=>i.equals(e.parts[n]))}renderForScreenReader(e){if(this.parts.length===0)return"";const i=this.parts[this.parts.length-1],n=e.substr(0,i.column-1);return new w$e([...this.parts.map(c=>new IL(nt.fromPositions(new pi(1,c.column)),c.lines.join(`
+`)))]).applyToString(n).substring(this.parts[0].column-1)}isEmpty(){return this.parts.every(e=>e.lines.length===0)}get lineCount(){return 1+this.parts.reduce((e,i)=>e+i.lines.length-1,0)}}class fbe{constructor(e,i,n){this.column=e,this.text=i,this.preview=n,this.lines=BL(this.text)}equals(e){return this.column===e.column&&this.lines.length===e.lines.length&&this.lines.every((i,n)=>i===e.lines[n])}}class Jze{constructor(e,i,n,s=0){this.lineNumber=e,this.columnRange=i,this.text=n,this.additionalReservedLineCount=s,this.parts=[new fbe(this.columnRange.endColumnExclusive,this.text,!1)],this.newLines=BL(this.text)}renderForScreenReader(e){return this.newLines.join(`
+`)}get lineCount(){return this.newLines.length}isEmpty(){return this.parts.every(e=>e.lines.length===0)}equals(e){return this.lineNumber===e.lineNumber&&this.columnRange.equals(e.columnRange)&&this.newLines.length===e.newLines.length&&this.newLines.every((i,n)=>i===e.newLines[n])&&this.additionalReservedLineCount===e.additionalReservedLineCount}}function pxt(l,e){return Lf(l,e,aPt)}function aPt(l,e){return l===e?!0:!l||!e?!1:l instanceof qre&&e instanceof qre||l instanceof Jze&&e instanceof Jze?l.equals(e):!1}const T4i=[];function D4i(){return T4i}class cPt{constructor(e,i){if(this.startColumn=e,this.endColumnExclusive=i,e>i)throw new tu(`startColumn ${e} cannot be after endColumnExclusive ${i}`)}toRange(e){return new nt(e,this.startColumn,e,this.endColumnExclusive)}equals(e){return this.startColumn===e.startColumn&&this.endColumnExclusive===e.endColumnExclusive}}function lPt(l,e){const i=new wn,n=l.createDecorationsCollection();return i.add(kse({debugName:()=>`Apply decorations from ${e.debugName}`},s=>{const c=e.read(s);n.set(c)})),i.add({dispose:()=>{n.clear()}}),i}function E4i(l,e){return new pi(l.lineNumber+e.lineNumber-1,e.lineNumber===1?l.column+e.column-1:e.column)}function mxt(l,e){return new pi(l.lineNumber-e.lineNumber+1,l.lineNumber-e.lineNumber===0?l.column-e.column+1:l.column)}var I4i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},N4i=function(l,e){return function(i,n){e(i,n,l)}};const gxt="ghost-text";let Gze=class extends xi{constructor(e,i,n){super(),this.editor=e,this.model=i,this.languageService=n,this.isDisposed=bc(this,!1),this.currentTextModel=Tu(this,this.editor.onDidChangeModel,()=>this.editor.getModel()),this.uiState=ro(this,s=>{if(this.isDisposed.read(s))return;const c=this.currentTextModel.read(s);if(c!==this.model.targetTextModel.read(s))return;const d=this.model.ghostText.read(s);if(!d)return;const f=d instanceof Jze?d.columnRange:void 0,m=[],v=[];function x(z,J){if(v.length>0){const Y=v[v.length-1];J&&Y.decorations.push(new mv(Y.content.length+1,Y.content.length+1+z[0].length,J,0)),Y.content+=z[0],z=z.slice(1)}for(const Y of z)v.push({content:Y,decorations:J?[new mv(1,Y.length+1,J,0)]:[]})}const w=c.getLineContent(d.lineNumber);let I,P=0;for(const z of d.parts){let J=z.lines;I===void 0?(m.push({column:z.column,text:J[0],preview:z.preview}),J=J.slice(1)):x([w.substring(P,z.column-1)],void 0),J.length>0&&(x(J,gxt),I===void 0&&z.column<=w.length&&(I=z.column)),P=z.column-1}I!==void 0&&x([w.substring(P)],void 0);const O=I!==void 0?new cPt(I,w.length+1):void 0;return{replacedRange:f,inlineTexts:m,additionalLines:v,hiddenRange:O,lineNumber:d.lineNumber,additionalReservedLineCount:this.model.minReservedLineCount.read(s),targetTextModel:c}}),this.decorations=ro(this,s=>{const c=this.uiState.read(s);if(!c)return[];const d=[];c.replacedRange&&d.push({range:c.replacedRange.toRange(c.lineNumber),options:{inlineClassName:"inline-completion-text-to-replace",description:"GhostTextReplacement"}}),c.hiddenRange&&d.push({range:c.hiddenRange.toRange(c.lineNumber),options:{inlineClassName:"ghost-text-hidden",description:"ghost-text-hidden"}});for(const f of c.inlineTexts)d.push({range:nt.fromPositions(new pi(c.lineNumber,f.column)),options:{description:gxt,after:{content:f.text,inlineClassName:f.preview?"ghost-text-decoration-preview":"ghost-text-decoration",cursorStops:_T.Left},showIfCollapsed:!0}});return d}),this.additionalLinesWidget=this._register(new L4i(this.editor,this.languageService.languageIdCodec,ro(s=>{const c=this.uiState.read(s);return c?{lineNumber:c.lineNumber,additionalLines:c.additionalLines,minReservedLineCount:c.additionalReservedLineCount,targetTextModel:c.targetTextModel}:void 0}))),this._register(fo(()=>{this.isDisposed.set(!0,void 0)})),this._register(lPt(this.editor,this.decorations))}ownsViewZone(e){return this.additionalLinesWidget.viewZoneId===e}};Gze=I4i([N4i(2,Pf)],Gze);class L4i extends xi{get viewZoneId(){return this._viewZoneId}constructor(e,i,n){super(),this.editor=e,this.languageIdCodec=i,this.lines=n,this._viewZoneId=void 0,this.editorOptionsChanged=k0("editorOptionChanged",Tr.filter(this.editor.onDidChangeConfiguration,s=>s.hasChanged(33)||s.hasChanged(118)||s.hasChanged(100)||s.hasChanged(95)||s.hasChanged(51)||s.hasChanged(50)||s.hasChanged(67))),this._register(ba(s=>{const c=this.lines.read(s);this.editorOptionsChanged.read(s),c?this.updateLines(c.lineNumber,c.additionalLines,c.minReservedLineCount):this.clear()}))}dispose(){super.dispose(),this.clear()}clear(){this.editor.changeViewZones(e=>{this._viewZoneId&&(e.removeZone(this._viewZoneId),this._viewZoneId=void 0)})}updateLines(e,i,n){const s=this.editor.getModel();if(!s)return;const{tabSize:c}=s.getOptions();this.editor.changeViewZones(d=>{this._viewZoneId&&(d.removeZone(this._viewZoneId),this._viewZoneId=void 0);const f=Math.max(i.length,n);if(f>0){const m=document.createElement("div");P4i(m,c,i,this.editor.getOptions(),this.languageIdCodec),this._viewZoneId=d.addZone({afterLineNumber:e,heightInLines:f,domNode:m,afterColumnAffinity:1})}})}}function P4i(l,e,i,n,s){const c=n.get(33),d=n.get(118),f="none",m=n.get(95),v=n.get(51),x=n.get(50),w=n.get(67),I=new gJ(1e4);I.appendString('<div class="suggest-preview-text">');for(let z=0,J=i.length;z<J;z++){const Y=i[z],ae=Y.content;I.appendString('<div class="view-line'),I.appendString('" style="top:'),I.appendString(String(z*w)),I.appendString('px;width:1000000px;">');const me=fse(ae),ye=k$(ae),Ce=Cg.createEmpty(ae,s);Ose(new zR(x.isMonospace&&!c,x.canUseHalfwidthRightwardsArrow,ae,!1,me,ye,0,Ce,Y.decorations,e,0,x.spaceWidth,x.middotWidth,x.wsmiddotWidth,d,f,m,v!==mR.OFF,null),I),I.appendString("</div>")}I.appendString("</div>"),a0(l,x);const P=I.build(),O=yxt?yxt.createHTML(P):P;l.innerHTML=O}const yxt=v6("editorGhostText",{createHTML:l=>l});function A4i(l,e){const i=new KDt,n=new QDt(i,v=>e.getLanguageConfiguration(v)),s=new XDt(new O4i([l]),n),c=tHe(s,[],void 0,!0);let d="";const f=l.getLineContent();function m(v,x){if(v.kind===2)if(m(v.openingBracket,x),x=Fh(x,v.openingBracket.length),v.child&&(m(v.child,x),x=Fh(x,v.child.length)),v.closingBracket)m(v.closingBracket,x),x=Fh(x,v.closingBracket.length);else{const I=n.getSingleLanguageBracketTokens(v.openingBracket.languageId).findClosingTokenText(v.openingBracket.bracketIds);d+=I}else if(v.kind!==3){if(v.kind===0||v.kind===1)d+=f.substring(x,Fh(x,v.length));else if(v.kind===4)for(const w of v.children)m(w,x),x=Fh(x,w.length)}}return m(c,pv),d}class O4i{constructor(e){this.lines=e,this.tokenization={getLineTokens:i=>this.lines[i-1]}}getLineCount(){return this.lines.length}getLineLength(e){return this.lines[e-1].getLineContent().length}}async function uPt(l,e,i,n,s=cc.None,c){const d=e instanceof pi?F4i(e,i):e,f=l.all(i),m=new y$e;for(const Y of f)Y.groupId&&m.add(Y.groupId,Y);function v(Y){if(!Y.yieldsToGroupIds)return[];const ae=[];for(const me of Y.yieldsToGroupIds||[]){const ye=m.get(me);for(const Ce of ye)ae.push(Ce)}return ae}const x=new Map,w=new Set;function I(Y,ae){if(ae=[...ae,Y],w.has(Y))return ae;w.add(Y);try{const me=v(Y);for(const ye of me){const Ce=I(ye,ae);if(Ce)return Ce}}finally{w.delete(Y)}}function P(Y){const ae=x.get(Y);if(ae)return ae;const me=I(Y,[]);me&&t_(new Error(`Inline completions: cyclic yield-to dependency detected. Path: ${me.map(Ce=>Ce.toString?Ce.toString():""+Ce).join(" -> ")}`));const ye=new hJ;return x.set(Y,ye.p),(async()=>{var Ce;if(!me){const Fe=v(Y);for(const rt of Fe){const ct=await P(rt);if(ct&&ct.items.length>0)return}}try{return e instanceof pi?await Y.provideInlineCompletions(i,e,n,s):await((Ce=Y.provideInlineEdits)==null?void 0:Ce.call(Y,i,e,n,s))}catch(Fe){t_(Fe);return}})().then(Ce=>ye.complete(Ce),Ce=>ye.error(Ce)),ye.p}const O=await Promise.all(f.map(async Y=>({provider:Y,completions:await P(Y)}))),z=new Map,J=[];for(const Y of O){const ae=Y.completions;if(!ae)continue;const me=new R4i(ae,Y.provider);J.push(me);for(const ye of ae.items){const Ce=hbe.from(ye,me,d,i,c);z.set(Ce.hash(),Ce)}}return new M4i(Array.from(z.values()),new Set(z.keys()),J)}class M4i{constructor(e,i,n){this.completions=e,this.hashs=i,this.providerResults=n}has(e){return this.hashs.has(e.hash())}dispose(){for(const e of this.providerResults)e.removeRef()}}class R4i{constructor(e,i){this.inlineCompletions=e,this.provider=i,this.refCount=1}addRef(){this.refCount++}removeRef(){this.refCount--,this.refCount===0&&this.provider.freeInlineCompletions(this.inlineCompletions)}}class hbe{static from(e,i,n,s,c){let d,f,m=e.range?nt.lift(e.range):n;if(typeof e.insertText=="string"){if(d=e.insertText,c&&e.completeBracketPairs){d=vxt(d,m.getStartPosition(),s,c);const v=d.length-e.insertText.length;v!==0&&(m=new nt(m.startLineNumber,m.startColumn,m.endLineNumber,m.endColumn+v))}f=void 0}else if("snippet"in e.insertText){const v=e.insertText.snippet.length;if(c&&e.completeBracketPairs){e.insertText.snippet=vxt(e.insertText.snippet,m.getStartPosition(),s,c);const w=e.insertText.snippet.length-v;w!==0&&(m=new nt(m.startLineNumber,m.startColumn,m.endLineNumber,m.endColumn+w))}const x=new WB().parse(e.insertText.snippet);x.children.length===1&&x.children[0]instanceof hv?(d=x.children[0].value,f=void 0):(d=x.toString(),f={snippet:e.insertText.snippet,range:m})}else qSe(e.insertText);return new hbe(d,e.command,m,d,f,e.additionalTextEdits||D4i(),e,i)}constructor(e,i,n,s,c,d,f,m){this.filterText=e,this.command=i,this.range=n,this.insertText=s,this.snippetInfo=c,this.additionalTextEdits=d,this.sourceInlineCompletion=f,this.source=m,e=e.replace(/\r\n|\r/g,`
+`),s=e.replace(/\r\n|\r/g,`
+`)}withRange(e){return new hbe(this.filterText,this.command,e,this.insertText,this.snippetInfo,this.additionalTextEdits,this.sourceInlineCompletion,this.source)}hash(){return JSON.stringify({insertText:this.insertText,range:this.range.toString()})}toSingleTextEdit(){return new IL(this.range,this.insertText)}}function F4i(l,e){const i=e.getWordAtPosition(l),n=e.getLineMaxColumn(l.lineNumber);return i?new nt(l.lineNumber,i.startColumn,l.lineNumber,n):nt.fromPositions(l,l.with(void 0,n))}function vxt(l,e,i,n){const c=i.getLineContent(e.lineNumber).substring(0,e.column-1)+l,d=i.tokenization.tokenizeLineWithEdit(e,c.length-(e.column-1),l),f=d==null?void 0:d.sliceAndInflate(e.column-1,c.length,0);return f?A4i(f,n):l}function w9(l,e,i){const n=i?l.range.intersectRanges(i):l.range;if(!n)return l;const s=e.getValueInRange(n,1),c=vR(s,l.text),d=ST.ofText(s.substring(0,c)).addToPosition(l.range.getStartPosition()),f=l.text.substring(c),m=nt.fromPositions(d,l.range.getEndPosition());return new IL(m,f)}function dPt(l,e){return l.text.startsWith(e.text)&&B4i(l.range,e.range)}function bxt(l,e,i,n,s=0){let c=w9(l,e);if(c.range.endLineNumber!==c.range.startLineNumber)return;const d=e.getLineContent(c.range.startLineNumber),f=yd(d).length;if(c.range.startColumn-1<=f){const O=yd(c.text).length,z=d.substring(c.range.startColumn-1,f),[J,Y]=[c.range.getStartPosition(),c.range.getEndPosition()],ae=J.column+z.length<=Y.column?J.delta(0,z.length):Y,me=nt.fromPositions(ae,Y),ye=c.text.startsWith(z)?c.text.substring(z.length):c.text.substring(O);c=new IL(me,ye)}const v=e.getValueInRange(c.range),x=W4i(v,c.text);if(!x)return;const w=c.range.startLineNumber,I=new Array;if(i==="prefix"){const O=x.filter(z=>z.originalLength===0);if(O.length>1||O.length===1&&O[0].originalStart!==v.length)return}const P=c.text.length-s;for(const O of x){const z=c.range.startColumn+O.originalStart+O.originalLength;if(i==="subwordSmart"&&n&&n.lineNumber===c.range.startLineNumber&&z<n.column||O.originalLength>0)return;if(O.modifiedLength===0)continue;const J=O.modifiedStart+O.modifiedLength,Y=Math.max(O.modifiedStart,Math.min(J,P)),ae=c.text.substring(O.modifiedStart,Y),me=c.text.substring(Y,Math.max(O.modifiedStart,J));ae.length>0&&I.push(new fbe(z,ae,!1)),me.length>0&&I.push(new fbe(z,me,!0))}return new qre(w,I)}function B4i(l,e){return e.getStartPosition().equals(l.getStartPosition())&&e.getEndPosition().isBeforeOrEqual(l.getEndPosition())}let XN;function W4i(l,e){if((XN==null?void 0:XN.originalValue)===l&&(XN==null?void 0:XN.newValue)===e)return XN==null?void 0:XN.changes;{let i=Sxt(l,e,!0);if(i){const n=Cxt(i);if(n>0){const s=Sxt(l,e,!1);s&&Cxt(s)<n&&(i=s)}}return XN={originalValue:l,newValue:e,changes:i},i}}function Cxt(l){let e=0;for(const i of l)e+=i.originalLength;return e}function Sxt(l,e,i){if(l.length>5e3||e.length>5e3)return;function n(v){let x=0;for(let w=0,I=v.length;w<I;w++){const P=v.charCodeAt(w);P>x&&(x=P)}return x}const s=Math.max(n(l),n(e));function c(v){if(v<0)throw new Error("unexpected");return s+v+1}function d(v){let x=0,w=0;const I=new Int32Array(v.length);for(let P=0,O=v.length;P<O;P++)if(i&&v[P]==="("){const z=w*100+x;I[P]=c(2*z),x++}else if(i&&v[P]===")"){x=Math.max(x-1,0);const z=w*100+x;I[P]=c(2*z+1),x===0&&w++}else I[P]=v.charCodeAt(P);return I}const f=d(l),m=d(e);return new mL({getElements:()=>f},{getElements:()=>m}).ComputeDiff(!1).changes}var V4i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},wxt=function(l,e){return function(i,n){e(i,n,l)}};let Kze=class extends xi{constructor(e,i,n,s,c){super(),this.textModel=e,this.versionId=i,this._debounceValue=n,this.languageFeaturesService=s,this.languageConfigurationService=c,this._updateOperation=this._register(new Fm),this.inlineCompletions=sre("inlineCompletions",void 0),this.suggestWidgetInlineCompletions=sre("suggestWidgetInlineCompletions",void 0),this._register(this.textModel.onDidChangeContent(()=>{this._updateOperation.clear()}))}fetch(e,i,n){var x,w;const s=new j4i(e,i,this.textModel.getVersionId()),c=i.selectedSuggestionInfo?this.suggestWidgetInlineCompletions:this.inlineCompletions;if((x=this._updateOperation.value)!=null&&x.request.satisfies(s))return this._updateOperation.value.promise;if((w=c.get())!=null&&w.request.satisfies(s))return Promise.resolve(!0);const d=!!this._updateOperation.value;this._updateOperation.clear();const f=new ih,m=(async()=>{if((d||i.triggerKind===OE.Automatic)&&await H4i(this._debounceValue.get(this.textModel),f.token),f.token.isCancellationRequested||this._store.isDisposed||this.textModel.getVersionId()!==s.versionId)return!1;const P=new Date,O=await uPt(this.languageFeaturesService.inlineCompletionsProvider,e,this.textModel,i,f.token,this.languageConfigurationService);if(f.token.isCancellationRequested||this._store.isDisposed||this.textModel.getVersionId()!==s.versionId)return!1;const z=new Date;this._debounceValue.update(this.textModel,z.getTime()-P.getTime());const J=new U4i(O,s,this.textModel,this.versionId);if(n){const Y=n.toInlineCompletion(void 0);n.canBeReused(this.textModel,e)&&!O.has(Y)&&J.prepend(n.inlineCompletion,Y.range,!0)}return this._updateOperation.clear(),th(Y=>{c.set(J,Y)}),!0})(),v=new z4i(s,f,m);return this._updateOperation.value=v,m}clear(e){this._updateOperation.clear(),this.inlineCompletions.set(void 0,e),this.suggestWidgetInlineCompletions.set(void 0,e)}clearSuggestWidgetInlineCompletions(e){var i;(i=this._updateOperation.value)!=null&&i.request.context.selectedSuggestionInfo&&this._updateOperation.clear(),this.suggestWidgetInlineCompletions.set(void 0,e)}cancelUpdate(){this._updateOperation.clear()}};Kze=V4i([wxt(3,Ts),wxt(4,rh)],Kze);function H4i(l,e){return new Promise(i=>{let n;const s=setTimeout(()=>{n&&n.dispose(),i()},l);e&&(n=e.onCancellationRequested(()=>{clearTimeout(s),n&&n.dispose(),i()}))})}class j4i{constructor(e,i,n){this.position=e,this.context=i,this.versionId=n}satisfies(e){return this.position.equals(e.position)&&MVe(this.context.selectedSuggestionInfo,e.context.selectedSuggestionInfo,t1i())&&(e.context.triggerKind===OE.Automatic||this.context.triggerKind===OE.Explicit)&&this.versionId===e.versionId}}class z4i{constructor(e,i,n){this.request=e,this.cancellationTokenSource=i,this.promise=n}dispose(){this.cancellationTokenSource.cancel()}}class U4i{get inlineCompletions(){return this._inlineCompletions}constructor(e,i,n,s){this.inlineCompletionProviderResult=e,this.request=i,this._textModel=n,this._versionId=s,this._refCount=1,this._prependedInlineCompletionItems=[];const c=n.deltaDecorations([],e.completions.map(d=>({range:d.range,options:{description:"inline-completion-tracking-range"}})));this._inlineCompletions=e.completions.map((d,f)=>new xxt(d,c[f],this._textModel,this._versionId))}clone(){return this._refCount++,this}dispose(){if(this._refCount--,this._refCount===0){setTimeout(()=>{this._textModel.isDisposed()||this._textModel.deltaDecorations(this._inlineCompletions.map(e=>e.decorationId),[])},0),this.inlineCompletionProviderResult.dispose();for(const e of this._prependedInlineCompletionItems)e.source.removeRef()}}prepend(e,i,n){n&&e.source.addRef();const s=this._textModel.deltaDecorations([],[{range:i,options:{description:"inline-completion-tracking-range"}}])[0];this._inlineCompletions.unshift(new xxt(e,s,this._textModel,this._versionId)),this._prependedInlineCompletionItems.push(e)}}class xxt{get forwardStable(){return this.inlineCompletion.source.inlineCompletions.enableForwardStability??!1}constructor(e,i,n,s){this.inlineCompletion=e,this.decorationId=i,this._textModel=n,this._modelVersion=s,this.semanticId=JSON.stringify([this.inlineCompletion.filterText,this.inlineCompletion.insertText,this.inlineCompletion.range.getStartPosition().toString()]),this._updatedRange=mw({owner:this,equalsFn:nt.equalsRange},c=>(this._modelVersion.read(c),this._textModel.getDecorationRange(this.decorationId)))}toInlineCompletion(e){return this.inlineCompletion.withRange(this._updatedRange.read(e)??V9e)}toSingleTextEdit(e){return new IL(this._updatedRange.read(e)??V9e,this.inlineCompletion.insertText)}isVisible(e,i,n){const s=w9(this._toFilterTextReplacement(n),e),c=this._updatedRange.read(n);if(!c||!this.inlineCompletion.range.getStartPosition().equals(c.getStartPosition())||i.lineNumber!==s.range.startLineNumber)return!1;const d=e.getValueInRange(s.range,1),f=s.text,m=Math.max(0,i.column-s.range.startColumn);let v=f.substring(0,m),x=f.substring(m),w=d.substring(0,m),I=d.substring(m);const P=e.getLineIndentColumn(s.range.startLineNumber);return s.range.startColumn<=P&&(w=w.trimStart(),w.length===0&&(I=I.trimStart()),v=v.trimStart(),v.length===0&&(x=x.trimStart())),v.startsWith(w)&&!!eDt(I,x)}canBeReused(e,i){const n=this._updatedRange.read(void 0);return!!n&&n.containsPosition(i)&&this.isVisible(e,i,void 0)&&ST.ofRange(n).isGreaterThanOrEqualTo(ST.ofRange(this.inlineCompletion.range))}_toFilterTextReplacement(e){return new IL(this._updatedRange.read(e)??V9e,this.inlineCompletion.filterText)}}const V9e=new nt(1,1,1,1),fc={Visible:ZGe,HasFocusedSuggestion:new rs("suggestWidgetHasFocusedSuggestion",!1,W("suggestWidgetHasSelection","Whether any suggestion is focused")),DetailsVisible:new rs("suggestWidgetDetailsVisible",!1,W("suggestWidgetDetailsVisible","Whether suggestion details are visible")),MultipleSuggestions:new rs("suggestWidgetMultipleSuggestions",!1,W("suggestWidgetMultipleSuggestions","Whether there are multiple suggestions to pick from")),MakesTextEdit:new rs("suggestionMakesTextEdit",!0,W("suggestionMakesTextEdit","Whether inserting the current suggestion yields in a change or has everything already been typed")),AcceptSuggestionsOnEnter:new rs("acceptSuggestionOnEnter",!0,W("acceptSuggestionOnEnter","Whether suggestions are inserted when pressing Enter")),HasInsertAndReplaceRange:new rs("suggestionHasInsertAndReplaceRange",!1,W("suggestionHasInsertAndReplaceRange","Whether the current suggestion has insert and replace behaviour")),InsertMode:new rs("suggestionInsertMode",void 0,{type:"string",description:W("suggestionInsertMode","Whether the default behaviour is to insert or replace")}),CanResolve:new rs("suggestionCanResolve",!1,W("suggestionCanResolve","Whether the current suggestion supports to resolve further details"))},QM=new As("suggestWidgetStatusBar");class q4i{constructor(e,i,n,s){var c;this.position=e,this.completion=i,this.container=n,this.provider=s,this.isInvalid=!1,this.score=BE.Default,this.distance=0,this.textLabel=typeof i.label=="string"?i.label:(c=i.label)==null?void 0:c.label,this.labelLow=this.textLabel.toLowerCase(),this.isInvalid=!this.textLabel,this.sortTextLow=i.sortText&&i.sortText.toLowerCase(),this.filterTextLow=i.filterText&&i.filterText.toLowerCase(),this.extensionId=i.extensionId,nt.isIRange(i.range)?(this.editStart=new pi(i.range.startLineNumber,i.range.startColumn),this.editInsertEnd=new pi(i.range.endLineNumber,i.range.endColumn),this.editReplaceEnd=new pi(i.range.endLineNumber,i.range.endColumn),this.isInvalid=this.isInvalid||nt.spansMultipleLines(i.range)||i.range.startLineNumber!==e.lineNumber):(this.editStart=new pi(i.range.insert.startLineNumber,i.range.insert.startColumn),this.editInsertEnd=new pi(i.range.insert.endLineNumber,i.range.insert.endColumn),this.editReplaceEnd=new pi(i.range.replace.endLineNumber,i.range.replace.endColumn),this.isInvalid=this.isInvalid||nt.spansMultipleLines(i.range.insert)||nt.spansMultipleLines(i.range.replace)||i.range.insert.startLineNumber!==e.lineNumber||i.range.replace.startLineNumber!==e.lineNumber||i.range.insert.startColumn!==i.range.replace.startColumn),typeof s.resolveCompletionItem!="function"&&(this._resolveCache=Promise.resolve(),this._resolveDuration=0)}get isResolved(){return this._resolveDuration!==void 0}get resolveDuration(){return this._resolveDuration!==void 0?this._resolveDuration:-1}async resolve(e){if(!this._resolveCache){const i=e.onCancellationRequested(()=>{this._resolveCache=void 0,this._resolveDuration=void 0}),n=new bg(!0);this._resolveCache=Promise.resolve(this.provider.resolveCompletionItem(this.completion,e)).then(s=>{Object.assign(this.completion,s),this._resolveDuration=n.elapsed()},s=>{Tk(s)&&(this._resolveCache=void 0,this._resolveDuration=void 0)}).finally(()=>{i.dispose()})}return this._resolveCache}}const JCe=class JCe{constructor(e=2,i=new Set,n=new Set,s=new Map,c=!0){this.snippetSortOrder=e,this.kindFilter=i,this.providerFilter=n,this.providerItemsToReuse=s,this.showDeprecated=c}};JCe.default=new JCe;let $re=JCe;class $4i{constructor(e,i,n,s){this.items=e,this.needsClipboard=i,this.durations=n,this.disposable=s}}async function sKe(l,e,i,n=$re.default,s={triggerKind:0},c=cc.None){const d=new bg;i=i.clone();const f=e.getWordAtPosition(i),m=f?new nt(i.lineNumber,f.startColumn,i.lineNumber,f.endColumn):nt.fromPositions(i),v={replace:m,insert:m.setEndPosition(i.lineNumber,i.column)},x=[],w=new wn,I=[];let P=!1;const O=(J,Y,ae)=>{var ye;let me=!1;if(!Y)return me;for(const Ce of Y.suggestions)if(!n.kindFilter.has(Ce.kind)){if(!n.showDeprecated&&((ye=Ce==null?void 0:Ce.tags)!=null&&ye.includes(1)))continue;Ce.range||(Ce.range=v),Ce.sortText||(Ce.sortText=typeof Ce.label=="string"?Ce.label:Ce.label.label),!P&&Ce.insertTextRules&&Ce.insertTextRules&4&&(P=WB.guessNeedsClipboard(Ce.insertText)),x.push(new q4i(i,Ce,Y,J)),me=!0}return ESe(Y)&&w.add(Y),I.push({providerName:J._debugDisplayName??"unknown_provider",elapsedProvider:Y.duration??-1,elapsedOverall:ae.elapsed()}),me},z=(async()=>{})();for(const J of l.orderedGroups(e)){let Y=!1;if(await Promise.all(J.map(async ae=>{if(n.providerItemsToReuse.has(ae)){const me=n.providerItemsToReuse.get(ae);me.forEach(ye=>x.push(ye)),Y=Y||me.length>0;return}if(!(n.providerFilter.size>0&&!n.providerFilter.has(ae)))try{const me=new bg,ye=await ae.provideCompletionItems(e,i,s,c);Y=O(ae,ye,me)||Y}catch(me){t_(me)}})),Y||c.isCancellationRequested)break}return await z,c.isCancellationRequested?(w.dispose(),Promise.reject(new vT)):new $4i(x.sort(K4i(n.snippetSortOrder)),P,{entries:I,elapsed:d.elapsed()},w)}function oKe(l,e){if(l.sortTextLow&&e.sortTextLow){if(l.sortTextLow<e.sortTextLow)return-1;if(l.sortTextLow>e.sortTextLow)return 1}return l.textLabel<e.textLabel?-1:l.textLabel>e.textLabel?1:l.completion.kind-e.completion.kind}function J4i(l,e){if(l.completion.kind!==e.completion.kind){if(l.completion.kind===27)return-1;if(e.completion.kind===27)return 1}return oKe(l,e)}function G4i(l,e){if(l.completion.kind!==e.completion.kind){if(l.completion.kind===27)return 1;if(e.completion.kind===27)return-1}return oKe(l,e)}const txe=new Map;txe.set(0,J4i);txe.set(2,G4i);txe.set(1,oKe);function K4i(l){return txe.get(l)}fl.registerCommand("_executeCompletionItemProvider",async(l,...e)=>{const[i,n,s,c]=e;Fl(yo.isUri(i)),Fl(pi.isIPosition(n)),Fl(typeof s=="string"||!s),Fl(typeof c=="number"||!c);const{completionProvider:d}=l.get(Ts),f=await l.get(Ob).createModelReference(i);try{const m={incomplete:!1,suggestions:[]},v=[],x=f.object.textEditorModel.validatePosition(n),w=await sKe(d,f.object.textEditorModel,x,void 0,{triggerCharacter:s??void 0,triggerKind:s?1:0});for(const I of w.items)v.length<(c??0)&&v.push(I.resolve(cc.None)),m.incomplete=m.incomplete||I.container.incomplete,m.suggestions.push(I.completion);try{return await Promise.all(v),m}finally{setTimeout(()=>w.disposable.dispose(),100)}}finally{f.dispose()}});function X4i(l,e){var i;(i=l.getContribution("editor.contrib.suggestController"))==null||i.triggerSuggest(new Set().add(e),void 0,!0)}class bq{static isAllOff(e){return e.other==="off"&&e.comments==="off"&&e.strings==="off"}static isAllOn(e){return e.other==="on"&&e.comments==="on"&&e.strings==="on"}static valueFor(e,i){switch(i){case 1:return e.comments;case 2:return e.strings;default:return e.other}}}function kxt(l,e=I0){return D_i(l,e)?l.charAt(0).toUpperCase()+l.slice(1):l}var Q4i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Z4i=function(l,e){return function(i,n){e(i,n,l)}};class Txt{constructor(e){this._delegates=e}resolve(e){for(const i of this._delegates){const n=i.resolve(e);if(n!==void 0)return n}}}class Dxt{constructor(e,i,n,s){this._model=e,this._selection=i,this._selectionIdx=n,this._overtypingCapturer=s}resolve(e){const{name:i}=e;if(i==="SELECTION"||i==="TM_SELECTED_TEXT"){let n=this._model.getValueInRange(this._selection)||void 0,s=this._selection.startLineNumber!==this._selection.endLineNumber;if(!n&&this._overtypingCapturer){const c=this._overtypingCapturer.getLastOvertypedInfo(this._selectionIdx);c&&(n=c.value,s=c.multiline)}if(n&&s&&e.snippet){const c=this._model.getLineContent(this._selection.startLineNumber),d=yd(c,0,this._selection.startColumn-1);let f=d;e.snippet.walk(v=>v===e?!1:(v instanceof hv&&(f=yd(BL(v.value).pop())),!0));const m=vR(f,d);n=n.replace(/(\r\n|\r|\n)(.*)/g,(v,x,w)=>`${x}${f.substr(m)}${w}`)}return n}else{if(i==="TM_CURRENT_LINE")return this._model.getLineContent(this._selection.positionLineNumber);if(i==="TM_CURRENT_WORD"){const n=this._model.getWordAtPosition({lineNumber:this._selection.positionLineNumber,column:this._selection.positionColumn});return n&&n.word||void 0}else{if(i==="TM_LINE_INDEX")return String(this._selection.positionLineNumber-1);if(i==="TM_LINE_NUMBER")return String(this._selection.positionLineNumber);if(i==="CURSOR_INDEX")return String(this._selectionIdx);if(i==="CURSOR_NUMBER")return String(this._selectionIdx+1)}}}}class Ext{constructor(e,i){this._labelService=e,this._model=i}resolve(e){const{name:i}=e;if(i==="TM_FILENAME")return qM(this._model.uri.fsPath);if(i==="TM_FILENAME_BASE"){const n=qM(this._model.uri.fsPath),s=n.lastIndexOf(".");return s<=0?n:n.slice(0,s)}else{if(i==="TM_DIRECTORY")return zkt(this._model.uri.fsPath)==="."?"":this._labelService.getUriLabel(lwe(this._model.uri));if(i==="TM_FILEPATH")return this._labelService.getUriLabel(this._model.uri);if(i==="RELATIVE_FILEPATH")return this._labelService.getUriLabel(this._model.uri,{relative:!0,noPrefix:!0})}}}class Ixt{constructor(e,i,n,s){this._readClipboardText=e,this._selectionIdx=i,this._selectionCount=n,this._spread=s}resolve(e){if(e.name!=="CLIPBOARD")return;const i=this._readClipboardText();if(i){if(this._spread){const n=i.split(/\r\n|\n|\r/).filter(s=>!Zkt(s));if(n.length===this._selectionCount)return n[this._selectionIdx]}return i}}}let _be=class{constructor(e,i,n){this._model=e,this._selection=i,this._languageConfigurationService=n}resolve(e){const{name:i}=e,n=this._model.getLanguageIdAtPosition(this._selection.selectionStartLineNumber,this._selection.selectionStartColumn),s=this._languageConfigurationService.getLanguageConfiguration(n).comments;if(s){if(i==="LINE_COMMENT")return s.lineCommentToken||void 0;if(i==="BLOCK_COMMENT_START")return s.blockCommentStartToken||void 0;if(i==="BLOCK_COMMENT_END")return s.blockCommentEndToken||void 0}}};_be=Q4i([Z4i(2,rh)],_be);const cL=class cL{constructor(){this._date=new Date}resolve(e){const{name:i}=e;if(i==="CURRENT_YEAR")return String(this._date.getFullYear());if(i==="CURRENT_YEAR_SHORT")return String(this._date.getFullYear()).slice(-2);if(i==="CURRENT_MONTH")return String(this._date.getMonth().valueOf()+1).padStart(2,"0");if(i==="CURRENT_DATE")return String(this._date.getDate().valueOf()).padStart(2,"0");if(i==="CURRENT_HOUR")return String(this._date.getHours().valueOf()).padStart(2,"0");if(i==="CURRENT_MINUTE")return String(this._date.getMinutes().valueOf()).padStart(2,"0");if(i==="CURRENT_SECOND")return String(this._date.getSeconds().valueOf()).padStart(2,"0");if(i==="CURRENT_DAY_NAME")return cL.dayNames[this._date.getDay()];if(i==="CURRENT_DAY_NAME_SHORT")return cL.dayNamesShort[this._date.getDay()];if(i==="CURRENT_MONTH_NAME")return cL.monthNames[this._date.getMonth()];if(i==="CURRENT_MONTH_NAME_SHORT")return cL.monthNamesShort[this._date.getMonth()];if(i==="CURRENT_SECONDS_UNIX")return String(Math.floor(this._date.getTime()/1e3));if(i==="CURRENT_TIMEZONE_OFFSET"){const n=this._date.getTimezoneOffset(),s=n>0?"-":"+",c=Math.trunc(Math.abs(n/60)),d=c<10?"0"+c:c,f=Math.abs(n)-c*60,m=f<10?"0"+f:f;return s+d+":"+m}}};cL.dayNames=[W("Sunday","Sunday"),W("Monday","Monday"),W("Tuesday","Tuesday"),W("Wednesday","Wednesday"),W("Thursday","Thursday"),W("Friday","Friday"),W("Saturday","Saturday")],cL.dayNamesShort=[W("SundayShort","Sun"),W("MondayShort","Mon"),W("TuesdayShort","Tue"),W("WednesdayShort","Wed"),W("ThursdayShort","Thu"),W("FridayShort","Fri"),W("SaturdayShort","Sat")],cL.monthNames=[W("January","January"),W("February","February"),W("March","March"),W("April","April"),W("May","May"),W("June","June"),W("July","July"),W("August","August"),W("September","September"),W("October","October"),W("November","November"),W("December","December")],cL.monthNamesShort=[W("JanuaryShort","Jan"),W("FebruaryShort","Feb"),W("MarchShort","Mar"),W("AprilShort","Apr"),W("MayShort","May"),W("JuneShort","Jun"),W("JulyShort","Jul"),W("AugustShort","Aug"),W("SeptemberShort","Sep"),W("OctoberShort","Oct"),W("NovemberShort","Nov"),W("DecemberShort","Dec")];let pbe=cL;class Nxt{constructor(e){this._workspaceService=e}resolve(e){if(!this._workspaceService)return;const i=U0i(this._workspaceService.getWorkspace());if(!j0i(i)){if(e.name==="WORKSPACE_NAME")return this._resolveWorkspaceName(i);if(e.name==="WORKSPACE_FOLDER")return this._resoveWorkspacePath(i)}}_resolveWorkspaceName(e){if(DVe(e))return qM(e.uri.path);let i=qM(e.configPath.path);return i.endsWith(EVe)&&(i=i.substr(0,i.length-EVe.length-1)),i}_resoveWorkspacePath(e){if(DVe(e))return kxt(e.uri.fsPath);const i=qM(e.configPath.path);let n=e.configPath.fsPath;return n.endsWith(i)&&(n=n.substr(0,n.length-i.length-1)),n?kxt(n):"/"}}class Lxt{resolve(e){const{name:i}=e;if(i==="RANDOM")return Math.random().toString().slice(-6);if(i==="RANDOM_HEX")return Math.random().toString(16).slice(-6);if(i==="UUID")return qwe()}}var Y4i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},e6i=function(l,e){return function(i,n){e(i,n,l)}},hE;const oT=class oT{constructor(e,i,n){this._editor=e,this._snippet=i,this._snippetLineLeadingWhitespace=n,this._offset=-1,this._nestingLevel=1,this._placeholderGroups=Hyt(i.placeholders,dk.compareByIndex),this._placeholderGroupsIdx=-1}initialize(e){this._offset=e.newPosition}dispose(){this._placeholderDecorations&&this._editor.removeDecorations([...this._placeholderDecorations.values()]),this._placeholderGroups.length=0}_initDecorations(){if(this._offset===-1)throw new Error("Snippet not initialized!");if(this._placeholderDecorations)return;this._placeholderDecorations=new Map;const e=this._editor.getModel();this._editor.changeDecorations(i=>{for(const n of this._snippet.placeholders){const s=this._snippet.offset(n),c=this._snippet.fullLen(n),d=nt.fromPositions(e.getPositionAt(this._offset+s),e.getPositionAt(this._offset+s+c)),f=n.isFinalTabstop?oT._decor.inactiveFinal:oT._decor.inactive,m=i.addDecoration(d,f);this._placeholderDecorations.set(n,m)}})}move(e){if(!this._editor.hasModel())return[];if(this._initDecorations(),this._placeholderGroupsIdx>=0){const s=[];for(const c of this._placeholderGroups[this._placeholderGroupsIdx])if(c.transform){const d=this._placeholderDecorations.get(c),f=this._editor.getModel().getDecorationRange(d),m=this._editor.getModel().getValueInRange(f),v=c.transform.resolve(m).split(/\r\n|\r|\n/);for(let x=1;x<v.length;x++)v[x]=this._editor.getModel().normalizeIndentation(this._snippetLineLeadingWhitespace+v[x]);s.push(eh.replace(f,v.join(this._editor.getModel().getEOL())))}s.length>0&&this._editor.executeEdits("snippet.placeholderTransform",s)}let i=!1;e===!0&&this._placeholderGroupsIdx<this._placeholderGroups.length-1?(this._placeholderGroupsIdx+=1,i=!0):e===!1&&this._placeholderGroupsIdx>0&&(this._placeholderGroupsIdx-=1,i=!0);const n=this._editor.getModel().changeDecorations(s=>{const c=new Set,d=[];for(const f of this._placeholderGroups[this._placeholderGroupsIdx]){const m=this._placeholderDecorations.get(f),v=this._editor.getModel().getDecorationRange(m);d.push(new Us(v.startLineNumber,v.startColumn,v.endLineNumber,v.endColumn)),i=i&&this._hasPlaceholderBeenCollapsed(f),s.changeDecorationOptions(m,f.isFinalTabstop?oT._decor.activeFinal:oT._decor.active),c.add(f);for(const x of this._snippet.enclosingPlaceholders(f)){const w=this._placeholderDecorations.get(x);s.changeDecorationOptions(w,x.isFinalTabstop?oT._decor.activeFinal:oT._decor.active),c.add(x)}}for(const[f,m]of this._placeholderDecorations)c.has(f)||s.changeDecorationOptions(m,f.isFinalTabstop?oT._decor.inactiveFinal:oT._decor.inactive);return d});return i?this.move(e):n??[]}_hasPlaceholderBeenCollapsed(e){let i=e;for(;i;){if(i instanceof dk){const n=this._placeholderDecorations.get(i);if(this._editor.getModel().getDecorationRange(n).isEmpty()&&i.toString().length>0)return!0}i=i.parent}return!1}get isAtFirstPlaceholder(){return this._placeholderGroupsIdx<=0||this._placeholderGroups.length===0}get isAtLastPlaceholder(){return this._placeholderGroupsIdx===this._placeholderGroups.length-1}get hasPlaceholder(){return this._snippet.placeholders.length>0}get isTrivialSnippet(){if(this._snippet.placeholders.length===0)return!0;if(this._snippet.placeholders.length===1){const[e]=this._snippet.placeholders;if(e.isFinalTabstop&&this._snippet.rightMostDescendant===e)return!0}return!1}computePossibleSelections(){const e=new Map;for(const i of this._placeholderGroups){let n;for(const s of i){if(s.isFinalTabstop)break;n||(n=[],e.set(s.index,n));const c=this._placeholderDecorations.get(s),d=this._editor.getModel().getDecorationRange(c);if(!d){e.delete(s.index);break}n.push(d)}}return e}get activeChoice(){if(!this._placeholderDecorations)return;const e=this._placeholderGroups[this._placeholderGroupsIdx][0];if(!(e!=null&&e.choice))return;const i=this._placeholderDecorations.get(e);if(!i)return;const n=this._editor.getModel().getDecorationRange(i);if(n)return{range:n,choice:e.choice}}get hasChoice(){let e=!1;return this._snippet.walk(i=>(e=i instanceof MJ,!e)),e}merge(e){const i=this._editor.getModel();this._nestingLevel*=10,this._editor.changeDecorations(n=>{for(const s of this._placeholderGroups[this._placeholderGroupsIdx]){const c=e.shift();console.assert(c._offset!==-1),console.assert(!c._placeholderDecorations);const d=c._snippet.placeholderInfo.last.index;for(const m of c._snippet.placeholderInfo.all)m.isFinalTabstop?m.index=s.index+(d+1)/this._nestingLevel:m.index=s.index+m.index/this._nestingLevel;this._snippet.replace(s,c._snippet.children);const f=this._placeholderDecorations.get(s);n.removeDecoration(f),this._placeholderDecorations.delete(s);for(const m of c._snippet.placeholders){const v=c._snippet.offset(m),x=c._snippet.fullLen(m),w=nt.fromPositions(i.getPositionAt(c._offset+v),i.getPositionAt(c._offset+v+x)),I=n.addDecoration(w,oT._decor.inactive);this._placeholderDecorations.set(m,I)}}this._placeholderGroups=Hyt(this._snippet.placeholders,dk.compareByIndex)})}};oT._decor={active:qa.register({description:"snippet-placeholder-1",stickiness:0,className:"snippet-placeholder"}),inactive:qa.register({description:"snippet-placeholder-2",stickiness:1,className:"snippet-placeholder"}),activeFinal:qa.register({description:"snippet-placeholder-3",stickiness:1,className:"finish-snippet-placeholder"}),inactiveFinal:qa.register({description:"snippet-placeholder-4",stickiness:1,className:"finish-snippet-placeholder"})};let mbe=oT;const Pxt={overwriteBefore:0,overwriteAfter:0,adjustWhitespace:!0,clipboardText:void 0,overtypingCapturer:void 0};let gbe=hE=class{static adjustWhitespace(e,i,n,s,c){const d=e.getLineContent(i.lineNumber),f=yd(d,0,i.column-1);let m;return s.walk(v=>{if(!(v instanceof hv)||v.parent instanceof MJ||c&&!c.has(v))return!0;const x=v.value.split(/\r\n|\r|\n/);if(n){const I=s.offset(v);if(I===0)x[0]=e.normalizeIndentation(x[0]);else{m=m??s.toString();const P=m.charCodeAt(I-1);(P===10||P===13)&&(x[0]=e.normalizeIndentation(f+x[0]))}for(let P=1;P<x.length;P++)x[P]=e.normalizeIndentation(f+x[P])}const w=x.join(e.getEOL());return w!==v.value&&(v.parent.replace(v,[new hv(w)]),m=void 0),!0}),f}static adjustSelection(e,i,n,s){if(n!==0||s!==0){const{positionLineNumber:c,positionColumn:d}=i,f=d-n,m=d+s,v=e.validateRange({startLineNumber:c,startColumn:f,endLineNumber:c,endColumn:m});i=Us.createWithDirection(v.startLineNumber,v.startColumn,v.endLineNumber,v.endColumn,i.getDirection())}return i}static createEditsAndSnippetsFromSelections(e,i,n,s,c,d,f,m,v){const x=[],w=[];if(!e.hasModel())return{edits:x,snippets:w};const I=e.getModel(),P=e.invokeWithinContext(ye=>ye.get(LB)),O=e.invokeWithinContext(ye=>new Ext(ye.get(F$),I)),z=()=>f,J=I.getValueInRange(hE.adjustSelection(I,e.getSelection(),n,0)),Y=I.getValueInRange(hE.adjustSelection(I,e.getSelection(),0,s)),ae=I.getLineFirstNonWhitespaceColumn(e.getSelection().positionLineNumber),me=e.getSelections().map((ye,Ce)=>({selection:ye,idx:Ce})).sort((ye,Ce)=>nt.compareRangesUsingStarts(ye.selection,Ce.selection));for(const{selection:ye,idx:Ce}of me){let Fe=hE.adjustSelection(I,ye,n,0),rt=hE.adjustSelection(I,ye,0,s);J!==I.getValueInRange(Fe)&&(Fe=ye),Y!==I.getValueInRange(rt)&&(rt=ye);const ct=ye.setStartPosition(Fe.startLineNumber,Fe.startColumn).setEndPosition(rt.endLineNumber,rt.endColumn),Mt=new WB().parse(i,!0,c),Yt=ct.getStartPosition(),Bi=hE.adjustWhitespace(I,Yt,d||Ce>0&&ae!==I.getLineFirstNonWhitespaceColumn(ye.positionLineNumber),Mt);Mt.resolveVariables(new Txt([O,new Ixt(z,Ce,me.length,e.getOption(79)==="spread"),new Dxt(I,ye,Ce,m),new _be(I,ye,v),new pbe,new Nxt(P),new Lxt])),x[Ce]=eh.replace(ct,Mt.toString()),x[Ce].identifier={major:Ce,minor:0},x[Ce]._isTracked=!0,w[Ce]=new mbe(e,Mt,Bi)}return{edits:x,snippets:w}}static createEditsAndSnippetsFromEdits(e,i,n,s,c,d,f){if(!e.hasModel()||i.length===0)return{edits:[],snippets:[]};const m=[],v=e.getModel(),x=new WB,w=new Vse,I=new Txt([e.invokeWithinContext(O=>new Ext(O.get(F$),v)),new Ixt(()=>c,0,e.getSelections().length,e.getOption(79)==="spread"),new Dxt(v,e.getSelection(),0,d),new _be(v,e.getSelection(),f),new pbe,new Nxt(e.invokeWithinContext(O=>O.get(LB))),new Lxt]);i=i.sort((O,z)=>nt.compareRangesUsingStarts(O.range,z.range));let P=0;for(let O=0;O<i.length;O++){const{range:z,template:J}=i[O];if(O>0){const Ce=i[O-1].range,Fe=nt.fromPositions(Ce.getEndPosition(),z.getStartPosition()),rt=new hv(v.getValueInRange(Fe));w.appendChild(rt),P+=rt.value.length}const Y=x.parseFragment(J,w);hE.adjustWhitespace(v,z.getStartPosition(),!0,w,new Set(Y)),w.resolveVariables(I);const ae=w.toString(),me=ae.slice(P);P=ae.length;const ye=eh.replace(z,me);ye.identifier={major:O,minor:0},ye._isTracked=!0,m.push(ye)}return x.ensureFinalTabstop(w,n,!0),{edits:m,snippets:[new mbe(e,w,"")]}}constructor(e,i,n=Pxt,s){this._editor=e,this._template=i,this._options=n,this._languageConfigurationService=s,this._templateMerges=[],this._snippets=[]}dispose(){vd(this._snippets)}_logInfo(){return`template="${this._template}", merged_templates="${this._templateMerges.join(" -> ")}"`}insert(){if(!this._editor.hasModel())return;const{edits:e,snippets:i}=typeof this._template=="string"?hE.createEditsAndSnippetsFromSelections(this._editor,this._template,this._options.overwriteBefore,this._options.overwriteAfter,!1,this._options.adjustWhitespace,this._options.clipboardText,this._options.overtypingCapturer,this._languageConfigurationService):hE.createEditsAndSnippetsFromEdits(this._editor,this._template,!1,this._options.adjustWhitespace,this._options.clipboardText,this._options.overtypingCapturer,this._languageConfigurationService);this._snippets=i,this._editor.executeEdits("snippet",e,n=>{const s=n.filter(c=>!!c.identifier);for(let c=0;c<i.length;c++)i[c].initialize(s[c].textChange);return this._snippets[0].hasPlaceholder?this._move(!0):s.map(c=>Us.fromPositions(c.range.getEndPosition()))}),this._editor.revealRange(this._editor.getSelections()[0])}merge(e,i=Pxt){if(!this._editor.hasModel())return;this._templateMerges.push([this._snippets[0]._nestingLevel,this._snippets[0]._placeholderGroupsIdx,e]);const{edits:n,snippets:s}=hE.createEditsAndSnippetsFromSelections(this._editor,e,i.overwriteBefore,i.overwriteAfter,!0,i.adjustWhitespace,i.clipboardText,i.overtypingCapturer,this._languageConfigurationService);this._editor.executeEdits("snippet",n,c=>{const d=c.filter(m=>!!m.identifier);for(let m=0;m<s.length;m++)s[m].initialize(d[m].textChange);const f=s[0].isTrivialSnippet;if(!f){for(const m of this._snippets)m.merge(s);console.assert(s.length===0)}return this._snippets[0].hasPlaceholder&&!f?this._move(void 0):d.map(m=>Us.fromPositions(m.range.getEndPosition()))})}next(){const e=this._move(!0);this._editor.setSelections(e),this._editor.revealPositionInCenterIfOutsideViewport(e[0].getPosition())}prev(){const e=this._move(!1);this._editor.setSelections(e),this._editor.revealPositionInCenterIfOutsideViewport(e[0].getPosition())}_move(e){const i=[];for(const n of this._snippets){const s=n.move(e);i.push(...s)}return i}get isAtFirstPlaceholder(){return this._snippets[0].isAtFirstPlaceholder}get isAtLastPlaceholder(){return this._snippets[0].isAtLastPlaceholder}get hasPlaceholder(){return this._snippets[0].hasPlaceholder}get hasChoice(){return this._snippets[0].hasChoice}get activeChoice(){return this._snippets[0].activeChoice}isSelectionWithinPlaceholders(){if(!this.hasPlaceholder)return!1;const e=this._editor.getSelections();if(e.length<this._snippets.length)return!1;const i=new Map;for(const n of this._snippets){const s=n.computePossibleSelections();if(i.size===0)for(const[c,d]of s){d.sort(nt.compareRangesUsingStarts);for(const f of e)if(d[0].containsRange(f)){i.set(c,[]);break}}if(i.size===0)return!1;i.forEach((c,d)=>{c.push(...s.get(d))})}e.sort(nt.compareRangesUsingStarts);for(const[n,s]of i){if(s.length!==e.length){i.delete(n);continue}s.sort(nt.compareRangesUsingStarts);for(let c=0;c<s.length;c++)if(!s[c].containsRange(e[c])){i.delete(n);continue}}return i.size>0}};gbe=hE=Y4i([e6i(3,rh)],gbe);var t6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},z0e=function(l,e){return function(i,n){e(i,n,l)}},KU;const Axt={overwriteBefore:0,overwriteAfter:0,undoStopBefore:!0,undoStopAfter:!0,adjustWhitespace:!0,clipboardText:void 0,overtypingCapturer:void 0};var bL;let kv=(bL=class{static get(e){return e.getContribution(KU.ID)}constructor(e,i,n,s,c){this._editor=e,this._logService=i,this._languageFeaturesService=n,this._languageConfigurationService=c,this._snippetListener=new wn,this._modelVersionId=-1,this._inSnippet=KU.InSnippetMode.bindTo(s),this._hasNextTabstop=KU.HasNextTabstop.bindTo(s),this._hasPrevTabstop=KU.HasPrevTabstop.bindTo(s)}dispose(){var e;this._inSnippet.reset(),this._hasPrevTabstop.reset(),this._hasNextTabstop.reset(),(e=this._session)==null||e.dispose(),this._snippetListener.dispose()}insert(e,i){try{this._doInsert(e,typeof i>"u"?Axt:{...Axt,...i})}catch(n){this.cancel(),this._logService.error(n),this._logService.error("snippet_error"),this._logService.error("insert_template=",e),this._logService.error("existing_template=",this._session?this._session._logInfo():"<no_session>")}}_doInsert(e,i){var n;if(this._editor.hasModel()){if(this._snippetListener.clear(),i.undoStopBefore&&this._editor.getModel().pushStackElement(),this._session&&typeof e!="string"&&this.cancel(),this._session?(Fl(typeof e=="string"),this._session.merge(e,i)):(this._modelVersionId=this._editor.getModel().getAlternativeVersionId(),this._session=new gbe(this._editor,e,i,this._languageConfigurationService),this._session.insert()),i.undoStopAfter&&this._editor.getModel().pushStackElement(),(n=this._session)!=null&&n.hasChoice){const s={_debugDisplayName:"snippetChoiceCompletions",provideCompletionItems:(x,w)=>{if(!this._session||x!==this._editor.getModel()||!pi.equals(this._editor.getPosition(),w))return;const{activeChoice:I}=this._session;if(!I||I.choice.options.length===0)return;const P=x.getValueInRange(I.range),O=!!I.choice.options.find(J=>J.value===P),z=[];for(let J=0;J<I.choice.options.length;J++){const Y=I.choice.options[J];z.push({kind:13,label:Y.value,insertText:Y.value,sortText:"a".repeat(J+1),range:I.range,filterText:O?`${P}_${Y.value}`:void 0,command:{id:"jumpToNextSnippetPlaceholder",title:W("next","Go to next placeholder...")}})}return{suggestions:z}}},c=this._editor.getModel();let d,f=!1;const m=()=>{d==null||d.dispose(),f=!1},v=()=>{f||(d=this._languageFeaturesService.completionProvider.register({language:c.getLanguageId(),pattern:c.uri.fsPath,scheme:c.uri.scheme,exclusive:!0},s),this._snippetListener.add(d),f=!0)};this._choiceCompletions={provider:s,enable:v,disable:m}}this._updateState(),this._snippetListener.add(this._editor.onDidChangeModelContent(s=>s.isFlush&&this.cancel())),this._snippetListener.add(this._editor.onDidChangeModel(()=>this.cancel())),this._snippetListener.add(this._editor.onDidChangeCursorSelection(()=>this._updateState()))}}_updateState(){if(!(!this._session||!this._editor.hasModel())){if(this._modelVersionId===this._editor.getModel().getAlternativeVersionId())return this.cancel();if(!this._session.hasPlaceholder)return this.cancel();if(this._session.isAtLastPlaceholder||!this._session.isSelectionWithinPlaceholders())return this._editor.getModel().pushStackElement(),this.cancel();this._inSnippet.set(!0),this._hasPrevTabstop.set(!this._session.isAtFirstPlaceholder),this._hasNextTabstop.set(!this._session.isAtLastPlaceholder),this._handleChoice()}}_handleChoice(){var i;if(!this._session||!this._editor.hasModel()){this._currentChoice=void 0;return}const{activeChoice:e}=this._session;if(!e||!this._choiceCompletions){(i=this._choiceCompletions)==null||i.disable(),this._currentChoice=void 0;return}this._currentChoice!==e.choice&&(this._currentChoice=e.choice,this._choiceCompletions.enable(),queueMicrotask(()=>{X4i(this._editor,this._choiceCompletions.provider)}))}finish(){for(;this._inSnippet.get();)this.next()}cancel(e=!1){var i;this._inSnippet.reset(),this._hasPrevTabstop.reset(),this._hasNextTabstop.reset(),this._snippetListener.clear(),this._currentChoice=void 0,(i=this._session)==null||i.dispose(),this._session=void 0,this._modelVersionId=-1,e&&this._editor.setSelections([this._editor.getSelection()])}prev(){var e;(e=this._session)==null||e.prev(),this._updateState()}next(){var e;(e=this._session)==null||e.next(),this._updateState()}isInSnippet(){return!!this._inSnippet.get()}},KU=bL,bL.ID="snippetController2",bL.InSnippetMode=new rs("inSnippetMode",!1,W("inSnippetMode","Whether the editor in current in snippet mode")),bL.HasNextTabstop=new rs("hasNextTabstop",!1,W("hasNextTabstop","Whether there is a next tab stop when in snippet mode")),bL.HasPrevTabstop=new rs("hasPrevTabstop",!1,W("hasPrevTabstop","Whether there is a previous tab stop when in snippet mode")),bL);kv=KU=t6i([z0e(1,N0),z0e(2,Ts),z0e(3,Ko),z0e(4,rh)],kv);Cl(kv.ID,kv,4);const ixe=tm.bindToContribution(kv.get);$r(new ixe({id:"jumpToNextSnippetPlaceholder",precondition:kn.and(kv.InSnippetMode,kv.HasNextTabstop),handler:l=>l.next(),kbOpts:{weight:130,kbExpr:Tt.textInputFocus,primary:2}}));$r(new ixe({id:"jumpToPrevSnippetPlaceholder",precondition:kn.and(kv.InSnippetMode,kv.HasPrevTabstop),handler:l=>l.prev(),kbOpts:{weight:130,kbExpr:Tt.textInputFocus,primary:1026}}));$r(new ixe({id:"leaveSnippet",precondition:kv.InSnippetMode,handler:l=>l.cancel(!0),kbOpts:{weight:130,kbExpr:Tt.textInputFocus,primary:9,secondary:[1033]}}));$r(new ixe({id:"acceptSnippet",precondition:kv.InSnippetMode,handler:l=>l.finish()}));var i6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},H9e=function(l,e){return function(i,n){e(i,n,l)}};let Xze=class extends xi{get isAcceptingPartially(){return this._isAcceptingPartially}constructor(e,i,n,s,c,d,f,m,v,x,w,I){super(),this.textModel=e,this.selectedSuggestItem=i,this._textModelVersionId=n,this._positions=s,this._debounceValue=c,this._suggestPreviewEnabled=d,this._suggestPreviewMode=f,this._inlineSuggestMode=m,this._enabled=v,this._instantiationService=x,this._commandService=w,this._languageConfigurationService=I,this._source=this._register(this._instantiationService.createInstance(Kze,this.textModel,this._textModelVersionId,this._debounceValue)),this._isActive=bc(this,!1),this._forceUpdateExplicitlySignal=xJ(this),this._selectedInlineCompletionId=bc(this,void 0),this._primaryPosition=ro(this,O=>this._positions.read(O)[0]??new pi(1,1)),this._isAcceptingPartially=!1,this._preserveCurrentCompletionReasons=new Set([C4.Redo,C4.Undo,C4.AcceptWord]),this._fetchInlineCompletionsPromise=wDt({owner:this,createEmptyChangeSummary:()=>({preserveCurrentCompletion:!1,inlineCompletionTriggerKind:OE.Automatic}),handleChange:(O,z)=>(O.didChange(this._textModelVersionId)&&this._preserveCurrentCompletionReasons.has(this._getReason(O.change))?z.preserveCurrentCompletion=!0:O.didChange(this._forceUpdateExplicitlySignal)&&(z.inlineCompletionTriggerKind=OE.Explicit),!0)},(O,z)=>{if(this._forceUpdateExplicitlySignal.read(O),!(this._enabled.read(O)&&this.selectedSuggestItem.read(O)||this._isActive.read(O))){this._source.cancelUpdate();return}this._textModelVersionId.read(O);const Y=this._source.suggestWidgetInlineCompletions.get(),ae=this.selectedSuggestItem.read(O);if(Y&&!ae){const rt=this._source.inlineCompletions.get();th(ct=>{(!rt||Y.request.versionId>rt.request.versionId)&&this._source.inlineCompletions.set(Y.clone(),ct),this._source.clearSuggestWidgetInlineCompletions(ct)})}const me=this._primaryPosition.read(O),ye={triggerKind:z.inlineCompletionTriggerKind,selectedSuggestionInfo:ae==null?void 0:ae.toSelectedSuggestionInfo()},Ce=this.selectedInlineCompletion.get(),Fe=z.preserveCurrentCompletion||Ce!=null&&Ce.forwardStable?Ce:void 0;return this._source.fetch(me,ye,Fe)}),this._filteredInlineCompletionItems=mw({owner:this,equalsFn:Fye()},O=>{const z=this._source.inlineCompletions.read(O);if(!z)return[];const J=this._primaryPosition.read(O);return z.inlineCompletions.filter(ae=>ae.isVisible(this.textModel,J,O))}),this.selectedInlineCompletionIndex=ro(this,O=>{const z=this._selectedInlineCompletionId.read(O),J=this._filteredInlineCompletionItems.read(O),Y=this._selectedInlineCompletionId===void 0?-1:J.findIndex(ae=>ae.semanticId===z);return Y===-1?(this._selectedInlineCompletionId.set(void 0,void 0),0):Y}),this.selectedInlineCompletion=ro(this,O=>{const z=this._filteredInlineCompletionItems.read(O),J=this.selectedInlineCompletionIndex.read(O);return z[J]}),this.activeCommands=mw({owner:this,equalsFn:Fye()},O=>{var z;return((z=this.selectedInlineCompletion.read(O))==null?void 0:z.inlineCompletion.source.inlineCompletions.commands)??[]}),this.lastTriggerKind=this._source.inlineCompletions.map(this,O=>O==null?void 0:O.request.context.triggerKind),this.inlineCompletionsCount=ro(this,O=>{if(this.lastTriggerKind.read(O)===OE.Explicit)return this._filteredInlineCompletionItems.read(O).length}),this.state=mw({owner:this,equalsFn:(O,z)=>!O||!z?O===z:pxt(O.ghostTexts,z.ghostTexts)&&O.inlineCompletion===z.inlineCompletion&&O.suggestItem===z.suggestItem},O=>{const z=this.textModel,J=this.selectedSuggestItem.read(O);if(J){const Y=w9(J.toSingleTextEdit(),z),ae=this._computeAugmentation(Y,O);if(!this._suggestPreviewEnabled.read(O)&&!ae)return;const ye=(ae==null?void 0:ae.edit)??Y,Ce=ae?ae.edit.text.length-Y.text.length:0,Fe=this._suggestPreviewMode.read(O),rt=this._positions.read(O),ct=[ye,...j9e(this.textModel,rt,ye)],Mt=ct.map((Bi,$i)=>bxt(Bi,z,Fe,rt[$i],Ce)).filter(xL),Yt=Mt[0]??new qre(ye.range.endLineNumber,[]);return{edits:ct,primaryGhostText:Yt,ghostTexts:Mt,inlineCompletion:ae==null?void 0:ae.completion,suggestItem:J}}else{if(!this._isActive.read(O))return;const Y=this.selectedInlineCompletion.read(O);if(!Y)return;const ae=Y.toSingleTextEdit(O),me=this._inlineSuggestMode.read(O),ye=this._positions.read(O),Ce=[ae,...j9e(this.textModel,ye,ae)],Fe=Ce.map((rt,ct)=>bxt(rt,z,me,ye[ct],0)).filter(xL);return Fe[0]?{edits:Ce,primaryGhostText:Fe[0],ghostTexts:Fe,inlineCompletion:Y,suggestItem:void 0}:void 0}}),this.ghostTexts=mw({owner:this,equalsFn:pxt},O=>{const z=this.state.read(O);if(z)return z.ghostTexts}),this.primaryGhostText=mw({owner:this,equalsFn:aPt},O=>{const z=this.state.read(O);if(z)return z==null?void 0:z.primaryGhostText}),this._register(kJ(this._fetchInlineCompletionsPromise));let P;this._register(ba(O=>{var Y,ae;const z=this.state.read(O),J=z==null?void 0:z.inlineCompletion;if((J==null?void 0:J.semanticId)!==(P==null?void 0:P.semanticId)&&(P=J,J)){const me=J.inlineCompletion,ye=me.source;(ae=(Y=ye.provider).handleItemDidShow)==null||ae.call(Y,ye.inlineCompletions,me.sourceInlineCompletion,me.insertText)}}))}_getReason(e){return e!=null&&e.isUndoing?C4.Undo:e!=null&&e.isRedoing?C4.Redo:this.isAcceptingPartially?C4.AcceptWord:C4.Other}async trigger(e){this._isActive.set(!0,e),await this._fetchInlineCompletionsPromise.get()}async triggerExplicitly(e){PB(e,i=>{this._isActive.set(!0,i),this._forceUpdateExplicitlySignal.trigger(i)}),await this._fetchInlineCompletionsPromise.get()}stop(e){PB(e,i=>{this._isActive.set(!1,i),this._source.clear(i)})}_computeAugmentation(e,i){const n=this.textModel,s=this._source.suggestWidgetInlineCompletions.read(i),c=s?s.inlineCompletions:[this.selectedInlineCompletion.read(i)].filter(xL);return Shi(c,f=>{let m=f.toSingleTextEdit(i);return m=w9(m,n,nt.fromPositions(m.range.getStartPosition(),e.range.getEndPosition())),dPt(m,e)?{completion:f,edit:m}:void 0})}async _deltaSelectedInlineCompletionIndex(e){await this.triggerExplicitly();const i=this._filteredInlineCompletionItems.get()||[];if(i.length>0){const n=(this.selectedInlineCompletionIndex.get()+e+i.length)%i.length;this._selectedInlineCompletionId.set(i[n].semanticId,void 0)}else this._selectedInlineCompletionId.set(void 0,void 0)}async next(){await this._deltaSelectedInlineCompletionIndex(1)}async previous(){await this._deltaSelectedInlineCompletionIndex(-1)}async accept(e){var s;if(e.getModel()!==this.textModel)throw new tu;const i=this.state.get();if(!i||i.primaryGhostText.isEmpty()||!i.inlineCompletion)return;const n=i.inlineCompletion.toInlineCompletion(void 0);if(n.command&&n.source.addRef(),e.pushUndoStop(),n.snippetInfo)e.executeEdits("inlineSuggestion.accept",[eh.replace(n.range,""),...n.additionalTextEdits]),e.setPosition(n.snippetInfo.range.getStartPosition(),"inlineCompletionAccept"),(s=kv.get(e))==null||s.insert(n.snippetInfo.snippet,{undoStopBefore:!1});else{const c=i.edits,d=Oxt(c).map(f=>Us.fromPositions(f));e.executeEdits("inlineSuggestion.accept",[...c.map(f=>eh.replace(f.range,f.text)),...n.additionalTextEdits]),e.setSelections(d,"inlineCompletionAccept")}this.stop(),n.command&&(await this._commandService.executeCommand(n.command.id,...n.command.arguments||[]).then(void 0,t_),n.source.removeRef())}async acceptNextWord(e){await this._acceptNext(e,(i,n)=>{const s=this.textModel.getLanguageIdAtPosition(i.lineNumber,i.column),c=this._languageConfigurationService.getLanguageConfiguration(s),d=new RegExp(c.wordDefinition.source,c.wordDefinition.flags.replace("g","")),f=n.match(d);let m=0;f&&f.index!==void 0?f.index===0?m=f[0].length:m=f.index:m=n.length;const x=/\s+/g.exec(n);return x&&x.index!==void 0&&x.index+x[0].length<m&&(m=x.index+x[0].length),m},0)}async acceptNextLine(e){await this._acceptNext(e,(i,n)=>{const s=n.match(/\n/);return s&&s.index!==void 0?s.index+1:n.length},1)}async _acceptNext(e,i,n){if(e.getModel()!==this.textModel)throw new tu;const s=this.state.get();if(!s||s.primaryGhostText.isEmpty()||!s.inlineCompletion)return;const c=s.primaryGhostText,d=s.inlineCompletion.toInlineCompletion(void 0);if(d.snippetInfo||d.filterText!==d.insertText){await this.accept(e);return}const f=c.parts[0],m=new pi(c.lineNumber,f.column),v=f.text,x=i(m,v);if(x===v.length&&c.parts.length===1){this.accept(e);return}const w=v.substring(0,x),I=this._positions.get(),P=I[0];d.source.addRef();try{this._isAcceptingPartially=!0;try{e.pushUndoStop();const O=nt.fromPositions(P,m),z=e.getModel().getValueInRange(O)+w,J=new IL(O,z),Y=[J,...j9e(this.textModel,I,J)],ae=Oxt(Y).map(me=>Us.fromPositions(me));e.executeEdits("inlineSuggestion.accept",Y.map(me=>eh.replace(me.range,me.text))),e.setSelections(ae,"inlineCompletionPartialAccept"),e.revealPositionInCenterIfOutsideViewport(e.getPosition(),1)}finally{this._isAcceptingPartially=!1}if(d.source.provider.handlePartialAccept){const O=nt.fromPositions(d.range.getStartPosition(),ST.ofText(w).addToPosition(m)),z=e.getModel().getValueInRange(O,1);d.source.provider.handlePartialAccept(d.source.inlineCompletions,d.sourceInlineCompletion,z.length,{kind:n})}}finally{d.source.removeRef()}}handleSuggestAccepted(e){var c,d;const i=w9(e.toSingleTextEdit(),this.textModel),n=this._computeAugmentation(i,void 0);if(!n)return;const s=n.completion.inlineCompletion;(d=(c=s.source.provider).handlePartialAccept)==null||d.call(c,s.source.inlineCompletions,s.sourceInlineCompletion,i.text.length,{kind:2})}};Xze=i6i([H9e(9,ho),H9e(10,qd),H9e(11,rh)],Xze);var C4;(function(l){l[l.Undo=0]="Undo",l[l.Redo=1]="Redo",l[l.AcceptWord=2]="AcceptWord",l[l.Other=3]="Other"})(C4||(C4={}));function j9e(l,e,i){if(e.length===1)return[];const n=e[0],s=e.slice(1),c=i.range.getStartPosition(),d=i.range.getEndPosition(),f=l.getValueInRange(nt.fromPositions(n,d)),m=mxt(n,c);if(m.lineNumber<1)return Pa(new tu(`positionWithinTextEdit line number should be bigger than 0.
+ Invalid subtraction between ${n.toString()} and ${c.toString()}`)),[];const v=n6i(i.text,m);return s.map(x=>{const w=E4i(mxt(x,c),d),I=l.getValueInRange(nt.fromPositions(x,w)),P=vR(f,I),O=nt.fromPositions(x,x.delta(0,P));return new IL(O,v)})}function n6i(l,e){let i="";const n=Kli(l);for(let s=e.lineNumber-1;s<n.length;s++)i+=n[s].substring(s===e.lineNumber-1?e.column-1:0);return i}function Oxt(l){const e=z1e.createSortPermutation(l,yv(c=>c.range,nt.compareRangesUsingStarts)),n=new w$e(e.apply(l)).getNewRanges();return e.inverse().apply(n).map(c=>c.getEndPosition())}var r6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Mxt=function(l,e){return function(i,n){e(i,n,l)}},hie;class aKe{constructor(e){this.name=e}select(e,i,n){if(n.length===0)return 0;const s=n[0].score[0];for(let c=0;c<n.length;c++){const{score:d,completion:f}=n[c];if(d[0]!==s)break;if(f.preselect)return c}return 0}}class Rxt extends aKe{constructor(){super("first")}memorize(e,i,n){}toJSON(){}fromJSON(){}}class s6i extends aKe{constructor(){super("recentlyUsed"),this._cache=new VL(300,.66),this._seq=0}memorize(e,i,n){const s=`${e.getLanguageId()}/${n.textLabel}`;this._cache.set(s,{touch:this._seq++,type:n.completion.kind,insertText:n.completion.insertText})}select(e,i,n){if(n.length===0)return 0;const s=e.getLineContent(i.lineNumber).substr(i.column-10,i.column-1);if(/\s$/.test(s))return super.select(e,i,n);const c=n[0].score[0];let d=-1,f=-1,m=-1;for(let v=0;v<n.length&&n[v].score[0]===c;v++){const x=`${e.getLanguageId()}/${n[v].textLabel}`,w=this._cache.peek(x);if(w&&w.touch>m&&w.type===n[v].completion.kind&&w.insertText===n[v].completion.insertText&&(m=w.touch,f=v),n[v].completion.preselect&&d===-1)return d=v}return f!==-1?f:d!==-1?d:0}toJSON(){return this._cache.toJSON()}fromJSON(e){this._cache.clear();const i=0;for(const[n,s]of e)s.touch=i,s.type=typeof s.type=="number"?s.type:Ane.fromString(s.type),this._cache.set(n,s);this._seq=this._cache.size}}class o6i extends aKe{constructor(){super("recentlyUsedByPrefix"),this._trie=Jq.forStrings(),this._seq=0}memorize(e,i,n){const{word:s}=e.getWordUntilPosition(i),c=`${e.getLanguageId()}/${s}`;this._trie.set(c,{type:n.completion.kind,insertText:n.completion.insertText,touch:this._seq++})}select(e,i,n){const{word:s}=e.getWordUntilPosition(i);if(!s)return super.select(e,i,n);const c=`${e.getLanguageId()}/${s}`;let d=this._trie.get(c);if(d||(d=this._trie.findSubstr(c)),d)for(let f=0;f<n.length;f++){const{kind:m,insertText:v}=n[f].completion;if(m===d.type&&v===d.insertText)return f}return super.select(e,i,n)}toJSON(){const e=[];return this._trie.forEach((i,n)=>e.push([n,i])),e.sort((i,n)=>-(i[1].touch-n[1].touch)).forEach((i,n)=>i[1].touch=n),e.slice(0,200)}fromJSON(e){if(this._trie.clear(),e.length>0){this._seq=e[0][1].touch+1;for(const[i,n]of e)n.type=typeof n.type=="number"?n.type:Ane.fromString(n.type),this._trie.set(i,n)}}}var aR;let Qze=(aR=class{constructor(e,i){this._storageService=e,this._configService=i,this._disposables=new wn,this._persistSoon=new qu(()=>this._saveState(),500),this._disposables.add(e.onWillSaveState(n=>{n.reason===cre.SHUTDOWN&&this._saveState()}))}dispose(){this._disposables.dispose(),this._persistSoon.dispose()}memorize(e,i,n){this._withStrategy(e,i).memorize(e,i,n),this._persistSoon.schedule()}select(e,i,n){return this._withStrategy(e,i).select(e,i,n)}_withStrategy(e,i){var s;const n=this._configService.getValue("editor.suggestSelection",{overrideIdentifier:e.getLanguageIdAtPosition(i.lineNumber,i.column),resource:e.uri});if(((s=this._strategy)==null?void 0:s.name)!==n){this._saveState();const c=hie._strategyCtors.get(n)||Rxt;this._strategy=new c;try{const f=this._configService.getValue("editor.suggest.shareSuggestSelections")?0:1,m=this._storageService.get(`${hie._storagePrefix}/${n}`,f);m&&this._strategy.fromJSON(JSON.parse(m))}catch{}}return this._strategy}_saveState(){if(this._strategy){const i=this._configService.getValue("editor.suggest.shareSuggestSelections")?0:1,n=JSON.stringify(this._strategy);this._storageService.store(`${hie._storagePrefix}/${this._strategy.name}`,n,i,1)}}},hie=aR,aR._strategyCtors=new Map([["recentlyUsedByPrefix",o6i],["recentlyUsed",s6i],["first",Rxt]]),aR._storagePrefix="suggest/memories",aR);Qze=hie=r6i([Mxt(0,ET),Mxt(1,Cc)],Qze);const nxe=jc("ISuggestMemories");nl(nxe,Qze,1);var a6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},c6i=function(l,e){return function(i,n){e(i,n,l)}},Zze,Y9;let ybe=(Y9=class{constructor(e,i){this._editor=e,this._enabled=!1,this._ckAtEnd=Zze.AtEnd.bindTo(i),this._configListener=this._editor.onDidChangeConfiguration(n=>n.hasChanged(124)&&this._update()),this._update()}dispose(){var e;this._configListener.dispose(),(e=this._selectionListener)==null||e.dispose(),this._ckAtEnd.reset()}_update(){const e=this._editor.getOption(124)==="on";if(this._enabled!==e)if(this._enabled=e,this._enabled){const i=()=>{if(!this._editor.hasModel()){this._ckAtEnd.set(!1);return}const n=this._editor.getModel(),s=this._editor.getSelection(),c=n.getWordAtPosition(s.getStartPosition());if(!c){this._ckAtEnd.set(!1);return}this._ckAtEnd.set(c.endColumn===s.getStartPosition().column)};this._selectionListener=this._editor.onDidChangeCursorSelection(i),i()}else this._selectionListener&&(this._ckAtEnd.reset(),this._selectionListener.dispose(),this._selectionListener=void 0)}},Zze=Y9,Y9.AtEnd=new rs("atEndOfWord",!1),Y9);ybe=Zze=a6i([c6i(1,Ko)],ybe);var l6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},u6i=function(l,e){return function(i,n){e(i,n,l)}},_ie,eB;let rJ=(eB=class{constructor(e,i){this._editor=e,this._index=0,this._ckOtherSuggestions=_ie.OtherSuggestions.bindTo(i)}dispose(){this.reset()}reset(){var e;this._ckOtherSuggestions.reset(),(e=this._listener)==null||e.dispose(),this._model=void 0,this._acceptNext=void 0,this._ignore=!1}set({model:e,index:i},n){if(e.items.length===0){this.reset();return}if(_ie._moveIndex(!0,e,i)===i){this.reset();return}this._acceptNext=n,this._model=e,this._index=i,this._listener=this._editor.onDidChangeCursorPosition(()=>{this._ignore||this.reset()}),this._ckOtherSuggestions.set(!0)}static _moveIndex(e,i,n){let s=n;for(let c=i.items.length;c>0&&(s=(s+i.items.length+(e?1:-1))%i.items.length,!(s===n||!i.items[s].completion.additionalTextEdits));c--);return s}next(){this._move(!0)}prev(){this._move(!1)}_move(e){if(this._model)try{this._ignore=!0,this._index=_ie._moveIndex(e,this._model,this._index),this._acceptNext({index:this._index,item:this._model.items[this._index],model:this._model})}finally{this._ignore=!1}}},_ie=eB,eB.OtherSuggestions=new rs("hasOtherSuggestions",!1),eB);rJ=_ie=l6i([u6i(1,Ko)],rJ);class d6i{constructor(e,i,n,s){this._disposables=new wn,this._disposables.add(n.onDidSuggest(c=>{c.completionModel.items.length===0&&this.reset()})),this._disposables.add(n.onDidCancel(c=>{this.reset()})),this._disposables.add(i.onDidShow(()=>this._onItem(i.getFocusedItem()))),this._disposables.add(i.onDidFocus(this._onItem,this)),this._disposables.add(i.onDidHide(this.reset,this)),this._disposables.add(e.onWillType(c=>{if(this._active&&!i.isFrozen()&&n.state!==0){const d=c.charCodeAt(c.length-1);this._active.acceptCharacters.has(d)&&e.getOption(0)&&s(this._active.item)}}))}_onItem(e){if(!e||!ky(e.item.completion.commitCharacters)){this.reset();return}if(this._active&&this._active.item.item===e.item)return;const i=new pye;for(const n of e.item.completion.commitCharacters)n.length>0&&i.add(n.charCodeAt(0));this._active={acceptCharacters:i,item:e}}reset(){this._active=void 0}dispose(){this._disposables.dispose()}}const ck=class ck{async provideSelectionRanges(e,i){const n=[];for(const s of i){const c=[];n.push(c);const d=new Map;await new Promise(f=>ck._bracketsRightYield(f,0,e,s,d)),await new Promise(f=>ck._bracketsLeftYield(f,0,e,s,d,c))}return n}static _bracketsRightYield(e,i,n,s,c){const d=new Map,f=Date.now();for(;;){if(i>=ck._maxRounds){e();break}if(!s){e();break}const m=n.bracketPairs.findNextBracket(s);if(!m){e();break}if(Date.now()-f>ck._maxDuration){setTimeout(()=>ck._bracketsRightYield(e,i+1,n,s,c));break}if(m.bracketInfo.isOpeningBracket){const x=m.bracketInfo.bracketText,w=d.has(x)?d.get(x):0;d.set(x,w+1)}else{const x=m.bracketInfo.getOpeningBrackets()[0].bracketText;let w=d.has(x)?d.get(x):0;if(w-=1,d.set(x,Math.max(0,w)),w<0){let I=c.get(x);I||(I=new _v,c.set(x,I)),I.push(m.range)}}s=m.range.getEndPosition()}}static _bracketsLeftYield(e,i,n,s,c,d){const f=new Map,m=Date.now();for(;;){if(i>=ck._maxRounds&&c.size===0){e();break}if(!s){e();break}const v=n.bracketPairs.findPrevBracket(s);if(!v){e();break}if(Date.now()-m>ck._maxDuration){setTimeout(()=>ck._bracketsLeftYield(e,i+1,n,s,c,d));break}if(v.bracketInfo.isOpeningBracket){const w=v.bracketInfo.bracketText;let I=f.has(w)?f.get(w):0;if(I-=1,f.set(w,Math.max(0,I)),I<0){const P=c.get(w);if(P){const O=P.shift();P.size===0&&c.delete(w);const z=nt.fromPositions(v.range.getEndPosition(),O.getStartPosition()),J=nt.fromPositions(v.range.getStartPosition(),O.getEndPosition());d.push({range:z}),d.push({range:J}),ck._addBracketLeading(n,J,d)}}}else{const w=v.bracketInfo.getOpeningBrackets()[0].bracketText,I=f.has(w)?f.get(w):0;f.set(w,I+1)}s=v.range.getStartPosition()}}static _addBracketLeading(e,i,n){if(i.startLineNumber===i.endLineNumber)return;const s=i.startLineNumber,c=e.getLineFirstNonWhitespaceColumn(s);c!==0&&c!==i.startColumn&&(n.push({range:nt.fromPositions(new pi(s,c),i.getEndPosition())}),n.push({range:nt.fromPositions(new pi(s,1),i.getEndPosition())}));const d=s-1;if(d>0){const f=e.getLineFirstNonWhitespaceColumn(d);f===i.startColumn&&f!==e.getLineLastNonWhitespaceColumn(d)&&(n.push({range:nt.fromPositions(new pi(d,f),i.getEndPosition())}),n.push({range:nt.fromPositions(new pi(d,1),i.getEndPosition())}))}}};ck._maxDuration=30,ck._maxRounds=2;let vbe=ck;const lL=class lL{static async create(e,i){if(!i.getOption(119).localityBonus||!i.hasModel())return lL.None;const n=i.getModel(),s=i.getPosition();if(!e.canComputeWordRanges(n.uri))return lL.None;const[c]=await new vbe().provideSelectionRanges(n,[s]);if(c.length===0)return lL.None;const d=await e.computeWordRanges(n.uri,c[0].range);if(!d)return lL.None;const f=n.getWordUntilPosition(s);return delete d[f.word],new class extends lL{distance(m,v){if(!s.equals(i.getPosition()))return 0;if(v.kind===17)return 2<<20;const x=typeof v.label=="string"?v.label:v.label.label,w=d[x];if(ykt(w))return 2<<20;const I=Dne(w,nt.fromPositions(m),nt.compareRangesUsingStarts),P=I>=0?w[I]:w[Math.max(0,~I-1)];let O=c.length;for(const z of c){if(!nt.containsRange(z.range,P))break;O-=1}return O}}}};lL.None=new class extends lL{distance(){return 0}};let bbe=lL,Fxt=class{constructor(e,i){this.leadingLineContent=e,this.characterCountDelta=i}};class EM{constructor(e,i,n,s,c,d,f=tre.default,m=void 0){this.clipboardText=m,this._snippetCompareFn=EM._compareCompletionItems,this._items=e,this._column=i,this._wordDistance=s,this._options=c,this._refilterKind=1,this._lineContext=n,this._fuzzyScoreOptions=f,d==="top"?this._snippetCompareFn=EM._compareCompletionItemsSnippetsUp:d==="bottom"&&(this._snippetCompareFn=EM._compareCompletionItemsSnippetsDown)}get lineContext(){return this._lineContext}set lineContext(e){(this._lineContext.leadingLineContent!==e.leadingLineContent||this._lineContext.characterCountDelta!==e.characterCountDelta)&&(this._refilterKind=this._lineContext.characterCountDelta<e.characterCountDelta&&this._filteredItems?2:1,this._lineContext=e)}get items(){return this._ensureCachedState(),this._filteredItems}getItemsByProvider(){return this._ensureCachedState(),this._itemsByProvider}getIncompleteProvider(){this._ensureCachedState();const e=new Set;for(const[i,n]of this.getItemsByProvider())n.length>0&&n[0].container.incomplete&&e.add(i);return e}get stats(){return this._ensureCachedState(),this._stats}_ensureCachedState(){this._refilterKind!==0&&this._createCachedState()}_createCachedState(){this._itemsByProvider=new Map;const e=[],{leadingLineContent:i,characterCountDelta:n}=this._lineContext;let s="",c="";const d=this._refilterKind===1?this._items:this._filteredItems,f=[],m=!this._options.filterGraceful||d.length>2e3?NB:hgi;for(let v=0;v<d.length;v++){const x=d[v];if(x.isInvalid)continue;const w=this._itemsByProvider.get(x.provider);w?w.push(x):this._itemsByProvider.set(x.provider,[x]);const I=x.position.column-x.editStart.column,P=I+n-(x.position.column-this._column);if(s.length!==P&&(s=P===0?"":i.slice(-P),c=s.toLowerCase()),x.word=s,P===0)x.score=BE.Default;else{let O=0;for(;O<I;){const z=s.charCodeAt(O);if(z===32||z===9)O+=1;else break}if(O>=P)x.score=BE.Default;else if(typeof x.completion.filterText=="string"){const z=m(s,c,O,x.completion.filterText,x.filterTextLow,0,this._fuzzyScoreOptions);if(!z)continue;pWe(x.completion.filterText,x.textLabel)===0?x.score=z:(x.score=lgi(s,c,O,x.textLabel,x.labelLow,0),x.score[0]=z[0])}else{const z=m(s,c,O,x.textLabel,x.labelLow,0,this._fuzzyScoreOptions);if(!z)continue;x.score=z}}x.idx=v,x.distance=this._wordDistance.distance(x.position,x.completion),f.push(x),e.push(x.textLabel.length)}this._filteredItems=f.sort(this._snippetCompareFn),this._refilterKind=0,this._stats={pLabelLen:e.length?oBe(e.length-.85,e,(v,x)=>v-x):0}}static _compareCompletionItems(e,i){return e.score[0]>i.score[0]?-1:e.score[0]<i.score[0]?1:e.distance<i.distance?-1:e.distance>i.distance?1:e.idx<i.idx?-1:e.idx>i.idx?1:0}static _compareCompletionItemsSnippetsDown(e,i){if(e.completion.kind!==i.completion.kind){if(e.completion.kind===27)return 1;if(i.completion.kind===27)return-1}return EM._compareCompletionItems(e,i)}static _compareCompletionItemsSnippetsUp(e,i){if(e.completion.kind!==i.completion.kind){if(e.completion.kind===27)return-1;if(i.completion.kind===27)return 1}return EM._compareCompletionItems(e,i)}}var f6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},oM=function(l,e){return function(i,n){e(i,n,l)}},Yze;class wF{static shouldAutoTrigger(e){if(!e.hasModel())return!1;const i=e.getModel(),n=e.getPosition();i.tokenization.tokenizeIfCheap(n.lineNumber);const s=i.getWordAtPosition(n);return!(!s||s.endColumn!==n.column&&s.startColumn+1!==n.column||!isNaN(Number(s.word)))}constructor(e,i,n){this.leadingLineContent=e.getLineContent(i.lineNumber).substr(0,i.column-1),this.leadingWord=e.getWordUntilPosition(i),this.lineNumber=i.lineNumber,this.column=i.column,this.triggerOptions=n}}function h6i(l,e,i){if(!e.getContextKeyValue(XC.inlineSuggestionVisible.key))return!0;const n=e.getContextKeyValue(XC.suppressSuggestions.key);return n!==void 0?!n:!l.getOption(62).suppressSuggestions}function _6i(l,e,i){if(!e.getContextKeyValue("inlineSuggestionVisible"))return!0;const n=e.getContextKeyValue(XC.suppressSuggestions.key);return n!==void 0?!n:!l.getOption(62).suppressSuggestions}let Cbe=Yze=class{constructor(e,i,n,s,c,d,f,m,v){this._editor=e,this._editorWorkerService=i,this._clipboardService=n,this._telemetryService=s,this._logService=c,this._contextKeyService=d,this._configurationService=f,this._languageFeaturesService=m,this._envService=v,this._toDispose=new wn,this._triggerCharacterListener=new wn,this._triggerQuickSuggest=new TT,this._triggerState=void 0,this._completionDisposables=new wn,this._onDidCancel=new gi,this._onDidTrigger=new gi,this._onDidSuggest=new gi,this.onDidCancel=this._onDidCancel.event,this.onDidTrigger=this._onDidTrigger.event,this.onDidSuggest=this._onDidSuggest.event,this._telemetryGate=0,this._currentSelection=this._editor.getSelection()||new Us(1,1,1,1),this._toDispose.add(this._editor.onDidChangeModel(()=>{this._updateTriggerCharacters(),this.cancel()})),this._toDispose.add(this._editor.onDidChangeModelLanguage(()=>{this._updateTriggerCharacters(),this.cancel()})),this._toDispose.add(this._editor.onDidChangeConfiguration(()=>{this._updateTriggerCharacters()})),this._toDispose.add(this._languageFeaturesService.completionProvider.onDidChange(()=>{this._updateTriggerCharacters(),this._updateActiveSuggestSession()}));let x=!1;this._toDispose.add(this._editor.onDidCompositionStart(()=>{x=!0})),this._toDispose.add(this._editor.onDidCompositionEnd(()=>{x=!1,this._onCompositionEnd()})),this._toDispose.add(this._editor.onDidChangeCursorSelection(w=>{x||this._onCursorChange(w)})),this._toDispose.add(this._editor.onDidChangeModelContent(()=>{!x&&this._triggerState!==void 0&&this._refilterCompletionItems()})),this._updateTriggerCharacters()}dispose(){vd(this._triggerCharacterListener),vd([this._onDidCancel,this._onDidSuggest,this._onDidTrigger,this._triggerQuickSuggest]),this._toDispose.dispose(),this._completionDisposables.dispose(),this.cancel()}_updateTriggerCharacters(){if(this._triggerCharacterListener.clear(),this._editor.getOption(92)||!this._editor.hasModel()||!this._editor.getOption(122))return;const e=new Map;for(const n of this._languageFeaturesService.completionProvider.all(this._editor.getModel()))for(const s of n.triggerCharacters||[]){let c=e.get(s);c||(c=new Set,e.set(s,c)),c.add(n)}const i=n=>{var d;if(!_6i(this._editor,this._contextKeyService,this._configurationService)||wF.shouldAutoTrigger(this._editor))return;if(!n){const f=this._editor.getPosition();n=this._editor.getModel().getLineContent(f.lineNumber).substr(0,f.column-1)}let s="";wB(n.charCodeAt(n.length-1))?Pm(n.charCodeAt(n.length-2))&&(s=n.substr(n.length-2)):s=n.charAt(n.length-1);const c=e.get(s);if(c){const f=new Map;if(this._completionModel)for(const[m,v]of this._completionModel.getItemsByProvider())c.has(m)||f.set(m,v);this.trigger({auto:!0,triggerKind:1,triggerCharacter:s,retrigger:!!this._completionModel,clipboardText:(d=this._completionModel)==null?void 0:d.clipboardText,completionOptions:{providerFilter:c,providerItemsToReuse:f}})}};this._triggerCharacterListener.add(this._editor.onDidType(i)),this._triggerCharacterListener.add(this._editor.onDidCompositionEnd(()=>i()))}get state(){return this._triggerState?this._triggerState.auto?2:1:0}cancel(e=!1){var i;this._triggerState!==void 0&&(this._triggerQuickSuggest.cancel(),(i=this._requestToken)==null||i.cancel(),this._requestToken=void 0,this._triggerState=void 0,this._completionModel=void 0,this._context=void 0,this._onDidCancel.fire({retrigger:e}))}clear(){this._completionDisposables.clear()}_updateActiveSuggestSession(){this._triggerState!==void 0&&(!this._editor.hasModel()||!this._languageFeaturesService.completionProvider.has(this._editor.getModel())?this.cancel():this.trigger({auto:this._triggerState.auto,retrigger:!0}))}_onCursorChange(e){if(!this._editor.hasModel())return;const i=this._currentSelection;if(this._currentSelection=this._editor.getSelection(),!e.selection.isEmpty()||e.reason!==0&&e.reason!==3||e.source!=="keyboard"&&e.source!=="deleteLeft"){this.cancel();return}this._triggerState===void 0&&e.reason===0?(i.containsRange(this._currentSelection)||i.getEndPosition().isBeforeOrEqual(this._currentSelection.getPosition()))&&this._doTriggerQuickSuggest():this._triggerState!==void 0&&e.reason===3&&this._refilterCompletionItems()}_onCompositionEnd(){this._triggerState===void 0?this._doTriggerQuickSuggest():this._refilterCompletionItems()}_doTriggerQuickSuggest(){var e;bq.isAllOff(this._editor.getOption(90))||this._editor.getOption(119).snippetsPreventQuickSuggestions&&((e=kv.get(this._editor))!=null&&e.isInSnippet())||(this.cancel(),this._triggerQuickSuggest.cancelAndSet(()=>{if(this._triggerState!==void 0||!wF.shouldAutoTrigger(this._editor)||!this._editor.hasModel()||!this._editor.hasWidgetFocus())return;const i=this._editor.getModel(),n=this._editor.getPosition(),s=this._editor.getOption(90);if(!bq.isAllOff(s)){if(!bq.isAllOn(s)){i.tokenization.tokenizeIfCheap(n.lineNumber);const c=i.tokenization.getLineTokens(n.lineNumber),d=c.getStandardTokenType(c.findTokenIndexAtOffset(Math.max(n.column-1-1,0)));if(bq.valueFor(s,d)!=="on")return}h6i(this._editor,this._contextKeyService,this._configurationService)&&this._languageFeaturesService.completionProvider.has(i)&&this.trigger({auto:!0})}},this._editor.getOption(91)))}_refilterCompletionItems(){Fl(this._editor.hasModel()),Fl(this._triggerState!==void 0);const e=this._editor.getModel(),i=this._editor.getPosition(),n=new wF(e,i,{...this._triggerState,refilter:!0});this._onNewContext(n)}trigger(e){var I,P,O;if(!this._editor.hasModel())return;const i=this._editor.getModel(),n=new wF(i,this._editor.getPosition(),e);this.cancel(e.retrigger),this._triggerState=e,this._onDidTrigger.fire({auto:e.auto,shy:e.shy??!1,position:this._editor.getPosition()}),this._context=n;let s={triggerKind:e.triggerKind??0};e.triggerCharacter&&(s={triggerKind:1,triggerCharacter:e.triggerCharacter}),this._requestToken=new ih;const c=this._editor.getOption(113);let d=1;switch(c){case"top":d=0;break;case"bottom":d=2;break}const{itemKind:f,showDeprecated:m}=Yze.createSuggestFilter(this._editor),v=new $re(d,((I=e.completionOptions)==null?void 0:I.kindFilter)??f,(P=e.completionOptions)==null?void 0:P.providerFilter,(O=e.completionOptions)==null?void 0:O.providerItemsToReuse,m),x=bbe.create(this._editorWorkerService,this._editor),w=sKe(this._languageFeaturesService.completionProvider,i,this._editor.getPosition(),v,s,this._requestToken.token);Promise.all([w,x]).then(async([z,J])=>{var Ce;if((Ce=this._requestToken)==null||Ce.dispose(),!this._editor.hasModel())return;let Y=e==null?void 0:e.clipboardText;if(!Y&&z.needsClipboard&&(Y=await this._clipboardService.readText()),this._triggerState===void 0)return;const ae=this._editor.getModel(),me=new wF(ae,this._editor.getPosition(),e),ye={...tre.default,firstMatchCanBeWeak:!this._editor.getOption(119).matchOnWordStartOnly};if(this._completionModel=new EM(z.items,this._context.column,{leadingLineContent:me.leadingLineContent,characterCountDelta:me.column-this._context.column},J,this._editor.getOption(119),this._editor.getOption(113),ye,Y),this._completionDisposables.add(z.disposable),this._onNewContext(me),this._reportDurationsTelemetry(z.durations),!this._envService.isBuilt||this._envService.isExtensionDevelopment)for(const Fe of z.items)Fe.isInvalid&&this._logService.warn(`[suggest] did IGNORE invalid completion item from ${Fe.provider._debugDisplayName}`,Fe.completion)}).catch(Pa)}_reportDurationsTelemetry(e){this._telemetryGate++%230===0&&setTimeout(()=>{this._telemetryService.publicLog2("suggest.durations.json",{data:JSON.stringify(e)}),this._logService.debug("suggest.durations.json",e)})}static createSuggestFilter(e){const i=new Set;e.getOption(113)==="none"&&i.add(27);const s=e.getOption(119);return s.showMethods||i.add(0),s.showFunctions||i.add(1),s.showConstructors||i.add(2),s.showFields||i.add(3),s.showVariables||i.add(4),s.showClasses||i.add(5),s.showStructs||i.add(6),s.showInterfaces||i.add(7),s.showModules||i.add(8),s.showProperties||i.add(9),s.showEvents||i.add(10),s.showOperators||i.add(11),s.showUnits||i.add(12),s.showValues||i.add(13),s.showConstants||i.add(14),s.showEnums||i.add(15),s.showEnumMembers||i.add(16),s.showKeywords||i.add(17),s.showWords||i.add(18),s.showColors||i.add(19),s.showFiles||i.add(20),s.showReferences||i.add(21),s.showColors||i.add(22),s.showFolders||i.add(23),s.showTypeParameters||i.add(24),s.showSnippets||i.add(27),s.showUsers||i.add(25),s.showIssues||i.add(26),{itemKind:i,showDeprecated:s.showDeprecated}}_onNewContext(e){if(this._context){if(e.lineNumber!==this._context.lineNumber){this.cancel();return}if(yd(e.leadingLineContent)!==yd(this._context.leadingLineContent)){this.cancel();return}if(e.column<this._context.column){e.leadingWord.word?this.trigger({auto:this._context.triggerOptions.auto,retrigger:!0}):this.cancel();return}if(this._completionModel){if(e.leadingWord.word.length!==0&&e.leadingWord.startColumn>this._context.leadingWord.startColumn){if(wF.shouldAutoTrigger(this._editor)&&this._context){const n=this._completionModel.getItemsByProvider();this.trigger({auto:this._context.triggerOptions.auto,retrigger:!0,clipboardText:this._completionModel.clipboardText,completionOptions:{providerItemsToReuse:n}})}return}if(e.column>this._context.column&&this._completionModel.getIncompleteProvider().size>0&&e.leadingWord.word.length!==0){const i=new Map,n=new Set;for(const[s,c]of this._completionModel.getItemsByProvider())c.length>0&&c[0].container.incomplete?n.add(s):i.set(s,c);this.trigger({auto:this._context.triggerOptions.auto,triggerKind:2,retrigger:!0,clipboardText:this._completionModel.clipboardText,completionOptions:{providerFilter:n,providerItemsToReuse:i}})}else{const i=this._completionModel.lineContext;let n=!1;if(this._completionModel.lineContext={leadingLineContent:e.leadingLineContent,characterCountDelta:e.column-this._context.column},this._completionModel.items.length===0){const s=wF.shouldAutoTrigger(this._editor);if(!this._context){this.cancel();return}if(s&&this._context.leadingWord.endColumn<e.leadingWord.startColumn){this.trigger({auto:this._context.triggerOptions.auto,retrigger:!0});return}if(this._context.triggerOptions.auto){this.cancel();return}else if(this._completionModel.lineContext=i,n=this._completionModel.items.length>0,n&&e.leadingWord.word.length===0){this.cancel();return}}this._onDidSuggest.fire({completionModel:this._completionModel,triggerOptions:e.triggerOptions,isFrozen:n})}}}}};Cbe=Yze=f6i([oM(1,Fb),oM(2,w6),oM(3,b1),oM(4,N0),oM(5,Ko),oM(6,Cc),oM(7,Ts),oM(8,D$e)],Cbe);const GCe=class GCe{constructor(e,i){this._disposables=new wn,this._lastOvertyped=[],this._locked=!1,this._disposables.add(e.onWillType(()=>{if(this._locked||!e.hasModel())return;const n=e.getSelections(),s=n.length;let c=!1;for(let f=0;f<s;f++)if(!n[f].isEmpty()){c=!0;break}if(!c){this._lastOvertyped.length!==0&&(this._lastOvertyped.length=0);return}this._lastOvertyped=[];const d=e.getModel();for(let f=0;f<s;f++){const m=n[f];if(d.getValueLengthInRange(m)>GCe._maxSelectionLength)return;this._lastOvertyped[f]={value:d.getValueInRange(m),multiline:m.startLineNumber!==m.endLineNumber}}})),this._disposables.add(i.onDidTrigger(n=>{this._locked=!0})),this._disposables.add(i.onDidCancel(n=>{this._locked=!1}))}getLastOvertypedInfo(e){if(e>=0&&e<this._lastOvertyped.length)return this._lastOvertyped[e]}dispose(){this._disposables.dispose()}};GCe._maxSelectionLength=51200;let eUe=GCe;var p6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},z9e=function(l,e){return function(i,n){e(i,n,l)}};let tUe=class{constructor(e,i,n,s,c){this._menuId=i,this._menuService=s,this._contextKeyService=c,this._menuDisposables=new wn,this.element=jn(e,Cr(".suggest-status-bar"));const d=f=>f instanceof JC?n.createInstance(sJe,f,{useComma:!0}):void 0;this._leftActions=new kw(this.element,{actionViewItemProvider:d}),this._rightActions=new kw(this.element,{actionViewItemProvider:d}),this._leftActions.domNode.classList.add("left"),this._rightActions.domNode.classList.add("right")}dispose(){this._menuDisposables.dispose(),this._leftActions.dispose(),this._rightActions.dispose(),this.element.remove()}show(){const e=this._menuService.createMenu(this._menuId,this._contextKeyService),i=()=>{const n=[],s=[];for(const[c,d]of e.getActions())c==="left"?n.push(...d):s.push(...d);this._leftActions.clear(),this._leftActions.push(n),this._rightActions.clear(),this._rightActions.push(s)};this._menuDisposables.add(e.onDidChange(()=>i())),this._menuDisposables.add(e)}hide(){this._menuDisposables.clear()}};tUe=p6i([z9e(2,ho),z9e(3,Lw),z9e(4,Ko)],tUe);var m6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},g6i=function(l,e){return function(i,n){e(i,n,l)}};function cKe(l){return!!l&&!!(l.completion.documentation||l.completion.detail&&l.completion.detail!==l.completion.label)}let iUe=class{constructor(e,i){this._editor=e,this._onDidClose=new gi,this.onDidClose=this._onDidClose.event,this._onDidChangeContents=new gi,this.onDidChangeContents=this._onDidChangeContents.event,this._disposables=new wn,this._renderDisposeable=new wn,this._borderWidth=1,this._size=new Du(330,0),this.domNode=Cr(".suggest-details"),this.domNode.classList.add("no-docs"),this._markdownRenderer=i.createInstance(d6,{editor:e}),this._body=Cr(".body"),this._scrollbar=new vse(this._body,{alwaysConsumeMouseWheel:!0}),jn(this.domNode,this._scrollbar.getDomNode()),this._disposables.add(this._scrollbar),this._header=jn(this._body,Cr(".header")),this._close=jn(this._header,Cr("span"+zo.asCSSSelector(pr.close))),this._close.title=W("details.close","Close"),this._type=jn(this._header,Cr("p.type")),this._docs=jn(this._body,Cr("p.docs")),this._configureFont(),this._disposables.add(this._editor.onDidChangeConfiguration(n=>{n.hasChanged(50)&&this._configureFont()}))}dispose(){this._disposables.dispose(),this._renderDisposeable.dispose()}_configureFont(){const e=this._editor.getOptions(),i=e.get(50),n=i.getMassagedFontFamily(),s=e.get(120)||i.fontSize,c=e.get(121)||i.lineHeight,d=i.fontWeight,f=`${s}px`,m=`${c}px`;this.domNode.style.fontSize=f,this.domNode.style.lineHeight=`${c/s}`,this.domNode.style.fontWeight=d,this.domNode.style.fontFeatureSettings=i.fontFeatureSettings,this._type.style.fontFamily=n,this._close.style.height=m,this._close.style.width=m}getLayoutInfo(){const e=this._editor.getOption(121)||this._editor.getOption(50).lineHeight,i=this._borderWidth,n=i*2;return{lineHeight:e,borderWidth:i,borderHeight:n,verticalPadding:22,horizontalPadding:14}}renderLoading(){this._type.textContent=W("loading","Loading..."),this._docs.textContent="",this.domNode.classList.remove("no-docs","no-type"),this.layout(this.size.width,this.getLayoutInfo().lineHeight*2),this._onDidChangeContents.fire(this)}renderItem(e,i){var c;this._renderDisposeable.clear();let{detail:n,documentation:s}=e.completion;if(i){let d="";d+=`score: ${e.score[0]}
+`,d+=`prefix: ${e.word??"(no prefix)"}
+`,d+=`word: ${e.completion.filterText?e.completion.filterText+" (filterText)":e.textLabel}
+`,d+=`distance: ${e.distance} (localityBonus-setting)
+`,d+=`index: ${e.idx}, based on ${e.completion.sortText&&`sortText: "${e.completion.sortText}"`||"label"}
+`,d+=`commit_chars: ${(c=e.completion.commitCharacters)==null?void 0:c.join("")}
+`,s=new m1().appendCodeblock("empty",d),n=`Provider: ${e.provider._debugDisplayName}`}if(!i&&!cKe(e)){this.clearContents();return}if(this.domNode.classList.remove("no-docs","no-type"),n){const d=n.length>1e5?`${n.substr(0,1e5)}…`:n;this._type.textContent=d,this._type.title=d,HC(this._type),this._type.classList.toggle("auto-wrap",!/\r?\n^\s+/gmi.test(d))}else s0(this._type),this._type.title="",dv(this._type),this.domNode.classList.add("no-type");if(s0(this._docs),typeof s=="string")this._docs.classList.remove("markdown-docs"),this._docs.textContent=s;else if(s){this._docs.classList.add("markdown-docs"),s0(this._docs);const d=this._markdownRenderer.render(s);this._docs.appendChild(d.element),this._renderDisposeable.add(d),this._renderDisposeable.add(this._markdownRenderer.onDidRenderAsync(()=>{this.layout(this._size.width,this._type.clientHeight+this._docs.clientHeight),this._onDidChangeContents.fire(this)}))}this.domNode.style.userSelect="text",this.domNode.tabIndex=-1,this._close.onmousedown=d=>{d.preventDefault(),d.stopPropagation()},this._close.onclick=d=>{d.preventDefault(),d.stopPropagation(),this._onDidClose.fire()},this._body.scrollTop=0,this.layout(this._size.width,this._type.clientHeight+this._docs.clientHeight),this._onDidChangeContents.fire(this)}clearContents(){this.domNode.classList.add("no-docs"),this._type.textContent="",this._docs.textContent=""}get isEmpty(){return this.domNode.classList.contains("no-docs")}get size(){return this._size}layout(e,i){const n=new Du(e,i);Du.equals(n,this._size)||(this._size=n,ndi(this.domNode,e,i)),this._scrollbar.scanDomNode()}scrollDown(e=8){this._body.scrollTop+=e}scrollUp(e=8){this._body.scrollTop-=e}scrollTop(){this._body.scrollTop=0}scrollBottom(){this._body.scrollTop=this._body.scrollHeight}pageDown(){this.scrollDown(80)}pageUp(){this.scrollUp(80)}set borderWidth(e){this._borderWidth=e}get borderWidth(){return this._borderWidth}};iUe=m6i([g6i(1,ho)],iUe);class y6i{constructor(e,i){this.widget=e,this._editor=i,this.allowEditorOverflow=!0,this._disposables=new wn,this._added=!1,this._preferAlignAtTop=!0,this._resizable=new HGe,this._resizable.domNode.classList.add("suggest-details-container"),this._resizable.domNode.appendChild(e.domNode),this._resizable.enableSashes(!1,!0,!0,!1);let n,s,c=0,d=0;this._disposables.add(this._resizable.onDidWillResize(()=>{n=this._topLeft,s=this._resizable.size})),this._disposables.add(this._resizable.onDidResize(f=>{if(n&&s){this.widget.layout(f.dimension.width,f.dimension.height);let m=!1;f.west&&(d=s.width-f.dimension.width,m=!0),f.north&&(c=s.height-f.dimension.height,m=!0),m&&this._applyTopLeft({top:n.top+c,left:n.left+d})}f.done&&(n=void 0,s=void 0,c=0,d=0,this._userSize=f.dimension)})),this._disposables.add(this.widget.onDidChangeContents(()=>{this._anchorBox&&this._placeAtAnchor(this._anchorBox,this._userSize??this.widget.size,this._preferAlignAtTop)}))}dispose(){this._resizable.dispose(),this._disposables.dispose(),this.hide()}getId(){return"suggest.details"}getDomNode(){return this._resizable.domNode}getPosition(){return this._topLeft?{preference:this._topLeft}:null}show(){this._added||(this._editor.addOverlayWidget(this),this._added=!0)}hide(e=!1){this._resizable.clearSashHoverState(),this._added&&(this._editor.removeOverlayWidget(this),this._added=!1,this._anchorBox=void 0,this._topLeft=void 0),e&&(this._userSize=void 0,this.widget.clearContents())}placeAtAnchor(e,i){const n=e.getBoundingClientRect();this._anchorBox=n,this._preferAlignAtTop=i,this._placeAtAnchor(this._anchorBox,this._userSize??this.widget.size,i)}_placeAtAnchor(e,i,n){const s=SR(this.getDomNode().ownerDocument.body),c=this.widget.getLayoutInfo(),d=new Du(220,2*c.lineHeight),f=e.top,m=function(){const Ce=s.width-(e.left+e.width+c.borderWidth+c.horizontalPadding),Fe=-c.borderWidth+e.left+e.width,rt=new Du(Ce,s.height-e.top-c.borderHeight-c.verticalPadding),ct=rt.with(void 0,e.top+e.height-c.borderHeight-c.verticalPadding);return{top:f,left:Fe,fit:Ce-i.width,maxSizeTop:rt,maxSizeBottom:ct,minSize:d.with(Math.min(Ce,d.width))}}(),v=function(){const Ce=e.left-c.borderWidth-c.horizontalPadding,Fe=Math.max(c.horizontalPadding,e.left-i.width-c.borderWidth),rt=new Du(Ce,s.height-e.top-c.borderHeight-c.verticalPadding),ct=rt.with(void 0,e.top+e.height-c.borderHeight-c.verticalPadding);return{top:f,left:Fe,fit:Ce-i.width,maxSizeTop:rt,maxSizeBottom:ct,minSize:d.with(Math.min(Ce,d.width))}}(),x=function(){const Ce=e.left,Fe=-c.borderWidth+e.top+e.height,rt=new Du(e.width-c.borderHeight,s.height-e.top-e.height-c.verticalPadding);return{top:Fe,left:Ce,fit:rt.height-i.height,maxSizeBottom:rt,maxSizeTop:rt,minSize:d.with(rt.width)}}(),w=[m,v,x],I=w.find(Ce=>Ce.fit>=0)??w.sort((Ce,Fe)=>Fe.fit-Ce.fit)[0],P=e.top+e.height-c.borderHeight;let O,z=i.height;const J=Math.max(I.maxSizeTop.height,I.maxSizeBottom.height);z>J&&(z=J);let Y;n?z<=I.maxSizeTop.height?(O=!0,Y=I.maxSizeTop):(O=!1,Y=I.maxSizeBottom):z<=I.maxSizeBottom.height?(O=!1,Y=I.maxSizeBottom):(O=!0,Y=I.maxSizeTop);let{top:ae,left:me}=I;!O&&z>e.height&&(ae=P-z);const ye=this._editor.getDomNode();if(ye){const Ce=ye.getBoundingClientRect();ae-=Ce.top,me-=Ce.left}this._applyTopLeft({left:me,top:ae}),this._resizable.enableSashes(!O,I===m,O,I!==m),this._resizable.minSize=I.minSize,this._resizable.maxSize=Y,this._resizable.layout(z,Math.min(Y.width,i.width)),this.widget.layout(this._resizable.size.width,this._resizable.size.height)}_applyTopLeft(e){this._topLeft=e,this._editor.layoutOverlayWidget(this)}}var wL;(function(l){l[l.FILE=0]="FILE",l[l.FOLDER=1]="FOLDER",l[l.ROOT_FOLDER=2]="ROOT_FOLDER"})(wL||(wL={}));const v6i=/(?:\/|^)(?:([^\/]+)\/)?([^\/]+)$/;function U0e(l,e,i,n,s){if(zo.isThemeIcon(s))return[`codicon-${s.id}`,"predefined-file-icon"];if(yo.isUri(s))return[];const c=n===wL.ROOT_FOLDER?["rootfolder-icon"]:n===wL.FOLDER?["folder-icon"]:["file-icon"];if(i){let d;if(i.scheme===Ma.data)d=kR.parseMetaData(i).get(kR.META_DATA_LABEL);else{const f=i.path.match(v6i);f?(d=q0e(f[2].toLowerCase()),f[1]&&c.push(`${q0e(f[1].toLowerCase())}-name-dir-icon`)):d=q0e(i.authority.toLowerCase())}if(n===wL.ROOT_FOLDER)c.push(`${d}-root-name-folder-icon`);else if(n===wL.FOLDER)c.push(`${d}-name-folder-icon`);else{if(d){if(c.push(`${d}-name-file-icon`),c.push("name-file-icon"),d.length<=255){const m=d.split(".");for(let v=1;v<m.length;v++)c.push(`${m.slice(v).join(".")}-ext-file-icon`)}c.push("ext-file-icon")}const f=b6i(l,e,i);f&&c.push(`${q0e(f)}-lang-file-icon`)}}return c}function b6i(l,e,i){if(!i)return null;let n=null;if(i.scheme===Ma.data){const c=kR.parseMetaData(i).get(kR.META_DATA_MIME);c&&(n=e.getLanguageIdByMimeType(c))}else{const s=l.getModel(i);s&&(n=s.getLanguageId())}return n&&n!==bv?n:e.guessLanguageIdByFilepathOrFirstLine(i)}function q0e(l){return l.replace(/[\s]/g,"/")}var C6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},U9e=function(l,e){return function(i,n){e(i,n,l)}};function fPt(l){return`suggest-aria-id:${l}`}const S6i=sf("suggest-more-info",pr.chevronRight,W("suggestMoreInfoIcon","Icon for more information in the suggest widget."));var TE;const w6i=new(TE=class{extract(e,i){if(e.textLabel.match(TE._regexStrict))return i[0]=e.textLabel,!0;if(e.completion.detail&&e.completion.detail.match(TE._regexStrict))return i[0]=e.completion.detail,!0;if(e.completion.documentation){const n=typeof e.completion.documentation=="string"?e.completion.documentation:e.completion.documentation.value,s=TE._regexRelaxed.exec(n);if(s&&(s.index===0||s.index+s[0].length===n.length))return i[0]=s[0],!0}return!1}},TE._regexRelaxed=/(#([\da-fA-F]{3}){1,2}|(rgb|hsl)a\(\s*(\d{1,3}%?\s*,\s*){3}(1|0?\.\d+)\)|(rgb|hsl)\(\s*\d{1,3}%?(\s*,\s*\d{1,3}%?){2}\s*\))/,TE._regexStrict=new RegExp(`^${TE._regexRelaxed.source}$`,"i"),TE);let nUe=class{constructor(e,i,n,s){this._editor=e,this._modelService=i,this._languageService=n,this._themeService=s,this._onDidToggleDetails=new gi,this.onDidToggleDetails=this._onDidToggleDetails.event,this.templateId="suggestion"}dispose(){this._onDidToggleDetails.dispose()}renderTemplate(e){const i=new wn,n=e;n.classList.add("show-file-icons");const s=jn(e,Cr(".icon")),c=jn(s,Cr("span.colorspan")),d=jn(e,Cr(".contents")),f=jn(d,Cr(".main")),m=jn(f,Cr(".icon-label.codicon")),v=jn(f,Cr("span.left")),x=jn(f,Cr("span.right")),w=new uve(v,{supportHighlights:!0,supportIcons:!0});i.add(w);const I=jn(v,Cr("span.signature-label")),P=jn(v,Cr("span.qualifier-label")),O=jn(x,Cr("span.details-label")),z=jn(x,Cr("span.readMore"+zo.asCSSSelector(S6i)));return z.title=W("readMore","Read More"),{root:n,left:v,right:x,icon:s,colorspan:c,iconLabel:w,iconContainer:m,parametersLabel:I,qualifierLabel:P,detailsLabel:O,readMore:z,disposables:i,configureFont:()=>{const Y=this._editor.getOptions(),ae=Y.get(50),me=ae.getMassagedFontFamily(),ye=ae.fontFeatureSettings,Ce=Y.get(120)||ae.fontSize,Fe=Y.get(121)||ae.lineHeight,rt=ae.fontWeight,ct=ae.letterSpacing,Mt=`${Ce}px`,Yt=`${Fe}px`,Bi=`${ct}px`;n.style.fontSize=Mt,n.style.fontWeight=rt,n.style.letterSpacing=Bi,f.style.fontFamily=me,f.style.fontFeatureSettings=ye,f.style.lineHeight=Yt,s.style.height=Yt,s.style.width=Yt,z.style.height=Yt,z.style.width=Yt}}}renderElement(e,i,n){n.configureFont();const{completion:s}=e;n.root.id=fPt(i),n.colorspan.style.backgroundColor="";const c={labelEscapeNewLines:!0,matches:bse(e.score)},d=[];if(s.kind===19&&w6i.extract(e,d))n.icon.className="icon customcolor",n.iconContainer.className="icon hide",n.colorspan.style.backgroundColor=d[0];else if(s.kind===20&&this._themeService.getFileIconTheme().hasFileIcons){n.icon.className="icon hide",n.iconContainer.className="icon hide";const f=U0e(this._modelService,this._languageService,yo.from({scheme:"fake",path:e.textLabel}),wL.FILE),m=U0e(this._modelService,this._languageService,yo.from({scheme:"fake",path:s.detail}),wL.FILE);c.extraClasses=f.length>m.length?f:m}else s.kind===23&&this._themeService.getFileIconTheme().hasFolderIcons?(n.icon.className="icon hide",n.iconContainer.className="icon hide",c.extraClasses=[U0e(this._modelService,this._languageService,yo.from({scheme:"fake",path:e.textLabel}),wL.FOLDER),U0e(this._modelService,this._languageService,yo.from({scheme:"fake",path:s.detail}),wL.FOLDER)].flat()):(n.icon.className="icon hide",n.iconContainer.className="",n.iconContainer.classList.add("suggest-icon",...zo.asClassNameArray(Ane.toIcon(s.kind))));s.tags&&s.tags.indexOf(1)>=0&&(c.extraClasses=(c.extraClasses||[]).concat(["deprecated"]),c.matches=[]),n.iconLabel.setLabel(e.textLabel,void 0,c),typeof s.label=="string"?(n.parametersLabel.textContent="",n.detailsLabel.textContent=q9e(s.detail||""),n.root.classList.add("string-label")):(n.parametersLabel.textContent=q9e(s.label.detail||""),n.detailsLabel.textContent=q9e(s.label.description||""),n.root.classList.remove("string-label")),this._editor.getOption(119).showInlineDetails?HC(n.detailsLabel):dv(n.detailsLabel),cKe(e)?(n.right.classList.add("can-expand-details"),HC(n.readMore),n.readMore.onmousedown=f=>{f.stopPropagation(),f.preventDefault()},n.readMore.onclick=f=>{f.stopPropagation(),f.preventDefault(),this._onDidToggleDetails.fire()}):(n.right.classList.remove("can-expand-details"),dv(n.readMore),n.readMore.onmousedown=null,n.readMore.onclick=null)}disposeTemplate(e){e.disposables.dispose()}};nUe=C6i([U9e(1,rf),U9e(2,Pf),U9e(3,im)],nUe);function q9e(l){return l.replace(/\r\n|\r|\n/g,"")}var x6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},$0e=function(l,e){return function(i,n){e(i,n,l)}},XU;It("editorSuggestWidget.background",Cw,W("editorSuggestWidgetBackground","Background color of the suggest widget."));It("editorSuggestWidget.border",P$e,W("editorSuggestWidgetBorder","Border color of the suggest widget."));const k6i=It("editorSuggestWidget.foreground",HL,W("editorSuggestWidgetForeground","Foreground color of the suggest widget."));It("editorSuggestWidget.selectedForeground",Qne,W("editorSuggestWidgetSelectedForeground","Foreground color of the selected entry in the suggest widget."));It("editorSuggestWidget.selectedIconForeground",W$e,W("editorSuggestWidgetSelectedIconForeground","Icon foreground color of the selected entry in the suggest widget."));const T6i=It("editorSuggestWidget.selectedBackground",Zne,W("editorSuggestWidgetSelectedBackground","Background color of the selected entry in the suggest widget."));It("editorSuggestWidget.highlightForeground",rq,W("editorSuggestWidgetHighlightForeground","Color of the match highlights in the suggest widget."));It("editorSuggestWidget.focusHighlightForeground",hmi,W("editorSuggestWidgetFocusHighlightForeground","Color of the match highlights in the suggest widget when an item is focused."));It("editorSuggestWidgetStatus.foreground",hc(k6i,.5),W("editorSuggestWidgetStatusForeground","Foreground color of the suggest widget status."));class D6i{constructor(e,i){this._service=e,this._key=`suggestWidget.size/${i.getEditorType()}/${i instanceof kT}`}restore(){const e=this._service.get(this._key,0)??"";try{const i=JSON.parse(e);if(Du.is(i))return Du.lift(i)}catch{}}store(e){this._service.store(this._key,JSON.stringify(e),0,1)}reset(){this._service.remove(this._key,0)}}var cR;let rUe=(cR=class{constructor(e,i,n,s,c){this.editor=e,this._storageService=i,this._state=0,this._isAuto=!1,this._pendingLayout=new Fm,this._pendingShowDetails=new Fm,this._ignoreFocusEvents=!1,this._forceRenderingAbove=!1,this._explainMode=!1,this._showTimeout=new TT,this._disposables=new wn,this._onDidSelect=new CB,this._onDidFocus=new CB,this._onDidHide=new gi,this._onDidShow=new gi,this.onDidSelect=this._onDidSelect.event,this.onDidFocus=this._onDidFocus.event,this.onDidHide=this._onDidHide.event,this.onDidShow=this._onDidShow.event,this._onDetailsKeydown=new gi,this.onDetailsKeyDown=this._onDetailsKeydown.event,this.element=new HGe,this.element.domNode.classList.add("editor-widget","suggest-widget"),this._contentWidget=new E6i(this,e),this._persistedSize=new D6i(i,e);class d{constructor(P,O,z=!1,J=!1){this.persistedSize=P,this.currentSize=O,this.persistHeight=z,this.persistWidth=J}}let f;this._disposables.add(this.element.onDidWillResize(()=>{this._contentWidget.lockPreference(),f=new d(this._persistedSize.restore(),this.element.size)})),this._disposables.add(this.element.onDidResize(I=>{var P,O;if(this._resize(I.dimension.width,I.dimension.height),f&&(f.persistHeight=f.persistHeight||!!I.north||!!I.south,f.persistWidth=f.persistWidth||!!I.east||!!I.west),!!I.done){if(f){const{itemHeight:z,defaultSize:J}=this.getLayoutInfo(),Y=Math.round(z/2);let{width:ae,height:me}=this.element.size;(!f.persistHeight||Math.abs(f.currentSize.height-me)<=Y)&&(me=((P=f.persistedSize)==null?void 0:P.height)??J.height),(!f.persistWidth||Math.abs(f.currentSize.width-ae)<=Y)&&(ae=((O=f.persistedSize)==null?void 0:O.width)??J.width),this._persistedSize.store(new Du(ae,me))}this._contentWidget.unlockPreference(),f=void 0}})),this._messageElement=jn(this.element.domNode,Cr(".message")),this._listElement=jn(this.element.domNode,Cr(".tree"));const m=this._disposables.add(c.createInstance(iUe,this.editor));m.onDidClose(this.toggleDetails,this,this._disposables),this._details=new y6i(m,this.editor);const v=()=>this.element.domNode.classList.toggle("no-icons",!this.editor.getOption(119).showIcons);v();const x=c.createInstance(nUe,this.editor);this._disposables.add(x),this._disposables.add(x.onDidToggleDetails(()=>this.toggleDetails())),this._list=new Ow("SuggestWidget",this._listElement,{getHeight:I=>this.getLayoutInfo().itemHeight,getTemplateId:I=>"suggestion"},[x],{alwaysConsumeMouseWheel:!0,useShadows:!1,mouseSupport:!1,multipleSelectionSupport:!1,accessibilityProvider:{getRole:()=>"option",getWidgetAriaLabel:()=>W("suggest","Suggest"),getWidgetRole:()=>"listbox",getAriaLabel:I=>{let P=I.textLabel;if(typeof I.completion.label!="string"){const{detail:Y,description:ae}=I.completion.label;Y&&ae?P=W("label.full","{0} {1}, {2}",P,Y,ae):Y?P=W("label.detail","{0} {1}",P,Y):ae&&(P=W("label.desc","{0}, {1}",P,ae))}if(!I.isResolved||!this._isDetailsVisible())return P;const{documentation:O,detail:z}=I.completion,J=SB("{0}{1}",z||"",O?typeof O=="string"?O:O.value:"");return W("ariaCurrenttSuggestionReadDetails","{0}, docs: {1}",P,J)}}}),this._list.style(iW({listInactiveFocusBackground:T6i,listInactiveFocusOutline:yf})),this._status=c.createInstance(tUe,this.element.domNode,QM);const w=()=>this.element.domNode.classList.toggle("with-status-bar",this.editor.getOption(119).showStatusBar);w(),this._disposables.add(s.onDidColorThemeChange(I=>this._onThemeChange(I))),this._onThemeChange(s.getColorTheme()),this._disposables.add(this._list.onMouseDown(I=>this._onListMouseDownOrTap(I))),this._disposables.add(this._list.onTap(I=>this._onListMouseDownOrTap(I))),this._disposables.add(this._list.onDidChangeSelection(I=>this._onListSelection(I))),this._disposables.add(this._list.onDidChangeFocus(I=>this._onListFocus(I))),this._disposables.add(this.editor.onDidChangeCursorSelection(()=>this._onCursorSelectionChanged())),this._disposables.add(this.editor.onDidChangeConfiguration(I=>{I.hasChanged(119)&&(w(),v()),this._completionModel&&(I.hasChanged(50)||I.hasChanged(120)||I.hasChanged(121))&&this._list.splice(0,this._list.length,this._completionModel.items)})),this._ctxSuggestWidgetVisible=fc.Visible.bindTo(n),this._ctxSuggestWidgetDetailsVisible=fc.DetailsVisible.bindTo(n),this._ctxSuggestWidgetMultipleSuggestions=fc.MultipleSuggestions.bindTo(n),this._ctxSuggestWidgetHasFocusedSuggestion=fc.HasFocusedSuggestion.bindTo(n),this._disposables.add(ph(this._details.widget.domNode,"keydown",I=>{this._onDetailsKeydown.fire(I)})),this._disposables.add(this.editor.onMouseDown(I=>this._onEditorMouseDown(I)))}dispose(){var e;this._details.widget.dispose(),this._details.dispose(),this._list.dispose(),this._status.dispose(),this._disposables.dispose(),(e=this._loadingTimeout)==null||e.dispose(),this._pendingLayout.dispose(),this._pendingShowDetails.dispose(),this._showTimeout.dispose(),this._contentWidget.dispose(),this.element.dispose()}_onEditorMouseDown(e){this._details.widget.domNode.contains(e.target.element)?this._details.widget.domNode.focus():this.element.domNode.contains(e.target.element)&&this.editor.focus()}_onCursorSelectionChanged(){this._state!==0&&this._contentWidget.layout()}_onListMouseDownOrTap(e){typeof e.element>"u"||typeof e.index>"u"||(e.browserEvent.preventDefault(),e.browserEvent.stopPropagation(),this._select(e.element,e.index))}_onListSelection(e){e.elements.length&&this._select(e.elements[0],e.indexes[0])}_select(e,i){const n=this._completionModel;n&&(this._onDidSelect.fire({item:e,index:i,model:n}),this.editor.focus())}_onThemeChange(e){this._details.widget.borderWidth=RE(e.type)?2:1}_onListFocus(e){var s;if(this._ignoreFocusEvents)return;if(!e.elements.length){this._currentSuggestionDetails&&(this._currentSuggestionDetails.cancel(),this._currentSuggestionDetails=void 0,this._focusedItem=void 0),this.editor.setAriaOptions({activeDescendant:void 0}),this._ctxSuggestWidgetHasFocusedSuggestion.set(!1);return}if(!this._completionModel)return;this._ctxSuggestWidgetHasFocusedSuggestion.set(!0);const i=e.elements[0],n=e.indexes[0];i!==this._focusedItem&&((s=this._currentSuggestionDetails)==null||s.cancel(),this._currentSuggestionDetails=void 0,this._focusedItem=i,this._list.reveal(n),this._currentSuggestionDetails=Om(async c=>{const d=CR(()=>{this._isDetailsVisible()&&this.showDetails(!0)},250),f=c.onCancellationRequested(()=>d.dispose());try{return await i.resolve(c)}finally{d.dispose(),f.dispose()}}),this._currentSuggestionDetails.then(()=>{n>=this._list.length||i!==this._list.element(n)||(this._ignoreFocusEvents=!0,this._list.splice(n,1,[i]),this._list.setFocus([n]),this._ignoreFocusEvents=!1,this._isDetailsVisible()?this.showDetails(!1):this.element.domNode.classList.remove("docs-side"),this.editor.setAriaOptions({activeDescendant:fPt(n)}))}).catch(Pa)),this._onDidFocus.fire({item:i,index:n,model:this._completionModel})}_setState(e){if(this._state!==e)switch(this._state=e,this.element.domNode.classList.toggle("frozen",e===4),this.element.domNode.classList.remove("message"),e){case 0:dv(this._messageElement,this._listElement,this._status.element),this._details.hide(!0),this._status.hide(),this._contentWidget.hide(),this._ctxSuggestWidgetVisible.reset(),this._ctxSuggestWidgetMultipleSuggestions.reset(),this._ctxSuggestWidgetHasFocusedSuggestion.reset(),this._showTimeout.cancel(),this.element.domNode.classList.remove("visible"),this._list.splice(0,this._list.length),this._focusedItem=void 0,this._cappedHeight=void 0,this._explainMode=!1;break;case 1:this.element.domNode.classList.add("message"),this._messageElement.textContent=XU.LOADING_MESSAGE,dv(this._listElement,this._status.element),HC(this._messageElement),this._details.hide(),this._show(),this._focusedItem=void 0,PL(XU.LOADING_MESSAGE);break;case 2:this.element.domNode.classList.add("message"),this._messageElement.textContent=XU.NO_SUGGESTIONS_MESSAGE,dv(this._listElement,this._status.element),HC(this._messageElement),this._details.hide(),this._show(),this._focusedItem=void 0,PL(XU.NO_SUGGESTIONS_MESSAGE);break;case 3:dv(this._messageElement),HC(this._listElement,this._status.element),this._show();break;case 4:dv(this._messageElement),HC(this._listElement,this._status.element),this._show();break;case 5:dv(this._messageElement),HC(this._listElement,this._status.element),this._details.show(),this._show();break}}_show(){this._status.show(),this._contentWidget.show(),this._layout(this._persistedSize.restore()),this._ctxSuggestWidgetVisible.set(!0),this._showTimeout.cancelAndSet(()=>{this.element.domNode.classList.add("visible"),this._onDidShow.fire(this)},100)}showTriggered(e,i){this._state===0&&(this._contentWidget.setPosition(this.editor.getPosition()),this._isAuto=!!e,this._isAuto||(this._loadingTimeout=CR(()=>this._setState(1),i)))}showSuggestions(e,i,n,s,c){var m,v;if(this._contentWidget.setPosition(this.editor.getPosition()),(m=this._loadingTimeout)==null||m.dispose(),(v=this._currentSuggestionDetails)==null||v.cancel(),this._currentSuggestionDetails=void 0,this._completionModel!==e&&(this._completionModel=e),n&&this._state!==2&&this._state!==0){this._setState(4);return}const d=this._completionModel.items.length,f=d===0;if(this._ctxSuggestWidgetMultipleSuggestions.set(d>1),f){this._setState(s?0:2),this._completionModel=void 0;return}this._focusedItem=void 0,this._onDidFocus.pause(),this._onDidSelect.pause();try{this._list.splice(0,this._list.length,this._completionModel.items),this._setState(n?4:3),this._list.reveal(i,0),this._list.setFocus(c?[]:[i])}finally{this._onDidFocus.resume(),this._onDidSelect.resume()}this._pendingLayout.value=aye(Eo(this.element.domNode),()=>{this._pendingLayout.clear(),this._layout(this.element.size),this._details.widget.domNode.classList.remove("focused")})}focusSelected(){this._list.length>0&&this._list.setFocus([0])}selectNextPage(){switch(this._state){case 0:return!1;case 5:return this._details.widget.pageDown(),!0;case 1:return!this._isAuto;default:return this._list.focusNextPage(),!0}}selectNext(){switch(this._state){case 0:return!1;case 1:return!this._isAuto;default:return this._list.focusNext(1,!0),!0}}selectLast(){switch(this._state){case 0:return!1;case 5:return this._details.widget.scrollBottom(),!0;case 1:return!this._isAuto;default:return this._list.focusLast(),!0}}selectPreviousPage(){switch(this._state){case 0:return!1;case 5:return this._details.widget.pageUp(),!0;case 1:return!this._isAuto;default:return this._list.focusPreviousPage(),!0}}selectPrevious(){switch(this._state){case 0:return!1;case 1:return!this._isAuto;default:return this._list.focusPrevious(1,!0),!1}}selectFirst(){switch(this._state){case 0:return!1;case 5:return this._details.widget.scrollTop(),!0;case 1:return!this._isAuto;default:return this._list.focusFirst(),!0}}getFocusedItem(){if(this._state!==0&&this._state!==2&&this._state!==1&&this._completionModel&&this._list.getFocus().length>0)return{item:this._list.getFocusedElements()[0],index:this._list.getFocus()[0],model:this._completionModel}}toggleDetailsFocus(){this._state===5?(this._setState(3),this._details.widget.domNode.classList.remove("focused")):this._state===3&&this._isDetailsVisible()&&(this._setState(5),this._details.widget.domNode.classList.add("focused"))}toggleDetails(){this._isDetailsVisible()?(this._pendingShowDetails.clear(),this._ctxSuggestWidgetDetailsVisible.set(!1),this._setDetailsVisible(!1),this._details.hide(),this.element.domNode.classList.remove("shows-details")):(cKe(this._list.getFocusedElements()[0])||this._explainMode)&&(this._state===3||this._state===5||this._state===4)&&(this._ctxSuggestWidgetDetailsVisible.set(!0),this._setDetailsVisible(!0),this.showDetails(!1))}showDetails(e){this._pendingShowDetails.value=aye(Eo(this.element.domNode),()=>{this._pendingShowDetails.clear(),this._details.show(),e?this._details.widget.renderLoading():this._details.widget.renderItem(this._list.getFocusedElements()[0],this._explainMode),this._details.widget.isEmpty?this._details.hide():(this._positionDetails(),this.element.domNode.classList.add("shows-details")),this.editor.focus()})}toggleExplainMode(){this._list.getFocusedElements()[0]&&(this._explainMode=!this._explainMode,this._isDetailsVisible()?this.showDetails(!1):this.toggleDetails())}resetPersistedSize(){this._persistedSize.reset()}hideWidget(){var n;this._pendingLayout.clear(),this._pendingShowDetails.clear(),(n=this._loadingTimeout)==null||n.dispose(),this._setState(0),this._onDidHide.fire(this),this.element.clearSashHoverState();const e=this._persistedSize.restore(),i=Math.ceil(this.getLayoutInfo().itemHeight*4.3);e&&e.height<i&&this._persistedSize.store(e.with(void 0,i))}isFrozen(){return this._state===4}_afterRender(e){if(e===null){this._isDetailsVisible()&&this._details.hide();return}this._state===2||this._state===1||(this._isDetailsVisible()&&!this._details.widget.isEmpty&&this._details.show(),this._positionDetails())}_layout(e){var d,f;if(!this.editor.hasModel()||!this.editor.getDomNode())return;const i=SR(this.element.domNode.ownerDocument.body),n=this.getLayoutInfo();e||(e=n.defaultSize);let s=e.height,c=e.width;if(this._status.element.style.height=`${n.itemHeight}px`,this._state===2||this._state===1)s=n.itemHeight+n.borderHeight,c=n.defaultSize.width/2,this.element.enableSashes(!1,!1,!1,!1),this.element.minSize=this.element.maxSize=new Du(c,s),this._contentWidget.setPreference(2);else{const m=i.width-n.borderHeight-2*n.horizontalPadding;c>m&&(c=m);const v=this._completionModel?this._completionModel.stats.pLabelLen*n.typicalHalfwidthCharacterWidth:c,x=n.statusBarHeight+this._list.contentHeight+n.borderHeight,w=n.itemHeight+n.statusBarHeight,I=e_(this.editor.getDomNode()),P=this.editor.getScrolledVisiblePosition(this.editor.getPosition()),O=I.top+P.top+P.height,z=Math.min(i.height-O-n.verticalPadding,x),J=I.top+P.top-n.verticalPadding,Y=Math.min(J,x);let ae=Math.min(Math.max(Y,z)+n.borderHeight,x);s===((d=this._cappedHeight)==null?void 0:d.capped)&&(s=this._cappedHeight.wanted),s<w&&(s=w),s>ae&&(s=ae),s>z||this._forceRenderingAbove&&J>150?(this._contentWidget.setPreference(1),this.element.enableSashes(!0,!0,!1,!1),ae=Y):(this._contentWidget.setPreference(2),this.element.enableSashes(!1,!0,!0,!1),ae=z),this.element.preferredSize=new Du(v,n.defaultSize.height),this.element.maxSize=new Du(m,ae),this.element.minSize=new Du(220,w),this._cappedHeight=s===x?{wanted:((f=this._cappedHeight)==null?void 0:f.wanted)??e.height,capped:s}:void 0}this._resize(c,s)}_resize(e,i){const{width:n,height:s}=this.element.maxSize;e=Math.min(n,e),i=Math.min(s,i);const{statusBarHeight:c}=this.getLayoutInfo();this._list.layout(i-c,e),this._listElement.style.height=`${i-c}px`,this.element.layout(i,e),this._contentWidget.layout(),this._positionDetails()}_positionDetails(){var e;this._isDetailsVisible()&&this._details.placeAtAnchor(this.element.domNode,((e=this._contentWidget.getPosition())==null?void 0:e.preference[0])===2)}getLayoutInfo(){const e=this.editor.getOption(50),i=uv(this.editor.getOption(121)||e.lineHeight,8,1e3),n=!this.editor.getOption(119).showStatusBar||this._state===2||this._state===1?0:i,s=this._details.widget.borderWidth,c=2*s;return{itemHeight:i,statusBarHeight:n,borderWidth:s,borderHeight:c,typicalHalfwidthCharacterWidth:e.typicalHalfwidthCharacterWidth,verticalPadding:22,horizontalPadding:14,defaultSize:new Du(430,n+12*i+c)}}_isDetailsVisible(){return this._storageService.getBoolean("expandSuggestionDocs",0,!1)}_setDetailsVisible(e){this._storageService.store("expandSuggestionDocs",e,0,0)}forceRenderingAbove(){this._forceRenderingAbove||(this._forceRenderingAbove=!0,this._layout(this._persistedSize.restore()))}stopForceRenderingAbove(){this._forceRenderingAbove=!1}},XU=cR,cR.LOADING_MESSAGE=W("suggestWidget.loading","Loading..."),cR.NO_SUGGESTIONS_MESSAGE=W("suggestWidget.noSuggestions","No suggestions."),cR);rUe=XU=x6i([$0e(1,ET),$0e(2,Ko),$0e(3,im),$0e(4,ho)],rUe);class E6i{constructor(e,i){this._widget=e,this._editor=i,this.allowEditorOverflow=!0,this.suppressMouseDown=!1,this._preferenceLocked=!1,this._added=!1,this._hidden=!1}dispose(){this._added&&(this._added=!1,this._editor.removeContentWidget(this))}getId(){return"editor.widget.suggestWidget"}getDomNode(){return this._widget.element.domNode}show(){this._hidden=!1,this._added||(this._added=!0,this._editor.addContentWidget(this))}hide(){this._hidden||(this._hidden=!0,this.layout())}layout(){this._editor.layoutContentWidget(this)}getPosition(){return this._hidden||!this._position||!this._preference?null:{position:this._position,preference:[this._preference]}}beforeRender(){const{height:e,width:i}=this._widget.element.size,{borderWidth:n,horizontalPadding:s}=this._widget.getLayoutInfo();return new Du(i+2*n+s,e+2*n)}afterRender(e){this._widget._afterRender(e)}setPreference(e){this._preferenceLocked||(this._preference=e)}lockPreference(){this._preferenceLocked=!0}unlockPreference(){this._preferenceLocked=!1}setPosition(e){this._position=e}}var I6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},WU=function(l,e){return function(i,n){e(i,n,l)}},sUe;class N6i{constructor(e,i){if(this._model=e,this._position=i,this._decorationOptions=qa.register({description:"suggest-line-suffix",stickiness:1}),e.getLineMaxColumn(i.lineNumber)!==i.column){const s=e.getOffsetAt(i),c=e.getPositionAt(s+1);e.changeDecorations(d=>{this._marker&&d.removeDecoration(this._marker),this._marker=d.addDecoration(nt.fromPositions(i,c),this._decorationOptions)})}}dispose(){this._marker&&!this._model.isDisposed()&&this._model.changeDecorations(e=>{e.removeDecoration(this._marker),this._marker=void 0})}delta(e){if(this._model.isDisposed()||this._position.lineNumber!==e.lineNumber)return 0;if(this._marker){const i=this._model.getDecorationRange(this._marker);return this._model.getOffsetAt(i.getStartPosition())-this._model.getOffsetAt(e)}else return this._model.getLineMaxColumn(e.lineNumber)-e.column}}var tB;let pT=(tB=class{static get(e){return e.getContribution(sUe.ID)}constructor(e,i,n,s,c,d,f){this._memoryService=i,this._commandService=n,this._contextKeyService=s,this._instantiationService=c,this._logService=d,this._telemetryService=f,this._lineSuffix=new Fm,this._toDispose=new wn,this._selectors=new L6i(w=>w.priority),this._onWillInsertSuggestItem=new gi,this.onWillInsertSuggestItem=this._onWillInsertSuggestItem.event,this.editor=e,this.model=c.createInstance(Cbe,this.editor),this._selectors.register({priority:0,select:(w,I,P)=>this._memoryService.select(w,I,P)});const m=fc.InsertMode.bindTo(s);m.set(e.getOption(119).insertMode),this._toDispose.add(this.model.onDidTrigger(()=>m.set(e.getOption(119).insertMode))),this.widget=this._toDispose.add(new cFe(Eo(e.getDomNode()),()=>{const w=this._instantiationService.createInstance(rUe,this.editor);this._toDispose.add(w),this._toDispose.add(w.onDidSelect(J=>this._insertSuggestion(J,0),this));const I=new d6i(this.editor,w,this.model,J=>this._insertSuggestion(J,2));this._toDispose.add(I);const P=fc.MakesTextEdit.bindTo(this._contextKeyService),O=fc.HasInsertAndReplaceRange.bindTo(this._contextKeyService),z=fc.CanResolve.bindTo(this._contextKeyService);return this._toDispose.add(fo(()=>{P.reset(),O.reset(),z.reset()})),this._toDispose.add(w.onDidFocus(({item:J})=>{const Y=this.editor.getPosition(),ae=J.editStart.column,me=Y.column;let ye=!0;this.editor.getOption(1)==="smart"&&this.model.state===2&&!J.completion.additionalTextEdits&&!(J.completion.insertTextRules&4)&&me-ae===J.completion.insertText.length&&(ye=this.editor.getModel().getValueInRange({startLineNumber:Y.lineNumber,startColumn:ae,endLineNumber:Y.lineNumber,endColumn:me})!==J.completion.insertText),P.set(ye),O.set(!pi.equals(J.editInsertEnd,J.editReplaceEnd)),z.set(!!J.provider.resolveCompletionItem||!!J.completion.documentation||J.completion.detail!==J.completion.label)})),this._toDispose.add(w.onDetailsKeyDown(J=>{if(J.toKeyCodeChord().equals(new a6(!0,!1,!1,!1,33))||Hc&&J.toKeyCodeChord().equals(new a6(!1,!1,!1,!0,33))){J.stopPropagation();return}J.toKeyCodeChord().isModifierKey()||this.editor.focus()})),w})),this._overtypingCapturer=this._toDispose.add(new cFe(Eo(e.getDomNode()),()=>this._toDispose.add(new eUe(this.editor,this.model)))),this._alternatives=this._toDispose.add(new cFe(Eo(e.getDomNode()),()=>this._toDispose.add(new rJ(this.editor,this._contextKeyService)))),this._toDispose.add(c.createInstance(ybe,e)),this._toDispose.add(this.model.onDidTrigger(w=>{this.widget.value.showTriggered(w.auto,w.shy?250:50),this._lineSuffix.value=new N6i(this.editor.getModel(),w.position)})),this._toDispose.add(this.model.onDidSuggest(w=>{if(w.triggerOptions.shy)return;let I=-1;for(const O of this._selectors.itemsOrderedByPriorityDesc)if(I=O.select(this.editor.getModel(),this.editor.getPosition(),w.completionModel.items),I!==-1)break;if(I===-1&&(I=0),this.model.state===0)return;let P=!1;if(w.triggerOptions.auto){const O=this.editor.getOption(119);O.selectionMode==="never"||O.selectionMode==="always"?P=O.selectionMode==="never":O.selectionMode==="whenTriggerCharacter"?P=w.triggerOptions.triggerKind!==1:O.selectionMode==="whenQuickSuggestion"&&(P=w.triggerOptions.triggerKind===1&&!w.triggerOptions.refilter)}this.widget.value.showSuggestions(w.completionModel,I,w.isFrozen,w.triggerOptions.auto,P)})),this._toDispose.add(this.model.onDidCancel(w=>{w.retrigger||this.widget.value.hideWidget()})),this._toDispose.add(this.editor.onDidBlurEditorWidget(()=>{this.model.cancel(),this.model.clear()}));const v=fc.AcceptSuggestionsOnEnter.bindTo(s),x=()=>{const w=this.editor.getOption(1);v.set(w==="on"||w==="smart")};this._toDispose.add(this.editor.onDidChangeConfiguration(()=>x())),x()}dispose(){this._alternatives.dispose(),this._toDispose.dispose(),this.widget.dispose(),this.model.dispose(),this._lineSuffix.dispose(),this._onWillInsertSuggestItem.dispose()}_insertSuggestion(e,i){if(!e||!e.item){this._alternatives.value.reset(),this.model.cancel(),this.model.clear();return}if(!this.editor.hasModel())return;const n=kv.get(this.editor);if(!n)return;this._onWillInsertSuggestItem.fire({item:e.item});const s=this.editor.getModel(),c=s.getAlternativeVersionId(),{item:d}=e,f=[],m=new ih;i&1||this.editor.pushUndoStop();const v=this.getOverwriteInfo(d,!!(i&8));this._memoryService.memorize(s,this.editor.getPosition(),d);const x=d.isResolved;let w=-1,I=-1;if(Array.isArray(d.completion.additionalTextEdits)){this.model.cancel();const O=GE.capture(this.editor);this.editor.executeEdits("suggestController.additionalTextEdits.sync",d.completion.additionalTextEdits.map(z=>{let J=nt.lift(z.range);if(J.startLineNumber===d.position.lineNumber&&J.startColumn>d.position.column){const Y=this.editor.getPosition().column-d.position.column,ae=Y,me=nt.spansMultipleLines(J)?0:Y;J=new nt(J.startLineNumber,J.startColumn+ae,J.endLineNumber,J.endColumn+me)}return eh.replaceMove(J,z.text)})),O.restoreRelativeVerticalPositionOfCursor(this.editor)}else if(!x){const O=new bg;let z;const J=s.onDidChangeContent(ye=>{if(ye.isFlush){m.cancel(),J.dispose();return}for(const Ce of ye.changes){const Fe=nt.getEndPosition(Ce.range);(!z||pi.isBefore(Fe,z))&&(z=Fe)}}),Y=i;i|=2;let ae=!1;const me=this.editor.onWillType(()=>{me.dispose(),ae=!0,Y&2||this.editor.pushUndoStop()});f.push(d.resolve(m.token).then(()=>{if(!d.completion.additionalTextEdits||m.token.isCancellationRequested)return;if(z&&d.completion.additionalTextEdits.some(Ce=>pi.isBefore(z,nt.getStartPosition(Ce.range))))return!1;ae&&this.editor.pushUndoStop();const ye=GE.capture(this.editor);return this.editor.executeEdits("suggestController.additionalTextEdits.async",d.completion.additionalTextEdits.map(Ce=>eh.replaceMove(nt.lift(Ce.range),Ce.text))),ye.restoreRelativeVerticalPositionOfCursor(this.editor),(ae||!(Y&2))&&this.editor.pushUndoStop(),!0}).then(ye=>{this._logService.trace("[suggest] async resolving of edits DONE (ms, applied?)",O.elapsed(),ye),I=ye===!0?1:ye===!1?0:-2}).finally(()=>{J.dispose(),me.dispose()}))}let{insertText:P}=d.completion;if(d.completion.insertTextRules&4||(P=WB.escape(P)),this.model.cancel(),n.insert(P,{overwriteBefore:v.overwriteBefore,overwriteAfter:v.overwriteAfter,undoStopBefore:!1,undoStopAfter:!1,adjustWhitespace:!(d.completion.insertTextRules&1),clipboardText:e.model.clipboardText,overtypingCapturer:this._overtypingCapturer.value}),i&2||this.editor.pushUndoStop(),d.completion.command)if(d.completion.command.id===Sbe.id)this.model.trigger({auto:!0,retrigger:!0});else{const O=new bg;f.push(this._commandService.executeCommand(d.completion.command.id,...d.completion.command.arguments?[...d.completion.command.arguments]:[]).catch(z=>{d.completion.extensionId?t_(z):Pa(z)}).finally(()=>{w=O.elapsed()}))}i&4&&this._alternatives.value.set(e,O=>{for(m.cancel();s.canUndo();){c!==s.getAlternativeVersionId()&&s.undo(),this._insertSuggestion(O,3|(i&8?8:0));break}}),this._alertCompletionItem(d),Promise.all(f).finally(()=>{this._reportSuggestionAcceptedTelemetry(d,s,x,w,I,e.index,e.model.items),this.model.clear(),m.dispose()})}_reportSuggestionAcceptedTelemetry(e,i,n,s,c,d,f){var I;if(Math.floor(Math.random()*100)===0)return;const m=new Map;for(let P=0;P<Math.min(30,f.length);P++){const O=f[P].textLabel;m.has(O)?m.get(O).push(P):m.set(O,[P])}const v=m.get(e.textLabel),w=v&&v.length>1?v[0]:-1;this._telemetryService.publicLog2("suggest.acceptedSuggestion",{extensionId:((I=e.extensionId)==null?void 0:I.value)??"unknown",providerId:e.provider._debugDisplayName??"unknown",kind:e.completion.kind,basenameHash:PSe(wk(i.uri)).toString(16),languageId:i.getLanguageId(),fileExtension:kgi(i.uri),resolveInfo:e.provider.resolveCompletionItem?n?1:0:-1,resolveDuration:e.resolveDuration,commandDuration:s,additionalEditsAsync:c,index:d,firstIndex:w})}getOverwriteInfo(e,i){Fl(this.editor.hasModel());let n=this.editor.getOption(119).insertMode==="replace";i&&(n=!n);const s=e.position.column-e.editStart.column,c=(n?e.editReplaceEnd.column:e.editInsertEnd.column)-e.position.column,d=this.editor.getPosition().column-e.position.column,f=this._lineSuffix.value?this._lineSuffix.value.delta(this.editor.getPosition()):0;return{overwriteBefore:s+d,overwriteAfter:c+f}}_alertCompletionItem(e){if(ky(e.completion.additionalTextEdits)){const i=W("aria.alert.snippet","Accepting '{0}' made {1} additional edits",e.textLabel,e.completion.additionalTextEdits.length);xv(i)}}triggerSuggest(e,i,n){this.editor.hasModel()&&(this.model.trigger({auto:i??!1,completionOptions:{providerFilter:e,kindFilter:n?new Set:void 0}}),this.editor.revealPosition(this.editor.getPosition(),0),this.editor.focus())}triggerSuggestAndAcceptBest(e){if(!this.editor.hasModel())return;const i=this.editor.getPosition(),n=()=>{i.equals(this.editor.getPosition())&&this._commandService.executeCommand(e.fallback)},s=c=>{if(c.completion.insertTextRules&4||c.completion.additionalTextEdits)return!0;const d=this.editor.getPosition(),f=c.editStart.column,m=d.column;return m-f!==c.completion.insertText.length?!0:this.editor.getModel().getValueInRange({startLineNumber:d.lineNumber,startColumn:f,endLineNumber:d.lineNumber,endColumn:m})!==c.completion.insertText};Tr.once(this.model.onDidTrigger)(c=>{const d=[];Tr.any(this.model.onDidTrigger,this.model.onDidCancel)(()=>{vd(d),n()},void 0,d),this.model.onDidSuggest(({completionModel:f})=>{if(vd(d),f.items.length===0){n();return}const m=this._memoryService.select(this.editor.getModel(),this.editor.getPosition(),f.items),v=f.items[m];if(!s(v)){n();return}this.editor.pushUndoStop(),this._insertSuggestion({index:m,item:v,model:f},7)},void 0,d)}),this.model.trigger({auto:!1,shy:!0}),this.editor.revealPosition(i,0),this.editor.focus()}acceptSelectedSuggestion(e,i){const n=this.widget.value.getFocusedItem();let s=0;e&&(s|=4),i&&(s|=8),this._insertSuggestion(n,s)}acceptNextSuggestion(){this._alternatives.value.next()}acceptPrevSuggestion(){this._alternatives.value.prev()}cancelSuggestWidget(){this.model.cancel(),this.model.clear(),this.widget.value.hideWidget()}focusSuggestion(){this.widget.value.focusSelected()}selectNextSuggestion(){this.widget.value.selectNext()}selectNextPageSuggestion(){this.widget.value.selectNextPage()}selectLastSuggestion(){this.widget.value.selectLast()}selectPrevSuggestion(){this.widget.value.selectPrevious()}selectPrevPageSuggestion(){this.widget.value.selectPreviousPage()}selectFirstSuggestion(){this.widget.value.selectFirst()}toggleSuggestionDetails(){this.widget.value.toggleDetails()}toggleExplainMode(){this.widget.value.toggleExplainMode()}toggleSuggestionFocus(){this.widget.value.toggleDetailsFocus()}resetWidgetSize(){this.widget.value.resetPersistedSize()}forceRenderingAbove(){this.widget.value.forceRenderingAbove()}stopForceRenderingAbove(){this.widget.isInitialized&&this.widget.value.stopForceRenderingAbove()}registerSelector(e){return this._selectors.register(e)}},sUe=tB,tB.ID="editor.contrib.suggestController",tB);pT=sUe=I6i([WU(1,nxe),WU(2,qd),WU(3,Ko),WU(4,ho),WU(5,N0),WU(6,b1)],pT);class L6i{constructor(e){this.prioritySelector=e,this._items=new Array}register(e){if(this._items.indexOf(e)!==-1)throw new Error("Value is already registered");return this._items.push(e),this._items.sort((i,n)=>this.prioritySelector(n)-this.prioritySelector(i)),{dispose:()=>{const i=this._items.indexOf(e);i>=0&&this._items.splice(i,1)}}}get itemsOrderedByPriorityDesc(){return this._items}}const KCe=class KCe extends hs{constructor(){super({id:KCe.id,label:W("suggest.trigger.label","Trigger Suggest"),alias:"Trigger Suggest",precondition:kn.and(Tt.writable,Tt.hasCompletionItemProvider,fc.Visible.toNegated()),kbOpts:{kbExpr:Tt.textInputFocus,primary:2058,secondary:[2087],mac:{primary:266,secondary:[521,2087]},weight:100}})}run(e,i,n){const s=pT.get(i);if(!s)return;let c;n&&typeof n=="object"&&n.auto===!0&&(c=!0),s.triggerSuggest(void 0,c,void 0)}};KCe.id="editor.action.triggerSuggest";let Sbe=KCe;Cl(pT.ID,pT,2);sr(Sbe);const Nw=190,Iv=tm.bindToContribution(pT.get);$r(new Iv({id:"acceptSelectedSuggestion",precondition:kn.and(fc.Visible,fc.HasFocusedSuggestion),handler(l){l.acceptSelectedSuggestion(!0,!1)},kbOpts:[{primary:2,kbExpr:kn.and(fc.Visible,Tt.textInputFocus),weight:Nw},{primary:3,kbExpr:kn.and(fc.Visible,Tt.textInputFocus,fc.AcceptSuggestionsOnEnter,fc.MakesTextEdit),weight:Nw}],menuOpts:[{menuId:QM,title:W("accept.insert","Insert"),group:"left",order:1,when:fc.HasInsertAndReplaceRange.toNegated()},{menuId:QM,title:W("accept.insert","Insert"),group:"left",order:1,when:kn.and(fc.HasInsertAndReplaceRange,fc.InsertMode.isEqualTo("insert"))},{menuId:QM,title:W("accept.replace","Replace"),group:"left",order:1,when:kn.and(fc.HasInsertAndReplaceRange,fc.InsertMode.isEqualTo("replace"))}]}));$r(new Iv({id:"acceptAlternativeSelectedSuggestion",precondition:kn.and(fc.Visible,Tt.textInputFocus,fc.HasFocusedSuggestion),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:1027,secondary:[1026]},handler(l){l.acceptSelectedSuggestion(!1,!0)},menuOpts:[{menuId:QM,group:"left",order:2,when:kn.and(fc.HasInsertAndReplaceRange,fc.InsertMode.isEqualTo("insert")),title:W("accept.replace","Replace")},{menuId:QM,group:"left",order:2,when:kn.and(fc.HasInsertAndReplaceRange,fc.InsertMode.isEqualTo("replace")),title:W("accept.insert","Insert")}]}));fl.registerCommandAlias("acceptSelectedSuggestionOnEnter","acceptSelectedSuggestion");$r(new Iv({id:"hideSuggestWidget",precondition:fc.Visible,handler:l=>l.cancelSuggestWidget(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:9,secondary:[1033]}}));$r(new Iv({id:"selectNextSuggestion",precondition:kn.and(fc.Visible,kn.or(fc.MultipleSuggestions,fc.HasFocusedSuggestion.negate())),handler:l=>l.selectNextSuggestion(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:18,secondary:[2066],mac:{primary:18,secondary:[2066,300]}}}));$r(new Iv({id:"selectNextPageSuggestion",precondition:kn.and(fc.Visible,kn.or(fc.MultipleSuggestions,fc.HasFocusedSuggestion.negate())),handler:l=>l.selectNextPageSuggestion(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:12,secondary:[2060]}}));$r(new Iv({id:"selectLastSuggestion",precondition:kn.and(fc.Visible,kn.or(fc.MultipleSuggestions,fc.HasFocusedSuggestion.negate())),handler:l=>l.selectLastSuggestion()}));$r(new Iv({id:"selectPrevSuggestion",precondition:kn.and(fc.Visible,kn.or(fc.MultipleSuggestions,fc.HasFocusedSuggestion.negate())),handler:l=>l.selectPrevSuggestion(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:16,secondary:[2064],mac:{primary:16,secondary:[2064,302]}}}));$r(new Iv({id:"selectPrevPageSuggestion",precondition:kn.and(fc.Visible,kn.or(fc.MultipleSuggestions,fc.HasFocusedSuggestion.negate())),handler:l=>l.selectPrevPageSuggestion(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:11,secondary:[2059]}}));$r(new Iv({id:"selectFirstSuggestion",precondition:kn.and(fc.Visible,kn.or(fc.MultipleSuggestions,fc.HasFocusedSuggestion.negate())),handler:l=>l.selectFirstSuggestion()}));$r(new Iv({id:"focusSuggestion",precondition:kn.and(fc.Visible,fc.HasFocusedSuggestion.negate()),handler:l=>l.focusSuggestion(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:2058,secondary:[2087],mac:{primary:266,secondary:[2087]}}}));$r(new Iv({id:"focusAndAcceptSuggestion",precondition:kn.and(fc.Visible,fc.HasFocusedSuggestion.negate()),handler:l=>{l.focusSuggestion(),l.acceptSelectedSuggestion(!0,!1)}}));$r(new Iv({id:"toggleSuggestionDetails",precondition:kn.and(fc.Visible,fc.HasFocusedSuggestion),handler:l=>l.toggleSuggestionDetails(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:2058,secondary:[2087],mac:{primary:266,secondary:[2087]}},menuOpts:[{menuId:QM,group:"right",order:1,when:kn.and(fc.DetailsVisible,fc.CanResolve),title:W("detail.more","Show Less")},{menuId:QM,group:"right",order:1,when:kn.and(fc.DetailsVisible.toNegated(),fc.CanResolve),title:W("detail.less","Show More")}]}));$r(new Iv({id:"toggleExplainMode",precondition:fc.Visible,handler:l=>l.toggleExplainMode(),kbOpts:{weight:100,primary:2138}}));$r(new Iv({id:"toggleSuggestionFocus",precondition:fc.Visible,handler:l=>l.toggleSuggestionFocus(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:2570,mac:{primary:778}}}));$r(new Iv({id:"insertBestCompletion",precondition:kn.and(Tt.textInputFocus,kn.equals("config.editor.tabCompletion","on"),ybe.AtEnd,fc.Visible.toNegated(),rJ.OtherSuggestions.toNegated(),kv.InSnippetMode.toNegated()),handler:(l,e)=>{l.triggerSuggestAndAcceptBest(gg(e)?{fallback:"tab",...e}:{fallback:"tab"})},kbOpts:{weight:Nw,primary:2}}));$r(new Iv({id:"insertNextSuggestion",precondition:kn.and(Tt.textInputFocus,kn.equals("config.editor.tabCompletion","on"),rJ.OtherSuggestions,fc.Visible.toNegated(),kv.InSnippetMode.toNegated()),handler:l=>l.acceptNextSuggestion(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:2}}));$r(new Iv({id:"insertPrevSuggestion",precondition:kn.and(Tt.textInputFocus,kn.equals("config.editor.tabCompletion","on"),rJ.OtherSuggestions,fc.Visible.toNegated(),kv.InSnippetMode.toNegated()),handler:l=>l.acceptPrevSuggestion(),kbOpts:{weight:Nw,kbExpr:Tt.textInputFocus,primary:1026}}));sr(class extends hs{constructor(){super({id:"editor.action.resetSuggestSize",label:W("suggest.reset.label","Reset Suggest Widget Size"),alias:"Reset Suggest Widget Size",precondition:void 0})}run(l,e){var i;(i=pT.get(e))==null||i.resetWidgetSize()}});class P6i extends xi{get selectedItem(){return this._currentSuggestItemInfo}constructor(e,i,n){super(),this.editor=e,this.suggestControllerPreselector=i,this.onWillAccept=n,this.isSuggestWidgetVisible=!1,this.isShiftKeyPressed=!1,this._isActive=!1,this._currentSuggestItemInfo=void 0,this._onDidSelectedItemChange=this._register(new gi),this.onDidSelectedItemChange=this._onDidSelectedItemChange.event,this._register(e.onKeyDown(c=>{c.shiftKey&&!this.isShiftKeyPressed&&(this.isShiftKeyPressed=!0,this.update(this._isActive))})),this._register(e.onKeyUp(c=>{c.shiftKey&&this.isShiftKeyPressed&&(this.isShiftKeyPressed=!1,this.update(this._isActive))}));const s=pT.get(this.editor);if(s){this._register(s.registerSelector({priority:100,select:(f,m,v)=>{const x=this.editor.getModel();if(!x)return-1;const w=this.suggestControllerPreselector(),I=w?w9(w,x):void 0;if(!I)return-1;const P=pi.lift(m),O=v.map((J,Y)=>{const ae=tne.fromSuggestion(s,x,P,J,this.isShiftKeyPressed),me=w9(ae.toSingleTextEdit(),x),ye=dPt(I,me);return{index:Y,valid:ye,prefixLength:me.text.length,suggestItem:J}}).filter(J=>J&&J.valid&&J.prefixLength>0),z=S$e(O,yv(J=>J.prefixLength,mT));return z?z.index:-1}}));let c=!1;const d=()=>{c||(c=!0,this._register(s.widget.value.onDidShow(()=>{this.isSuggestWidgetVisible=!0,this.update(!0)})),this._register(s.widget.value.onDidHide(()=>{this.isSuggestWidgetVisible=!1,this.update(!1)})),this._register(s.widget.value.onDidFocus(()=>{this.isSuggestWidgetVisible=!0,this.update(!0)})))};this._register(Tr.once(s.model.onDidTrigger)(f=>{d()})),this._register(s.onWillInsertSuggestItem(f=>{const m=this.editor.getPosition(),v=this.editor.getModel();if(!m||!v)return;const x=tne.fromSuggestion(s,v,m,f.item,this.isShiftKeyPressed);this.onWillAccept(x)}))}this.update(this._isActive)}update(e){const i=this.getSuggestItemInfo();(this._isActive!==e||!A6i(this._currentSuggestItemInfo,i))&&(this._isActive=e,this._currentSuggestItemInfo=i,this._onDidSelectedItemChange.fire())}getSuggestItemInfo(){const e=pT.get(this.editor);if(!e||!this.isSuggestWidgetVisible)return;const i=e.widget.value.getFocusedItem(),n=this.editor.getPosition(),s=this.editor.getModel();if(!(!i||!n||!s))return tne.fromSuggestion(e,s,n,i.item,this.isShiftKeyPressed)}stopForceRenderingAbove(){const e=pT.get(this.editor);e==null||e.stopForceRenderingAbove()}forceRenderingAbove(){const e=pT.get(this.editor);e==null||e.forceRenderingAbove()}}class tne{static fromSuggestion(e,i,n,s,c){let{insertText:d}=s.completion,f=!1;if(s.completion.insertTextRules&4){const v=new WB().parse(d);v.children.length<100&&gbe.adjustWhitespace(i,n,!0,v),d=v.toString(),f=!0}const m=e.getOverwriteInfo(s,c);return new tne(nt.fromPositions(n.delta(0,-m.overwriteBefore),n.delta(0,Math.max(m.overwriteAfter,0))),d,s.completion.kind,f)}constructor(e,i,n,s){this.range=e,this.insertText=i,this.completionItemKind=n,this.isSnippetText=s}equals(e){return this.range.equalsRange(e.range)&&this.insertText===e.insertText&&this.completionItemKind===e.completionItemKind&&this.isSnippetText===e.isSnippetText}toSelectedSuggestionInfo(){return new Kkt(this.range,this.insertText,this.completionItemKind,this.isSnippetText)}toSingleTextEdit(){return new IL(this.range,this.insertText)}}function A6i(l,e){return l===e?!0:!l||!e?!1:l.equals(e)}var O6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},_4=function(l,e){return function(i,n){e(i,n,l)}},oUe,iB;let kk=(iB=class extends xi{static get(e){return e.getContribution(oUe.ID)}constructor(e,i,n,s,c,d,f,m,v,x){super(),this.editor=e,this._instantiationService=i,this._contextKeyService=n,this._configurationService=s,this._commandService=c,this._debounceService=d,this._languageFeaturesService=f,this._accessibilitySignalService=m,this._keybindingService=v,this._accessibilityService=x,this._editorObs=zC(this.editor),this._positions=ro(this,I=>{var P;return((P=this._editorObs.selections.read(I))==null?void 0:P.map(O=>O.getEndPosition()))??[new pi(1,1)]}),this._suggestWidgetAdaptor=this._register(new P6i(this.editor,()=>{var I,P;return this._editorObs.forceUpdate(),(P=(I=this.model.get())==null?void 0:I.selectedInlineCompletion.get())==null?void 0:P.toSingleTextEdit(void 0)},I=>this._editorObs.forceUpdate(P=>{var O;(O=this.model.get())==null||O.handleSuggestAccepted(I)}))),this._suggestWidgetSelectedItem=Tu(this,I=>this._suggestWidgetAdaptor.onDidSelectedItemChange(()=>{this._editorObs.forceUpdate(P=>I(void 0))}),()=>this._suggestWidgetAdaptor.selectedItem),this._enabledInConfig=Tu(this,this.editor.onDidChangeConfiguration,()=>this.editor.getOption(62).enabled),this._isScreenReaderEnabled=Tu(this,this._accessibilityService.onDidChangeScreenReaderOptimized,()=>this._accessibilityService.isScreenReaderOptimized()),this._editorDictationInProgress=Tu(this,this._contextKeyService.onDidChangeContext,()=>this._contextKeyService.getContext(this.editor.getDomNode()).getValue("editorDictation.inProgress")===!0),this._enabled=ro(this,I=>this._enabledInConfig.read(I)&&(!this._isScreenReaderEnabled.read(I)||!this._editorDictationInProgress.read(I))),this._debounceValue=this._debounceService.for(this._languageFeaturesService.inlineCompletionsProvider,"InlineCompletionsDebounce",{min:50,max:50}),this.model=Cy(this,I=>{if(this._editorObs.isReadonly.read(I))return;const P=this._editorObs.model.read(I);return P?this._instantiationService.createInstance(Xze,P,this._suggestWidgetSelectedItem,this._editorObs.versionId,this._positions,this._debounceValue,Tu(this.editor.onDidChangeConfiguration,()=>this.editor.getOption(119).preview),Tu(this.editor.onDidChangeConfiguration,()=>this.editor.getOption(119).previewMode),Tu(this.editor.onDidChangeConfiguration,()=>this.editor.getOption(62).mode),this._enabled):void 0}).recomputeInitiallyAndOnChange(this._store),this._ghostTexts=ro(this,I=>{const P=this.model.read(I);return(P==null?void 0:P.ghostTexts.read(I))??[]}),this._stablizedGhostTexts=M6i(this._ghostTexts,this._store),this._ghostTextWidgets=y1i(this,this._stablizedGhostTexts,(I,P)=>P.add(this._instantiationService.createInstance(Gze,this.editor,{ghostText:I,minReservedLineCount:mk(0),targetTextModel:this.model.map(O=>O==null?void 0:O.textModel)}))).recomputeInitiallyAndOnChange(this._store),this._playAccessibilitySignal=xJ(this),this._fontFamily=Tu(this,this.editor.onDidChangeConfiguration,()=>this.editor.getOption(62).fontFamily),this._register(new XC(this._contextKeyService,this.model)),this._register(Oje(this._editorObs.onDidType,(I,P)=>{var O;this._enabled.get()&&((O=this.model.get())==null||O.trigger())})),this._register(this._commandService.onDidExecuteCommand(I=>{new Set([s$.Tab.id,s$.DeleteLeft.id,s$.DeleteRight.id,bLt,"acceptSelectedSuggestion"]).has(I.commandId)&&e.hasTextFocus()&&this._enabled.get()&&this._editorObs.forceUpdate(O=>{var z;(z=this.model.get())==null||z.trigger(O)})})),this._register(Oje(this._editorObs.selections,(I,P)=>{var O;P.some(z=>z.reason===3||z.source==="api")&&((O=this.model.get())==null||O.stop())})),this._register(this.editor.onDidBlurEditorWidget(()=>{this._contextKeyService.getContextKeyValue("accessibleViewIsShown")||this._configurationService.getValue("editor.inlineSuggest.keepOnBlur")||e.getOption(62).keepOnBlur||Z$.dropDownVisible||th(I=>{var P;(P=this.model.get())==null||P.stop(I)})})),this._register(ba(I=>{var O;const P=(O=this.model.read(I))==null?void 0:O.state.read(I);P!=null&&P.suggestItem?P.primaryGhostText.lineCount>=2&&this._suggestWidgetAdaptor.forceRenderingAbove():this._suggestWidgetAdaptor.stopForceRenderingAbove()})),this._register(fo(()=>{this._suggestWidgetAdaptor.stopForceRenderingAbove()}));const w=Dse(this,(I,P)=>{var J;const O=this.model.read(I),z=O==null?void 0:O.state.read(I);return this._suggestWidgetSelectedItem.get()?P:(J=z==null?void 0:z.inlineCompletion)==null?void 0:J.semanticId});this._register(zTi(ro(I=>(this._playAccessibilitySignal.read(I),w.read(I),{})),async(I,P,O)=>{const z=this.model.get(),J=z==null?void 0:z.state.get();if(!J||!z)return;const Y=z.textModel.getLineContent(J.primaryGhostText.lineNumber);await c6(50,hBe(O)),await kDt(this._suggestWidgetSelectedItem,xy,()=>!1,hBe(O)),await this._accessibilitySignalService.playSignal(hw.inlineSuggestion),this.editor.getOption(8)&&this._provideScreenReaderUpdate(J.primaryGhostText.renderForScreenReader(Y))})),this._register(new hze(this.editor,this.model,this._instantiationService)),this._register(k4i(ro(I=>{const P=this._fontFamily.read(I);return P===""||P==="default"?"":`
+.monaco-editor .ghost-text-decoration,
+.monaco-editor .ghost-text-decoration-preview,
+.monaco-editor .ghost-text {
+ font-family: ${P};
+}`}))),this._register(this._configurationService.onDidChangeConfiguration(I=>{I.affectsConfiguration("accessibility.verbosity.inlineCompletions")&&this.editor.updateOptions({inlineCompletionsAccessibilityVerbose:this._configurationService.getValue("accessibility.verbosity.inlineCompletions")})})),this.editor.updateOptions({inlineCompletionsAccessibilityVerbose:this._configurationService.getValue("accessibility.verbosity.inlineCompletions")})}playAccessibilitySignal(e){this._playAccessibilitySignal.trigger(e)}_provideScreenReaderUpdate(e){const i=this._contextKeyService.getContextKeyValue("accessibleViewIsShown"),n=this._keybindingService.lookupKeybinding("editor.action.accessibleView");let s;!i&&n&&this.editor.getOption(150)&&(s=W("showAccessibleViewHint","Inspect this in the accessible view ({0})",n.getAriaLabel())),xv(s?e+", "+s:e)}shouldShowHoverAt(e){var n;const i=(n=this.model.get())==null?void 0:n.primaryGhostText.get();return i?i.parts.some(s=>e.containsPosition(new pi(i.lineNumber,s.column))):!1}shouldShowHoverAtViewZone(e){var i;return((i=this._ghostTextWidgets.get()[0])==null?void 0:i.ownsViewZone(e))??!1}},oUe=iB,iB.ID="editor.contrib.inlineCompletionsController",iB);kk=oUe=O6i([_4(1,ho),_4(2,Ko),_4(3,Cc),_4(4,qd),_4(5,Pw),_4(6,Ts),_4(7,jR),_4(8,pu),_4(9,tS)],kk);function M6i(l,e){const i=bc("result",[]),n=[];return e.add(ba(s=>{const c=l.read(s);th(d=>{if(c.length!==n.length){n.length=c.length;for(let f=0;f<n.length;f++)n[f]||(n[f]=bc("item",c[f]));i.set([...n],d)}n.forEach((f,m)=>f.set(c[m],d))})})),i}const XCe=class XCe extends hs{constructor(){super({id:XCe.ID,label:W("action.inlineSuggest.showNext","Show Next Inline Suggestion"),alias:"Show Next Inline Suggestion",precondition:kn.and(Tt.writable,XC.inlineSuggestionVisible),kbOpts:{weight:100,primary:606}})}async run(e,i){var s;const n=kk.get(i);(s=n==null?void 0:n.model.get())==null||s.next()}};XCe.ID=SLt;let aUe=XCe;const QCe=class QCe extends hs{constructor(){super({id:QCe.ID,label:W("action.inlineSuggest.showPrevious","Show Previous Inline Suggestion"),alias:"Show Previous Inline Suggestion",precondition:kn.and(Tt.writable,XC.inlineSuggestionVisible),kbOpts:{weight:100,primary:604}})}async run(e,i){var s;const n=kk.get(i);(s=n==null?void 0:n.model.get())==null||s.previous()}};QCe.ID=CLt;let cUe=QCe;class R6i extends hs{constructor(){super({id:"editor.action.inlineSuggest.trigger",label:W("action.inlineSuggest.trigger","Trigger Inline Suggestion"),alias:"Trigger Inline Suggestion",precondition:Tt.writable})}async run(e,i){const n=kk.get(i);await SDt(async s=>{var c;await((c=n==null?void 0:n.model.get())==null?void 0:c.triggerExplicitly(s)),n==null||n.playAccessibilitySignal(s)})}}class F6i extends hs{constructor(){super({id:"editor.action.inlineSuggest.acceptNextWord",label:W("action.inlineSuggest.acceptNextWord","Accept Next Word Of Inline Suggestion"),alias:"Accept Next Word Of Inline Suggestion",precondition:kn.and(Tt.writable,XC.inlineSuggestionVisible),kbOpts:{weight:101,primary:2065,kbExpr:kn.and(Tt.writable,XC.inlineSuggestionVisible)},menuOpts:[{menuId:As.InlineSuggestionToolbar,title:W("acceptWord","Accept Word"),group:"primary",order:2}]})}async run(e,i){var s;const n=kk.get(i);await((s=n==null?void 0:n.model.get())==null?void 0:s.acceptNextWord(n.editor))}}class B6i extends hs{constructor(){super({id:"editor.action.inlineSuggest.acceptNextLine",label:W("action.inlineSuggest.acceptNextLine","Accept Next Line Of Inline Suggestion"),alias:"Accept Next Line Of Inline Suggestion",precondition:kn.and(Tt.writable,XC.inlineSuggestionVisible),kbOpts:{weight:101},menuOpts:[{menuId:As.InlineSuggestionToolbar,title:W("acceptLine","Accept Line"),group:"secondary",order:2}]})}async run(e,i){var s;const n=kk.get(i);await((s=n==null?void 0:n.model.get())==null?void 0:s.acceptNextLine(n.editor))}}class W6i extends hs{constructor(){super({id:bLt,label:W("action.inlineSuggest.accept","Accept Inline Suggestion"),alias:"Accept Inline Suggestion",precondition:XC.inlineSuggestionVisible,menuOpts:[{menuId:As.InlineSuggestionToolbar,title:W("accept","Accept"),group:"primary",order:1}],kbOpts:{primary:2,weight:200,kbExpr:kn.and(XC.inlineSuggestionVisible,Tt.tabMovesFocus.toNegated(),XC.inlineSuggestionHasIndentationLessThanTabSize,fc.Visible.toNegated(),Tt.hoverFocused.toNegated())}})}async run(e,i){var s;const n=kk.get(i);n&&((s=n.model.get())==null||s.accept(n.editor),n.editor.focus())}}const ZCe=class ZCe extends hs{constructor(){super({id:ZCe.ID,label:W("action.inlineSuggest.hide","Hide Inline Suggestion"),alias:"Hide Inline Suggestion",precondition:XC.inlineSuggestionVisible,kbOpts:{weight:100,primary:9}})}async run(e,i){const n=kk.get(i);th(s=>{var c;(c=n==null?void 0:n.model.get())==null||c.stop(s)})}};ZCe.ID="editor.action.inlineSuggest.hide";let lUe=ZCe;const YCe=class YCe extends Tv{constructor(){super({id:YCe.ID,title:W("action.inlineSuggest.alwaysShowToolbar","Always Show Toolbar"),f1:!1,precondition:void 0,menu:[{id:As.InlineSuggestionToolbar,group:"secondary",order:10}],toggled:kn.equals("config.editor.inlineSuggest.showToolbar","always")})}async run(e,i){const n=e.get(Cc),c=n.getValue("editor.inlineSuggest.showToolbar")==="always"?"onHover":"always";n.updateValue("editor.inlineSuggest.showToolbar",c)}};YCe.ID="editor.action.inlineSuggest.toggleAlwaysShowToolbar";let uUe=YCe;var V6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Xte=function(l,e){return function(i,n){e(i,n,l)}};class H6i{constructor(e,i,n){this.owner=e,this.range=i,this.controller=n}isValidForHoverAnchor(e){return e.type===1&&this.range.startColumn<=e.range.startColumn&&this.range.endColumn>=e.range.endColumn}}let dUe=class{constructor(e,i,n,s,c,d){this._editor=e,this._languageService=i,this._openerService=n,this.accessibilityService=s,this._instantiationService=c,this._telemetryService=d,this.hoverOrdinal=4}suggestHoverAnchor(e){const i=kk.get(this._editor);if(!i)return null;const n=e.target;if(n.type===8){const s=n.detail;if(i.shouldShowHoverAtViewZone(s.viewZoneId))return new N1e(1e3,this,nt.fromPositions(this._editor.getModel().validatePosition(s.positionBefore||s.position)),e.event.posx,e.event.posy,!1)}return n.type===7&&i.shouldShowHoverAt(n.range)?new N1e(1e3,this,n.range,e.event.posx,e.event.posy,!1):n.type===6&&n.detail.mightBeForeignElement&&i.shouldShowHoverAt(n.range)?new N1e(1e3,this,n.range,e.event.posx,e.event.posy,!1):null}computeSync(e,i){if(this._editor.getOption(62).showToolbar!=="onHover")return[];const n=kk.get(this._editor);return n&&n.shouldShowHoverAt(e.range)?[new H6i(this,e.range,n)]:[]}renderHoverParts(e,i){const n=new wn,s=i[0];this._telemetryService.publicLog2("inlineCompletionHover.shown"),this.accessibilityService.isScreenReaderOptimized()&&!this._editor.getOption(8)&&n.add(this.renderScreenReaderText(e,s));const c=s.controller.model.get(),d=this._instantiationService.createInstance(Z$,this._editor,!1,mk(null),c.selectedInlineCompletionIndex,c.inlineCompletionsCount,c.activeCommands),f=d.getDomNode();e.fragment.appendChild(f),c.triggerExplicitly(),n.add(d);const m={hoverPart:s,hoverElement:f,dispose(){n.dispose()}};return new zB([m])}renderScreenReaderText(e,i){const n=new wn,s=Cr,c=s("div.hover-row.markdown-hover"),d=jn(c,s("div.hover-contents",{"aria-live":"assertive"})),f=n.add(new d6({editor:this._editor},this._languageService,this._openerService)),m=v=>{n.add(f.onDidRenderAsync(()=>{d.className="hover-contents code-hover-contents",e.onContentsChanged()}));const x=W("inlineSuggestionFollows","Suggestion:"),w=n.add(f.render(new m1().appendText(x).appendCodeblock("text",v)));d.replaceChildren(w.element)};return n.add(ba(v=>{var w;const x=(w=i.controller.model.read(v))==null?void 0:w.primaryGhostText.read(v);if(x){const I=this._editor.getModel().getLineContent(x.lineNumber);m(x.renderForScreenReader(I))}else o0(d)})),e.fragment.appendChild(c),n}};dUe=V6i([Xte(1,Pf),Xte(2,Rb),Xte(3,tS),Xte(4,ho),Xte(5,b1)],dUe);class j6i{}const rxe=new class{constructor(){this._implementations=[]}register(e){return this._implementations.push(e),{dispose:()=>{const i=this._implementations.indexOf(e);i!==-1&&this._implementations.splice(i,1)}}}getImplementations(){return this._implementations}};Cl(kk.ID,kk,3);sr(R6i);sr(aUe);sr(cUe);sr(F6i);sr(B6i);sr(W6i);sr(lUe);Nd(uUe);uW.register(dUe);rxe.register(new j6i);var z6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},$9e=function(l,e){return function(i,n){e(i,n,l)}},pie,lR;let Jre=(lR=class{constructor(e,i,n,s){this.textModelResolverService=i,this.languageService=n,this.languageFeaturesService=s,this.toUnhook=new wn,this.toUnhookForKeyboard=new wn,this.currentWordAtPosition=null,this.previousPromise=null,this.editor=e,this.linkDecorations=this.editor.createDecorationsCollection();const c=new Xwe(e);this.toUnhook.add(c),this.toUnhook.add(c.onMouseMoveOrRelevantKeyDown(([d,f])=>{this.startFindDefinitionFromMouse(d,f??void 0)})),this.toUnhook.add(c.onExecute(d=>{this.isEnabled(d)&&this.gotoDefinition(d.target.position,d.hasSideBySideModifier).catch(f=>{Pa(f)}).finally(()=>{this.removeLinkDecorations()})})),this.toUnhook.add(c.onCancel(()=>{this.removeLinkDecorations(),this.currentWordAtPosition=null}))}static get(e){return e.getContribution(pie.ID)}async startFindDefinitionFromCursor(e){await this.startFindDefinition(e),this.toUnhookForKeyboard.add(this.editor.onDidChangeCursorPosition(()=>{this.currentWordAtPosition=null,this.removeLinkDecorations(),this.toUnhookForKeyboard.clear()})),this.toUnhookForKeyboard.add(this.editor.onKeyDown(i=>{i&&(this.currentWordAtPosition=null,this.removeLinkDecorations(),this.toUnhookForKeyboard.clear())}))}startFindDefinitionFromMouse(e,i){if(e.target.type===9&&this.linkDecorations.length>0)return;if(!this.editor.hasModel()||!this.isEnabled(e,i)){this.currentWordAtPosition=null,this.removeLinkDecorations();return}const n=e.target.position;this.startFindDefinition(n)}async startFindDefinition(e){var d;this.toUnhookForKeyboard.clear();const i=e?(d=this.editor.getModel())==null?void 0:d.getWordAtPosition(e):null;if(!i){this.currentWordAtPosition=null,this.removeLinkDecorations();return}if(this.currentWordAtPosition&&this.currentWordAtPosition.startColumn===i.startColumn&&this.currentWordAtPosition.endColumn===i.endColumn&&this.currentWordAtPosition.word===i.word)return;this.currentWordAtPosition=i;const n=new KIt(this.editor,15);this.previousPromise&&(this.previousPromise.cancel(),this.previousPromise=null),this.previousPromise=Om(f=>this.findDefinition(e,f));let s;try{s=await this.previousPromise}catch(f){Pa(f);return}if(!s||!s.length||!n.validate(this.editor)){this.removeLinkDecorations();return}const c=s[0].originSelectionRange?nt.lift(s[0].originSelectionRange):new nt(e.lineNumber,i.startColumn,e.lineNumber,i.endColumn);if(s.length>1){let f=c;for(const{originSelectionRange:m}of s)m&&(f=nt.plusRange(f,m));this.addDecoration(f,new m1().appendText(W("multipleResults","Click to show {0} definitions.",s.length)))}else{const f=s[0];if(!f.uri)return;this.textModelResolverService.createModelReference(f.uri).then(m=>{if(!m.object||!m.object.textEditorModel){m.dispose();return}const{object:{textEditorModel:v}}=m,{startLineNumber:x}=f.range;if(x<1||x>v.getLineCount()){m.dispose();return}const w=this.getPreviewValue(v,x,f),I=this.languageService.guessLanguageIdByFilepathOrFirstLine(v.uri);this.addDecoration(c,w?new m1().appendCodeblock(I||"",w):void 0),m.dispose()})}}getPreviewValue(e,i,n){let s=n.range;return s.endLineNumber-s.startLineNumber>=pie.MAX_SOURCE_PREVIEW_LINES&&(s=this.getPreviewRangeBasedOnIndentation(e,i)),this.stripIndentationFromPreviewRange(e,i,s)}stripIndentationFromPreviewRange(e,i,n){let c=e.getLineFirstNonWhitespaceColumn(i);for(let f=i+1;f<n.endLineNumber;f++){const m=e.getLineFirstNonWhitespaceColumn(f);c=Math.min(c,m)}return e.getValueInRange(n).replace(new RegExp(`^\\s{${c-1}}`,"gm"),"").trim()}getPreviewRangeBasedOnIndentation(e,i){const n=e.getLineFirstNonWhitespaceColumn(i),s=Math.min(e.getLineCount(),i+pie.MAX_SOURCE_PREVIEW_LINES);let c=i+1;for(;c<s;c++){const d=e.getLineFirstNonWhitespaceColumn(c);if(n===d)break}return new nt(i,1,c+1,1)}addDecoration(e,i){const n={range:e,options:{description:"goto-definition-link",inlineClassName:"goto-definition-link",hoverMessage:i}};this.linkDecorations.set([n])}removeLinkDecorations(){this.linkDecorations.clear()}isEnabled(e,i){var n;return this.editor.hasModel()&&e.isLeftClick&&e.isNoneOrSingleMouseDown&&e.target.type===6&&!(((n=e.target.detail.injectedText)==null?void 0:n.options)instanceof ER)&&(e.hasTriggerModifier||(i?i.keyCodeIsTriggerKey:!1))&&this.languageFeaturesService.definitionProvider.has(this.editor.getModel())}findDefinition(e,i){const n=this.editor.getModel();return n?Use(this.languageFeaturesService.definitionProvider,n,e,!1,i):Promise.resolve(null)}gotoDefinition(e,i){return this.editor.setPosition(e),this.editor.invokeWithinContext(n=>{const s=!i&&this.editor.getOption(89)&&!this.isInPeekEditor(n);return new $se({openToSide:i,openInPeek:s,muteMessage:!0},{title:{value:"",original:""},id:"",precondition:void 0}).run(n)})}isInPeekEditor(e){const i=e.get(Ko);return Pb.inPeekEditor.getValue(i)}dispose(){this.toUnhook.dispose(),this.toUnhookForKeyboard.dispose()}},pie=lR,lR.ID="editor.contrib.gotodefinitionatposition",lR.MAX_SOURCE_PREVIEW_LINES=8,lR);Jre=pie=z6i([$9e(1,Ob),$9e(2,Pf),$9e(3,Ts)],Jre);Cl(Jre.ID,Jre,2);var hPt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},wbe=function(l,e){return function(i,n){e(i,n,l)}};class Bxt{constructor(e,i,n){this.marker=e,this.index=i,this.total=n}}let fUe=class{constructor(e,i,n){this._markerService=i,this._configService=n,this._onDidChange=new gi,this.onDidChange=this._onDidChange.event,this._dispoables=new wn,this._markers=[],this._nextIdx=-1,yo.isUri(e)?this._resourceFilter=f=>f.toString()===e.toString():e&&(this._resourceFilter=e);const s=this._configService.getValue("problems.sortOrder"),c=(f,m)=>{let v=Rne(f.resource.toString(),m.resource.toString());return v===0&&(s==="position"?v=nt.compareRangesUsingStarts(f,m)||Rh.compare(f.severity,m.severity):v=Rh.compare(f.severity,m.severity)||nt.compareRangesUsingStarts(f,m)),v},d=()=>{this._markers=this._markerService.read({resource:yo.isUri(e)?e:void 0,severities:Rh.Error|Rh.Warning|Rh.Info}),typeof e=="function"&&(this._markers=this._markers.filter(f=>this._resourceFilter(f.resource))),this._markers.sort(c)};d(),this._dispoables.add(i.onMarkerChanged(f=>{(!this._resourceFilter||f.some(m=>this._resourceFilter(m)))&&(d(),this._nextIdx=-1,this._onDidChange.fire())}))}dispose(){this._dispoables.dispose(),this._onDidChange.dispose()}matches(e){return!this._resourceFilter&&!e?!0:!this._resourceFilter||!e?!1:this._resourceFilter(e)}get selected(){const e=this._markers[this._nextIdx];return e&&new Bxt(e,this._nextIdx+1,this._markers.length)}_initIdx(e,i,n){let s=!1,c=this._markers.findIndex(d=>d.resource.toString()===e.uri.toString());c<0&&(c=Dne(this._markers,{resource:e.uri},(d,f)=>Rne(d.resource.toString(),f.resource.toString())),c<0&&(c=~c));for(let d=c;d<this._markers.length;d++){let f=nt.lift(this._markers[d]);if(f.isEmpty()){const m=e.getWordAtPosition(f.getStartPosition());m&&(f=new nt(f.startLineNumber,m.startColumn,f.startLineNumber,m.endColumn))}if(i&&(f.containsPosition(i)||i.isBeforeOrEqual(f.getStartPosition()))){this._nextIdx=d,s=!0;break}if(this._markers[d].resource.toString()!==e.uri.toString())break}s||(this._nextIdx=n?0:this._markers.length-1),this._nextIdx<0&&(this._nextIdx=this._markers.length-1)}resetIndex(){this._nextIdx=-1}move(e,i,n){if(this._markers.length===0)return!1;const s=this._nextIdx;return this._nextIdx===-1?this._initIdx(i,n,e):e?this._nextIdx=(this._nextIdx+1)%this._markers.length:e||(this._nextIdx=(this._nextIdx-1+this._markers.length)%this._markers.length),s!==this._nextIdx}find(e,i){let n=this._markers.findIndex(s=>s.resource.toString()===e.toString());if(!(n<0)){for(;n<this._markers.length;n++)if(nt.containsPosition(this._markers[n],i))return new Bxt(this._markers[n],n+1,this._markers.length)}}};fUe=hPt([wbe(1,zL),wbe(2,Cc)],fUe);const _Pt=jc("IMarkerNavigationService");let hUe=class{constructor(e,i){this._markerService=e,this._configService=i,this._provider=new _v}getMarkerList(e){for(const i of this._provider){const n=i.getMarkerList(e);if(n)return n}return new fUe(e,this._markerService,this._configService)}};hUe=hPt([wbe(0,zL),wbe(1,Cc)],hUe);nl(_Pt,hUe,1);var _Ue;(function(l){function e(i){switch(i){case S_.Ignore:return"severity-ignore "+zo.asClassName(pr.info);case S_.Info:return zo.asClassName(pr.info);case S_.Warning:return zo.asClassName(pr.warning);case S_.Error:return zo.asClassName(pr.error);default:return""}}l.className=e})(_Ue||(_Ue={}));var U6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},VU=function(l,e){return function(i,n){e(i,n,l)}},pUe;class q6i{constructor(e,i,n,s,c){this._openerService=s,this._labelService=c,this._lines=0,this._longestLineLength=0,this._relatedDiagnostics=new WeakMap,this._disposables=new wn,this._editor=i;const d=document.createElement("div");d.className="descriptioncontainer",this._messageBlock=document.createElement("div"),this._messageBlock.classList.add("message"),this._messageBlock.setAttribute("aria-live","assertive"),this._messageBlock.setAttribute("role","alert"),d.appendChild(this._messageBlock),this._relatedBlock=document.createElement("div"),d.appendChild(this._relatedBlock),this._disposables.add(ph(this._relatedBlock,"click",f=>{f.preventDefault();const m=this._relatedDiagnostics.get(f.target);m&&n(m)})),this._scrollable=new JTt(d,{horizontal:1,vertical:1,useShadows:!1,horizontalScrollbarSize:6,verticalScrollbarSize:6}),e.appendChild(this._scrollable.getDomNode()),this._disposables.add(this._scrollable.onScroll(f=>{d.style.left=`-${f.scrollLeft}px`,d.style.top=`-${f.scrollTop}px`})),this._disposables.add(this._scrollable)}dispose(){vd(this._disposables)}update(e){const{source:i,message:n,relatedInformation:s,code:c}=e;let d=((i==null?void 0:i.length)||0)+2;c&&(typeof c=="string"?d+=c.length:d+=c.value.length);const f=BL(n);this._lines=f.length,this._longestLineLength=0;for(const I of f)this._longestLineLength=Math.max(I.length+d,this._longestLineLength);s0(this._messageBlock),this._messageBlock.setAttribute("aria-label",this.getAriaLabel(e)),this._editor.applyFontInfo(this._messageBlock);let m=this._messageBlock;for(const I of f)m=document.createElement("div"),m.innerText=I,I===""&&(m.style.height=this._messageBlock.style.lineHeight),this._messageBlock.appendChild(m);if(i||c){const I=document.createElement("span");if(I.classList.add("details"),m.appendChild(I),i){const P=document.createElement("span");P.innerText=i,P.classList.add("source"),I.appendChild(P)}if(c)if(typeof c=="string"){const P=document.createElement("span");P.innerText=`(${c})`,P.classList.add("code"),I.appendChild(P)}else{this._codeLink=Cr("a.code-link"),this._codeLink.setAttribute("href",`${c.target.toString()}`),this._codeLink.onclick=O=>{this._openerService.open(c.target,{allowCommands:!0}),O.preventDefault(),O.stopPropagation()};const P=jn(this._codeLink,Cr("span"));P.innerText=c.value,I.appendChild(this._codeLink)}}if(s0(this._relatedBlock),this._editor.applyFontInfo(this._relatedBlock),ky(s)){const I=this._relatedBlock.appendChild(document.createElement("div"));I.style.paddingTop=`${Math.floor(this._editor.getOption(67)*.66)}px`,this._lines+=1;for(const P of s){const O=document.createElement("div"),z=document.createElement("a");z.classList.add("filename"),z.innerText=`${this._labelService.getUriBasenameLabel(P.resource)}(${P.startLineNumber}, ${P.startColumn}): `,z.title=this._labelService.getUriLabel(P.resource),this._relatedDiagnostics.set(z,P);const J=document.createElement("span");J.innerText=P.message,O.appendChild(z),O.appendChild(J),this._lines+=1,I.appendChild(O)}}const v=this._editor.getOption(50),x=Math.ceil(v.typicalFullwidthCharacterWidth*this._longestLineLength*.75),w=v.lineHeight*this._lines;this._scrollable.setScrollDimensions({scrollWidth:x,scrollHeight:w})}layout(e,i){this._scrollable.getDomNode().style.height=`${e}px`,this._scrollable.getDomNode().style.width=`${i}px`,this._scrollable.setScrollDimensions({width:i,height:e})}getHeightInLines(){return Math.min(17,this._lines)}getAriaLabel(e){let i="";switch(e.severity){case Rh.Error:i=W("Error","Error");break;case Rh.Warning:i=W("Warning","Warning");break;case Rh.Info:i=W("Info","Info");break;case Rh.Hint:i=W("Hint","Hint");break}let n=W("marker aria","{0} at {1}. ",i,e.startLineNumber+":"+e.startColumn);const s=this._editor.getModel();return s&&e.startLineNumber<=s.getLineCount()&&e.startLineNumber>=1&&(n=`${s.getLineContent(e.startLineNumber)}, ${n}`),n}}var nB;let Gre=(nB=class extends ebe{constructor(e,i,n,s,c,d,f){super(e,{showArrow:!0,showFrame:!0,isAccessible:!0,frameWidth:1},c),this._themeService=i,this._openerService=n,this._menuService=s,this._contextKeyService=d,this._labelService=f,this._callOnDispose=new wn,this._onDidSelectRelatedInformation=new gi,this.onDidSelectRelatedInformation=this._onDidSelectRelatedInformation.event,this._severity=Rh.Warning,this._backgroundColor=In.white,this._applyTheme(i.getColorTheme()),this._callOnDispose.add(i.onDidColorThemeChange(this._applyTheme.bind(this))),this.create()}_applyTheme(e){this._backgroundColor=e.getColor(K6i);let i=mUe,n=$6i;this._severity===Rh.Warning?(i=O1e,n=J6i):this._severity===Rh.Info&&(i=gUe,n=G6i);const s=e.getColor(i),c=e.getColor(n);this.style({arrowColor:s,frameColor:s,headerBackgroundColor:c,primaryHeadingColor:e.getColor(PLt),secondaryHeadingColor:e.getColor(ALt)})}_applyStyles(){this._parentContainer&&(this._parentContainer.style.backgroundColor=this._backgroundColor?this._backgroundColor.toString():""),super._applyStyles()}dispose(){this._callOnDispose.dispose(),super.dispose()}_fillHead(e){super._fillHead(e),this._disposables.add(this._actionbarWidget.actionRunner.onWillRun(s=>this.editor.focus()));const i=[],n=this._menuService.getMenuActions(pUe.TitleMenu,this._contextKeyService);vwe(n,i),this._actionbarWidget.push(i,{label:!1,icon:!0,index:0})}_fillTitleIcon(e){this._icon=jn(e,Cr(""))}_fillBody(e){this._parentContainer=e,e.classList.add("marker-widget"),this._parentContainer.tabIndex=0,this._parentContainer.setAttribute("role","tooltip"),this._container=document.createElement("div"),e.appendChild(this._container),this._message=new q6i(this._container,this.editor,i=>this._onDidSelectRelatedInformation.fire(i),this._openerService,this._labelService),this._disposables.add(this._message)}show(){throw new Error("call showAtMarker")}showAtMarker(e,i,n){this._container.classList.remove("stale"),this._message.update(e),this._severity=e.severity,this._applyTheme(this._themeService.getColorTheme());const s=nt.lift(e),c=this.editor.getPosition(),d=c&&s.containsPosition(c)?c:s.getStartPosition();super.show(d,this.computeRequiredHeight());const f=this.editor.getModel();if(f){const m=n>1?W("problems","{0} of {1} problems",i,n):W("change","{0} of {1} problem",i,n);this.setTitle(wk(f.uri),m)}this._icon.className=`codicon ${_Ue.className(Rh.toSeverity(this._severity))}`,this.editor.revealPositionNearTop(d,0),this.editor.focus()}updateMarker(e){this._container.classList.remove("stale"),this._message.update(e)}showStale(){this._container.classList.add("stale"),this._relayout()}_doLayoutBody(e,i){super._doLayoutBody(e,i),this._heightInPixel=e,this._message.layout(e,i),this._container.style.height=`${e}px`}_onWidth(e){this._message.layout(this._heightInPixel,e)}_relayout(){super._relayout(this.computeRequiredHeight())}computeRequiredHeight(){return 3+this._message.getHeightInLines()}},pUe=nB,nB.TitleMenu=new As("gotoErrorTitleMenu"),nB);Gre=pUe=U6i([VU(1,im),VU(2,Rb),VU(3,Lw),VU(4,ho),VU(5,Ko),VU(6,F$)],Gre);const Wxt=Jne(twe,Y_i),Vxt=Jne(u6,Gne),Hxt=Jne(LL,Kne),mUe=It("editorMarkerNavigationError.background",{dark:Wxt,light:Wxt,hcDark:bl,hcLight:bl},W("editorMarkerNavigationError","Editor marker navigation widget error color.")),$6i=It("editorMarkerNavigationError.headerBackground",{dark:hc(mUe,.1),light:hc(mUe,.1),hcDark:null,hcLight:null},W("editorMarkerNavigationErrorHeaderBackground","Editor marker navigation widget error heading background.")),O1e=It("editorMarkerNavigationWarning.background",{dark:Vxt,light:Vxt,hcDark:bl,hcLight:bl},W("editorMarkerNavigationWarning","Editor marker navigation widget warning color.")),J6i=It("editorMarkerNavigationWarning.headerBackground",{dark:hc(O1e,.1),light:hc(O1e,.1),hcDark:"#0C141F",hcLight:hc(O1e,.2)},W("editorMarkerNavigationWarningBackground","Editor marker navigation widget warning heading background.")),gUe=It("editorMarkerNavigationInfo.background",{dark:Hxt,light:Hxt,hcDark:bl,hcLight:bl},W("editorMarkerNavigationInfo","Editor marker navigation widget info color.")),G6i=It("editorMarkerNavigationInfo.headerBackground",{dark:hc(gUe,.1),light:hc(gUe,.1),hcDark:null,hcLight:null},W("editorMarkerNavigationInfoHeaderBackground","Editor marker navigation widget info heading background.")),K6i=It("editorMarkerNavigation.background",wT,W("editorMarkerNavigationBackground","Editor marker navigation widget background."));var X6i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},J0e=function(l,e){return function(i,n){e(i,n,l)}},mie,rB;let $B=(rB=class{static get(e){return e.getContribution(mie.ID)}constructor(e,i,n,s,c){this._markerNavigationService=i,this._contextKeyService=n,this._editorService=s,this._instantiationService=c,this._sessionDispoables=new wn,this._editor=e,this._widgetVisible=pPt.bindTo(this._contextKeyService)}dispose(){this._cleanUp(),this._sessionDispoables.dispose()}_cleanUp(){this._widgetVisible.reset(),this._sessionDispoables.clear(),this._widget=void 0,this._model=void 0}_getOrCreateModel(e){if(this._model&&this._model.matches(e))return this._model;let i=!1;return this._model&&(i=!0,this._cleanUp()),this._model=this._markerNavigationService.getMarkerList(e),i&&this._model.move(!0,this._editor.getModel(),this._editor.getPosition()),this._widget=this._instantiationService.createInstance(Gre,this._editor),this._widget.onDidClose(()=>this.close(),this,this._sessionDispoables),this._widgetVisible.set(!0),this._sessionDispoables.add(this._model),this._sessionDispoables.add(this._widget),this._sessionDispoables.add(this._editor.onDidChangeCursorPosition(n=>{var s,c,d;(!((s=this._model)!=null&&s.selected)||!nt.containsPosition((c=this._model)==null?void 0:c.selected.marker,n.position))&&((d=this._model)==null||d.resetIndex())})),this._sessionDispoables.add(this._model.onDidChange(()=>{if(!this._widget||!this._widget.position||!this._model)return;const n=this._model.find(this._editor.getModel().uri,this._widget.position);n?this._widget.updateMarker(n.marker):this._widget.showStale()})),this._sessionDispoables.add(this._widget.onDidSelectRelatedInformation(n=>{this._editorService.openCodeEditor({resource:n.resource,options:{pinned:!0,revealIfOpened:!0,selection:nt.lift(n).collapseToStart()}},this._editor),this.close(!1)})),this._sessionDispoables.add(this._editor.onDidChangeModel(()=>this._cleanUp())),this._model}close(e=!0){this._cleanUp(),e&&this._editor.focus()}showAtMarker(e){if(this._editor.hasModel()){const i=this._getOrCreateModel(this._editor.getModel().uri);i.resetIndex(),i.move(!0,this._editor.getModel(),new pi(e.startLineNumber,e.startColumn)),i.selected&&this._widget.showAtMarker(i.selected.marker,i.selected.index,i.selected.total)}}async nagivate(e,i){var n,s;if(this._editor.hasModel()){const c=this._getOrCreateModel(i?void 0:this._editor.getModel().uri);if(c.move(e,this._editor.getModel(),this._editor.getPosition()),!c.selected)return;if(c.selected.marker.resource.toString()!==this._editor.getModel().uri.toString()){this._cleanUp();const d=await this._editorService.openCodeEditor({resource:c.selected.marker.resource,options:{pinned:!1,revealIfOpened:!0,selectionRevealType:2,selection:c.selected.marker}},this._editor);d&&((n=mie.get(d))==null||n.close(),(s=mie.get(d))==null||s.nagivate(e,i))}else this._widget.showAtMarker(c.selected.marker,c.selected.index,c.selected.total)}}},mie=rB,rB.ID="editor.contrib.markerController",rB);$B=mie=X6i([J0e(1,_Pt),J0e(2,Ko),J0e(3,Bl),J0e(4,ho)],$B);class sxe extends hs{constructor(e,i,n){super(n),this._next=e,this._multiFile=i}async run(e,i){var n;i.hasModel()&&((n=$B.get(i))==null||n.nagivate(this._next,this._multiFile))}}const e9=class e9 extends sxe{constructor(){super(!0,!1,{id:e9.ID,label:e9.LABEL,alias:"Go to Next Problem (Error, Warning, Info)",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:578,weight:100},menuOpts:{menuId:Gre.TitleMenu,title:e9.LABEL,icon:sf("marker-navigation-next",pr.arrowDown,W("nextMarkerIcon","Icon for goto next marker.")),group:"navigation",order:1}})}};e9.ID="editor.action.marker.next",e9.LABEL=W("markerAction.next.label","Go to Next Problem (Error, Warning, Info)");let xbe=e9;const t9=class t9 extends sxe{constructor(){super(!1,!1,{id:t9.ID,label:t9.LABEL,alias:"Go to Previous Problem (Error, Warning, Info)",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:1602,weight:100},menuOpts:{menuId:Gre.TitleMenu,title:t9.LABEL,icon:sf("marker-navigation-previous",pr.arrowUp,W("previousMarkerIcon","Icon for goto previous marker.")),group:"navigation",order:2}})}};t9.ID="editor.action.marker.prev",t9.LABEL=W("markerAction.previous.label","Go to Previous Problem (Error, Warning, Info)");let yUe=t9;class Q6i extends sxe{constructor(){super(!0,!0,{id:"editor.action.marker.nextInFiles",label:W("markerAction.nextInFiles.label","Go to Next Problem in Files (Error, Warning, Info)"),alias:"Go to Next Problem in Files (Error, Warning, Info)",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:66,weight:100},menuOpts:{menuId:As.MenubarGoMenu,title:W({key:"miGotoNextProblem",comment:["&& denotes a mnemonic"]},"Next &&Problem"),group:"6_problem_nav",order:1}})}}class Z6i extends sxe{constructor(){super(!1,!0,{id:"editor.action.marker.prevInFiles",label:W("markerAction.previousInFiles.label","Go to Previous Problem in Files (Error, Warning, Info)"),alias:"Go to Previous Problem in Files (Error, Warning, Info)",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:1090,weight:100},menuOpts:{menuId:As.MenubarGoMenu,title:W({key:"miGotoPreviousProblem",comment:["&& denotes a mnemonic"]},"Previous &&Problem"),group:"6_problem_nav",order:2}})}}Cl($B.ID,$B,4);sr(xbe);sr(yUe);sr(Q6i);sr(Z6i);const pPt=new rs("markersNavigationVisible",!1),Y6i=tm.bindToContribution($B.get);$r(new Y6i({id:"closeMarkersNavigation",precondition:pPt,handler:l=>l.close(),kbOpts:{weight:150,kbExpr:Tt.focus,primary:9,secondary:[1033]}}));var mE;(function(l){l.NoAutoFocus="noAutoFocus",l.FocusIfVisible="focusIfVisible",l.AutoFocusImmediately="autoFocusImmediately"})(mE||(mE={}));class e3i extends hs{constructor(){super({id:vLt,label:W({key:"showOrFocusHover",comment:["Label for action that will trigger the showing/focusing of a hover in the editor.","If the hover is not visible, it will show the hover.","This allows for users to show the hover without using the mouse."]},"Show or Focus Hover"),metadata:{description:aa("showOrFocusHoverDescription","Show or focus the editor hover which shows documentation, references, and other content for a symbol at the current cursor position."),args:[{name:"args",schema:{type:"object",properties:{focus:{description:"Controls if and when the hover should take focus upon being triggered by this action.",enum:[mE.NoAutoFocus,mE.FocusIfVisible,mE.AutoFocusImmediately],enumDescriptions:[W("showOrFocusHover.focus.noAutoFocus","The hover will not automatically take focus."),W("showOrFocusHover.focus.focusIfVisible","The hover will take focus only if it is already visible."),W("showOrFocusHover.focus.autoFocusImmediately","The hover will automatically take focus when it appears.")],default:mE.FocusIfVisible}}}}]},alias:"Show or Focus Hover",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2087),weight:100}})}run(e,i,n){if(!i.hasModel())return;const s=Dy.get(i);if(!s)return;const c=n==null?void 0:n.focus;let d=mE.FocusIfVisible;Object.values(mE).includes(c)?d=c:typeof c=="boolean"&&c&&(d=mE.AutoFocusImmediately);const f=v=>{const x=i.getPosition(),w=new nt(x.lineNumber,x.column,x.lineNumber,x.column);s.showContentHover(w,1,1,v)},m=i.getOption(2)===2;s.isHoverVisible?d!==mE.NoAutoFocus?s.focus():f(m):f(m||d===mE.AutoFocusImmediately)}}class t3i extends hs{constructor(){super({id:gLi,label:W({key:"showDefinitionPreviewHover",comment:["Label for action that will trigger the showing of definition preview hover in the editor.","This allows for users to show the definition preview hover without using the mouse."]},"Show Definition Preview Hover"),alias:"Show Definition Preview Hover",precondition:void 0,metadata:{description:aa("showDefinitionPreviewHoverDescription","Show the definition preview hover in the editor.")}})}run(e,i){const n=Dy.get(i);if(!n)return;const s=i.getPosition();if(!s)return;const c=new nt(s.lineNumber,s.column,s.lineNumber,s.column),d=Jre.get(i);if(!d)return;d.startFindDefinitionFromCursor(s).then(()=>{n.showContentHover(c,1,1,!0)})}}class i3i extends hs{constructor(){super({id:yLi,label:W({key:"scrollUpHover",comment:["Action that allows to scroll up in the hover widget with the up arrow when the hover widget is focused."]},"Scroll Up Hover"),alias:"Scroll Up Hover",precondition:Tt.hoverFocused,kbOpts:{kbExpr:Tt.hoverFocused,primary:16,weight:100},metadata:{description:aa("scrollUpHoverDescription","Scroll up the editor hover.")}})}run(e,i){const n=Dy.get(i);n&&n.scrollUp()}}class n3i extends hs{constructor(){super({id:vLi,label:W({key:"scrollDownHover",comment:["Action that allows to scroll down in the hover widget with the up arrow when the hover widget is focused."]},"Scroll Down Hover"),alias:"Scroll Down Hover",precondition:Tt.hoverFocused,kbOpts:{kbExpr:Tt.hoverFocused,primary:18,weight:100},metadata:{description:aa("scrollDownHoverDescription","Scroll down the editor hover.")}})}run(e,i){const n=Dy.get(i);n&&n.scrollDown()}}class r3i extends hs{constructor(){super({id:bLi,label:W({key:"scrollLeftHover",comment:["Action that allows to scroll left in the hover widget with the left arrow when the hover widget is focused."]},"Scroll Left Hover"),alias:"Scroll Left Hover",precondition:Tt.hoverFocused,kbOpts:{kbExpr:Tt.hoverFocused,primary:15,weight:100},metadata:{description:aa("scrollLeftHoverDescription","Scroll left the editor hover.")}})}run(e,i){const n=Dy.get(i);n&&n.scrollLeft()}}class s3i extends hs{constructor(){super({id:CLi,label:W({key:"scrollRightHover",comment:["Action that allows to scroll right in the hover widget with the right arrow when the hover widget is focused."]},"Scroll Right Hover"),alias:"Scroll Right Hover",precondition:Tt.hoverFocused,kbOpts:{kbExpr:Tt.hoverFocused,primary:17,weight:100},metadata:{description:aa("scrollRightHoverDescription","Scroll right the editor hover.")}})}run(e,i){const n=Dy.get(i);n&&n.scrollRight()}}class o3i extends hs{constructor(){super({id:SLi,label:W({key:"pageUpHover",comment:["Action that allows to page up in the hover widget with the page up command when the hover widget is focused."]},"Page Up Hover"),alias:"Page Up Hover",precondition:Tt.hoverFocused,kbOpts:{kbExpr:Tt.hoverFocused,primary:11,secondary:[528],weight:100},metadata:{description:aa("pageUpHoverDescription","Page up the editor hover.")}})}run(e,i){const n=Dy.get(i);n&&n.pageUp()}}class a3i extends hs{constructor(){super({id:wLi,label:W({key:"pageDownHover",comment:["Action that allows to page down in the hover widget with the page down command when the hover widget is focused."]},"Page Down Hover"),alias:"Page Down Hover",precondition:Tt.hoverFocused,kbOpts:{kbExpr:Tt.hoverFocused,primary:12,secondary:[530],weight:100},metadata:{description:aa("pageDownHoverDescription","Page down the editor hover.")}})}run(e,i){const n=Dy.get(i);n&&n.pageDown()}}class c3i extends hs{constructor(){super({id:xLi,label:W({key:"goToTopHover",comment:["Action that allows to go to the top of the hover widget with the home command when the hover widget is focused."]},"Go To Top Hover"),alias:"Go To Bottom Hover",precondition:Tt.hoverFocused,kbOpts:{kbExpr:Tt.hoverFocused,primary:14,secondary:[2064],weight:100},metadata:{description:aa("goToTopHoverDescription","Go to the top of the editor hover.")}})}run(e,i){const n=Dy.get(i);n&&n.goToTop()}}class l3i extends hs{constructor(){super({id:kLi,label:W({key:"goToBottomHover",comment:["Action that allows to go to the bottom in the hover widget with the end command when the hover widget is focused."]},"Go To Bottom Hover"),alias:"Go To Bottom Hover",precondition:Tt.hoverFocused,kbOpts:{kbExpr:Tt.hoverFocused,primary:13,secondary:[2066],weight:100},metadata:{description:aa("goToBottomHoverDescription","Go to the bottom of the editor hover.")}})}run(e,i){const n=Dy.get(i);n&&n.goToBottom()}}class u3i extends hs{constructor(){super({id:Jwe,label:TLi,alias:"Increase Hover Verbosity Level",precondition:Tt.hoverVisible})}run(e,i,n){const s=Dy.get(i);if(!s)return;const c=(n==null?void 0:n.index)!==void 0?n.index:s.focusedHoverPartIndex();s.updateHoverVerbosityLevel(VC.Increase,c,n==null?void 0:n.focus)}}class d3i extends hs{constructor(){super({id:Gwe,label:DLi,alias:"Decrease Hover Verbosity Level",precondition:Tt.hoverVisible})}run(e,i,n){var d;const s=Dy.get(i);if(!s)return;const c=(n==null?void 0:n.index)!==void 0?n.index:s.focusedHoverPartIndex();(d=Dy.get(i))==null||d.updateHoverVerbosityLevel(VC.Decrease,c,n==null?void 0:n.focus)}}var f3i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},J9e=function(l,e){return function(i,n){e(i,n,l)}};const eT=Cr;class h3i{constructor(e,i,n){this.owner=e,this.range=i,this.marker=n}isValidForHoverAnchor(e){return e.type===1&&this.range.startColumn<=e.range.startColumn&&this.range.endColumn>=e.range.endColumn}}const jxt={type:1,filter:{include:gf.QuickFix},triggerAction:YC.QuickFixHover};let vUe=class{constructor(e,i,n,s){this._editor=e,this._markerDecorationsService=i,this._openerService=n,this._languageFeaturesService=s,this.hoverOrdinal=1,this.recentMarkerCodeActionsInfo=void 0}computeSync(e,i){if(!this._editor.hasModel()||e.type!==1&&!e.supportsMarkerHover)return[];const n=this._editor.getModel(),s=e.range.startLineNumber,c=n.getLineMaxColumn(s),d=[];for(const f of i){const m=f.range.startLineNumber===s?f.range.startColumn:1,v=f.range.endLineNumber===s?f.range.endColumn:c,x=this._markerDecorationsService.getMarker(n.uri,f);if(!x)continue;const w=new nt(e.range.startLineNumber,m,e.range.startLineNumber,v);d.push(new h3i(this,w,x))}return d}renderHoverParts(e,i){if(!i.length)return new zB([]);const n=new wn,s=[];i.forEach(d=>{const f=this._renderMarkerHover(d);e.fragment.appendChild(f.hoverElement),s.push(f)});const c=i.length===1?i[0]:i.sort((d,f)=>Rh.compare(d.marker.severity,f.marker.severity))[0];return this.renderMarkerStatusbar(e,c,n),new zB(s)}_renderMarkerHover(e){const i=new wn,n=eT("div.hover-row"),s=jn(n,eT("div.marker.hover-contents")),{source:c,message:d,code:f,relatedInformation:m}=e.marker;this._editor.applyFontInfo(s);const v=jn(s,eT("span"));if(v.style.whiteSpace="pre-wrap",v.innerText=d,c||f)if(f&&typeof f!="string"){const w=eT("span");if(c){const z=jn(w,eT("span"));z.innerText=c}const I=jn(w,eT("a.code-link"));I.setAttribute("href",f.target.toString()),i.add(en(I,"click",z=>{this._openerService.open(f.target,{allowCommands:!0}),z.preventDefault(),z.stopPropagation()}));const P=jn(I,eT("span"));P.innerText=f.value;const O=jn(s,w);O.style.opacity="0.6",O.style.paddingLeft="6px"}else{const w=jn(s,eT("span"));w.style.opacity="0.6",w.style.paddingLeft="6px",w.innerText=c&&f?`${c}(${f})`:c||`(${f})`}if(ky(m))for(const{message:w,resource:I,startLineNumber:P,startColumn:O}of m){const z=jn(s,eT("div"));z.style.marginTop="8px";const J=jn(z,eT("a"));J.innerText=`${wk(I)}(${P}, ${O}): `,J.style.cursor="pointer",i.add(en(J,"click",ae=>{if(ae.stopPropagation(),ae.preventDefault(),this._openerService){const me={selection:{startLineNumber:P,startColumn:O}};this._openerService.open(I,{fromUserGesture:!0,editorOptions:me}).catch(Pa)}}));const Y=jn(z,eT("span"));Y.innerText=w,this._editor.applyFontInfo(Y)}return{hoverPart:e,hoverElement:n,dispose:()=>i.dispose()}}renderMarkerStatusbar(e,i,n){if(i.marker.severity===Rh.Error||i.marker.severity===Rh.Warning||i.marker.severity===Rh.Info){const s=$B.get(this._editor);s&&e.statusBar.addAction({label:W("view problem","View Problem"),commandId:xbe.ID,run:()=>{e.hide(),s.showAtMarker(i.marker),this._editor.focus()}})}if(!this._editor.getOption(92)){const s=e.statusBar.append(eT("div"));this.recentMarkerCodeActionsInfo&&($ye.makeKey(this.recentMarkerCodeActionsInfo.marker)===$ye.makeKey(i.marker)?this.recentMarkerCodeActionsInfo.hasCodeActions||(s.textContent=W("noQuickFixes","No quick fixes available")):this.recentMarkerCodeActionsInfo=void 0);const c=this.recentMarkerCodeActionsInfo&&!this.recentMarkerCodeActionsInfo.hasCodeActions?xi.None:CR(()=>s.textContent=W("checkingForQuickFixes","Checking for quick fixes..."),200,n);s.textContent||(s.textContent=" ");const d=this.getCodeActions(i.marker);n.add(fo(()=>d.cancel())),d.then(f=>{if(c.dispose(),this.recentMarkerCodeActionsInfo={marker:i.marker,hasCodeActions:f.validActions.length>0},!this.recentMarkerCodeActionsInfo.hasCodeActions){f.dispose(),s.textContent=W("noQuickFixes","No quick fixes available");return}s.style.display="none";let m=!1;n.add(fo(()=>{m||f.dispose()})),e.statusBar.addAction({label:W("quick fixes","Quick Fix..."),commandId:FGe,run:v=>{m=!0;const x=X$.get(this._editor),w=e_(v);e.hide(),x==null||x.showCodeActions(jxt,f,{x:w.left,y:w.top,width:w.width,height:w.height})}})},Pa)}}getCodeActions(e){return Om(i=>mq(this._languageFeaturesService.codeActionProvider,this._editor.getModel(),new nt(e.startLineNumber,e.startColumn,e.endLineNumber,e.endColumn),jxt,NE.None,i))}};vUe=f3i([J9e(1,lJe),J9e(2,Rb),J9e(3,Ts)],vUe);class _3i{get lineNumber(){return this._lineNumber}set lineNumber(e){this._lineNumber=e}get lane(){return this._laneOrLine}set lane(e){this._laneOrLine=e}constructor(e){this._editor=e,this._lineNumber=-1,this._laneOrLine=CT.Center}computeSync(){var c;const e=d=>({value:d}),i=this._editor.getLineDecorations(this._lineNumber),n=[],s=this._laneOrLine==="lineNo";if(!i)return n;for(const d of i){const f=((c=d.options.glyphMargin)==null?void 0:c.position)??CT.Center;if(!s&&f!==this._laneOrLine)continue;const m=s?d.options.lineNumberHoverMessage:d.options.glyphMarginHoverMessage;!m||M$(m)||n.push(...Vqe(m).map(e))}return n}}var p3i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},zxt=function(l,e){return function(i,n){e(i,n,l)}},bUe;const Uxt=Cr;var sB;let CUe=(sB=class extends xi{constructor(e,i,n){super(),this._renderDisposeables=this._register(new wn),this._editor=e,this._isVisible=!1,this._messages=[],this._hover=this._register(new H$e),this._hover.containerDomNode.classList.toggle("hidden",!this._isVisible),this._markdownRenderer=this._register(new d6({editor:this._editor},i,n)),this._computer=new _3i(this._editor),this._hoverOperation=this._register(new wLt(this._editor,this._computer)),this._register(this._hoverOperation.onResult(s=>{this._withResult(s.value)})),this._register(this._editor.onDidChangeModelDecorations(()=>this._onModelDecorationsChanged())),this._register(this._editor.onDidChangeConfiguration(s=>{s.hasChanged(50)&&this._updateFont()})),this._register(ph(this._hover.containerDomNode,"mouseleave",s=>{this._onMouseLeave(s)})),this._editor.addOverlayWidget(this)}dispose(){this._editor.removeOverlayWidget(this),super.dispose()}getId(){return bUe.ID}getDomNode(){return this._hover.containerDomNode}getPosition(){return null}_updateFont(){Array.prototype.slice.call(this._hover.contentsDomNode.getElementsByClassName("code")).forEach(i=>this._editor.applyFontInfo(i))}_onModelDecorationsChanged(){this._isVisible&&(this._hoverOperation.cancel(),this._hoverOperation.start(0))}showsOrWillShow(e){const i=e.target;return i.type===2&&i.detail.glyphMarginLane?(this._startShowingAt(i.position.lineNumber,i.detail.glyphMarginLane),!0):i.type===3?(this._startShowingAt(i.position.lineNumber,"lineNo"),!0):!1}_startShowingAt(e,i){this._computer.lineNumber===e&&this._computer.lane===i||(this._hoverOperation.cancel(),this.hide(),this._computer.lineNumber=e,this._computer.lane=i,this._hoverOperation.start(0))}hide(){this._computer.lineNumber=-1,this._hoverOperation.cancel(),this._isVisible&&(this._isVisible=!1,this._hover.containerDomNode.classList.toggle("hidden",!this._isVisible))}_withResult(e){this._messages=e,this._messages.length>0?this._renderMessages(this._computer.lineNumber,this._messages):this.hide()}_renderMessages(e,i){this._renderDisposeables.clear();const n=document.createDocumentFragment();for(const s of i){const c=Uxt("div.hover-row.markdown-hover"),d=jn(c,Uxt("div.hover-contents")),f=this._renderDisposeables.add(this._markdownRenderer.render(s.value));d.appendChild(f.element),n.appendChild(c)}this._updateContents(n),this._showAt(e)}_updateContents(e){this._hover.contentsDomNode.textContent="",this._hover.contentsDomNode.appendChild(e),this._updateFont()}_showAt(e){this._isVisible||(this._isVisible=!0,this._hover.containerDomNode.classList.toggle("hidden",!this._isVisible));const i=this._editor.getLayoutInfo(),n=this._editor.getTopForLineNumber(e),s=this._editor.getScrollTop(),c=this._editor.getOption(67),d=this._hover.containerDomNode.clientHeight,f=n-s-(d-c)/2,m=i.glyphMarginLeft+i.glyphMarginWidth+(this._computer.lane==="lineNo"?i.lineNumbersWidth:0);this._hover.containerDomNode.style.left=`${m}px`,this._hover.containerDomNode.style.top=`${Math.max(Math.round(f),0)}px`}_onMouseLeave(e){const i=this._editor.getDomNode();(!i||!Kwe(i,e.x,e.y))&&this.hide()}},bUe=sB,sB.ID="editor.contrib.modesGlyphHoverWidget",sB);CUe=bUe=p3i([zxt(1,Pf),zxt(2,Rb)],CUe);var m3i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},g3i=function(l,e){return function(i,n){e(i,n,l)}},g$;let kbe=(g$=class extends xi{constructor(e,i){super(),this._editor=e,this._instantiationService=i,this.shouldKeepOpenOnEditorMouseMoveOrLeave=!1,this._listenersStore=new wn,this._hoverState={mouseDown:!1},this._reactToEditorMouseMoveRunner=this._register(new qu(()=>this._reactToEditorMouseMove(this._mouseMoveEvent),0)),this._hookListeners(),this._register(this._editor.onDidChangeConfiguration(n=>{n.hasChanged(60)&&(this._unhookListeners(),this._hookListeners())}))}_hookListeners(){const e=this._editor.getOption(60);this._hoverSettings={enabled:e.enabled,sticky:e.sticky,hidingDelay:e.hidingDelay},e.enabled?(this._listenersStore.add(this._editor.onMouseDown(i=>this._onEditorMouseDown(i))),this._listenersStore.add(this._editor.onMouseUp(()=>this._onEditorMouseUp())),this._listenersStore.add(this._editor.onMouseMove(i=>this._onEditorMouseMove(i))),this._listenersStore.add(this._editor.onKeyDown(i=>this._onKeyDown(i)))):(this._listenersStore.add(this._editor.onMouseMove(i=>this._onEditorMouseMove(i))),this._listenersStore.add(this._editor.onKeyDown(i=>this._onKeyDown(i)))),this._listenersStore.add(this._editor.onMouseLeave(i=>this._onEditorMouseLeave(i))),this._listenersStore.add(this._editor.onDidChangeModel(()=>{this._cancelScheduler(),this._hideWidgets()})),this._listenersStore.add(this._editor.onDidChangeModelContent(()=>this._cancelScheduler())),this._listenersStore.add(this._editor.onDidScrollChange(i=>this._onEditorScrollChanged(i)))}_unhookListeners(){this._listenersStore.clear()}_cancelScheduler(){this._mouseMoveEvent=void 0,this._reactToEditorMouseMoveRunner.cancel()}_onEditorScrollChanged(e){(e.scrollTopChanged||e.scrollLeftChanged)&&this._hideWidgets()}_onEditorMouseDown(e){this._hoverState.mouseDown=!0,!this._isMouseOnMarginHoverWidget(e)&&this._hideWidgets()}_isMouseOnMarginHoverWidget(e){var n;const i=(n=this._glyphWidget)==null?void 0:n.getDomNode();return i?Kwe(i,e.event.posx,e.event.posy):!1}_onEditorMouseUp(){this._hoverState.mouseDown=!1}_onEditorMouseLeave(e){this.shouldKeepOpenOnEditorMouseMoveOrLeave||(this._cancelScheduler(),this._isMouseOnMarginHoverWidget(e))||this._hideWidgets()}_shouldNotRecomputeCurrentHoverWidget(e){const i=this._hoverSettings.sticky,n=this._isMouseOnMarginHoverWidget(e);return i&&n}_onEditorMouseMove(e){if(this.shouldKeepOpenOnEditorMouseMoveOrLeave)return;if(this._mouseMoveEvent=e,this._shouldNotRecomputeCurrentHoverWidget(e)){this._reactToEditorMouseMoveRunner.cancel();return}this._reactToEditorMouseMove(e)}_reactToEditorMouseMove(e){!e||this._tryShowHoverWidget(e)||this._hideWidgets()}_tryShowHoverWidget(e){return this._getOrCreateGlyphWidget().showsOrWillShow(e)}_onKeyDown(e){this._editor.hasModel()&&(e.keyCode===5||e.keyCode===6||e.keyCode===57||e.keyCode===4||this._hideWidgets())}_hideWidgets(){var e;(e=this._glyphWidget)==null||e.hide()}_getOrCreateGlyphWidget(){return this._glyphWidget||(this._glyphWidget=this._instantiationService.createInstance(CUe,this._editor)),this._glyphWidget}dispose(){var e;super.dispose(),this._unhookListeners(),this._listenersStore.dispose(),(e=this._glyphWidget)==null||e.dispose()}},g$.ID="editor.contrib.marginHover",g$);kbe=m3i([g3i(1,ho)],kbe);class y3i{}class v3i{}class b3i{}Cl(Dy.ID,Dy,2);Cl(kbe.ID,kbe,2);sr(e3i);sr(t3i);sr(i3i);sr(n3i);sr(r3i);sr(s3i);sr(o3i);sr(a3i);sr(c3i);sr(l3i);sr(u3i);sr(d3i);uW.register(jre);uW.register(vUe);Dk((l,e)=>{const i=l.getColor(OTt);i&&(e.addRule(`.monaco-editor .monaco-hover .hover-row:not(:first-child):not(:empty) { border-top: 1px solid ${i.transparent(.5)}; }`),e.addRule(`.monaco-editor .monaco-hover hr { border-top: 1px solid ${i.transparent(.5)}; }`),e.addRule(`.monaco-editor .monaco-hover hr { border-bottom: 0px solid ${i.transparent(.5)}; }`))});rxe.register(new y3i);rxe.register(new v3i);rxe.register(new b3i);function RC(l,e){let i=0;for(let n=0;n<l.length;n++)l.charAt(n)===" "?i+=e:i++;return i}function ine(l,e,i){l=l<0?0:l;let n="";if(!i){const s=Math.floor(l/e);l=l%e;for(let c=0;c<s;c++)n+=" "}for(let s=0;s<l;s++)n+=" ";return n}function mPt(l,e,i,n){if(l.getLineCount()===1&&l.getLineMaxColumn(1)===1)return[];const s=e.getLanguageConfiguration(l.getLanguageId()).indentRulesSupport;if(!s)return[];const c=new KJe(l,s,e);for(n=Math.min(n,l.getLineCount());i<=n&&c.shouldIgnore(i);)i++;if(i>n-1)return[];const{tabSize:d,indentSize:f,insertSpaces:m}=l.getOptions(),v=(z,J)=>(J=J||1,xk.shiftIndent(z,z.length+J,d,f,m)),x=(z,J)=>(J=J||1,xk.unshiftIndent(z,z.length+J,d,f,m)),w=[],I=l.getLineContent(i);let P=yd(I),O=P;c.shouldIncrease(i)?(O=v(O),P=v(P)):c.shouldIndentNextLine(i)&&(O=v(O)),i++;for(let z=i;z<=n;z++){if(C3i(l,z))continue;const J=l.getLineContent(z),Y=yd(J),ae=O;c.shouldDecrease(z,ae)&&(O=x(O),P=x(P)),Y!==O&&w.push(eh.replaceMove(new Us(z,1,z,Y.length+1),uJe(O,f,m))),!c.shouldIgnore(z)&&(c.shouldIncrease(z,ae)?(P=v(P),O=P):c.shouldIndentNextLine(z,ae)?O=v(O):O=P)}return w}function C3i(l,e){return l.tokenization.isCheapToTokenize(e)?l.tokenization.getLineTokens(e).getStandardTokenType(0)===2:!1}var S3i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},w3i=function(l,e){return function(i,n){e(i,n,l)}};const eSe=class eSe extends hs{constructor(){super({id:eSe.ID,label:W("indentationToSpaces","Convert Indentation to Spaces"),alias:"Convert Indentation to Spaces",precondition:Tt.writable,metadata:{description:aa("indentationToSpacesDescription","Convert the tab indentation to spaces.")}})}run(e,i){const n=i.getModel();if(!n)return;const s=n.getOptions(),c=i.getSelection();if(!c)return;const d=new E3i(c,s.tabSize);i.pushUndoStop(),i.executeCommands(this.id,[d]),i.pushUndoStop(),n.updateOptions({insertSpaces:!0})}};eSe.ID="editor.action.indentationToSpaces";let SUe=eSe;const tSe=class tSe extends hs{constructor(){super({id:tSe.ID,label:W("indentationToTabs","Convert Indentation to Tabs"),alias:"Convert Indentation to Tabs",precondition:Tt.writable,metadata:{description:aa("indentationToTabsDescription","Convert the spaces indentation to tabs.")}})}run(e,i){const n=i.getModel();if(!n)return;const s=n.getOptions(),c=i.getSelection();if(!c)return;const d=new I3i(c,s.tabSize);i.pushUndoStop(),i.executeCommands(this.id,[d]),i.pushUndoStop(),n.updateOptions({insertSpaces:!1})}};tSe.ID="editor.action.indentationToTabs";let wUe=tSe;class lKe extends hs{constructor(e,i,n){super(n),this.insertSpaces=e,this.displaySizeOnly=i}run(e,i){const n=e.get(Ek),s=e.get(rf),c=i.getModel();if(!c)return;const d=s.getCreationOptions(c.getLanguageId(),c.uri,c.isForSimpleWidget),f=c.getOptions(),m=[1,2,3,4,5,6,7,8].map(x=>({id:x.toString(),label:x.toString(),description:x===d.tabSize&&x===f.tabSize?W("configuredTabSize","Configured Tab Size"):x===d.tabSize?W("defaultTabSize","Default Tab Size"):x===f.tabSize?W("currentTabSize","Current Tab Size"):void 0})),v=Math.min(c.getOptions().tabSize-1,7);setTimeout(()=>{n.pick(m,{placeHolder:W({key:"selectTabWidth",comment:["Tab corresponds to the tab key"]},"Select Tab Size for Current File"),activeItem:m[v]}).then(x=>{if(x&&c&&!c.isDisposed()){const w=parseInt(x.label,10);this.displaySizeOnly?c.updateOptions({tabSize:w}):c.updateOptions({tabSize:w,indentSize:w,insertSpaces:this.insertSpaces})}})},50)}}const iSe=class iSe extends lKe{constructor(){super(!1,!1,{id:iSe.ID,label:W("indentUsingTabs","Indent Using Tabs"),alias:"Indent Using Tabs",precondition:void 0,metadata:{description:aa("indentUsingTabsDescription","Use indentation with tabs.")}})}};iSe.ID="editor.action.indentUsingTabs";let xUe=iSe;const nSe=class nSe extends lKe{constructor(){super(!0,!1,{id:nSe.ID,label:W("indentUsingSpaces","Indent Using Spaces"),alias:"Indent Using Spaces",precondition:void 0,metadata:{description:aa("indentUsingSpacesDescription","Use indentation with spaces.")}})}};nSe.ID="editor.action.indentUsingSpaces";let kUe=nSe;const rSe=class rSe extends lKe{constructor(){super(!0,!0,{id:rSe.ID,label:W("changeTabDisplaySize","Change Tab Display Size"),alias:"Change Tab Display Size",precondition:void 0,metadata:{description:aa("changeTabDisplaySizeDescription","Change the space size equivalent of the tab.")}})}};rSe.ID="editor.action.changeTabDisplaySize";let TUe=rSe;const sSe=class sSe extends hs{constructor(){super({id:sSe.ID,label:W("detectIndentation","Detect Indentation from Content"),alias:"Detect Indentation from Content",precondition:void 0,metadata:{description:aa("detectIndentationDescription","Detect the indentation from content.")}})}run(e,i){const n=e.get(rf),s=i.getModel();if(!s)return;const c=n.getCreationOptions(s.getLanguageId(),s.uri,s.isForSimpleWidget);s.detectIndentation(c.insertSpaces,c.tabSize)}};sSe.ID="editor.action.detectIndentation";let DUe=sSe;class x3i extends hs{constructor(){super({id:"editor.action.reindentlines",label:W("editor.reindentlines","Reindent Lines"),alias:"Reindent Lines",precondition:Tt.writable,metadata:{description:aa("editor.reindentlinesDescription","Reindent the lines of the editor.")}})}run(e,i){const n=e.get(rh),s=i.getModel();if(!s)return;const c=mPt(s,n,1,s.getLineCount());c.length>0&&(i.pushUndoStop(),i.executeEdits(this.id,c),i.pushUndoStop())}}class k3i extends hs{constructor(){super({id:"editor.action.reindentselectedlines",label:W("editor.reindentselectedlines","Reindent Selected Lines"),alias:"Reindent Selected Lines",precondition:Tt.writable,metadata:{description:aa("editor.reindentselectedlinesDescription","Reindent the selected lines of the editor.")}})}run(e,i){const n=e.get(rh),s=i.getModel();if(!s)return;const c=i.getSelections();if(c===null)return;const d=[];for(const f of c){let m=f.startLineNumber,v=f.endLineNumber;if(m!==v&&f.endColumn===1&&v--,m===1){if(m===v)continue}else m--;const x=mPt(s,n,m,v);d.push(...x)}d.length>0&&(i.pushUndoStop(),i.executeEdits(this.id,d),i.pushUndoStop())}}class T3i{constructor(e,i){this._initialSelection=i,this._edits=[],this._selectionId=null;for(const n of e)n.range&&typeof n.text=="string"&&this._edits.push(n)}getEditOperations(e,i){for(const s of this._edits)i.addEditOperation(nt.lift(s.range),s.text);let n=!1;Array.isArray(this._edits)&&this._edits.length===1&&this._initialSelection.isEmpty()&&(this._edits[0].range.startColumn===this._initialSelection.endColumn&&this._edits[0].range.startLineNumber===this._initialSelection.endLineNumber?(n=!0,this._selectionId=i.trackSelection(this._initialSelection,!0)):this._edits[0].range.endColumn===this._initialSelection.startColumn&&this._edits[0].range.endLineNumber===this._initialSelection.startLineNumber&&(n=!0,this._selectionId=i.trackSelection(this._initialSelection,!1))),n||(this._selectionId=i.trackSelection(this._initialSelection))}computeCursorState(e,i){return i.getTrackedSelection(this._selectionId)}}var y$;let Tbe=(y$=class{constructor(e,i){this.editor=e,this._languageConfigurationService=i,this.callOnDispose=new wn,this.callOnModel=new wn,this.callOnDispose.add(e.onDidChangeConfiguration(()=>this.update())),this.callOnDispose.add(e.onDidChangeModel(()=>this.update())),this.callOnDispose.add(e.onDidChangeModelLanguage(()=>this.update()))}update(){this.callOnModel.clear(),!(this.editor.getOption(12)<4||this.editor.getOption(55))&&this.editor.hasModel()&&this.callOnModel.add(this.editor.onDidPaste(({range:e})=>{this.trigger(e)}))}trigger(e){const i=this.editor.getSelections();if(i===null||i.length>1)return;const n=this.editor.getModel();if(!n||this.rangeContainsOnlyWhitespaceCharacters(n,e)||D3i(n,e)||!n.tokenization.isCheapToTokenize(e.getStartPosition().lineNumber))return;const c=this.editor.getOption(12),{tabSize:d,indentSize:f,insertSpaces:m}=n.getOptions(),v=[],x={shiftIndent:O=>xk.shiftIndent(O,O.length+1,d,f,m),unshiftIndent:O=>xk.unshiftIndent(O,O.length+1,d,f,m)};let w=e.startLineNumber;for(;w<=e.endLineNumber;){if(this.shouldIgnoreLine(n,w)){w++;continue}break}if(w>e.endLineNumber)return;let I=n.getLineContent(w);if(!/\S/.test(I.substring(0,e.startColumn-1))){const O=zie(c,n,n.getLanguageId(),w,x,this._languageConfigurationService);if(O!==null){const z=yd(I),J=RC(O,d),Y=RC(z,d);if(J!==Y){const ae=ine(J,d,m);v.push({range:new nt(w,1,w,z.length+1),text:ae}),I=ae+I.substring(z.length)}else{const ae=bIt(n,w,this._languageConfigurationService);if(ae===0||ae===8)return}}}const P=w;for(;w<e.endLineNumber;){if(!/\S/.test(n.getLineContent(w+1))){w++;continue}break}if(w!==e.endLineNumber){const z=zie(c,{tokenization:{getLineTokens:J=>n.tokenization.getLineTokens(J),getLanguageId:()=>n.getLanguageId(),getLanguageIdAtPosition:(J,Y)=>n.getLanguageIdAtPosition(J,Y)},getLineContent:J=>J===P?I:n.getLineContent(J)},n.getLanguageId(),w+1,x,this._languageConfigurationService);if(z!==null){const J=RC(z,d),Y=RC(yd(n.getLineContent(w+1)),d);if(J!==Y){const ae=J-Y;for(let me=w+1;me<=e.endLineNumber;me++){const ye=n.getLineContent(me),Ce=yd(ye),rt=RC(Ce,d)+ae,ct=ine(rt,d,m);ct!==Ce&&v.push({range:new nt(me,1,me,Ce.length+1),text:ct})}}}}if(v.length>0){this.editor.pushUndoStop();const O=new T3i(v,this.editor.getSelection());this.editor.executeCommand("autoIndentOnPaste",O),this.editor.pushUndoStop()}}rangeContainsOnlyWhitespaceCharacters(e,i){const n=c=>c.trim().length===0;let s=!0;if(i.startLineNumber===i.endLineNumber){const d=e.getLineContent(i.startLineNumber).substring(i.startColumn-1,i.endColumn-1);s=n(d)}else for(let c=i.startLineNumber;c<=i.endLineNumber;c++){const d=e.getLineContent(c);if(c===i.startLineNumber){const f=d.substring(i.startColumn-1);s=n(f)}else if(c===i.endLineNumber){const f=d.substring(0,i.endColumn-1);s=n(f)}else s=e.getLineFirstNonWhitespaceColumn(c)===0;if(!s)break}return s}shouldIgnoreLine(e,i){e.tokenization.forceTokenization(i);const n=e.getLineFirstNonWhitespaceColumn(i);if(n===0)return!0;const s=e.tokenization.getLineTokens(i);if(s.getCount()>0){const c=s.findTokenIndexAtOffset(n);if(c>=0&&s.getStandardTokenType(c)===1)return!0}return!1}dispose(){this.callOnDispose.dispose(),this.callOnModel.dispose()}},y$.ID="editor.contrib.autoIndentOnPaste",y$);Tbe=S3i([w3i(1,rh)],Tbe);function D3i(l,e){const i=n=>o0i(l,n)===2;return i(e.getStartPosition())||i(e.getEndPosition())}function gPt(l,e,i,n){if(l.getLineCount()===1&&l.getLineMaxColumn(1)===1)return;let s="";for(let d=0;d<i;d++)s+=" ";const c=new RegExp(s,"gi");for(let d=1,f=l.getLineCount();d<=f;d++){let m=l.getLineFirstNonWhitespaceColumn(d);if(m===0&&(m=l.getLineMaxColumn(d)),m===1)continue;const v=new nt(d,1,d,m),x=l.getValueInRange(v),w=n?x.replace(/\t/ig,s):x.replace(c," ");e.addEditOperation(v,w)}}class E3i{constructor(e,i){this.selection=e,this.tabSize=i,this.selectionId=null}getEditOperations(e,i){this.selectionId=i.trackSelection(this.selection),gPt(e,i,this.tabSize,!0)}computeCursorState(e,i){return i.getTrackedSelection(this.selectionId)}}class I3i{constructor(e,i){this.selection=e,this.tabSize=i,this.selectionId=null}getEditOperations(e,i){this.selectionId=i.trackSelection(this.selection),gPt(e,i,this.tabSize,!1)}computeCursorState(e,i){return i.getTrackedSelection(this.selectionId)}}Cl(Tbe.ID,Tbe,2);sr(SUe);sr(wUe);sr(xUe);sr(kUe);sr(TUe);sr(DUe);sr(x3i);sr(k3i);Cl(Ure.ID,Ure,1);uW.register(rbe);class N3i{constructor(e,i,n){this._editRange=e,this._originalSelection=i,this._text=n}getEditOperations(e,i){i.addTrackedEditOperation(this._editRange,this._text)}computeCursorState(e,i){const s=i.getInverseEditOperations()[0].range;return this._originalSelection.isEmpty()?new Us(s.endLineNumber,Math.min(this._originalSelection.positionColumn,s.endColumn),s.endLineNumber,Math.min(this._originalSelection.positionColumn,s.endColumn)):new Us(s.endLineNumber,s.endColumn-this._text.length,s.endLineNumber,s.endColumn)}}var L3i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},P3i=function(l,e){return function(i,n){e(i,n,l)}},M1e,uR;let sJ=(uR=class{static get(e){return e.getContribution(M1e.ID)}constructor(e,i){this.editor=e,this.editorWorkerService=i,this.decorations=this.editor.createDecorationsCollection()}dispose(){}run(e,i){var m;(m=this.currentRequest)==null||m.cancel();const n=this.editor.getSelection(),s=this.editor.getModel();if(!s||!n)return;let c=n;if(c.startLineNumber!==c.endLineNumber)return;const d=new KIt(this.editor,5),f=s.uri;return this.editorWorkerService.canNavigateValueSet(f)?(this.currentRequest=Om(v=>this.editorWorkerService.navigateValueSet(f,c,i)),this.currentRequest.then(v=>{var O;if(!v||!v.range||!v.value||!d.validate(this.editor))return;const x=nt.lift(v.range);let w=v.range;const I=v.value.length-(c.endColumn-c.startColumn);w={startLineNumber:w.startLineNumber,startColumn:w.startColumn,endLineNumber:w.endLineNumber,endColumn:w.startColumn+v.value.length},I>1&&(c=new Us(c.startLineNumber,c.startColumn,c.endLineNumber,c.endColumn+I-1));const P=new N3i(x,c,v.value);this.editor.pushUndoStop(),this.editor.executeCommand(e,P),this.editor.pushUndoStop(),this.decorations.set([{range:w,options:M1e.DECORATION}]),(O=this.decorationRemover)==null||O.cancel(),this.decorationRemover=c6(350),this.decorationRemover.then(()=>this.decorations.clear()).catch(Pa)}).catch(Pa)):Promise.resolve(void 0)}},M1e=uR,uR.ID="editor.contrib.inPlaceReplaceController",uR.DECORATION=qa.register({description:"in-place-replace",className:"valueSetReplacement"}),uR);sJ=M1e=L3i([P3i(1,Fb)],sJ);class A3i extends hs{constructor(){super({id:"editor.action.inPlaceReplace.up",label:W("InPlaceReplaceAction.previous.label","Replace with Previous Value"),alias:"Replace with Previous Value",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:3159,weight:100}})}run(e,i){const n=sJ.get(i);return n?n.run(this.id,!1):Promise.resolve(void 0)}}class O3i extends hs{constructor(){super({id:"editor.action.inPlaceReplace.down",label:W("InPlaceReplaceAction.next.label","Replace with Next Value"),alias:"Replace with Next Value",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:3161,weight:100}})}run(e,i){const n=sJ.get(i);return n?n.run(this.id,!0):Promise.resolve(void 0)}}Cl(sJ.ID,sJ,4);sr(A3i);sr(O3i);class M3i extends hs{constructor(){super({id:"expandLineSelection",label:W("expandLineSelection","Expand Line Selection"),alias:"Expand Line Selection",precondition:void 0,kbOpts:{weight:0,kbExpr:Tt.textInputFocus,primary:2090}})}run(e,i,n){if(n=n||{},!i.hasModel())return;const s=i._getViewModel();s.model.pushStackElement(),s.setCursorStates(n.source,3,t0.expandLineSelection(s,s.getCursorStates())),s.revealAllCursors(n.source,!0)}}sr(M3i);class R3i{constructor(e,i,n){this._selection=e,this._cursors=i,this._selectionId=null,this._trimInRegexesAndStrings=n}getEditOperations(e,i){const n=F3i(e,this._cursors,this._trimInRegexesAndStrings);for(let s=0,c=n.length;s<c;s++){const d=n[s];i.addEditOperation(d.range,d.text)}this._selectionId=i.trackSelection(this._selection)}computeCursorState(e,i){return i.getTrackedSelection(this._selectionId)}}function F3i(l,e,i){e.sort((f,m)=>f.lineNumber===m.lineNumber?f.column-m.column:f.lineNumber-m.lineNumber);for(let f=e.length-2;f>=0;f--)e[f].lineNumber===e[f+1].lineNumber&&e.splice(f,1);const n=[];let s=0,c=0;const d=e.length;for(let f=1,m=l.getLineCount();f<=m;f++){const v=l.getLineContent(f),x=v.length+1;let w=0;if(c<d&&e[c].lineNumber===f&&(w=e[c].column,c++,w===x)||v.length===0)continue;const I=ME(v);let P=0;if(I===-1)P=1;else if(I!==v.length-1)P=I+2;else continue;if(!i){if(!l.tokenization.hasAccurateTokensForLine(f))continue;const O=l.tokenization.getLineTokens(f),z=O.getStandardTokenType(O.findTokenIndexAtOffset(P));if(z===2||z===3)continue}P=Math.max(w,P),n[s++]=eh.delete(new nt(f,P,f,x))}return n}class yPt{constructor(e,i,n){this._selection=e,this._isCopyingDown=i,this._noop=n||!1,this._selectionDirection=0,this._selectionId=null,this._startLineNumberDelta=0,this._endLineNumberDelta=0}getEditOperations(e,i){let n=this._selection;this._startLineNumberDelta=0,this._endLineNumberDelta=0,n.startLineNumber<n.endLineNumber&&n.endColumn===1&&(this._endLineNumberDelta=1,n=n.setEndPosition(n.endLineNumber-1,e.getLineMaxColumn(n.endLineNumber-1)));const s=[];for(let d=n.startLineNumber;d<=n.endLineNumber;d++)s.push(e.getLineContent(d));const c=s.join(`
+`);c===""&&this._isCopyingDown&&(this._startLineNumberDelta++,this._endLineNumberDelta++),this._noop?i.addEditOperation(new nt(n.endLineNumber,e.getLineMaxColumn(n.endLineNumber),n.endLineNumber+1,1),n.endLineNumber===e.getLineCount()?"":`
+`):this._isCopyingDown?i.addEditOperation(new nt(n.startLineNumber,1,n.startLineNumber,1),c+`
+`):i.addEditOperation(new nt(n.endLineNumber,e.getLineMaxColumn(n.endLineNumber),n.endLineNumber,e.getLineMaxColumn(n.endLineNumber)),`
+`+c),this._selectionId=i.trackSelection(n),this._selectionDirection=this._selection.getDirection()}computeCursorState(e,i){let n=i.getTrackedSelection(this._selectionId);if(this._startLineNumberDelta!==0||this._endLineNumberDelta!==0){let s=n.startLineNumber,c=n.startColumn,d=n.endLineNumber,f=n.endColumn;this._startLineNumberDelta!==0&&(s=s+this._startLineNumberDelta,c=1),this._endLineNumberDelta!==0&&(d=d+this._endLineNumberDelta,f=1),n=Us.createWithDirection(s,c,d,f,this._selectionDirection)}return n}}var B3i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},W3i=function(l,e){return function(i,n){e(i,n,l)}};let EUe=class{constructor(e,i,n,s){this._languageConfigurationService=s,this._selection=e,this._isMovingDown=i,this._autoIndent=n,this._selectionId=null,this._moveEndLineSelectionShrink=!1}getEditOperations(e,i){const n=()=>e.getLanguageId(),s=(w,I)=>e.getLanguageIdAtPosition(w,I),c=e.getLineCount();if(this._isMovingDown&&this._selection.endLineNumber===c){this._selectionId=i.trackSelection(this._selection);return}if(!this._isMovingDown&&this._selection.startLineNumber===1){this._selectionId=i.trackSelection(this._selection);return}this._moveEndPositionDown=!1;let d=this._selection;d.startLineNumber<d.endLineNumber&&d.endColumn===1&&(this._moveEndPositionDown=!0,d=d.setEndPosition(d.endLineNumber-1,e.getLineMaxColumn(d.endLineNumber-1)));const{tabSize:f,indentSize:m,insertSpaces:v}=e.getOptions(),x=this.buildIndentConverter(f,m,v);if(d.startLineNumber===d.endLineNumber&&e.getLineMaxColumn(d.startLineNumber)===1){const w=d.startLineNumber,I=this._isMovingDown?w+1:w-1;e.getLineMaxColumn(I)===1?i.addEditOperation(new nt(1,1,1,1),null):(i.addEditOperation(new nt(w,1,w,1),e.getLineContent(I)),i.addEditOperation(new nt(I,1,I,e.getLineMaxColumn(I)),null)),d=new Us(I,1,I,1)}else{let w,I;if(this._isMovingDown){w=d.endLineNumber+1,I=e.getLineContent(w),i.addEditOperation(new nt(w-1,e.getLineMaxColumn(w-1),w,e.getLineMaxColumn(w)),null);let P=I;if(this.shouldAutoIndent(e,d)){const O=this.matchEnterRule(e,x,f,w,d.startLineNumber-1);if(O!==null){const J=yd(e.getLineContent(w)),Y=O+RC(J,f);P=ine(Y,f,v)+this.trimStart(I)}else{const J={tokenization:{getLineTokens:ae=>ae===d.startLineNumber?e.tokenization.getLineTokens(w):e.tokenization.getLineTokens(ae),getLanguageId:n,getLanguageIdAtPosition:s},getLineContent:ae=>ae===d.startLineNumber?e.getLineContent(w):e.getLineContent(ae)},Y=zie(this._autoIndent,J,e.getLanguageIdAtPosition(w,1),d.startLineNumber,x,this._languageConfigurationService);if(Y!==null){const ae=yd(e.getLineContent(w)),me=RC(Y,f),ye=RC(ae,f);me!==ye&&(P=ine(me,f,v)+this.trimStart(I))}}i.addEditOperation(new nt(d.startLineNumber,1,d.startLineNumber,1),P+`
+`);const z=this.matchEnterRuleMovingDown(e,x,f,d.startLineNumber,w,P);if(z!==null)z!==0&&this.getIndentEditsOfMovingBlock(e,i,d,f,v,z);else{const J={tokenization:{getLineTokens:ae=>ae===d.startLineNumber?e.tokenization.getLineTokens(w):ae>=d.startLineNumber+1&&ae<=d.endLineNumber+1?e.tokenization.getLineTokens(ae-1):e.tokenization.getLineTokens(ae),getLanguageId:n,getLanguageIdAtPosition:s},getLineContent:ae=>ae===d.startLineNumber?P:ae>=d.startLineNumber+1&&ae<=d.endLineNumber+1?e.getLineContent(ae-1):e.getLineContent(ae)},Y=zie(this._autoIndent,J,e.getLanguageIdAtPosition(w,1),d.startLineNumber+1,x,this._languageConfigurationService);if(Y!==null){const ae=yd(e.getLineContent(d.startLineNumber)),me=RC(Y,f),ye=RC(ae,f);if(me!==ye){const Ce=me-ye;this.getIndentEditsOfMovingBlock(e,i,d,f,v,Ce)}}}}else i.addEditOperation(new nt(d.startLineNumber,1,d.startLineNumber,1),P+`
+`)}else if(w=d.startLineNumber-1,I=e.getLineContent(w),i.addEditOperation(new nt(w,1,w+1,1),null),i.addEditOperation(new nt(d.endLineNumber,e.getLineMaxColumn(d.endLineNumber),d.endLineNumber,e.getLineMaxColumn(d.endLineNumber)),`
+`+I),this.shouldAutoIndent(e,d)){const P={tokenization:{getLineTokens:z=>z===w?e.tokenization.getLineTokens(d.startLineNumber):e.tokenization.getLineTokens(z),getLanguageId:n,getLanguageIdAtPosition:s},getLineContent:z=>z===w?e.getLineContent(d.startLineNumber):e.getLineContent(z)},O=this.matchEnterRule(e,x,f,d.startLineNumber,d.startLineNumber-2);if(O!==null)O!==0&&this.getIndentEditsOfMovingBlock(e,i,d,f,v,O);else{const z=zie(this._autoIndent,P,e.getLanguageIdAtPosition(d.startLineNumber,1),w,x,this._languageConfigurationService);if(z!==null){const J=yd(e.getLineContent(d.startLineNumber)),Y=RC(z,f),ae=RC(J,f);if(Y!==ae){const me=Y-ae;this.getIndentEditsOfMovingBlock(e,i,d,f,v,me)}}}}}this._selectionId=i.trackSelection(d)}buildIndentConverter(e,i,n){return{shiftIndent:s=>xk.shiftIndent(s,s.length+1,e,i,n),unshiftIndent:s=>xk.unshiftIndent(s,s.length+1,e,i,n)}}parseEnterResult(e,i,n,s,c){if(c){let d=c.indentation;c.indentAction===_p.None||c.indentAction===_p.Indent?d=c.indentation+c.appendText:c.indentAction===_p.IndentOutdent?d=c.indentation:c.indentAction===_p.Outdent&&(d=i.unshiftIndent(c.indentation)+c.appendText);const f=e.getLineContent(s);if(this.trimStart(f).indexOf(this.trimStart(d))>=0){const m=yd(e.getLineContent(s));let v=yd(d);const x=bIt(e,s,this._languageConfigurationService);x!==null&&x&2&&(v=i.unshiftIndent(v));const w=RC(v,n),I=RC(m,n);return w-I}}return null}matchEnterRuleMovingDown(e,i,n,s,c,d){if(ME(d)>=0){const f=e.getLineMaxColumn(c),m=r$(this._autoIndent,e,new nt(c,f,c,f),this._languageConfigurationService);return this.parseEnterResult(e,i,n,s,m)}else{let f=s-1;for(;f>=1;){const x=e.getLineContent(f);if(ME(x)>=0)break;f--}if(f<1||s>e.getLineCount())return null;const m=e.getLineMaxColumn(f),v=r$(this._autoIndent,e,new nt(f,m,f,m),this._languageConfigurationService);return this.parseEnterResult(e,i,n,s,v)}}matchEnterRule(e,i,n,s,c,d){let f=c;for(;f>=1;){let x;if(f===c&&d!==void 0?x=d:x=e.getLineContent(f),ME(x)>=0)break;f--}if(f<1||s>e.getLineCount())return null;const m=e.getLineMaxColumn(f),v=r$(this._autoIndent,e,new nt(f,m,f,m),this._languageConfigurationService);return this.parseEnterResult(e,i,n,s,v)}trimStart(e){return e.replace(/^\s+/,"")}shouldAutoIndent(e,i){if(this._autoIndent<4||!e.tokenization.isCheapToTokenize(i.startLineNumber))return!1;const n=e.getLanguageIdAtPosition(i.startLineNumber,1),s=e.getLanguageIdAtPosition(i.endLineNumber,1);return!(n!==s||this._languageConfigurationService.getLanguageConfiguration(n).indentRulesSupport===null)}getIndentEditsOfMovingBlock(e,i,n,s,c,d){for(let f=n.startLineNumber;f<=n.endLineNumber;f++){const m=e.getLineContent(f),v=yd(m),w=RC(v,s)+d,I=ine(w,s,c);I!==v&&(i.addEditOperation(new nt(f,1,f,v.length+1),I),f===n.endLineNumber&&n.endColumn<=v.length+1&&I===""&&(this._moveEndLineSelectionShrink=!0))}}computeCursorState(e,i){let n=i.getTrackedSelection(this._selectionId);return this._moveEndPositionDown&&(n=n.setEndPosition(n.endLineNumber+1,1)),this._moveEndLineSelectionShrink&&n.startLineNumber<n.endLineNumber&&(n=n.setEndPosition(n.endLineNumber,2)),n}};EUe=B3i([W3i(3,rh)],EUe);const Rq=class Rq{static getCollator(){return Rq._COLLATOR||(Rq._COLLATOR=new Intl.Collator),Rq._COLLATOR}constructor(e,i){this.selection=e,this.descending=i,this.selectionId=null}getEditOperations(e,i){const n=V3i(e,this.selection,this.descending);n&&i.addEditOperation(n.range,n.text),this.selectionId=i.trackSelection(this.selection)}computeCursorState(e,i){return i.getTrackedSelection(this.selectionId)}static canRun(e,i,n){if(e===null)return!1;const s=vPt(e,i,n);if(!s)return!1;for(let c=0,d=s.before.length;c<d;c++)if(s.before[c]!==s.after[c])return!0;return!1}};Rq._COLLATOR=null;let Kre=Rq;function vPt(l,e,i){const n=e.startLineNumber;let s=e.endLineNumber;if(e.endColumn===1&&s--,n>=s)return null;const c=[];for(let f=n;f<=s;f++)c.push(l.getLineContent(f));let d=c.slice(0);return d.sort(Kre.getCollator().compare),i===!0&&(d=d.reverse()),{startLineNumber:n,endLineNumber:s,before:c,after:d}}function V3i(l,e,i){const n=vPt(l,e,i);return n?eh.replace(new nt(n.startLineNumber,1,n.endLineNumber,l.getLineMaxColumn(n.endLineNumber)),n.after.join(`
+`)):null}class bPt extends hs{constructor(e,i){super(i),this.down=e}run(e,i){if(!i.hasModel())return;const n=i.getSelections().map((d,f)=>({selection:d,index:f,ignore:!1}));n.sort((d,f)=>nt.compareRangesUsingStarts(d.selection,f.selection));let s=n[0];for(let d=1;d<n.length;d++){const f=n[d];s.selection.endLineNumber===f.selection.startLineNumber&&(s.index<f.index?f.ignore=!0:(s.ignore=!0,s=f))}const c=[];for(const d of n)c.push(new yPt(d.selection,this.down,d.ignore));i.pushUndoStop(),i.executeCommands(this.id,c),i.pushUndoStop()}}class H3i extends bPt{constructor(){super(!1,{id:"editor.action.copyLinesUpAction",label:W("lines.copyUp","Copy Line Up"),alias:"Copy Line Up",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:1552,linux:{primary:3600},weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"2_line",title:W({key:"miCopyLinesUp",comment:["&& denotes a mnemonic"]},"&&Copy Line Up"),order:1}})}}class j3i extends bPt{constructor(){super(!0,{id:"editor.action.copyLinesDownAction",label:W("lines.copyDown","Copy Line Down"),alias:"Copy Line Down",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:1554,linux:{primary:3602},weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"2_line",title:W({key:"miCopyLinesDown",comment:["&& denotes a mnemonic"]},"Co&&py Line Down"),order:2}})}}class z3i extends hs{constructor(){super({id:"editor.action.duplicateSelection",label:W("duplicateSelection","Duplicate Selection"),alias:"Duplicate Selection",precondition:Tt.writable,menuOpts:{menuId:As.MenubarSelectionMenu,group:"2_line",title:W({key:"miDuplicateSelection",comment:["&& denotes a mnemonic"]},"&&Duplicate Selection"),order:5}})}run(e,i,n){if(!i.hasModel())return;const s=[],c=i.getSelections(),d=i.getModel();for(const f of c)if(f.isEmpty())s.push(new yPt(f,!0));else{const m=new Us(f.endLineNumber,f.endColumn,f.endLineNumber,f.endColumn);s.push(new Wxi(m,d.getValueInRange(f)))}i.pushUndoStop(),i.executeCommands(this.id,s),i.pushUndoStop()}}class CPt extends hs{constructor(e,i){super(i),this.down=e}run(e,i){const n=e.get(rh),s=[],c=i.getSelections()||[],d=i.getOption(12);for(const f of c)s.push(new EUe(f,this.down,d,n));i.pushUndoStop(),i.executeCommands(this.id,s),i.pushUndoStop()}}class U3i extends CPt{constructor(){super(!1,{id:"editor.action.moveLinesUpAction",label:W("lines.moveUp","Move Line Up"),alias:"Move Line Up",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:528,linux:{primary:528},weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"2_line",title:W({key:"miMoveLinesUp",comment:["&& denotes a mnemonic"]},"Mo&&ve Line Up"),order:3}})}}class q3i extends CPt{constructor(){super(!0,{id:"editor.action.moveLinesDownAction",label:W("lines.moveDown","Move Line Down"),alias:"Move Line Down",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:530,linux:{primary:530},weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"2_line",title:W({key:"miMoveLinesDown",comment:["&& denotes a mnemonic"]},"Move &&Line Down"),order:4}})}}class SPt extends hs{constructor(e,i){super(i),this.descending=e}run(e,i){if(!i.hasModel())return;const n=i.getModel();let s=i.getSelections();s.length===1&&s[0].isEmpty()&&(s=[new Us(1,1,n.getLineCount(),n.getLineMaxColumn(n.getLineCount()))]);for(const d of s)if(!Kre.canRun(i.getModel(),d,this.descending))return;const c=[];for(let d=0,f=s.length;d<f;d++)c[d]=new Kre(s[d],this.descending);i.pushUndoStop(),i.executeCommands(this.id,c),i.pushUndoStop()}}class $3i extends SPt{constructor(){super(!1,{id:"editor.action.sortLinesAscending",label:W("lines.sortAscending","Sort Lines Ascending"),alias:"Sort Lines Ascending",precondition:Tt.writable})}}class J3i extends SPt{constructor(){super(!0,{id:"editor.action.sortLinesDescending",label:W("lines.sortDescending","Sort Lines Descending"),alias:"Sort Lines Descending",precondition:Tt.writable})}}class G3i extends hs{constructor(){super({id:"editor.action.removeDuplicateLines",label:W("lines.deleteDuplicates","Delete Duplicate Lines"),alias:"Delete Duplicate Lines",precondition:Tt.writable})}run(e,i){if(!i.hasModel())return;const n=i.getModel();if(n.getLineCount()===1&&n.getLineMaxColumn(1)===1)return;const s=[],c=[];let d=0,f=!0,m=i.getSelections();m.length===1&&m[0].isEmpty()&&(m=[new Us(1,1,n.getLineCount(),n.getLineMaxColumn(n.getLineCount()))],f=!1);for(const v of m){const x=new Set,w=[];for(let z=v.startLineNumber;z<=v.endLineNumber;z++){const J=n.getLineContent(z);x.has(J)||(w.push(J),x.add(J))}const I=new Us(v.startLineNumber,1,v.endLineNumber,n.getLineMaxColumn(v.endLineNumber)),P=v.startLineNumber-d,O=new Us(P,1,P+w.length-1,w[w.length-1].length);s.push(eh.replace(I,w.join(`
+`))),c.push(O),d+=v.endLineNumber-v.startLineNumber+1-w.length}i.pushUndoStop(),i.executeEdits(this.id,s,f?c:void 0),i.pushUndoStop()}}const oSe=class oSe extends hs{constructor(){super({id:oSe.ID,label:W("lines.trimTrailingWhitespace","Trim Trailing Whitespace"),alias:"Trim Trailing Whitespace",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:pp(2089,2102),weight:100}})}run(e,i,n){let s=[];n.reason==="auto-save"&&(s=(i.getSelections()||[]).map(x=>new pi(x.positionLineNumber,x.positionColumn)));const c=i.getSelection();if(c===null)return;const d=e.get(Cc),f=i.getModel(),m=d.getValue("files.trimTrailingWhitespaceInRegexAndStrings",{overrideIdentifier:f==null?void 0:f.getLanguageId(),resource:f==null?void 0:f.uri}),v=new R3i(c,s,m);i.pushUndoStop(),i.executeCommands(this.id,[v]),i.pushUndoStop()}};oSe.ID="editor.action.trimTrailingWhitespace";let IUe=oSe;class K3i extends hs{constructor(){super({id:"editor.action.deleteLines",label:W("lines.delete","Delete Line"),alias:"Delete Line",precondition:Tt.writable,kbOpts:{kbExpr:Tt.textInputFocus,primary:3113,weight:100}})}run(e,i){if(!i.hasModel())return;const n=this._getLinesToRemove(i),s=i.getModel();if(s.getLineCount()===1&&s.getLineMaxColumn(1)===1)return;let c=0;const d=[],f=[];for(let m=0,v=n.length;m<v;m++){const x=n[m];let w=x.startLineNumber,I=x.endLineNumber,P=1,O=s.getLineMaxColumn(I);I<s.getLineCount()?(I+=1,O=1):w>1&&(w-=1,P=s.getLineMaxColumn(w)),d.push(eh.replace(new Us(w,P,I,O),"")),f.push(new Us(w-c,x.positionColumn,w-c,x.positionColumn)),c+=x.endLineNumber-x.startLineNumber+1}i.pushUndoStop(),i.executeEdits(this.id,d,f),i.pushUndoStop()}_getLinesToRemove(e){const i=e.getSelections().map(c=>{let d=c.endLineNumber;return c.startLineNumber<c.endLineNumber&&c.endColumn===1&&(d-=1),{startLineNumber:c.startLineNumber,selectionStartColumn:c.selectionStartColumn,endLineNumber:d,positionColumn:c.positionColumn}});i.sort((c,d)=>c.startLineNumber===d.startLineNumber?c.endLineNumber-d.endLineNumber:c.startLineNumber-d.startLineNumber);const n=[];let s=i[0];for(let c=1;c<i.length;c++)s.endLineNumber+1>=i[c].startLineNumber?s.endLineNumber=i[c].endLineNumber:(n.push(s),s=i[c]);return n.push(s),n}}class X3i extends hs{constructor(){super({id:"editor.action.indentLines",label:W("lines.indent","Indent Line"),alias:"Indent Line",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:2142,weight:100}})}run(e,i){const n=i._getViewModel();n&&(i.pushUndoStop(),i.executeCommands(this.id,DM.indent(n.cursorConfig,i.getModel(),i.getSelections())),i.pushUndoStop())}}class Q3i extends hs{constructor(){super({id:"editor.action.outdentLines",label:W("lines.outdent","Outdent Line"),alias:"Outdent Line",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:2140,weight:100}})}run(e,i){s$.Outdent.runEditorCommand(e,i,null)}}class Z3i extends hs{constructor(){super({id:"editor.action.insertLineBefore",label:W("lines.insertBefore","Insert Line Above"),alias:"Insert Line Above",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:3075,weight:100}})}run(e,i){const n=i._getViewModel();n&&(i.pushUndoStop(),i.executeCommands(this.id,Owe.lineInsertBefore(n.cursorConfig,i.getModel(),i.getSelections())))}}class Y3i extends hs{constructor(){super({id:"editor.action.insertLineAfter",label:W("lines.insertAfter","Insert Line Below"),alias:"Insert Line Below",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:2051,weight:100}})}run(e,i){const n=i._getViewModel();n&&(i.pushUndoStop(),i.executeCommands(this.id,Owe.lineInsertAfter(n.cursorConfig,i.getModel(),i.getSelections())))}}class wPt extends hs{run(e,i){if(!i.hasModel())return;const n=i.getSelection(),s=this._getRangesToDelete(i),c=[];for(let m=0,v=s.length-1;m<v;m++){const x=s[m],w=s[m+1];nt.intersectRanges(x,w)===null?c.push(x):s[m+1]=nt.plusRange(x,w)}c.push(s[s.length-1]);const d=this._getEndCursorState(n,c),f=c.map(m=>eh.replace(m,""));i.pushUndoStop(),i.executeEdits(this.id,f,d),i.pushUndoStop()}}class eOi extends wPt{constructor(){super({id:"deleteAllLeft",label:W("lines.deleteAllLeft","Delete All Left"),alias:"Delete All Left",precondition:Tt.writable,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:2049},weight:100}})}_getEndCursorState(e,i){let n=null;const s=[];let c=0;return i.forEach(d=>{let f;if(d.endColumn===1&&c>0){const m=d.startLineNumber-c;f=new Us(m,d.startColumn,m,d.startColumn)}else f=new Us(d.startLineNumber,d.startColumn,d.startLineNumber,d.startColumn);c+=d.endLineNumber-d.startLineNumber,d.intersectRanges(e)?n=f:s.push(f)}),n&&s.unshift(n),s}_getRangesToDelete(e){const i=e.getSelections();if(i===null)return[];let n=i;const s=e.getModel();return s===null?[]:(n.sort(nt.compareRangesUsingStarts),n=n.map(c=>{if(c.isEmpty())if(c.startColumn===1){const d=Math.max(1,c.startLineNumber-1),f=c.startLineNumber===1?1:s.getLineLength(d)+1;return new nt(d,f,c.startLineNumber,1)}else return new nt(c.startLineNumber,1,c.startLineNumber,c.startColumn);else return new nt(c.startLineNumber,1,c.endLineNumber,c.endColumn)}),n)}}class tOi extends wPt{constructor(){super({id:"deleteAllRight",label:W("lines.deleteAllRight","Delete All Right"),alias:"Delete All Right",precondition:Tt.writable,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:297,secondary:[2068]},weight:100}})}_getEndCursorState(e,i){let n=null;const s=[];for(let c=0,d=i.length,f=0;c<d;c++){const m=i[c],v=new Us(m.startLineNumber-f,m.startColumn,m.startLineNumber-f,m.startColumn);m.intersectRanges(e)?n=v:s.push(v)}return n&&s.unshift(n),s}_getRangesToDelete(e){const i=e.getModel();if(i===null)return[];const n=e.getSelections();if(n===null)return[];const s=n.map(c=>{if(c.isEmpty()){const d=i.getLineMaxColumn(c.startLineNumber);return c.startColumn===d?new nt(c.startLineNumber,c.startColumn,c.startLineNumber+1,1):new nt(c.startLineNumber,c.startColumn,c.startLineNumber,d)}return c});return s.sort(nt.compareRangesUsingStarts),s}}class iOi extends hs{constructor(){super({id:"editor.action.joinLines",label:W("lines.joinLines","Join Lines"),alias:"Join Lines",precondition:Tt.writable,kbOpts:{kbExpr:Tt.editorTextFocus,primary:0,mac:{primary:296},weight:100}})}run(e,i){const n=i.getSelections();if(n===null)return;let s=i.getSelection();if(s===null)return;n.sort(nt.compareRangesUsingStarts);const c=[],d=n.reduce((I,P)=>I.isEmpty()?I.endLineNumber===P.startLineNumber?(s.equalsSelection(I)&&(s=P),P):P.startLineNumber>I.endLineNumber+1?(c.push(I),P):new Us(I.startLineNumber,I.startColumn,P.endLineNumber,P.endColumn):P.startLineNumber>I.endLineNumber?(c.push(I),P):new Us(I.startLineNumber,I.startColumn,P.endLineNumber,P.endColumn));c.push(d);const f=i.getModel();if(f===null)return;const m=[],v=[];let x=s,w=0;for(let I=0,P=c.length;I<P;I++){const O=c[I],z=O.startLineNumber,J=1;let Y=0,ae,me;const ye=f.getLineLength(O.endLineNumber)-O.endColumn;if(O.isEmpty()||O.startLineNumber===O.endLineNumber){const rt=O.getStartPosition();rt.lineNumber<f.getLineCount()?(ae=z+1,me=f.getLineMaxColumn(ae)):(ae=rt.lineNumber,me=f.getLineMaxColumn(rt.lineNumber))}else ae=O.endLineNumber,me=f.getLineMaxColumn(ae);let Ce=f.getLineContent(z);for(let rt=z+1;rt<=ae;rt++){const ct=f.getLineContent(rt),Mt=f.getLineFirstNonWhitespaceColumn(rt);if(Mt>=1){let Yt=!0;Ce===""&&(Yt=!1),Yt&&(Ce.charAt(Ce.length-1)===" "||Ce.charAt(Ce.length-1)===" ")&&(Yt=!1,Ce=Ce.replace(/[\s\uFEFF\xA0]+$/g," "));const Bi=ct.substr(Mt-1);Ce+=(Yt?" ":"")+Bi,Yt?Y=Bi.length+1:Y=Bi.length}else Y=0}const Fe=new nt(z,J,ae,me);if(!Fe.isEmpty()){let rt;O.isEmpty()?(m.push(eh.replace(Fe,Ce)),rt=new Us(Fe.startLineNumber-w,Ce.length-Y+1,z-w,Ce.length-Y+1)):O.startLineNumber===O.endLineNumber?(m.push(eh.replace(Fe,Ce)),rt=new Us(O.startLineNumber-w,O.startColumn,O.endLineNumber-w,O.endColumn)):(m.push(eh.replace(Fe,Ce)),rt=new Us(O.startLineNumber-w,O.startColumn,O.startLineNumber-w,Ce.length-ye)),nt.intersectRanges(Fe,s)!==null?x=rt:v.push(rt)}w+=Fe.endLineNumber-Fe.startLineNumber}v.unshift(x),i.pushUndoStop(),i.executeEdits(this.id,m,v),i.pushUndoStop()}}class nOi extends hs{constructor(){super({id:"editor.action.transpose",label:W("editor.transpose","Transpose Characters around the Cursor"),alias:"Transpose Characters around the Cursor",precondition:Tt.writable})}run(e,i){const n=i.getSelections();if(n===null)return;const s=i.getModel();if(s===null)return;const c=[];for(let d=0,f=n.length;d<f;d++){const m=n[d];if(!m.isEmpty())continue;const v=m.getStartPosition(),x=s.getLineMaxColumn(v.lineNumber);if(v.column>=x){if(v.lineNumber===s.getLineCount())continue;const w=new nt(v.lineNumber,Math.max(1,v.column-1),v.lineNumber+1,1),I=s.getValueInRange(w).split("").reverse().join("");c.push(new T0(new Us(v.lineNumber,Math.max(1,v.column-1),v.lineNumber+1,1),I))}else{const w=new nt(v.lineNumber,Math.max(1,v.column-1),v.lineNumber,v.column+1),I=s.getValueInRange(w).split("").reverse().join("");c.push(new GJe(w,I,new Us(v.lineNumber,v.column+1,v.lineNumber,v.column+1)))}}i.pushUndoStop(),i.executeCommands(this.id,c),i.pushUndoStop()}}class hW extends hs{run(e,i){const n=i.getSelections();if(n===null)return;const s=i.getModel();if(s===null)return;const c=i.getOption(132),d=[];for(const f of n)if(f.isEmpty()){const m=f.getStartPosition(),v=i.getConfiguredWordAtPosition(m);if(!v)continue;const x=new nt(m.lineNumber,v.startColumn,m.lineNumber,v.endColumn),w=s.getValueInRange(x);d.push(eh.replace(x,this._modifyText(w,c)))}else{const m=s.getValueInRange(f);d.push(eh.replace(f,this._modifyText(m,c)))}i.pushUndoStop(),i.executeEdits(this.id,d),i.pushUndoStop()}}class rOi extends hW{constructor(){super({id:"editor.action.transformToUppercase",label:W("editor.transformToUppercase","Transform to Uppercase"),alias:"Transform to Uppercase",precondition:Tt.writable})}_modifyText(e,i){return e.toLocaleUpperCase()}}class sOi extends hW{constructor(){super({id:"editor.action.transformToLowercase",label:W("editor.transformToLowercase","Transform to Lowercase"),alias:"Transform to Lowercase",precondition:Tt.writable})}_modifyText(e,i){return e.toLocaleLowerCase()}}class n6{constructor(e,i){this._pattern=e,this._flags=i,this._actual=null,this._evaluated=!1}get(){if(!this._evaluated){this._evaluated=!0;try{this._actual=new RegExp(this._pattern,this._flags)}catch{}}return this._actual}isSupported(){return this.get()!==null}}const aSe=class aSe extends hW{constructor(){super({id:"editor.action.transformToTitlecase",label:W("editor.transformToTitlecase","Transform to Title Case"),alias:"Transform to Title Case",precondition:Tt.writable})}_modifyText(e,i){const n=aSe.titleBoundary.get();return n?e.toLocaleLowerCase().replace(n,s=>s.toLocaleUpperCase()):e}};aSe.titleBoundary=new n6("(^|[^\\p{L}\\p{N}']|((^|\\P{L})'))\\p{L}","gmu");let Dbe=aSe;const Fq=class Fq extends hW{constructor(){super({id:"editor.action.transformToSnakecase",label:W("editor.transformToSnakecase","Transform to Snake Case"),alias:"Transform to Snake Case",precondition:Tt.writable})}_modifyText(e,i){const n=Fq.caseBoundary.get(),s=Fq.singleLetters.get();return!n||!s?e:e.replace(n,"$1_$2").replace(s,"$1_$2$3").toLocaleLowerCase()}};Fq.caseBoundary=new n6("(\\p{Ll})(\\p{Lu})","gmu"),Fq.singleLetters=new n6("(\\p{Lu}|\\p{N})(\\p{Lu})(\\p{Ll})","gmu");let nne=Fq;const cSe=class cSe extends hW{constructor(){super({id:"editor.action.transformToCamelcase",label:W("editor.transformToCamelcase","Transform to Camel Case"),alias:"Transform to Camel Case",precondition:Tt.writable})}_modifyText(e,i){const n=cSe.wordBoundary.get();if(!n)return e;const s=e.split(n);return s.shift()+s.map(d=>d.substring(0,1).toLocaleUpperCase()+d.substring(1)).join("")}};cSe.wordBoundary=new n6("[_\\s-]","gm");let Ebe=cSe;const Bq=class Bq extends hW{constructor(){super({id:"editor.action.transformToPascalcase",label:W("editor.transformToPascalcase","Transform to Pascal Case"),alias:"Transform to Pascal Case",precondition:Tt.writable})}_modifyText(e,i){const n=Bq.wordBoundary.get(),s=Bq.wordBoundaryToMaintain.get();return!n||!s?e:e.split(s).map(f=>f.split(n)).flat().map(f=>f.substring(0,1).toLocaleUpperCase()+f.substring(1)).join("")}};Bq.wordBoundary=new n6("[_\\s-]","gm"),Bq.wordBoundaryToMaintain=new n6("(?<=\\.)","gm");let Ibe=Bq;const CM=class CM extends hW{static isSupported(){return[this.caseBoundary,this.singleLetters,this.underscoreBoundary].every(i=>i.isSupported())}constructor(){super({id:"editor.action.transformToKebabcase",label:W("editor.transformToKebabcase","Transform to Kebab Case"),alias:"Transform to Kebab Case",precondition:Tt.writable})}_modifyText(e,i){const n=CM.caseBoundary.get(),s=CM.singleLetters.get(),c=CM.underscoreBoundary.get();return!n||!s||!c?e:e.replace(c,"$1-$3").replace(n,"$1-$2").replace(s,"$1-$2").toLocaleLowerCase()}};CM.caseBoundary=new n6("(\\p{Ll})(\\p{Lu})","gmu"),CM.singleLetters=new n6("(\\p{Lu}|\\p{N})(\\p{Lu}\\p{Ll})","gmu"),CM.underscoreBoundary=new n6("(\\S)(_)(\\S)","gm");let Nbe=CM;sr(H3i);sr(j3i);sr(z3i);sr(U3i);sr(q3i);sr($3i);sr(J3i);sr(G3i);sr(IUe);sr(K3i);sr(X3i);sr(Q3i);sr(Z3i);sr(Y3i);sr(eOi);sr(tOi);sr(iOi);sr(nOi);sr(rOi);sr(sOi);nne.caseBoundary.isSupported()&&nne.singleLetters.isSupported()&&sr(nne);Ebe.wordBoundary.isSupported()&&sr(Ebe);Ibe.wordBoundary.isSupported()&&sr(Ibe);Dbe.titleBoundary.isSupported()&&sr(Dbe);Nbe.isSupported()&&sr(Nbe);var oOi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},G0e=function(l,e){return function(i,n){e(i,n,l)}},R1e;const xPt=new rs("LinkedEditingInputVisible",!1),aOi="linked-editing-decoration";var dR;let oJ=(dR=class extends xi{static get(e){return e.getContribution(R1e.ID)}constructor(e,i,n,s,c){super(),this.languageConfigurationService=s,this._syncRangesToken=0,this._localToDispose=this._register(new wn),this._editor=e,this._providers=n.linkedEditingRangeProvider,this._enabled=!1,this._visibleContextKey=xPt.bindTo(i),this._debounceInformation=c.for(this._providers,"Linked Editing",{max:200}),this._currentDecorations=this._editor.createDecorationsCollection(),this._languageWordPattern=null,this._currentWordPattern=null,this._ignoreChangeEvent=!1,this._localToDispose=this._register(new wn),this._rangeUpdateTriggerPromise=null,this._rangeSyncTriggerPromise=null,this._currentRequestCts=null,this._currentRequestPosition=null,this._currentRequestModelVersion=null,this._register(this._editor.onDidChangeModel(()=>this.reinitialize(!0))),this._register(this._editor.onDidChangeConfiguration(d=>{(d.hasChanged(70)||d.hasChanged(94))&&this.reinitialize(!1)})),this._register(this._providers.onDidChange(()=>this.reinitialize(!1))),this._register(this._editor.onDidChangeModelLanguage(()=>this.reinitialize(!0))),this.reinitialize(!0)}reinitialize(e){const i=this._editor.getModel(),n=i!==null&&(this._editor.getOption(70)||this._editor.getOption(94))&&this._providers.has(i);if(n===this._enabled&&!e||(this._enabled=n,this.clearRanges(),this._localToDispose.clear(),!n||i===null))return;this._localToDispose.add(Tr.runAndSubscribe(i.onDidChangeLanguageConfiguration,()=>{this._languageWordPattern=this.languageConfigurationService.getLanguageConfiguration(i.getLanguageId()).getWordDefinition()}));const s=new Ck(this._debounceInformation.get(i)),c=()=>{this._rangeUpdateTriggerPromise=s.trigger(()=>this.updateRanges(),this._debounceDuration??this._debounceInformation.get(i))},d=new Ck(0),f=m=>{this._rangeSyncTriggerPromise=d.trigger(()=>this._syncRanges(m))};this._localToDispose.add(this._editor.onDidChangeCursorPosition(()=>{c()})),this._localToDispose.add(this._editor.onDidChangeModelContent(m=>{if(!this._ignoreChangeEvent&&this._currentDecorations.length>0){const v=this._currentDecorations.getRange(0);if(v&&m.changes.every(x=>v.intersectRanges(x.range))){f(this._syncRangesToken);return}}c()})),this._localToDispose.add({dispose:()=>{s.dispose(),d.dispose()}}),this.updateRanges()}_syncRanges(e){if(!this._editor.hasModel()||e!==this._syncRangesToken||this._currentDecorations.length===0)return;const i=this._editor.getModel(),n=this._currentDecorations.getRange(0);if(!n||n.startLineNumber!==n.endLineNumber)return this.clearRanges();const s=i.getValueInRange(n);if(this._currentWordPattern){const d=s.match(this._currentWordPattern);if((d?d[0].length:0)!==s.length)return this.clearRanges()}const c=[];for(let d=1,f=this._currentDecorations.length;d<f;d++){const m=this._currentDecorations.getRange(d);if(m)if(m.startLineNumber!==m.endLineNumber)c.push({range:m,text:s});else{let v=i.getValueInRange(m),x=s,w=m.startColumn,I=m.endColumn;const P=vR(v,x);w+=P,v=v.substr(P),x=x.substr(P);const O=iye(v,x);I-=O,v=v.substr(0,v.length-O),x=x.substr(0,x.length-O),(w!==I||x.length!==0)&&c.push({range:new nt(m.startLineNumber,w,m.endLineNumber,I),text:x})}}if(c.length!==0)try{this._editor.popUndoStop(),this._ignoreChangeEvent=!0;const d=this._editor._getViewModel().getPrevEditOperationType();this._editor.executeEdits("linkedEditing",c),this._editor._getViewModel().setPrevEditOperationType(d)}finally{this._ignoreChangeEvent=!1}}dispose(){this.clearRanges(),super.dispose()}clearRanges(){this._visibleContextKey.set(!1),this._currentDecorations.clear(),this._currentRequestCts&&(this._currentRequestCts.cancel(),this._currentRequestCts=null,this._currentRequestPosition=null)}async updateRanges(e=!1){if(!this._editor.hasModel()){this.clearRanges();return}const i=this._editor.getPosition();if(!this._enabled&&!e||this._editor.getSelections().length>1){this.clearRanges();return}const n=this._editor.getModel(),s=n.getVersionId();if(this._currentRequestPosition&&this._currentRequestModelVersion===s){if(i.equals(this._currentRequestPosition))return;if(this._currentDecorations.length>0){const d=this._currentDecorations.getRange(0);if(d&&d.containsPosition(i))return}}this.clearRanges(),this._currentRequestPosition=i,this._currentRequestModelVersion=s;const c=this._currentRequestCts=new ih;try{const d=new bg(!1),f=await kPt(this._providers,n,i,c.token);if(this._debounceInformation.update(n,d.elapsed()),c!==this._currentRequestCts||(this._currentRequestCts=null,s!==n.getVersionId()))return;let m=[];f!=null&&f.ranges&&(m=f.ranges),this._currentWordPattern=(f==null?void 0:f.wordPattern)||this._languageWordPattern;let v=!1;for(let w=0,I=m.length;w<I;w++)if(nt.containsPosition(m[w],i)){if(v=!0,w!==0){const P=m[w];m.splice(w,1),m.unshift(P)}break}if(!v){this.clearRanges();return}const x=m.map(w=>({range:w,options:R1e.DECORATION}));this._visibleContextKey.set(!0),this._currentDecorations.set(x),this._syncRangesToken++}catch(d){Tk(d)||Pa(d),(this._currentRequestCts===c||!this._currentRequestCts)&&this.clearRanges()}}},R1e=dR,dR.ID="editor.contrib.linkedEditing",dR.DECORATION=qa.register({description:"linked-editing",stickiness:0,className:aOi}),dR);oJ=R1e=oOi([G0e(1,Ko),G0e(2,Ts),G0e(3,rh),G0e(4,Pw)],oJ);class cOi extends hs{constructor(){super({id:"editor.action.linkedEditing",label:W("linkedEditing.label","Start Linked Editing"),alias:"Start Linked Editing",precondition:kn.and(Tt.writable,Tt.hasRenameProvider),kbOpts:{kbExpr:Tt.editorTextFocus,primary:3132,weight:100}})}runCommand(e,i){const n=e.get(Bl),[s,c]=Array.isArray(i)&&i||[void 0,void 0];return yo.isUri(s)&&pi.isIPosition(c)?n.openCodeEditor({resource:s},n.getActiveCodeEditor()).then(d=>{d&&(d.setPosition(c),d.invokeWithinContext(f=>(this.reportTelemetry(f,d),this.run(f,d))))},Pa):super.runCommand(e,i)}run(e,i){const n=oJ.get(i);return n?Promise.resolve(n.updateRanges(!0)):Promise.resolve()}}const lOi=tm.bindToContribution(oJ.get);$r(new lOi({id:"cancelLinkedEditingInput",precondition:xPt,handler:l=>l.clearRanges(),kbOpts:{kbExpr:Tt.editorTextFocus,weight:199,primary:9,secondary:[1033]}}));function kPt(l,e,i,n){const s=l.ordered(e);return o$e(s.map(c=>async()=>{try{return await c.provideLinkedEditingRanges(e,i,n)}catch(d){t_(d);return}}),c=>!!c&&ky(c==null?void 0:c.ranges))}It("editor.linkedEditingBackground",{dark:In.fromHex("#f00").transparent(.3),light:In.fromHex("#f00").transparent(.3),hcDark:In.fromHex("#f00").transparent(.3),hcLight:In.white},W("editorLinkedEditingBackground","Background color when the editor auto renames on type."));Mb("_executeLinkedEditingProvider",(l,e,i)=>{const{linkedEditingRangeProvider:n}=l.get(Ts);return kPt(n,e,i,cc.None)});Cl(oJ.ID,oJ,1);sr(cOi);let uOi=class{constructor(e,i){this._link=e,this._provider=i}toJSON(){return{range:this.range,url:this.url,tooltip:this.tooltip}}get range(){return this._link.range}get url(){return this._link.url}get tooltip(){return this._link.tooltip}async resolve(e){return this._link.url?this._link.url:typeof this._provider.resolveLink=="function"?Promise.resolve(this._provider.resolveLink(this._link,e)).then(i=>(this._link=i||this._link,this._link.url?this.resolve(e):Promise.reject(new Error("missing")))):Promise.reject(new Error("missing"))}};class Lbe{constructor(e){this._disposables=new wn;let i=[];for(const[n,s]of e){const c=n.links.map(d=>new uOi(d,s));i=Lbe._union(i,c),ESe(n)&&this._disposables.add(n)}this.links=i}dispose(){this._disposables.dispose(),this.links.length=0}static _union(e,i){const n=[];let s,c,d,f;for(s=0,d=0,c=e.length,f=i.length;s<c&&d<f;){const m=e[s],v=i[d];if(nt.areIntersectingOrTouching(m.range,v.range)){s++;continue}nt.compareRangesUsingStarts(m.range,v.range)<0?(n.push(m),s++):(n.push(v),d++)}for(;s<c;s++)n.push(e[s]);for(;d<f;d++)n.push(i[d]);return n}}function TPt(l,e,i){const n=[],s=l.ordered(e).reverse().map((c,d)=>Promise.resolve(c.provideLinks(e,i)).then(f=>{f&&(n[d]=[f,c])},t_));return Promise.all(s).then(()=>{const c=new Lbe(yT(n));return i.isCancellationRequested?(c.dispose(),new Lbe([])):c})}fl.registerCommand("_executeLinkProvider",async(l,...e)=>{let[i,n]=e;Fl(i instanceof yo),typeof n!="number"&&(n=0);const{linkProvider:s}=l.get(Ts),c=l.get(rf).getModel(i);if(!c)return[];const d=await TPt(s,c,cc.None);if(!d)return[];for(let m=0;m<Math.min(n,d.links.length);m++)await d.links[m].resolve(cc.None);const f=d.links.slice(0);return d.dispose(),f});var dOi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},K0e=function(l,e){return function(i,n){e(i,n,l)}},NUe,oB;let Xre=(oB=class extends xi{static get(e){return e.getContribution(NUe.ID)}constructor(e,i,n,s,c){super(),this.editor=e,this.openerService=i,this.notificationService=n,this.languageFeaturesService=s,this.providers=this.languageFeaturesService.linkProvider,this.debounceInformation=c.for(this.providers,"Links",{min:1e3,max:4e3}),this.computeLinks=this._register(new qu(()=>this.computeLinksNow(),1e3)),this.computePromise=null,this.activeLinksList=null,this.currentOccurrences={},this.activeLinkDecorationId=null;const d=this._register(new Xwe(e));this._register(d.onMouseMoveOrRelevantKeyDown(([f,m])=>{this._onEditorMouseMove(f,m)})),this._register(d.onExecute(f=>{this.onEditorMouseUp(f)})),this._register(d.onCancel(f=>{this.cleanUpActiveLinkDecoration()})),this._register(e.onDidChangeConfiguration(f=>{f.hasChanged(71)&&(this.updateDecorations([]),this.stop(),this.computeLinks.schedule(0))})),this._register(e.onDidChangeModelContent(f=>{this.editor.hasModel()&&this.computeLinks.schedule(this.debounceInformation.get(this.editor.getModel()))})),this._register(e.onDidChangeModel(f=>{this.currentOccurrences={},this.activeLinkDecorationId=null,this.stop(),this.computeLinks.schedule(0)})),this._register(e.onDidChangeModelLanguage(f=>{this.stop(),this.computeLinks.schedule(0)})),this._register(this.providers.onDidChange(f=>{this.stop(),this.computeLinks.schedule(0)})),this.computeLinks.schedule(0)}async computeLinksNow(){if(!this.editor.hasModel()||!this.editor.getOption(71))return;const e=this.editor.getModel();if(!e.isTooLargeForSyncing()&&this.providers.has(e)){this.activeLinksList&&(this.activeLinksList.dispose(),this.activeLinksList=null),this.computePromise=Om(i=>TPt(this.providers,e,i));try{const i=new bg(!1);if(this.activeLinksList=await this.computePromise,this.debounceInformation.update(e,i.elapsed()),e.isDisposed())return;this.updateDecorations(this.activeLinksList.links)}catch(i){Pa(i)}finally{this.computePromise=null}}}updateDecorations(e){const i=this.editor.getOption(78)==="altKey",n=[],s=Object.keys(this.currentOccurrences);for(const d of s){const f=this.currentOccurrences[d];n.push(f.decorationId)}const c=[];if(e)for(const d of e)c.push(l$.decoration(d,i));this.editor.changeDecorations(d=>{const f=d.deltaDecorations(n,c);this.currentOccurrences={},this.activeLinkDecorationId=null;for(let m=0,v=f.length;m<v;m++){const x=new l$(e[m],f[m]);this.currentOccurrences[x.decorationId]=x}})}_onEditorMouseMove(e,i){const n=this.editor.getOption(78)==="altKey";if(this.isEnabled(e,i)){this.cleanUpActiveLinkDecoration();const s=this.getLinkOccurrence(e.target.position);s&&this.editor.changeDecorations(c=>{s.activate(c,n),this.activeLinkDecorationId=s.decorationId})}else this.cleanUpActiveLinkDecoration()}cleanUpActiveLinkDecoration(){const e=this.editor.getOption(78)==="altKey";if(this.activeLinkDecorationId){const i=this.currentOccurrences[this.activeLinkDecorationId];i&&this.editor.changeDecorations(n=>{i.deactivate(n,e)}),this.activeLinkDecorationId=null}}onEditorMouseUp(e){if(!this.isEnabled(e))return;const i=this.getLinkOccurrence(e.target.position);i&&this.openLinkOccurrence(i,e.hasSideBySideModifier,!0)}openLinkOccurrence(e,i,n=!1){if(!this.openerService)return;const{link:s}=e;s.resolve(cc.None).then(c=>{if(typeof c=="string"&&this.editor.hasModel()){const d=this.editor.getModel().uri;if(d.scheme===Ma.file&&c.startsWith(`${Ma.file}:`)){const f=yo.parse(c);if(f.scheme===Ma.file){const m=YN(f);let v=null;m.startsWith("/./")||m.startsWith("\\.\\")?v=`.${m.substr(1)}`:(m.startsWith("//./")||m.startsWith("\\\\.\\"))&&(v=`.${m.substr(2)}`),v&&(c=Tgi(d,v))}}}return this.openerService.open(c,{openToSide:i,fromUserGesture:n,allowContributedOpeners:!0,allowCommands:!0,fromWorkspace:!0})},c=>{const d=c instanceof Error?c.message:c;d==="invalid"?this.notificationService.warn(W("invalid.url","Failed to open this link because it is not well-formed: {0}",s.url.toString())):d==="missing"?this.notificationService.warn(W("missing.url","Failed to open this link because its target is missing.")):Pa(c)})}getLinkOccurrence(e){if(!this.editor.hasModel()||!e)return null;const i=this.editor.getModel().getDecorationsInRange({startLineNumber:e.lineNumber,startColumn:e.column,endLineNumber:e.lineNumber,endColumn:e.column},0,!0);for(const n of i){const s=this.currentOccurrences[n.id];if(s)return s}return null}isEnabled(e,i){return!!(e.target.type===6&&(e.hasTriggerModifier||i&&i.keyCodeIsTriggerKey))}stop(){var e;this.computeLinks.cancel(),this.activeLinksList&&((e=this.activeLinksList)==null||e.dispose(),this.activeLinksList=null),this.computePromise&&(this.computePromise.cancel(),this.computePromise=null)}dispose(){super.dispose(),this.stop()}},NUe=oB,oB.ID="editor.linkDetector",oB);Xre=NUe=dOi([K0e(1,Rb),K0e(2,w_),K0e(3,Ts),K0e(4,Pw)],Xre);const qxt={general:qa.register({description:"detected-link",stickiness:1,collapseOnReplaceEdit:!0,inlineClassName:"detected-link"}),active:qa.register({description:"detected-link-active",stickiness:1,collapseOnReplaceEdit:!0,inlineClassName:"detected-link-active"})};class l${static decoration(e,i){return{range:e.range,options:l$._getOptions(e,i,!1)}}static _getOptions(e,i,n){const s={...n?qxt.active:qxt.general};return s.hoverMessage=fOi(e,i),s}constructor(e,i){this.link=e,this.decorationId=i}activate(e,i){e.changeDecorationOptions(this.decorationId,l$._getOptions(this.link,i,!0))}deactivate(e,i){e.changeDecorationOptions(this.decorationId,l$._getOptions(this.link,i,!1))}}function fOi(l,e){const i=l.url&&/^command:/i.test(l.url.toString()),n=l.tooltip?l.tooltip:i?W("links.navigate.executeCmd","Execute command"):W("links.navigate.follow","Follow link"),s=e?Hc?W("links.navigate.kb.meta.mac","cmd + click"):W("links.navigate.kb.meta","ctrl + click"):Hc?W("links.navigate.kb.alt.mac","option + click"):W("links.navigate.kb.alt","alt + click");if(l.url){let c="";if(/^command:/i.test(l.url.toString())){const f=l.url.toString().match(/^command:([^?#]+)/);if(f){const m=f[1];c=W("tooltip.explanation","Execute command {0}",m)}}return new m1("",!0).appendLink(l.url.toString(!0).replace(/ /g,"%20"),n,c).appendMarkdown(` (${s})`)}else return new m1().appendText(`${n} (${s})`)}class hOi extends hs{constructor(){super({id:"editor.action.openLink",label:W("label","Open Link"),alias:"Open Link",precondition:void 0})}run(e,i){const n=Xre.get(i);if(!n||!i.hasModel())return;const s=i.getSelections();for(const c of s){const d=n.getLinkOccurrence(c.getEndPosition());d&&n.openLinkOccurrence(d,!1)}}}Cl(Xre.ID,Xre,1);sr(hOi);const EKe=class EKe extends xi{constructor(e){super(),this._editor=e,this._register(this._editor.onMouseDown(i=>{const n=this._editor.getOption(118);n>=0&&i.target.type===6&&i.target.position.column>=n&&this._editor.updateOptions({stopRenderingLineAfter:-1})}))}};EKe.ID="editor.contrib.longLinesHelper";let Pbe=EKe;Cl(Pbe.ID,Pbe,2);const _Oi=It("editor.wordHighlightBackground",{dark:"#575757B8",light:"#57575740",hcDark:null,hcLight:null},W("wordHighlight","Background color of a symbol during read-access, like reading a variable. The color must not be opaque so as not to hide underlying decorations."),!0);It("editor.wordHighlightStrongBackground",{dark:"#004972B8",light:"#0e639c40",hcDark:null,hcLight:null},W("wordHighlightStrong","Background color of a symbol during write-access, like writing to a variable. The color must not be opaque so as not to hide underlying decorations."),!0);It("editor.wordHighlightTextBackground",_Oi,W("wordHighlightText","Background color of a textual occurrence for a symbol. The color must not be opaque so as not to hide underlying decorations."),!0);const pOi=It("editor.wordHighlightBorder",{light:null,dark:null,hcDark:yf,hcLight:yf},W("wordHighlightBorder","Border color of a symbol during read-access, like reading a variable."));It("editor.wordHighlightStrongBorder",{light:null,dark:null,hcDark:yf,hcLight:yf},W("wordHighlightStrongBorder","Border color of a symbol during write-access, like writing to a variable."));It("editor.wordHighlightTextBorder",pOi,W("wordHighlightTextBorder","Border color of a textual occurrence for a symbol."));const mOi=It("editorOverviewRuler.wordHighlightForeground","#A0A0A0CC",W("overviewRulerWordHighlightForeground","Overview ruler marker color for symbol highlights. The color must not be opaque so as not to hide underlying decorations."),!0),gOi=It("editorOverviewRuler.wordHighlightStrongForeground","#C0A0C0CC",W("overviewRulerWordHighlightStrongForeground","Overview ruler marker color for write-access symbol highlights. The color must not be opaque so as not to hide underlying decorations."),!0),yOi=It("editorOverviewRuler.wordHighlightTextForeground",FTt,W("overviewRulerWordHighlightTextForeground","Overview ruler marker color of a textual occurrence for a symbol. The color must not be opaque so as not to hide underlying decorations."),!0),vOi=qa.register({description:"word-highlight-strong",stickiness:1,className:"wordHighlightStrong",overviewRuler:{color:Mh(gOi),position:ww.Center},minimap:{color:Mh(iwe),position:1}}),bOi=qa.register({description:"word-highlight-text",stickiness:1,className:"wordHighlightText",overviewRuler:{color:Mh(yOi),position:ww.Center},minimap:{color:Mh(iwe),position:1}}),COi=qa.register({description:"selection-highlight-overview",stickiness:1,className:"selectionHighlight",overviewRuler:{color:Mh(FTt),position:ww.Center},minimap:{color:Mh(iwe),position:1}}),SOi=qa.register({description:"selection-highlight",stickiness:1,className:"selectionHighlight"}),wOi=qa.register({description:"word-highlight",stickiness:1,className:"wordHighlight",overviewRuler:{color:Mh(mOi),position:ww.Center},minimap:{color:Mh(iwe),position:1}});function xOi(l){return l===x$.Write?vOi:l===x$.Text?bOi:wOi}function kOi(l){return l?SOi:COi}Dk((l,e)=>{const i=l.getColor(A$e);i&&e.addRule(`.monaco-editor .selectionHighlight { background-color: ${i.transparent(.5)}; }`)});var TOi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},DOi=function(l,e){return function(i,n){e(i,n,l)}},LUe;function UR(l,e){const i=e.filter(n=>!l.find(s=>s.equals(n)));if(i.length>=1){const n=i.map(c=>`line ${c.viewState.position.lineNumber} column ${c.viewState.position.column}`).join(", "),s=i.length===1?W("cursorAdded","Cursor added: {0}",n):W("cursorsAdded","Cursors added: {0}",n);PL(s)}}class EOi extends hs{constructor(){super({id:"editor.action.insertCursorAbove",label:W("mutlicursor.insertAbove","Add Cursor Above"),alias:"Add Cursor Above",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:2576,linux:{primary:1552,secondary:[3088]},weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"3_multi",title:W({key:"miInsertCursorAbove",comment:["&& denotes a mnemonic"]},"&&Add Cursor Above"),order:2}})}run(e,i,n){if(!i.hasModel())return;let s=!0;n&&n.logicalLine===!1&&(s=!1);const c=i._getViewModel();if(c.cursorConfig.readOnly)return;c.model.pushStackElement();const d=c.getCursorStates();c.setCursorStates(n.source,3,t0.addCursorUp(c,d,s)),c.revealTopMostCursor(n.source),UR(d,c.getCursorStates())}}class IOi extends hs{constructor(){super({id:"editor.action.insertCursorBelow",label:W("mutlicursor.insertBelow","Add Cursor Below"),alias:"Add Cursor Below",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:2578,linux:{primary:1554,secondary:[3090]},weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"3_multi",title:W({key:"miInsertCursorBelow",comment:["&& denotes a mnemonic"]},"A&&dd Cursor Below"),order:3}})}run(e,i,n){if(!i.hasModel())return;let s=!0;n&&n.logicalLine===!1&&(s=!1);const c=i._getViewModel();if(c.cursorConfig.readOnly)return;c.model.pushStackElement();const d=c.getCursorStates();c.setCursorStates(n.source,3,t0.addCursorDown(c,d,s)),c.revealBottomMostCursor(n.source),UR(d,c.getCursorStates())}}class NOi extends hs{constructor(){super({id:"editor.action.insertCursorAtEndOfEachLineSelected",label:W("mutlicursor.insertAtEndOfEachLineSelected","Add Cursors to Line Ends"),alias:"Add Cursors to Line Ends",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:1575,weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"3_multi",title:W({key:"miInsertCursorAtEndOfEachLineSelected",comment:["&& denotes a mnemonic"]},"Add C&&ursors to Line Ends"),order:4}})}getCursorsForSelection(e,i,n){if(!e.isEmpty()){for(let s=e.startLineNumber;s<e.endLineNumber;s++){const c=i.getLineMaxColumn(s);n.push(new Us(s,c,s,c))}e.endColumn>1&&n.push(new Us(e.endLineNumber,e.endColumn,e.endLineNumber,e.endColumn))}}run(e,i){if(!i.hasModel())return;const n=i.getModel(),s=i.getSelections(),c=i._getViewModel(),d=c.getCursorStates(),f=[];s.forEach(m=>this.getCursorsForSelection(m,n,f)),f.length>0&&i.setSelections(f),UR(d,c.getCursorStates())}}class LOi extends hs{constructor(){super({id:"editor.action.addCursorsToBottom",label:W("mutlicursor.addCursorsToBottom","Add Cursors To Bottom"),alias:"Add Cursors To Bottom",precondition:void 0})}run(e,i){if(!i.hasModel())return;const n=i.getSelections(),s=i.getModel().getLineCount(),c=[];for(let m=n[0].startLineNumber;m<=s;m++)c.push(new Us(m,n[0].startColumn,m,n[0].endColumn));const d=i._getViewModel(),f=d.getCursorStates();c.length>0&&i.setSelections(c),UR(f,d.getCursorStates())}}class POi extends hs{constructor(){super({id:"editor.action.addCursorsToTop",label:W("mutlicursor.addCursorsToTop","Add Cursors To Top"),alias:"Add Cursors To Top",precondition:void 0})}run(e,i){if(!i.hasModel())return;const n=i.getSelections(),s=[];for(let f=n[0].startLineNumber;f>=1;f--)s.push(new Us(f,n[0].startColumn,f,n[0].endColumn));const c=i._getViewModel(),d=c.getCursorStates();s.length>0&&i.setSelections(s),UR(d,c.getCursorStates())}}class X0e{constructor(e,i,n){this.selections=e,this.revealRange=i,this.revealScrollType=n}}class Qre{static create(e,i){if(!e.hasModel())return null;const n=i.getState();if(!e.hasTextFocus()&&n.isRevealed&&n.searchString.length>0)return new Qre(e,i,!1,n.searchString,n.wholeWord,n.matchCase,null);let s=!1,c,d;const f=e.getSelections();f.length===1&&f[0].isEmpty()?(s=!0,c=!0,d=!0):(c=n.wholeWord,d=n.matchCase);const m=e.getSelection();let v,x=null;if(m.isEmpty()){const w=e.getConfiguredWordAtPosition(m.getStartPosition());if(!w)return null;v=w.word,x=new Us(m.startLineNumber,w.startColumn,m.startLineNumber,w.endColumn)}else v=e.getModel().getValueInRange(m).replace(/\r\n/g,`
+`);return new Qre(e,i,s,v,c,d,x)}constructor(e,i,n,s,c,d,f){this._editor=e,this.findController=i,this.isDisconnectedFromFindController=n,this.searchText=s,this.wholeWord=c,this.matchCase=d,this.currentMatch=f}addSelectionToNextFindMatch(){if(!this._editor.hasModel())return null;const e=this._getNextMatch();if(!e)return null;const i=this._editor.getSelections();return new X0e(i.concat(e),e,0)}moveSelectionToNextFindMatch(){if(!this._editor.hasModel())return null;const e=this._getNextMatch();if(!e)return null;const i=this._editor.getSelections();return new X0e(i.slice(0,i.length-1).concat(e),e,0)}_getNextMatch(){if(!this._editor.hasModel())return null;if(this.currentMatch){const s=this.currentMatch;return this.currentMatch=null,s}this.findController.highlightFindOptions();const e=this._editor.getSelections(),i=e[e.length-1],n=this._editor.getModel().findNextMatch(this.searchText,i.getEndPosition(),!1,this.matchCase,this.wholeWord?this._editor.getOption(132):null,!1);return n?new Us(n.range.startLineNumber,n.range.startColumn,n.range.endLineNumber,n.range.endColumn):null}addSelectionToPreviousFindMatch(){if(!this._editor.hasModel())return null;const e=this._getPreviousMatch();if(!e)return null;const i=this._editor.getSelections();return new X0e(i.concat(e),e,0)}moveSelectionToPreviousFindMatch(){if(!this._editor.hasModel())return null;const e=this._getPreviousMatch();if(!e)return null;const i=this._editor.getSelections();return new X0e(i.slice(0,i.length-1).concat(e),e,0)}_getPreviousMatch(){if(!this._editor.hasModel())return null;if(this.currentMatch){const s=this.currentMatch;return this.currentMatch=null,s}this.findController.highlightFindOptions();const e=this._editor.getSelections(),i=e[e.length-1],n=this._editor.getModel().findPreviousMatch(this.searchText,i.getStartPosition(),!1,this.matchCase,this.wholeWord?this._editor.getOption(132):null,!1);return n?new Us(n.range.startLineNumber,n.range.startColumn,n.range.endLineNumber,n.range.endColumn):null}selectAll(e){if(!this._editor.hasModel())return[];this.findController.highlightFindOptions();const i=this._editor.getModel();return e?i.findMatches(this.searchText,e,!1,this.matchCase,this.wholeWord?this._editor.getOption(132):null,!1,1073741824):i.findMatches(this.searchText,!0,!1,this.matchCase,this.wholeWord?this._editor.getOption(132):null,!1,1073741824)}}const lSe=class lSe extends xi{static get(e){return e.getContribution(lSe.ID)}constructor(e){super(),this._sessionDispose=this._register(new wn),this._editor=e,this._ignoreSelectionChange=!1,this._session=null}dispose(){this._endSession(),super.dispose()}_beginSessionIfNeeded(e){if(!this._session){const i=Qre.create(this._editor,e);if(!i)return;this._session=i;const n={searchString:this._session.searchText};this._session.isDisconnectedFromFindController&&(n.wholeWordOverride=1,n.matchCaseOverride=1,n.isRegexOverride=2),e.getState().change(n,!1),this._sessionDispose.add(this._editor.onDidChangeCursorSelection(s=>{this._ignoreSelectionChange||this._endSession()})),this._sessionDispose.add(this._editor.onDidBlurEditorText(()=>{this._endSession()})),this._sessionDispose.add(e.getState().onFindReplaceStateChange(s=>{(s.matchCase||s.wholeWord)&&this._endSession()}))}}_endSession(){if(this._sessionDispose.clear(),this._session&&this._session.isDisconnectedFromFindController){const e={wholeWordOverride:0,matchCaseOverride:0,isRegexOverride:0};this._session.findController.getState().change(e,!1)}this._session=null}_setSelections(e){this._ignoreSelectionChange=!0,this._editor.setSelections(e),this._ignoreSelectionChange=!1}_expandEmptyToWord(e,i){if(!i.isEmpty())return i;const n=this._editor.getConfiguredWordAtPosition(i.getStartPosition());return n?new Us(i.startLineNumber,n.startColumn,i.startLineNumber,n.endColumn):i}_applySessionResult(e){e&&(this._setSelections(e.selections),e.revealRange&&this._editor.revealRangeInCenterIfOutsideViewport(e.revealRange,e.revealScrollType))}getSession(e){return this._session}addSelectionToNextFindMatch(e){if(this._editor.hasModel()){if(!this._session){const i=this._editor.getSelections();if(i.length>1){const s=e.getState().matchCase;if(!DPt(this._editor.getModel(),i,s)){const d=this._editor.getModel(),f=[];for(let m=0,v=i.length;m<v;m++)f[m]=this._expandEmptyToWord(d,i[m]);this._editor.setSelections(f);return}}}this._beginSessionIfNeeded(e),this._session&&this._applySessionResult(this._session.addSelectionToNextFindMatch())}}addSelectionToPreviousFindMatch(e){this._beginSessionIfNeeded(e),this._session&&this._applySessionResult(this._session.addSelectionToPreviousFindMatch())}moveSelectionToNextFindMatch(e){this._beginSessionIfNeeded(e),this._session&&this._applySessionResult(this._session.moveSelectionToNextFindMatch())}moveSelectionToPreviousFindMatch(e){this._beginSessionIfNeeded(e),this._session&&this._applySessionResult(this._session.moveSelectionToPreviousFindMatch())}selectAll(e){if(!this._editor.hasModel())return;let i=null;const n=e.getState();if(n.isRevealed&&n.searchString.length>0&&n.isRegex){const s=this._editor.getModel();n.searchScope?i=s.findMatches(n.searchString,n.searchScope,n.isRegex,n.matchCase,n.wholeWord?this._editor.getOption(132):null,!1,1073741824):i=s.findMatches(n.searchString,!0,n.isRegex,n.matchCase,n.wholeWord?this._editor.getOption(132):null,!1,1073741824)}else{if(this._beginSessionIfNeeded(e),!this._session)return;i=this._session.selectAll(n.searchScope)}if(i.length>0){const s=this._editor.getSelection();for(let c=0,d=i.length;c<d;c++){const f=i[c];if(f.range.intersectRanges(s)){i[c]=i[0],i[0]=f;break}}this._setSelections(i.map(c=>new Us(c.range.startLineNumber,c.range.startColumn,c.range.endLineNumber,c.range.endColumn)))}}};lSe.ID="editor.contrib.multiCursorController";let aJ=lSe;class FJ extends hs{run(e,i){const n=aJ.get(i);if(!n)return;const s=i._getViewModel();if(s){const c=s.getCursorStates(),d=Ab.get(i);if(d)this._run(n,d);else{const f=e.get(ho).createInstance(Ab,i);this._run(n,f),f.dispose()}UR(c,s.getCursorStates())}}}class AOi extends FJ{constructor(){super({id:"editor.action.addSelectionToNextFindMatch",label:W("addSelectionToNextFindMatch","Add Selection To Next Find Match"),alias:"Add Selection To Next Find Match",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:2082,weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"3_multi",title:W({key:"miAddSelectionToNextFindMatch",comment:["&& denotes a mnemonic"]},"Add &&Next Occurrence"),order:5}})}_run(e,i){e.addSelectionToNextFindMatch(i)}}class OOi extends FJ{constructor(){super({id:"editor.action.addSelectionToPreviousFindMatch",label:W("addSelectionToPreviousFindMatch","Add Selection To Previous Find Match"),alias:"Add Selection To Previous Find Match",precondition:void 0,menuOpts:{menuId:As.MenubarSelectionMenu,group:"3_multi",title:W({key:"miAddSelectionToPreviousFindMatch",comment:["&& denotes a mnemonic"]},"Add P&&revious Occurrence"),order:6}})}_run(e,i){e.addSelectionToPreviousFindMatch(i)}}class MOi extends FJ{constructor(){super({id:"editor.action.moveSelectionToNextFindMatch",label:W("moveSelectionToNextFindMatch","Move Last Selection To Next Find Match"),alias:"Move Last Selection To Next Find Match",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:pp(2089,2082),weight:100}})}_run(e,i){e.moveSelectionToNextFindMatch(i)}}class ROi extends FJ{constructor(){super({id:"editor.action.moveSelectionToPreviousFindMatch",label:W("moveSelectionToPreviousFindMatch","Move Last Selection To Previous Find Match"),alias:"Move Last Selection To Previous Find Match",precondition:void 0})}_run(e,i){e.moveSelectionToPreviousFindMatch(i)}}class FOi extends FJ{constructor(){super({id:"editor.action.selectHighlights",label:W("selectAllOccurrencesOfFindMatch","Select All Occurrences of Find Match"),alias:"Select All Occurrences of Find Match",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:3114,weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"3_multi",title:W({key:"miSelectHighlights",comment:["&& denotes a mnemonic"]},"Select All &&Occurrences"),order:7}})}_run(e,i){e.selectAll(i)}}class BOi extends FJ{constructor(){super({id:"editor.action.changeAll",label:W("changeAll.label","Change All Occurrences"),alias:"Change All Occurrences",precondition:kn.and(Tt.writable,Tt.editorTextFocus),kbOpts:{kbExpr:Tt.editorTextFocus,primary:2108,weight:100},contextMenuOpts:{group:"1_modification",order:1.2}})}_run(e,i){e.selectAll(i)}}class WOi{constructor(e,i,n,s,c){this._model=e,this._searchText=i,this._matchCase=n,this._wordSeparators=s,this._modelVersionId=this._model.getVersionId(),this._cachedFindMatches=null,c&&this._model===c._model&&this._searchText===c._searchText&&this._matchCase===c._matchCase&&this._wordSeparators===c._wordSeparators&&this._modelVersionId===c._modelVersionId&&(this._cachedFindMatches=c._cachedFindMatches)}findMatches(){return this._cachedFindMatches===null&&(this._cachedFindMatches=this._model.findMatches(this._searchText,!0,!1,this._matchCase,this._wordSeparators,!1).map(e=>e.range),this._cachedFindMatches.sort(nt.compareRangesUsingStarts)),this._cachedFindMatches}}var aB;let Abe=(aB=class extends xi{constructor(e,i){super(),this._languageFeaturesService=i,this.editor=e,this._isEnabled=e.getOption(109),this._decorations=e.createDecorationsCollection(),this.updateSoon=this._register(new qu(()=>this._update(),300)),this.state=null,this._register(e.onDidChangeConfiguration(s=>{this._isEnabled=e.getOption(109)})),this._register(e.onDidChangeCursorSelection(s=>{this._isEnabled&&(s.selection.isEmpty()?s.reason===3?(this.state&&this._setState(null),this.updateSoon.schedule()):this._setState(null):this._update())})),this._register(e.onDidChangeModel(s=>{this._setState(null)})),this._register(e.onDidChangeModelContent(s=>{this._isEnabled&&this.updateSoon.schedule()}));const n=Ab.get(e);n&&this._register(n.getState().onFindReplaceStateChange(s=>{this._update()})),this.updateSoon.schedule()}_update(){this._setState(LUe._createState(this.state,this._isEnabled,this.editor))}static _createState(e,i,n){if(!i||!n.hasModel())return null;const s=n.getSelection();if(s.startLineNumber!==s.endLineNumber)return null;const c=aJ.get(n);if(!c)return null;const d=Ab.get(n);if(!d)return null;let f=c.getSession(d);if(!f){const x=n.getSelections();if(x.length>1){const I=d.getState().matchCase;if(!DPt(n.getModel(),x,I))return null}f=Qre.create(n,d)}if(!f||f.currentMatch||/^[ \t]+$/.test(f.searchText)||f.searchText.length>200)return null;const m=d.getState(),v=m.matchCase;if(m.isRevealed){let x=m.searchString;v||(x=x.toLowerCase());let w=f.searchText;if(v||(w=w.toLowerCase()),x===w&&f.matchCase===m.matchCase&&f.wholeWord===m.wholeWord&&!m.isRegex)return null}return new WOi(n.getModel(),f.searchText,f.matchCase,f.wholeWord?n.getOption(132):null,e)}_setState(e){if(this.state=e,!this.state){this._decorations.clear();return}if(!this.editor.hasModel())return;const i=this.editor.getModel();if(i.isTooLargeForTokenization())return;const n=this.state.findMatches(),s=this.editor.getSelections();s.sort(nt.compareRangesUsingStarts);const c=[];for(let v=0,x=0,w=n.length,I=s.length;v<w;){const P=n[v];if(x>=I)c.push(P),v++;else{const O=nt.compareRangesUsingStarts(P,s[x]);O<0?((s[x].isEmpty()||!nt.areIntersecting(P,s[x]))&&c.push(P),v++):(O>0||v++,x++)}}const d=this.editor.getOption(81)!=="off",f=this._languageFeaturesService.documentHighlightProvider.has(i)&&d,m=c.map(v=>({range:v,options:kOi(f)}));this._decorations.set(m)}dispose(){this._setState(null),super.dispose()}},LUe=aB,aB.ID="editor.contrib.selectionHighlighter",aB);Abe=LUe=TOi([DOi(1,Ts)],Abe);function DPt(l,e,i){const n=$xt(l,e[0],!i);for(let s=1,c=e.length;s<c;s++){const d=e[s];if(d.isEmpty())return!1;const f=$xt(l,d,!i);if(n!==f)return!1}return!0}function $xt(l,e,i){const n=l.getValueInRange(e);return i?n.toLowerCase():n}class VOi extends hs{constructor(){super({id:"editor.action.focusNextCursor",label:W("mutlicursor.focusNextCursor","Focus Next Cursor"),metadata:{description:W("mutlicursor.focusNextCursor.description","Focuses the next cursor"),args:[]},alias:"Focus Next Cursor",precondition:void 0})}run(e,i,n){if(!i.hasModel())return;const s=i._getViewModel();if(s.cursorConfig.readOnly)return;s.model.pushStackElement();const c=Array.from(s.getCursorStates()),d=c.shift();d&&(c.push(d),s.setCursorStates(n.source,3,c),s.revealPrimaryCursor(n.source,!0),UR(c,s.getCursorStates()))}}class HOi extends hs{constructor(){super({id:"editor.action.focusPreviousCursor",label:W("mutlicursor.focusPreviousCursor","Focus Previous Cursor"),metadata:{description:W("mutlicursor.focusPreviousCursor.description","Focuses the previous cursor"),args:[]},alias:"Focus Previous Cursor",precondition:void 0})}run(e,i,n){if(!i.hasModel())return;const s=i._getViewModel();if(s.cursorConfig.readOnly)return;s.model.pushStackElement();const c=Array.from(s.getCursorStates()),d=c.pop();d&&(c.unshift(d),s.setCursorStates(n.source,3,c),s.revealPrimaryCursor(n.source,!0),UR(c,s.getCursorStates()))}}Cl(aJ.ID,aJ,4);Cl(Abe.ID,Abe,1);sr(EOi);sr(IOi);sr(NOi);sr(AOi);sr(OOi);sr(MOi);sr(ROi);sr(FOi);sr(BOi);sr(LOi);sr(POi);sr(VOi);sr(HOi);const jOi="editor.action.inlineEdit.accept",zOi="editor.action.inlineEdit.reject",UOi="editor.action.inlineEdit.jumpTo",qOi="editor.action.inlineEdit.jumpBack";var $Oi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},JOi=function(l,e){return function(i,n){e(i,n,l)}};const G9e="inline-edit";let PUe=class extends xi{constructor(e,i,n){super(),this.editor=e,this.model=i,this.languageService=n,this.isDisposed=bc(this,!1),this.currentTextModel=Tu(this,this.editor.onDidChangeModel,()=>this.editor.getModel()),this.uiState=ro(this,s=>{var ae;if(this.isDisposed.read(s))return;const c=this.currentTextModel.read(s);if(c!==this.model.targetTextModel.read(s))return;const d=this.model.ghostText.read(s);if(!d)return;let f=(ae=this.model.range)==null?void 0:ae.read(s);f&&f.startLineNumber===f.endLineNumber&&f.startColumn===f.endColumn&&(f=void 0);const m=(f?f.startLineNumber===f.endLineNumber:!0)&&d.parts.length===1&&d.parts[0].lines.length===1,v=d.parts.length===1&&d.parts[0].lines.every(me=>me.length===0),x=[],w=[];function I(me,ye){if(w.length>0){const Ce=w[w.length-1];ye&&Ce.decorations.push(new mv(Ce.content.length+1,Ce.content.length+1+me[0].length,ye,0)),Ce.content+=me[0],me=me.slice(1)}for(const Ce of me)w.push({content:Ce,decorations:ye?[new mv(1,Ce.length+1,ye,0)]:[]})}const P=c.getLineContent(d.lineNumber);let O,z=0;if(!v&&(m||!f)){for(const me of d.parts){let ye=me.lines;f&&!m&&(I(ye,G9e),ye=[]),O===void 0?(x.push({column:me.column,text:ye[0],preview:me.preview}),ye=ye.slice(1)):I([P.substring(z,me.column-1)],void 0),ye.length>0&&(I(ye,G9e),O===void 0&&me.column<=P.length&&(O=me.column)),z=me.column-1}O!==void 0&&I([P.substring(z)],void 0)}const J=O!==void 0?new cPt(O,P.length+1):void 0,Y=m||!f?d.lineNumber:f.endLineNumber-1;return{inlineTexts:x,additionalLines:w,hiddenRange:J,lineNumber:Y,additionalReservedLineCount:this.model.minReservedLineCount.read(s),targetTextModel:c,range:f,isSingleLine:m,isPureRemove:v}}),this.decorations=ro(this,s=>{const c=this.uiState.read(s);if(!c)return[];const d=[];if(c.hiddenRange&&d.push({range:c.hiddenRange.toRange(c.lineNumber),options:{inlineClassName:"inline-edit-hidden",description:"inline-edit-hidden"}}),c.range){const f=[];if(c.isSingleLine)f.push(c.range);else if(!c.isPureRemove){const m=c.range.endLineNumber-c.range.startLineNumber;for(let v=0;v<m;v++){const x=c.range.startLineNumber+v,w=c.targetTextModel.getLineFirstNonWhitespaceColumn(x),I=c.targetTextModel.getLineLastNonWhitespaceColumn(x),P=new nt(x,w,x,I);f.push(P)}}for(const m of f)d.push({range:m,options:J$})}if(c.range&&!c.isSingleLine&&c.isPureRemove){const f=new nt(c.range.startLineNumber,1,c.range.endLineNumber-1,1);d.push({range:f,options:Ere})}for(const f of c.inlineTexts)d.push({range:nt.fromPositions(new pi(c.lineNumber,f.column)),options:{description:G9e,after:{content:f.text,inlineClassName:f.preview?"inline-edit-decoration-preview":"inline-edit-decoration",cursorStops:_T.Left},showIfCollapsed:!0}});return d}),this._register(fo(()=>{this.isDisposed.set(!0,void 0)})),this._register(lPt(this.editor,this.decorations))}};PUe=$Oi([JOi(2,Pf)],PUe);var uKe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},_L=function(l,e){return function(i,n){e(i,n,l)}},F1e;let AUe=class extends xi{constructor(e,i,n){super(),this.editor=e,this.model=i,this.instantiationService=n,this.alwaysShowToolbar=Tu(this,this.editor.onDidChangeConfiguration,()=>this.editor.getOption(63).showToolbar==="always"),this.sessionPosition=void 0,this.position=ro(this,s=>{var m,v;const c=(m=this.model.read(s))==null?void 0:m.model.ghostText.read(s);if(!this.alwaysShowToolbar.read(s)||!c||c.parts.length===0)return this.sessionPosition=void 0,null;const d=c.parts[0].column;this.sessionPosition&&this.sessionPosition.lineNumber!==c.lineNumber&&(this.sessionPosition=void 0);const f=new pi(c.lineNumber,Math.min(d,((v=this.sessionPosition)==null?void 0:v.column)??Number.MAX_SAFE_INTEGER));return this.sessionPosition=f,f}),this._register(Ib((s,c)=>{if(!this.model.read(s)||!this.alwaysShowToolbar.read(s))return;const f=c.add(this.instantiationService.createInstance(OUe,this.editor,!0,this.position));e.addContentWidget(f),c.add(fo(()=>e.removeContentWidget(f)))}))}};AUe=uKe([_L(2,ho)],AUe);var fR;let OUe=(fR=class extends xi{constructor(e,i,n,s,c,d){super(),this.editor=e,this.withBorder=i,this._position=n,this._contextKeyService=c,this._menuService=d,this.id=`InlineEditHintsContentWidget${F1e.id++}`,this.allowEditorOverflow=!0,this.suppressMouseDown=!1,this.nodes=Rc("div.inlineEditHints",{className:this.withBorder?".withBorder":""},[Rc("div@toolBar")]),this.inlineCompletionsActionsMenus=this._register(this._menuService.createMenu(As.InlineEditActions,this._contextKeyService)),this.toolBar=this._register(s.createInstance(MUe,this.nodes.toolBar,this.editor,As.InlineEditToolbar,{menuOptions:{renderShortTitle:!0},toolbarOptions:{primaryGroup:f=>f.startsWith("primary")},actionViewItemProvider:(f,m)=>{if(f instanceof JC)return s.createInstance(GOi,f,void 0)},telemetrySource:"InlineEditToolbar"})),this._register(this.toolBar.onDidChangeDropdownVisibility(f=>{F1e._dropDownVisible=f})),this._register(ba(f=>{this._position.read(f),this.editor.layoutContentWidget(this)})),this._register(ba(f=>{const m=[];for(const[v,x]of this.inlineCompletionsActionsMenus.getActions())for(const w of x)w instanceof JC&&m.push(w);m.length>0&&m.unshift(new Rm),this.toolBar.setAdditionalSecondaryActions(m)}))}getId(){return this.id}getDomNode(){return this.nodes.root}getPosition(){return{position:this._position.get(),preference:[1,2],positionAffinity:3}}},F1e=fR,fR._dropDownVisible=!1,fR.id=0,fR);OUe=F1e=uKe([_L(3,ho),_L(4,Ko),_L(5,Lw)],OUe);class GOi extends TR{updateLabel(){const e=this._keybindingService.lookupKeybinding(this._action.id,this._contextKeyService);if(!e)return super.updateLabel();if(this.label){const i=Rc("div.keybinding").root;this._register(new EJ(i,UC,{disableTitle:!0,...qEt})).set(e),this.label.textContent=this._action.label,this.label.appendChild(i),this.label.classList.add("inlineEditStatusBarItemLabel")}}updateTooltip(){}}let MUe=class extends Nre{constructor(e,i,n,s,c,d,f,m,v,x){super(e,{resetMenu:n,...s},c,d,f,m,v,x),this.editor=i,this.menuId=n,this.options2=s,this.menuService=c,this.contextKeyService=d,this.menu=this._store.add(this.menuService.createMenu(this.menuId,this.contextKeyService,{emitEventsForSubmenuChanges:!0})),this.additionalActions=[],this.prependedPrimaryActions=[],this._store.add(this.menu.onDidChange(()=>this.updateToolbar())),this._store.add(this.editor.onDidChangeCursorPosition(()=>this.updateToolbar())),this.updateToolbar()}updateToolbar(){var n,s,c,d,f,m,v;const e=[],i=[];vwe(this.menu,(n=this.options2)==null?void 0:n.menuOptions,{primary:e,secondary:i},(c=(s=this.options2)==null?void 0:s.toolbarOptions)==null?void 0:c.primaryGroup,(f=(d=this.options2)==null?void 0:d.toolbarOptions)==null?void 0:f.shouldInlineSubmenu,(v=(m=this.options2)==null?void 0:m.toolbarOptions)==null?void 0:v.useSeparatorsInPrimaryActions),i.push(...this.additionalActions),e.unshift(...this.prependedPrimaryActions),this.setActions(e,i)}setAdditionalSecondaryActions(e){Lf(this.additionalActions,e,(i,n)=>i===n)||(this.additionalActions=e,this.updateToolbar())}};MUe=uKe([_L(4,Lw),_L(5,Ko),_L(6,eS),_L(7,pu),_L(8,qd),_L(9,b1)],MUe);var EPt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},B1e=function(l,e){return function(i,n){e(i,n,l)}},gie,RUe;function*KOi(l,e,i=1){e===void 0&&([e,l]=[l,0]);for(let n=l;n<e;n+=i)yield n}function K9e(l){var n;const e=((n=l[0].match(/^\s*/))==null?void 0:n[0])??"",i=e.length;return{text:l.map(s=>s.replace(new RegExp("^"+e),"")),shift:i}}var cB;let FUe=(cB=class extends xi{static _createUniqueUri(){return yo.from({scheme:"inline-edit-widget",path:new Date().toString()+String(gie._modelId++)})}constructor(e,i,n,s,c){super(),this._editor=e,this._model=i,this._instantiationService=n,this._diffProviderFactoryService=s,this._modelService=c,this._position=ro(this,d=>{const f=this._model.read(d);if(!f||f.text.length===0||f.range.startLineNumber===f.range.endLineNumber&&!(f.range.startColumn===f.range.endColumn&&f.range.startColumn===1))return null;const m=this._editor.getModel();if(!m)return null;const v=Array.from(KOi(f.range.startLineNumber,f.range.endLineNumber+1)),x=v.map(z=>m.getLineLastNonWhitespaceColumn(z)),w=Math.max(...x),I=v[x.indexOf(w)],P=new pi(I,w);return{top:f.range.startLineNumber,left:P}}),this._text=ro(this,d=>{const f=this._model.read(d);if(!f)return{text:"",shift:0};const m=K9e(f.text.split(`
+`));return{text:m.text.join(`
+`),shift:m.shift}}),this._originalModel=Cy(()=>this._modelService.createModel("",null,gie._createUniqueUri())).keepObserved(this._store),this._modifiedModel=Cy(()=>this._modelService.createModel("",null,gie._createUniqueUri())).keepObserved(this._store),this._diff=ro(this,d=>{var f,m;return(m=(f=this._diffPromise.read(d))==null?void 0:f.promiseResult.read(d))==null?void 0:m.data}),this._diffPromise=ro(this,d=>{const f=this._model.read(d);if(!f)return;const m=this._editor.getModel();if(!m)return;const v=K9e(m.getValueInRange(f.range).split(`
+`)).text.join(`
+`),x=K9e(f.text.split(`
+`)).text.join(`
+`);this._originalModel.get().setValue(v),this._modifiedModel.get().setValue(x);const w=this._diffProviderFactoryService.createDiffProvider({diffAlgorithm:"advanced"});return mwe.fromFn(async()=>{const I=await w.computeDiff(this._originalModel.get(),this._modifiedModel.get(),{computeMoves:!1,ignoreTrimWhitespace:!1,maxComputationTimeMs:1e3},cc.None);if(!I.identical)return I.changes})}),this._register(Ib((d,f)=>{if(!this._model.read(d)||this._position.get()===null)return;const v=f.add(this._instantiationService.createInstance(BUe,this._editor,this._position,this._text.map(x=>x.text),this._text.map(x=>x.shift),this._diff));e.addOverlayWidget(v),f.add(fo(()=>e.removeOverlayWidget(v)))}))}},gie=cB,cB._modelId=0,cB);FUe=gie=EPt([B1e(2,ho),B1e(3,Rse),B1e(4,rf)],FUe);var lB;let BUe=(lB=class extends xi{constructor(e,i,n,s,c,d){var f;super(),this._editor=e,this._position=i,this._text=n,this._shift=s,this._diff=c,this._instantiationService=d,this.id=`InlineEditSideBySideContentWidget${RUe.id++}`,this.allowEditorOverflow=!1,this._nodes=Cr("div.inlineEditSideBySide",void 0),this._scrollChanged=k0("editor.onDidScrollChange",this._editor.onDidScrollChange),this._previewEditor=this._register(this._instantiationService.createInstance(kT,this._nodes,{glyphMargin:!1,lineNumbers:"off",minimap:{enabled:!1},guides:{indentation:!1,bracketPairs:!1,bracketPairsHorizontal:!1,highlightActiveIndentation:!1},folding:!1,selectOnLineNumbers:!1,selectionHighlight:!1,columnSelection:!1,overviewRulerBorder:!1,overviewRulerLanes:0,lineDecorationsWidth:0,lineNumbersMinChars:0,scrollbar:{vertical:"hidden",horizontal:"hidden",alwaysConsumeMouseWheel:!1,handleMouseWheel:!1},readOnly:!0,wordWrap:"off",wordWrapOverride1:"off",wordWrapOverride2:"off",wrappingIndent:"none",wrappingStrategy:void 0},{contributions:[],isSimpleWidget:!0},this._editor)),this._previewEditorObs=zC(this._previewEditor),this._editorObs=zC(this._editor),this._previewTextModel=this._register(this._instantiationService.createInstance(LE,"",((f=this._editor.getModel())==null?void 0:f.getLanguageId())??bv,LE.DEFAULT_CREATION_OPTIONS,null)),this._setText=ro(m=>{const v=this._text.read(m);v&&this._previewTextModel.setValue(v)}).recomputeInitiallyAndOnChange(this._store),this._decorations=ro(this,m=>{this._setText.read(m);const v=this._position.read(m);if(!v)return{org:[],mod:[]};const x=this._diff.read(m);if(!x)return{org:[],mod:[]};const w=[],I=[];if(x.length===1&&x[0].innerChanges[0].modifiedRange.equalsRange(this._previewTextModel.getFullModelRange()))return{org:[],mod:[]};const P=this._shift.get(),O=z=>new nt(z.startLineNumber+v.top-1,z.startColumn+P,z.endLineNumber+v.top-1,z.endColumn+P);for(const z of x)if(z.original.isEmpty||w.push({range:O(z.original.toInclusiveRange()),options:Ere}),z.modified.isEmpty||I.push({range:z.modified.toInclusiveRange(),options:Fve}),z.modified.isEmpty||z.original.isEmpty)z.original.isEmpty||w.push({range:O(z.original.toInclusiveRange()),options:mGe}),z.modified.isEmpty||I.push({range:z.modified.toInclusiveRange(),options:_Ge});else for(const J of z.innerChanges||[])z.original.contains(J.originalRange.startLineNumber)&&w.push({range:O(J.originalRange),options:J.originalRange.isEmpty()?gGe:J$}),z.modified.contains(J.modifiedRange.startLineNumber)&&I.push({range:J.modifiedRange,options:J.modifiedRange.isEmpty()?pGe:Bve});return{org:w,mod:I}}),this._originalDecorations=ro(this,m=>this._decorations.read(m).org),this._modifiedDecorations=ro(this,m=>this._decorations.read(m).mod),this._previewEditor.setModel(this._previewTextModel),this._register(this._editorObs.setDecorations(this._originalDecorations)),this._register(this._previewEditorObs.setDecorations(this._modifiedDecorations)),this._register(ba(m=>{const v=this._previewEditorObs.contentWidth.read(m),x=this._text.read(m).split(`
+`).length-1,w=this._editor.getOption(67)*x;v<=0||this._previewEditor.layout({height:w,width:v})})),this._register(ba(m=>{this._position.read(m),this._editor.layoutOverlayWidget(this)})),this._register(ba(m=>{this._scrollChanged.read(m),this._position.read(m)&&this._editor.layoutOverlayWidget(this)}))}getId(){return this.id}getDomNode(){return this._nodes}getPosition(){const e=this._position.get();if(!e)return null;const i=this._editor.getLayoutInfo(),n=this._editor.getScrolledVisiblePosition(new pi(e.top,1));if(!n)return null;const s=n.top-1,c=this._editor.getOffsetForColumn(e.left.lineNumber,e.left.column);return{preference:{left:i.contentLeft+c+10,top:s}}}},RUe=lB,lB.id=0,lB);BUe=RUe=EPt([B1e(5,ho)],BUe);var XOi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},xF=function(l,e){return function(i,n){e(i,n,l)}},yie,uk;let gv=(uk=class extends xi{static get(e){return e.getContribution(yie.ID)}constructor(e,i,n,s,c,d,f,m){super(),this.editor=e,this.instantiationService=i,this.contextKeyService=n,this.languageFeaturesService=s,this._commandService=c,this._configurationService=d,this._diffProviderFactoryService=f,this._modelService=m,this._isVisibleContext=yie.inlineEditVisibleContext.bindTo(this.contextKeyService),this._isCursorAtInlineEditContext=yie.cursorAtInlineEditContext.bindTo(this.contextKeyService),this._currentEdit=bc(this,void 0),this._currentWidget=Cy(this._currentEdit,O=>{const z=this._currentEdit.read(O);if(!z)return;const J=z.range.endLineNumber,Y=z.range.endColumn,ae=z.text.endsWith(`
+`)&&!(z.range.startLineNumber===z.range.endLineNumber&&z.range.startColumn===z.range.endColumn)?z.text.slice(0,-1):z.text,me=new qre(J,[new fbe(Y,ae,!1)]),ye=z.range.startLineNumber===z.range.endLineNumber&&me.parts.length===1&&me.parts[0].lines.length===1,Ce=z.text==="";return!ye&&!Ce?void 0:this.instantiationService.createInstance(PUe,this.editor,{ghostText:mk(me),minReservedLineCount:mk(0),targetTextModel:mk(this.editor.getModel()??void 0),range:mk(z.range)})}),this._isAccepting=bc(this,!1),this._enabled=Tu(this,this.editor.onDidChangeConfiguration,()=>this.editor.getOption(63).enabled),this._fontFamily=Tu(this,this.editor.onDidChangeConfiguration,()=>this.editor.getOption(63).fontFamily);const v=k0("InlineEditController.modelContentChangedSignal",e.onDidChangeModelContent);this._register(ba(O=>{this._enabled.read(O)&&(v.read(O),!this._isAccepting.read(O)&&this.getInlineEdit(e,!0))}));const x=Tu(this,e.onDidChangeCursorPosition,()=>e.getPosition());this._register(ba(O=>{if(!this._enabled.read(O))return;const z=x.read(O);z&&this.checkCursorPosition(z)})),this._register(ba(O=>{const z=this._currentEdit.read(O);if(this._isCursorAtInlineEditContext.set(!1),!z){this._isVisibleContext.set(!1);return}this._isVisibleContext.set(!0);const J=e.getPosition();J&&this.checkCursorPosition(J)}));const w=k0("InlineEditController.editorBlurSignal",e.onDidBlurEditorWidget);this._register(ba(async O=>{var z;this._enabled.read(O)&&(w.read(O),!(this._configurationService.getValue("editor.experimentalInlineEdit.keepOnBlur")||e.getOption(63).keepOnBlur)&&((z=this._currentRequestCts)==null||z.dispose(!0),this._currentRequestCts=void 0,await this.clear(!1)))}));const I=k0("InlineEditController.editorFocusSignal",e.onDidFocusEditorText);this._register(ba(O=>{this._enabled.read(O)&&(I.read(O),this.getInlineEdit(e,!0))}));const P=this._register(T2t());this._register(ba(O=>{const z=this._fontFamily.read(O);P.setStyle(z===""||z==="default"?"":`
+.monaco-editor .inline-edit-decoration,
+.monaco-editor .inline-edit-decoration-preview,
+.monaco-editor .inline-edit {
+ font-family: ${z};
+}`)})),this._register(new AUe(this.editor,this._currentWidget,this.instantiationService)),this._register(new FUe(this.editor,this._currentEdit,this.instantiationService,this._diffProviderFactoryService,this._modelService))}checkCursorPosition(e){if(!this._currentEdit){this._isCursorAtInlineEditContext.set(!1);return}const i=this._currentEdit.get();if(!i){this._isCursorAtInlineEditContext.set(!1);return}this._isCursorAtInlineEditContext.set(nt.containsPosition(i.range,e))}validateInlineEdit(e,i){var n;if(i.text.includes(`
+`)&&i.range.startLineNumber!==i.range.endLineNumber&&i.range.startColumn!==i.range.endColumn){if(i.range.startColumn!==1)return!1;const c=i.range.endLineNumber,d=i.range.endColumn,f=((n=e.getModel())==null?void 0:n.getLineLength(c))??0;if(d!==f+1)return!1}return!0}async fetchInlineEdit(e,i){this._currentRequestCts&&this._currentRequestCts.dispose(!0);const n=e.getModel();if(!n)return;const s=n.getVersionId(),c=this.languageFeaturesService.inlineEditProvider.all(n);if(c.length===0)return;const d=c[0];this._currentRequestCts=new ih;const f=this._currentRequestCts.token,m=i?tye.Automatic:tye.Invoke;if(i&&await QOi(50,f),f.isCancellationRequested||n.isDisposed()||n.getVersionId()!==s)return;const x=await d.provideInlineEdit(n,{triggerKind:m},f);if(x&&!(f.isCancellationRequested||n.isDisposed()||n.getVersionId()!==s)&&this.validateInlineEdit(e,x))return x}async getInlineEdit(e,i){this._isCursorAtInlineEditContext.set(!1),await this.clear();const n=await this.fetchInlineEdit(e,i);n&&this._currentEdit.set(n,void 0)}async trigger(){await this.getInlineEdit(this.editor,!1)}async jumpBack(){this._jumpBackPosition&&(this.editor.setPosition(this._jumpBackPosition),this.editor.revealPositionInCenterIfOutsideViewport(this._jumpBackPosition))}async accept(){this._isAccepting.set(!0,void 0);const e=this._currentEdit.get();if(!e)return;let i=e.text;e.text.startsWith(`
+`)&&(i=e.text.substring(1)),this.editor.pushUndoStop(),this.editor.executeEdits("acceptCurrent",[eh.replace(nt.lift(e.range),i)]),e.accepted&&await this._commandService.executeCommand(e.accepted.id,...e.accepted.arguments||[]).then(void 0,t_),this.freeEdit(e),th(n=>{this._currentEdit.set(void 0,n),this._isAccepting.set(!1,n)})}jumpToCurrent(){var n;this._jumpBackPosition=(n=this.editor.getSelection())==null?void 0:n.getStartPosition();const e=this._currentEdit.get();if(!e)return;const i=pi.lift({lineNumber:e.range.startLineNumber,column:e.range.startColumn});this.editor.setPosition(i),this.editor.revealPositionInCenterIfOutsideViewport(i)}async clear(e=!0){const i=this._currentEdit.get();i&&(i!=null&&i.rejected)&&e&&await this._commandService.executeCommand(i.rejected.id,...i.rejected.arguments||[]).then(void 0,t_),i&&this.freeEdit(i),this._currentEdit.set(void 0,void 0)}freeEdit(e){const i=this.editor.getModel();if(!i)return;const n=this.languageFeaturesService.inlineEditProvider.all(i);n.length!==0&&n[0].freeInlineEdit(e)}},yie=uk,uk.ID="editor.contrib.inlineEditController",uk.inlineEditVisibleKey="inlineEditVisible",uk.inlineEditVisibleContext=new rs(uk.inlineEditVisibleKey,!1),uk.cursorAtInlineEditKey="cursorAtInlineEdit",uk.cursorAtInlineEditContext=new rs(uk.cursorAtInlineEditKey,!1),uk);gv=yie=XOi([xF(1,ho),xF(2,Ko),xF(3,Ts),xF(4,qd),xF(5,Cc),xF(6,Rse),xF(7,rf)],gv);function QOi(l,e){return new Promise(i=>{let n;const s=setTimeout(()=>{n&&n.dispose(),i()},l);e&&(n=e.onCancellationRequested(()=>{clearTimeout(s),n&&n.dispose(),i()}))})}let ZOi=class extends hs{constructor(){super({id:jOi,label:"Accept Inline Edit",alias:"Accept Inline Edit",precondition:kn.and(Tt.writable,gv.inlineEditVisibleContext),kbOpts:[{weight:101,primary:2,kbExpr:kn.and(Tt.writable,gv.inlineEditVisibleContext,gv.cursorAtInlineEditContext)}],menuOpts:[{menuId:As.InlineEditToolbar,title:"Accept",group:"primary",order:1}]})}async run(e,i){const n=gv.get(i);await(n==null?void 0:n.accept())}};class YOi extends hs{constructor(){const e=kn.and(Tt.writable,kn.not(gv.inlineEditVisibleKey));super({id:"editor.action.inlineEdit.trigger",label:"Trigger Inline Edit",alias:"Trigger Inline Edit",precondition:e,kbOpts:{weight:101,primary:2646,kbExpr:e}})}async run(e,i){const n=gv.get(i);n==null||n.trigger()}}class eMi extends hs{constructor(){const e=kn.and(Tt.writable,gv.inlineEditVisibleContext,kn.not(gv.cursorAtInlineEditKey));super({id:UOi,label:"Jump to Inline Edit",alias:"Jump to Inline Edit",precondition:e,kbOpts:{weight:101,primary:2646,kbExpr:e},menuOpts:[{menuId:As.InlineEditToolbar,title:"Jump To Edit",group:"primary",order:3,when:e}]})}async run(e,i){const n=gv.get(i);n==null||n.jumpToCurrent()}}class tMi extends hs{constructor(){const e=kn.and(Tt.writable,gv.cursorAtInlineEditContext);super({id:qOi,label:"Jump Back from Inline Edit",alias:"Jump Back from Inline Edit",precondition:e,kbOpts:{weight:110,primary:2646,kbExpr:e},menuOpts:[{menuId:As.InlineEditToolbar,title:"Jump Back",group:"primary",order:3,when:e}]})}async run(e,i){const n=gv.get(i);n==null||n.jumpBack()}}class iMi extends hs{constructor(){const e=kn.and(Tt.writable,gv.inlineEditVisibleContext);super({id:zOi,label:"Reject Inline Edit",alias:"Reject Inline Edit",precondition:e,kbOpts:{weight:100,primary:9,kbExpr:e},menuOpts:[{menuId:As.InlineEditToolbar,title:"Reject",group:"secondary",order:2}]})}async run(e,i){const n=gv.get(i);await(n==null?void 0:n.clear())}}sr(ZOi);sr(iMi);sr(eMi);sr(tMi);sr(YOi);Cl(gv.ID,gv,3);const nMi="editor.action.inlineEdits.accept",rMi="editor.action.inlineEdits.showPrevious",sMi="editor.action.inlineEdits.showNext",cJ=new rs("inlineEditsVisible",!1,W("inlineEditsVisible","Whether an inline edit is visible")),oMi=new rs("inlineEditsIsPinned",!1,W("isPinned","Whether an inline edit is visible")),IKe=class IKe extends xi{constructor(e){super(),this._editor=e,this._editorObs=zC(this._editor),this._placeholderText=this._editorObs.getOption(88),this._state=mw({owner:this,equalsFn:Bye},i=>{const n=this._placeholderText.read(i);if(n&&this._editorObs.valueIsEmpty.read(i))return{placeholder:n}}),this._shouldViewBeAlive=aMi(this,i=>{var n;return((n=this._state.read(i))==null?void 0:n.placeholder)!==void 0}),this._view=VR((i,n)=>{if(!this._shouldViewBeAlive.read(i))return;const s=Rc("div.editorPlaceholder");n.add(ba(c=>{const d=this._state.read(c),f=(d==null?void 0:d.placeholder)!==void 0;s.root.style.display=f?"block":"none",s.root.innerText=(d==null?void 0:d.placeholder)??""})),n.add(ba(c=>{const d=this._editorObs.layoutInfo.read(c);s.root.style.left=`${d.contentLeft}px`,s.root.style.width=d.contentWidth-d.verticalScrollbarWidth+"px",s.root.style.top=`${this._editor.getTopForLineNumber(0)}px`})),n.add(ba(c=>{s.root.style.fontFamily=this._editorObs.getOption(49).read(c),s.root.style.fontSize=this._editorObs.getOption(52).read(c)+"px",s.root.style.lineHeight=this._editorObs.getOption(67).read(c)+"px"})),n.add(this._editorObs.createOverlayWidget({allowEditorOverflow:!1,minContentWidthInPx:mk(0),position:mk(null),domNode:s.root}))}),this._view.recomputeInitiallyAndOnChange(this._store)}};IKe.ID="editor.contrib.placeholderText";let Zre=IKe;function aMi(l,e){return Dse(l,(i,n)=>n===!0?!0:e(i))}var cMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},lMi=function(l,e){return function(i,n){e(i,n,l)}};class uMi{constructor(e,i,n){this.range=e,this.newLines=i,this.changes=n}}let WUe=class extends xi{constructor(e,i,n,s){super(),this._editor=e,this._edit=i,this._userPrompt=n,this._instantiationService=s,this._editorObs=zC(this._editor),this._elements=Rc("div.inline-edits-widget",{style:{position:"absolute",overflow:"visible",top:"0px",left:"0px"}},[Rc("div@editorContainer",{style:{position:"absolute",top:"0px",left:"0px",width:"500px",height:"500px"}},[Rc("div.toolbar@toolbar",{style:{position:"absolute",top:"-25px",left:"0px"}}),Rc("div.promptEditor@promptEditor",{style:{position:"absolute",top:"-25px",left:"80px",width:"300px",height:"22px"}}),Rc("div.preview@editor",{style:{position:"absolute",top:"0px",left:"0px"}})]),SU("svg",{style:{overflow:"visible",pointerEvents:"none"}},[SU("defs",[SU("linearGradient",{id:"Gradient2",x1:"0",y1:"0",x2:"1",y2:"0"},[SU("stop",{offset:"0%",class:"gradient-stop"}),SU("stop",{offset:"100%",class:"gradient-stop"})])]),SU("path@path",{d:"",fill:"url(#Gradient2)"})])]),this._previewTextModel=this._register(this._instantiationService.createInstance(LE,"",bv,LE.DEFAULT_CREATION_OPTIONS,null)),this._setText=ro(d=>{const f=this._edit.read(d);f&&this._previewTextModel.setValue(f.newLines.join(`
+`))}).recomputeInitiallyAndOnChange(this._store),this._promptTextModel=this._register(this._instantiationService.createInstance(LE,"",bv,LE.DEFAULT_CREATION_OPTIONS,null)),this._promptEditor=this._register(this._instantiationService.createInstance(kT,this._elements.promptEditor,{glyphMargin:!1,lineNumbers:"off",minimap:{enabled:!1},guides:{indentation:!1,bracketPairs:!1,bracketPairsHorizontal:!1,highlightActiveIndentation:!1},folding:!1,selectOnLineNumbers:!1,selectionHighlight:!1,columnSelection:!1,overviewRulerBorder:!1,overviewRulerLanes:0,lineDecorationsWidth:0,lineNumbersMinChars:0,placeholder:"Describe the change you want...",fontFamily:EIt},{contributions:p9.getSomeEditorContributions([pT.ID,Zre.ID,eJ.ID]),isSimpleWidget:!0},this._editor)),this._previewEditor=this._register(this._instantiationService.createInstance(kT,this._elements.editor,{glyphMargin:!1,lineNumbers:"off",minimap:{enabled:!1},guides:{indentation:!1,bracketPairs:!1,bracketPairsHorizontal:!1,highlightActiveIndentation:!1},folding:!1,selectOnLineNumbers:!1,selectionHighlight:!1,columnSelection:!1,overviewRulerBorder:!1,overviewRulerLanes:0,lineDecorationsWidth:0,lineNumbersMinChars:0},{contributions:[]},this._editor)),this._previewEditorObs=zC(this._previewEditor),this._decorations=ro(this,d=>{var x;this._setText.read(d);const f=(x=this._edit.read(d))==null?void 0:x.changes;if(!f)return[];const m=[],v=[];if(f.length===1&&f[0].innerChanges[0].modifiedRange.equalsRange(this._previewTextModel.getFullModelRange()))return[];for(const w of f)if(w.original.isEmpty||m.push({range:w.original.toInclusiveRange(),options:Ere}),w.modified.isEmpty||v.push({range:w.modified.toInclusiveRange(),options:Fve}),w.modified.isEmpty||w.original.isEmpty)w.original.isEmpty||m.push({range:w.original.toInclusiveRange(),options:mGe}),w.modified.isEmpty||v.push({range:w.modified.toInclusiveRange(),options:_Ge});else for(const I of w.innerChanges||[])w.original.contains(I.originalRange.startLineNumber)&&m.push({range:I.originalRange,options:I.originalRange.isEmpty()?gGe:J$}),w.modified.contains(I.modifiedRange.startLineNumber)&&v.push({range:I.modifiedRange,options:I.modifiedRange.isEmpty()?pGe:Bve});return v}),this._layout1=ro(this,d=>{const f=this._editor.getModel(),m=this._edit.read(d);if(!m)return null;const v=m.range;let x=0;for(let P=v.startLineNumber;P<v.endLineNumberExclusive;P++){const O=f.getLineMaxColumn(P),z=this._editor.getOffsetForColumn(P,O);x=Math.max(x,z)}return{left:this._editor.getLayoutInfo().contentLeft+x}}),this._layout=ro(this,d=>{const f=this._edit.read(d);if(!f)return null;const m=f.range,v=this._editorObs.scrollLeft.read(d),x=this._layout1.read(d).left+20-v,w=this._editor.getTopForLineNumber(m.startLineNumber)-this._editorObs.scrollTop.read(d),I=this._editor.getTopForLineNumber(m.endLineNumberExclusive)-this._editorObs.scrollTop.read(d),P=new Cq(x,w),O=new Cq(x,I),z=I-w,J=50,Y=this._editor.getOption(67)*f.newLines.length,ae=z-Y,me=new Cq(x+J,w+ae/2),ye=new Cq(x+J,I-ae/2);return{topCode:P,bottomCode:O,codeHeight:z,topEdit:me,bottomEdit:ye,editHeight:Y}});const c=ro(this,d=>this._edit.read(d)!==void 0||this._userPrompt.read(d)!==void 0);this._register(f6(this._elements.root,{display:ro(this,d=>c.read(d)?"block":"none")})),this._register(_q(this._editor.getDomNode(),this._elements.root)),this._register(zC(e).createOverlayWidget({domNode:this._elements.root,position:mk(null),allowEditorOverflow:!1,minContentWidthInPx:ro(d=>{var v;const f=(v=this._layout1.read(d))==null?void 0:v.left;if(f===void 0)return 0;const m=this._previewEditorObs.contentWidth.read(d);return f+m})})),this._previewEditor.setModel(this._previewTextModel),this._register(this._previewEditorObs.setDecorations(this._decorations)),this._register(ba(d=>{const f=this._layout.read(d);if(!f)return;const{topCode:m,bottomCode:v,topEdit:x,bottomEdit:w,editHeight:I}=f,P=10,O=0,z=40,J=new fMi().moveTo(m).lineTo(m.deltaX(P)).curveTo(m.deltaX(P+z),x.deltaX(-z-O),x.deltaX(-O)).lineTo(x).lineTo(w).lineTo(w.deltaX(-O)).curveTo(w.deltaX(-z-O),v.deltaX(P+z),v.deltaX(P)).lineTo(v).build();this._elements.path.setAttribute("d",J),this._elements.editorContainer.style.top=`${x.y}px`,this._elements.editorContainer.style.left=`${x.x}px`,this._elements.editorContainer.style.height=`${I}px`;const Y=this._previewEditorObs.contentWidth.read(d);this._previewEditor.layout({height:I,width:Y})})),this._promptEditor.setModel(this._promptTextModel),this._promptEditor.layout(),this._register(hMi(dMi(this._userPrompt,d=>d??"",d=>d),zC(this._promptEditor).value)),this._register(ba(d=>{const f=zC(this._promptEditor).isFocused.read(d);this._elements.root.classList.toggle("focused",f)}))}};WUe=cMi([lMi(3,ho)],WUe);function dMi(l,e,i){return xse(void 0,n=>e(l.read(n)),(n,s)=>l.set(i(n),s))}class Cq{constructor(e,i){this.x=e,this.y=i}deltaX(e){return new Cq(this.x+e,this.y)}}class fMi{constructor(){this._data=""}moveTo(e){return this._data+=`M ${e.x} ${e.y} `,this}lineTo(e){return this._data+=`L ${e.x} ${e.y} `,this}curveTo(e,i,n){return this._data+=`C ${e.x} ${e.y} ${i.x} ${i.y} ${n.x} ${n.y} `,this}build(){return this._data}}function hMi(l,e){const i=new wn;return i.add(ba(n=>{const s=l.read(n);e.set(s,void 0)})),i.add(ba(n=>{const s=e.read(n);l.set(s,void 0)})),i}var _Mi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},X9e=function(l,e){return function(i,n){e(i,n,l)}},vie,uB;let VUe=(uB=class extends xi{static _createUniqueUri(){return yo.from({scheme:"inline-edits",path:new Date().toString()+String(vie._modelId++)})}constructor(e,i,n,s,c,d,f){super(),this.textModel=e,this._textModelVersionId=i,this._selection=n,this._debounceValue=s,this.languageFeaturesService=c,this._diffProviderFactoryService=d,this._modelService=f,this._forceUpdateExplicitlySignal=xJ(this),this._selectedInlineCompletionId=bc(this,void 0),this._isActive=bc(this,!1),this._originalModel=Cy(()=>this._modelService.createModel("",null,vie._createUniqueUri())).keepObserved(this._store),this._modifiedModel=Cy(()=>this._modelService.createModel("",null,vie._createUniqueUri())).keepObserved(this._store),this._pinnedRange=new mMi(this.textModel,this._textModelVersionId),this.isPinned=this._pinnedRange.range.map(m=>!!m),this.userPrompt=bc(this,void 0),this.inlineEdit=ro(this,m=>{var v,x;return(x=(v=this._inlineEdit.read(m))==null?void 0:v.promiseResult.read(m))==null?void 0:x.data}),this._inlineEdit=ro(this,m=>{const v=this.selectedInlineEdit.read(m);if(!v)return;const x=v.inlineCompletion.range;if(v.inlineCompletion.insertText.trim()==="")return;let w=v.inlineCompletion.insertText.split(/\r\n|\r|\n/);function I(J){var ae;const Y=((ae=J[0].match(/^\s*/))==null?void 0:ae[0])??"";return J.map(me=>me.replace(new RegExp("^"+Y),""))}w=I(w);let O=this.textModel.getValueInRange(x).split(/\r\n|\r|\n/);O=I(O),this._originalModel.get().setValue(O.join(`
+`)),this._modifiedModel.get().setValue(w.join(`
+`));const z=this._diffProviderFactoryService.createDiffProvider({diffAlgorithm:"advanced"});return mwe.fromFn(async()=>{const J=await z.computeDiff(this._originalModel.get(),this._modifiedModel.get(),{computeMoves:!1,ignoreTrimWhitespace:!1,maxComputationTimeMs:1e3},cc.None);if(!J.identical)return new uMi(Za.fromRangeInclusive(x),I(w),J.changes)})}),this._fetchStore=this._register(new wn),this._inlineEditsFetchResult=sre(this,void 0),this._inlineEdits=mw({owner:this,equalsFn:Bye},m=>{var v;return((v=this._inlineEditsFetchResult.read(m))==null?void 0:v.completions.map(x=>new pMi(x)))??[]}),this._fetchInlineEditsPromise=wDt({owner:this,createEmptyChangeSummary:()=>({inlineCompletionTriggerKind:OE.Automatic}),handleChange:(m,v)=>(m.didChange(this._forceUpdateExplicitlySignal)&&(v.inlineCompletionTriggerKind=OE.Explicit),!0)},async(m,v)=>{this._fetchStore.clear(),this._forceUpdateExplicitlySignal.read(m),this._textModelVersionId.read(m);function x(z,J){return J(z)}const w=this._pinnedRange.range.read(m)??x(this._selection.read(m),z=>z.isEmpty()?void 0:z);if(!w){this._inlineEditsFetchResult.set(void 0,void 0),this.userPrompt.set(void 0,void 0);return}const I={triggerKind:v.inlineCompletionTriggerKind,selectedSuggestionInfo:void 0,userPrompt:this.userPrompt.read(m)},P=hBe(this._fetchStore);await c6(200,P);const O=await uPt(this.languageFeaturesService.inlineCompletionsProvider,w,this.textModel,I,P);P.isCancellationRequested||this._inlineEditsFetchResult.set(O,void 0)}),this._filteredInlineEditItems=mw({owner:this,equalsFn:Fye()},m=>this._inlineEdits.read(m)),this.selectedInlineCompletionIndex=ro(this,m=>{const v=this._selectedInlineCompletionId.read(m),x=this._filteredInlineEditItems.read(m),w=this._selectedInlineCompletionId===void 0?-1:x.findIndex(I=>I.semanticId===v);return w===-1?(this._selectedInlineCompletionId.set(void 0,void 0),0):w}),this.selectedInlineEdit=ro(this,m=>{const v=this._filteredInlineEditItems.read(m),x=this.selectedInlineCompletionIndex.read(m);return v[x]}),this._register(kJ(this._fetchInlineEditsPromise))}async triggerExplicitly(e){PB(e,i=>{this._isActive.set(!0,i),this._forceUpdateExplicitlySignal.trigger(i)}),await this._fetchInlineEditsPromise.get()}stop(e){PB(e,i=>{this.userPrompt.set(void 0,i),this._isActive.set(!1,i),this._inlineEditsFetchResult.set(void 0,i),this._pinnedRange.setRange(void 0,i)})}async _deltaSelectedInlineCompletionIndex(e){await this.triggerExplicitly();const i=this._filteredInlineEditItems.get()||[];if(i.length>0){const n=(this.selectedInlineCompletionIndex.get()+e+i.length)%i.length;this._selectedInlineCompletionId.set(i[n].semanticId,void 0)}else this._selectedInlineCompletionId.set(void 0,void 0)}async next(){await this._deltaSelectedInlineCompletionIndex(1)}async previous(){await this._deltaSelectedInlineCompletionIndex(-1)}async accept(e){if(e.getModel()!==this.textModel)throw new tu;const i=this.selectedInlineEdit.get();i&&(e.pushUndoStop(),e.executeEdits("inlineSuggestion.accept",[i.inlineCompletion.toSingleTextEdit().toSingleEditOperation()]),this.stop())}},vie=uB,uB._modelId=0,uB);VUe=vie=_Mi([X9e(4,Ts),X9e(5,Rse),X9e(6,rf)],VUe);class pMi{constructor(e){this.inlineCompletion=e,this.semanticId=this.inlineCompletion.hash()}}class mMi extends xi{constructor(e,i){super(),this._textModel=e,this._versionId=i,this._decorations=bc(this,[]),this.range=ro(this,n=>{this._versionId.read(n);const s=this._decorations.read(n)[0];return s?this._textModel.getDecorationRange(s)??null:null}),this._register(fo(()=>{this._textModel.deltaDecorations(this._decorations.get(),[])}))}setRange(e,i){this._decorations.set(this._textModel.deltaDecorations(this._decorations.get(),e?[{range:e,options:{description:"trackedRange"}}]:[]),i)}}var gMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Qte=function(l,e){return function(i,n){e(i,n,l)}},HUe,dB;let m6=(dB=class extends xi{static get(e){return e.getContribution(HUe.ID)}constructor(e,i,n,s,c,d){super(),this.editor=e,this._instantiationService=i,this._contextKeyService=n,this._debounceService=s,this._languageFeaturesService=c,this._configurationService=d,this._enabled=KTi("editor.inlineEdits.enabled",!1,this._configurationService),this._editorObs=zC(this.editor),this._selection=ro(this,f=>this._editorObs.cursorSelection.read(f)??new Us(1,1,1,1)),this._debounceValue=this._debounceService.for(this._languageFeaturesService.inlineCompletionsProvider,"InlineEditsDebounce",{min:50,max:50}),this.model=Cy(this,f=>{if(!this._enabled.read(f)||this._editorObs.isReadonly.read(f))return;const m=this._editorObs.model.read(f);return m?this._instantiationService.createInstance(WC(VUe,f),m,this._editorObs.versionId,this._selection,this._debounceValue):void 0}),this._hadInlineEdit=Dse(this,(f,m)=>{var v;return m||((v=this.model.read(f))==null?void 0:v.inlineEdit.read(f))!==void 0}),this._widget=Cy(this,f=>{if(this._hadInlineEdit.read(f))return this._instantiationService.createInstance(WC(WUe,f),this.editor,this.model.map((m,v)=>m==null?void 0:m.inlineEdit.read(v)),yMi(m=>{var v;return((v=this.model.read(m))==null?void 0:v.userPrompt)??bc("empty","")}))}),this._register(_E(cJ,this._contextKeyService,f=>{var m;return!!((m=this.model.read(f))!=null&&m.inlineEdit.read(f))})),this._register(_E(oMi,this._contextKeyService,f=>{var m;return!!((m=this.model.read(f))!=null&&m.isPinned.read(f))})),this.model.recomputeInitiallyAndOnChange(this._store),this._widget.recomputeInitiallyAndOnChange(this._store)}},HUe=dB,dB.ID="editor.contrib.inlineEditsController",dB);m6=HUe=gMi([Qte(1,ho),Qte(2,Ko),Qte(3,Pw),Qte(4,Ts),Qte(5,Cc)],m6);function yMi(l){return xse(void 0,e=>l(e).read(e),(e,i)=>{l(void 0).set(e,i)})}function Gse(l){return{label:l.value,alias:l.original}}const uSe=class uSe extends hs{constructor(){super({id:uSe.ID,...Gse(aa("action.inlineEdits.showNext","Show Next Inline Edit")),precondition:kn.and(Tt.writable,cJ),kbOpts:{weight:100,primary:606}})}async run(e,i){var s;const n=m6.get(i);(s=n==null?void 0:n.model.get())==null||s.next()}};uSe.ID=sMi;let jUe=uSe;const dSe=class dSe extends hs{constructor(){super({id:dSe.ID,...Gse(aa("action.inlineEdits.showPrevious","Show Previous Inline Edit")),precondition:kn.and(Tt.writable,cJ),kbOpts:{weight:100,primary:604}})}async run(e,i){var s;const n=m6.get(i);(s=n==null?void 0:n.model.get())==null||s.previous()}};dSe.ID=rMi;let zUe=dSe;class vMi extends hs{constructor(){super({id:"editor.action.inlineEdits.trigger",...Gse(aa("action.inlineEdits.trigger","Trigger Inline Edit")),precondition:Tt.writable})}async run(e,i){const n=m6.get(i);await SDt(async s=>{var c;await((c=n==null?void 0:n.model.get())==null?void 0:c.triggerExplicitly(s))})}}class bMi extends hs{constructor(){super({id:nMi,...Gse(aa("action.inlineEdits.accept","Accept Inline Edit")),precondition:cJ,menuOpts:{menuId:As.InlineEditsActions,title:W("inlineEditsActions","Accept Inline Edit"),group:"primary",order:1,icon:pr.check},kbOpts:{primary:2058,weight:2e4,kbExpr:cJ}})}async run(e,i){var s;i instanceof kT&&(i=i.getParentEditor());const n=m6.get(i);n&&((s=n.model.get())==null||s.accept(n.editor),n.editor.focus())}}const fSe=class fSe extends hs{constructor(){super({id:fSe.ID,...Gse(aa("action.inlineEdits.hide","Hide Inline Edit")),precondition:cJ,kbOpts:{weight:100,primary:9}})}async run(e,i){const n=m6.get(i);th(s=>{var c;(c=n==null?void 0:n.model.get())==null||c.stop(s)})}};fSe.ID="editor.action.inlineEdits.hide";let UUe=fSe;Cl(m6.ID,m6,3);sr(vMi);sr(jUe);sr(zUe);sr(bMi);sr(UUe);const JB={Visible:new rs("parameterHintsVisible",!1),MultipleSignatures:new rs("parameterHintsMultipleSignatures",!1)};async function IPt(l,e,i,n,s){const c=l.ordered(e);for(const d of c)try{const f=await d.provideSignatureHelp(e,i,s,n);if(f)return f}catch(f){t_(f)}}fl.registerCommand("_executeSignatureHelpProvider",async(l,...e)=>{const[i,n,s]=e;Fl(yo.isUri(i)),Fl(pi.isIPosition(n)),Fl(typeof s=="string"||!s);const c=l.get(Ts),d=await l.get(Ob).createModelReference(i);try{const f=await IPt(c.signatureHelpProvider,d.object.textEditorModel,pi.lift(n),{triggerKind:kL.Invoke,isRetrigger:!1,triggerCharacter:s},cc.None);return f?(setTimeout(()=>f.dispose(),0),f.value):void 0}finally{d.dispose()}});var pM;(function(l){l.Default={type:0};class e{constructor(s,c){this.request=s,this.previouslyActiveHints=c,this.type=2}}l.Pending=e;class i{constructor(s){this.hints=s,this.type=1}}l.Active=i})(pM||(pM={}));const hSe=class hSe extends xi{constructor(e,i,n=hSe.DEFAULT_DELAY){super(),this._onChangedHints=this._register(new gi),this.onChangedHints=this._onChangedHints.event,this.triggerOnType=!1,this._state=pM.Default,this._pendingTriggers=[],this._lastSignatureHelpResult=this._register(new Fm),this.triggerChars=new pye,this.retriggerChars=new pye,this.triggerId=0,this.editor=e,this.providers=i,this.throttledDelayer=new Ck(n),this._register(this.editor.onDidBlurEditorWidget(()=>this.cancel())),this._register(this.editor.onDidChangeConfiguration(()=>this.onEditorConfigurationChange())),this._register(this.editor.onDidChangeModel(s=>this.onModelChanged())),this._register(this.editor.onDidChangeModelLanguage(s=>this.onModelChanged())),this._register(this.editor.onDidChangeCursorSelection(s=>this.onCursorChange(s))),this._register(this.editor.onDidChangeModelContent(s=>this.onModelContentChange())),this._register(this.providers.onDidChange(this.onModelChanged,this)),this._register(this.editor.onDidType(s=>this.onDidType(s))),this.onEditorConfigurationChange(),this.onModelChanged()}get state(){return this._state}set state(e){this._state.type===2&&this._state.request.cancel(),this._state=e}cancel(e=!1){this.state=pM.Default,this.throttledDelayer.cancel(),e||this._onChangedHints.fire(void 0)}trigger(e,i){const n=this.editor.getModel();if(!n||!this.providers.has(n))return;const s=++this.triggerId;this._pendingTriggers.push(e),this.throttledDelayer.trigger(()=>this.doTrigger(s),i).catch(Pa)}next(){if(this.state.type!==1)return;const e=this.state.hints.signatures.length,i=this.state.hints.activeSignature,n=i%e===e-1,s=this.editor.getOption(86).cycle;if((e<2||n)&&!s){this.cancel();return}this.updateActiveSignature(n&&s?0:i+1)}previous(){if(this.state.type!==1)return;const e=this.state.hints.signatures.length,i=this.state.hints.activeSignature,n=i===0,s=this.editor.getOption(86).cycle;if((e<2||n)&&!s){this.cancel();return}this.updateActiveSignature(n&&s?e-1:i-1)}updateActiveSignature(e){this.state.type===1&&(this.state=new pM.Active({...this.state.hints,activeSignature:e}),this._onChangedHints.fire(this.state.hints))}async doTrigger(e){const i=this.state.type===1||this.state.type===2,n=this.getLastActiveHints();if(this.cancel(!0),this._pendingTriggers.length===0)return!1;const s=this._pendingTriggers.reduce(CMi);this._pendingTriggers=[];const c={triggerKind:s.triggerKind,triggerCharacter:s.triggerCharacter,isRetrigger:i,activeSignatureHelp:n};if(!this.editor.hasModel())return!1;const d=this.editor.getModel(),f=this.editor.getPosition();this.state=new pM.Pending(Om(m=>IPt(this.providers,d,f,c,m)),n);try{const m=await this.state.request;return e!==this.triggerId?(m==null||m.dispose(),!1):!m||!m.value.signatures||m.value.signatures.length===0?(m==null||m.dispose(),this._lastSignatureHelpResult.clear(),this.cancel(),!1):(this.state=new pM.Active(m.value),this._lastSignatureHelpResult.value=m,this._onChangedHints.fire(this.state.hints),!0)}catch(m){return e===this.triggerId&&(this.state=pM.Default),Pa(m),!1}}getLastActiveHints(){switch(this.state.type){case 1:return this.state.hints;case 2:return this.state.previouslyActiveHints;default:return}}get isTriggered(){return this.state.type===1||this.state.type===2||this.throttledDelayer.isTriggered()}onModelChanged(){this.cancel(),this.triggerChars.clear(),this.retriggerChars.clear();const e=this.editor.getModel();if(e)for(const i of this.providers.ordered(e)){for(const n of i.signatureHelpTriggerCharacters||[])if(n.length){const s=n.charCodeAt(0);this.triggerChars.add(s),this.retriggerChars.add(s)}for(const n of i.signatureHelpRetriggerCharacters||[])n.length&&this.retriggerChars.add(n.charCodeAt(0))}}onDidType(e){if(!this.triggerOnType)return;const i=e.length-1,n=e.charCodeAt(i);(this.triggerChars.has(n)||this.isTriggered&&this.retriggerChars.has(n))&&this.trigger({triggerKind:kL.TriggerCharacter,triggerCharacter:e.charAt(i)})}onCursorChange(e){e.source==="mouse"?this.cancel():this.isTriggered&&this.trigger({triggerKind:kL.ContentChange})}onModelContentChange(){this.isTriggered&&this.trigger({triggerKind:kL.ContentChange})}onEditorConfigurationChange(){this.triggerOnType=this.editor.getOption(86).enabled,this.triggerOnType||this.cancel()}dispose(){this.cancel(!0),super.dispose()}};hSe.DEFAULT_DELAY=120;let qUe=hSe;function CMi(l,e){switch(e.triggerKind){case kL.Invoke:return e;case kL.ContentChange:return l;case kL.TriggerCharacter:default:return e}}var SMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Q0e=function(l,e){return function(i,n){e(i,n,l)}},$Ue;const sw=Cr,wMi=sf("parameter-hints-next",pr.chevronDown,W("parameterHintsNextIcon","Icon for show next parameter hint.")),xMi=sf("parameter-hints-previous",pr.chevronUp,W("parameterHintsPreviousIcon","Icon for show previous parameter hint."));var fB;let JUe=(fB=class extends xi{constructor(e,i,n,s,c,d){super(),this.editor=e,this.model=i,this.telemetryService=d,this.renderDisposeables=this._register(new wn),this.visible=!1,this.announcedLabel=null,this.allowEditorOverflow=!0,this.markdownRenderer=this._register(new d6({editor:e},c,s)),this.keyVisible=JB.Visible.bindTo(n),this.keyMultipleSignatures=JB.MultipleSignatures.bindTo(n)}createParameterHintDOMNodes(){const e=sw(".editor-widget.parameter-hints-widget"),i=jn(e,sw(".phwrapper"));i.tabIndex=-1;const n=jn(i,sw(".controls")),s=jn(n,sw(".button"+zo.asCSSSelector(xMi))),c=jn(n,sw(".overloads")),d=jn(n,sw(".button"+zo.asCSSSelector(wMi)));this._register(en(s,"click",I=>{il.stop(I),this.previous()})),this._register(en(d,"click",I=>{il.stop(I),this.next()}));const f=sw(".body"),m=new vse(f,{alwaysConsumeMouseWheel:!0});this._register(m),i.appendChild(m.getDomNode());const v=jn(f,sw(".signature")),x=jn(f,sw(".docs"));e.style.userSelect="text",this.domNodes={element:e,signature:v,overloads:c,docs:x,scrollbar:m},this.editor.addContentWidget(this),this.hide(),this._register(this.editor.onDidChangeCursorSelection(I=>{this.visible&&this.editor.layoutContentWidget(this)}));const w=()=>{if(!this.domNodes)return;const I=this.editor.getOption(50),P=this.domNodes.element;P.style.fontSize=`${I.fontSize}px`,P.style.lineHeight=`${I.lineHeight/I.fontSize}`,P.style.setProperty("--vscode-parameterHintsWidget-editorFontFamily",I.fontFamily),P.style.setProperty("--vscode-parameterHintsWidget-editorFontFamilyDefault",vv.fontFamily)};w(),this._register(Tr.chain(this.editor.onDidChangeConfiguration.bind(this.editor),I=>I.filter(P=>P.hasChanged(50)))(w)),this._register(this.editor.onDidLayoutChange(I=>this.updateMaxHeight())),this.updateMaxHeight()}show(){this.visible||(this.domNodes||this.createParameterHintDOMNodes(),this.keyVisible.set(!0),this.visible=!0,setTimeout(()=>{var e;(e=this.domNodes)==null||e.element.classList.add("visible")},100),this.editor.layoutContentWidget(this))}hide(){var e;this.renderDisposeables.clear(),this.visible&&(this.keyVisible.reset(),this.visible=!1,this.announcedLabel=null,(e=this.domNodes)==null||e.element.classList.remove("visible"),this.editor.layoutContentWidget(this))}getPosition(){return this.visible?{position:this.editor.getPosition(),preference:[1,2]}:null}render(e){if(this.renderDisposeables.clear(),!this.domNodes)return;const i=e.signatures.length>1;this.domNodes.element.classList.toggle("multiple",i),this.keyMultipleSignatures.set(i),this.domNodes.signature.innerText="",this.domNodes.docs.innerText="";const n=e.signatures[e.activeSignature];if(!n)return;const s=jn(this.domNodes.signature,sw(".code")),c=n.parameters.length>0,d=n.activeParameter??e.activeParameter;if(c)this.renderParameters(s,n,d);else{const v=jn(s,sw("span"));v.textContent=n.label}const f=n.parameters[d];if(f!=null&&f.documentation){const v=sw("span.documentation");if(typeof f.documentation=="string")v.textContent=f.documentation;else{const x=this.renderMarkdownDocs(f.documentation);v.appendChild(x.element)}jn(this.domNodes.docs,sw("p",{},v))}if(n.documentation!==void 0)if(typeof n.documentation=="string")jn(this.domNodes.docs,sw("p",{},n.documentation));else{const v=this.renderMarkdownDocs(n.documentation);jn(this.domNodes.docs,v.element)}const m=this.hasDocs(n,f);if(this.domNodes.signature.classList.toggle("has-docs",m),this.domNodes.docs.classList.toggle("empty",!m),this.domNodes.overloads.textContent=String(e.activeSignature+1).padStart(e.signatures.length.toString().length,"0")+"/"+e.signatures.length,f){let v="";const x=n.parameters[d];Array.isArray(x.label)?v=n.label.substring(x.label[0],x.label[1]):v=x.label,x.documentation&&(v+=typeof x.documentation=="string"?`, ${x.documentation}`:`, ${x.documentation.value}`),n.documentation&&(v+=typeof n.documentation=="string"?`, ${n.documentation}`:`, ${n.documentation.value}`),this.announcedLabel!==v&&(xv(W("hint","{0}, hint",v)),this.announcedLabel=v)}this.editor.layoutContentWidget(this),this.domNodes.scrollbar.scanDomNode()}renderMarkdownDocs(e){const i=new bg,n=this.renderDisposeables.add(this.markdownRenderer.render(e,{asyncRenderCallback:()=>{var c;(c=this.domNodes)==null||c.scrollbar.scanDomNode()}}));n.element.classList.add("markdown-docs");const s=i.elapsed();return s>300&&this.telemetryService.publicLog2("parameterHints.parseMarkdown",{renderDuration:s}),n}hasDocs(e,i){return!!(i&&typeof i.documentation=="string"&&NM(i.documentation).length>0||i&&typeof i.documentation=="object"&&NM(i.documentation).value.length>0||e.documentation&&typeof e.documentation=="string"&&NM(e.documentation).length>0||e.documentation&&typeof e.documentation=="object"&&NM(e.documentation.value).length>0)}renderParameters(e,i,n){const[s,c]=this.getParameterLabelOffsets(i,n),d=document.createElement("span");d.textContent=i.label.substring(0,s);const f=document.createElement("span");f.textContent=i.label.substring(s,c),f.className="parameter active";const m=document.createElement("span");m.textContent=i.label.substring(c),jn(e,d,f,m)}getParameterLabelOffsets(e,i){const n=e.parameters[i];if(n){if(Array.isArray(n.label))return n.label;if(n.label.length){const s=new RegExp(`(\\W|^)${Tw(n.label)}(?=\\W|$)`,"g");s.test(e.label);const c=s.lastIndex-n.label.length;return c>=0?[c,s.lastIndex]:[0,0]}else return[0,0]}else return[0,0]}next(){this.editor.focus(),this.model.next()}previous(){this.editor.focus(),this.model.previous()}getDomNode(){return this.domNodes||this.createParameterHintDOMNodes(),this.domNodes.element}getId(){return $Ue.ID}updateMaxHeight(){if(!this.domNodes)return;const i=`${Math.max(this.editor.getLayoutInfo().height/4,250)}px`;this.domNodes.element.style.maxHeight=i;const n=this.domNodes.element.getElementsByClassName("phwrapper");n.length&&(n[0].style.maxHeight=i)}},$Ue=fB,fB.ID="editor.widget.parameterHintsWidget",fB);JUe=$Ue=SMi([Q0e(2,Ko),Q0e(3,Rb),Q0e(4,Pf),Q0e(5,b1)],JUe);It("editorHoverWidget.highlightForeground",rq,W("editorHoverWidgetHighlightForeground","Foreground color of the active item in the parameter hint."));var kMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Jxt=function(l,e){return function(i,n){e(i,n,l)}},GUe,hB;let lJ=(hB=class extends xi{static get(e){return e.getContribution(GUe.ID)}constructor(e,i,n){super(),this.editor=e,this.model=this._register(new qUe(e,n.signatureHelpProvider)),this._register(this.model.onChangedHints(s=>{var c;s?(this.widget.value.show(),this.widget.value.render(s)):(c=this.widget.rawValue)==null||c.hide()})),this.widget=new HE(()=>this._register(i.createInstance(JUe,this.editor,this.model)))}cancel(){this.model.cancel()}previous(){var e;(e=this.widget.rawValue)==null||e.previous()}next(){var e;(e=this.widget.rawValue)==null||e.next()}trigger(e){this.model.trigger(e,0)}},GUe=hB,hB.ID="editor.controller.parameterHints",hB);lJ=GUe=kMi([Jxt(1,ho),Jxt(2,Ts)],lJ);class TMi extends hs{constructor(){super({id:"editor.action.triggerParameterHints",label:W("parameterHints.trigger.label","Trigger Parameter Hints"),alias:"Trigger Parameter Hints",precondition:Tt.hasSignatureHelpProvider,kbOpts:{kbExpr:Tt.editorTextFocus,primary:3082,weight:100}})}run(e,i){const n=lJ.get(i);n==null||n.trigger({triggerKind:kL.Invoke})}}Cl(lJ.ID,lJ,2);sr(TMi);const dKe=175,fKe=tm.bindToContribution(lJ.get);$r(new fKe({id:"closeParameterHints",precondition:JB.Visible,handler:l=>l.cancel(),kbOpts:{weight:dKe,kbExpr:Tt.focus,primary:9,secondary:[1033]}}));$r(new fKe({id:"showPrevParameterHint",precondition:kn.and(JB.Visible,JB.MultipleSignatures),handler:l=>l.previous(),kbOpts:{weight:dKe,kbExpr:Tt.focus,primary:16,secondary:[528],mac:{primary:16,secondary:[528,302]}}}));$r(new fKe({id:"showNextParameterHint",precondition:kn.and(JB.Visible,JB.MultipleSignatures),handler:l=>l.next(),kbOpts:{weight:dKe,kbExpr:Tt.focus,primary:18,secondary:[530],mac:{primary:18,secondary:[530,300]}}}));var DMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},EMi=function(l,e){return function(i,n){e(i,n,l)}};class IMi{constructor(e){this.instantiationService=e}init(...e){}}function NMi(l,e){return class extends e{constructor(){super(...arguments),this._autorun=void 0}init(...n){this._autorun=Ib((s,c)=>{const d=WC(l(),s);c.add(this.instantiationService.createInstance(d,...n))})}dispose(){var n;(n=this._autorun)==null||n.dispose()}}}function LMi(l){return Fwe()?NMi(l,KUe):l()}let KUe=class extends IMi{constructor(e,i){super(i),this.init(e)}};KUe=DMi([EMi(1,ho)],KUe);Cl(Zre.ID,LMi(()=>Zre),0);It("editor.placeholder.foreground",Byi,W("placeholderForeground","Foreground color of the placeholder text in the editor."));var PMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Z0e=function(l,e){return function(i,n){e(i,n,l)}};const BJ=new rs("renameInputVisible",!1,W("renameInputVisible","Whether the rename input widget is visible"));new rs("renameInputFocused",!1,W("renameInputFocused","Whether the rename input widget is focused"));let XUe=class{constructor(e,i,n,s,c,d){this._editor=e,this._acceptKeybindings=i,this._themeService=n,this._keybindingService=s,this._logService=d,this.allowEditorOverflow=!0,this._disposables=new wn,this._visibleContextKey=BJ.bindTo(c),this._isEditingRenameCandidate=!1,this._nRenameSuggestionsInvocations=0,this._hadAutomaticRenameSuggestionsInvocation=!1,this._candidates=new Set,this._beforeFirstInputFieldEditSW=new bg,this._inputWithButton=new AMi,this._disposables.add(this._inputWithButton),this._editor.addContentWidget(this),this._disposables.add(this._editor.onDidChangeConfiguration(f=>{f.hasChanged(50)&&this._updateFont()})),this._disposables.add(n.onDidColorThemeChange(this._updateStyles,this))}dispose(){this._disposables.dispose(),this._editor.removeContentWidget(this)}getId(){return"__renameInputWidget"}getDomNode(){return this._domNode||(this._domNode=document.createElement("div"),this._domNode.className="monaco-editor rename-box",this._domNode.appendChild(this._inputWithButton.domNode),this._renameCandidateListView=this._disposables.add(new hKe(this._domNode,{fontInfo:this._editor.getOption(50),onFocusChange:e=>{this._inputWithButton.input.value=e,this._isEditingRenameCandidate=!1},onSelectionChange:()=>{this._isEditingRenameCandidate=!1,this.acceptInput(!1)}})),this._disposables.add(this._inputWithButton.onDidInputChange(()=>{var e,i,n;((e=this._renameCandidateListView)==null?void 0:e.focusedCandidate)!==void 0&&(this._isEditingRenameCandidate=!0),this._timeBeforeFirstInputFieldEdit??(this._timeBeforeFirstInputFieldEdit=this._beforeFirstInputFieldEditSW.elapsed()),((i=this._renameCandidateProvidersCts)==null?void 0:i.token.isCancellationRequested)===!1&&this._renameCandidateProvidersCts.cancel(),(n=this._renameCandidateListView)==null||n.clearFocus()})),this._label=document.createElement("div"),this._label.className="rename-label",this._domNode.appendChild(this._label),this._updateFont(),this._updateStyles(this._themeService.getColorTheme())),this._domNode}_updateStyles(e){if(!this._domNode)return;const i=e.getColor(vJ),n=e.getColor(MTt);this._domNode.style.backgroundColor=String(e.getColor(Cw)??""),this._domNode.style.boxShadow=i?` 0 0 8px 2px ${i}`:"",this._domNode.style.border=n?`1px solid ${n}`:"",this._domNode.style.color=String(e.getColor(BTt)??"");const s=e.getColor(WTt);this._inputWithButton.domNode.style.backgroundColor=String(e.getColor(lVe)??""),this._inputWithButton.input.style.backgroundColor=String(e.getColor(lVe)??""),this._inputWithButton.domNode.style.borderWidth=s?"1px":"0px",this._inputWithButton.domNode.style.borderStyle=s?"solid":"none",this._inputWithButton.domNode.style.borderColor=(s==null?void 0:s.toString())??"none"}_updateFont(){if(this._domNode===void 0)return;Fl(this._label!==void 0,"RenameWidget#_updateFont: _label must not be undefined given _domNode is defined"),this._editor.applyFontInfo(this._inputWithButton.input);const e=this._editor.getOption(50);this._label.style.fontSize=`${this._computeLabelFontSize(e.fontSize)}px`}_computeLabelFontSize(e){return e*.8}getPosition(){if(!this._visible||!this._editor.hasModel()||!this._editor.getDomNode())return null;const e=SR(this.getDomNode().ownerDocument.body),i=e_(this._editor.getDomNode()),n=this._getTopForPosition();this._nPxAvailableAbove=n+i.top,this._nPxAvailableBelow=e.height-this._nPxAvailableAbove;const s=this._editor.getOption(67),{totalHeight:c}=Yre.getLayoutInfo({lineHeight:s}),d=this._nPxAvailableBelow>c*6?[2,1]:[1,2];return{position:this._position,preference:d}}beforeRender(){var n,s;const[e,i]=this._acceptKeybindings;return this._label.innerText=W({key:"label",comment:['placeholders are keybindings, e.g "F2 to Rename, Shift+F2 to Preview"']},"{0} to Rename, {1} to Preview",(n=this._keybindingService.lookupKeybinding(e))==null?void 0:n.getLabel(),(s=this._keybindingService.lookupKeybinding(i))==null?void 0:s.getLabel()),this._domNode.style.minWidth="200px",null}afterRender(e){if(e===null){this.cancelInput(!0,"afterRender (because position is null)");return}if(!this._editor.hasModel()||!this._editor.getDomNode())return;Fl(this._renameCandidateListView),Fl(this._nPxAvailableAbove!==void 0),Fl(this._nPxAvailableBelow!==void 0);const i=B4(this._inputWithButton.domNode),n=B4(this._label);let s;e===2?s=this._nPxAvailableBelow:s=this._nPxAvailableAbove,this._renameCandidateListView.layout({height:s-n-i,width:FC(this._inputWithButton.domNode)})}acceptInput(e){var i;this._trace("invoking acceptInput"),(i=this._currentAcceptInput)==null||i.call(this,e)}cancelInput(e,i){var n;(n=this._currentCancelInput)==null||n.call(this,e)}focusNextRenameSuggestion(){var e;(e=this._renameCandidateListView)!=null&&e.focusNext()||(this._inputWithButton.input.value=this._currentName)}focusPreviousRenameSuggestion(){var e;(e=this._renameCandidateListView)!=null&&e.focusPrevious()||(this._inputWithButton.input.value=this._currentName)}getInput(e,i,n,s,c){const{start:d,end:f}=this._getSelection(e,i);this._renameCts=c;const m=new wn;this._nRenameSuggestionsInvocations=0,this._hadAutomaticRenameSuggestionsInvocation=!1,s===void 0?this._inputWithButton.button.style.display="none":(this._inputWithButton.button.style.display="flex",this._requestRenameCandidatesOnce=s,this._requestRenameCandidates(i,!1),m.add(en(this._inputWithButton.button,"click",()=>this._requestRenameCandidates(i,!0))),m.add(en(this._inputWithButton.button,br.KEY_DOWN,x=>{const w=new Id(x);(w.equals(3)||w.equals(10))&&(w.stopPropagation(),w.preventDefault(),this._requestRenameCandidates(i,!0))}))),this._isEditingRenameCandidate=!1,this._domNode.classList.toggle("preview",n),this._position=new pi(e.startLineNumber,e.startColumn),this._currentName=i,this._inputWithButton.input.value=i,this._inputWithButton.input.setAttribute("selectionStart",d.toString()),this._inputWithButton.input.setAttribute("selectionEnd",f.toString()),this._inputWithButton.input.size=Math.max((e.endColumn-e.startColumn)*1.1,20),this._beforeFirstInputFieldEditSW.reset(),m.add(fo(()=>{this._renameCts=void 0,c.dispose(!0)})),m.add(fo(()=>{this._renameCandidateProvidersCts!==void 0&&(this._renameCandidateProvidersCts.dispose(!0),this._renameCandidateProvidersCts=void 0)})),m.add(fo(()=>this._candidates.clear()));const v=new hJ;return v.p.finally(()=>{m.dispose(),this._hide()}),this._currentCancelInput=x=>{var w;return this._trace("invoking _currentCancelInput"),this._currentAcceptInput=void 0,this._currentCancelInput=void 0,(w=this._renameCandidateListView)==null||w.clearCandidates(),v.complete(x),!0},this._currentAcceptInput=x=>{this._trace("invoking _currentAcceptInput"),Fl(this._renameCandidateListView!==void 0);const w=this._renameCandidateListView.nCandidates;let I,P;const O=this._renameCandidateListView.focusedCandidate;if(O!==void 0?(this._trace("using new name from renameSuggestion"),I=O,P={k:"renameSuggestion"}):(this._trace("using new name from inputField"),I=this._inputWithButton.input.value,P=this._isEditingRenameCandidate?{k:"userEditedRenameSuggestion"}:{k:"inputField"}),I===i||I.trim().length===0){this.cancelInput(!0,"_currentAcceptInput (because newName === value || newName.trim().length === 0)");return}this._currentAcceptInput=void 0,this._currentCancelInput=void 0,this._renameCandidateListView.clearCandidates(),v.complete({newName:I,wantsPreview:n&&x,stats:{source:P,nRenameSuggestions:w,timeBeforeFirstInputFieldEdit:this._timeBeforeFirstInputFieldEdit,nRenameSuggestionsInvocations:this._nRenameSuggestionsInvocations,hadAutomaticRenameSuggestionsInvocation:this._hadAutomaticRenameSuggestionsInvocation}})},m.add(c.token.onCancellationRequested(()=>this.cancelInput(!0,"cts.token.onCancellationRequested"))),m.add(this._editor.onDidBlurEditorWidget(()=>{var x;return this.cancelInput(!((x=this._domNode)!=null&&x.ownerDocument.hasFocus()),"editor.onDidBlurEditorWidget")})),this._show(),v.p}_requestRenameCandidates(e,i){if(this._requestRenameCandidatesOnce!==void 0&&(this._renameCandidateProvidersCts!==void 0&&this._renameCandidateProvidersCts.dispose(!0),Fl(this._renameCts),this._inputWithButton.buttonState!=="stop")){this._renameCandidateProvidersCts=new ih;const n=i?Mne.Invoke:Mne.Automatic,s=this._requestRenameCandidatesOnce(n,this._renameCandidateProvidersCts.token);if(s.length===0){this._inputWithButton.setSparkleButton();return}i||(this._hadAutomaticRenameSuggestionsInvocation=!0),this._nRenameSuggestionsInvocations+=1,this._inputWithButton.setStopButton(),this._updateRenameCandidates(s,e,this._renameCts.token)}}_getSelection(e,i){Fl(this._editor.hasModel());const n=this._editor.getSelection();let s=0,c=i.length;return!nt.isEmpty(n)&&!nt.spansMultipleLines(n)&&nt.containsRange(e,n)&&(s=Math.max(0,n.startColumn-e.startColumn),c=Math.min(e.endColumn,n.endColumn)-e.startColumn),{start:s,end:c}}_show(){this._trace("invoking _show"),this._editor.revealLineInCenterIfOutsideViewport(this._position.lineNumber,0),this._visible=!0,this._visibleContextKey.set(!0),this._editor.layoutContentWidget(this),setTimeout(()=>{this._inputWithButton.input.focus(),this._inputWithButton.input.setSelectionRange(parseInt(this._inputWithButton.input.getAttribute("selectionStart")),parseInt(this._inputWithButton.input.getAttribute("selectionEnd")))},100)}async _updateRenameCandidates(e,i,n){const s=(...v)=>this._trace("_updateRenameCandidates",...v);s("start");const c=await _se(Promise.allSettled(e),n);if(this._inputWithButton.setSparkleButton(),c===void 0){s("returning early - received updateRenameCandidates results - undefined");return}const d=c.flatMap(v=>v.status==="fulfilled"&&xL(v.value)?v.value:[]);s(`received updateRenameCandidates results - total (unfiltered) ${d.length} candidates.`);const f=r6(d,v=>v.newSymbolName);s(`distinct candidates - ${f.length} candidates.`);const m=f.filter(({newSymbolName:v})=>v.trim().length>0&&v!==this._inputWithButton.input.value&&v!==i&&!this._candidates.has(v));if(s(`valid distinct candidates - ${d.length} candidates.`),m.forEach(v=>this._candidates.add(v.newSymbolName)),m.length<1){s("returning early - no valid distinct candidates");return}s("setting candidates"),this._renameCandidateListView.setCandidates(m),s("asking editor to re-layout"),this._editor.layoutContentWidget(this)}_hide(){this._trace("invoked _hide"),this._visible=!1,this._visibleContextKey.reset(),this._editor.layoutContentWidget(this)}_getTopForPosition(){const e=this._editor.getVisibleRanges();let i;return e.length>0?i=e[0].startLineNumber:(this._logService.warn("RenameWidget#_getTopForPosition: this should not happen - visibleRanges is empty"),i=Math.max(1,this._position.lineNumber-5)),this._editor.getTopForLineNumber(this._position.lineNumber)-this._editor.getTopForLineNumber(i)}_trace(...e){this._logService.trace("RenameWidget",...e)}};XUe=PMi([Z0e(2,im),Z0e(3,pu),Z0e(4,Ko),Z0e(5,N0)],XUe);class hKe{constructor(e,i){this._disposables=new wn,this._availableHeight=0,this._minimumWidth=0,this._lineHeight=i.fontInfo.lineHeight,this._typicalHalfwidthCharacterWidth=i.fontInfo.typicalHalfwidthCharacterWidth,this._listContainer=document.createElement("div"),this._listContainer.className="rename-box rename-candidate-list-container",e.appendChild(this._listContainer),this._listWidget=hKe._createListWidget(this._listContainer,this._candidateViewHeight,i.fontInfo),this._listWidget.onDidChangeFocus(n=>{n.elements.length===1&&i.onFocusChange(n.elements[0].newSymbolName)},this._disposables),this._listWidget.onDidChangeSelection(n=>{n.elements.length===1&&i.onSelectionChange()},this._disposables),this._disposables.add(this._listWidget.onDidBlur(n=>{this._listWidget.setFocus([])})),this._listWidget.style(iW({listInactiveFocusForeground:Qne,listInactiveFocusBackground:Zne}))}dispose(){this._listWidget.dispose(),this._disposables.dispose()}layout({height:e,width:i}){this._availableHeight=e,this._minimumWidth=i}setCandidates(e){this._listWidget.splice(0,0,e);const i=this._pickListHeight(this._listWidget.length),n=this._pickListWidth(e);this._listWidget.layout(i,n),this._listContainer.style.height=`${i}px`,this._listContainer.style.width=`${n}px`,PL(W("renameSuggestionsReceivedAria","Received {0} rename suggestions",e.length))}clearCandidates(){this._listContainer.style.height="0px",this._listContainer.style.width="0px",this._listWidget.splice(0,this._listWidget.length,[])}get nCandidates(){return this._listWidget.length}get focusedCandidate(){if(this._listWidget.length===0)return;const e=this._listWidget.getSelectedElements()[0];if(e!==void 0)return e.newSymbolName;const i=this._listWidget.getFocusedElements()[0];if(i!==void 0)return i.newSymbolName}focusNext(){if(this._listWidget.length===0)return!1;const e=this._listWidget.getFocus();if(e.length===0)return this._listWidget.focusFirst(),this._listWidget.reveal(0),!0;if(e[0]===this._listWidget.length-1)return this._listWidget.setFocus([]),this._listWidget.reveal(0),!1;{this._listWidget.focusNext();const i=this._listWidget.getFocus()[0];return this._listWidget.reveal(i),!0}}focusPrevious(){if(this._listWidget.length===0)return!1;const e=this._listWidget.getFocus();if(e.length===0){this._listWidget.focusLast();const i=this._listWidget.getFocus()[0];return this._listWidget.reveal(i),!0}else{if(e[0]===0)return this._listWidget.setFocus([]),!1;{this._listWidget.focusPrevious();const i=this._listWidget.getFocus()[0];return this._listWidget.reveal(i),!0}}}clearFocus(){this._listWidget.setFocus([])}get _candidateViewHeight(){const{totalHeight:e}=Yre.getLayoutInfo({lineHeight:this._lineHeight});return e}_pickListHeight(e){const i=this._candidateViewHeight*e;return Math.min(i,this._availableHeight,this._candidateViewHeight*7)}_pickListWidth(e){const i=Math.ceil(Math.max(...e.map(s=>s.newSymbolName.length))*this._typicalHalfwidthCharacterWidth);return Math.max(this._minimumWidth,25+i+10)}static _createListWidget(e,i,n){const s=new class{getTemplateId(d){return"candidate"}getHeight(d){return i}},c=new class{constructor(){this.templateId="candidate"}renderTemplate(d){return new Yre(d,n)}renderElement(d,f,m){m.populate(d)}disposeTemplate(d){d.dispose()}};return new Ow("NewSymbolNameCandidates",e,s,[c],{keyboardSupport:!1,mouseSupport:!0,multipleSelectionSupport:!1})}}class AMi{constructor(){this._onDidInputChange=new gi,this.onDidInputChange=this._onDidInputChange.event,this._disposables=new wn}get domNode(){return this._domNode||(this._domNode=document.createElement("div"),this._domNode.className="rename-input-with-button",this._domNode.style.display="flex",this._domNode.style.flexDirection="row",this._domNode.style.alignItems="center",this._inputNode=document.createElement("input"),this._inputNode.className="rename-input",this._inputNode.type="text",this._inputNode.style.border="none",this._inputNode.setAttribute("aria-label",W("renameAriaLabel","Rename input. Type new name and press Enter to commit.")),this._domNode.appendChild(this._inputNode),this._buttonNode=document.createElement("div"),this._buttonNode.className="rename-suggestions-button",this._buttonNode.setAttribute("tabindex","0"),this._buttonGenHoverText=W("generateRenameSuggestionsButton","Generate new name suggestions"),this._buttonCancelHoverText=W("cancelRenameSuggestionsButton","Cancel"),this._buttonHover=QE().setupManagedHover(Dv("element"),this._buttonNode,this._buttonGenHoverText),this._disposables.add(this._buttonHover),this._domNode.appendChild(this._buttonNode),this._disposables.add(en(this.input,br.INPUT,()=>this._onDidInputChange.fire())),this._disposables.add(en(this.input,br.KEY_DOWN,e=>{const i=new Id(e);(i.keyCode===15||i.keyCode===17)&&this._onDidInputChange.fire()})),this._disposables.add(en(this.input,br.CLICK,()=>this._onDidInputChange.fire())),this._disposables.add(en(this.input,br.FOCUS,()=>{this.domNode.style.outlineWidth="1px",this.domNode.style.outlineStyle="solid",this.domNode.style.outlineOffset="-1px",this.domNode.style.outlineColor="var(--vscode-focusBorder)"})),this._disposables.add(en(this.input,br.BLUR,()=>{this.domNode.style.outline="none"}))),this._domNode}get input(){return Fl(this._inputNode),this._inputNode}get button(){return Fl(this._buttonNode),this._buttonNode}get buttonState(){return this._buttonState}setSparkleButton(){var e;this._buttonState="sparkle",this._sparkleIcon??(this._sparkleIcon=IB(pr.sparkle)),s0(this.button),this.button.appendChild(this._sparkleIcon),this.button.setAttribute("aria-label","Generating new name suggestions"),(e=this._buttonHover)==null||e.update(this._buttonGenHoverText),this.input.focus()}setStopButton(){var e;this._buttonState="stop",this._stopIcon??(this._stopIcon=IB(pr.primitiveSquare)),s0(this.button),this.button.appendChild(this._stopIcon),this.button.setAttribute("aria-label","Cancel generating new name suggestions"),(e=this._buttonHover)==null||e.update(this._buttonCancelHoverText),this.input.focus()}dispose(){this._disposables.dispose()}}const kne=class kne{constructor(e,i){this._domNode=document.createElement("div"),this._domNode.className="rename-box rename-candidate",this._domNode.style.display="flex",this._domNode.style.columnGap="5px",this._domNode.style.alignItems="center",this._domNode.style.height=`${i.lineHeight}px`,this._domNode.style.padding=`${kne._PADDING}px`;const n=document.createElement("div");n.style.display="flex",n.style.alignItems="center",n.style.width=n.style.height=`${i.lineHeight*.8}px`,this._domNode.appendChild(n),this._icon=IB(pr.sparkle),this._icon.style.display="none",n.appendChild(this._icon),this._label=document.createElement("div"),a0(this._label,i),this._domNode.appendChild(this._label),e.appendChild(this._domNode)}populate(e){this._updateIcon(e),this._updateLabel(e)}_updateIcon(e){var n;const i=!!((n=e.tags)!=null&&n.includes(bBe.AIGenerated));this._icon.style.display=i?"inherit":"none"}_updateLabel(e){this._label.innerText=e.newSymbolName}static getLayoutInfo({lineHeight:e}){return{totalHeight:e+kne._PADDING*2}}dispose(){}};kne._PADDING=2;let Yre=kne;var OMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},aM=function(l,e){return function(i,n){e(i,n,l)}},QUe;class _Ke{constructor(e,i,n){this.model=e,this.position=i,this._providerRenameIdx=0,this._providers=n.ordered(e)}hasProvider(){return this._providers.length>0}async resolveRenameLocation(e){const i=[];for(this._providerRenameIdx=0;this._providerRenameIdx<this._providers.length;this._providerRenameIdx++){const s=this._providers[this._providerRenameIdx];if(!s.resolveRenameLocation)break;const c=await s.resolveRenameLocation(this.model,this.position,e);if(c){if(c.rejectReason){i.push(c.rejectReason);continue}return c}}this._providerRenameIdx=0;const n=this.model.getWordAtPosition(this.position);return n?{range:new nt(this.position.lineNumber,n.startColumn,this.position.lineNumber,n.endColumn),text:n.word,rejectReason:i.length>0?i.join(`
+`):void 0}:{range:nt.fromPositions(this.position),text:"",rejectReason:i.length>0?i.join(`
+`):void 0}}async provideRenameEdits(e,i){return this._provideRenameEdits(e,this._providerRenameIdx,[],i)}async _provideRenameEdits(e,i,n,s){const c=this._providers[i];if(!c)return{edits:[],rejectReason:n.join(`
+`)};const d=await c.provideRenameEdits(this.model,this.position,e,s);if(d){if(d.rejectReason)return this._provideRenameEdits(e,i+1,n.concat(d.rejectReason),s)}else return this._provideRenameEdits(e,i+1,n.concat(W("no result","No result.")),s);return d}}async function MMi(l,e,i,n){const s=new _Ke(e,i,l),c=await s.resolveRenameLocation(cc.None);return c!=null&&c.rejectReason?{edits:[],rejectReason:c.rejectReason}:s.provideRenameEdits(n,cc.None)}var _B;let MR=(_B=class{static get(e){return e.getContribution(QUe.ID)}constructor(e,i,n,s,c,d,f,m,v){this.editor=e,this._instaService=i,this._notificationService=n,this._bulkEditService=s,this._progressService=c,this._logService=d,this._configService=f,this._languageFeaturesService=m,this._telemetryService=v,this._disposableStore=new wn,this._cts=new ih,this._renameWidget=this._disposableStore.add(this._instaService.createInstance(XUe,this.editor,["acceptRenameInput","acceptRenameInputWithPreview"]))}dispose(){this._disposableStore.dispose(),this._cts.dispose(!0)}async run(){var O,z;const e=this._logService.trace.bind(this._logService,"[rename]");if(this._cts.dispose(!0),this._cts=new ih,!this.editor.hasModel()){e("editor has no model");return}const i=this.editor.getPosition(),n=new _Ke(this.editor.getModel(),i,this._languageFeaturesService.renameProvider);if(!n.hasProvider()){e("skeleton has no provider");return}const s=new LR(this.editor,5,void 0,this._cts.token);let c;try{e("resolving rename location");const J=n.resolveRenameLocation(s.token);this._progressService.showWhile(J,250),c=await J,e("resolved rename location")}catch(J){J instanceof vT?e("resolve rename location cancelled",JSON.stringify(J,null," ")):(e("resolve rename location failed",J instanceof Error?J:JSON.stringify(J,null," ")),(typeof J=="string"||WE(J))&&((O=GC.get(this.editor))==null||O.showMessage(J||W("resolveRenameLocationFailed","An unknown error occurred while resolving rename location"),i)));return}finally{s.dispose()}if(!c){e("returning early - no loc");return}if(c.rejectReason){e(`returning early - rejected with reason: ${c.rejectReason}`,c.rejectReason),(z=GC.get(this.editor))==null||z.showMessage(c.rejectReason,i);return}if(s.token.isCancellationRequested){e("returning early - cts1 cancelled");return}const d=new LR(this.editor,5,c.range,this._cts.token),f=this.editor.getModel(),m=this._languageFeaturesService.newSymbolNamesProvider.all(f),v=await Promise.all(m.map(async J=>[J,await J.supportsAutomaticNewSymbolNamesTriggerKind??!1])),x=(J,Y)=>{let ae=v.slice();return J===Mne.Automatic&&(ae=ae.filter(([me,ye])=>ye)),ae.map(([me])=>me.provideNewSymbolNames(f,c.range,J,Y))};e("creating rename input field and awaiting its result");const w=this._bulkEditService.hasPreviewHandler()&&this._configService.getValue(this.editor.getModel().uri,"editor.rename.enablePreview"),I=await this._renameWidget.getInput(c.range,c.text,w,m.length>0?x:void 0,d);if(e("received response from rename input field"),m.length>0&&this._reportTelemetry(m.length,f.getLanguageId(),I),typeof I=="boolean"){e(`returning early - rename input field response - ${I}`),I&&this.editor.focus(),d.dispose();return}this.editor.focus(),e("requesting rename edits");const P=_se(n.provideRenameEdits(I.newName,d.token),d.token).then(async J=>{if(!J){e("returning early - no rename edits result");return}if(!this.editor.hasModel()){e("returning early - no model after rename edits are provided");return}if(J.rejectReason){e(`returning early - rejected with reason: ${J.rejectReason}`),this._notificationService.info(J.rejectReason);return}this.editor.setSelection(nt.fromPositions(this.editor.getSelection().getPosition())),e("applying edits"),this._bulkEditService.apply(J,{editor:this.editor,showPreview:I.wantsPreview,label:W("label","Renaming '{0}' to '{1}'",c==null?void 0:c.text,I.newName),code:"undoredo.rename",quotableLabel:W("quotableLabel","Renaming {0} to {1}",c==null?void 0:c.text,I.newName),respectAutoSaveConfig:!0}).then(Y=>{e("edits applied"),Y.ariaSummary&&xv(W("aria","Successfully renamed '{0}' to '{1}'. Summary: {2}",c.text,I.newName,Y.ariaSummary))}).catch(Y=>{e(`error when applying edits ${JSON.stringify(Y,null," ")}`),this._notificationService.error(W("rename.failedApply","Rename failed to apply edits")),this._logService.error(Y)})},J=>{e("error when providing rename edits",JSON.stringify(J,null," ")),this._notificationService.error(W("rename.failed","Rename failed to compute edits")),this._logService.error(J)}).finally(()=>{d.dispose()});return e("returning rename operation"),this._progressService.showWhile(P,250),P}acceptRenameInput(e){this._renameWidget.acceptInput(e)}cancelRenameInput(){this._renameWidget.cancelInput(!0,"cancelRenameInput command")}focusNextRenameSuggestion(){this._renameWidget.focusNextRenameSuggestion()}focusPreviousRenameSuggestion(){this._renameWidget.focusPreviousRenameSuggestion()}_reportTelemetry(e,i,n){const s=typeof n=="boolean"?{kind:"cancelled",languageId:i,nRenameSuggestionProviders:e}:{kind:"accepted",languageId:i,nRenameSuggestionProviders:e,source:n.stats.source.k,nRenameSuggestions:n.stats.nRenameSuggestions,timeBeforeFirstInputFieldEdit:n.stats.timeBeforeFirstInputFieldEdit,wantsPreview:n.wantsPreview,nRenameSuggestionsInvocations:n.stats.nRenameSuggestionsInvocations,hadAutomaticRenameSuggestionsInvocation:n.stats.hadAutomaticRenameSuggestionsInvocation};this._telemetryService.publicLog2("renameInvokedEvent",s)}},QUe=_B,_B.ID="editor.contrib.renameController",_B);MR=QUe=OMi([aM(1,ho),aM(2,w_),aM(3,Sse),aM(4,WR),aM(5,N0),aM(6,XSe),aM(7,Ts),aM(8,b1)],MR);class RMi extends hs{constructor(){super({id:"editor.action.rename",label:W("rename.label","Rename Symbol"),alias:"Rename Symbol",precondition:kn.and(Tt.writable,Tt.hasRenameProvider),kbOpts:{kbExpr:Tt.editorTextFocus,primary:60,weight:100},contextMenuOpts:{group:"1_modification",order:1.1}})}runCommand(e,i){const n=e.get(Bl),[s,c]=Array.isArray(i)&&i||[void 0,void 0];return yo.isUri(s)&&pi.isIPosition(c)?n.openCodeEditor({resource:s},n.getActiveCodeEditor()).then(d=>{d&&(d.setPosition(c),d.invokeWithinContext(f=>(this.reportTelemetry(f,d),this.run(f,d))))},Pa):super.runCommand(e,i)}run(e,i){const n=e.get(N0),s=MR.get(i);return s?(n.trace("[RenameAction] got controller, running..."),s.run()):(n.trace("[RenameAction] returning early - controller missing"),Promise.resolve())}}Cl(MR.ID,MR,4);sr(RMi);const pKe=tm.bindToContribution(MR.get);$r(new pKe({id:"acceptRenameInput",precondition:BJ,handler:l=>l.acceptRenameInput(!1),kbOpts:{weight:199,kbExpr:kn.and(Tt.focus,kn.not("isComposing")),primary:3}}));$r(new pKe({id:"acceptRenameInputWithPreview",precondition:kn.and(BJ,kn.has("config.editor.rename.enablePreview")),handler:l=>l.acceptRenameInput(!0),kbOpts:{weight:199,kbExpr:kn.and(Tt.focus,kn.not("isComposing")),primary:2051}}));$r(new pKe({id:"cancelRenameInput",precondition:BJ,handler:l=>l.cancelRenameInput(),kbOpts:{weight:199,kbExpr:Tt.focus,primary:9,secondary:[1033]}}));Nd(class extends Tv{constructor(){super({id:"focusNextRenameSuggestion",title:{...aa("focusNextRenameSuggestion","Focus Next Rename Suggestion")},precondition:BJ,keybinding:[{primary:18,weight:199}]})}run(e){const i=e.get(Bl).getFocusedCodeEditor();if(!i)return;const n=MR.get(i);n&&n.focusNextRenameSuggestion()}});Nd(class extends Tv{constructor(){super({id:"focusPreviousRenameSuggestion",title:{...aa("focusPreviousRenameSuggestion","Focus Previous Rename Suggestion")},precondition:BJ,keybinding:[{primary:16,weight:199}]})}run(e){const i=e.get(Bl).getFocusedCodeEditor();if(!i)return;const n=MR.get(i);n&&n.focusPreviousRenameSuggestion()}});Mb("_executeDocumentRenameProvider",function(l,e,i,...n){const[s]=n;Fl(typeof s=="string");const{renameProvider:c}=l.get(Ts);return MMi(c,e,i,s)});Mb("_executePrepareRename",async function(l,e,i){const{renameProvider:n}=l.get(Ts),c=await new _Ke(e,i,n).resolveRenameLocation(cc.None);if(c!=null&&c.rejectReason)throw new Error(c.rejectReason);return c});nh.as(DT.Configuration).registerConfiguration({id:"editor",properties:{"editor.rename.enablePreview":{scope:5,description:W("enablePreview","Enable/disable the ability to preview changes before renaming"),default:!0,type:"boolean"}}});var FMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Gxt=function(l,e){return function(i,n){e(i,n,l)}},v$;let Obe=(v$=class extends xi{constructor(e,i,n){super(),this.editor=e,this.languageConfigurationService=i,this.editorWorkerService=n,this.decorations=this.editor.createDecorationsCollection(),this.options=this.createOptions(e.getOption(73)),this.computePromise=null,this.currentOccurrences={},this._register(e.onDidChangeModel(s=>{this.currentOccurrences={},this.options=this.createOptions(e.getOption(73)),this.stop(),this.computeSectionHeaders.schedule(0)})),this._register(e.onDidChangeModelLanguage(s=>{this.currentOccurrences={},this.options=this.createOptions(e.getOption(73)),this.stop(),this.computeSectionHeaders.schedule(0)})),this._register(i.onDidChange(s=>{var d;const c=(d=this.editor.getModel())==null?void 0:d.getLanguageId();c&&s.affects(c)&&(this.currentOccurrences={},this.options=this.createOptions(e.getOption(73)),this.stop(),this.computeSectionHeaders.schedule(0))})),this._register(e.onDidChangeConfiguration(s=>{this.options&&!s.hasChanged(73)||(this.options=this.createOptions(e.getOption(73)),this.updateDecorations([]),this.stop(),this.computeSectionHeaders.schedule(0))})),this._register(this.editor.onDidChangeModelContent(s=>{this.computeSectionHeaders.schedule()})),this._register(e.onDidChangeModelTokens(s=>{this.computeSectionHeaders.isScheduled()||this.computeSectionHeaders.schedule(1e3)})),this.computeSectionHeaders=this._register(new qu(()=>{this.findSectionHeaders()},250)),this.computeSectionHeaders.schedule(0)}createOptions(e){if(!e||!this.editor.hasModel())return;const i=this.editor.getModel().getLanguageId();if(!i)return;const n=this.languageConfigurationService.getLanguageConfiguration(i).comments,s=this.languageConfigurationService.getLanguageConfiguration(i).foldingRules;if(!(!n&&!(s!=null&&s.markers)))return{foldingRules:s,findMarkSectionHeaders:e.showMarkSectionHeaders,findRegionSectionHeaders:e.showRegionSectionHeaders}}findSectionHeaders(){var n,s;if(!this.editor.hasModel()||!((n=this.options)!=null&&n.findMarkSectionHeaders)&&!((s=this.options)!=null&&s.findRegionSectionHeaders))return;const e=this.editor.getModel();if(e.isDisposed()||e.isTooLargeForSyncing())return;const i=e.getVersionId();this.editorWorkerService.findSectionHeaders(e.uri,this.options).then(c=>{e.isDisposed()||e.getVersionId()!==i||this.updateDecorations(c)})}updateDecorations(e){const i=this.editor.getModel();i&&(e=e.filter(c=>{if(!c.shouldBeInComments)return!0;const d=i.validateRange(c.range),f=i.tokenization.getLineTokens(d.startLineNumber),m=f.findTokenIndexAtOffset(d.startColumn-1),v=f.getStandardTokenType(m);return f.getLanguageId(m)===i.getLanguageId()&&v===1}));const n=Object.values(this.currentOccurrences).map(c=>c.decorationId),s=e.map(c=>BMi(c));this.editor.changeDecorations(c=>{const d=c.deltaDecorations(n,s);this.currentOccurrences={};for(let f=0,m=d.length;f<m;f++){const v={sectionHeader:e[f],decorationId:d[f]};this.currentOccurrences[v.decorationId]=v}})}stop(){this.computeSectionHeaders.cancel(),this.computePromise&&(this.computePromise.cancel(),this.computePromise=null)}dispose(){super.dispose(),this.stop(),this.decorations.clear()}},v$.ID="editor.sectionHeaderDetector",v$);Obe=FMi([Gxt(1,rh),Gxt(2,Fb)],Obe);function BMi(l){return{range:l.range,options:qa.createDynamic({description:"section-header",stickiness:3,collapseOnReplaceEdit:!0,minimap:{color:void 0,position:1,sectionHeaderStyle:l.hasSeparatorLine?2:1,sectionHeaderText:l.text}})}}Cl(Obe.ID,Obe,1);function WMi(l){for(let e=0,i=l.length;e<i;e+=4){const n=l[e+0],s=l[e+1],c=l[e+2],d=l[e+3];l[e+0]=d,l[e+1]=c,l[e+2]=s,l[e+3]=n}}function VMi(l){const e=new Uint8Array(l.buffer,l.byteOffset,l.length*4);return Nkt()||WMi(e),JSe.wrap(e)}function NPt(l){const e=new Uint32Array(HMi(l));let i=0;if(e[i++]=l.id,l.type==="full")e[i++]=1,e[i++]=l.data.length,e.set(l.data,i),i+=l.data.length;else{e[i++]=2,e[i++]=l.deltas.length;for(const n of l.deltas)e[i++]=n.start,e[i++]=n.deleteCount,n.data?(e[i++]=n.data.length,e.set(n.data,i),i+=n.data.length):e[i++]=0}return VMi(e)}function HMi(l){let e=0;if(e+=2,l.type==="full")e+=1+l.data.length;else{e+=1,e+=3*l.deltas.length;for(const i of l.deltas)i.data&&(e+=i.data.length)}return e}function oxe(l){return l&&!!l.data}function LPt(l){return l&&Array.isArray(l.edits)}class jMi{constructor(e,i,n){this.provider=e,this.tokens=i,this.error=n}}function PPt(l,e){return l.has(e)}function zMi(l,e){const i=l.orderedGroups(e);return i.length>0?i[0]:[]}async function APt(l,e,i,n,s){const c=zMi(l,e),d=await Promise.all(c.map(async f=>{let m,v=null;try{m=await f.provideDocumentSemanticTokens(e,f===i?n:null,s)}catch(x){v=x,m=null}return(!m||!oxe(m)&&!LPt(m))&&(m=null),new jMi(f,m,v)}));for(const f of d){if(f.error)throw f.error;if(f.tokens)return f}return d.length>0?d[0]:null}function UMi(l,e){const i=l.orderedGroups(e);return i.length>0?i[0]:null}class qMi{constructor(e,i){this.provider=e,this.tokens=i}}function $Mi(l,e){return l.has(e)}function OPt(l,e){const i=l.orderedGroups(e);return i.length>0?i[0]:[]}async function mKe(l,e,i,n){const s=OPt(l,e),c=await Promise.all(s.map(async d=>{let f;try{f=await d.provideDocumentRangeSemanticTokens(e,i,n)}catch(m){t_(m),f=null}return(!f||!oxe(f))&&(f=null),new qMi(d,f)}));for(const d of c)if(d.tokens)return d;return c.length>0?c[0]:null}fl.registerCommand("_provideDocumentSemanticTokensLegend",async(l,...e)=>{const[i]=e;Fl(i instanceof yo);const n=l.get(rf).getModel(i);if(!n)return;const{documentSemanticTokensProvider:s}=l.get(Ts),c=UMi(s,n);return c?c[0].getLegend():l.get(qd).executeCommand("_provideDocumentRangeSemanticTokensLegend",i)});fl.registerCommand("_provideDocumentSemanticTokens",async(l,...e)=>{const[i]=e;Fl(i instanceof yo);const n=l.get(rf).getModel(i);if(!n)return;const{documentSemanticTokensProvider:s}=l.get(Ts);if(!PPt(s,n))return l.get(qd).executeCommand("_provideDocumentRangeSemanticTokens",i,n.getFullModelRange());const c=await APt(s,n,null,null,cc.None);if(!c)return;const{provider:d,tokens:f}=c;if(!f||!oxe(f))return;const m=NPt({id:0,type:"full",data:f.data});return f.resultId&&d.releaseDocumentSemanticTokens(f.resultId),m});fl.registerCommand("_provideDocumentRangeSemanticTokensLegend",async(l,...e)=>{const[i,n]=e;Fl(i instanceof yo);const s=l.get(rf).getModel(i);if(!s)return;const{documentRangeSemanticTokensProvider:c}=l.get(Ts),d=OPt(c,s);if(d.length===0)return;if(d.length===1)return d[0].getLegend();if(!n||!nt.isIRange(n))return console.warn("provideDocumentRangeSemanticTokensLegend might be out-of-sync with provideDocumentRangeSemanticTokens unless a range argument is passed in"),d[0].getLegend();const f=await mKe(c,s,nt.lift(n),cc.None);if(f)return f.provider.getLegend()});fl.registerCommand("_provideDocumentRangeSemanticTokens",async(l,...e)=>{const[i,n]=e;Fl(i instanceof yo),Fl(nt.isIRange(n));const s=l.get(rf).getModel(i);if(!s)return;const{documentRangeSemanticTokensProvider:c}=l.get(Ts),d=await mKe(c,s,nt.lift(n),cc.None);if(!(!d||!d.tokens))return NPt({id:0,type:"full",data:d.tokens.data})});const gKe="editor.semanticHighlighting";function W1e(l,e,i){var s;const n=(s=i.getValue(gKe,{overrideIdentifier:l.getLanguageId(),resource:l.uri}))==null?void 0:s.enabled;return typeof n=="boolean"?n:e.getColorTheme().semanticHighlighting}var MPt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},pL=function(l,e){return function(i,n){e(i,n,l)}},fM;let ZUe=class extends xi{constructor(e,i,n,s,c,d){super(),this._watchers=Object.create(null);const f=x=>{this._watchers[x.uri.toString()]=new YUe(x,e,n,c,d)},m=(x,w)=>{w.dispose(),delete this._watchers[x.uri.toString()]},v=()=>{for(const x of i.getModels()){const w=this._watchers[x.uri.toString()];W1e(x,n,s)?w||f(x):w&&m(x,w)}};i.getModels().forEach(x=>{W1e(x,n,s)&&f(x)}),this._register(i.onModelAdded(x=>{W1e(x,n,s)&&f(x)})),this._register(i.onModelRemoved(x=>{const w=this._watchers[x.uri.toString()];w&&m(x,w)})),this._register(s.onDidChangeConfiguration(x=>{x.affectsConfiguration(gKe)&&v()})),this._register(n.onDidColorThemeChange(v))}dispose(){for(const e of Object.values(this._watchers))e.dispose();super.dispose()}};ZUe=MPt([pL(0,ZSe),pL(1,rf),pL(2,im),pL(3,Cc),pL(4,Pw),pL(5,Ts)],ZUe);var hR;let YUe=(hR=class extends xi{constructor(e,i,n,s,c){super(),this._semanticTokensStylingService=i,this._isDisposed=!1,this._model=e,this._provider=c.documentSemanticTokensProvider,this._debounceInformation=s.for(this._provider,"DocumentSemanticTokens",{min:fM.REQUEST_MIN_DELAY,max:fM.REQUEST_MAX_DELAY}),this._fetchDocumentSemanticTokens=this._register(new qu(()=>this._fetchDocumentSemanticTokensNow(),fM.REQUEST_MIN_DELAY)),this._currentDocumentResponse=null,this._currentDocumentRequestCancellationTokenSource=null,this._documentProvidersChangeListeners=[],this._providersChangedDuringRequest=!1,this._register(this._model.onDidChangeContent(()=>{this._fetchDocumentSemanticTokens.isScheduled()||this._fetchDocumentSemanticTokens.schedule(this._debounceInformation.get(this._model))})),this._register(this._model.onDidChangeAttached(()=>{this._fetchDocumentSemanticTokens.isScheduled()||this._fetchDocumentSemanticTokens.schedule(this._debounceInformation.get(this._model))})),this._register(this._model.onDidChangeLanguage(()=>{this._currentDocumentResponse&&(this._currentDocumentResponse.dispose(),this._currentDocumentResponse=null),this._currentDocumentRequestCancellationTokenSource&&(this._currentDocumentRequestCancellationTokenSource.cancel(),this._currentDocumentRequestCancellationTokenSource=null),this._setDocumentSemanticTokens(null,null,null,[]),this._fetchDocumentSemanticTokens.schedule(0)}));const d=()=>{vd(this._documentProvidersChangeListeners),this._documentProvidersChangeListeners=[];for(const f of this._provider.all(e))typeof f.onDidChange=="function"&&this._documentProvidersChangeListeners.push(f.onDidChange(()=>{if(this._currentDocumentRequestCancellationTokenSource){this._providersChangedDuringRequest=!0;return}this._fetchDocumentSemanticTokens.schedule(0)}))};d(),this._register(this._provider.onDidChange(()=>{d(),this._fetchDocumentSemanticTokens.schedule(this._debounceInformation.get(this._model))})),this._register(n.onDidColorThemeChange(f=>{this._setDocumentSemanticTokens(null,null,null,[]),this._fetchDocumentSemanticTokens.schedule(this._debounceInformation.get(this._model))})),this._fetchDocumentSemanticTokens.schedule(0)}dispose(){this._currentDocumentResponse&&(this._currentDocumentResponse.dispose(),this._currentDocumentResponse=null),this._currentDocumentRequestCancellationTokenSource&&(this._currentDocumentRequestCancellationTokenSource.cancel(),this._currentDocumentRequestCancellationTokenSource=null),vd(this._documentProvidersChangeListeners),this._documentProvidersChangeListeners=[],this._setDocumentSemanticTokens(null,null,null,[]),this._isDisposed=!0,super.dispose()}_fetchDocumentSemanticTokensNow(){if(this._currentDocumentRequestCancellationTokenSource)return;if(!PPt(this._provider,this._model)){this._currentDocumentResponse&&this._model.tokenization.setSemanticTokens(null,!1);return}if(!this._model.isAttachedToEditor())return;const e=new ih,i=this._currentDocumentResponse?this._currentDocumentResponse.provider:null,n=this._currentDocumentResponse&&this._currentDocumentResponse.resultId||null,s=APt(this._provider,this._model,i,n,e.token);this._currentDocumentRequestCancellationTokenSource=e,this._providersChangedDuringRequest=!1;const c=[],d=this._model.onDidChangeContent(m=>{c.push(m)}),f=new bg(!1);s.then(m=>{if(this._debounceInformation.update(this._model,f.elapsed()),this._currentDocumentRequestCancellationTokenSource=null,d.dispose(),!m)this._setDocumentSemanticTokens(null,null,null,c);else{const{provider:v,tokens:x}=m,w=this._semanticTokensStylingService.getStyling(v);this._setDocumentSemanticTokens(v,x||null,w,c)}},m=>{m&&(Tk(m)||typeof m.message=="string"&&m.message.indexOf("busy")!==-1)||Pa(m),this._currentDocumentRequestCancellationTokenSource=null,d.dispose(),(c.length>0||this._providersChangedDuringRequest)&&(this._fetchDocumentSemanticTokens.isScheduled()||this._fetchDocumentSemanticTokens.schedule(this._debounceInformation.get(this._model)))})}static _copy(e,i,n,s,c){c=Math.min(c,n.length-s,e.length-i);for(let d=0;d<c;d++)n[s+d]=e[i+d]}_setDocumentSemanticTokens(e,i,n,s){const c=this._currentDocumentResponse,d=()=>{(s.length>0||this._providersChangedDuringRequest)&&!this._fetchDocumentSemanticTokens.isScheduled()&&this._fetchDocumentSemanticTokens.schedule(this._debounceInformation.get(this._model))};if(this._currentDocumentResponse&&(this._currentDocumentResponse.dispose(),this._currentDocumentResponse=null),this._isDisposed){e&&i&&e.releaseDocumentSemanticTokens(i.resultId);return}if(!e||!n){this._model.tokenization.setSemanticTokens(null,!1);return}if(!i){this._model.tokenization.setSemanticTokens(null,!0),d();return}if(LPt(i)){if(!c){this._model.tokenization.setSemanticTokens(null,!0);return}if(i.edits.length===0)i={resultId:i.resultId,data:c.data};else{let f=0;for(const I of i.edits)f+=(I.data?I.data.length:0)-I.deleteCount;const m=c.data,v=new Uint32Array(m.length+f);let x=m.length,w=v.length;for(let I=i.edits.length-1;I>=0;I--){const P=i.edits[I];if(P.start>m.length){n.warnInvalidEditStart(c.resultId,i.resultId,I,P.start,m.length),this._model.tokenization.setSemanticTokens(null,!0);return}const O=x-(P.start+P.deleteCount);O>0&&(fM._copy(m,x-O,v,w-O,O),w-=O),P.data&&(fM._copy(P.data,0,v,w-P.data.length,P.data.length),w-=P.data.length),x=P.start}x>0&&fM._copy(m,0,v,0,x),i={resultId:i.resultId,data:v}}}if(oxe(i)){this._currentDocumentResponse=new JMi(e,i.resultId,i.data);const f=bTt(i,n,this._model.getLanguageId());if(s.length>0)for(const m of s)for(const v of f)for(const x of m.changes)v.applyEdit(x.range,x.text);this._model.tokenization.setSemanticTokens(f,!0)}else this._model.tokenization.setSemanticTokens(null,!0);d()}},fM=hR,hR.REQUEST_MIN_DELAY=300,hR.REQUEST_MAX_DELAY=2e3,hR);YUe=fM=MPt([pL(1,ZSe),pL(2,im),pL(3,Pw),pL(4,Ts)],YUe);class JMi{constructor(e,i,n){this.provider=e,this.resultId=i,this.data=n}dispose(){this.provider.releaseDocumentSemanticTokens(this.resultId)}}NJ(ZUe);var GMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Zte=function(l,e){return function(i,n){e(i,n,l)}},b$;let Mbe=(b$=class extends xi{constructor(e,i,n,s,c,d){super(),this._semanticTokensStylingService=i,this._themeService=n,this._configurationService=s,this._editor=e,this._provider=d.documentRangeSemanticTokensProvider,this._debounceInformation=c.for(this._provider,"DocumentRangeSemanticTokens",{min:100,max:500}),this._tokenizeViewport=this._register(new qu(()=>this._tokenizeViewportNow(),100)),this._outstandingRequests=[];const f=()=>{this._editor.hasModel()&&this._tokenizeViewport.schedule(this._debounceInformation.get(this._editor.getModel()))};this._register(this._editor.onDidScrollChange(()=>{f()})),this._register(this._editor.onDidChangeModel(()=>{this._cancelAll(),f()})),this._register(this._editor.onDidChangeModelContent(m=>{this._cancelAll(),f()})),this._register(this._provider.onDidChange(()=>{this._cancelAll(),f()})),this._register(this._configurationService.onDidChangeConfiguration(m=>{m.affectsConfiguration(gKe)&&(this._cancelAll(),f())})),this._register(this._themeService.onDidColorThemeChange(()=>{this._cancelAll(),f()})),f()}_cancelAll(){for(const e of this._outstandingRequests)e.cancel();this._outstandingRequests=[]}_removeOutstandingRequest(e){for(let i=0,n=this._outstandingRequests.length;i<n;i++)if(this._outstandingRequests[i]===e){this._outstandingRequests.splice(i,1);return}}_tokenizeViewportNow(){if(!this._editor.hasModel())return;const e=this._editor.getModel();if(e.tokenization.hasCompleteSemanticTokens())return;if(!W1e(e,this._themeService,this._configurationService)){e.tokenization.hasSomeSemanticTokens()&&e.tokenization.setSemanticTokens(null,!1);return}if(!$Mi(this._provider,e)){e.tokenization.hasSomeSemanticTokens()&&e.tokenization.setSemanticTokens(null,!1);return}const i=this._editor.getVisibleRangesPlusViewportAboveBelow();this._outstandingRequests=this._outstandingRequests.concat(i.map(n=>this._requestRange(e,n)))}_requestRange(e,i){const n=e.getVersionId(),s=Om(d=>Promise.resolve(mKe(this._provider,e,i,d))),c=new bg(!1);return s.then(d=>{if(this._debounceInformation.update(e,c.elapsed()),!d||!d.tokens||e.isDisposed()||e.getVersionId()!==n)return;const{provider:f,tokens:m}=d,v=this._semanticTokensStylingService.getStyling(f);e.tokenization.setPartialSemanticTokens(i,bTt(m,v,e.getLanguageId()))}).then(()=>this._removeOutstandingRequest(s),()=>this._removeOutstandingRequest(s)),s}},b$.ID="editor.contrib.viewportSemanticTokens",b$);Mbe=GMi([Zte(1,ZSe),Zte(2,im),Zte(3,Cc),Zte(4,Pw),Zte(5,Ts)],Mbe);Cl(Mbe.ID,Mbe,1);class KMi{constructor(e=!0){this.selectSubwords=e}provideSelectionRanges(e,i){const n=[];for(const s of i){const c=[];n.push(c),this.selectSubwords&&this._addInWordRanges(c,e,s),this._addWordRanges(c,e,s),this._addWhitespaceLine(c,e,s),c.push({range:e.getFullModelRange()})}return n}_addInWordRanges(e,i,n){const s=i.getWordAtPosition(n);if(!s)return;const{word:c,startColumn:d}=s,f=n.column-d;let m=f,v=f,x=0;for(;m>=0;m--){const w=c.charCodeAt(m);if(m!==f&&(w===95||w===45))break;if(LM(w)&&fL(x))break;x=w}for(m+=1;v<c.length;v++){const w=c.charCodeAt(v);if(fL(w)&&LM(x))break;if(w===95||w===45)break;x=w}m<v&&e.push({range:new nt(n.lineNumber,d+m,n.lineNumber,d+v)})}_addWordRanges(e,i,n){const s=i.getWordAtPosition(n);s&&e.push({range:new nt(n.lineNumber,s.startColumn,n.lineNumber,s.endColumn)})}_addWhitespaceLine(e,i,n){i.getLineLength(n.lineNumber)>0&&i.getLineFirstNonWhitespaceColumn(n.lineNumber)===0&&i.getLineLastNonWhitespaceColumn(n.lineNumber)===0&&e.push({range:new nt(n.lineNumber,1,n.lineNumber,i.getLineMaxColumn(n.lineNumber))})}}var XMi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},QMi=function(l,e){return function(i,n){e(i,n,l)}},eqe;class yKe{constructor(e,i){this.index=e,this.ranges=i}mov(e){const i=this.index+(e?1:-1);if(i<0||i>=this.ranges.length)return this;const n=new yKe(i,this.ranges);return n.ranges[i].equalsRange(this.ranges[this.index])?n.mov(e):n}}var pB;let ese=(pB=class{static get(e){return e.getContribution(eqe.ID)}constructor(e,i){this._editor=e,this._languageFeaturesService=i,this._ignoreSelection=!1}dispose(){var e;(e=this._selectionListener)==null||e.dispose()}async run(e){if(!this._editor.hasModel())return;const i=this._editor.getSelections(),n=this._editor.getModel();if(this._state||await FPt(this._languageFeaturesService.selectionRangeProvider,n,i.map(c=>c.getPosition()),this._editor.getOption(114),cc.None).then(c=>{var d;if(!(!ky(c)||c.length!==i.length)&&!(!this._editor.hasModel()||!Lf(this._editor.getSelections(),i,(f,m)=>f.equalsSelection(m)))){for(let f=0;f<c.length;f++)c[f]=c[f].filter(m=>m.containsPosition(i[f].getStartPosition())&&m.containsPosition(i[f].getEndPosition())),c[f].unshift(i[f]);this._state=c.map(f=>new yKe(0,f)),(d=this._selectionListener)==null||d.dispose(),this._selectionListener=this._editor.onDidChangeCursorPosition(()=>{var f;this._ignoreSelection||((f=this._selectionListener)==null||f.dispose(),this._state=void 0)})}}),!this._state)return;this._state=this._state.map(c=>c.mov(e));const s=this._state.map(c=>Us.fromPositions(c.ranges[c.index].getStartPosition(),c.ranges[c.index].getEndPosition()));this._ignoreSelection=!0;try{this._editor.setSelections(s)}finally{this._ignoreSelection=!1}}},eqe=pB,pB.ID="editor.contrib.smartSelectController",pB);ese=eqe=XMi([QMi(1,Ts)],ese);class RPt extends hs{constructor(e,i){super(i),this._forward=e}async run(e,i){const n=ese.get(i);n&&await n.run(this._forward)}}class ZMi extends RPt{constructor(){super(!0,{id:"editor.action.smartSelect.expand",label:W("smartSelect.expand","Expand Selection"),alias:"Expand Selection",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:1553,mac:{primary:3345,secondary:[1297]},weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"1_basic",title:W({key:"miSmartSelectGrow",comment:["&& denotes a mnemonic"]},"&&Expand Selection"),order:2}})}}fl.registerCommandAlias("editor.action.smartSelect.grow","editor.action.smartSelect.expand");class YMi extends RPt{constructor(){super(!1,{id:"editor.action.smartSelect.shrink",label:W("smartSelect.shrink","Shrink Selection"),alias:"Shrink Selection",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:1551,mac:{primary:3343,secondary:[1295]},weight:100},menuOpts:{menuId:As.MenubarSelectionMenu,group:"1_basic",title:W({key:"miSmartSelectShrink",comment:["&& denotes a mnemonic"]},"&&Shrink Selection"),order:3}})}}Cl(ese.ID,ese,4);sr(ZMi);sr(YMi);async function FPt(l,e,i,n,s){const c=l.all(e).concat(new KMi(n.selectSubwords));c.length===1&&c.unshift(new vbe);const d=[],f=[];for(const m of c)d.push(Promise.resolve(m.provideSelectionRanges(e,i,s)).then(v=>{if(ky(v)&&v.length===i.length)for(let x=0;x<i.length;x++){f[x]||(f[x]=[]);for(const w of v[x])nt.isIRange(w.range)&&nt.containsPosition(w.range,i[x])&&f[x].push(nt.lift(w.range))}},t_));return await Promise.all(d),f.map(m=>{if(m.length===0)return[];m.sort((I,P)=>pi.isBefore(I.getStartPosition(),P.getStartPosition())?1:pi.isBefore(P.getStartPosition(),I.getStartPosition())||pi.isBefore(I.getEndPosition(),P.getEndPosition())?-1:pi.isBefore(P.getEndPosition(),I.getEndPosition())?1:0);const v=[];let x;for(const I of m)(!x||nt.containsRange(I,x)&&!nt.equalsRange(I,x))&&(v.push(I),x=I);if(!n.selectLeadingAndTrailingWhitespace)return v;const w=[v[0]];for(let I=1;I<v.length;I++){const P=v[I-1],O=v[I];if(O.startLineNumber!==P.startLineNumber||O.endLineNumber!==P.endLineNumber){const z=new nt(P.startLineNumber,e.getLineFirstNonWhitespaceColumn(P.startLineNumber),P.endLineNumber,e.getLineLastNonWhitespaceColumn(P.endLineNumber));z.containsRange(P)&&!z.equalsRange(P)&&O.containsRange(z)&&!O.equalsRange(z)&&w.push(z);const J=new nt(P.startLineNumber,1,P.endLineNumber,e.getLineMaxColumn(P.endLineNumber));J.containsRange(P)&&!J.equalsRange(z)&&O.containsRange(J)&&!O.equalsRange(J)&&w.push(J)}w.push(O)}return w})}fl.registerCommand("_executeSelectionRangeProvider",async function(l,...e){const[i,n]=e;Fl(yo.isUri(i));const s=l.get(Ts).selectionRangeProvider,c=await l.get(Ob).createModelReference(i);try{return FPt(s,c.object.textEditorModel,n,{selectLeadingAndTrailingWhitespace:!0,selectSubwords:!0},cc.None)}finally{c.dispose()}});const eRi=Object.freeze({View:aa("view","View"),Help:aa("help","Help"),Test:aa("test","Test"),File:aa("file","File"),Preferences:aa("preferences","Preferences"),Developer:aa({key:"developer",comment:["A developer on Code itself or someone diagnosing issues in Code"]},"Developer")});class rne{constructor(e,i,n,s=null){this.startLineNumbers=e,this.endLineNumbers=i,this.lastLineRelativePosition=n,this.showEndForLine=s}equals(e){return!!e&&this.lastLineRelativePosition===e.lastLineRelativePosition&&this.showEndForLine===e.showEndForLine&&Lf(this.startLineNumbers,e.startLineNumbers)&&Lf(this.endLineNumbers,e.endLineNumbers)}static get Empty(){return new rne([],[],0)}}const Kxt=v6("stickyScrollViewLayer",{createHTML:l=>l}),Q9e="data-sticky-line-index",Xxt="data-sticky-is-line",tRi="data-sticky-is-line-number",Qxt="data-sticky-is-folding-icon";class iRi extends xi{constructor(e){super(),this._editor=e,this._foldingIconStore=new wn,this._rootDomNode=document.createElement("div"),this._lineNumbersDomNode=document.createElement("div"),this._linesDomNodeScrollable=document.createElement("div"),this._linesDomNode=document.createElement("div"),this._lineHeight=this._editor.getOption(67),this._renderedStickyLines=[],this._lineNumbers=[],this._lastLineRelativePosition=0,this._minContentWidthInPx=0,this._isOnGlyphMargin=!1,this._lineNumbersDomNode.className="sticky-widget-line-numbers",this._lineNumbersDomNode.setAttribute("role","none"),this._linesDomNode.className="sticky-widget-lines",this._linesDomNode.setAttribute("role","list"),this._linesDomNodeScrollable.className="sticky-widget-lines-scrollable",this._linesDomNodeScrollable.appendChild(this._linesDomNode),this._rootDomNode.className="sticky-widget",this._rootDomNode.classList.toggle("peek",e instanceof kT),this._rootDomNode.appendChild(this._lineNumbersDomNode),this._rootDomNode.appendChild(this._linesDomNodeScrollable);const i=()=>{this._linesDomNode.style.left=this._editor.getOption(116).scrollWithEditor?`-${this._editor.getScrollLeft()}px`:"0px"};this._register(this._editor.onDidChangeConfiguration(n=>{n.hasChanged(116)&&i(),n.hasChanged(67)&&(this._lineHeight=this._editor.getOption(67))})),this._register(this._editor.onDidScrollChange(n=>{n.scrollLeftChanged&&i(),n.scrollWidthChanged&&this._updateWidgetWidth()})),this._register(this._editor.onDidChangeModel(()=>{i(),this._updateWidgetWidth()})),this._register(this._foldingIconStore),i(),this._register(this._editor.onDidLayoutChange(n=>{this._updateWidgetWidth()})),this._updateWidgetWidth()}get lineNumbers(){return this._lineNumbers}get lineNumberCount(){return this._lineNumbers.length}getRenderedStickyLine(e){return this._renderedStickyLines.find(i=>i.lineNumber===e)}getCurrentLines(){return this._lineNumbers}setState(e,i,n){if(n===void 0&&(!this._previousState&&!e||this._previousState&&this._previousState.equals(e)))return;const s=this._isWidgetHeightZero(e),c=s?void 0:e,d=s?0:this._findLineToRebuildWidgetFrom(e,n);this._renderRootNode(c,i,d),this._previousState=e}_isWidgetHeightZero(e){if(!e)return!0;const i=e.startLineNumbers.length*this._lineHeight+e.lastLineRelativePosition;if(i>0){this._lastLineRelativePosition=e.lastLineRelativePosition;const n=[...e.startLineNumbers];e.showEndForLine!==null&&(n[e.showEndForLine]=e.endLineNumbers[e.showEndForLine]),this._lineNumbers=n}else this._lastLineRelativePosition=0,this._lineNumbers=[];return i===0}_findLineToRebuildWidgetFrom(e,i){if(!e||!this._previousState)return 0;if(i!==void 0)return i;const n=this._previousState,s=e.startLineNumbers.findIndex(c=>!n.startLineNumbers.includes(c));return s===-1?0:s}_updateWidgetWidth(){const e=this._editor.getLayoutInfo(),i=e.contentLeft;this._lineNumbersDomNode.style.width=`${i}px`,this._linesDomNodeScrollable.style.setProperty("--vscode-editorStickyScroll-scrollableWidth",`${this._editor.getScrollWidth()-e.verticalScrollbarWidth}px`),this._rootDomNode.style.width=`${e.width-e.verticalScrollbarWidth}px`}_clearStickyLinesFromLine(e){this._foldingIconStore.clear();for(let i=e;i<this._renderedStickyLines.length;i++){const n=this._renderedStickyLines[i];n.lineNumberDomNode.remove(),n.lineDomNode.remove()}this._renderedStickyLines=this._renderedStickyLines.slice(0,e),this._rootDomNode.style.display="none"}_useFoldingOpacityTransition(e){this._lineNumbersDomNode.style.setProperty("--vscode-editorStickyScroll-foldingOpacityTransition",`opacity ${e?.5:0}s`)}_setFoldingIconsVisibility(e){for(const i of this._renderedStickyLines){const n=i.foldingIcon;n&&n.setVisible(e?!0:n.isCollapsed)}}async _renderRootNode(e,i,n){if(this._clearStickyLinesFromLine(n),!e)return;for(const f of this._renderedStickyLines)this._updateTopAndZIndexOfStickyLine(f);const s=this._editor.getLayoutInfo(),c=this._lineNumbers.slice(n);for(const[f,m]of c.entries()){const v=this._renderChildNode(f+n,m,i,s);v&&(this._linesDomNode.appendChild(v.lineDomNode),this._lineNumbersDomNode.appendChild(v.lineNumberDomNode),this._renderedStickyLines.push(v))}i&&(this._setFoldingHoverListeners(),this._useFoldingOpacityTransition(!this._isOnGlyphMargin));const d=this._lineNumbers.length*this._lineHeight+this._lastLineRelativePosition;this._rootDomNode.style.display="block",this._lineNumbersDomNode.style.height=`${d}px`,this._linesDomNodeScrollable.style.height=`${d}px`,this._rootDomNode.style.height=`${d}px`,this._rootDomNode.style.marginLeft="0px",this._minContentWidthInPx=Math.max(...this._renderedStickyLines.map(f=>f.scrollWidth))+s.verticalScrollbarWidth,this._editor.layoutOverlayWidget(this)}_setFoldingHoverListeners(){this._editor.getOption(111)==="mouseover"&&(this._foldingIconStore.add(en(this._lineNumbersDomNode,br.MOUSE_ENTER,()=>{this._isOnGlyphMargin=!0,this._setFoldingIconsVisibility(!0)})),this._foldingIconStore.add(en(this._lineNumbersDomNode,br.MOUSE_LEAVE,()=>{this._isOnGlyphMargin=!1,this._useFoldingOpacityTransition(!0),this._setFoldingIconsVisibility(!1)})))}_renderChildNode(e,i,n,s){const c=this._editor._getViewModel();if(!c)return;const d=c.coordinatesConverter.convertModelPositionToViewPosition(new pi(i,1)).lineNumber,f=c.getViewLineRenderingData(d),m=this._editor.getOption(68);let v;try{v=mv.filter(f.inlineDecorations,d,f.minColumn,f.maxColumn)}catch{v=[]}const x=new zR(!0,!0,f.content,f.continuesWithWrappedLine,f.isBasicASCII,f.containsRTL,0,f.tokens,v,f.tabSize,f.startVisibleColumn,1,1,1,500,"none",!0,!0,null),w=new gJ(2e3),I=Ose(x,w);let P;Kxt?P=Kxt.createHTML(w.build()):P=w.build();const O=document.createElement("span");O.setAttribute(Q9e,String(e)),O.setAttribute(Xxt,""),O.setAttribute("role","listitem"),O.tabIndex=0,O.className="sticky-line-content",O.classList.add(`stickyLine${i}`),O.style.lineHeight=`${this._lineHeight}px`,O.innerHTML=P;const z=document.createElement("span");z.setAttribute(Q9e,String(e)),z.setAttribute(tRi,""),z.className="sticky-line-number",z.style.lineHeight=`${this._lineHeight}px`;const J=s.contentLeft;z.style.width=`${J}px`;const Y=document.createElement("span");m.renderType===1||m.renderType===3&&i%10===0?Y.innerText=i.toString():m.renderType===2&&(Y.innerText=Math.abs(i-this._editor.getPosition().lineNumber).toString()),Y.className="sticky-line-number-inner",Y.style.lineHeight=`${this._lineHeight}px`,Y.style.width=`${s.lineNumbersWidth}px`,Y.style.paddingLeft=`${s.lineNumbersLeft}px`,z.appendChild(Y);const ae=this._renderFoldingIconForLine(n,i);ae&&z.appendChild(ae.domNode),this._editor.applyFontInfo(O),this._editor.applyFontInfo(Y),z.style.lineHeight=`${this._lineHeight}px`,O.style.lineHeight=`${this._lineHeight}px`,z.style.height=`${this._lineHeight}px`,O.style.height=`${this._lineHeight}px`;const me=new nRi(e,i,O,z,ae,I.characterMapping,O.scrollWidth);return this._updateTopAndZIndexOfStickyLine(me)}_updateTopAndZIndexOfStickyLine(e){var x;const i=e.index,n=e.lineDomNode,s=e.lineNumberDomNode,c=i===this._lineNumbers.length-1,d="0",f="1";n.style.zIndex=c?d:f,s.style.zIndex=c?d:f;const m=`${i*this._lineHeight+this._lastLineRelativePosition+((x=e.foldingIcon)!=null&&x.isCollapsed?1:0)}px`,v=`${i*this._lineHeight}px`;return n.style.top=c?m:v,s.style.top=c?m:v,e}_renderFoldingIconForLine(e,i){const n=this._editor.getOption(111);if(!e||n==="never")return;const s=e.regions,c=s.findRange(i),d=s.getStartLineNumber(c);if(!(i===d))return;const m=s.isCollapsed(c),v=new rRi(m,d,s.getEndLineNumber(c),this._lineHeight);return v.setVisible(this._isOnGlyphMargin?!0:m||n==="always"),v.domNode.setAttribute(Qxt,""),v}getId(){return"editor.contrib.stickyScrollWidget"}getDomNode(){return this._rootDomNode}getPosition(){return{preference:2,stackOridinal:10}}getMinContentWidthInPx(){return this._minContentWidthInPx}focusLineWithIndex(e){0<=e&&e<this._renderedStickyLines.length&&this._renderedStickyLines[e].lineDomNode.focus()}getEditorPositionFromNode(e){if(!e||e.children.length>0)return null;const i=this._getRenderedStickyLineFromChildDomNode(e);if(!i)return null;const n=$Je(i.characterMapping,e,0);return new pi(i.lineNumber,n)}getLineNumberFromChildDomNode(e){var i;return((i=this._getRenderedStickyLineFromChildDomNode(e))==null?void 0:i.lineNumber)??null}_getRenderedStickyLineFromChildDomNode(e){const i=this.getLineIndexFromChildDomNode(e);return i===null||i<0||i>=this._renderedStickyLines.length?null:this._renderedStickyLines[i]}getLineIndexFromChildDomNode(e){const i=this._getAttributeValue(e,Q9e);return i?parseInt(i,10):null}isInStickyLine(e){return this._getAttributeValue(e,Xxt)!==void 0}isInFoldingIconDomNode(e){return this._getAttributeValue(e,Qxt)!==void 0}_getAttributeValue(e,i){for(;e&&e!==this._rootDomNode;){const n=e.getAttribute(i);if(n!==null)return n;e=e.parentElement}}}class nRi{constructor(e,i,n,s,c,d,f){this.index=e,this.lineNumber=i,this.lineDomNode=n,this.lineNumberDomNode=s,this.foldingIcon=c,this.characterMapping=d,this.scrollWidth=f}}class rRi{constructor(e,i,n,s){this.isCollapsed=e,this.foldingStartLine=i,this.foldingEndLine=n,this.dimension=s,this.domNode=document.createElement("div"),this.domNode.style.width=`${s}px`,this.domNode.style.height=`${s}px`,this.domNode.className=zo.asClassName(e?cbe:abe)}setVisible(e){this.domNode.style.cursor=e?"pointer":"default",this.domNode.style.opacity=e?"1":"0"}}class sne{constructor(e,i){this.startLineNumber=e,this.endLineNumber=i}}class Rbe{constructor(e,i,n){this.range=e,this.children=i,this.parent=n}}class BPt{constructor(e,i,n,s){this.uri=e,this.version=i,this.element=n,this.outlineProviderId=s}}var axe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},tse=function(l,e){return function(i,n){e(i,n,l)}},one;(function(l){l.OUTLINE_MODEL="outlineModel",l.FOLDING_PROVIDER_MODEL="foldingProviderModel",l.INDENTATION_MODEL="indentationModel"})(one||(one={}));var zM;(function(l){l[l.VALID=0]="VALID",l[l.INVALID=1]="INVALID",l[l.CANCELED=2]="CANCELED"})(zM||(zM={}));let tqe=class extends xi{constructor(e,i,n,s){switch(super(),this._editor=e,this._modelProviders=[],this._modelPromise=null,this._updateScheduler=this._register(new Ck(300)),this._updateOperation=this._register(new wn),this._editor.getOption(116).defaultModel){case one.OUTLINE_MODEL:this._modelProviders.push(new iqe(this._editor,s));case one.FOLDING_PROVIDER_MODEL:this._modelProviders.push(new rqe(this._editor,i,s));case one.INDENTATION_MODEL:this._modelProviders.push(new nqe(this._editor,n));break}}dispose(){this._modelProviders.forEach(e=>e.dispose()),this._updateOperation.clear(),this._cancelModelPromise(),super.dispose()}_cancelModelPromise(){this._modelPromise&&(this._modelPromise.cancel(),this._modelPromise=null)}async update(e){return this._updateOperation.clear(),this._updateOperation.add({dispose:()=>{this._cancelModelPromise(),this._updateScheduler.cancel()}}),this._cancelModelPromise(),await this._updateScheduler.trigger(async()=>{for(const i of this._modelProviders){const{statusPromise:n,modelPromise:s}=i.computeStickyModel(e);this._modelPromise=s;const c=await n;if(this._modelPromise!==s)return null;switch(c){case zM.CANCELED:return this._updateOperation.clear(),null;case zM.VALID:return i.stickyModel}}return null}).catch(i=>(Pa(i),null))}};tqe=axe([tse(2,ho),tse(3,Ts)],tqe);class WPt extends xi{constructor(e){super(),this._editor=e,this._stickyModel=null}get stickyModel(){return this._stickyModel}_invalid(){return this._stickyModel=null,zM.INVALID}computeStickyModel(e){if(e.isCancellationRequested||!this.isProviderValid())return{statusPromise:this._invalid(),modelPromise:null};const i=Om(n=>this.createModelFromProvider(n));return{statusPromise:i.then(n=>this.isModelValid(n)?e.isCancellationRequested?zM.CANCELED:(this._stickyModel=this.createStickyModel(e,n),zM.VALID):this._invalid()).then(void 0,n=>(Pa(n),zM.CANCELED)),modelPromise:i}}isModelValid(e){return!0}isProviderValid(){return!0}}let iqe=class extends WPt{constructor(e,i){super(e),this._languageFeaturesService=i}createModelFromProvider(e){return L4.create(this._languageFeaturesService.documentSymbolProvider,this._editor.getModel(),e)}createStickyModel(e,i){var d;const{stickyOutlineElement:n,providerID:s}=this._stickyModelFromOutlineModel(i,(d=this._stickyModel)==null?void 0:d.outlineProviderId),c=this._editor.getModel();return new BPt(c.uri,c.getVersionId(),n,s)}isModelValid(e){return e&&e.children.size>0}_stickyModelFromOutlineModel(e,i){let n;if(dl.first(e.children.values())instanceof oPt){const f=dl.find(e.children.values(),m=>m.id===i);if(f)n=f.children;else{let m="",v=-1,x;for(const[w,I]of e.children.entries()){const P=this._findSumOfRangesOfGroup(I);P>v&&(x=I,v=P,m=I.id)}i=m,n=x.children}}else n=e.children;const s=[],c=Array.from(n.values()).sort((f,m)=>{const v=new sne(f.symbol.range.startLineNumber,f.symbol.range.endLineNumber),x=new sne(m.symbol.range.startLineNumber,m.symbol.range.endLineNumber);return this._comparator(v,x)});for(const f of c)s.push(this._stickyModelFromOutlineElement(f,f.symbol.selectionRange.startLineNumber));return{stickyOutlineElement:new Rbe(void 0,s,void 0),providerID:i}}_stickyModelFromOutlineElement(e,i){const n=[];for(const c of e.children.values())if(c.symbol.selectionRange.startLineNumber!==c.symbol.range.endLineNumber)if(c.symbol.selectionRange.startLineNumber!==i)n.push(this._stickyModelFromOutlineElement(c,c.symbol.selectionRange.startLineNumber));else for(const d of c.children.values())n.push(this._stickyModelFromOutlineElement(d,c.symbol.selectionRange.startLineNumber));n.sort((c,d)=>this._comparator(c.range,d.range));const s=new sne(e.symbol.selectionRange.startLineNumber,e.symbol.range.endLineNumber);return new Rbe(s,n,void 0)}_comparator(e,i){return e.startLineNumber!==i.startLineNumber?e.startLineNumber-i.startLineNumber:i.endLineNumber-e.endLineNumber}_findSumOfRangesOfGroup(e){let i=0;for(const n of e.children.values())i+=this._findSumOfRangesOfGroup(n);return e instanceof qze?i+e.symbol.range.endLineNumber-e.symbol.selectionRange.startLineNumber:i}};iqe=axe([tse(1,Ts)],iqe);class VPt extends WPt{constructor(e){super(e),this._foldingLimitReporter=new nPt(e)}createStickyModel(e,i){const n=this._fromFoldingRegions(i),s=this._editor.getModel();return new BPt(s.uri,s.getVersionId(),n,void 0)}isModelValid(e){return e!==null}_fromFoldingRegions(e){const i=e.length,n=[],s=new Rbe(void 0,[],void 0);for(let c=0;c<i;c++){const d=e.getParentIndex(c);let f;d!==-1?f=n[d]:f=s;const m=new Rbe(new sne(e.getStartLineNumber(c),e.getEndLineNumber(c)+1),[],f);f.children.push(m),n.push(m)}return s}}let nqe=class extends VPt{constructor(e,i){super(e),this._languageConfigurationService=i,this.provider=this._register(new nKe(e.getModel(),this._languageConfigurationService,this._foldingLimitReporter))}async createModelFromProvider(e){return this.provider.compute(e)}};nqe=axe([tse(1,rh)],nqe);let rqe=class extends VPt{constructor(e,i,n){super(e),this._languageFeaturesService=n;const s=OR.getFoldingRangeProviders(this._languageFeaturesService,e.getModel());s.length>0&&(this.provider=this._register(new rKe(e.getModel(),s,i,this._foldingLimitReporter,void 0)))}isProviderValid(){return this.provider!==void 0}async createModelFromProvider(e){var i;return((i=this.provider)==null?void 0:i.compute(e))??null}};rqe=axe([tse(2,Ts)],rqe);var sRi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Zxt=function(l,e){return function(i,n){e(i,n,l)}};class oRi{constructor(e,i,n){this.startLineNumber=e,this.endLineNumber=i,this.nestingDepth=n}}let sqe=class extends xi{constructor(e,i,n){super(),this._languageFeaturesService=i,this._languageConfigurationService=n,this._onDidChangeStickyScroll=this._register(new gi),this.onDidChangeStickyScroll=this._onDidChangeStickyScroll.event,this._model=null,this._cts=null,this._stickyModelProvider=null,this._editor=e,this._sessionStore=this._register(new wn),this._updateSoon=this._register(new qu(()=>this.update(),50)),this._register(this._editor.onDidChangeConfiguration(s=>{s.hasChanged(116)&&this.readConfiguration()})),this.readConfiguration()}readConfiguration(){this._sessionStore.clear(),this._editor.getOption(116).enabled&&(this._sessionStore.add(this._editor.onDidChangeModel(()=>{this._model=null,this.updateStickyModelProvider(),this._onDidChangeStickyScroll.fire(),this.update()})),this._sessionStore.add(this._editor.onDidChangeHiddenAreas(()=>this.update())),this._sessionStore.add(this._editor.onDidChangeModelContent(()=>this._updateSoon.schedule())),this._sessionStore.add(this._languageFeaturesService.documentSymbolProvider.onDidChange(()=>this.update())),this._sessionStore.add(fo(()=>{var i;(i=this._stickyModelProvider)==null||i.dispose(),this._stickyModelProvider=null})),this.updateStickyModelProvider(),this.update())}getVersionId(){var e;return(e=this._model)==null?void 0:e.version}updateStickyModelProvider(){var i;(i=this._stickyModelProvider)==null||i.dispose(),this._stickyModelProvider=null;const e=this._editor;e.hasModel()&&(this._stickyModelProvider=new tqe(e,()=>this._updateSoon.schedule(),this._languageConfigurationService,this._languageFeaturesService))}async update(){var e;(e=this._cts)==null||e.dispose(!0),this._cts=new ih,await this.updateStickyModel(this._cts.token),this._onDidChangeStickyScroll.fire()}async updateStickyModel(e){if(!this._editor.hasModel()||!this._stickyModelProvider||this._editor.getModel().isTooLargeForTokenization()){this._model=null;return}const i=await this._stickyModelProvider.update(e);e.isCancellationRequested||(this._model=i)}updateIndex(e){return e===-1?e=0:e<0&&(e=-e-2),e}getCandidateStickyLinesIntersectingFromStickyModel(e,i,n,s,c){if(i.children.length===0)return;let d=c;const f=[];for(let x=0;x<i.children.length;x++){const w=i.children[x];w.range&&f.push(w.range.startLineNumber)}const m=this.updateIndex(Dne(f,e.startLineNumber,(x,w)=>x-w)),v=this.updateIndex(Dne(f,e.startLineNumber+s,(x,w)=>x-w));for(let x=m;x<=v;x++){const w=i.children[x];if(!w)return;if(w.range){const I=w.range.startLineNumber,P=w.range.endLineNumber;e.startLineNumber<=P+1&&I-1<=e.endLineNumber&&I!==d&&(d=I,n.push(new oRi(I,P-1,s+1)),this.getCandidateStickyLinesIntersectingFromStickyModel(e,w,n,s+1,I))}else this.getCandidateStickyLinesIntersectingFromStickyModel(e,w,n,s,c)}}getCandidateStickyLinesIntersecting(e){var s,c;if(!((s=this._model)!=null&&s.element))return[];let i=[];this.getCandidateStickyLinesIntersectingFromStickyModel(e,this._model.element,i,0,-1);const n=(c=this._editor._getViewModel())==null?void 0:c.getHiddenAreas();if(n)for(const d of n)i=i.filter(f=>!(f.startLineNumber>=d.startLineNumber&&f.endLineNumber<=d.endLineNumber+1));return i}};sqe=sRi([Zxt(1,Ts),Zxt(2,rh)],sqe);var aRi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},HU=function(l,e){return function(i,n){e(i,n,l)}},oqe,mB;let g6=(mB=class extends xi{constructor(e,i,n,s,c,d,f){super(),this._editor=e,this._contextMenuService=i,this._languageFeaturesService=n,this._instaService=s,this._contextKeyService=f,this._sessionStore=new wn,this._maxStickyLines=Number.MAX_SAFE_INTEGER,this._candidateDefinitionsLength=-1,this._focusedStickyElementIndex=-1,this._enabled=!1,this._focused=!1,this._positionRevealed=!1,this._onMouseDown=!1,this._endLineNumbers=[],this._stickyScrollWidget=new iRi(this._editor),this._stickyLineCandidateProvider=new sqe(this._editor,n,c),this._register(this._stickyScrollWidget),this._register(this._stickyLineCandidateProvider),this._widgetState=rne.Empty,this._onDidResize(),this._readConfiguration();const m=this._stickyScrollWidget.getDomNode();this._register(this._editor.onDidChangeConfiguration(x=>{this._readConfigurationChange(x)})),this._register(en(m,br.CONTEXT_MENU,async x=>{this._onContextMenu(Eo(m),x)})),this._stickyScrollFocusedContextKey=Tt.stickyScrollFocused.bindTo(this._contextKeyService),this._stickyScrollVisibleContextKey=Tt.stickyScrollVisible.bindTo(this._contextKeyService);const v=this._register(zE(m));this._register(v.onDidBlur(x=>{this._positionRevealed===!1&&m.clientHeight===0?(this._focusedStickyElementIndex=-1,this.focus()):this._disposeFocusStickyScrollStore()})),this._register(v.onDidFocus(x=>{this.focus()})),this._registerMouseListeners(),this._register(en(m,br.MOUSE_DOWN,x=>{this._onMouseDown=!0}))}static get(e){return e.getContribution(oqe.ID)}_disposeFocusStickyScrollStore(){var e;this._stickyScrollFocusedContextKey.set(!1),(e=this._focusDisposableStore)==null||e.dispose(),this._focused=!1,this._positionRevealed=!1,this._onMouseDown=!1}focus(){if(this._onMouseDown){this._onMouseDown=!1,this._editor.focus();return}this._stickyScrollFocusedContextKey.get()!==!0&&(this._focused=!0,this._focusDisposableStore=new wn,this._stickyScrollFocusedContextKey.set(!0),this._focusedStickyElementIndex=this._stickyScrollWidget.lineNumbers.length-1,this._stickyScrollWidget.focusLineWithIndex(this._focusedStickyElementIndex))}focusNext(){this._focusedStickyElementIndex<this._stickyScrollWidget.lineNumberCount-1&&this._focusNav(!0)}focusPrevious(){this._focusedStickyElementIndex>0&&this._focusNav(!1)}selectEditor(){this._editor.focus()}_focusNav(e){this._focusedStickyElementIndex=e?this._focusedStickyElementIndex+1:this._focusedStickyElementIndex-1,this._stickyScrollWidget.focusLineWithIndex(this._focusedStickyElementIndex)}goToFocused(){const e=this._stickyScrollWidget.lineNumbers;this._disposeFocusStickyScrollStore(),this._revealPosition({lineNumber:e[this._focusedStickyElementIndex],column:1})}_revealPosition(e){this._reveaInEditor(e,()=>this._editor.revealPosition(e))}_revealLineInCenterIfOutsideViewport(e){this._reveaInEditor(e,()=>this._editor.revealLineInCenterIfOutsideViewport(e.lineNumber,0))}_reveaInEditor(e,i){this._focused&&this._disposeFocusStickyScrollStore(),this._positionRevealed=!0,i(),this._editor.setSelection(nt.fromPositions(e)),this._editor.focus()}_registerMouseListeners(){const e=this._register(new wn),i=this._register(new Xwe(this._editor,{extractLineNumberFromMouseEvent:c=>{const d=this._stickyScrollWidget.getEditorPositionFromNode(c.target.element);return d?d.lineNumber:0}})),n=c=>{if(!this._editor.hasModel()||c.target.type!==12||c.target.detail!==this._stickyScrollWidget.getId())return null;const d=c.target.element;if(!d||d.innerText!==d.innerHTML)return null;const f=this._stickyScrollWidget.getEditorPositionFromNode(d);return f?{range:new nt(f.lineNumber,f.column,f.lineNumber,f.column+d.innerText.length),textElement:d}:null},s=this._stickyScrollWidget.getDomNode();this._register(ph(s,br.CLICK,c=>{if(c.ctrlKey||c.altKey||c.metaKey||!c.leftButton)return;if(c.shiftKey){const v=this._stickyScrollWidget.getLineIndexFromChildDomNode(c.target);if(v===null)return;const x=new pi(this._endLineNumbers[v],1);this._revealLineInCenterIfOutsideViewport(x);return}if(this._stickyScrollWidget.isInFoldingIconDomNode(c.target)){const v=this._stickyScrollWidget.getLineNumberFromChildDomNode(c.target);this._toggleFoldingRegionForLine(v);return}if(!this._stickyScrollWidget.isInStickyLine(c.target))return;let m=this._stickyScrollWidget.getEditorPositionFromNode(c.target);if(!m){const v=this._stickyScrollWidget.getLineNumberFromChildDomNode(c.target);if(v===null)return;m=new pi(v,1)}this._revealPosition(m)})),this._register(ph(s,br.MOUSE_MOVE,c=>{if(c.shiftKey){const d=this._stickyScrollWidget.getLineIndexFromChildDomNode(c.target);if(d===null||this._showEndForLine!==null&&this._showEndForLine===d)return;this._showEndForLine=d,this._renderStickyScroll();return}this._showEndForLine!==void 0&&(this._showEndForLine=void 0,this._renderStickyScroll())})),this._register(en(s,br.MOUSE_LEAVE,c=>{this._showEndForLine!==void 0&&(this._showEndForLine=void 0,this._renderStickyScroll())})),this._register(i.onMouseMoveOrRelevantKeyDown(([c,d])=>{const f=n(c);if(!f||!c.hasTriggerModifier||!this._editor.hasModel()){e.clear();return}const{range:m,textElement:v}=f;if(!m.equalsRange(this._stickyRangeProjectedOnEditor))this._stickyRangeProjectedOnEditor=m,e.clear();else if(v.style.textDecoration==="underline")return;const x=new ih;e.add(fo(()=>x.dispose(!0)));let w;Use(this._languageFeaturesService.definitionProvider,this._editor.getModel(),new pi(m.startLineNumber,m.startColumn+1),!1,x.token).then(I=>{if(!x.token.isCancellationRequested)if(I.length!==0){this._candidateDefinitionsLength=I.length;const P=v;w!==P?(e.clear(),w=P,w.style.textDecoration="underline",e.add(fo(()=>{w.style.textDecoration="none"}))):w||(w=P,w.style.textDecoration="underline",e.add(fo(()=>{w.style.textDecoration="none"})))}else e.clear()})})),this._register(i.onCancel(()=>{e.clear()})),this._register(i.onExecute(async c=>{if(c.target.type!==12||c.target.detail!==this._stickyScrollWidget.getId())return;const d=this._stickyScrollWidget.getEditorPositionFromNode(c.target.element);d&&(!this._editor.hasModel()||!this._stickyRangeProjectedOnEditor||(this._candidateDefinitionsLength>1&&(this._focused&&this._disposeFocusStickyScrollStore(),this._revealPosition({lineNumber:d.lineNumber,column:1})),this._instaService.invokeFunction(VLt,c,this._editor,{uri:this._editor.getModel().uri,range:this._stickyRangeProjectedOnEditor})))}))}_onContextMenu(e,i){const n=new hT(e,i);this._contextMenuService.showContextMenu({menuId:As.StickyScrollContext,getAnchor:()=>n})}_toggleFoldingRegionForLine(e){if(!this._foldingModel||e===null)return;const i=this._stickyScrollWidget.getRenderedStickyLine(e),n=i==null?void 0:i.foldingIcon;if(!n)return;eKe(this._foldingModel,Number.MAX_VALUE,[e]),n.isCollapsed=!n.isCollapsed;const s=(n.isCollapsed?this._editor.getTopForLineNumber(n.foldingEndLine):this._editor.getTopForLineNumber(n.foldingStartLine))-this._editor.getOption(67)*i.index+1;this._editor.setScrollTop(s),this._renderStickyScroll(e)}_readConfiguration(){const e=this._editor.getOption(116);if(e.enabled===!1){this._editor.removeOverlayWidget(this._stickyScrollWidget),this._sessionStore.clear(),this._enabled=!1;return}else e.enabled&&!this._enabled&&(this._editor.addOverlayWidget(this._stickyScrollWidget),this._sessionStore.add(this._editor.onDidScrollChange(n=>{n.scrollTopChanged&&(this._showEndForLine=void 0,this._renderStickyScroll())})),this._sessionStore.add(this._editor.onDidLayoutChange(()=>this._onDidResize())),this._sessionStore.add(this._editor.onDidChangeModelTokens(n=>this._onTokensChange(n))),this._sessionStore.add(this._stickyLineCandidateProvider.onDidChangeStickyScroll(()=>{this._showEndForLine=void 0,this._renderStickyScroll()})),this._enabled=!0);this._editor.getOption(68).renderType===2&&this._sessionStore.add(this._editor.onDidChangeCursorPosition(()=>{this._showEndForLine=void 0,this._renderStickyScroll(0)}))}_readConfigurationChange(e){(e.hasChanged(116)||e.hasChanged(73)||e.hasChanged(67)||e.hasChanged(111)||e.hasChanged(68))&&this._readConfiguration(),e.hasChanged(68)&&this._renderStickyScroll(0)}_needsUpdate(e){const i=this._stickyScrollWidget.getCurrentLines();for(const n of i)for(const s of e.ranges)if(n>=s.fromLineNumber&&n<=s.toLineNumber)return!0;return!1}_onTokensChange(e){this._needsUpdate(e)&&this._renderStickyScroll(0)}_onDidResize(){const i=this._editor.getLayoutInfo().height/this._editor.getOption(67);this._maxStickyLines=Math.round(i*.25)}async _renderStickyScroll(e){const i=this._editor.getModel();if(!i||i.isTooLargeForTokenization()){this._resetState();return}const n=this._updateAndGetMinRebuildFromLine(e),s=this._stickyLineCandidateProvider.getVersionId();if(s===void 0||s===i.getVersionId())if(!this._focused)await this._updateState(n);else if(this._focusedStickyElementIndex===-1)await this._updateState(n),this._focusedStickyElementIndex=this._stickyScrollWidget.lineNumberCount-1,this._focusedStickyElementIndex!==-1&&this._stickyScrollWidget.focusLineWithIndex(this._focusedStickyElementIndex);else{const d=this._stickyScrollWidget.lineNumbers[this._focusedStickyElementIndex];await this._updateState(n),this._stickyScrollWidget.lineNumberCount===0?this._focusedStickyElementIndex=-1:(this._stickyScrollWidget.lineNumbers.includes(d)||(this._focusedStickyElementIndex=this._stickyScrollWidget.lineNumberCount-1),this._stickyScrollWidget.focusLineWithIndex(this._focusedStickyElementIndex))}}_updateAndGetMinRebuildFromLine(e){if(e!==void 0){const i=this._minRebuildFromLine!==void 0?this._minRebuildFromLine:1/0;this._minRebuildFromLine=Math.min(e,i)}return this._minRebuildFromLine}async _updateState(e){var n;this._minRebuildFromLine=void 0,this._foldingModel=await((n=OR.get(this._editor))==null?void 0:n.getFoldingModel())??void 0,this._widgetState=this.findScrollWidgetState();const i=this._widgetState.startLineNumbers.length>0;this._stickyScrollVisibleContextKey.set(i),this._stickyScrollWidget.setState(this._widgetState,this._foldingModel,e)}async _resetState(){this._minRebuildFromLine=void 0,this._foldingModel=void 0,this._widgetState=rne.Empty,this._stickyScrollVisibleContextKey.set(!1),this._stickyScrollWidget.setState(void 0,void 0)}findScrollWidgetState(){const e=this._editor.getOption(67),i=Math.min(this._maxStickyLines,this._editor.getOption(116).maxLineCount),n=this._editor.getScrollTop();let s=0;const c=[],d=[],f=this._editor.getVisibleRanges();if(f.length!==0){const m=new sne(f[0].startLineNumber,f[f.length-1].endLineNumber),v=this._stickyLineCandidateProvider.getCandidateStickyLinesIntersecting(m);for(const x of v){const w=x.startLineNumber,I=x.endLineNumber,P=x.nestingDepth;if(I-w>0){const O=(P-1)*e,z=P*e,J=this._editor.getBottomForLineNumber(w)-n,Y=this._editor.getTopForLineNumber(I)-n,ae=this._editor.getBottomForLineNumber(I)-n;if(O>Y&&O<=ae){c.push(w),d.push(I+1),s=ae-z;break}else z>J&&z<=ae&&(c.push(w),d.push(I+1));if(c.length===i)break}}}return this._endLineNumbers=d,new rne(c,d,s,this._showEndForLine)}dispose(){super.dispose(),this._sessionStore.dispose()}},oqe=mB,mB.ID="store.contrib.stickyScrollController",mB);g6=oqe=aRi([HU(1,eS),HU(2,Ts),HU(3,ho),HU(4,rh),HU(5,Pw),HU(6,Ko)],g6);class cRi extends Tv{constructor(){super({id:"editor.action.toggleStickyScroll",title:{...aa("toggleEditorStickyScroll","Toggle Editor Sticky Scroll"),mnemonicTitle:W({key:"mitoggleStickyScroll",comment:["&& denotes a mnemonic"]},"&&Toggle Editor Sticky Scroll")},metadata:{description:aa("toggleEditorStickyScroll.description","Toggle/enable the editor sticky scroll which shows the nested scopes at the top of the viewport")},category:eRi.View,toggled:{condition:kn.equals("config.editor.stickyScroll.enabled",!0),title:W("stickyScroll","Sticky Scroll"),mnemonicTitle:W({key:"miStickyScroll",comment:["&& denotes a mnemonic"]},"&&Sticky Scroll")},menu:[{id:As.CommandPalette},{id:As.MenubarAppearanceMenu,group:"4_editor",order:3},{id:As.StickyScrollContext}]})}async run(e){const i=e.get(Cc),n=!i.getValue("editor.stickyScroll.enabled");return i.updateValue("editor.stickyScroll.enabled",n)}}const cxe=100;class lRi extends XE{constructor(){super({id:"editor.action.focusStickyScroll",title:{...aa("focusStickyScroll","Focus on the editor sticky scroll"),mnemonicTitle:W({key:"mifocusStickyScroll",comment:["&& denotes a mnemonic"]},"&&Focus Sticky Scroll")},precondition:kn.and(kn.has("config.editor.stickyScroll.enabled"),Tt.stickyScrollVisible),menu:[{id:As.CommandPalette}]})}runEditorCommand(e,i){var n;(n=g6.get(i))==null||n.focus()}}class uRi extends XE{constructor(){super({id:"editor.action.selectNextStickyScrollLine",title:aa("selectNextStickyScrollLine.title","Select the next editor sticky scroll line"),precondition:Tt.stickyScrollFocused.isEqualTo(!0),keybinding:{weight:cxe,primary:18}})}runEditorCommand(e,i){var n;(n=g6.get(i))==null||n.focusNext()}}class dRi extends XE{constructor(){super({id:"editor.action.selectPreviousStickyScrollLine",title:aa("selectPreviousStickyScrollLine.title","Select the previous sticky scroll line"),precondition:Tt.stickyScrollFocused.isEqualTo(!0),keybinding:{weight:cxe,primary:16}})}runEditorCommand(e,i){var n;(n=g6.get(i))==null||n.focusPrevious()}}class fRi extends XE{constructor(){super({id:"editor.action.goToFocusedStickyScrollLine",title:aa("goToFocusedStickyScrollLine.title","Go to the focused sticky scroll line"),precondition:Tt.stickyScrollFocused.isEqualTo(!0),keybinding:{weight:cxe,primary:3}})}runEditorCommand(e,i){var n;(n=g6.get(i))==null||n.goToFocused()}}class hRi extends XE{constructor(){super({id:"editor.action.selectEditor",title:aa("selectEditor.title","Select Editor"),precondition:Tt.stickyScrollFocused.isEqualTo(!0),keybinding:{weight:cxe,primary:9}})}runEditorCommand(e,i){var n;(n=g6.get(i))==null||n.selectEditor()}}Cl(g6.ID,g6,1);Nd(cRi);Nd(lRi);Nd(dRi);Nd(uRi);Nd(fRi);Nd(hRi);var HPt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},bie=function(l,e){return function(i,n){e(i,n,l)}};class _Ri{constructor(e,i,n,s,c,d){this.range=e,this.insertText=i,this.filterText=n,this.additionalTextEdits=s,this.command=c,this.completion=d}}let aqe=class extends eli{constructor(e,i,n,s,c,d){super(c.disposable),this.model=e,this.line=i,this.word=n,this.completionModel=s,this._suggestMemoryService=d}canBeReused(e,i,n){return this.model===e&&this.line===i&&this.word.word.length>0&&this.word.startColumn===n.startColumn&&this.word.endColumn<n.endColumn&&this.completionModel.getIncompleteProvider().size===0}get items(){const e=[],{items:i}=this.completionModel,n=this._suggestMemoryService.select(this.model,{lineNumber:this.line,column:this.word.endColumn+this.completionModel.lineContext.characterCountDelta},i),s=dl.slice(i,n),c=dl.slice(i,0,n);let d=5;for(const f of dl.concat(s,c)){if(f.score===BE.Default)continue;const m=new nt(f.editStart.lineNumber,f.editStart.column,f.editInsertEnd.lineNumber,f.editInsertEnd.column+this.completionModel.lineContext.characterCountDelta),v=f.completion.insertTextRules&&f.completion.insertTextRules&4?{snippet:f.completion.insertText}:f.completion.insertText;e.push(new _Ri(m,v,f.filterTextLow??f.labelLow,f.completion.additionalTextEdits,f.completion.command,f)),d-->=0&&f.resolve(cc.None)}return e}};aqe=HPt([bie(5,nxe)],aqe);let cqe=class extends xi{constructor(e,i,n,s){super(),this._languageFeatureService=e,this._clipboardService=i,this._suggestMemoryService=n,this._editorService=s,this._store.add(e.inlineCompletionsProvider.register("*",this))}async provideInlineCompletions(e,i,n,s){var P;if(n.selectedSuggestionInfo)return;let c;for(const O of this._editorService.listCodeEditors())if(O.getModel()===e){c=O;break}if(!c)return;const d=c.getOption(90);if(bq.isAllOff(d))return;e.tokenization.tokenizeIfCheap(i.lineNumber);const f=e.tokenization.getLineTokens(i.lineNumber),m=f.getStandardTokenType(f.findTokenIndexAtOffset(Math.max(i.column-1-1,0)));if(bq.valueFor(d,m)!=="inline")return;let v=e.getWordAtPosition(i),x;if(v!=null&&v.word||(x=this._getTriggerCharacterInfo(e,i)),!(v!=null&&v.word)&&!x||(v||(v=e.getWordUntilPosition(i)),v.endColumn!==i.column))return;let w;const I=e.getValueInRange(new nt(i.lineNumber,1,i.lineNumber,i.column));if(!x&&((P=this._lastResult)!=null&&P.canBeReused(e,i.lineNumber,v))){const O=new Fxt(I,i.column-this._lastResult.word.endColumn);this._lastResult.completionModel.lineContext=O,this._lastResult.acquire(),w=this._lastResult}else{const O=await sKe(this._languageFeatureService.completionProvider,e,i,new $re(void 0,Cbe.createSuggestFilter(c).itemKind,x==null?void 0:x.providers),x&&{triggerKind:1,triggerCharacter:x.ch},s);let z;O.needsClipboard&&(z=await this._clipboardService.readText());const J=new EM(O.items,i.column,new Fxt(I,0),bbe.None,c.getOption(119),c.getOption(113),{boostFullMatch:!1,firstMatchCanBeWeak:!1},z);w=new aqe(e,i.lineNumber,v,J,O,this._suggestMemoryService)}return this._lastResult=w,w}handleItemDidShow(e,i){i.completion.resolve(cc.None)}freeInlineCompletions(e){e.release()}_getTriggerCharacterInfo(e,i){var c;const n=e.getValueInRange(nt.fromPositions({lineNumber:i.lineNumber,column:i.column-1},i)),s=new Set;for(const d of this._languageFeatureService.completionProvider.all(e))(c=d.triggerCharacters)!=null&&c.includes(n)&&s.add(d);if(s.size!==0)return{providers:s,ch:n}}};cqe=HPt([bie(0,Ts),bie(1,w6),bie(2,nxe),bie(3,Bl)],cqe);NJ(cqe);class pRi extends hs{constructor(){super({id:"editor.action.forceRetokenize",label:W("forceRetokenize","Developer: Force Retokenize"),alias:"Developer: Force Retokenize",precondition:void 0})}run(e,i){if(!i.hasModel())return;const n=i.getModel();n.tokenization.resetTokenization();const s=new bg;n.tokenization.forceTokenization(n.getLineCount()),s.stop(),console.log(`tokenization took ${s.elapsed()}`)}}sr(pRi);const _Se=class _Se extends Tv{constructor(){super({id:_Se.ID,title:aa({key:"toggle.tabMovesFocus",comment:["Turn on/off use of tab key for moving focus around VS Code"]},"Toggle Tab Key Moves Focus"),precondition:void 0,keybinding:{primary:2091,mac:{primary:1323},weight:100},metadata:{description:aa("tabMovesFocusDescriptions","Determines whether the tab key moves focus around the workbench or inserts the tab character in the current editor. This is also called tab trapping, tab navigation, or tab focus mode.")},f1:!0})}run(){const i=!q$.getTabFocusMode();q$.setTabFocusMode(i),xv(i?W("toggle.tabMovesFocus.on","Pressing Tab will now move focus to the next focusable element"):W("toggle.tabMovesFocus.off","Pressing Tab will now insert the tab character"))}};_Se.ID="editor.action.toggleTabFocusMode";let lqe=_Se;Nd(lqe);var mRi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},Yxt=function(l,e){return function(i,n){e(i,n,l)}};let uqe=class extends xi{get enabled(){return this._enabled}set enabled(e){e?(this.el.setAttribute("aria-disabled","false"),this.el.tabIndex=0,this.el.style.pointerEvents="auto",this.el.style.opacity="1",this.el.style.cursor="pointer",this._enabled=!1):(this.el.setAttribute("aria-disabled","true"),this.el.tabIndex=-1,this.el.style.pointerEvents="none",this.el.style.opacity="0.4",this.el.style.cursor="default",this._enabled=!0),this._enabled=e}constructor(e,i,n={},s,c){super(),this._link=i,this._hoverService=s,this._enabled=!0,this.el=jn(e,Cr("a.monaco-link",{tabIndex:i.tabIndex??0,href:i.href},i.label)),this.hoverDelegate=n.hoverDelegate??Dv("mouse"),this.setTooltip(i.title),this.el.setAttribute("role","button");const d=this._register(new Xc(this.el,"click")),f=this._register(new Xc(this.el,"keypress")),m=Tr.chain(f.event,w=>w.map(I=>new Id(I)).filter(I=>I.keyCode===3)),v=this._register(new Xc(this.el,Ud.Tap)).event;this._register(y1.addTarget(this.el));const x=Tr.any(d.event,m,v);this._register(x(w=>{this.enabled&&(il.stop(w,!0),n!=null&&n.opener?n.opener(this._link.href):c.open(this._link.href,{allowCommands:!0}))})),this.enabled=!0}setTooltip(e){this.hoverDelegate.showNativeHover?this.el.title=e??"":!this.hover&&e?this.hover=this._register(this._hoverService.setupManagedHover(this.hoverDelegate,this.el,e)):this.hover&&this.hover.update(e)}};uqe=mRi([Yxt(3,jL),Yxt(4,Rb)],uqe);var jPt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},zPt=function(l,e){return function(i,n){e(i,n,l)}};const gRi=26;let dqe=class extends xi{constructor(e,i){super(),this._editor=e,this.instantiationService=i,this.banner=this._register(this.instantiationService.createInstance(fqe))}hide(){this._editor.setBanner(null,0),this.banner.clear()}show(e){this.banner.show({...e,onClose:()=>{var i;this.hide(),(i=e.onClose)==null||i.call(e)}}),this._editor.setBanner(this.banner.element,gRi)}};dqe=jPt([zPt(1,ho)],dqe);let fqe=class extends xi{constructor(e){super(),this.instantiationService=e,this.markdownRenderer=this.instantiationService.createInstance(d6,{}),this.element=Cr("div.editor-banner"),this.element.tabIndex=0}getAriaLabel(e){if(e.ariaLabel)return e.ariaLabel;if(typeof e.message=="string")return e.message}getBannerMessage(e){if(typeof e=="string"){const i=Cr("span");return i.innerText=e,i}return this.markdownRenderer.render(e).element}clear(){s0(this.element)}show(e){s0(this.element);const i=this.getAriaLabel(e);i&&this.element.setAttribute("aria-label",i);const n=jn(this.element,Cr("div.icon-container"));n.setAttribute("aria-hidden","true"),e.icon&&n.appendChild(Cr(`div${zo.asCSSSelector(e.icon)}`));const s=jn(this.element,Cr("div.message-container"));if(s.setAttribute("aria-hidden","true"),s.appendChild(this.getBannerMessage(e.message)),this.messageActionsContainer=jn(this.element,Cr("div.message-actions-container")),e.actions)for(const d of e.actions)this._register(this.instantiationService.createInstance(uqe,this.messageActionsContainer,{...d,tabIndex:-1},{}));const c=jn(this.element,Cr("div.action-container"));this.actionBar=this._register(new kw(c)),this.actionBar.push(this._register(new $C("banner.close","Close Banner",zo.asClassName(eIt),!0,()=>{typeof e.onClose=="function"&&e.onClose()})),{icon:!0,label:!1}),this.actionBar.setFocusable(!1)}};fqe=jPt([zPt(0,ho)],fqe);var vKe=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},u$=function(l,e){return function(i,n){e(i,n,l)}};const yRi=sf("extensions-warning-message",pr.warning,W("warningIcon","Icon shown with a warning message in the extensions editor."));var C$;let ise=(C$=class extends xi{constructor(e,i,n,s){super(),this._editor=e,this._editorWorkerService=i,this._workspaceTrustService=n,this._highlighter=null,this._bannerClosed=!1,this._updateState=c=>{if(c&&c.hasMore){if(this._bannerClosed)return;const d=Math.max(c.ambiguousCharacterCount,c.nonBasicAsciiCharacterCount,c.invisibleCharacterCount);let f;if(c.nonBasicAsciiCharacterCount>=d)f={message:W("unicodeHighlighting.thisDocumentHasManyNonBasicAsciiUnicodeCharacters","This document contains many non-basic ASCII unicode characters"),command:new rse};else if(c.ambiguousCharacterCount>=d)f={message:W("unicodeHighlighting.thisDocumentHasManyAmbiguousUnicodeCharacters","This document contains many ambiguous unicode characters"),command:new GB};else if(c.invisibleCharacterCount>=d)f={message:W("unicodeHighlighting.thisDocumentHasManyInvisibleUnicodeCharacters","This document contains many invisible unicode characters"),command:new nse};else throw new Error("Unreachable");this._bannerController.show({id:"unicodeHighlightBanner",message:f.message,icon:yRi,actions:[{label:f.command.shortLabel,href:`command:${f.command.id}`}],onClose:()=>{this._bannerClosed=!0}})}else this._bannerController.hide()},this._bannerController=this._register(s.createInstance(dqe,e)),this._register(this._editor.onDidChangeModel(()=>{this._bannerClosed=!1,this._updateHighlighter()})),this._options=e.getOption(126),this._register(n.onDidChangeTrust(c=>{this._updateHighlighter()})),this._register(e.onDidChangeConfiguration(c=>{c.hasChanged(126)&&(this._options=e.getOption(126),this._updateHighlighter())})),this._updateHighlighter()}dispose(){this._highlighter&&(this._highlighter.dispose(),this._highlighter=null),super.dispose()}_updateHighlighter(){if(this._updateState(null),this._highlighter&&(this._highlighter.dispose(),this._highlighter=null),!this._editor.hasModel())return;const e=vRi(this._workspaceTrustService.isWorkspaceTrusted(),this._options);if([e.nonBasicASCII,e.ambiguousCharacters,e.invisibleCharacters].every(n=>n===!1))return;const i={nonBasicASCII:e.nonBasicASCII,ambiguousCharacters:e.ambiguousCharacters,invisibleCharacters:e.invisibleCharacters,includeComments:e.includeComments,includeStrings:e.includeStrings,allowedCodePoints:Object.keys(e.allowedCharacters).map(n=>n.codePointAt(0)),allowedLocales:Object.keys(e.allowedLocales).map(n=>n==="_os"?new Intl.NumberFormat().resolvedOptions().locale:n==="_vscode"?Zai:n)};this._editorWorkerService.canComputeUnicodeHighlights(this._editor.getModel().uri)?this._highlighter=new hqe(this._editor,i,this._updateState,this._editorWorkerService):this._highlighter=new bRi(this._editor,i,this._updateState)}getDecorationInfo(e){return this._highlighter?this._highlighter.getDecorationInfo(e):null}},C$.ID="editor.contrib.unicodeHighlighter",C$);ise=vKe([u$(1,Fb),u$(2,mDt),u$(3,ho)],ise);function vRi(l,e){return{nonBasicASCII:e.nonBasicASCII===cw?!l:e.nonBasicASCII,ambiguousCharacters:e.ambiguousCharacters,invisibleCharacters:e.invisibleCharacters,includeComments:e.includeComments===cw?!l:e.includeComments,includeStrings:e.includeStrings===cw?!l:e.includeStrings,allowedCharacters:e.allowedCharacters,allowedLocales:e.allowedLocales}}let hqe=class extends xi{constructor(e,i,n,s){super(),this._editor=e,this._options=i,this._updateState=n,this._editorWorkerService=s,this._model=this._editor.getModel(),this._decorations=this._editor.createDecorationsCollection(),this._updateSoon=this._register(new qu(()=>this._update(),250)),this._register(this._editor.onDidChangeModelContent(()=>{this._updateSoon.schedule()})),this._updateSoon.schedule()}dispose(){this._decorations.clear(),super.dispose()}_update(){if(this._model.isDisposed())return;if(!this._model.mightContainNonBasicASCII()){this._decorations.clear();return}const e=this._model.getVersionId();this._editorWorkerService.computedUnicodeHighlights(this._model.uri,this._options).then(i=>{if(this._model.isDisposed()||this._model.getVersionId()!==e)return;this._updateState(i);const n=[];if(!i.hasMore)for(const s of i.ranges)n.push({range:s,options:Fbe.instance.getDecorationFromOptions(this._options)});this._decorations.set(n)})}getDecorationInfo(e){if(!this._decorations.has(e))return null;const i=this._editor.getModel();if(!aGe(i,e))return null;const n=i.getValueInRange(e.range);return{reason:qPt(n,this._options),inComment:cGe(i,e),inString:lGe(i,e)}}};hqe=vKe([u$(3,Fb)],hqe);class bRi extends xi{constructor(e,i,n){super(),this._editor=e,this._options=i,this._updateState=n,this._model=this._editor.getModel(),this._decorations=this._editor.createDecorationsCollection(),this._updateSoon=this._register(new qu(()=>this._update(),250)),this._register(this._editor.onDidLayoutChange(()=>{this._updateSoon.schedule()})),this._register(this._editor.onDidScrollChange(()=>{this._updateSoon.schedule()})),this._register(this._editor.onDidChangeHiddenAreas(()=>{this._updateSoon.schedule()})),this._register(this._editor.onDidChangeModelContent(()=>{this._updateSoon.schedule()})),this._updateSoon.schedule()}dispose(){this._decorations.clear(),super.dispose()}_update(){if(this._model.isDisposed())return;if(!this._model.mightContainNonBasicASCII()){this._decorations.clear();return}const e=this._editor.getVisibleRanges(),i=[],n={ranges:[],ambiguousCharacterCount:0,invisibleCharacterCount:0,nonBasicAsciiCharacterCount:0,hasMore:!1};for(const s of e){const c=b$e.computeUnicodeHighlights(this._model,this._options,s);for(const d of c.ranges)n.ranges.push(d);n.ambiguousCharacterCount+=n.ambiguousCharacterCount,n.invisibleCharacterCount+=n.invisibleCharacterCount,n.nonBasicAsciiCharacterCount+=n.nonBasicAsciiCharacterCount,n.hasMore=n.hasMore||c.hasMore}if(!n.hasMore)for(const s of n.ranges)i.push({range:s,options:Fbe.instance.getDecorationFromOptions(this._options)});this._updateState(n),this._decorations.set(i)}getDecorationInfo(e){if(!this._decorations.has(e))return null;const i=this._editor.getModel(),n=i.getValueInRange(e.range);return aGe(i,e)?{reason:qPt(n,this._options),inComment:cGe(i,e),inString:lGe(i,e)}:null}}const UPt=W("unicodeHighlight.configureUnicodeHighlightOptions","Configure Unicode Highlight Options");let _qe=class{constructor(e,i,n){this._editor=e,this._languageService=i,this._openerService=n,this.hoverOrdinal=5}computeSync(e,i){if(!this._editor.hasModel()||e.type!==1)return[];const n=this._editor.getModel(),s=this._editor.getContribution(ise.ID);if(!s)return[];const c=[],d=new Set;let f=300;for(const m of i){const v=s.getDecorationInfo(m);if(!v)continue;const w=n.getValueInRange(m.range).codePointAt(0),I=Z9e(w);let P;switch(v.reason.kind){case 0:{fse(v.reason.confusableWith)?P=W("unicodeHighlight.characterIsAmbiguousASCII","The character {0} could be confused with the ASCII character {1}, which is more common in source code.",I,Z9e(v.reason.confusableWith.codePointAt(0))):P=W("unicodeHighlight.characterIsAmbiguous","The character {0} could be confused with the character {1}, which is more common in source code.",I,Z9e(v.reason.confusableWith.codePointAt(0)));break}case 1:P=W("unicodeHighlight.characterIsInvisible","The character {0} is invisible.",I);break;case 2:P=W("unicodeHighlight.characterIsNonBasicAscii","The character {0} is not a basic ASCII character.",I);break}if(d.has(P))continue;d.add(P);const O={codePoint:w,reason:v.reason,inComment:v.inComment,inString:v.inString},z=W("unicodeHighlight.adjustSettings","Adjust settings"),J=`command:${Bbe.ID}?${encodeURIComponent(JSON.stringify(O))}`,Y=new m1("",!0).appendMarkdown(P).appendText(" ").appendLink(J,z,UPt);c.push(new fT(this,m.range,[Y],!1,f++))}return c}renderHoverParts(e,i){return GLi(e,i,this._editor,this._languageService,this._openerService)}};_qe=vKe([u$(1,Pf),u$(2,Rb)],_qe);function pqe(l){return`U+${l.toString(16).padStart(4,"0")}`}function Z9e(l){let e=`\`${pqe(l)}\``;return d9.isInvisibleCharacter(l)||(e+=` "${`${CRi(l)}`}"`),e}function CRi(l){return l===96?"`` ` ``":"`"+String.fromCodePoint(l)+"`"}function qPt(l,e){return b$e.computeUnicodeHighlightReason(l,e)}const pSe=class pSe{constructor(){this.map=new Map}getDecorationFromOptions(e){return this.getDecoration(!e.includeComments,!e.includeStrings)}getDecoration(e,i){const n=`${e}${i}`;let s=this.map.get(n);return s||(s=qa.createDynamic({description:"unicode-highlight",stickiness:1,className:"unicode-highlight",showIfCollapsed:!0,overviewRuler:null,minimap:null,hideInCommentTokens:e,hideInStringTokens:i}),this.map.set(n,s)),s}};pSe.instance=new pSe;let Fbe=pSe;class SRi extends hs{constructor(){super({id:GB.ID,label:W("action.unicodeHighlight.disableHighlightingInComments","Disable highlighting of characters in comments"),alias:"Disable highlighting of characters in comments",precondition:void 0}),this.shortLabel=W("unicodeHighlight.disableHighlightingInComments.shortLabel","Disable Highlight In Comments")}async run(e,i,n){const s=e==null?void 0:e.get(Cc);s&&this.runAction(s)}async runAction(e){await e.updateValue(wb.includeComments,!1,2)}}class wRi extends hs{constructor(){super({id:GB.ID,label:W("action.unicodeHighlight.disableHighlightingInStrings","Disable highlighting of characters in strings"),alias:"Disable highlighting of characters in strings",precondition:void 0}),this.shortLabel=W("unicodeHighlight.disableHighlightingInStrings.shortLabel","Disable Highlight In Strings")}async run(e,i,n){const s=e==null?void 0:e.get(Cc);s&&this.runAction(s)}async runAction(e){await e.updateValue(wb.includeStrings,!1,2)}}const mSe=class mSe extends hs{constructor(){super({id:mSe.ID,label:W("action.unicodeHighlight.disableHighlightingOfAmbiguousCharacters","Disable highlighting of ambiguous characters"),alias:"Disable highlighting of ambiguous characters",precondition:void 0}),this.shortLabel=W("unicodeHighlight.disableHighlightingOfAmbiguousCharacters.shortLabel","Disable Ambiguous Highlight")}async run(e,i,n){const s=e==null?void 0:e.get(Cc);s&&this.runAction(s)}async runAction(e){await e.updateValue(wb.ambiguousCharacters,!1,2)}};mSe.ID="editor.action.unicodeHighlight.disableHighlightingOfAmbiguousCharacters";let GB=mSe;const gSe=class gSe extends hs{constructor(){super({id:gSe.ID,label:W("action.unicodeHighlight.disableHighlightingOfInvisibleCharacters","Disable highlighting of invisible characters"),alias:"Disable highlighting of invisible characters",precondition:void 0}),this.shortLabel=W("unicodeHighlight.disableHighlightingOfInvisibleCharacters.shortLabel","Disable Invisible Highlight")}async run(e,i,n){const s=e==null?void 0:e.get(Cc);s&&this.runAction(s)}async runAction(e){await e.updateValue(wb.invisibleCharacters,!1,2)}};gSe.ID="editor.action.unicodeHighlight.disableHighlightingOfInvisibleCharacters";let nse=gSe;const ySe=class ySe extends hs{constructor(){super({id:ySe.ID,label:W("action.unicodeHighlight.disableHighlightingOfNonBasicAsciiCharacters","Disable highlighting of non basic ASCII characters"),alias:"Disable highlighting of non basic ASCII characters",precondition:void 0}),this.shortLabel=W("unicodeHighlight.disableHighlightingOfNonBasicAsciiCharacters.shortLabel","Disable Non ASCII Highlight")}async run(e,i,n){const s=e==null?void 0:e.get(Cc);s&&this.runAction(s)}async runAction(e){await e.updateValue(wb.nonBasicASCII,!1,2)}};ySe.ID="editor.action.unicodeHighlight.disableHighlightingOfNonBasicAsciiCharacters";let rse=ySe;const vSe=class vSe extends hs{constructor(){super({id:vSe.ID,label:W("action.unicodeHighlight.showExcludeOptions","Show Exclude Options"),alias:"Show Exclude Options",precondition:void 0})}async run(e,i,n){const{codePoint:s,reason:c,inString:d,inComment:f}=n,m=String.fromCodePoint(s),v=e.get(Ek),x=e.get(Cc);function w(O){return d9.isInvisibleCharacter(O)?W("unicodeHighlight.excludeInvisibleCharFromBeingHighlighted","Exclude {0} (invisible character) from being highlighted",pqe(O)):W("unicodeHighlight.excludeCharFromBeingHighlighted","Exclude {0} from being highlighted",`${pqe(O)} "${m}"`)}const I=[];if(c.kind===0)for(const O of c.notAmbiguousInLocales)I.push({label:W("unicodeHighlight.allowCommonCharactersInLanguage",'Allow unicode characters that are more common in the language "{0}".',O),run:async()=>{kRi(x,[O])}});if(I.push({label:w(s),run:()=>xRi(x,[s])}),f){const O=new SRi;I.push({label:O.label,run:async()=>O.runAction(x)})}else if(d){const O=new wRi;I.push({label:O.label,run:async()=>O.runAction(x)})}if(c.kind===0){const O=new GB;I.push({label:O.label,run:async()=>O.runAction(x)})}else if(c.kind===1){const O=new nse;I.push({label:O.label,run:async()=>O.runAction(x)})}else if(c.kind===2){const O=new rse;I.push({label:O.label,run:async()=>O.runAction(x)})}else TRi(c);const P=await v.pick(I,{title:UPt});P&&await P.run()}};vSe.ID="editor.action.unicodeHighlight.showExcludeOptions";let Bbe=vSe;async function xRi(l,e){const i=l.getValue(wb.allowedCharacters);let n;typeof i=="object"&&i?n=i:n={};for(const s of e)n[String.fromCodePoint(s)]=!0;await l.updateValue(wb.allowedCharacters,n,2)}async function kRi(l,e){var s;const i=(s=l.inspect(wb.allowedLocales).user)==null?void 0:s.value;let n;typeof i=="object"&&i?n=Object.assign({},i):n={};for(const c of e)n[c]=!0;await l.updateValue(wb.allowedLocales,n,2)}function TRi(l){throw new Error(`Unexpected value: ${l}`)}sr(GB);sr(nse);sr(rse);sr(Bbe);Cl(ise.ID,ise,1);uW.register(_qe);var DRi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},ekt=function(l,e){return function(i,n){e(i,n,l)}};const $Pt="ignoreUnusualLineTerminators";function ERi(l,e,i){l.setModelProperty(e.uri,$Pt,i)}function IRi(l,e){return l.getModelProperty(e.uri,$Pt)}var S$;let Wbe=(S$=class extends xi{constructor(e,i,n){super(),this._editor=e,this._dialogService=i,this._codeEditorService=n,this._isPresentingDialog=!1,this._config=this._editor.getOption(127),this._register(this._editor.onDidChangeConfiguration(s=>{s.hasChanged(127)&&(this._config=this._editor.getOption(127),this._checkForUnusualLineTerminators())})),this._register(this._editor.onDidChangeModel(()=>{this._checkForUnusualLineTerminators()})),this._register(this._editor.onDidChangeModelContent(s=>{s.isUndoing||this._checkForUnusualLineTerminators()})),this._checkForUnusualLineTerminators()}async _checkForUnusualLineTerminators(){if(this._config==="off"||!this._editor.hasModel())return;const e=this._editor.getModel();if(!e.mightContainUnusualLineTerminators()||IRi(this._codeEditorService,e)===!0||this._editor.getOption(92))return;if(this._config==="auto"){e.removeUnusualLineTerminators(this._editor.getSelections());return}if(this._isPresentingDialog)return;let n;try{this._isPresentingDialog=!0,n=await this._dialogService.confirm({title:W("unusualLineTerminators.title","Unusual Line Terminators"),message:W("unusualLineTerminators.message","Detected unusual line terminators"),detail:W("unusualLineTerminators.detail","The file '{0}' contains one or more unusual line terminator characters, like Line Separator (LS) or Paragraph Separator (PS).\n\nIt is recommended to remove them from the file. This can be configured via `editor.unusualLineTerminators`.",wk(e.uri)),primaryButton:W({key:"unusualLineTerminators.fix",comment:["&& denotes a mnemonic"]},"&&Remove Unusual Line Terminators"),cancelButton:W("unusualLineTerminators.ignore","Ignore")})}finally{this._isPresentingDialog=!1}if(!n.confirmed){ERi(this._codeEditorService,e,!0);return}e.removeUnusualLineTerminators(this._editor.getSelections())}},S$.ID="editor.contrib.unusualLineTerminatorsDetector",S$);Wbe=DRi([ekt(1,gse),ekt(2,Bl)],Wbe);Cl(Wbe.ID,Wbe,1);var NRi=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},LRi=function(l,e){return function(i,n){e(i,n,l)}};class tkt{constructor(){this.selector={language:"*"}}provideDocumentHighlights(e,i,n){const s=[],c=e.getWordAtPosition({lineNumber:i.lineNumber,column:i.column});return c?e.isDisposed()?void 0:e.findMatches(c.word,!0,!1,!0,J1e,!1).map(f=>({range:f.range,kind:x$.Text})):Promise.resolve(s)}provideMultiDocumentHighlights(e,i,n,s){const c=new vg,d=e.getWordAtPosition({lineNumber:i.lineNumber,column:i.column});if(!d)return Promise.resolve(c);for(const f of[e,...n]){if(f.isDisposed())continue;const v=f.findMatches(d.word,!0,!1,!0,J1e,!1).map(x=>({range:x.range,kind:x$.Text}));v&&c.set(f.uri,v)}return c}}let mqe=class extends xi{constructor(e){super(),this._register(e.documentHighlightProvider.register("*",new tkt)),this._register(e.multiDocumentHighlightProvider.register("*",new tkt))}};mqe=NRi([LRi(0,Ts)],mqe);var JPt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},V1e=function(l,e){return function(i,n){e(i,n,l)}},v_,gqe;const bKe=new rs("hasWordHighlights",!1);function GPt(l,e,i,n){const s=l.ordered(e);return o$e(s.map(c=>()=>Promise.resolve(c.provideDocumentHighlights(e,i,n)).then(void 0,t_)),c=>c!=null).then(c=>{if(c){const d=new vg;return d.set(e.uri,c),d}return new vg})}function PRi(l,e,i,n,s,c){const d=l.ordered(e);return o$e(d.map(f=>()=>{const m=c.filter(v=>tTt(v)).filter(v=>I$e(f.selector,v.uri,v.getLanguageId(),!0,void 0,void 0)>0);return Promise.resolve(f.provideMultiDocumentHighlights(e,i,m,s)).then(void 0,t_)}),f=>f!=null)}class KPt{constructor(e,i,n){this._model=e,this._selection=i,this._wordSeparators=n,this._wordRange=this._getCurrentWordRange(e,i),this._result=null}get result(){return this._result||(this._result=Om(e=>this._compute(this._model,this._selection,this._wordSeparators,e))),this._result}_getCurrentWordRange(e,i){const n=e.getWordAtPosition(i.getPosition());return n?new nt(i.startLineNumber,n.startColumn,i.startLineNumber,n.endColumn):null}isValid(e,i,n){const s=i.startLineNumber,c=i.startColumn,d=i.endColumn,f=this._getCurrentWordRange(e,i);let m=!!(this._wordRange&&this._wordRange.equalsRange(f));for(let v=0,x=n.length;!m&&v<x;v++){const w=n.getRange(v);w&&w.startLineNumber===s&&w.startColumn<=c&&w.endColumn>=d&&(m=!0)}return m}cancel(){this.result.cancel()}}class ARi extends KPt{constructor(e,i,n,s){super(e,i,n),this._providers=s}_compute(e,i,n,s){return GPt(this._providers,e,i.getPosition(),s).then(c=>c||new vg)}}class ORi extends KPt{constructor(e,i,n,s,c){super(e,i,n),this._providers=s,this._otherModels=c}_compute(e,i,n,s){return PRi(this._providers,e,i.getPosition(),n,s,this._otherModels).then(c=>c||new vg)}}function MRi(l,e,i,n,s){return new ARi(e,i,s,l)}function RRi(l,e,i,n,s,c){return new ORi(e,i,s,l,c)}Mb("_executeDocumentHighlights",async(l,e,i)=>{const n=l.get(Ts),s=await GPt(n.documentHighlightProvider,e,i,cc.None);return s==null?void 0:s.get(e.uri)});var _R;let yqe=(_R=class{constructor(e,i,n,s,c){this.toUnhook=new wn,this.workerRequestTokenId=0,this.workerRequestCompleted=!1,this.workerRequestValue=new vg,this.lastCursorPositionChangeTime=0,this.renderDecorationsTimer=-1,this.runDelayer=this.toUnhook.add(new Ck(50)),this.editor=e,this.providers=i,this.multiDocumentProviders=n,this.codeEditorService=c,this._hasWordHighlights=bKe.bindTo(s),this._ignorePositionChangeEvent=!1,this.occurrencesHighlight=this.editor.getOption(81),this.model=this.editor.getModel(),this.toUnhook.add(e.onDidChangeCursorPosition(d=>{this._ignorePositionChangeEvent||this.occurrencesHighlight!=="off"&&this.runDelayer.trigger(()=>{this._onPositionChanged(d)})})),this.toUnhook.add(e.onDidFocusEditorText(d=>{this.occurrencesHighlight!=="off"&&(this.workerRequest||this.runDelayer.trigger(()=>{this._run()}))})),this.toUnhook.add(e.onDidChangeModelContent(d=>{NSe(this.model.uri,"output")||this._stopAll()})),this.toUnhook.add(e.onDidChangeModel(d=>{!d.newModelUrl&&d.oldModelUrl?this._stopSingular():v_.query&&this._run()})),this.toUnhook.add(e.onDidChangeConfiguration(d=>{var m,v;const f=this.editor.getOption(81);if(this.occurrencesHighlight!==f)switch(this.occurrencesHighlight=f,f){case"off":this._stopAll();break;case"singleFile":this._stopAll((v=(m=v_.query)==null?void 0:m.modelInfo)==null?void 0:v.model);break;case"multiFile":v_.query&&this._run(!0);break;default:console.warn("Unknown occurrencesHighlight setting value:",f);break}})),this.decorations=this.editor.createDecorationsCollection(),this.workerRequestTokenId=0,this.workerRequest=null,this.workerRequestCompleted=!1,this.lastCursorPositionChangeTime=0,this.renderDecorationsTimer=-1,v_.query&&this._run()}hasDecorations(){return this.decorations.length>0}restore(){this.occurrencesHighlight!=="off"&&(this.runDelayer.cancel(),this._run())}_getSortedHighlights(){return this.decorations.getRanges().sort(nt.compareRangesUsingStarts)}moveNext(){const e=this._getSortedHighlights(),n=(e.findIndex(c=>c.containsPosition(this.editor.getPosition()))+1)%e.length,s=e[n];try{this._ignorePositionChangeEvent=!0,this.editor.setPosition(s.getStartPosition()),this.editor.revealRangeInCenterIfOutsideViewport(s);const c=this._getWord();if(c){const d=this.editor.getModel().getLineContent(s.startLineNumber);xv(`${d}, ${n+1} of ${e.length} for '${c.word}'`)}}finally{this._ignorePositionChangeEvent=!1}}moveBack(){const e=this._getSortedHighlights(),n=(e.findIndex(c=>c.containsPosition(this.editor.getPosition()))-1+e.length)%e.length,s=e[n];try{this._ignorePositionChangeEvent=!0,this.editor.setPosition(s.getStartPosition()),this.editor.revealRangeInCenterIfOutsideViewport(s);const c=this._getWord();if(c){const d=this.editor.getModel().getLineContent(s.startLineNumber);xv(`${d}, ${n+1} of ${e.length} for '${c.word}'`)}}finally{this._ignorePositionChangeEvent=!1}}_removeSingleDecorations(){if(!this.editor.hasModel())return;const e=v_.storedDecorationIDs.get(this.editor.getModel().uri);e&&(this.editor.removeDecorations(e),v_.storedDecorationIDs.delete(this.editor.getModel().uri),this.decorations.length>0&&(this.decorations.clear(),this._hasWordHighlights.set(!1)))}_removeAllDecorations(e){const i=this.codeEditorService.listCodeEditors(),n=[];for(const s of i){if(!s.hasModel()||ire(s.getModel().uri,e==null?void 0:e.uri))continue;const c=v_.storedDecorationIDs.get(s.getModel().uri);if(!c)continue;s.removeDecorations(c),n.push(s.getModel().uri);const d=RR.get(s);d!=null&&d.wordHighlighter&&d.wordHighlighter.decorations.length>0&&(d.wordHighlighter.decorations.clear(),d.wordHighlighter.workerRequest=null,d.wordHighlighter._hasWordHighlights.set(!1))}for(const s of n)v_.storedDecorationIDs.delete(s)}_stopSingular(){var e,i,n,s;this._removeSingleDecorations(),this.editor.hasTextFocus()&&(((e=this.editor.getModel())==null?void 0:e.uri.scheme)!==Ma.vscodeNotebookCell&&((n=(i=v_.query)==null?void 0:i.modelInfo)==null?void 0:n.model.uri.scheme)!==Ma.vscodeNotebookCell?(v_.query=null,this._run()):(s=v_.query)!=null&&s.modelInfo&&(v_.query.modelInfo=null)),this.renderDecorationsTimer!==-1&&(clearTimeout(this.renderDecorationsTimer),this.renderDecorationsTimer=-1),this.workerRequest!==null&&(this.workerRequest.cancel(),this.workerRequest=null),this.workerRequestCompleted||(this.workerRequestTokenId++,this.workerRequestCompleted=!0)}_stopAll(e){this._removeAllDecorations(e),this.renderDecorationsTimer!==-1&&(clearTimeout(this.renderDecorationsTimer),this.renderDecorationsTimer=-1),this.workerRequest!==null&&(this.workerRequest.cancel(),this.workerRequest=null),this.workerRequestCompleted||(this.workerRequestTokenId++,this.workerRequestCompleted=!0)}_onPositionChanged(e){var i;if(this.occurrencesHighlight==="off"){this._stopAll();return}if(e.reason!==3&&((i=this.editor.getModel())==null?void 0:i.uri.scheme)!==Ma.vscodeNotebookCell){this._stopAll();return}this._run()}_getWord(){const e=this.editor.getSelection(),i=e.startLineNumber,n=e.startColumn;return this.model.isDisposed()?null:this.model.getWordAtPosition({lineNumber:i,column:n})}getOtherModelsToHighlight(e){if(!e)return[];if(e.uri.scheme===Ma.vscodeNotebookCell){const c=[],d=this.codeEditorService.listCodeEditors();for(const f of d){const m=f.getModel();m&&m!==e&&m.uri.scheme===Ma.vscodeNotebookCell&&c.push(m)}return c}const n=[],s=this.codeEditorService.listCodeEditors();for(const c of s){if(!TGe(c))continue;const d=c.getModel();d&&e===d.modified&&n.push(d.modified)}if(n.length)return n;if(this.occurrencesHighlight==="singleFile")return[];for(const c of s){const d=c.getModel();d&&d!==e&&n.push(d)}return n}_run(e){var s,c;let i;if(this.editor.hasTextFocus()){const d=this.editor.getSelection();if(!d||d.startLineNumber!==d.endLineNumber){v_.query=null,this._stopAll();return}const f=d.startColumn,m=d.endColumn,v=this._getWord();if(!v||v.startColumn>f||v.endColumn<m){v_.query=null,this._stopAll();return}i=this.workerRequest&&this.workerRequest.isValid(this.model,d,this.decorations),v_.query={modelInfo:{model:this.model,selection:d},word:v}}else if(!v_.query){this._stopAll();return}if(this.lastCursorPositionChangeTime=new Date().getTime(),i)this.workerRequestCompleted&&this.renderDecorationsTimer!==-1&&(clearTimeout(this.renderDecorationsTimer),this.renderDecorationsTimer=-1,this._beginRenderDecorations());else if(ire(this.editor.getModel().uri,(s=v_.query.modelInfo)==null?void 0:s.model.uri)){if(!e){const m=this.decorations.getRanges();for(const v of m)if(v.containsPosition(this.editor.getPosition()))return}this._stopAll(e?this.model:void 0);const d=++this.workerRequestTokenId;this.workerRequestCompleted=!1;const f=this.getOtherModelsToHighlight(this.editor.getModel());if(!v_.query||!v_.query.modelInfo||v_.query.modelInfo.model.isDisposed())return;this.workerRequest=this.computeWithModel(v_.query.modelInfo.model,v_.query.modelInfo.selection,v_.query.word,f),(c=this.workerRequest)==null||c.result.then(m=>{d===this.workerRequestTokenId&&(this.workerRequestCompleted=!0,this.workerRequestValue=m||[],this._beginRenderDecorations())},Pa)}}computeWithModel(e,i,n,s){return s.length?RRi(this.multiDocumentProviders,e,i,n,this.editor.getOption(132),s):MRi(this.providers,e,i,n,this.editor.getOption(132))}_beginRenderDecorations(){const e=new Date().getTime(),i=this.lastCursorPositionChangeTime+250;e>=i?(this.renderDecorationsTimer=-1,this.renderDecorations()):this.renderDecorationsTimer=setTimeout(()=>{this.renderDecorations()},i-e)}renderDecorations(){var i,n,s;this.renderDecorationsTimer=-1;const e=this.codeEditorService.listCodeEditors();for(const c of e){const d=RR.get(c);if(!d)continue;const f=[],m=(i=c.getModel())==null?void 0:i.uri;if(m&&this.workerRequestValue.has(m)){const v=v_.storedDecorationIDs.get(m),x=this.workerRequestValue.get(m);if(x)for(const I of x)I.range&&f.push({range:I.range,options:xOi(I.kind)});let w=[];c.changeDecorations(I=>{w=I.deltaDecorations(v??[],f)}),v_.storedDecorationIDs=v_.storedDecorationIDs.set(m,w),f.length>0&&((n=d.wordHighlighter)==null||n.decorations.set(f),(s=d.wordHighlighter)==null||s._hasWordHighlights.set(!0))}}}dispose(){this._stopSingular(),this.toUnhook.dispose()}},v_=_R,_R.storedDecorationIDs=new vg,_R.query=null,_R);yqe=v_=JPt([V1e(4,Bl)],yqe);var gB;let RR=(gB=class extends xi{static get(e){return e.getContribution(gqe.ID)}constructor(e,i,n,s){super(),this._wordHighlighter=null;const c=()=>{e.hasModel()&&!e.getModel().isTooLargeForTokenization()&&(this._wordHighlighter=new yqe(e,n.documentHighlightProvider,n.multiDocumentHighlightProvider,i,s))};this._register(e.onDidChangeModel(d=>{this._wordHighlighter&&(this._wordHighlighter.dispose(),this._wordHighlighter=null),c()})),c()}get wordHighlighter(){return this._wordHighlighter}saveViewState(){return!!(this._wordHighlighter&&this._wordHighlighter.hasDecorations())}moveNext(){var e;(e=this._wordHighlighter)==null||e.moveNext()}moveBack(){var e;(e=this._wordHighlighter)==null||e.moveBack()}restoreViewState(e){this._wordHighlighter&&e&&this._wordHighlighter.restore()}dispose(){this._wordHighlighter&&(this._wordHighlighter.dispose(),this._wordHighlighter=null),super.dispose()}},gqe=gB,gB.ID="editor.contrib.wordHighlighter",gB);RR=gqe=JPt([V1e(1,Ko),V1e(2,Ts),V1e(3,Bl)],RR);class XPt extends hs{constructor(e,i){super(i),this._isNext=e}run(e,i){const n=RR.get(i);n&&(this._isNext?n.moveNext():n.moveBack())}}class FRi extends XPt{constructor(){super(!0,{id:"editor.action.wordHighlight.next",label:W("wordHighlight.next.label","Go to Next Symbol Highlight"),alias:"Go to Next Symbol Highlight",precondition:bKe,kbOpts:{kbExpr:Tt.editorTextFocus,primary:65,weight:100}})}}class BRi extends XPt{constructor(){super(!1,{id:"editor.action.wordHighlight.prev",label:W("wordHighlight.previous.label","Go to Previous Symbol Highlight"),alias:"Go to Previous Symbol Highlight",precondition:bKe,kbOpts:{kbExpr:Tt.editorTextFocus,primary:1089,weight:100}})}}class WRi extends hs{constructor(){super({id:"editor.action.wordHighlight.trigger",label:W("wordHighlight.trigger.label","Trigger Symbol Highlight"),alias:"Trigger Symbol Highlight",precondition:void 0,kbOpts:{kbExpr:Tt.editorTextFocus,primary:0,weight:100}})}run(e,i,n){const s=RR.get(i);s&&s.restoreViewState(!0)}}Cl(RR.ID,RR,0);sr(FRi);sr(BRi);sr(WRi);NJ(mqe);class lxe extends tm{constructor(e){super(e),this._inSelectionMode=e.inSelectionMode,this._wordNavigationType=e.wordNavigationType}runEditorCommand(e,i,n){if(!i.hasModel())return;const s=Sk(i.getOption(132),i.getOption(131)),c=i.getModel(),d=i.getSelections(),f=d.length>1,m=d.map(v=>{const x=new pi(v.positionLineNumber,v.positionColumn),w=this._move(s,c,x,this._wordNavigationType,f);return this._moveTo(v,w,this._inSelectionMode)});if(c.pushStackElement(),i._getViewModel().setCursorStates("moveWordCommand",3,m.map(v=>Rl.fromModelSelection(v))),m.length===1){const v=new pi(m[0].positionLineNumber,m[0].positionColumn);i.revealPosition(v,0)}}_moveTo(e,i,n){return n?new Us(e.selectionStartLineNumber,e.selectionStartColumn,i.lineNumber,i.column):new Us(i.lineNumber,i.column,i.lineNumber,i.column)}}class qR extends lxe{_move(e,i,n,s,c){return hu.moveWordLeft(e,i,n,s,c)}}class $R extends lxe{_move(e,i,n,s,c){return hu.moveWordRight(e,i,n,s)}}class VRi extends qR{constructor(){super({inSelectionMode:!1,wordNavigationType:0,id:"cursorWordStartLeft",precondition:void 0})}}class HRi extends qR{constructor(){super({inSelectionMode:!1,wordNavigationType:2,id:"cursorWordEndLeft",precondition:void 0})}}class jRi extends qR{constructor(){var e;super({inSelectionMode:!1,wordNavigationType:1,id:"cursorWordLeft",precondition:void 0,kbOpts:{kbExpr:kn.and(Tt.textInputFocus,(e=kn.and(Cse,Swe))==null?void 0:e.negate()),primary:2063,mac:{primary:527},weight:100}})}}class zRi extends qR{constructor(){super({inSelectionMode:!0,wordNavigationType:0,id:"cursorWordStartLeftSelect",precondition:void 0})}}class URi extends qR{constructor(){super({inSelectionMode:!0,wordNavigationType:2,id:"cursorWordEndLeftSelect",precondition:void 0})}}class qRi extends qR{constructor(){var e;super({inSelectionMode:!0,wordNavigationType:1,id:"cursorWordLeftSelect",precondition:void 0,kbOpts:{kbExpr:kn.and(Tt.textInputFocus,(e=kn.and(Cse,Swe))==null?void 0:e.negate()),primary:3087,mac:{primary:1551},weight:100}})}}class $Ri extends qR{constructor(){super({inSelectionMode:!1,wordNavigationType:3,id:"cursorWordAccessibilityLeft",precondition:void 0})}_move(e,i,n,s,c){return super._move(Sk(KE.wordSeparators.defaultValue,e.intlSegmenterLocales),i,n,s,c)}}class JRi extends qR{constructor(){super({inSelectionMode:!0,wordNavigationType:3,id:"cursorWordAccessibilityLeftSelect",precondition:void 0})}_move(e,i,n,s,c){return super._move(Sk(KE.wordSeparators.defaultValue,e.intlSegmenterLocales),i,n,s,c)}}class GRi extends $R{constructor(){super({inSelectionMode:!1,wordNavigationType:0,id:"cursorWordStartRight",precondition:void 0})}}class KRi extends $R{constructor(){var e;super({inSelectionMode:!1,wordNavigationType:2,id:"cursorWordEndRight",precondition:void 0,kbOpts:{kbExpr:kn.and(Tt.textInputFocus,(e=kn.and(Cse,Swe))==null?void 0:e.negate()),primary:2065,mac:{primary:529},weight:100}})}}class XRi extends $R{constructor(){super({inSelectionMode:!1,wordNavigationType:2,id:"cursorWordRight",precondition:void 0})}}class QRi extends $R{constructor(){super({inSelectionMode:!0,wordNavigationType:0,id:"cursorWordStartRightSelect",precondition:void 0})}}class ZRi extends $R{constructor(){var e;super({inSelectionMode:!0,wordNavigationType:2,id:"cursorWordEndRightSelect",precondition:void 0,kbOpts:{kbExpr:kn.and(Tt.textInputFocus,(e=kn.and(Cse,Swe))==null?void 0:e.negate()),primary:3089,mac:{primary:1553},weight:100}})}}class YRi extends $R{constructor(){super({inSelectionMode:!0,wordNavigationType:2,id:"cursorWordRightSelect",precondition:void 0})}}class e8i extends $R{constructor(){super({inSelectionMode:!1,wordNavigationType:3,id:"cursorWordAccessibilityRight",precondition:void 0})}_move(e,i,n,s,c){return super._move(Sk(KE.wordSeparators.defaultValue,e.intlSegmenterLocales),i,n,s,c)}}class t8i extends $R{constructor(){super({inSelectionMode:!0,wordNavigationType:3,id:"cursorWordAccessibilityRightSelect",precondition:void 0})}_move(e,i,n,s,c){return super._move(Sk(KE.wordSeparators.defaultValue,e.intlSegmenterLocales),i,n,s,c)}}class uxe extends tm{constructor(e){super(e),this._whitespaceHeuristics=e.whitespaceHeuristics,this._wordNavigationType=e.wordNavigationType}runEditorCommand(e,i,n){const s=e.get(rh);if(!i.hasModel())return;const c=Sk(i.getOption(132),i.getOption(131)),d=i.getModel(),f=i.getSelections(),m=i.getOption(6),v=i.getOption(11),x=s.getLanguageConfiguration(d.getLanguageId()).getAutoClosingPairs(),w=i._getViewModel(),I=f.map(P=>{const O=this._delete({wordSeparators:c,model:d,selection:P,whitespaceHeuristics:this._whitespaceHeuristics,autoClosingDelete:i.getOption(9),autoClosingBrackets:m,autoClosingQuotes:v,autoClosingPairs:x,autoClosedCharacters:w.getCursorAutoClosedCharacters()},this._wordNavigationType);return new T0(O,"")});i.pushUndoStop(),i.executeCommands(this.id,I),i.pushUndoStop()}}class CKe extends uxe{_delete(e,i){const n=hu.deleteWordLeft(e,i);return n||new nt(1,1,1,1)}}class SKe extends uxe{_delete(e,i){const n=hu.deleteWordRight(e,i);if(n)return n;const s=e.model.getLineCount(),c=e.model.getLineMaxColumn(s);return new nt(s,c,s,c)}}class i8i extends CKe{constructor(){super({whitespaceHeuristics:!1,wordNavigationType:0,id:"deleteWordStartLeft",precondition:Tt.writable})}}class n8i extends CKe{constructor(){super({whitespaceHeuristics:!1,wordNavigationType:2,id:"deleteWordEndLeft",precondition:Tt.writable})}}class r8i extends CKe{constructor(){super({whitespaceHeuristics:!0,wordNavigationType:0,id:"deleteWordLeft",precondition:Tt.writable,kbOpts:{kbExpr:Tt.textInputFocus,primary:2049,mac:{primary:513},weight:100}})}}class s8i extends SKe{constructor(){super({whitespaceHeuristics:!1,wordNavigationType:0,id:"deleteWordStartRight",precondition:Tt.writable})}}class o8i extends SKe{constructor(){super({whitespaceHeuristics:!1,wordNavigationType:2,id:"deleteWordEndRight",precondition:Tt.writable})}}class a8i extends SKe{constructor(){super({whitespaceHeuristics:!0,wordNavigationType:2,id:"deleteWordRight",precondition:Tt.writable,kbOpts:{kbExpr:Tt.textInputFocus,primary:2068,mac:{primary:532},weight:100}})}}class c8i extends hs{constructor(){super({id:"deleteInsideWord",precondition:Tt.writable,label:W("deleteInsideWord","Delete Word"),alias:"Delete Word"})}run(e,i,n){if(!i.hasModel())return;const s=Sk(i.getOption(132),i.getOption(131)),c=i.getModel(),f=i.getSelections().map(m=>{const v=hu.deleteInsideWord(s,c,m);return new T0(v,"")});i.pushUndoStop(),i.executeCommands(this.id,f),i.pushUndoStop()}}$r(new VRi);$r(new HRi);$r(new jRi);$r(new zRi);$r(new URi);$r(new qRi);$r(new GRi);$r(new KRi);$r(new XRi);$r(new QRi);$r(new ZRi);$r(new YRi);$r(new $Ri);$r(new JRi);$r(new e8i);$r(new t8i);$r(new i8i);$r(new n8i);$r(new r8i);$r(new s8i);$r(new o8i);$r(new a8i);sr(c8i);class l8i extends uxe{constructor(){super({whitespaceHeuristics:!0,wordNavigationType:0,id:"deleteWordPartLeft",precondition:Tt.writable,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:769},weight:100}})}_delete(e,i){const n=Awe.deleteWordPartLeft(e);return n||new nt(1,1,1,1)}}class u8i extends uxe{constructor(){super({whitespaceHeuristics:!0,wordNavigationType:2,id:"deleteWordPartRight",precondition:Tt.writable,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:788},weight:100}})}_delete(e,i){const n=Awe.deleteWordPartRight(e);if(n)return n;const s=e.model.getLineCount(),c=e.model.getLineMaxColumn(s);return new nt(s,c,s,c)}}class QPt extends lxe{_move(e,i,n,s,c){return Awe.moveWordPartLeft(e,i,n,c)}}class d8i extends QPt{constructor(){super({inSelectionMode:!1,wordNavigationType:0,id:"cursorWordPartLeft",precondition:void 0,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:783},weight:100}})}}fl.registerCommandAlias("cursorWordPartStartLeft","cursorWordPartLeft");class f8i extends QPt{constructor(){super({inSelectionMode:!0,wordNavigationType:0,id:"cursorWordPartLeftSelect",precondition:void 0,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:1807},weight:100}})}}fl.registerCommandAlias("cursorWordPartStartLeftSelect","cursorWordPartLeftSelect");class ZPt extends lxe{_move(e,i,n,s,c){return Awe.moveWordPartRight(e,i,n)}}class h8i extends ZPt{constructor(){super({inSelectionMode:!1,wordNavigationType:2,id:"cursorWordPartRight",precondition:void 0,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:785},weight:100}})}}class _8i extends ZPt{constructor(){super({inSelectionMode:!0,wordNavigationType:2,id:"cursorWordPartRightSelect",precondition:void 0,kbOpts:{kbExpr:Tt.textInputFocus,primary:0,mac:{primary:1809},weight:100}})}}$r(new l8i);$r(new u8i);$r(new d8i);$r(new f8i);$r(new h8i);$r(new _8i);const NKe=class NKe extends xi{constructor(e){super(),this.editor=e,this._register(this.editor.onDidAttemptReadOnlyEdit(()=>this._onDidAttemptReadOnlyEdit()))}_onDidAttemptReadOnlyEdit(){const e=GC.get(this.editor);if(e&&this.editor.hasModel()){let i=this.editor.getOptions().get(93);i||(this.editor.isSimpleWidget?i=new m1(W("editor.simple.readonly","Cannot edit in read-only input")):i=new m1(W("editor.readonly","Cannot edit in read-only editor"))),e.showMessage(i,this.editor.getPosition())}}};NKe.ID="editor.contrib.readOnlyMessageController";let Vbe=NKe;Cl(Vbe.ID,Vbe,2);var p8i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},ikt=function(l,e){return function(i,n){e(i,n,l)}};let vqe=class extends xi{constructor(e,i,n){super(),this._textModel=e,this._languageFeaturesService=i,this._outlineModelService=n,this._currentModel=bc(this,void 0);const s=k0("documentSymbolProvider.onDidChange",this._languageFeaturesService.documentSymbolProvider.onDidChange),c=k0("_textModel.onDidChangeContent",Tr.debounce(d=>this._textModel.onDidChangeContent(d),()=>{},100));this._register(Ib(async(d,f)=>{s.read(d),c.read(d);const m=f.add(new hTi),v=await this._outlineModelService.getOrCreate(this._textModel,m.token);f.isDisposed||this._currentModel.set(v,void 0)}))}getBreadcrumbItems(e,i){const n=this._currentModel.read(i);if(!n)return[];const s=n.asListOfDocumentSymbols().filter(c=>e.contains(c.range.startLineNumber)&&!e.contains(c.range.endLineNumber));return s.sort(bkt(yv(c=>c.range.endLineNumber-c.range.startLineNumber,mT))),s.map(c=>({name:c.name,kind:c.kind,startLineNumber:c.range.startLineNumber}))}};vqe=p8i([ikt(1,Ts),ikt(2,Jse)],vqe);Vve.setBreadcrumbsSourceFactory((l,e)=>e.createInstance(vqe,l));const LKe=class LKe extends xi{constructor(e){super(),this.editor=e,this.widget=null,VE&&(this._register(e.onDidChangeConfiguration(()=>this.update())),this.update())}update(){const e=!this.editor.getOption(92);!this.widget&&e?this.widget=new bqe(this.editor):this.widget&&!e&&(this.widget.dispose(),this.widget=null)}dispose(){super.dispose(),this.widget&&(this.widget.dispose(),this.widget=null)}};LKe.ID="editor.contrib.iPadShowKeyboard";let Hbe=LKe;const bSe=class bSe extends xi{constructor(e){super(),this.editor=e,this._domNode=document.createElement("textarea"),this._domNode.className="iPadShowKeyboard",this._register(en(this._domNode,"touchstart",i=>{this.editor.focus()})),this._register(en(this._domNode,"focus",i=>{this.editor.focus()})),this.editor.addOverlayWidget(this)}dispose(){this.editor.removeOverlayWidget(this),super.dispose()}getId(){return bSe.ID}getDomNode(){return this._domNode}getPosition(){return{preference:1}}};bSe.ID="editor.contrib.ShowKeyboardWidget";let bqe=bSe;Cl(Hbe.ID,Hbe,3);var m8i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},nkt=function(l,e){return function(i,n){e(i,n,l)}},Cqe,yB;let sse=(yB=class extends xi{static get(e){return e.getContribution(Cqe.ID)}constructor(e,i,n){super(),this._editor=e,this._languageService=n,this._widget=null,this._register(this._editor.onDidChangeModel(s=>this.stop())),this._register(this._editor.onDidChangeModelLanguage(s=>this.stop())),this._register(Oh.onDidChange(s=>this.stop())),this._register(this._editor.onKeyUp(s=>s.keyCode===9&&this.stop()))}dispose(){this.stop(),super.dispose()}launch(){this._widget||this._editor.hasModel()&&(this._widget=new Sqe(this._editor,this._languageService))}stop(){this._widget&&(this._widget.dispose(),this._widget=null)}},Cqe=yB,yB.ID="editor.contrib.inspectTokens",yB);sse=Cqe=m8i([nkt(1,Mw),nkt(2,Pf)],sse);class g8i extends hs{constructor(){super({id:"editor.action.inspectTokens",label:IVe.inspectTokensAction,alias:"Developer: Inspect Tokens",precondition:void 0})}run(e,i){const n=sse.get(i);n==null||n.launch()}}function y8i(l){let e="";for(let i=0,n=l.length;i<n;i++){const s=l.charCodeAt(i);switch(s){case 9:e+="→";break;case 32:e+="·";break;default:e+=String.fromCharCode(s)}}return e}function v8i(l,e){const i=Oh.get(e);if(i)return i;const n=l.encodeLanguageId(e);return{getInitialState:()=>R$,tokenize:(s,c,d)=>Z$e(e,d),tokenizeEncoded:(s,c,d)=>fwe(n,d)}}const CSe=class CSe extends xi{constructor(e,i){super(),this.allowEditorOverflow=!0,this._editor=e,this._languageService=i,this._model=this._editor.getModel(),this._domNode=document.createElement("div"),this._domNode.className="tokens-inspect-widget",this._tokenizationSupport=v8i(this._languageService.languageIdCodec,this._model.getLanguageId()),this._compute(this._editor.getPosition()),this._register(this._editor.onDidChangeCursorPosition(n=>this._compute(this._editor.getPosition()))),this._editor.addContentWidget(this)}dispose(){this._editor.removeContentWidget(this),super.dispose()}getId(){return CSe._ID}_compute(e){const i=this._getTokensAtLine(e.lineNumber);let n=0;for(let m=i.tokens1.length-1;m>=0;m--){const v=i.tokens1[m];if(e.column-1>=v.offset){n=m;break}}let s=0;for(let m=i.tokens2.length>>>1;m>=0;m--)if(e.column-1>=i.tokens2[m<<1]){s=m;break}const c=this._model.getLineContent(e.lineNumber);let d="";if(n<i.tokens1.length){const m=i.tokens1[n].offset,v=n+1<i.tokens1.length?i.tokens1[n+1].offset:c.length;d=c.substring(m,v)}o0(this._domNode,Cr("h2.tm-token",void 0,y8i(d),Cr("span.tm-token-length",void 0,`${d.length} ${d.length===1?"char":"chars"}`))),jn(this._domNode,Cr("hr.tokens-inspect-separator",{style:"clear:both"}));const f=(s<<1)+1<i.tokens2.length?this._decodeMetadata(i.tokens2[(s<<1)+1]):null;jn(this._domNode,Cr("table.tm-metadata-table",void 0,Cr("tbody",void 0,Cr("tr",void 0,Cr("td.tm-metadata-key",void 0,"language"),Cr("td.tm-metadata-value",void 0,`${f?f.languageId:"-?-"}`)),Cr("tr",void 0,Cr("td.tm-metadata-key",void 0,"token type"),Cr("td.tm-metadata-value",void 0,`${f?this._tokenTypeToString(f.tokenType):"-?-"}`)),Cr("tr",void 0,Cr("td.tm-metadata-key",void 0,"font style"),Cr("td.tm-metadata-value",void 0,`${f?this._fontStyleToString(f.fontStyle):"-?-"}`)),Cr("tr",void 0,Cr("td.tm-metadata-key",void 0,"foreground"),Cr("td.tm-metadata-value",void 0,`${f?In.Format.CSS.formatHex(f.foreground):"-?-"}`)),Cr("tr",void 0,Cr("td.tm-metadata-key",void 0,"background"),Cr("td.tm-metadata-value",void 0,`${f?In.Format.CSS.formatHex(f.background):"-?-"}`))))),jn(this._domNode,Cr("hr.tokens-inspect-separator")),n<i.tokens1.length&&jn(this._domNode,Cr("span.tm-token-type",void 0,i.tokens1[n].type)),this._editor.layoutContentWidget(this)}_decodeMetadata(e){const i=Oh.getColorMap(),n=kb.getLanguageId(e),s=kb.getTokenType(e),c=kb.getFontStyle(e),d=kb.getForeground(e),f=kb.getBackground(e);return{languageId:this._languageService.languageIdCodec.decodeLanguageId(n),tokenType:s,fontStyle:c,foreground:i[d],background:i[f]}}_tokenTypeToString(e){switch(e){case 0:return"Other";case 1:return"Comment";case 2:return"String";case 3:return"RegEx";default:return"??"}}_fontStyleToString(e){let i="";return e&1&&(i+="italic "),e&2&&(i+="bold "),e&4&&(i+="underline "),e&8&&(i+="strikethrough "),i.length===0&&(i="---"),i}_getTokensAtLine(e){const i=this._getStateBeforeLine(e),n=this._tokenizationSupport.tokenize(this._model.getLineContent(e),!0,i),s=this._tokenizationSupport.tokenizeEncoded(this._model.getLineContent(e),!0,i);return{startState:i,tokens1:n.tokens,tokens2:s.tokens,endState:n.endState}}_getStateBeforeLine(e){let i=this._tokenizationSupport.getInitialState();for(let n=1;n<e;n++)i=this._tokenizationSupport.tokenize(this._model.getLineContent(n),!0,i).endState;return i}getDomNode(){return this._domNode}getPosition(){return{position:this._editor.getPosition(),preference:[2,1]}}};CSe._ID="editor.contrib.inspectTokensWidget";let Sqe=CSe;Cl(sse.ID,sse,4);sr(g8i);var b8i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},rkt=function(l,e){return function(i,n){e(i,n,l)}},Cie,vB;let wqe=(vB=class{constructor(e,i){this.quickInputService=e,this.keybindingService=i,this.registry=nh.as(nW.Quickaccess)}provide(e){const i=new wn;return i.add(e.onDidAccept(()=>{const[n]=e.selectedItems;n&&this.quickInputService.quickAccess.show(n.prefix,{preserveValue:!0})})),i.add(e.onDidChangeValue(n=>{const s=this.registry.getQuickAccessProvider(n.substr(Cie.PREFIX.length));s&&s.prefix&&s.prefix!==Cie.PREFIX&&this.quickInputService.quickAccess.show(s.prefix,{preserveValue:!0})})),e.items=this.getQuickAccessProviders().filter(n=>n.prefix!==Cie.PREFIX),i}getQuickAccessProviders(){return this.registry.getQuickAccessProviders().sort((i,n)=>i.prefix.localeCompare(n.prefix)).flatMap(i=>this.createPicks(i))}createPicks(e){return e.helpEntries.map(i=>{const n=i.prefix||e.prefix,s=n||"…";return{prefix:n,label:s,keybinding:i.commandId?this.keybindingService.lookupKeybinding(i.commandId):void 0,ariaLabel:W("helpPickAriaLabel","{0}, {1}",s,i.description),description:i.description}})}},Cie=vB,vB.PREFIX="?",vB);wqe=Cie=b8i([rkt(0,Ek),rkt(1,pu)],wqe);nh.as(nW.Quickaccess).registerQuickAccessProvider({ctor:wqe,prefix:"",helpEntries:[{description:NVe.helpQuickAccessActionLabel}]});class YPt{constructor(e){this.options=e,this.rangeHighlightDecorationId=void 0}provide(e,i,n){var d;const s=new wn;e.canAcceptInBackground=!!((d=this.options)!=null&&d.canAcceptInBackground),e.matchOnLabel=e.matchOnDescription=e.matchOnDetail=e.sortByLabel=!1;const c=s.add(new Fm);return c.value=this.doProvide(e,i,n),s.add(this.onDidActiveTextEditorControlChange(()=>{c.value=void 0,c.value=this.doProvide(e,i)})),s}doProvide(e,i,n){const s=new wn,c=this.activeTextEditorControl;if(c&&this.canProvideWithTextEditor(c)){const d={editor:c},f=XIt(c);if(f){let m=c.saveViewState()??void 0;s.add(f.onDidChangeCursorPosition(()=>{m=c.saveViewState()??void 0})),d.restoreViewState=()=>{m&&c===this.activeTextEditorControl&&c.restoreViewState(m)},s.add(gR(i.onCancellationRequested)(()=>{var v;return(v=d.restoreViewState)==null?void 0:v.call(d)}))}s.add(fo(()=>this.clearDecorations(c))),s.add(this.provideWithTextEditor(d,e,i,n))}else s.add(this.provideWithoutTextEditor(e,i));return s}canProvideWithTextEditor(e){return!0}gotoLocation({editor:e},i){e.setSelection(i.range,"code.jump"),e.revealRangeInCenter(i.range,0),i.preserveFocus||e.focus();const n=e.getModel();n&&"getLineContent"in n&&PL(`${n.getLineContent(i.range.startLineNumber)}`)}getModel(e){var i;return TGe(e)?(i=e.getModel())==null?void 0:i.modified:e.getModel()}addDecorations(e,i){e.changeDecorations(n=>{const s=[];this.rangeHighlightDecorationId&&(s.push(this.rangeHighlightDecorationId.overviewRulerDecorationId),s.push(this.rangeHighlightDecorationId.rangeHighlightId),this.rangeHighlightDecorationId=void 0);const c=[{range:i,options:{description:"quick-access-range-highlight",className:"rangeHighlight",isWholeLine:!0}},{range:i,options:{description:"quick-access-range-highlight-overview",overviewRuler:{color:Mh(HDt),position:ww.Full}}}],[d,f]=n.deltaDecorations(s,c);this.rangeHighlightDecorationId={rangeHighlightId:d,overviewRulerDecorationId:f}})}clearDecorations(e){const i=this.rangeHighlightDecorationId;i&&(e.changeDecorations(n=>{n.deltaDecorations([i.overviewRulerDecorationId,i.rangeHighlightId],[])}),this.rangeHighlightDecorationId=void 0)}}const SSe=class SSe extends YPt{constructor(){super({canAcceptInBackground:!0})}provideWithoutTextEditor(e){const i=W("cannotRunGotoLine","Open a text editor first to go to a line.");return e.items=[{label:i}],e.ariaLabel=i,xi.None}provideWithTextEditor(e,i,n){const s=e.editor,c=new wn;c.add(i.onDidAccept(m=>{const[v]=i.selectedItems;if(v){if(!this.isValidLineNumber(s,v.lineNumber))return;this.gotoLocation(e,{range:this.toRange(v.lineNumber,v.column),keyMods:i.keyMods,preserveFocus:m.inBackground}),m.inBackground||i.hide()}}));const d=()=>{const m=this.parsePosition(s,i.value.trim().substr(SSe.PREFIX.length)),v=this.getPickLabel(s,m.lineNumber,m.column);if(i.items=[{lineNumber:m.lineNumber,column:m.column,label:v}],i.ariaLabel=v,!this.isValidLineNumber(s,m.lineNumber)){this.clearDecorations(s);return}const x=this.toRange(m.lineNumber,m.column);s.revealRangeInCenter(x,0),this.addDecorations(s,x)};d(),c.add(i.onDidChangeValue(()=>d()));const f=XIt(s);return f&&f.getOptions().get(68).renderType===2&&(f.updateOptions({lineNumbers:"on"}),c.add(fo(()=>f.updateOptions({lineNumbers:"relative"})))),c}toRange(e=1,i=1){return{startLineNumber:e,startColumn:i,endLineNumber:e,endColumn:i}}parsePosition(e,i){const n=i.split(/,|:|#/).map(c=>parseInt(c,10)).filter(c=>!isNaN(c)),s=this.lineCount(e)+1;return{lineNumber:n[0]>0?n[0]:s+n[0],column:n[1]}}getPickLabel(e,i,n){if(this.isValidLineNumber(e,i))return this.isValidColumn(e,i,n)?W("gotoLineColumnLabel","Go to line {0} and character {1}.",i,n):W("gotoLineLabel","Go to line {0}.",i);const s=e.getPosition()||{lineNumber:1,column:1},c=this.lineCount(e);return c>1?W("gotoLineLabelEmptyWithLimit","Current Line: {0}, Character: {1}. Type a line number between 1 and {2} to navigate to.",s.lineNumber,s.column,c):W("gotoLineLabelEmpty","Current Line: {0}, Character: {1}. Type a line number to navigate to.",s.lineNumber,s.column)}isValidLineNumber(e,i){return!i||typeof i!="number"?!1:i>0&&i<=this.lineCount(e)}isValidColumn(e,i,n){if(!n||typeof n!="number")return!1;const s=this.getModel(e);if(!s)return!1;const c={lineNumber:i,column:n};return s.validatePosition(c).equals(c)}lineCount(e){var i;return((i=this.getModel(e))==null?void 0:i.getLineCount())??0}};SSe.PREFIX=":";let xqe=SSe;var C8i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},S8i=function(l,e){return function(i,n){e(i,n,l)}};let ose=class extends xqe{constructor(e){super(),this.editorService=e,this.onDidActiveTextEditorControlChange=Tr.None}get activeTextEditorControl(){return this.editorService.getFocusedCodeEditor()??void 0}};ose=C8i([S8i(0,Bl)],ose);var bB;let eAt=(bB=class extends hs{constructor(){super({id:bB.ID,label:Mye.gotoLineActionLabel,alias:"Go to Line/Column...",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:2085,mac:{primary:293},weight:100}})}run(e){e.get(Ek).quickAccess.show(ose.PREFIX)}},bB.ID="editor.action.gotoLine",bB);sr(eAt);nh.as(nW.Quickaccess).registerQuickAccessProvider({ctor:ose,prefix:ose.PREFIX,helpEntries:[{description:Mye.gotoLineActionLabel,commandId:eAt.ID}]});const tAt=[void 0,[]];function Y9e(l,e,i=0,n=0){const s=e;return s.values&&s.values.length>1?w8i(l,s.values,i,n):iAt(l,e,i,n)}function w8i(l,e,i,n){let s=0;const c=[];for(const d of e){const[f,m]=iAt(l,d,i,n);if(typeof f!="number")return tAt;s+=f,c.push(...m)}return[s,x8i(c)]}function iAt(l,e,i,n){const s=NB(e.original,e.originalLowercase,i,l,l.toLowerCase(),n,{firstMatchCanBeWeak:!0,boostFullMatch:!0});return s?[s[0],bse(s)]:tAt}function x8i(l){const e=l.sort((s,c)=>s.start-c.start),i=[];let n;for(const s of e)!n||!k8i(n,s)?(n=s,i.push(s)):(n.start=Math.min(n.start,s.start),n.end=Math.max(n.end,s.end));return i}function k8i(l,e){return!(l.end<e.start||e.end<l.start)}function skt(l){return l.startsWith('"')&&l.endsWith('"')}const nAt=" ";function kqe(l){typeof l!="string"&&(l="");const e=l.toLowerCase(),{pathNormalized:i,normalized:n,normalizedLowercase:s}=okt(l),c=i.indexOf(AE)>=0,d=skt(l);let f;const m=l.split(nAt);if(m.length>1)for(const v of m){const x=skt(v),{pathNormalized:w,normalized:I,normalizedLowercase:P}=okt(v);I&&(f||(f=[]),f.push({original:v,originalLowercase:v.toLowerCase(),pathNormalized:w,normalized:I,normalizedLowercase:P,expectContiguousMatch:x}))}return{original:l,originalLowercase:e,pathNormalized:i,normalized:n,normalizedLowercase:s,values:f,containsPathSeparator:c,expectContiguousMatch:d}}function okt(l){let e;I0?e=l.replace(/\//g,AE):e=l.replace(/\\/g,AE);const i=Jli(e).replace(/\s|"/g,"");return{pathNormalized:e,normalized:i,normalizedLowercase:i.toLowerCase()}}function akt(l){return Array.isArray(l)?kqe(l.map(e=>e.original).join(nAt)):kqe(l.original)}var T8i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},ckt=function(l,e){return function(i,n){e(i,n,l)}},H1e,DE;let x9=(DE=class extends YPt{constructor(e,i,n=Object.create(null)){super(n),this._languageFeaturesService=e,this._outlineModelService=i,this.options=n,this.options.canAcceptInBackground=!0}provideWithoutTextEditor(e){return this.provideLabelPick(e,W("cannotRunGotoSymbolWithoutEditor","To go to a symbol, first open a text editor with symbol information.")),xi.None}provideWithTextEditor(e,i,n,s){const c=e.editor,d=this.getModel(c);return d?this._languageFeaturesService.documentSymbolProvider.has(d)?this.doProvideWithEditorSymbols(e,d,i,n,s):this.doProvideWithoutEditorSymbols(e,d,i,n):xi.None}doProvideWithoutEditorSymbols(e,i,n,s){const c=new wn;return this.provideLabelPick(n,W("cannotRunGotoSymbolWithoutSymbolProvider","The active text editor does not provide symbol information.")),(async()=>!await this.waitForLanguageSymbolRegistry(i,c)||s.isCancellationRequested||c.add(this.doProvideWithEditorSymbols(e,i,n,s)))(),c}provideLabelPick(e,i){e.items=[{label:i,index:0,kind:14}],e.ariaLabel=i}async waitForLanguageSymbolRegistry(e,i){if(this._languageFeaturesService.documentSymbolProvider.has(e))return!0;const n=new hJ,s=i.add(this._languageFeaturesService.documentSymbolProvider.onDidChange(()=>{this._languageFeaturesService.documentSymbolProvider.has(e)&&(s.dispose(),n.complete(!0))}));return i.add(fo(()=>n.complete(!1))),n.p}doProvideWithEditorSymbols(e,i,n,s,c){var w;const d=e.editor,f=new wn;f.add(n.onDidAccept(I=>{var O;const[P]=n.selectedItems;P&&P.range&&(this.gotoLocation(e,{range:P.range.selection,keyMods:n.keyMods,preserveFocus:I.inBackground}),(O=c==null?void 0:c.handleAccept)==null||O.call(c,P),I.inBackground||n.hide())})),f.add(n.onDidTriggerItemButton(({item:I})=>{I&&I.range&&(this.gotoLocation(e,{range:I.range.selection,keyMods:n.keyMods,forceSideBySide:!0}),n.hide())}));const m=this.getDocumentSymbols(i,s);let v;const x=async I=>{v==null||v.dispose(!0),n.busy=!1,v=new ih(s),n.busy=!0;try{const P=kqe(n.value.substr(H1e.PREFIX.length).trim()),O=await this.doGetSymbolPicks(m,P,void 0,v.token,i);if(s.isCancellationRequested)return;if(O.length>0){if(n.items=O,I&&P.original.length===0){const z=jne(O,J=>!!(J.type!=="separator"&&J.range&&nt.containsPosition(J.range.decoration,I)));z&&(n.activeItems=[z])}}else P.original.length>0?this.provideLabelPick(n,W("noMatchingSymbolResults","No matching editor symbols")):this.provideLabelPick(n,W("noSymbolResults","No editor symbols"))}finally{s.isCancellationRequested||(n.busy=!1)}};return f.add(n.onDidChangeValue(()=>x(void 0))),x((w=d.getSelection())==null?void 0:w.getPosition()),f.add(n.onDidChangeActive(()=>{const[I]=n.activeItems;I&&I.range&&(d.revealRangeInCenter(I.range.selection,0),this.addDecorations(d,I.range.decoration))})),f}async doGetSymbolPicks(e,i,n,s,c){var J,Y;const d=await e;if(s.isCancellationRequested)return[];const f=i.original.indexOf(H1e.SCOPE_PREFIX)===0,m=f?1:0;let v,x;i.values&&i.values.length>1?(v=akt(i.values[0]),x=akt(i.values.slice(1))):v=i;let w;const I=(Y=(J=this.options)==null?void 0:J.openSideBySideDirection)==null?void 0:Y.call(J);I&&(w=[{iconClass:I==="right"?zo.asClassName(pr.splitHorizontal):zo.asClassName(pr.splitVertical),tooltip:I==="right"?W("openToSide","Open to the Side"):W("openToBottom","Open to the Bottom")}]);const P=[];for(let ae=0;ae<d.length;ae++){const me=d[ae],ye=qli(me.name),Ce=`$(${Y1e.toIcon(me.kind).id}) ${ye}`,Fe=Ce.length-ye.length;let rt=me.containerName;n!=null&&n.extraContainerLabel&&(rt?rt=`${n.extraContainerLabel} • ${rt}`:rt=n.extraContainerLabel);let ct,Mt,Yt,Bi;if(i.original.length>m){let $t=!1;if(v!==i&&([ct,Mt]=Y9e(Ce,{...i,values:void 0},m,Fe),typeof ct=="number"&&($t=!0)),typeof ct!="number"&&([ct,Mt]=Y9e(Ce,v,m,Fe),typeof ct!="number"))continue;if(!$t&&x){if(rt&&x.original.length>0&&([Yt,Bi]=Y9e(rt,x)),typeof Yt!="number")continue;typeof ct=="number"&&(ct+=Yt)}}const $i=me.tags&&me.tags.indexOf(1)>=0;P.push({index:ae,kind:me.kind,score:ct,label:Ce,ariaLabel:Bli(me.name,me.kind),description:rt,highlights:$i?void 0:{label:Mt,description:Bi},range:{selection:nt.collapseToStart(me.selectionRange),decoration:me.range},uri:c.uri,symbolName:ye,strikethrough:$i,buttons:w})}const O=P.sort((ae,me)=>f?this.compareByKindAndScore(ae,me):this.compareByScore(ae,me));let z=[];if(f){let Ce=function(){me&&typeof ae=="number"&&ye>0&&(me.label=SB(tBe[ae]||eBe,ye))},ae,me,ye=0;for(const Fe of O)ae!==Fe.kind?(Ce(),ae=Fe.kind,ye=1,me={type:"separator"},z.push(me)):ye++,z.push(Fe);Ce()}else O.length>0&&(z=[{label:W("symbols","symbols ({0})",P.length),type:"separator"},...O]);return z}compareByScore(e,i){if(typeof e.score!="number"&&typeof i.score=="number")return 1;if(typeof e.score=="number"&&typeof i.score!="number")return-1;if(typeof e.score=="number"&&typeof i.score=="number"){if(e.score>i.score)return-1;if(e.score<i.score)return 1}return e.index<i.index?-1:e.index>i.index?1:0}compareByKindAndScore(e,i){const n=tBe[e.kind]||eBe,s=tBe[i.kind]||eBe,c=n.localeCompare(s);return c===0?this.compareByScore(e,i):c}async getDocumentSymbols(e,i){const n=await this._outlineModelService.getOrCreate(e,i);return i.isCancellationRequested?[]:n.asListOfDocumentSymbols()}},H1e=DE,DE.PREFIX="@",DE.SCOPE_PREFIX=":",DE.PREFIX_BY_CATEGORY=`${DE.PREFIX}${DE.SCOPE_PREFIX}`,DE);x9=H1e=T8i([ckt(0,Ts),ckt(1,Jse)],x9);const eBe=W("property","properties ({0})"),tBe={5:W("method","methods ({0})"),11:W("function","functions ({0})"),8:W("_constructor","constructors ({0})"),12:W("variable","variables ({0})"),4:W("class","classes ({0})"),22:W("struct","structs ({0})"),23:W("event","events ({0})"),24:W("operator","operators ({0})"),10:W("interface","interfaces ({0})"),2:W("namespace","namespaces ({0})"),3:W("package","packages ({0})"),25:W("typeParameter","type parameters ({0})"),1:W("modules","modules ({0})"),6:W("property","properties ({0})"),9:W("enum","enumerations ({0})"),21:W("enumMember","enumeration members ({0})"),14:W("string","strings ({0})"),0:W("file","files ({0})"),17:W("array","arrays ({0})"),15:W("number","numbers ({0})"),16:W("boolean","booleans ({0})"),18:W("object","objects ({0})"),19:W("key","keys ({0})"),7:W("field","fields ({0})"),13:W("constant","constants ({0})")};var D8i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},iBe=function(l,e){return function(i,n){e(i,n,l)}};let Tqe=class extends x9{constructor(e,i,n){super(i,n),this.editorService=e,this.onDidActiveTextEditorControlChange=Tr.None}get activeTextEditorControl(){return this.editorService.getFocusedCodeEditor()??void 0}};Tqe=D8i([iBe(0,Bl),iBe(1,Ts),iBe(2,Jse)],Tqe);const wSe=class wSe extends hs{constructor(){super({id:wSe.ID,label:rre.quickOutlineActionLabel,alias:"Go to Symbol...",precondition:Tt.hasDocumentSymbolProvider,kbOpts:{kbExpr:Tt.focus,primary:3117,weight:100},contextMenuOpts:{group:"navigation",order:3}})}run(e){e.get(Ek).quickAccess.show(x9.PREFIX,{itemActivation:vE.NONE})}};wSe.ID="editor.action.quickOutline";let jbe=wSe;sr(jbe);nh.as(nW.Quickaccess).registerQuickAccessProvider({ctor:Tqe,prefix:x9.PREFIX,helpEntries:[{description:rre.quickOutlineActionLabel,prefix:x9.PREFIX,commandId:jbe.ID},{description:rre.quickOutlineByCategoryActionLabel,prefix:x9.PREFIX_BY_CATEGORY}]});function E8i(l){const e=new Map;for(const i of l)e.set(i,(e.get(i)??0)+1);return e}class ane{constructor(){this.chunkCount=0,this.chunkOccurrences=new Map,this.documents=new Map}calculateScores(e,i){const n=this.computeEmbedding(e),s=new Map,c=[];for(const[d,f]of this.documents){if(i.isCancellationRequested)return[];for(const m of f.chunks){const v=this.computeSimilarityScore(m,n,s);v>0&&c.push({key:d,score:v})}}return c}static termFrequencies(e){return E8i(ane.splitTerms(e))}static*splitTerms(e){const i=n=>n.toLowerCase();for(const[n]of e.matchAll(new RegExp("\\b\\p{Letter}[\\p{Letter}\\d]{2,}\\b","gu"))){yield i(n);const s=n.replace(/([a-z])([A-Z])/g,"$1 $2").split(/\s+/g);if(s.length>1)for(const c of s)c.length>2&&new RegExp("\\p{Letter}{3,}","gu").test(c)&&(yield i(c))}}updateDocuments(e){for(const{key:i}of e)this.deleteDocument(i);for(const i of e){const n=[];for(const s of i.textChunks){const c=ane.termFrequencies(s);for(const d of c.keys())this.chunkOccurrences.set(d,(this.chunkOccurrences.get(d)??0)+1);n.push({text:s,tf:c})}this.chunkCount+=n.length,this.documents.set(i.key,{chunks:n})}return this}deleteDocument(e){const i=this.documents.get(e);if(i){this.documents.delete(e),this.chunkCount-=i.chunks.length;for(const n of i.chunks)for(const s of n.tf.keys()){const c=this.chunkOccurrences.get(s);if(typeof c=="number"){const d=c-1;d<=0?this.chunkOccurrences.delete(s):this.chunkOccurrences.set(s,d)}}}}computeSimilarityScore(e,i,n){let s=0;for(const[c,d]of Object.entries(i)){const f=e.tf.get(c);if(!f)continue;let m=n.get(c);typeof m!="number"&&(m=this.computeIdf(c),n.set(c,m));const v=f*m;s+=v*d}return s}computeEmbedding(e){const i=ane.termFrequencies(e);return this.computeTfidf(i)}computeIdf(e){const i=this.chunkOccurrences.get(e)??0;return i>0?Math.log((this.chunkCount+1)/i):0}computeTfidf(e){const i=Object.create(null);for(const[n,s]of e){const c=this.computeIdf(n);c>0&&(i[n]=s*c)}return i}}function I8i(l){var n;const e=l.slice(0);e.sort((s,c)=>c.score-s.score);const i=((n=e[0])==null?void 0:n.score)??0;if(i>0)for(const s of e)s.score/=i;return e}var Sq;(function(l){l[l.NO_ACTION=0]="NO_ACTION",l[l.CLOSE_PICKER=1]="CLOSE_PICKER",l[l.REFRESH_PICKER=2]="REFRESH_PICKER",l[l.REMOVE_ITEM=3]="REMOVE_ITEM"})(Sq||(Sq={}));function nBe(l){const e=l;return Array.isArray(e.items)}function lkt(l){const e=l;return!!e.picks&&e.additionalPicks instanceof Promise}class N8i extends xi{constructor(e,i){super(),this.prefix=e,this.options=i}provide(e,i,n){var v;const s=new wn;e.canAcceptInBackground=!!((v=this.options)!=null&&v.canAcceptInBackground),e.matchOnLabel=e.matchOnDescription=e.matchOnDetail=e.sortByLabel=!1;let c;const d=s.add(new Fm),f=async()=>{var J;const x=d.value=new wn;c==null||c.dispose(!0),e.busy=!1,c=new ih(i);const w=c.token;let I=e.value.substring(this.prefix.length);(J=this.options)!=null&&J.shouldSkipTrimPickFilter||(I=I.trim());const P=this._getPicks(I,x,w,n),O=(Y,ae)=>{var Ce;let me,ye;if(nBe(Y)?(me=Y.items,ye=Y.active):me=Y,me.length===0){if(ae)return!1;(I.length>0||e.hideInput)&&((Ce=this.options)!=null&&Ce.noResultsPick)&&(Ine(this.options.noResultsPick)?me=[this.options.noResultsPick(I)]:me=[this.options.noResultsPick])}return e.items=me,ye&&(e.activeItems=[ye]),!0},z=async Y=>{let ae=!1,me=!1;await Promise.all([(async()=>{typeof Y.mergeDelay=="number"&&(await c6(Y.mergeDelay),w.isCancellationRequested)||me||(ae=O(Y.picks,!0))})(),(async()=>{e.busy=!0;try{const ye=await Y.additionalPicks;if(w.isCancellationRequested)return;let Ce,Fe;nBe(Y.picks)?(Ce=Y.picks.items,Fe=Y.picks.active):Ce=Y.picks;let rt,ct;if(nBe(ye)?(rt=ye.items,ct=ye.active):rt=ye,rt.length>0||!ae){let Mt;if(!Fe&&!ct){const Yt=e.activeItems[0];Yt&&Ce.indexOf(Yt)!==-1&&(Mt=Yt)}O({items:[...Ce,...rt],active:Fe||ct||Mt})}}finally{w.isCancellationRequested||(e.busy=!1),me=!0}})()])};if(P!==null)if(lkt(P))await z(P);else if(!(P instanceof Promise))O(P);else{e.busy=!0;try{const Y=await P;if(w.isCancellationRequested)return;lkt(Y)?await z(Y):O(Y)}finally{w.isCancellationRequested||(e.busy=!1)}}};s.add(e.onDidChangeValue(()=>f())),f(),s.add(e.onDidAccept(x=>{var I;if(n!=null&&n.handleAccept){x.inBackground||e.hide(),(I=n.handleAccept)==null||I.call(n,e.activeItems[0]);return}const[w]=e.selectedItems;typeof(w==null?void 0:w.accept)=="function"&&(x.inBackground||e.hide(),w.accept(e.keyMods,x))}));const m=async(x,w)=>{var P;if(typeof w.trigger!="function")return;const I=((P=w.buttons)==null?void 0:P.indexOf(x))??-1;if(I>=0){const O=w.trigger(I,e.keyMods),z=typeof O=="number"?O:await O;if(i.isCancellationRequested)return;switch(z){case Sq.NO_ACTION:break;case Sq.CLOSE_PICKER:e.hide();break;case Sq.REFRESH_PICKER:f();break;case Sq.REMOVE_ITEM:{const J=e.items.indexOf(w);if(J!==-1){const Y=e.items.slice(),ae=Y.splice(J,1),me=e.activeItems.filter(Ce=>Ce!==ae[0]),ye=e.keepScrollPosition;e.keepScrollPosition=!0,e.items=Y,me&&(e.activeItems=me),e.keepScrollPosition=ye}break}}}};return s.add(e.onDidTriggerItemButton(({button:x,item:w})=>m(x,w))),s.add(e.onDidTriggerSeparatorButton(({button:x,separator:w})=>m(x,w))),s}}var rAt=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},IM=function(l,e){return function(i,n){e(i,n,l)}},MF,Hp,CL;let Dqe=(CL=class extends N8i{constructor(e,i,n,s,c,d){super(MF.PREFIX,e),this.instantiationService=i,this.keybindingService=n,this.commandService=s,this.telemetryService=c,this.dialogService=d,this.commandsHistory=this._register(this.instantiationService.createInstance(Eqe)),this.options=e}async _getPicks(e,i,n,s){var P,O;const c=await this.getCommandPicks(n);if(n.isCancellationRequested)return[];const d=gR(()=>{const z=new ane;z.updateDocuments(c.map(Y=>({key:Y.commandId,textChunks:[this.getTfIdfChunk(Y)]})));const J=z.calculateScores(e,n);return I8i(J).filter(Y=>Y.score>MF.TFIDF_THRESHOLD).slice(0,MF.TFIDF_MAX_RESULTS)}),f=[];for(const z of c){const J=MF.WORD_FILTER(e,z.label)??void 0,Y=z.commandAlias?MF.WORD_FILTER(e,z.commandAlias)??void 0:void 0;if(J||Y)z.highlights={label:J,detail:this.options.showAlias?Y:void 0},f.push(z);else if(e===z.commandId)f.push(z);else if(e.length>=3){const ae=d();if(n.isCancellationRequested)return[];const me=ae.find(ye=>ye.key===z.commandId);me&&(z.tfIdfScore=me.score,f.push(z))}}const m=new Map;for(const z of f){const J=m.get(z.label);J?(z.description=z.commandId,J.description=J.commandId):m.set(z.label,z)}f.sort((z,J)=>{if(z.tfIdfScore&&J.tfIdfScore)return z.tfIdfScore===J.tfIdfScore?z.label.localeCompare(J.label):J.tfIdfScore-z.tfIdfScore;if(z.tfIdfScore)return 1;if(J.tfIdfScore)return-1;const Y=this.commandsHistory.peek(z.commandId),ae=this.commandsHistory.peek(J.commandId);if(Y&&ae)return Y>ae?-1:1;if(Y)return-1;if(ae)return 1;if(this.options.suggestedCommandIds){const me=this.options.suggestedCommandIds.has(z.commandId),ye=this.options.suggestedCommandIds.has(J.commandId);if(me&&ye)return 0;if(me)return-1;if(ye)return 1}return z.label.localeCompare(J.label)});const v=[];let x=!1,w=!0,I=!!this.options.suggestedCommandIds;for(let z=0;z<f.length;z++){const J=f[z];z===0&&this.commandsHistory.peek(J.commandId)&&(v.push({type:"separator",label:W("recentlyUsed","recently used")}),x=!0),w&&J.tfIdfScore!==void 0&&(v.push({type:"separator",label:W("suggested","similar commands")}),w=!1),I&&J.tfIdfScore===void 0&&!this.commandsHistory.peek(J.commandId)&&((P=this.options.suggestedCommandIds)!=null&&P.has(J.commandId))&&(v.push({type:"separator",label:W("commonlyUsed","commonly used")}),x=!0,I=!1),x&&J.tfIdfScore===void 0&&!this.commandsHistory.peek(J.commandId)&&!((O=this.options.suggestedCommandIds)!=null&&O.has(J.commandId))&&(v.push({type:"separator",label:W("morecCommands","other commands")}),x=!1),v.push(this.toCommandPick(J,s))}return this.hasAdditionalCommandPicks(e,n)?{picks:v,additionalPicks:(async()=>{var Y;const z=await this.getAdditionalCommandPicks(c,f,e,n);if(n.isCancellationRequested)return[];const J=z.map(ae=>this.toCommandPick(ae,s));return w&&((Y=J[0])==null?void 0:Y.type)!=="separator"&&J.unshift({type:"separator",label:W("suggested","similar commands")}),J})()}:v}toCommandPick(e,i){if(e.type==="separator")return e;const n=this.keybindingService.lookupKeybinding(e.commandId),s=n?W("commandPickAriaLabelWithKeybinding","{0}, {1}",e.label,n.getAriaLabel()):e.label;return{...e,ariaLabel:s,detail:this.options.showAlias&&e.commandAlias!==e.label?e.commandAlias:void 0,keybinding:n,accept:async()=>{var c;this.commandsHistory.push(e.commandId),this.telemetryService.publicLog2("workbenchActionExecuted",{id:e.commandId,from:(i==null?void 0:i.from)??"quick open"});try{(c=e.args)!=null&&c.length?await this.commandService.executeCommand(e.commandId,...e.args):await this.commandService.executeCommand(e.commandId)}catch(d){Tk(d)||this.dialogService.error(W("canNotRun","Command '{0}' resulted in an error",e.label),Gve(d))}}}}getTfIdfChunk({label:e,commandAlias:i,commandDescription:n}){let s=e;return i&&i!==e&&(s+=` - ${i}`),n&&n.value!==e&&(s+=` - ${n.value===n.original?n.value:`${n.value} (${n.original})`}`),s}},MF=CL,CL.PREFIX=">",CL.TFIDF_THRESHOLD=.5,CL.TFIDF_MAX_RESULTS=5,CL.WORD_FILTER=z$e(ere,sgi,YTt),CL);Dqe=MF=rAt([IM(1,ho),IM(2,pu),IM(3,qd),IM(4,b1),IM(5,gse)],Dqe);var EE;let Eqe=(EE=class extends xi{constructor(e,i,n){super(),this.storageService=e,this.configurationService=i,this.logService=n,this.configuredCommandsHistoryLength=0,this.updateConfiguration(),this.load(),this.registerListeners()}registerListeners(){this._register(this.configurationService.onDidChangeConfiguration(e=>this.updateConfiguration(e))),this._register(this.storageService.onWillSaveState(e=>{e.reason===cre.SHUTDOWN&&this.saveState()}))}updateConfiguration(e){e&&!e.affectsConfiguration("workbench.commandPalette.history")||(this.configuredCommandsHistoryLength=Hp.getConfiguredCommandHistoryLength(this.configurationService),Hp.cache&&Hp.cache.limit!==this.configuredCommandsHistoryLength&&(Hp.cache.limit=this.configuredCommandsHistoryLength,Hp.hasChanges=!0))}load(){const e=this.storageService.get(Hp.PREF_KEY_CACHE,0);let i;if(e)try{i=JSON.parse(e)}catch(s){this.logService.error(`[CommandsHistory] invalid data: ${s}`)}const n=Hp.cache=new VL(this.configuredCommandsHistoryLength,1);if(i){let s;i.usesLRU?s=i.entries:s=i.entries.sort((c,d)=>c.value-d.value),s.forEach(c=>n.set(c.key,c.value))}Hp.counter=this.storageService.getNumber(Hp.PREF_KEY_COUNTER,0,Hp.counter)}push(e){Hp.cache&&(Hp.cache.set(e,Hp.counter++),Hp.hasChanges=!0)}peek(e){var i;return(i=Hp.cache)==null?void 0:i.peek(e)}saveState(){if(!Hp.cache||!Hp.hasChanges)return;const e={usesLRU:!0,entries:[]};Hp.cache.forEach((i,n)=>e.entries.push({key:n,value:i})),this.storageService.store(Hp.PREF_KEY_CACHE,JSON.stringify(e),0,0),this.storageService.store(Hp.PREF_KEY_COUNTER,Hp.counter,0,0),Hp.hasChanges=!1}static getConfiguredCommandHistoryLength(e){var s,c;const n=(c=(s=e.getValue().workbench)==null?void 0:s.commandPalette)==null?void 0:c.history;return typeof n=="number"?n:Hp.DEFAULT_COMMANDS_HISTORY_LENGTH}},Hp=EE,EE.DEFAULT_COMMANDS_HISTORY_LENGTH=50,EE.PREF_KEY_CACHE="commandPalette.mru.cache",EE.PREF_KEY_COUNTER="commandPalette.mru.counter",EE.counter=1,EE.hasChanges=!1,EE);Eqe=Hp=rAt([IM(0,ET),IM(1,Cc),IM(2,N0)],Eqe);class L8i extends Dqe{constructor(e,i,n,s,c,d){super(e,i,n,s,c,d)}getCodeEditorCommandPicks(){var n;const e=this.activeTextEditorControl;if(!e)return[];const i=[];for(const s of e.getSupportedActions()){let c;(n=s.metadata)!=null&&n.description&&(iyi(s.metadata.description)?c=s.metadata.description:c={original:s.metadata.description,value:s.metadata.description}),i.push({commandId:s.id,commandAlias:s.alias,commandDescription:c,label:K$e(s.label)||s.id})}return i}}var P8i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},jU=function(l,e){return function(i,n){e(i,n,l)}};let ase=class extends L8i{get activeTextEditorControl(){return this.codeEditorService.getFocusedCodeEditor()??void 0}constructor(e,i,n,s,c,d){super({showAlias:!1},e,n,s,c,d),this.codeEditorService=i}async getCommandPicks(){return this.getCodeEditorCommandPicks()}hasAdditionalCommandPicks(){return!1}async getAdditionalCommandPicks(){return[]}};ase=P8i([jU(0,ho),jU(1,Bl),jU(2,pu),jU(3,qd),jU(4,b1),jU(5,gse)],ase);const xSe=class xSe extends hs{constructor(){super({id:xSe.ID,label:Rye.quickCommandActionLabel,alias:"Command Palette",precondition:void 0,kbOpts:{kbExpr:Tt.focus,primary:59,weight:100},contextMenuOpts:{group:"z_commands",order:1}})}run(e){e.get(Ek).quickAccess.show(ase.PREFIX)}};xSe.ID="editor.action.quickCommand";let zbe=xSe;sr(zbe);nh.as(nW.Quickaccess).registerQuickAccessProvider({ctor:ase,prefix:ase.PREFIX,helpEntries:[{description:Rye.quickCommandHelp,commandId:zbe.ID}]});var A8i=function(l,e,i,n){var s=arguments.length,c=s<3?e:n===null?n=Object.getOwnPropertyDescriptor(e,i):n,d;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")c=Reflect.decorate(l,e,i,n);else for(var f=l.length-1;f>=0;f--)(d=l[f])&&(c=(s<3?d(c):s>3?d(e,i,c):d(e,i))||c);return s>3&&c&&Object.defineProperty(e,i,c),c},zU=function(l,e){return function(i,n){e(i,n,l)}};let Iqe=class extends UB{constructor(e,i,n,s,c,d,f){super(!0,e,i,n,s,c,d,f)}};Iqe=A8i([zU(1,Ko),zU(2,Bl),zU(3,w_),zU(4,ho),zU(5,ET),zU(6,Cc)],Iqe);Cl(UB.ID,Iqe,4);class O8i extends hs{constructor(){super({id:"editor.action.toggleHighContrast",label:PVe.toggleHighContrast,alias:"Toggle High Contrast Theme",precondition:void 0}),this._originalThemeName=null}run(e,i){const n=e.get(Mw),s=n.getColorTheme();RE(s.type)?(n.setTheme(this._originalThemeName||(I$(s.type)?e$:M4)),this._originalThemeName=null):(n.setTheme(I$(s.type)?v9:b9),this._originalThemeName=s.themeName)}}sr(O8i);const rBe=Object.freeze(Object.defineProperty({__proto__:null,CancellationTokenSource:eNt,Emitter:tNt,KeyCode:iNt,KeyMod:nNt,MarkerSeverity:cNt,MarkerTag:lNt,Position:rNt,Range:sNt,Selection:oNt,SelectionDirection:aNt,Token:uNt,Uri:Bwe,editor:c9,languages:aT},Symbol.toStringTag,{value:"Module"}));function M8i(l){return new Worker("/tuela24/assets/editor.worker-oRlJJsnX.js",{name:l==null?void 0:l.name})}function R8i(l){return new Worker("/tuela24/assets/css.worker-9mYIcYwc.js",{name:l==null?void 0:l.name})}function F8i(l){return new Worker("/tuela24/assets/html.worker-cftAoxKd.js",{name:l==null?void 0:l.name})}function B8i(l){return new Worker("/tuela24/assets/json.worker-CJ89eVXr.js",{name:l==null?void 0:l.name})}function W8i(l){return new Worker("/tuela24/assets/ts.worker-Brk6wN3W.js",{name:l==null?void 0:l.name})}function ukt(l){throw new Error('Could not dynamically require "'+l+'". Please configure the dynamicRequireTargets or/and ignoreDynamicRequires option of @rollup/plugin-commonjs appropriately for this require call to work.')}var sAt={exports:{}};const V8i={},H8i=Object.freeze(Object.defineProperty({__proto__:null,default:V8i},Symbol.toStringTag,{value:"Module"})),cM=tai(H8i);(function(l){var e={};/*! *****************************************************************************
+ Copyright (c) Microsoft Corporation. All rights reserved.
+ Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+ this file except in compliance with the License. You may obtain a copy of the
+ License at http://www.apache.org/licenses/LICENSE-2.0
+
+ THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+ KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+ WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+ MERCHANTABLITY OR NON-INFRINGEMENT.
+
+ See the Apache Version 2.0 License for specific language governing permissions
+ and limitations under the License.
+ ***************************************************************************** */var i={};(n=>{var s=Object.defineProperty,c=(t,r)=>{for(var a in r)s(t,a,{get:r[a],enumerable:!0})},d=t=>t,f={};c(f,{ANONYMOUS:()=>lhe,AccessFlags:()=>tke,AssertionLevel:()=>dxe,AssignmentDeclarationKind:()=>uke,AssignmentKind:()=>MTe,Associativity:()=>zTe,BreakpointResolver:()=>o_e,BuilderFileEmit:()=>RLe,BuilderProgramKind:()=>ULe,BuilderState:()=>$0,CallHierarchy:()=>mA,CharacterCodes:()=>Cke,CheckFlags:()=>Qxe,CheckMode:()=>Iue,ClassificationType:()=>gfe,ClassificationTypeNames:()=>$Pe,CommentDirectiveType:()=>Rxe,Comparison:()=>x,CompletionInfoFlags:()=>WPe,CompletionTriggerKind:()=>pfe,Completions:()=>CA,ContainerFlags:()=>yNe,ContextFlags:()=>zxe,Debug:()=>j,DiagnosticCategory:()=>JJ,Diagnostics:()=>k,DocumentHighlights:()=>QZ,ElementFlags:()=>eke,EmitFlags:()=>xoe,EmitHint:()=>Tke,EmitOnly:()=>Bxe,EndOfLineState:()=>jPe,ExitStatus:()=>Wxe,ExportKind:()=>BAe,Extension:()=>Ske,ExternalEmitHelpers:()=>kke,FileIncludeKind:()=>_oe,FilePreprocessingDiagnosticsKind:()=>Fxe,FileSystemEntryKind:()=>Mke,FileWatcherEventKind:()=>Pke,FindAllReferences:()=>kl,FlattenLevel:()=>WNe,FlowFlags:()=>$J,ForegroundColorEscapeSequences:()=>ELe,FunctionFlags:()=>HTe,GeneratedIdentifierFlags:()=>hoe,GetLiteralTextFlags:()=>X2e,GoToDefinition:()=>uO,HighlightSpanKind:()=>FPe,IdentifierNameMap:()=>V3,ImportKind:()=>FAe,ImportsNotUsedAsValues:()=>mke,IndentStyle:()=>BPe,IndexFlags:()=>ike,IndexKind:()=>ske,InferenceFlags:()=>cke,InferencePriority:()=>ake,InlayHintKind:()=>RPe,InlayHints:()=>X_e,InternalEmitFlags:()=>wke,InternalNodeBuilderFlags:()=>qxe,InternalSymbolName:()=>Zxe,IntersectionFlags:()=>jxe,InvalidatedProjectKind:()=>hPe,JSDocParsingMode:()=>Lke,JsDoc:()=>S2,JsTyping:()=>J1,JsxEmit:()=>pke,JsxFlags:()=>Pxe,JsxReferenceKind:()=>nke,LanguageFeatureMinimumTarget:()=>xke,LanguageServiceMode:()=>OPe,LanguageVariant:()=>vke,LexicalEnvironmentFlags:()=>Eke,ListFormat:()=>Ike,LogLevel:()=>Cxe,MapCode:()=>Q_e,MemberOverrideStatus:()=>Vxe,ModifierFlags:()=>doe,ModuleDetectionKind:()=>dke,ModuleInstanceState:()=>mNe,ModuleKind:()=>bW,ModuleResolutionKind:()=>i8,ModuleSpecifierEnding:()=>VDe,NavigateTo:()=>a4e,NavigationBar:()=>l4e,NewLineKind:()=>gke,NodeBuilderFlags:()=>Uxe,NodeCheckFlags:()=>goe,NodeFactoryFlags:()=>hEe,NodeFlags:()=>uoe,NodeResolutionFeatures:()=>oNe,ObjectFlags:()=>voe,OperationCanceledException:()=>t8,OperatorPrecedence:()=>UTe,OrganizeImports:()=>w2,OrganizeImportsMode:()=>_fe,OuterExpressionKinds:()=>Dke,OutliningElementsCollector:()=>Y_e,OutliningSpanKind:()=>VPe,OutputFileType:()=>HPe,PackageJsonAutoImportPreference:()=>APe,PackageJsonDependencyGroup:()=>PPe,PatternMatchKind:()=>Ehe,PollingInterval:()=>koe,PollingWatchKind:()=>_ke,PragmaKindFlags:()=>Nke,PredicateSemantics:()=>Axe,PrivateIdentifierKind:()=>wEe,ProcessLevel:()=>zNe,ProgramUpdateLevel:()=>wLe,QuotePreference:()=>_Ae,RegularExpressionFlags:()=>Oxe,RelationComparisonResult:()=>foe,Rename:()=>RY,ScriptElementKind:()=>UPe,ScriptElementKindModifier:()=>qPe,ScriptKind:()=>Coe,ScriptSnapshot:()=>lZ,ScriptTarget:()=>yke,SemanticClassificationFormat:()=>MPe,SemanticMeaning:()=>JPe,SemicolonPreference:()=>mfe,SignatureCheckMode:()=>Nue,SignatureFlags:()=>boe,SignatureHelp:()=>ez,SignatureInfo:()=>MLe,SignatureKind:()=>rke,SmartSelectionRange:()=>ipe,SnippetKind:()=>woe,StatisticType:()=>SPe,StructureIsReused:()=>poe,SymbolAccessibility:()=>Gxe,SymbolDisplay:()=>NS,SymbolDisplayPartKind:()=>dZ,SymbolFlags:()=>moe,SymbolFormatFlags:()=>Jxe,SyntaxKind:()=>loe,Ternary:()=>lke,ThrottledCancellationToken:()=>g6e,TokenClass:()=>zPe,TokenFlags:()=>Mxe,TransformFlags:()=>Soe,TypeFacts:()=>Eue,TypeFlags:()=>yoe,TypeFormatFlags:()=>$xe,TypeMapKind:()=>oke,TypePredicateKind:()=>Kxe,TypeReferenceSerializationKind:()=>Xxe,UnionReduction:()=>Hxe,UpToDateStatusType:()=>oPe,VarianceFlags:()=>Yxe,Version:()=>P0,VersionRange:()=>qJ,WatchDirectoryFlags:()=>bke,WatchDirectoryKind:()=>hke,WatchFileKind:()=>fke,WatchLogLevel:()=>kLe,WatchType:()=>Kd,accessPrivateIdentifier:()=>BNe,addEmitFlags:()=>F1,addEmitHelper:()=>MP,addEmitHelpers:()=>Zb,addInternalEmitFlags:()=>AP,addNodeFactoryPatcher:()=>yQe,addObjectAllocatorPatcher:()=>rQe,addRange:()=>Sr,addRelatedInfo:()=>da,addSyntheticLeadingComment:()=>h7,addSyntheticTrailingComment:()=>uX,addToSeen:()=>Pg,advancedAsyncSuperHelper:()=>_X,affectsDeclarationPathOptionDeclarations:()=>IIe,affectsEmitOptionDeclarations:()=>EIe,allKeysStartWithDot:()=>lQ,altDirectorySeparator:()=>QJ,and:()=>jJ,append:()=>fn,appendIfUnique:()=>n_,arrayFrom:()=>as,arrayIsEqualTo:()=>i_,arrayIsHomogeneous:()=>KDe,arrayOf:()=>zc,arrayReverseIterator:()=>Bw,arrayToMap:()=>Ld,arrayToMultiMap:()=>Cn,arrayToNumericMap:()=>Sa,assertType:()=>MKe,assign:()=>$u,asyncSuperHelper:()=>hX,attachFileToDiagnostics:()=>TP,base64decode:()=>hDe,base64encode:()=>fDe,binarySearch:()=>Jt,binarySearchKey:()=>ti,bindSourceFile:()=>vNe,breakIntoCharacterSpans:()=>YAe,breakIntoWordSpans:()=>e4e,buildLinkParts:()=>SAe,buildOpts:()=>EH,buildOverload:()=>aht,bundlerModuleNameResolver:()=>aNe,canBeConvertedToAsync:()=>Ohe,canHaveDecorators:()=>uD,canHaveExportModifier:()=>eH,canHaveFlowNode:()=>wV,canHaveIllegalDecorators:()=>Fle,canHaveIllegalModifiers:()=>cIe,canHaveIllegalType:()=>UQe,canHaveIllegalTypeParameters:()=>aIe,canHaveJSDoc:()=>xV,canHaveLocals:()=>Ay,canHaveModifiers:()=>Fg,canHaveModuleSpecifier:()=>PTe,canHaveSymbol:()=>M0,canIncludeBindAndCheckDiagnostics:()=>r7,canJsonReportNoInputFiles:()=>O7,canProduceDiagnostics:()=>TQ,canUsePropertyAccess:()=>Gce,canWatchAffectingLocation:()=>ZLe,canWatchAtTypes:()=>QLe,canWatchDirectoryOrFile:()=>$Q,cartesianProduct:()=>vxe,cast:()=>ha,chainBundle:()=>q0,chainDiagnosticMessages:()=>jo,changeAnyExtension:()=>wW,changeCompilerHostLikeToUseCache:()=>Q7,changeExtension:()=>cx,changeFullExtension:()=>YJ,changesAffectModuleResolution:()=>TG,changesAffectingProgramStructure:()=>j2e,characterCodeToRegularExpressionFlag:()=>Roe,childIsDecorated:()=>N8,classElementOrClassElementParameterIsDecorated:()=>Mae,classHasClassThisAssignment:()=>Uue,classHasDeclaredOrExplicitlyAssignedName:()=>que,classHasExplicitlyAssignedName:()=>wQ,classOrConstructorParameterIsDecorated:()=>dS,classicNameResolver:()=>_Ne,classifier:()=>C6e,cleanExtendedConfigCache:()=>LQ,clear:()=>An,clearMap:()=>X_,clearSharedExtendedConfigFileWatcher:()=>sde,climbPastPropertyAccess:()=>_Z,clone:()=>Jd,cloneCompilerOptions:()=>Ffe,closeFileWatcher:()=>d0,closeFileWatcherOf:()=>Zm,codefix:()=>wh,collapseTextChangeRangesAcrossMultipleVersions:()=>n2e,collectExternalModuleInfo:()=>Vue,combine:()=>rS,combinePaths:()=>zr,commandLineOptionOfCustomType:()=>PIe,commentPragmas:()=>GJ,commonOptionsWithBuild:()=>jX,compact:()=>Rw,compareBooleans:()=>Ak,compareDataObjects:()=>xce,compareDiagnostics:()=>Z8,compareEmitHelpers:()=>kEe,compareNumberOfDirectorySeparators:()=>ZV,comparePaths:()=>Hb,comparePathsCaseInsensitive:()=>rXe,comparePathsCaseSensitive:()=>nXe,comparePatternKeys:()=>Cue,compareProperties:()=>pxe,compareStringsCaseInsensitive:()=>mW,compareStringsCaseInsensitiveEslintCompatible:()=>fxe,compareStringsCaseSensitive:()=>sh,compareStringsCaseSensitiveUI:()=>gW,compareTextSpans:()=>VJ,compareValues:()=>_l,compilerOptionsAffectDeclarationPath:()=>ADe,compilerOptionsAffectEmit:()=>PDe,compilerOptionsAffectSemanticDiagnostics:()=>LDe,compilerOptionsDidYouMeanDiagnostics:()=>$X,compilerOptionsIndicateEsModules:()=>zfe,computeCommonSourceDirectoryOfFilenames:()=>TLe,computeLineAndCharacterOfPosition:()=>P6,computeLineOfPosition:()=>a8,computeLineStarts:()=>ZL,computePositionOfLineAndCharacter:()=>nG,computeSignatureWithDiagnostics:()=>Tde,computeSuggestionDiagnostics:()=>Lhe,computedOptions:()=>Cd,concatenate:()=>Js,concatenateDiagnosticMessageChains:()=>TDe,consumesNodeCoreModules:()=>jZ,contains:()=>Yt,containsIgnoredPath:()=>a7,containsObjectRestOrSpread:()=>xH,containsParseError:()=>oP,containsPath:()=>Vm,convertCompilerOptionsForTelemetry:()=>GIe,convertCompilerOptionsFromJson:()=>ZZe,convertJsonOption:()=>BI,convertToBase64:()=>dDe,convertToJson:()=>LH,convertToObject:()=>HIe,convertToOptionsWithAbsolutePaths:()=>XX,convertToRelativePath:()=>s8,convertToTSConfig:()=>sue,convertTypeAcquisitionFromJson:()=>YZe,copyComments:()=>qI,copyEntries:()=>DG,copyLeadingComments:()=>iO,copyProperties:()=>wg,copyTrailingAsLeadingComments:()=>xj,copyTrailingComments:()=>h5,couldStartTrivia:()=>Uke,countWhere:()=>$i,createAbstractBuilder:()=>ltt,createAccessorPropertyBackingField:()=>Vle,createAccessorPropertyGetRedirector:()=>mIe,createAccessorPropertySetRedirector:()=>gIe,createBaseNodeFactory:()=>cEe,createBinaryExpressionTrampoline:()=>BX,createBuilderProgram:()=>Dde,createBuilderProgramUsingIncrementalBuildInfo:()=>GLe,createBuilderStatusReporter:()=>tZ,createCacheableExportInfoMap:()=>bhe,createCachedDirectoryStructureHost:()=>IQ,createClassifier:()=>Hit,createCommentDirectivesMap:()=>G2e,createCompilerDiagnostic:()=>Zl,createCompilerDiagnosticForInvalidCustomType:()=>AIe,createCompilerDiagnosticFromMessageChain:()=>PK,createCompilerHost:()=>DLe,createCompilerHostFromProgramHost:()=>$de,createCompilerHostWorker:()=>PQ,createDetachedDiagnostic:()=>kP,createDiagnosticCollection:()=>OV,createDiagnosticForFileFromMessageChain:()=>Nae,createDiagnosticForNode:()=>Kn,createDiagnosticForNodeArray:()=>q6,createDiagnosticForNodeArrayFromMessageChain:()=>eV,createDiagnosticForNodeFromMessageChain:()=>Bv,createDiagnosticForNodeInSourceFile:()=>jm,createDiagnosticForRange:()=>cTe,createDiagnosticMessageChainFromDiagnostic:()=>aTe,createDiagnosticReporter:()=>aA,createDocumentPositionMapper:()=>ANe,createDocumentRegistry:()=>jAe,createDocumentRegistryInternal:()=>khe,createEmitAndSemanticDiagnosticsBuilderProgram:()=>Lde,createEmitHelperFactory:()=>xEe,createEmptyExports:()=>gH,createEvaluator:()=>sEe,createExpressionForJsxElement:()=>tIe,createExpressionForJsxFragment:()=>iIe,createExpressionForObjectLiteralElementLike:()=>nIe,createExpressionForPropertyName:()=>Lle,createExpressionFromEntityName:()=>yH,createExternalHelpersImportDeclarationIfNeeded:()=>Ole,createFileDiagnostic:()=>Md,createFileDiagnosticFromMessageChain:()=>BG,createFlowNode:()=>zy,createForOfBindingStatement:()=>Nle,createFutureSourceFile:()=>GZ,createGetCanonicalFileName:()=>Mf,createGetIsolatedDeclarationErrors:()=>fLe,createGetSourceFile:()=>ude,createGetSymbolAccessibilityDiagnosticForNode:()=>xS,createGetSymbolAccessibilityDiagnosticForNodeName:()=>dLe,createGetSymbolWalker:()=>bNe,createIncrementalCompilerHost:()=>eZ,createIncrementalProgram:()=>sPe,createJsxFactoryExpression:()=>Ile,createLanguageService:()=>y6e,createLanguageServiceSourceFile:()=>hY,createMemberAccessForPropertyName:()=>RI,createModeAwareCache:()=>M3,createModeAwareCacheKey:()=>B7,createModeMismatchDetails:()=>_ae,createModuleNotFoundChain:()=>IG,createModuleResolutionCache:()=>R3,createModuleResolutionLoader:()=>gde,createModuleResolutionLoaderUsingGlobalCache:()=>iPe,createModuleSpecifierResolutionHost:()=>dA,createMultiMap:()=>Of,createNameResolver:()=>Yce,createNodeConverters:()=>dEe,createNodeFactory:()=>rH,createOptionNameMap:()=>UX,createOverload:()=>ppe,createPackageJsonImportFilter:()=>nO,createPackageJsonInfo:()=>fhe,createParenthesizerRules:()=>lEe,createPatternMatcher:()=>JAe,createPrinter:()=>bx,createPrinterWithDefaults:()=>CLe,createPrinterWithRemoveComments:()=>_D,createPrinterWithRemoveCommentsNeverAsciiEscape:()=>SLe,createPrinterWithRemoveCommentsOmitTrailingSemicolon:()=>rde,createProgram:()=>YH,createProgramHost:()=>Jde,createPropertyNameNodeForIdentifierOrLiteral:()=>tX,createQueue:()=>JR,createRange:()=>Um,createRedirectedBuilderProgram:()=>Nde,createResolutionCache:()=>Ade,createRuntimeTypeSerializer:()=>GNe,createScanner:()=>Ov,createSemanticDiagnosticsBuilderProgram:()=>ctt,createSet:()=>Xse,createSolutionBuilder:()=>uPe,createSolutionBuilderHost:()=>cPe,createSolutionBuilderWithWatch:()=>dPe,createSolutionBuilderWithWatchHost:()=>lPe,createSortedArray:()=>Lk,createSourceFile:()=>ZP,createSourceMapGenerator:()=>ENe,createSourceMapSource:()=>SQe,createSuperAccessVariableStatement:()=>kQ,createSymbolTable:()=>_a,createSymlinkCache:()=>Oce,createSyntacticTypeNodeBuilder:()=>IPe,createSystemWatchFunctions:()=>Rke,createTextChange:()=>mj,createTextChangeFromStartLength:()=>DZ,createTextChangeRange:()=>AW,createTextRangeFromNode:()=>Vfe,createTextRangeFromSpan:()=>TZ,createTextSpan:()=>Ff,createTextSpanFromBounds:()=>Fu,createTextSpanFromNode:()=>f_,createTextSpanFromRange:()=>DS,createTextSpanFromStringLiteralLikeContent:()=>Wfe,createTextWriter:()=>FV,createTokenRange:()=>bce,createTypeChecker:()=>TNe,createTypeReferenceDirectiveResolutionCache:()=>sQ,createTypeReferenceResolutionLoader:()=>MQ,createWatchCompilerHost:()=>vtt,createWatchCompilerHostOfConfigFile:()=>Gde,createWatchCompilerHostOfFilesAndCompilerOptions:()=>Kde,createWatchFactory:()=>qde,createWatchHost:()=>Ude,createWatchProgram:()=>Xde,createWatchStatusReporter:()=>Ode,createWriteFileMeasuringIO:()=>dde,declarationNameToString:()=>al,decodeMappings:()=>Fue,decodedTextSpanIntersectsWith:()=>PW,deduplicate:()=>Wb,defaultInitCompilerOptions:()=>Zle,defaultMaximumTruncationLength:()=>x8,diagnosticCategoryName:()=>nI,diagnosticToString:()=>bD,diagnosticsEqualityComparer:()=>AK,directoryProbablyExists:()=>B0,directorySeparator:()=>Kl,displayPart:()=>Z_,displayPartsToString:()=>Wj,disposeEmitNodes:()=>nle,documentSpansEqual:()=>Xfe,dumpTracingLegend:()=>Lxe,elementAt:()=>sm,elideNodes:()=>pIe,emitDetachedComments:()=>tDe,emitFiles:()=>ide,emitFilesAndReportErrors:()=>XQ,emitFilesAndReportErrorsAndGetExitStatus:()=>zde,emitModuleKindIsNonNodeESM:()=>KV,emitNewLineBeforeLeadingCommentOfPosition:()=>eDe,emitResolverSkipsTypeChecking:()=>tde,emitSkippedWithNoDiagnostics:()=>Cde,emptyArray:()=>w,emptyFileSystemEntries:()=>Vce,emptyMap:()=>I,emptyOptions:()=>Bg,endsWith:()=>su,ensurePathIsNonModuleName:()=>sI,ensureScriptKind:()=>qK,ensureTrailingDirectorySeparator:()=>Ad,entityNameToString:()=>bp,enumerateInsertsAndDeletes:()=>UJ,equalOwnProperties:()=>Af,equateStringsCaseInsensitive:()=>jw,equateStringsCaseSensitive:()=>NT,equateValues:()=>Hw,escapeJsxAttributeString:()=>sce,escapeLeadingUnderscores:()=>cu,escapeNonAsciiString:()=>dK,escapeSnippetText:()=>YT,escapeString:()=>Vy,escapeTemplateSubstitution:()=>nce,evaluatorResult:()=>Rd,every:()=>Ce,executeCommandLine:()=>Ztt,expandPreOrPostfixIncrementOrDecrementExpression:()=>PX,explainFiles:()=>Bde,explainIfFileIsRedirectAndImpliedFormat:()=>Wde,exportAssignmentIsAlias:()=>EV,expressionResultIsUnused:()=>QDe,extend:()=>T_,extensionFromPath:()=>i7,extensionIsTS:()=>QK,extensionsNotSupportingExtensionlessResolution:()=>KK,externalHelpersModuleNameText:()=>jk,factory:()=>G,fileContainsPackageImport:()=>Lj,fileExtensionIs:()=>Xl,fileExtensionIsOneOf:()=>Ru,fileIncludeReasonToDiagnostics:()=>jde,fileShouldUseJavaScriptRequire:()=>vhe,filter:()=>$t,filterMutate:()=>Hi,filterSemanticDiagnostics:()=>VQ,find:()=>Fe,findAncestor:()=>Qi,findBestPatternMatch:()=>ioe,findChildOfKind:()=>Uc,findComputedPropertyNameCacheAssignment:()=>WX,findConfigFile:()=>cde,findConstructorDeclaration:()=>nH,findContainingList:()=>vZ,findDiagnosticForNode:()=>OAe,findFirstNonJsxWhitespaceToken:()=>tAe,findIndex:()=>ct,findLast:()=>rt,findLastIndex:()=>Mt,findListItemInfo:()=>eAe,findModifier:()=>Y3,findNextToken:()=>yD,findPackageJson:()=>AAe,findPackageJsons:()=>dhe,findPrecedingMatchingToken:()=>xZ,findPrecedingToken:()=>xd,findSuperStatementIndexPath:()=>vQ,findTokenOnLeftOfPosition:()=>hj,findUseStrictPrologue:()=>Ale,first:()=>ya,firstDefined:()=>J,firstDefinedIterator:()=>Y,firstIterator:()=>D6,firstOrOnly:()=>phe,firstOrUndefined:()=>Ra,firstOrUndefinedIterator:()=>k_,fixupCompilerOptions:()=>Mhe,flatMap:()=>Rr,flatMapIterator:()=>$d,flatMapToMutable:()=>na,flatten:()=>Dr,flattenCommaList:()=>yIe,flattenDestructuringAssignment:()=>HI,flattenDestructuringBinding:()=>hD,flattenDiagnosticMessageText:()=>$1,forEach:()=>O,forEachAncestor:()=>z2e,forEachAncestorDirectory:()=>kg,forEachChild:()=>Uo,forEachChildRecursively:()=>QP,forEachEmittedFile:()=>Que,forEachEnclosingBlockScopeContainer:()=>rTe,forEachEntry:()=>cf,forEachExternalModuleToImportFrom:()=>She,forEachImportClauseDeclaration:()=>ATe,forEachKey:()=>qb,forEachLeadingCommentRange:()=>TW,forEachNameInAccessChainWalkingLeft:()=>CDe,forEachNameOfDefaultExport:()=>XZ,forEachPropertyAssignment:()=>G6,forEachResolvedProjectReference:()=>yde,forEachReturnStatement:()=>uS,forEachRight:()=>z,forEachTrailingCommentRange:()=>DW,forEachTsConfigPropArray:()=>sV,forEachUnique:()=>Zfe,forEachYieldExpression:()=>fTe,formatColorAndReset:()=>pD,formatDiagnostic:()=>fde,formatDiagnostics:()=>Oet,formatDiagnosticsWithColorAndContext:()=>LLe,formatGeneratedName:()=>c2,formatGeneratedNamePart:()=>L3,formatLocation:()=>hde,formatMessage:()=>DP,formatStringFromArgs:()=>jv,formatting:()=>pd,generateDjb2Hash:()=>n8,generateTSConfig:()=>zIe,getAdjustedReferenceLocation:()=>Lfe,getAdjustedRenameLocation:()=>CZ,getAliasDeclarationFromName:()=>Jae,getAllAccessorDeclarations:()=>nx,getAllDecoratorsOfClass:()=>jue,getAllDecoratorsOfClassElement:()=>CQ,getAllJSDocTags:()=>uG,getAllJSDocTagsOfKind:()=>DXe,getAllKeys:()=>vo,getAllProjectOutputs:()=>EQ,getAllSuperTypeNodes:()=>F8,getAllowJSCompilerOption:()=>ox,getAllowSyntheticDefaultImports:()=>EP,getAncestor:()=>Xk,getAnyExtensionFromPath:()=>L6,getAreDeclarationMapsEnabled:()=>MK,getAssignedExpandoInitializer:()=>dP,getAssignedName:()=>aG,getAssignmentDeclarationKind:()=>Bu,getAssignmentDeclarationPropertyAccessKind:()=>yV,getAssignmentTargetKind:()=>Kk,getAutomaticTypeDirectiveNames:()=>nQ,getBaseFileName:()=>ud,getBinaryOperatorPrecedence:()=>AV,getBuildInfo:()=>nde,getBuildInfoFileVersionMap:()=>Ide,getBuildInfoText:()=>vLe,getBuildOrderFromAnyBuildOrder:()=>nj,getBuilderCreationParameters:()=>UQ,getBuilderFileEmit:()=>Cx,getCanonicalDiagnostic:()=>lTe,getCheckFlags:()=>Iu,getClassExtendsHeritageElement:()=>qT,getClassLikeDeclarationOfSymbol:()=>Xb,getCombinedLocalAndExportSymbolFlags:()=>l3,getCombinedModifierFlags:()=>Rk,getCombinedNodeFlags:()=>zb,getCombinedNodeFlagsAlwaysIncludeJSDoc:()=>joe,getCommentRange:()=>B1,getCommonSourceDirectory:()=>X7,getCommonSourceDirectoryOfConfig:()=>oA,getCompilerOptionValue:()=>BK,getCompilerOptionsDiffValue:()=>jIe,getConditions:()=>gx,getConfigFileParsingDiagnostics:()=>mD,getConstantValue:()=>mEe,getContainerFlags:()=>wue,getContainerNode:()=>UI,getContainingClass:()=>Cf,getContainingClassExcludingClassDecorators:()=>$G,getContainingClassStaticBlock:()=>STe,getContainingFunction:()=>Up,getContainingFunctionDeclaration:()=>CTe,getContainingFunctionOrClassStaticBlock:()=>qG,getContainingNodeArray:()=>ZDe,getContainingObjectLiteralElement:()=>Vj,getContextualTypeFromParent:()=>RZ,getContextualTypeFromParentOrAncestorTypeNode:()=>bZ,getDeclarationDiagnostics:()=>hLe,getDeclarationEmitExtensionForPath:()=>_K,getDeclarationEmitOutputFilePath:()=>GTe,getDeclarationEmitOutputFilePathWorker:()=>hK,getDeclarationFileExtension:()=>Ule,getDeclarationFromName:()=>R8,getDeclarationModifierFlagsFromSymbol:()=>$m,getDeclarationOfKind:()=>ql,getDeclarationsOfKind:()=>H2e,getDeclaredExpandoInitializer:()=>A8,getDecorators:()=>$w,getDefaultCompilerOptions:()=>fY,getDefaultFormatCodeSettings:()=>uZ,getDefaultLibFileName:()=>IW,getDefaultLibFilePath:()=>v6e,getDefaultLikeExportInfo:()=>KZ,getDefaultLikeExportNameFromDeclaration:()=>mhe,getDefaultResolutionModeForFileWorker:()=>WQ,getDiagnosticText:()=>M_,getDiagnosticsWithinSpan:()=>MAe,getDirectoryPath:()=>ts,getDirectoryToWatchFailedLookupLocation:()=>Pde,getDirectoryToWatchFailedLookupLocationFromTypeRoot:()=>ePe,getDocumentPositionMapper:()=>Nhe,getDocumentSpansEqualityComparer:()=>Qfe,getESModuleInterop:()=>zv,getEditsForFileRename:()=>UAe,getEffectiveBaseTypeNode:()=>L1,getEffectiveConstraintOfTypeParameter:()=>R6,getEffectiveContainerForJSDocTemplateTag:()=>rK,getEffectiveImplementsTypeNodes:()=>i3,getEffectiveInitializer:()=>pV,getEffectiveJSDocHost:()=>Gk,getEffectiveModifierFlags:()=>jh,getEffectiveModifierFlagsAlwaysIncludeJSDoc:()=>sDe,getEffectiveModifierFlagsNoCache:()=>oDe,getEffectiveReturnTypeNode:()=>wp,getEffectiveSetAccessorTypeAnnotationNode:()=>YTe,getEffectiveTypeAnnotationNode:()=>dd,getEffectiveTypeParameterDeclarations:()=>Jw,getEffectiveTypeRoots:()=>F7,getElementOrPropertyAccessArgumentExpressionOrName:()=>nK,getElementOrPropertyAccessName:()=>$b,getElementsOfBindingOrAssignmentPattern:()=>N3,getEmitDeclarations:()=>Q_,getEmitFlags:()=>Ya,getEmitHelpers:()=>dX,getEmitModuleDetectionKind:()=>EDe,getEmitModuleFormatOfFileWorker:()=>e5,getEmitModuleKind:()=>zh,getEmitModuleResolutionKind:()=>yh,getEmitScriptTarget:()=>Ja,getEmitStandardClassFields:()=>Pce,getEnclosingBlockScopeContainer:()=>R0,getEnclosingContainer:()=>FG,getEncodedSemanticClassifications:()=>whe,getEncodedSyntacticClassifications:()=>xhe,getEndLinePosition:()=>KW,getEntityNameFromTypeNode:()=>cV,getEntrypointsFromPackageJsonInfo:()=>yue,getErrorCountForSummary:()=>GQ,getErrorSpanForNode:()=>pI,getErrorSummaryText:()=>Rde,getEscapedTextOfIdentifierOrLiteral:()=>V8,getEscapedTextOfJsxAttributeName:()=>u7,getEscapedTextOfJsxNamespacedName:()=>LP,getExpandoInitializer:()=>$k,getExportAssignmentExpression:()=>Gae,getExportInfoMap:()=>Pj,getExportNeedsImportStarHelper:()=>ONe,getExpressionAssociativity:()=>tce,getExpressionPrecedence:()=>H8,getExternalHelpersModuleName:()=>MX,getExternalModuleImportEqualsDeclarationExpression:()=>L8,getExternalModuleName:()=>fP,getExternalModuleNameFromDeclaration:()=>$Te,getExternalModuleNameFromPath:()=>cce,getExternalModuleNameLiteral:()=>XP,getExternalModuleRequireArgument:()=>Fae,getFallbackOptions:()=>XH,getFileEmitOutput:()=>OLe,getFileMatcherPatterns:()=>UK,getFileNamesFromConfigSpecs:()=>M7,getFileWatcherEventKind:()=>Eoe,getFilesInErrorForSummary:()=>KQ,getFirstConstructorWithBody:()=>Vv,getFirstIdentifier:()=>K_,getFirstNonSpaceCharacterPosition:()=>kAe,getFirstProjectOutput:()=>ede,getFixableErrorSpanExpression:()=>hhe,getFormatCodeSettingsForWriting:()=>$Z,getFullWidth:()=>GW,getFunctionFlags:()=>nd,getHeritageClause:()=>IV,getHostSignatureFromJSDoc:()=>Jk,getIdentifierAutoGenerate:()=>kQe,getIdentifierGeneratedImportReference:()=>SEe,getIdentifierTypeArguments:()=>kI,getImmediatelyInvokedFunctionExpression:()=>VT,getImpliedNodeFormatForEmitWorker:()=>jI,getImpliedNodeFormatForFile:()=>ZH,getImpliedNodeFormatForFileWorker:()=>BQ,getImportNeedsImportDefaultHelper:()=>Wue,getImportNeedsImportStarHelper:()=>gQ,getIndentString:()=>fK,getInferredLibraryNameResolveFrom:()=>FQ,getInitializedVariables:()=>K8,getInitializerOfBinaryExpression:()=>Hae,getInitializerOfBindingOrAssignmentElement:()=>CH,getInterfaceBaseTypeNodes:()=>B8,getInternalEmitFlags:()=>u0,getInvokedExpression:()=>KG,getIsFileExcluded:()=>WAe,getIsolatedModules:()=>Ag,getJSDocAugmentsTag:()=>h2e,getJSDocClassTag:()=>qoe,getJSDocCommentRanges:()=>Pae,getJSDocCommentsAndTags:()=>jae,getJSDocDeprecatedTag:()=>$oe,getJSDocDeprecatedTagNoCache:()=>b2e,getJSDocEnumTag:()=>Joe,getJSDocHost:()=>zT,getJSDocImplementsTags:()=>_2e,getJSDocOverloadTags:()=>Uae,getJSDocOverrideTagNoCache:()=>v2e,getJSDocParameterTags:()=>M6,getJSDocParameterTagsNoCache:()=>l2e,getJSDocPrivateTag:()=>wXe,getJSDocPrivateTagNoCache:()=>m2e,getJSDocProtectedTag:()=>xXe,getJSDocProtectedTagNoCache:()=>g2e,getJSDocPublicTag:()=>SXe,getJSDocPublicTagNoCache:()=>p2e,getJSDocReadonlyTag:()=>kXe,getJSDocReadonlyTagNoCache:()=>y2e,getJSDocReturnTag:()=>C2e,getJSDocReturnType:()=>MW,getJSDocRoot:()=>t3,getJSDocSatisfiesExpressionType:()=>Xce,getJSDocSatisfiesTag:()=>Goe,getJSDocTags:()=>Wk,getJSDocTemplateTag:()=>TXe,getJSDocThisTag:()=>cG,getJSDocType:()=>Bk,getJSDocTypeAliasName:()=>Rle,getJSDocTypeAssertionType:()=>N7,getJSDocTypeParameterDeclarations:()=>vK,getJSDocTypeParameterTags:()=>u2e,getJSDocTypeParameterTagsNoCache:()=>d2e,getJSDocTypeTag:()=>Fk,getJSXImplicitImportBase:()=>VK,getJSXRuntimeImport:()=>HK,getJSXTransformEnabled:()=>WK,getKeyForCompilerOptions:()=>hue,getLanguageVariant:()=>qV,getLastChild:()=>kce,getLeadingCommentRanges:()=>Av,getLeadingCommentRangesOfNode:()=>Lae,getLeftmostAccessExpression:()=>u3,getLeftmostExpression:()=>d3,getLibraryNameFromLibFileName:()=>vde,getLineAndCharacterOfPosition:()=>Ia,getLineInfo:()=>Rue,getLineOfLocalPosition:()=>j8,getLineStartPositionForPosition:()=>Wg,getLineStarts:()=>Lv,getLinesBetweenPositionAndNextNonWhitespaceCharacter:()=>yDe,getLinesBetweenPositionAndPrecedingNonWhitespaceCharacter:()=>gDe,getLinesBetweenPositions:()=>c8,getLinesBetweenRangeEndAndRangeStart:()=>Cce,getLinesBetweenRangeEndPositions:()=>iQe,getLiteralText:()=>Q2e,getLocalNameForExternalImport:()=>I3,getLocalSymbolForExportDefault:()=>$8,getLocaleSpecificMessage:()=>Bo,getLocaleTimeString:()=>ij,getMappedContextSpan:()=>Yfe,getMappedDocumentSpan:()=>AZ,getMappedLocation:()=>u5,getMatchedFileSpec:()=>Vde,getMatchedIncludeSpec:()=>Hde,getMeaningFromDeclaration:()=>fZ,getMeaningFromLocation:()=>zI,getMembersOfDeclaration:()=>hTe,getModeForFileReference:()=>AQ,getModeForResolutionAtIndex:()=>Vet,getModeForUsageLocation:()=>pde,getModifiedTime:()=>XL,getModifiers:()=>PT,getModuleInstanceState:()=>nC,getModuleNameStringLiteralAt:()=>ej,getModuleSpecifierEndingPreference:()=>HDe,getModuleSpecifierResolverHost:()=>Ufe,getNameForExportedSymbol:()=>zZ,getNameFromImportAttribute:()=>sX,getNameFromIndexInfo:()=>sTe,getNameFromPropertyName:()=>yj,getNameOfAccessExpression:()=>Dce,getNameOfCompilerOptionValue:()=>oue,getNameOfDeclaration:()=>No,getNameOfExpando:()=>Bae,getNameOfJSDocTypedef:()=>c2e,getNameOfScriptTarget:()=>FK,getNameOrArgument:()=>gV,getNameTable:()=>s_e,getNamespaceDeclarationNode:()=>Y6,getNewLineCharacter:()=>mS,getNewLineKind:()=>Nj,getNewLineOrDefaultFromHost:()=>rC,getNewTargetContainer:()=>xTe,getNextJSDocCommentLocation:()=>zae,getNodeChildren:()=>Dle,getNodeForGeneratedName:()=>wH,getNodeId:()=>Sc,getNodeKind:()=>gD,getNodeModifiers:()=>a5,getNodeModulePathParts:()=>iX,getNonAssignedNameOfDeclaration:()=>oG,getNonAssignmentOperatorForCompoundAssignment:()=>U7,getNonAugmentationDeclaration:()=>xae,getNonDecoratorTokenPosOfNode:()=>yae,getNonIncrementalBuildInfoRoots:()=>KLe,getNonModifierTokenPosOfNode:()=>K2e,getNormalizedAbsolutePath:()=>wo,getNormalizedAbsolutePathWithoutRoot:()=>Poe,getNormalizedPathComponents:()=>SW,getObjectFlags:()=>Or,getOperatorAssociativity:()=>ice,getOperatorPrecedence:()=>PV,getOptionFromName:()=>eue,getOptionsForLibraryResolution:()=>_ue,getOptionsNameMap:()=>A3,getOrCreateEmitNode:()=>ch,getOrUpdate:()=>mp,getOriginalNode:()=>Ql,getOriginalNodeId:()=>d_,getOutputDeclarationFileName:()=>j3,getOutputDeclarationFileNameWorker:()=>Zue,getOutputExtension:()=>DQ,getOutputFileNames:()=>Pet,getOutputJSFileNameWorker:()=>Yue,getOutputPathsFor:()=>K7,getOwnEmitOutputFilePath:()=>JTe,getOwnKeys:()=>wr,getOwnValues:()=>So,getPackageJsonTypesVersionsPaths:()=>iQ,getPackageNameFromTypesPackageName:()=>H7,getPackageScopeForPath:()=>V7,getParameterSymbolFromJSDoc:()=>kV,getParentNodeInSpan:()=>bj,getParseTreeNode:()=>Mo,getParsedCommandLineOfConfigFile:()=>IH,getPathComponents:()=>af,getPathFromPathComponents:()=>sS,getPathUpdater:()=>Dhe,getPathsBasePath:()=>pK,getPatternFromSpec:()=>FDe,getPendingEmitKindWithSeen:()=>zQ,getPositionOfLineAndCharacter:()=>xW,getPossibleGenericSignatures:()=>Afe,getPossibleOriginalInputExtensionForExtension:()=>KTe,getPossibleTypeArgumentsInfo:()=>Ofe,getPreEmitDiagnostics:()=>Aet,getPrecedingNonSpaceCharacterPosition:()=>OZ,getPrivateIdentifier:()=>zue,getProperties:()=>Hue,getProperty:()=>hr,getPropertyArrayElementValue:()=>bTe,getPropertyAssignmentAliasLikeExpression:()=>VTe,getPropertyNameForPropertyNameNode:()=>CI,getPropertyNameFromType:()=>Gm,getPropertyNameOfBindingOrAssignmentElement:()=>Mle,getPropertySymbolFromBindingElement:()=>PZ,getPropertySymbolsFromContextualType:()=>_Y,getQuoteFromPreference:()=>$fe,getQuotePreference:()=>$p,getRangesWhere:()=>Ey,getRefactorContextSpan:()=>fA,getReferencedFileLocation:()=>Y7,getRegexFromPattern:()=>ax,getRegularExpressionForWildcard:()=>Y8,getRegularExpressionsForWildcards:()=>jK,getRelativePathFromDirectory:()=>c0,getRelativePathFromFile:()=>o8,getRelativePathToDirectoryOrUrl:()=>QL,getRenameLocation:()=>wj,getReplacementSpanForContextToken:()=>Bfe,getResolutionDiagnostic:()=>wde,getResolutionModeOverride:()=>z3,getResolveJsonModule:()=>XT,getResolvePackageJsonExports:()=>JV,getResolvePackageJsonImports:()=>OK,getResolvedExternalModuleName:()=>ace,getResolvedModuleFromResolution:()=>sP,getResolvedTypeReferenceDirectiveFromResolution:()=>EG,getRestIndicatorOfBindingOrAssignmentElement:()=>RX,getRestParameterElementType:()=>Aae,getRightMostAssignedExpression:()=>mV,getRootDeclaration:()=>A1,getRootDirectoryOfResolutionCache:()=>tPe,getRootLength:()=>Ly,getScriptKind:()=>nhe,getScriptKindFromFileName:()=>$K,getScriptTargetFeatures:()=>vae,getSelectedEffectiveModifierFlags:()=>bP,getSelectedSyntacticModifierFlags:()=>nDe,getSemanticClassifications:()=>VAe,getSemanticJsxChildren:()=>r3,getSetAccessorTypeAnnotationNode:()=>QTe,getSetAccessorValueParameter:()=>a3,getSetExternalModuleIndicator:()=>$V,getShebang:()=>rG,getSingleVariableOfVariableStatement:()=>pP,getSnapshotText:()=>uA,getSnippetElement:()=>rle,getSourceFileOfModule:()=>LG,getSourceFileOfNode:()=>_n,getSourceFilePathInNewDir:()=>gK,getSourceFileVersionAsHashFromText:()=>QQ,getSourceFilesToEmit:()=>mK,getSourceMapRange:()=>yS,getSourceMapper:()=>i4e,getSourceTextOfNodeFromSourceFile:()=>RT,getSpanOfTokenAtPosition:()=>E1,getSpellingSuggestion:()=>LT,getStartPositionOfLine:()=>Yw,getStartPositionOfRange:()=>G8,getStartsOnNewLine:()=>f7,getStaticPropertiesAndClassStaticBlock:()=>bQ,getStrictOptionValue:()=>ah,getStringComparer:()=>E6,getSubPatternFromSpec:()=>zK,getSuperCallFromStatement:()=>yQ,getSuperContainer:()=>oV,getSupportedCodeFixes:()=>n_e,getSupportedExtensions:()=>e7,getSupportedExtensionsWithJsonIfResolveJsonModule:()=>QV,getSwitchedType:()=>che,getSymbolId:()=>Ba,getSymbolNameForPrivateIdentifier:()=>NV,getSymbolTarget:()=>rhe,getSyntacticClassifications:()=>HAe,getSyntacticModifierFlags:()=>_S,getSyntacticModifierFlagsNoCache:()=>hce,getSynthesizedDeepClone:()=>Ec,getSynthesizedDeepCloneWithReplacements:()=>Sj,getSynthesizedDeepClones:()=>vD,getSynthesizedDeepClonesWithReplacements:()=>she,getSyntheticLeadingComments:()=>y3,getSyntheticTrailingComments:()=>cH,getTargetLabel:()=>pZ,getTargetOfBindingOrAssignmentElement:()=>px,getTemporaryModuleResolutionState:()=>W7,getTextOfConstantValue:()=>Z2e,getTextOfIdentifierOrLiteral:()=>Lg,getTextOfJSDocComment:()=>RW,getTextOfJsxAttributeName:()=>iH,getTextOfJsxNamespacedName:()=>d7,getTextOfNode:()=>uu,getTextOfNodeFromSourceText:()=>T8,getTextOfPropertyName:()=>lP,getThisContainer:()=>Hh,getThisParameter:()=>$T,getTokenAtPosition:()=>Rs,getTokenPosOfNode:()=>ex,getTokenSourceMapRange:()=>wQe,getTouchingPropertyName:()=>R_,getTouchingToken:()=>Q3,getTrailingCommentRanges:()=>qw,getTrailingSemicolonDeferringWriter:()=>oce,getTransformers:()=>mLe,getTsBuildInfoEmitOutputFilePath:()=>h2,getTsConfigObjectLiteralExpression:()=>I8,getTsConfigPropArrayElementValue:()=>UG,getTypeAnnotationNode:()=>ZTe,getTypeArgumentOrTypeParameterList:()=>cAe,getTypeKeywordOfTypeOnlyImport:()=>Kfe,getTypeNode:()=>bEe,getTypeNodeIfAccessible:()=>p5,getTypeParameterFromJsDoc:()=>OTe,getTypeParameterOwner:()=>yXe,getTypesPackageName:()=>uQ,getUILocale:()=>hxe,getUniqueName:()=>$I,getUniqueSymbolId:()=>xAe,getUseDefineForClassFields:()=>GV,getWatchErrorSummaryDiagnosticMessage:()=>Mde,getWatchFactory:()=>ade,group:()=>qs,groupBy:()=>ra,guessIndentation:()=>W2e,handleNoEmitOptions:()=>Sde,handleWatchOptionsConfigDirTemplateSubstitution:()=>QX,hasAbstractModifier:()=>KT,hasAccessorModifier:()=>O1,hasAmbientModifier:()=>fce,hasChangesInResolutions:()=>pae,hasContextSensitiveParameters:()=>eX,hasDecorators:()=>lm,hasDocComment:()=>oAe,hasDynamicName:()=>Jb,hasEffectiveModifier:()=>xp,hasEffectiveModifiers:()=>dce,hasEffectiveReadonlyModifier:()=>z8,hasExtension:()=>N6,hasImplementationTSFileExtension:()=>WDe,hasIndexSignature:()=>ahe,hasInferredType:()=>aEe,hasInitializer:()=>aS,hasInvalidEscape:()=>rce,hasJSDocNodes:()=>jp,hasJSDocParameterTags:()=>f2e,hasJSFileExtension:()=>Uv,hasJsonModuleEmitEnabled:()=>RK,hasOnlyExpressionInitializer:()=>hI,hasOverrideModifier:()=>bK,hasPossibleExternalModuleReference:()=>nTe,hasProperty:()=>Li,hasPropertyAccessExpressionWithName:()=>lj,hasQuestionToken:()=>_P,hasRecordedExternalHelpers:()=>oIe,hasResolutionModeOverride:()=>nEe,hasRestParameter:()=>uae,hasScopeMarker:()=>L2e,hasStaticModifier:()=>bd,hasSyntacticModifier:()=>Zr,hasSyntacticModifiers:()=>iDe,hasTSFileExtension:()=>IP,hasTabstop:()=>eEe,hasTrailingDirectorySeparator:()=>Uw,hasType:()=>wG,hasTypeArguments:()=>$Xe,hasZeroOrOneAsteriskCharacter:()=>Ace,hostGetCanonicalFileName:()=>hS,hostUsesCaseSensitiveFileNames:()=>yP,idText:()=>Fr,identifierIsThisKeyword:()=>uce,identifierToKeywordKind:()=>aI,identity:()=>hl,identitySourceMapConsumer:()=>Bue,ignoreSourceNewlines:()=>ole,ignoredPaths:()=>XJ,importFromModuleSpecifier:()=>O8,importSyntaxAffectsModuleResolution:()=>Lce,indexOfAnyCharCode:()=>Bi,indexOfNode:()=>U6,indicesOf:()=>Nk,inferredTypesContainingFile:()=>Z7,injectClassNamedEvaluationHelperBlockIfMissing:()=>xQ,injectClassThisAssignmentIfMissing:()=>jNe,insertImports:()=>Gfe,insertSorted:()=>rm,insertStatementAfterCustomPrologue:()=>_I,insertStatementAfterStandardPrologue:()=>WXe,insertStatementsAfterCustomPrologue:()=>mae,insertStatementsAfterStandardPrologue:()=>Fv,intersperse:()=>ye,intrinsicTagNameToString:()=>Qce,introducesArgumentsExoticObject:()=>gTe,inverseJsxOptionMap:()=>DH,isAbstractConstructorSymbol:()=>vDe,isAbstractModifier:()=>PEe,isAccessExpression:()=>Sl,isAccessibilityModifier:()=>Rfe,isAccessor:()=>Qw,isAccessorModifier:()=>OEe,isAliasableExpression:()=>sK,isAmbientModule:()=>Vh,isAmbientPropertyDeclaration:()=>Tae,isAnyDirectorySeparator:()=>Ioe,isAnyImportOrBareOrAccessedRequire:()=>tTe,isAnyImportOrReExport:()=>ZW,isAnyImportOrRequireStatement:()=>iTe,isAnyImportSyntax:()=>cP,isAnySupportedFileExtension:()=>pQe,isApplicableVersionedTypesKey:()=>RH,isArgumentExpressionOfElementAccess:()=>xfe,isArray:()=>Ao,isArrayBindingElement:()=>gG,isArrayBindingOrAssignmentElement:()=>jW,isArrayBindingOrAssignmentPattern:()=>rae,isArrayBindingPattern:()=>CS,isArrayLiteralExpression:()=>jf,isArrayLiteralOrObjectLiteralDestructuringPattern:()=>TS,isArrayTypeNode:()=>hH,isArrowFunction:()=>xl,isAsExpression:()=>v7,isAssertClause:()=>VEe,isAssertEntry:()=>OQe,isAssertionExpression:()=>Hk,isAssertsKeyword:()=>NEe,isAssignmentDeclaration:()=>P8,isAssignmentExpression:()=>lf,isAssignmentOperator:()=>Gb,isAssignmentPattern:()=>S8,isAssignmentTarget:()=>fS,isAsteriskToken:()=>uH,isAsyncFunction:()=>W8,isAsyncModifier:()=>m7,isAutoAccessorPropertyDeclaration:()=>I_,isAwaitExpression:()=>hx,isAwaitKeyword:()=>dle,isBigIntLiteral:()=>p7,isBinaryExpression:()=>ur,isBinaryLogicalOperator:()=>BV,isBinaryOperatorToken:()=>_Ie,isBindableObjectDefinePropertyCall:()=>vI,isBindableStaticAccessExpression:()=>jT,isBindableStaticElementAccessExpression:()=>iK,isBindableStaticNameExpression:()=>bI,isBindingElement:()=>ec,isBindingElementOfBareOrAccessedRequire:()=>DTe,isBindingName:()=>dI,isBindingOrAssignmentElement:()=>D2e,isBindingOrAssignmentPattern:()=>VW,isBindingPattern:()=>ta,isBlock:()=>Xo,isBlockLike:()=>hA,isBlockOrCatchScoped:()=>bae,isBlockScope:()=>Dae,isBlockScopedContainerTopLevel:()=>eTe,isBooleanLiteral:()=>C8,isBreakOrContinueStatement:()=>m8,isBreakStatement:()=>LQe,isBuild:()=>wPe,isBuildInfoFile:()=>gLe,isBuilderProgram:()=>Fde,isBundle:()=>UEe,isCallChain:()=>cI,isCallExpression:()=>la,isCallExpressionTarget:()=>yfe,isCallLikeExpression:()=>MT,isCallLikeOrFunctionLikeExpression:()=>sae,isCallOrNewExpression:()=>T1,isCallOrNewExpressionTarget:()=>vfe,isCallSignatureDeclaration:()=>VP,isCallToHelper:()=>_7,isCaseBlock:()=>w7,isCaseClause:()=>x3,isCaseKeyword:()=>MEe,isCaseOrDefaultClause:()=>CG,isCatchClause:()=>lD,isCatchClauseVariableDeclaration:()=>YDe,isCatchClauseVariableDeclarationOrBindingElement:()=>Cae,isCheckJsEnabledForFile:()=>n7,isCircularBuildOrder:()=>cA,isClassDeclaration:()=>hd,isClassElement:()=>Od,isClassExpression:()=>fd,isClassInstanceProperty:()=>k2e,isClassLike:()=>ss,isClassMemberModifier:()=>tae,isClassNamedEvaluationHelperBlock:()=>sA,isClassOrTypeElement:()=>mG,isClassStaticBlockDeclaration:()=>gu,isClassThisAssignmentBlock:()=>$7,isColonToken:()=>EEe,isCommaExpression:()=>vH,isCommaListExpression:()=>C7,isCommaSequence:()=>I7,isCommaToken:()=>DEe,isComment:()=>kZ,isCommonJsExportPropertyAssignment:()=>jG,isCommonJsExportedExpression:()=>pTe,isCompoundAssignment:()=>z7,isComputedNonLiteralName:()=>YW,isComputedPropertyName:()=>Ka,isConciseBody:()=>vG,isConditionalExpression:()=>qP,isConditionalTypeNode:()=>iD,isConstAssertion:()=>Zce,isConstTypeReference:()=>O0,isConstructSignatureDeclaration:()=>fH,isConstructorDeclaration:()=>iu,isConstructorTypeNode:()=>v3,isContextualKeyword:()=>aK,isContinueStatement:()=>NQe,isCustomPrologue:()=>rV,isDebuggerStatement:()=>PQe,isDeclaration:()=>Wf,isDeclarationBindingElement:()=>WW,isDeclarationFileName:()=>df,isDeclarationName:()=>Wy,isDeclarationNameOfEnumOrNamespace:()=>Sce,isDeclarationReadonly:()=>tV,isDeclarationStatement:()=>M2e,isDeclarationWithTypeParameterChildren:()=>Iae,isDeclarationWithTypeParameters:()=>Eae,isDecorator:()=>Fd,isDecoratorTarget:()=>KPe,isDefaultClause:()=>k7,isDefaultImport:()=>hP,isDefaultModifier:()=>yX,isDefaultedExpandoInitializer:()=>ETe,isDeleteExpression:()=>FEe,isDeleteTarget:()=>$ae,isDeprecatedDeclaration:()=>UZ,isDestructuringAssignment:()=>pS,isDiskPathRoot:()=>Noe,isDoStatement:()=>IQe,isDocumentRegistryEntry:()=>Aj,isDotDotDotToken:()=>mX,isDottedName:()=>VV,isDynamicName:()=>lK,isEffectiveExternalModule:()=>aP,isEffectiveStrictModeSourceFile:()=>kae,isElementAccessChain:()=>Koe,isElementAccessExpression:()=>wl,isEmittedFileOfProgram:()=>xLe,isEmptyArrayLiteral:()=>uDe,isEmptyBindingElement:()=>s2e,isEmptyBindingPattern:()=>r2e,isEmptyObjectLiteral:()=>yce,isEmptyStatement:()=>mle,isEmptyStringLiteral:()=>Rae,isEntityName:()=>E_,isEntityNameExpression:()=>pl,isEnumConst:()=>Uk,isEnumDeclaration:()=>sD,isEnumMember:()=>SS,isEqualityOperatorKind:()=>FZ,isEqualsGreaterThanToken:()=>IEe,isExclamationToken:()=>dH,isExcludedFile:()=>qIe,isExclusivelyTypeOnlyImportOrExport:()=>_de,isExpandoPropertyDeclaration:()=>PP,isExportAssignment:()=>Il,isExportDeclaration:()=>Ju,isExportModifier:()=>BP,isExportName:()=>AX,isExportNamespaceAsDefaultDeclaration:()=>OG,isExportOrDefaultModifier:()=>SH,isExportSpecifier:()=>vh,isExportsIdentifier:()=>yI,isExportsOrModuleExportsOrAlias:()=>dD,isExpression:()=>jt,isExpressionNode:()=>F0,isExpressionOfExternalModuleImportEqualsDeclaration:()=>ZPe,isExpressionOfOptionalChainRoot:()=>fG,isExpressionStatement:()=>uf,isExpressionWithTypeArguments:()=>Yb,isExpressionWithTypeArgumentsInClassExtendsClause:()=>SK,isExternalModule:()=>wd,isExternalModuleAugmentation:()=>BT,isExternalModuleImportEqualsDeclaration:()=>qk,isExternalModuleIndicator:()=>UW,isExternalModuleNameRelative:()=>Gd,isExternalModuleReference:()=>eC,isExternalModuleSymbol:()=>H6,isExternalOrCommonJsModule:()=>Cp,isFileLevelReservedGeneratedIdentifier:()=>BW,isFileLevelUniqueName:()=>PG,isFileProbablyExternalModule:()=>kH,isFirstDeclarationOfSymbolParameter:()=>ehe,isFixablePromiseHandler:()=>Ahe,isForInOrOfStatement:()=>fI,isForInStatement:()=>xX,isForInitializer:()=>Hm,isForOfStatement:()=>_H,isForStatement:()=>s2,isFullSourceFile:()=>Fy,isFunctionBlock:()=>WT,isFunctionBody:()=>aae,isFunctionDeclaration:()=>Wu,isFunctionExpression:()=>ml,isFunctionExpressionOrArrowFunction:()=>lx,isFunctionLike:()=>Ho,isFunctionLikeDeclaration:()=>Qc,isFunctionLikeKind:()=>tP,isFunctionLikeOrClassStaticBlockDeclaration:()=>B6,isFunctionOrConstructorTypeNode:()=>T2e,isFunctionOrModuleBlock:()=>iae,isFunctionSymbol:()=>LTe,isFunctionTypeNode:()=>Hy,isGeneratedIdentifier:()=>zl,isGeneratedPrivateIdentifier:()=>uI,isGetAccessor:()=>oS,isGetAccessorDeclaration:()=>um,isGetOrSetAccessorDeclaration:()=>FW,isGlobalScopeAugmentation:()=>Ry,isGlobalSourceFile:()=>cS,isGrammarError:()=>J2e,isHeritageClause:()=>Tp,isHoistedFunction:()=>VG,isHoistedVariableStatement:()=>HG,isIdentifier:()=>ot,isIdentifierANonContextualKeyword:()=>Qae,isIdentifierName:()=>WTe,isIdentifierOrThisTypeNode:()=>uIe,isIdentifierPart:()=>jb,isIdentifierStart:()=>Py,isIdentifierText:()=>J_,isIdentifierTypePredicate:()=>yTe,isIdentifierTypeReference:()=>GDe,isIfStatement:()=>r2,isIgnoredFileFromWildCardWatching:()=>KH,isImplicitGlob:()=>Rce,isImportAttribute:()=>HEe,isImportAttributeName:()=>x2e,isImportAttributes:()=>LI,isImportCall:()=>zp,isImportClause:()=>H0,isImportDeclaration:()=>du,isImportEqualsDeclaration:()=>Wd,isImportKeyword:()=>y7,isImportMeta:()=>J6,isImportOrExportSpecifier:()=>Vk,isImportOrExportSpecifierName:()=>wAe,isImportSpecifier:()=>l_,isImportTypeAssertionContainer:()=>AQe,isImportTypeNode:()=>V1,isImportableFile:()=>Che,isInComment:()=>kS,isInCompoundLikeAssignment:()=>qae,isInExpressionContext:()=>XG,isInJSDoc:()=>dV,isInJSFile:()=>nr,isInJSXText:()=>sAe,isInJsonFile:()=>ZG,isInNonReferenceComment:()=>dAe,isInReferenceComment:()=>uAe,isInRightSideOfInternalImportEqualsDeclaration:()=>hZ,isInString:()=>lA,isInTemplateString:()=>Pfe,isInTopLevelContext:()=>JG,isInTypeQuery:()=>vP,isIncrementalBuildInfo:()=>tj,isIncrementalBundleEmitBuildInfo:()=>zLe,isIncrementalCompilation:()=>QT,isIndexSignatureDeclaration:()=>eD,isIndexedAccessTypeNode:()=>nD,isInferTypeNode:()=>DI,isInfinityOrNaNString:()=>c7,isInitializedProperty:()=>HH,isInitializedVariable:()=>UV,isInsideJsxElement:()=>wZ,isInsideJsxElementOrAttribute:()=>rAe,isInsideNodeModules:()=>Dj,isInsideTemplateLiteral:()=>pj,isInstanceOfExpression:()=>wK,isInstantiatedModule:()=>Pue,isInterfaceDeclaration:()=>zf,isInternalDeclaration:()=>V2e,isInternalModuleImportEqualsDeclaration:()=>gI,isInternalName:()=>Ple,isIntersectionTypeNode:()=>zP,isIntrinsicJsxName:()=>s3,isIterationStatement:()=>Zw,isJSDoc:()=>z0,isJSDocAllType:()=>JEe,isJSDocAugmentsTag:()=>KP,isJSDocAuthorTag:()=>BQe,isJSDocCallbackTag:()=>ble,isJSDocClassTag:()=>KEe,isJSDocCommentContainingNode:()=>SG,isJSDocConstructSignature:()=>e3,isJSDocDeprecatedTag:()=>kle,isJSDocEnumTag:()=>mH,isJSDocFunctionType:()=>T3,isJSDocImplementsTag:()=>NX,isJSDocImportTag:()=>q1,isJSDocIndexSignature:()=>eK,isJSDocLikeText:()=>Hle,isJSDocLink:()=>qEe,isJSDocLinkCode:()=>$Ee,isJSDocLinkLike:()=>rP,isJSDocLinkPlain:()=>RQe,isJSDocMemberName:()=>a2,isJSDocNameReference:()=>T7,isJSDocNamepathType:()=>FQe,isJSDocNamespaceBody:()=>PXe,isJSDocNode:()=>W6,isJSDocNonNullableType:()=>TX,isJSDocNullableType:()=>k3,isJSDocOptionalParameter:()=>nX,isJSDocOptionalType:()=>vle,isJSDocOverloadTag:()=>D3,isJSDocOverrideTag:()=>EX,isJSDocParameterTag:()=>Qm,isJSDocPrivateTag:()=>Sle,isJSDocPropertyLikeTag:()=>g8,isJSDocPropertyTag:()=>XEe,isJSDocProtectedTag:()=>wle,isJSDocPublicTag:()=>Cle,isJSDocReadonlyTag:()=>xle,isJSDocReturnTag:()=>IX,isJSDocSatisfiesExpression:()=>Kce,isJSDocSatisfiesTag:()=>LX,isJSDocSeeTag:()=>WQe,isJSDocSignature:()=>tC,isJSDocTag:()=>V6,isJSDocTemplateTag:()=>Rg,isJSDocThisTag:()=>Tle,isJSDocThrowsTag:()=>HQe,isJSDocTypeAlias:()=>Ng,isJSDocTypeAssertion:()=>FI,isJSDocTypeExpression:()=>o2,isJSDocTypeLiteral:()=>OI,isJSDocTypeTag:()=>D7,isJSDocTypedefTag:()=>MI,isJSDocUnknownTag:()=>VQe,isJSDocUnknownType:()=>GEe,isJSDocVariadicType:()=>DX,isJSXTagName:()=>X6,isJsonEqual:()=>ZK,isJsonSourceFile:()=>Eg,isJsxAttribute:()=>U1,isJsxAttributeLike:()=>bG,isJsxAttributeName:()=>iEe,isJsxAttributes:()=>cD,isJsxChild:()=>JW,isJsxClosingElement:()=>oD,isJsxClosingFragment:()=>zEe,isJsxElement:()=>Gv,isJsxExpression:()=>x7,isJsxFragment:()=>aD,isJsxNamespacedName:()=>j0,isJsxOpeningElement:()=>z1,isJsxOpeningFragment:()=>AI,isJsxOpeningLikeElement:()=>oh,isJsxOpeningLikeElementTagName:()=>XPe,isJsxSelfClosingElement:()=>PI,isJsxSpreadAttribute:()=>GP,isJsxTagNameExpression:()=>w8,isJsxText:()=>RP,isJumpStatementTarget:()=>uj,isKeyword:()=>P_,isKeywordOrPunctuation:()=>oK,isKnownSymbol:()=>LV,isLabelName:()=>Sfe,isLabelOfLabeledStatement:()=>Cfe,isLabeledStatement:()=>_x,isLateVisibilityPaintedStatement:()=>RG,isLeftHandSideExpression:()=>N_,isLet:()=>WG,isLineBreak:()=>mh,isLiteralComputedPropertyDeclarationName:()=>DV,isLiteralExpression:()=>lI,isLiteralExpressionOfObject:()=>Yoe,isLiteralImportTypeNode:()=>lS,isLiteralKind:()=>y8,isLiteralNameOfPropertyDeclarationOrIndexAccess:()=>mZ,isLiteralTypeLiteral:()=>N2e,isLiteralTypeNode:()=>bS,isLocalName:()=>iC,isLogicalOperator:()=>aDe,isLogicalOrCoalescingAssignmentExpression:()=>_ce,isLogicalOrCoalescingAssignmentOperator:()=>U8,isLogicalOrCoalescingBinaryExpression:()=>WV,isLogicalOrCoalescingBinaryOperator:()=>CK,isMappedTypeNode:()=>II,isMemberName:()=>Mv,isMetaProperty:()=>b7,isMethodDeclaration:()=>Nu,isMethodOrAccessor:()=>iP,isMethodSignature:()=>W1,isMinusToken:()=>ule,isMissingDeclaration:()=>MQe,isMissingPackageJsonInfo:()=>nNe,isModifier:()=>Fa,isModifierKind:()=>Xw,isModifierLike:()=>Ul,isModuleAugmentationExternal:()=>wae,isModuleBlock:()=>H1,isModuleBody:()=>P2e,isModuleDeclaration:()=>rd,isModuleExportName:()=>kX,isModuleExportsAccessExpression:()=>Wv,isModuleIdentifier:()=>Wae,isModuleName:()=>hIe,isModuleOrEnumDeclaration:()=>qW,isModuleReference:()=>F2e,isModuleSpecifierLike:()=>LZ,isModuleWithStringLiteralName:()=>MG,isNameOfFunctionDeclaration:()=>Tfe,isNameOfModuleDeclaration:()=>kfe,isNamedDeclaration:()=>Bf,isNamedEvaluation:()=>Sp,isNamedEvaluationSource:()=>Zae,isNamedExportBindings:()=>Qoe,isNamedExports:()=>Xm,isNamedImportBindings:()=>cae,isNamedImports:()=>j1,isNamedImportsOrExports:()=>NK,isNamedTupleMember:()=>b3,isNamespaceBody:()=>LXe,isNamespaceExport:()=>jy,isNamespaceExportDeclaration:()=>pH,isNamespaceImport:()=>Jv,isNamespaceReexportDeclaration:()=>TTe,isNewExpression:()=>rD,isNewExpressionTarget:()=>r5,isNoSubstitutionTemplateLiteral:()=>TI,isNodeArray:()=>AT,isNodeArrayMultiLine:()=>mDe,isNodeDescendantOf:()=>UT,isNodeKind:()=>_G,isNodeLikeSystem:()=>soe,isNodeModulesDirectory:()=>eG,isNodeWithPossibleHoistedDeclaration:()=>FTe,isNonContextualKeyword:()=>Xae,isNonGlobalAmbientModule:()=>Sae,isNonNullAccess:()=>tEe,isNonNullChain:()=>hG,isNonNullExpression:()=>$P,isNonStaticMethodOrAccessorWithPrivateName:()=>MNe,isNotEmittedStatement:()=>jEe,isNullishCoalesce:()=>Xoe,isNumber:()=>Ww,isNumericLiteral:()=>A_,isNumericLiteralName:()=>$v,isObjectBindingElementWithoutPropertyName:()=>vj,isObjectBindingOrAssignmentElement:()=>HW,isObjectBindingOrAssignmentPattern:()=>nae,isObjectBindingPattern:()=>dm,isObjectLiteralElement:()=>lae,isObjectLiteralElementLike:()=>Ub,isObjectLiteralExpression:()=>Aa,isObjectLiteralMethod:()=>Ig,isObjectLiteralOrClassExpressionMethodOrAccessor:()=>zG,isObjectTypeDeclaration:()=>xP,isOmittedExpression:()=>Bd,isOptionalChain:()=>gh,isOptionalChainRoot:()=>_8,isOptionalDeclaration:()=>g3,isOptionalJSDocPropertyLikeTag:()=>tH,isOptionalTypeNode:()=>vX,isOuterExpression:()=>OX,isOutermostOptionalChain:()=>p8,isOverrideModifier:()=>AEe,isPackageJsonInfo:()=>rQ,isPackedArrayLiteral:()=>Jce,isParameter:()=>$s,isParameterPropertyDeclaration:()=>vp,isParameterPropertyModifier:()=>b8,isParenthesizedExpression:()=>c_,isParenthesizedTypeNode:()=>EI,isParseTreeNode:()=>h8,isPartOfParameterDeclaration:()=>Qk,isPartOfTypeNode:()=>N1,isPartOfTypeQuery:()=>QG,isPartiallyEmittedExpression:()=>ple,isPatternMatch:()=>HJ,isPinnedComment:()=>AG,isPlainJsFile:()=>k8,isPlusToken:()=>lle,isPossiblyTypeArgumentPosition:()=>_j,isPostfixUnaryExpression:()=>_le,isPrefixUnaryExpression:()=>n2,isPrimitiveLiteralValue:()=>oX,isPrivateIdentifier:()=>Vs,isPrivateIdentifierClassElementDeclaration:()=>Wh,isPrivateIdentifierPropertyAccessExpression:()=>F6,isPrivateIdentifierSymbol:()=>jTe,isProgramUptoDate:()=>bde,isPrologueDirective:()=>I1,isPropertyAccessChain:()=>dG,isPropertyAccessEntityNameExpression:()=>HV,isPropertyAccessExpression:()=>Nr,isPropertyAccessOrQualifiedName:()=>zW,isPropertyAccessOrQualifiedNameOrImportTypeNode:()=>E2e,isPropertyAssignment:()=>_d,isPropertyDeclaration:()=>Lo,isPropertyName:()=>id,isPropertyNameLiteral:()=>P1,isPropertySignature:()=>O_,isPrototypeAccess:()=>rx,isPrototypePropertyAssignment:()=>vV,isPunctuation:()=>Kae,isPushOrUnshiftIdentifier:()=>Yae,isQualifiedName:()=>o_,isQuestionDotToken:()=>gX,isQuestionOrExclamationToken:()=>lIe,isQuestionOrPlusOrMinusToken:()=>fIe,isQuestionToken:()=>dx,isReadonlyKeyword:()=>LEe,isReadonlyKeywordOrPlusOrMinusToken:()=>dIe,isRecognizedTripleSlashComment:()=>gae,isReferenceFileLocation:()=>U3,isReferencedFile:()=>_2,isRegularExpressionLiteral:()=>ale,isRequireCall:()=>L_,isRequireVariableStatement:()=>hV,isRestParameter:()=>Oy,isRestTypeNode:()=>bX,isReturnStatement:()=>Og,isReturnStatementWithFixablePromiseHandler:()=>ZZ,isRightSideOfAccessExpression:()=>gce,isRightSideOfInstanceofExpression:()=>lDe,isRightSideOfPropertyAccess:()=>K3,isRightSideOfQualifiedName:()=>QPe,isRightSideOfQualifiedNameOrPropertyAccess:()=>q8,isRightSideOfQualifiedNameOrPropertyAccessOrJSDocMemberName:()=>cDe,isRootedDiskPath:()=>yp,isSameEntityName:()=>Q6,isSatisfiesExpression:()=>wX,isSemicolonClassElement:()=>BEe,isSetAccessor:()=>D1,isSetAccessorDeclaration:()=>kp,isShiftOperatorOrHigher:()=>Ble,isShorthandAmbientModuleSymbol:()=>QW,isShorthandPropertyAssignment:()=>lh,isSideEffectImport:()=>ele,isSignedNumericLiteral:()=>cK,isSimpleCopiableExpression:()=>fD,isSimpleInlineableExpression:()=>Uy,isSimpleParameterList:()=>jH,isSingleOrDoubleQuote:()=>_V,isSourceElement:()=>rEe,isSourceFile:()=>Os,isSourceFileFromLibrary:()=>rO,isSourceFileJS:()=>r_,isSourceFileNotJson:()=>YG,isSourceMapping:()=>PNe,isSpecialPropertyDeclaration:()=>NTe,isSpreadAssignment:()=>Kv,isSpreadElement:()=>Km,isStatement:()=>Ps,isStatementButNotDeclaration:()=>$W,isStatementOrBlock:()=>R2e,isStatementWithLocals:()=>$2e,isStatic:()=>pa,isStaticModifier:()=>WP,isString:()=>Oo,isStringANonContextualKeyword:()=>gP,isStringAndEmptyAnonymousObjectIntersection:()=>lAe,isStringDoubleQuoted:()=>tK,isStringLiteral:()=>Ha,isStringLiteralLike:()=>Bc,isStringLiteralOrJsxExpression:()=>B2e,isStringLiteralOrTemplate:()=>IAe,isStringOrNumericLiteralLike:()=>cm,isStringOrRegularExpressionOrTemplateLiteral:()=>Mfe,isStringTextContainingNode:()=>eae,isSuperCall:()=>mI,isSuperKeyword:()=>g7,isSuperProperty:()=>G_,isSupportedSourceFileName:()=>jDe,isSwitchStatement:()=>S7,isSyntaxList:()=>E3,isSyntheticExpression:()=>EQe,isSyntheticReference:()=>JP,isTagName:()=>wfe,isTaggedTemplateExpression:()=>i2,isTaggedTemplateTag:()=>GPe,isTemplateExpression:()=>CX,isTemplateHead:()=>FP,isTemplateLiteral:()=>nP,isTemplateLiteralKind:()=>Gw,isTemplateLiteralToken:()=>S2e,isTemplateLiteralTypeNode:()=>REe,isTemplateLiteralTypeSpan:()=>fle,isTemplateMiddle:()=>cle,isTemplateMiddleOrTemplateTail:()=>pG,isTemplateSpan:()=>w3,isTemplateTail:()=>pX,isTextWhiteSpaceLike:()=>pAe,isThis:()=>X3,isThisContainerOrFunctionBlock:()=>wTe,isThisIdentifier:()=>ix,isThisInTypeQuery:()=>GT,isThisInitializedDeclaration:()=>GG,isThisInitializedObjectBindingExpression:()=>kTe,isThisProperty:()=>aV,isThisTypeNode:()=>C3,isThisTypeParameter:()=>l7,isThisTypePredicate:()=>vTe,isThrowStatement:()=>yle,isToken:()=>eP,isTokenKind:()=>Zoe,isTraceEnabled:()=>mx,isTransientSymbol:()=>Rv,isTrivia:()=>n3,isTryStatement:()=>NI,isTupleTypeNode:()=>jP,isTypeAlias:()=>SV,isTypeAliasDeclaration:()=>Mg,isTypeAssertionExpression:()=>hle,isTypeDeclaration:()=>NP,isTypeElement:()=>OT,isTypeKeyword:()=>c5,isTypeKeywordTokenOrIdentifier:()=>EZ,isTypeLiteralNode:()=>a_,isTypeNode:()=>ws,isTypeNodeKind:()=>Tce,isTypeOfExpression:()=>S3,isTypeOnlyExportDeclaration:()=>w2e,isTypeOnlyImportDeclaration:()=>v8,isTypeOnlyImportOrExportDeclaration:()=>Kw,isTypeOperatorNode:()=>t2,isTypeParameterDeclaration:()=>$l,isTypePredicateNode:()=>HP,isTypeQueryNode:()=>tD,isTypeReferenceNode:()=>qp,isTypeReferenceType:()=>xG,isTypeUsableAsPropertyName:()=>Jm,isUMDExportSymbol:()=>IK,isUnaryExpression:()=>oae,isUnaryExpressionWithWrite:()=>I2e,isUnicodeIdentifierStart:()=>iG,isUnionTypeNode:()=>fx,isUrl:()=>Bke,isValidBigIntString:()=>YK,isValidESSymbolDeclaration:()=>mTe,isValidTypeOnlyAliasUseSite:()=>Yk,isValueSignatureDeclaration:()=>mP,isVarAwaitUsing:()=>iV,isVarConst:()=>$6,isVarConstLike:()=>dTe,isVarUsing:()=>nV,isVariableDeclaration:()=>_s,isVariableDeclarationInVariableStatement:()=>E8,isVariableDeclarationInitializedToBareOrAccessedRequire:()=>HT,isVariableDeclarationInitializedToRequire:()=>fV,isVariableDeclarationList:()=>Sf,isVariableLike:()=>uP,isVariableLikeOrAccessor:()=>_Te,isVariableStatement:()=>Lu,isVoidExpression:()=>UP,isWatchSet:()=>wce,isWhileStatement:()=>gle,isWhiteSpaceLike:()=>Pv,isWhiteSpaceSingleLine:()=>k1,isWithStatement:()=>WEe,isWriteAccess:()=>wP,isWriteOnlyAccess:()=>EK,isYieldExpression:()=>SX,jsxModeNeedsExplicitImport:()=>yhe,keywordPart:()=>Np,last:()=>va,lastOrUndefined:()=>rl,length:()=>P,libMap:()=>Jle,libs:()=>HX,lineBreakPart:()=>tO,loadModuleFromGlobalCache:()=>pNe,loadWithModeAwareCache:()=>QH,makeIdentifierFromModuleName:()=>Y2e,makeImport:()=>Sx,makeStringLiteral:()=>l5,mangleScopedPackageName:()=>B3,map:()=>qt,mapAllOrFail:()=>jl,mapDefined:()=>es,mapDefinedIterator:()=>ld,mapEntries:()=>Nv,mapIterator:()=>ms,mapOneOrMany:()=>_he,mapToDisplayParts:()=>m2,matchFiles:()=>Fce,matchPatternOrExact:()=>Hce,matchedText:()=>yxe,matchesExclude:()=>eQ,maxBy:()=>Qse,maybeBind:()=>to,maybeSetLocalizedDiagnosticMessages:()=>kDe,memoize:()=>Bh,memoizeOne:()=>x1,min:()=>Zse,minAndMax:()=>qDe,missingFileModifiedTime:()=>gp,modifierToFlag:()=>CP,modifiersToFlags:()=>M1,moduleExportNameIsDefault:()=>My,moduleExportNameTextEscaped:()=>FT,moduleExportNameTextUnescaped:()=>tx,moduleOptionDeclaration:()=>kIe,moduleResolutionIsEqualTo:()=>U2e,moduleResolutionNameAndModeGetter:()=>OQ,moduleResolutionOptionDeclarations:()=>Kle,moduleResolutionSupportsPackageJsonExportsAndImports:()=>f3,moduleResolutionUsesNodeModules:()=>IZ,moduleSpecifierToValidIdentifier:()=>Ij,moduleSpecifiers:()=>wS,moduleSymbolToValidIdentifier:()=>Ej,moveEmitHelpers:()=>yEe,moveRangeEnd:()=>TK,moveRangePastDecorators:()=>Kb,moveRangePastModifiers:()=>R1,moveRangePos:()=>Zk,moveSyntheticComments:()=>pEe,mutateMap:()=>Q8,mutateMapSkippingNewValues:()=>Hv,needsParentheses:()=>MZ,needsScopeMarker:()=>yG,newCaseClauseTracker:()=>JZ,newPrivateEnvironment:()=>FNe,noEmitNotification:()=>qH,noEmitSubstitution:()=>G7,noTransformers:()=>pLe,noTruncationMaximumTruncationLength:()=>fae,nodeCanBeDecorated:()=>lV,nodeHasName:()=>OW,nodeIsDecorated:()=>K6,nodeIsMissing:()=>lu,nodeIsPresent:()=>Dg,nodeIsSynthesized:()=>cl,nodeModuleNameResolver:()=>cNe,nodeModulesPathPart:()=>Xv,nodeNextJsonConfigResolver:()=>lNe,nodeOrChildIsDecorated:()=>uV,nodeOverlapsWithStartEnd:()=>gZ,nodePosToString:()=>MXe,nodeSeenTracker:()=>Z3,nodeStartsNewLexicalEnvironment:()=>ece,noop:()=>Tc,noopFileWatcher:()=>t5,normalizePath:()=>ca,normalizeSlashes:()=>Rf,normalizeSpans:()=>Hoe,not:()=>zJ,notImplemented:()=>wa,notImplementedResolver:()=>bLe,nullNodeConverters:()=>fEe,nullParenthesizerRules:()=>uEe,nullTransformationContext:()=>JH,objectAllocator:()=>Hf,operatorPart:()=>d5,optionDeclarations:()=>U0,optionMapToObject:()=>GX,optionsAffectingProgramStructure:()=>NIe,optionsForBuild:()=>Qle,optionsForWatch:()=>eA,optionsHaveChanges:()=>j6,or:()=>om,orderedRemoveItem:()=>GR,orderedRemoveItemAt:()=>zw,packageIdToPackageName:()=>NG,packageIdToString:()=>zk,parameterIsThisKeyword:()=>JT,parameterNamePart:()=>gAe,parseBaseNodeFactory:()=>vIe,parseBigInt:()=>JDe,parseBuildCommand:()=>BIe,parseCommandLine:()=>RIe,parseCommandLineWorker:()=>Yle,parseConfigFileTextToJson:()=>tue,parseConfigFileWithSystem:()=>nPe,parseConfigHostFromCompilerHostLike:()=>HQ,parseCustomTypeOption:()=>qX,parseIsolatedEntityName:()=>YP,parseIsolatedJSDocComment:()=>CIe,parseJSDocTypeExpressionForTests:()=>dZe,parseJsonConfigFileContent:()=>VZe,parseJsonSourceFileConfigFileContent:()=>PH,parseJsonText:()=>TH,parseListTypeOption:()=>OIe,parseNodeFactory:()=>l2,parseNodeModuleFromPath:()=>MH,parsePackageName:()=>cQ,parsePseudoBigInt:()=>s7,parseValidBigInt:()=>qce,pasteEdits:()=>_pe,patchWriteFileEnsuringDirectory:()=>Fke,pathContainsNodeModules:()=>yx,pathIsAbsolute:()=>r8,pathIsBareSpecifier:()=>Loe,pathIsRelative:()=>am,patternText:()=>gxe,performIncrementalCompilation:()=>rPe,performance:()=>Txe,positionBelongsToNode:()=>Efe,positionIsASICandidate:()=>BZ,positionIsSynthesized:()=>W0,positionsAreOnSameLine:()=>qm,preProcessFile:()=>tnt,probablyUsesSemicolons:()=>kj,processCommentPragmas:()=>qle,processPragmasIntoFields:()=>$le,processTaggedTemplateExpression:()=>$ue,programContainsEsModules:()=>hAe,programContainsModules:()=>fAe,projectReferenceIsEqualTo:()=>hae,propertyNamePart:()=>yAe,pseudoBigIntToString:()=>ZT,punctuationPart:()=>Sh,pushIfUnique:()=>mu,quote:()=>_5,quotePreferenceFromString:()=>qfe,rangeContainsPosition:()=>s5,rangeContainsPositionExclusive:()=>dj,rangeContainsRange:()=>Ip,rangeContainsRangeExclusive:()=>YPe,rangeContainsStartEnd:()=>fj,rangeEndIsOnSameLineAsRangeStart:()=>zV,rangeEndPositionsAreOnSameLine:()=>_De,rangeEquals:()=>Vb,rangeIsOnSingleLine:()=>wI,rangeOfNode:()=>zce,rangeOfTypeParameters:()=>Uce,rangeOverlapsWithStartEnd:()=>o5,rangeStartIsOnSameLineAsRangeEnd:()=>pDe,rangeStartPositionsAreOnSameLine:()=>DK,readBuilderProgram:()=>YQ,readConfigFile:()=>NH,readJson:()=>J8,readJsonConfigFile:()=>WIe,readJsonOrUndefined:()=>vce,reduceEachLeadingCommentRange:()=>$ke,reduceEachTrailingCommentRange:()=>Jke,reduceLeft:()=>oi,reduceLeftIterator:()=>ae,reducePathComponents:()=>rI,refactor:()=>pA,regExpEscape:()=>uQe,regularExpressionFlagToCharacterCode:()=>lXe,relativeComplement:()=>T6,removeAllComments:()=>oH,removeEmitHelper:()=>xQe,removeExtension:()=>YV,removeFileExtension:()=>s_,removeIgnoredPath:()=>qQ,removeMinAndVersionNumbers:()=>toe,removePrefix:()=>KR,removeSuffix:()=>I6,removeTrailingDirectorySeparator:()=>Mk,repeatString:()=>gj,replaceElement:()=>Fc,replaceFirstStar:()=>xI,resolutionExtensionIsTSOrJson:()=>t7,resolveConfigFileProjectName:()=>Qde,resolveJSModule:()=>sNe,resolveLibrary:()=>oQ,resolveModuleName:()=>nA,resolveModuleNameFromCache:()=>mYe,resolvePackageNameToPackageJson:()=>fue,resolvePath:()=>Ok,resolveProjectReferencePath:()=>q3,resolveTripleslashReference:()=>lde,resolveTypeReferenceDirective:()=>tNe,resolvingEmptyArray:()=>dae,returnFalse:()=>w1,returnNoopFileWatcher:()=>i5,returnTrue:()=>Pk,returnUndefined:()=>IT,returnsPromise:()=>Phe,sameFlatMap:()=>Ca,sameMap:()=>Wr,sameMapping:()=>oet,scanTokenAtPosition:()=>uTe,scanner:()=>Uh,semanticDiagnosticsOptionDeclarations:()=>DIe,serializeCompilerOptions:()=>KX,server:()=>Qht,servicesVersion:()=>qrt,setCommentRange:()=>Sd,setConfigFileInOptions:()=>aue,setConstantValue:()=>gEe,setEmitFlags:()=>tr,setGetSourceFileAsHashVersioned:()=>ZQ,setIdentifierAutoGenerate:()=>lH,setIdentifierGeneratedImportReference:()=>CEe,setIdentifierTypeArguments:()=>vS,setInternalEmitFlags:()=>aH,setLocalizedDiagnosticMessages:()=>xDe,setNodeChildren:()=>QEe,setNodeFlags:()=>XDe,setObjectAllocator:()=>wDe,setOriginalNode:()=>Ir,setParent:()=>_c,setParentRecursive:()=>Qb,setPrivateIdentifier:()=>VI,setSnippetElement:()=>sle,setSourceMapRange:()=>Ga,setStackTraceLimit:()=>JKe,setStartsOnNewLine:()=>lX,setSyntheticLeadingComments:()=>e2,setSyntheticTrailingComments:()=>OP,setSys:()=>YKe,setSysLog:()=>Oke,setTextRange:()=>Ht,setTextRangeEnd:()=>m3,setTextRangePos:()=>o7,setTextRangePosEnd:()=>V0,setTextRangePosWidth:()=>$ce,setTokenSourceMapRange:()=>_Ee,setTypeNode:()=>vEe,setUILocale:()=>_xe,setValueDeclaration:()=>bV,shouldAllowImportingTsExtension:()=>W3,shouldPreserveConstEnums:()=>sx,shouldUseUriStyleNodeCoreModules:()=>qZ,showModuleSpecifier:()=>bDe,signatureHasRestParameter:()=>Ch,signatureToDisplayParts:()=>ihe,single:()=>$L,singleElementArray:()=>KL,singleIterator:()=>Bb,singleOrMany:()=>Sg,singleOrUndefined:()=>Bm,skipAlias:()=>Vf,skipConstraint:()=>jfe,skipOuterExpressions:()=>Vu,skipParentheses:()=>Dc,skipPartiallyEmittedExpressions:()=>l0,skipTrivia:()=>Va,skipTypeChecking:()=>p3,skipTypeCheckingIgnoringNoCheck:()=>$De,skipTypeParentheses:()=>M8,skipWhile:()=>bxe,sliceAfter:()=>jce,some:()=>Ft,sortAndDeduplicate:()=>Iy,sortAndDeduplicateDiagnostics:()=>O6,sourceFileAffectingCompilerOptions:()=>Xle,sourceFileMayBeEmitted:()=>SI,sourceMapCommentRegExp:()=>Oue,sourceMapCommentRegExpDontCareLineStart:()=>INe,spacePart:()=>bu,spanMap:()=>nm,startEndContainsRange:()=>Dfe,startEndOverlapsWithStartEnd:()=>yZ,startOnNewLine:()=>bh,startTracing:()=>Nxe,startsWith:()=>so,startsWithDirectory:()=>Ooe,startsWithUnderscore:()=>ghe,startsWithUseStrict:()=>rIe,stringContainsAt:()=>RAe,stringToToken:()=>oI,stripQuotes:()=>zm,supportedDeclarationExtensions:()=>GK,supportedJSExtensionsFlat:()=>h3,supportedLocaleDirectories:()=>a2e,supportedTSExtensionsFlat:()=>Bce,supportedTSImplementationExtensions:()=>XV,suppressLeadingAndTrailingTrivia:()=>Lp,suppressLeadingTrivia:()=>ohe,suppressTrailingTrivia:()=>TAe,symbolEscapedNameNoDefault:()=>NZ,symbolName:()=>Eu,symbolNameNoDefault:()=>Jfe,symbolToDisplayParts:()=>f5,sys:()=>Pd,sysLog:()=>CW,tagNamesAreEquivalent:()=>d2,takeWhile:()=>roe,targetOptionDeclaration:()=>Gle,testFormatSettings:()=>Sit,textChangeRangeIsUnchanged:()=>i2e,textChangeRangeNewSpan:()=>f8,textChanges:()=>er,textOrKeywordPart:()=>the,textPart:()=>pm,textRangeContainsPositionInclusive:()=>NW,textRangeContainsTextSpan:()=>Xke,textRangeIntersectsWithTextSpan:()=>e2e,textSpanContainsPosition:()=>Woe,textSpanContainsTextRange:()=>Voe,textSpanContainsTextSpan:()=>Kke,textSpanEnd:()=>au,textSpanIntersection:()=>t2e,textSpanIntersectsWith:()=>LW,textSpanIntersectsWithPosition:()=>Yke,textSpanIntersectsWithTextSpan:()=>Zke,textSpanIsEmpty:()=>Gke,textSpanOverlap:()=>Qke,textSpanOverlapsWith:()=>gXe,textSpansEqual:()=>eO,textToKeywordObj:()=>tG,timestamp:()=>sl,toArray:()=>JL,toBuilderFileEmit:()=>$Le,toBuilderStateFileInfoForMultiEmit:()=>qLe,toEditorSettings:()=>Bj,toFileNameLowerCase:()=>Vw,toPath:()=>ol,toProgramEmitPending:()=>JLe,toSorted:()=>Mu,tokenIsIdentifierOrKeyword:()=>D_,tokenIsIdentifierOrKeywordOrGreaterThan:()=>Vke,tokenToString:()=>xa,trace:()=>bo,tracing:()=>Zn,tracingEnabled:()=>vW,transferSourceFileChildren:()=>ZEe,transform:()=>tst,transformClassFields:()=>JNe,transformDeclarations:()=>Xue,transformECMAScriptModule:()=>Kue,transformES2015:()=>aLe,transformES2016:()=>oLe,transformES2017:()=>QNe,transformES2018:()=>ZNe,transformES2019:()=>YNe,transformES2020:()=>eLe,transformES2021:()=>tLe,transformESDecorators:()=>XNe,transformESNext:()=>iLe,transformGenerators:()=>cLe,transformImpliedNodeFormatDependentModule:()=>uLe,transformJsx:()=>sLe,transformLegacyDecorators:()=>KNe,transformModule:()=>Gue,transformNamedEvaluation:()=>Dp,transformNodes:()=>$H,transformSystemModule:()=>lLe,transformTypeScript:()=>$Ne,transpile:()=>unt,transpileDeclaration:()=>cnt,transpileModule:()=>r4e,transpileOptionValueCompilerOptions:()=>LIe,tryAddToSet:()=>of,tryAndIgnoreErrors:()=>HZ,tryCast:()=>Jr,tryDirectoryExists:()=>VZ,tryExtractTSExtension:()=>xK,tryFileExists:()=>Tj,tryGetClassExtendingExpressionWithTypeArguments:()=>pce,tryGetClassImplementingOrExtendingExpressionWithTypeArguments:()=>mce,tryGetDirectories:()=>WZ,tryGetExtensionFromPath:()=>qv,tryGetImportFromModuleSpecifier:()=>CV,tryGetJSDocSatisfiesTypeNode:()=>rX,tryGetModuleNameFromFile:()=>bH,tryGetModuleSpecifierFromDeclaration:()=>Z6,tryGetNativePerformanceHooks:()=>kxe,tryGetPropertyAccessOrIdentifierToString:()=>jV,tryGetPropertyNameOfBindingOrAssignmentElement:()=>FX,tryGetSourceMappingURL:()=>NNe,tryGetTextOfPropertyName:()=>D8,tryParseJson:()=>kK,tryParsePattern:()=>_3,tryParsePatterns:()=>XK,tryParseRawSourceMap:()=>LNe,tryReadDirectory:()=>uhe,tryReadFile:()=>A7,tryRemoveDirectoryPrefix:()=>Mce,tryRemoveExtension:()=>UDe,tryRemovePrefix:()=>noe,tryRemoveSuffix:()=>mxe,typeAcquisitionDeclarations:()=>zX,typeAliasNamePart:()=>vAe,typeDirectiveIsEqualTo:()=>q2e,typeKeywords:()=>Hfe,typeParameterNamePart:()=>bAe,typeToDisplayParts:()=>Cj,unchangedPollThresholds:()=>KJ,unchangedTextChangeRange:()=>sG,unescapeLeadingUnderscores:()=>Ws,unmangleScopedPackageName:()=>FH,unorderedRemoveItem:()=>GL,unreachableCodeIsError:()=>IDe,unsetNodeChildren:()=>Ele,unusedLabelIsError:()=>NDe,unwrapInnermostStatementOfLabel:()=>Oae,unwrapParenthesizedExpression:()=>oEe,updateErrorForNoInputFiles:()=>YX,updateLanguageServiceSourceFile:()=>r_e,updateMissingFilePathsWatch:()=>ode,updateResolutionField:()=>O3,updateSharedExtendedConfigFileWatcher:()=>NQ,updateSourceFile:()=>jle,updateWatchingWildcardDirectories:()=>GH,usingSingleLineStringWriter:()=>z6,utf16EncodeAsString:()=>d8,validateLocaleAndSetLanguage:()=>zoe,version:()=>v,versionMajorMinor:()=>m,visitArray:()=>VH,visitCommaListElements:()=>mQ,visitEachChild:()=>dn,visitFunctionBody:()=>_m,visitIterationBody:()=>u_,visitLexicalEnvironment:()=>Aue,visitNode:()=>bt,visitNodes:()=>Dn,visitParameterList:()=>yu,walkUpBindingElementsAndPatterns:()=>YL,walkUpOuterExpressions:()=>sIe,walkUpParenthesizedExpressions:()=>By,walkUpParenthesizedTypes:()=>TV,walkUpParenthesizedTypesAndGetParentAndChild:()=>BTe,whitespaceOrMapCommentRegExp:()=>Mue,writeCommentRange:()=>c3,writeFile:()=>yK,writeFileEnsuringDirectories:()=>lce,zipWith:()=>me}),n.exports=d(f);var m="5.6",v="5.6.3",x=(t=>(t[t.LessThan=-1]="LessThan",t[t.EqualTo=0]="EqualTo",t[t.GreaterThan=1]="GreaterThan",t))(x||{}),w=[],I=new Map;function P(t){return t!==void 0?t.length:0}function O(t,r){if(t!==void 0)for(let a=0;a<t.length;a++){const u=r(t[a],a);if(u)return u}}function z(t,r){if(t!==void 0)for(let a=t.length-1;a>=0;a--){const u=r(t[a],a);if(u)return u}}function J(t,r){if(t!==void 0)for(let a=0;a<t.length;a++){const u=r(t[a],a);if(u!==void 0)return u}}function Y(t,r){for(const a of t){const u=r(a);if(u!==void 0)return u}}function ae(t,r,a){let u=a;if(t){let h=0;for(const p of t)u=r(u,p,h),h++}return u}function me(t,r,a){const u=[];j.assertEqual(t.length,r.length);for(let h=0;h<t.length;h++)u.push(a(t[h],r[h],h));return u}function ye(t,r){if(t.length<=1)return t;const a=[];for(let u=0,h=t.length;u<h;u++)u!==0&&a.push(r),a.push(t[u]);return a}function Ce(t,r){if(t!==void 0){for(let a=0;a<t.length;a++)if(!r(t[a],a))return!1}return!0}function Fe(t,r,a){if(t!==void 0)for(let u=a??0;u<t.length;u++){const h=t[u];if(r(h,u))return h}}function rt(t,r,a){if(t!==void 0)for(let u=a??t.length-1;u>=0;u--){const h=t[u];if(r(h,u))return h}}function ct(t,r,a){if(t===void 0)return-1;for(let u=a??0;u<t.length;u++)if(r(t[u],u))return u;return-1}function Mt(t,r,a){if(t===void 0)return-1;for(let u=a??t.length-1;u>=0;u--)if(r(t[u],u))return u;return-1}function Yt(t,r,a=Hw){if(t!==void 0){for(let u=0;u<t.length;u++)if(a(t[u],r))return!0}return!1}function Bi(t,r,a){for(let u=a??0;u<t.length;u++)if(Yt(r,t.charCodeAt(u)))return u;return-1}function $i(t,r){let a=0;if(t!==void 0)for(let u=0;u<t.length;u++){const h=t[u];r(h,u)&&a++}return a}function $t(t,r){if(t!==void 0){const a=t.length;let u=0;for(;u<a&&r(t[u]);)u++;if(u<a){const h=t.slice(0,u);for(u++;u<a;){const p=t[u];r(p)&&h.push(p),u++}return h}}return t}function Hi(t,r){let a=0;for(let u=0;u<t.length;u++)r(t[u],u,t)&&(t[a]=t[u],a++);t.length=a}function An(t){t.length=0}function qt(t,r){let a;if(t!==void 0){a=[];for(let u=0;u<t.length;u++)a.push(r(t[u],u))}return a}function*ms(t,r){for(const a of t)yield r(a)}function Wr(t,r){if(t!==void 0)for(let a=0;a<t.length;a++){const u=t[a],h=r(u,a);if(u!==h){const p=t.slice(0,a);for(p.push(h),a++;a<t.length;a++)p.push(r(t[a],a));return p}}return t}function Dr(t){const r=[];for(let a=0;a<t.length;a++){const u=t[a];u&&(Ao(u)?Sr(r,u):r.push(u))}return r}function Rr(t,r){let a;if(t!==void 0)for(let u=0;u<t.length;u++){const h=r(t[u],u);h&&(Ao(h)?a=Sr(a,h):a=fn(a,h))}return a??w}function na(t,r){const a=[];if(t!==void 0)for(let u=0;u<t.length;u++){const h=r(t[u],u);h&&(Ao(h)?Sr(a,h):a.push(h))}return a}function*$d(t,r){for(const a of t){const u=r(a);u&&(yield*u)}}function Ca(t,r){let a;if(t!==void 0)for(let u=0;u<t.length;u++){const h=t[u],p=r(h,u);(a||h!==p||Ao(p))&&(a||(a=t.slice(0,u)),Ao(p)?Sr(a,p):a.push(p))}return a??t}function jl(t,r){const a=[];for(let u=0;u<t.length;u++){const h=r(t[u],u);if(h===void 0)return;a.push(h)}return a}function es(t,r){const a=[];if(t!==void 0)for(let u=0;u<t.length;u++){const h=r(t[u],u);h!==void 0&&a.push(h)}return a}function*ld(t,r){for(const a of t){const u=r(a);u!==void 0&&(yield u)}}function mp(t,r,a){if(t.has(r))return t.get(r);const u=a();return t.set(r,u),u}function of(t,r){return t.has(r)?!1:(t.add(r),!0)}function*Bb(t){yield t}function nm(t,r,a){let u;if(t!==void 0){u=[];const h=t.length;let p,g,C=0,b=0;for(;C<h;){for(;b<h;){const T=t[b];if(g=r(T,b),b===0)p=g;else if(g!==p)break;b++}if(C<b){const T=a(t.slice(C,b),p,C,b);T&&u.push(T),C=b}p=g,b++}}return u}function Nv(t,r){if(t===void 0)return;const a=new Map;return t.forEach((u,h)=>{const[p,g]=r(h,u);a.set(p,g)}),a}function Ft(t,r){if(t!==void 0)if(r!==void 0){for(let a=0;a<t.length;a++)if(r(t[a]))return!0}else return t.length>0;return!1}function Ey(t,r,a){let u;for(let h=0;h<t.length;h++)r(t[h])?u=u===void 0?h:u:u!==void 0&&(a(u,h),u=void 0);u!==void 0&&a(u,t.length)}function Js(t,r){return r===void 0||r.length===0?t:t===void 0||t.length===0?r:[...t,...r]}function k6(t,r){return r}function Nk(t){return t.map(k6)}function _W(t,r,a){const u=Nk(t);tI(t,u,a);let h=t[u[0]];const p=[u[0]];for(let g=1;g<u.length;g++){const C=u[g],b=t[C];r(h,b)||(p.push(C),h=b)}return p.sort(),p.map(g=>t[g])}function pW(t,r){const a=[];for(let u=0;u<t.length;u++)mu(a,t[u],r);return a}function Wb(t,r,a){return t.length===0?[]:t.length===1?t.slice():a?_W(t,r,a):pW(t,r)}function eI(t,r){if(t.length===0)return w;let a=t[0];const u=[a];for(let h=1;h<t.length;h++){const p=t[h];switch(r(p,a)){case!0:case 0:continue;case-1:return j.fail("Array is unsorted.")}u.push(a=p)}return u}function Lk(){return[]}function rm(t,r,a,u,h){if(t.length===0)return t.push(r),!0;const p=Jt(t,r,hl,a);if(p<0){if(u&&!h){const g=~p;if(g>0&&u(r,t[g-1]))return!1;if(g<t.length&&u(r,t[g]))return t.splice(g,1,r),!0}return t.splice(~p,0,r),!0}return h?(t.splice(p,0,r),!0):!1}function Iy(t,r,a){return eI(Mu(t,r),a??r??sh)}function i_(t,r,a=Hw){if(t===void 0||r===void 0)return t===r;if(t.length!==r.length)return!1;for(let u=0;u<t.length;u++)if(!a(t[u],r[u],u))return!1;return!0}function Rw(t){let r;if(t!==void 0)for(let a=0;a<t.length;a++){const u=t[a];(r??!u)&&(r??(r=t.slice(0,a)),u&&r.push(u))}return r??t}function T6(t,r,a){if(!r||!t||r.length===0||t.length===0)return r;const u=[];e:for(let h=0,p=0;p<r.length;p++){p>0&&j.assertGreaterThanOrEqual(a(r[p],r[p-1]),0);t:for(const g=h;h<t.length;h++)switch(h>g&&j.assertGreaterThanOrEqual(a(t[h],t[h-1]),0),a(r[p],t[h])){case-1:u.push(r[p]);continue e;case 0:continue e;case 1:continue t}}return u}function fn(t,r){return r===void 0?t:t===void 0?[r]:(t.push(r),t)}function rS(t,r){return t===void 0?r:r===void 0?t:Ao(t)?Ao(r)?Js(t,r):fn(t,r):Ao(r)?fn(r,t):[t,r]}function Fw(t,r){return r<0?t.length+r:r}function Sr(t,r,a,u){if(r===void 0||r.length===0)return t;if(t===void 0)return r.slice(a,u);a=a===void 0?0:Fw(r,a),u=u===void 0?r.length:Fw(r,u);for(let h=a;h<u&&h<r.length;h++)r[h]!==void 0&&t.push(r[h]);return t}function mu(t,r,a){return Yt(t,r,a)?!1:(t.push(r),!0)}function n_(t,r,a){return t!==void 0?(mu(t,r,a),t):[r]}function tI(t,r,a){r.sort((u,h)=>a(t[u],t[h])||_l(u,h))}function Mu(t,r){return t.length===0?w:t.slice().sort(r)}function*Bw(t){for(let r=t.length-1;r>=0;r--)yield t[r]}function Vb(t,r,a,u){for(;a<u;){if(t[a]!==r[a])return!1;a++}return!0}var sm=Array.prototype.at?(t,r)=>t==null?void 0:t.at(r):(t,r)=>{if(t!==void 0&&(r=Fw(t,r),r<t.length))return t[r]};function Ra(t){return t===void 0||t.length===0?void 0:t[0]}function k_(t){if(t!==void 0)for(const r of t)return r}function ya(t){return j.assert(t.length!==0),t[0]}function D6(t){for(const r of t)return r;j.fail("iterator is empty")}function rl(t){return t===void 0||t.length===0?void 0:t[t.length-1]}function va(t){return j.assert(t.length!==0),t[t.length-1]}function Bm(t){return t!==void 0&&t.length===1?t[0]:void 0}function $L(t){return j.checkDefined(Bm(t))}function Sg(t){return t!==void 0&&t.length===1?t[0]:t}function Fc(t,r,a){const u=t.slice(0);return u[r]=a,u}function Jt(t,r,a,u,h){return ti(t,a(r),a,u,h)}function ti(t,r,a,u,h){if(!Ft(t))return-1;let p=h??0,g=t.length-1;for(;p<=g;){const C=p+(g-p>>1),b=a(t[C],C);switch(u(b,r)){case-1:p=C+1;break;case 0:return C;case 1:g=C-1;break}}return~p}function oi(t,r,a,u,h){if(t&&t.length>0){const p=t.length;if(p>0){let g=u===void 0||u<0?0:u;const C=h===void 0||g+h>p-1?p-1:g+h;let b;for(arguments.length<=2?(b=t[g],g++):b=a;g<=C;)b=r(b,t[g],g),g++;return b}}return a}var Vi=Object.prototype.hasOwnProperty;function Li(t,r){return Vi.call(t,r)}function hr(t,r){return Vi.call(t,r)?t[r]:void 0}function wr(t){const r=[];for(const a in t)Vi.call(t,a)&&r.push(a);return r}function vo(t){const r=[];do{const a=Object.getOwnPropertyNames(t);for(const u of a)mu(r,u)}while(t=Object.getPrototypeOf(t));return r}function So(t){const r=[];for(const a in t)Vi.call(t,a)&&r.push(t[a]);return r}function zc(t,r){const a=new Array(t);for(let u=0;u<t;u++)a[u]=r(u);return a}function as(t,r){const a=[];for(const u of t)a.push(r?r(u):u);return a}function $u(t,...r){for(const a of r)if(a!==void 0)for(const u in a)Li(a,u)&&(t[u]=a[u]);return t}function Af(t,r,a=Hw){if(t===r)return!0;if(!t||!r)return!1;for(const u in t)if(Vi.call(t,u)&&(!Vi.call(r,u)||!a(t[u],r[u])))return!1;for(const u in r)if(Vi.call(r,u)&&!Vi.call(t,u))return!1;return!0}function Ld(t,r,a=hl){const u=new Map;for(let h=0;h<t.length;h++){const p=t[h],g=r(p);g!==void 0&&u.set(g,a(p))}return u}function Sa(t,r,a=hl){const u=[];for(let h=0;h<t.length;h++){const p=t[h];u[r(p)]=a(p)}return u}function Cn(t,r,a=hl){const u=Of();for(let h=0;h<t.length;h++){const p=t[h];u.add(r(p),a(p))}return u}function qs(t,r,a=hl){return as(Cn(t,r).values(),a)}function ra(t,r){const a={};if(t!==void 0)for(let u=0;u<t.length;u++){const h=t[u],p=`${r(h)}`;(a[p]??(a[p]=[])).push(h)}return a}function Jd(t){const r={};for(const a in t)Vi.call(t,a)&&(r[a]=t[a]);return r}function T_(t,r){const a={};for(const u in r)Vi.call(r,u)&&(a[u]=r[u]);for(const u in t)Vi.call(t,u)&&(a[u]=t[u]);return a}function wg(t,r){for(const a in r)Vi.call(r,a)&&(t[a]=r[a])}function to(t,r){return r==null?void 0:r.bind(t)}function Of(){const t=new Map;return t.add=WJ,t.remove=Kse,t}function WJ(t,r){let a=this.get(t);return a!==void 0?a.push(r):this.set(t,a=[r]),a}function Kse(t,r){const a=this.get(t);a!==void 0&&(GL(a,r),a.length||this.delete(t))}function JR(t){const r=(t==null?void 0:t.slice())??[];let a=0;function u(){return a===r.length}function h(...g){r.push(...g)}function p(){if(u())throw new Error("Queue is empty");const g=r[a];if(r[a]=void 0,a++,a>100&&a>r.length>>1){const C=r.length-a;r.copyWithin(0,a),r.length=C,a=0}return g}return{enqueue:h,dequeue:p,isEmpty:u}}function Xse(t,r){const a=new Map;let u=0;function*h(){for(const g of a.values())Ao(g)?yield*g:yield g}const p={has(g){const C=t(g);if(!a.has(C))return!1;const b=a.get(C);return Ao(b)?Yt(b,g,r):r(b,g)},add(g){const C=t(g);if(a.has(C)){const b=a.get(C);if(Ao(b))Yt(b,g,r)||(b.push(g),u++);else{const T=b;r(T,g)||(a.set(C,[T,g]),u++)}}else a.set(C,g),u++;return this},delete(g){const C=t(g);if(!a.has(C))return!1;const b=a.get(C);if(Ao(b)){for(let T=0;T<b.length;T++)if(r(b[T],g))return b.length===1?a.delete(C):b.length===2?a.set(C,b[1-T]):OKe(b,T),u--,!0}else if(r(b,g))return a.delete(C),u--,!0;return!1},clear(){a.clear(),u=0},get size(){return u},forEach(g){for(const C of as(a.values()))if(Ao(C))for(const b of C)g(b,b,p);else{const b=C;g(b,b,p)}},keys(){return h()},values(){return h()},*entries(){for(const g of h())yield[g,g]},[Symbol.iterator]:()=>h(),[Symbol.toStringTag]:a[Symbol.toStringTag]};return p}function Ao(t){return Array.isArray(t)}function JL(t){return Ao(t)?t:[t]}function Oo(t){return typeof t=="string"}function Ww(t){return typeof t=="number"}function Jr(t,r){return t!==void 0&&r(t)?t:void 0}function ha(t,r){return t!==void 0&&r(t)?t:j.fail(`Invalid cast. The supplied value ${t} did not pass the test '${j.getFunctionName(r)}'.`)}function Tc(t){}function w1(){return!1}function Pk(){return!0}function IT(){}function hl(t){return t}function mAt(t){return t.toLowerCase()}var PKe=/[^\u0130\u0131\u00DFa-z0-9\\/:\-_. ]+/g;function Vw(t){return PKe.test(t)?t.replace(PKe,mAt):t}function wa(){throw new Error("Not implemented")}function Bh(t){let r;return()=>(t&&(r=t(),t=void 0),r)}function x1(t){const r=new Map;return a=>{const u=`${typeof a}:${a}`;let h=r.get(u);return h===void 0&&!r.has(u)&&(h=t(a),r.set(u,h)),h}}var dxe=(t=>(t[t.None=0]="None",t[t.Normal=1]="Normal",t[t.Aggressive=2]="Aggressive",t[t.VeryAggressive=3]="VeryAggressive",t))(dxe||{});function Hw(t,r){return t===r}function jw(t,r){return t===r||t!==void 0&&r!==void 0&&t.toUpperCase()===r.toUpperCase()}function NT(t,r){return Hw(t,r)}function AKe(t,r){return t===r?0:t===void 0?-1:r===void 0?1:t<r?-1:1}function _l(t,r){return AKe(t,r)}function VJ(t,r){return _l(t==null?void 0:t.start,r==null?void 0:r.start)||_l(t==null?void 0:t.length,r==null?void 0:r.length)}function Qse(t,r,a){for(let u=0;u<t.length;u++)r=Math.max(r,a(t[u]));return r}function Zse(t,r){return oi(t,(a,u)=>r(a,u)===-1?a:u)}function mW(t,r){return t===r?0:t===void 0?-1:r===void 0?1:(t=t.toUpperCase(),r=r.toUpperCase(),t<r?-1:t>r?1:0)}function fxe(t,r){return t===r?0:t===void 0?-1:r===void 0?1:(t=t.toLowerCase(),r=r.toLowerCase(),t<r?-1:t>r?1:0)}function sh(t,r){return AKe(t,r)}function E6(t){return t?mW:sh}var gAt=(()=>{return r;function t(a,u,h){if(a===u)return 0;if(a===void 0)return-1;if(u===void 0)return 1;const p=h(a,u);return p<0?-1:p>0?1:0}function r(a){const u=new Intl.Collator(a,{usage:"sort",sensitivity:"variant",numeric:!0}).compare;return(h,p)=>t(h,p,u)}})(),Yse,eoe;function hxe(){return eoe}function _xe(t){eoe!==t&&(eoe=t,Yse=void 0)}function gW(t,r){return Yse??(Yse=gAt(eoe)),Yse(t,r)}function pxe(t,r,a,u){return t===r?0:t===void 0?-1:r===void 0?1:u(t[a],r[a])}function Ak(t,r){return _l(t?1:0,r?1:0)}function LT(t,r,a){const u=Math.max(2,Math.floor(t.length*.34));let h=Math.floor(t.length*.4)+1,p;for(const g of r){const C=a(g);if(C!==void 0&&Math.abs(C.length-t.length)<=u){if(C===t||C.length<3&&C.toLowerCase()!==t.toLowerCase())continue;const b=yAt(t,C,h-.1);if(b===void 0)continue;j.assert(b<h),h=b,p=g}}return p}function yAt(t,r,a){let u=new Array(r.length+1),h=new Array(r.length+1);const p=a+.01;for(let C=0;C<=r.length;C++)u[C]=C;for(let C=1;C<=t.length;C++){const b=t.charCodeAt(C-1),T=Math.ceil(C>a?C-a:1),E=Math.floor(r.length>a+C?a+C:r.length);h[0]=C;let N=C;for(let F=1;F<T;F++)h[F]=p;for(let F=T;F<=E;F++){const H=t[C-1].toLowerCase()===r[F-1].toLowerCase()?u[F-1]+.1:u[F-1]+2,U=b===r.charCodeAt(F-1)?u[F-1]:Math.min(u[F]+1,h[F-1]+1,H);h[F]=U,N=Math.min(N,U)}for(let F=E+1;F<=r.length;F++)h[F]=p;if(N>a)return;const R=u;u=h,h=R}const g=u[r.length];return g>a?void 0:g}function su(t,r,a){const u=t.length-r.length;return u>=0&&(a?jw(t.slice(u),r):t.indexOf(r,u)===u)}function I6(t,r){return su(t,r)?t.slice(0,t.length-r.length):t}function mxe(t,r){return su(t,r)?t.slice(0,t.length-r.length):void 0}function toe(t){let r=t.length;for(let a=r-1;a>0;a--){let u=t.charCodeAt(a);if(u>=48&&u<=57)do--a,u=t.charCodeAt(a);while(a>0&&u>=48&&u<=57);else if(a>4&&(u===110||u===78)){if(--a,u=t.charCodeAt(a),u!==105&&u!==73||(--a,u=t.charCodeAt(a),u!==109&&u!==77))break;--a,u=t.charCodeAt(a)}else break;if(u!==45&&u!==46)break;r=a}return r===t.length?t:t.slice(0,r)}function GR(t,r){for(let a=0;a<t.length;a++)if(t[a]===r)return zw(t,a),!0;return!1}function zw(t,r){for(let a=r;a<t.length-1;a++)t[a]=t[a+1];t.pop()}function OKe(t,r){t[r]=t[t.length-1],t.pop()}function GL(t,r){return vAt(t,a=>a===r)}function vAt(t,r){for(let a=0;a<t.length;a++)if(r(t[a]))return OKe(t,a),!0;return!1}function Mf(t){return t?hl:Vw}function gxe({prefix:t,suffix:r}){return`${t}*${r}`}function yxe(t,r){return j.assert(HJ(t,r)),r.substring(t.prefix.length,r.length-t.suffix.length)}function ioe(t,r,a){let u,h=-1;for(let p=0;p<t.length;p++){const g=t[p],C=r(g);HJ(C,a)&&C.prefix.length>h&&(h=C.prefix.length,u=g)}return u}function so(t,r,a){return a?jw(t.slice(0,r.length),r):t.lastIndexOf(r,0)===0}function KR(t,r){return so(t,r)?t.substr(r.length):t}function noe(t,r,a=hl){return so(a(t),a(r))?t.substring(r.length):void 0}function HJ({prefix:t,suffix:r},a){return a.length>=t.length+r.length&&so(a,t)&&su(a,r)}function jJ(t,r){return a=>t(a)&&r(a)}function om(...t){return(...r)=>{let a;for(const u of t)if(a=u(...r),a)return a;return a}}function zJ(t){return(...r)=>!t(...r)}function MKe(t){}function KL(t){return t===void 0?void 0:[t]}function UJ(t,r,a,u,h,p){p??(p=Tc);let g=0,C=0;const b=t.length,T=r.length;let E=!1;for(;g<b&&C<T;){const N=t[g],R=r[C],F=a(N,R);F===-1?(u(N),g++,E=!0):F===1?(h(R),C++,E=!0):(p(R,N),g++,C++)}for(;g<b;)u(t[g++]),E=!0;for(;C<T;)h(r[C++]),E=!0;return E}function vxe(t){const r=[];return RKe(t,r,void 0,0),r}function RKe(t,r,a,u){for(const h of t[u]){let p;a?(p=a.slice(),p.push(h)):p=[h],u===t.length-1?r.push(p):RKe(t,r,p,u+1)}}function roe(t,r){if(t!==void 0){const a=t.length;let u=0;for(;u<a&&r(t[u]);)u++;return t.slice(0,u)}}function bxe(t,r){if(t!==void 0){const a=t.length;let u=0;for(;u<a&&r(t[u]);)u++;return t.slice(u)}}function soe(){return typeof process<"u"&&!!process.nextTick&&!process.browser&&typeof ukt<"u"}var Cxe=(t=>(t[t.Off=0]="Off",t[t.Error=1]="Error",t[t.Warning=2]="Warning",t[t.Info=3]="Info",t[t.Verbose=4]="Verbose",t))(Cxe||{}),j;(t=>{let r=0;t.currentLogLevel=2,t.isDebugging=!1;function a(at){return t.currentLogLevel<=at}t.shouldLog=a;function u(at,yi){t.loggingHost&&a(at)&&t.loggingHost.log(at,yi)}function h(at){u(3,at)}t.log=h,(at=>{function yi(Xr){u(1,Xr)}at.error=yi;function Sn(Xr){u(2,Xr)}at.warn=Sn;function dr(Xr){u(3,Xr)}at.log=dr;function us(Xr){u(4,Xr)}at.trace=us})(h=t.log||(t.log={}));const p={};function g(){return r}t.getAssertionLevel=g;function C(at){const yi=r;if(r=at,at>yi)for(const Sn of wr(p)){const dr=p[Sn];dr!==void 0&&t[Sn]!==dr.assertion&&at>=dr.level&&(t[Sn]=dr,p[Sn]=void 0)}}t.setAssertionLevel=C;function b(at){return r>=at}t.shouldAssert=b;function T(at,yi){return b(at)?!0:(p[yi]={level:at,assertion:t[yi]},t[yi]=Tc,!1)}function E(at,yi){debugger;const Sn=new Error(at?`Debug Failure. ${at}`:"Debug Failure.");throw Error.captureStackTrace&&Error.captureStackTrace(Sn,yi||E),Sn}t.fail=E;function N(at,yi,Sn){return E(`${yi||"Unexpected node."}\r
+Node ${Ne(at.kind)} was unexpected.`,Sn||N)}t.failBadSyntaxKind=N;function R(at,yi,Sn,dr){at||(yi=yi?`False expression: ${yi}`:"False expression.",Sn&&(yi+=`\r
+Verbose Debug Information: `+(typeof Sn=="string"?Sn:Sn())),E(yi,dr||R))}t.assert=R;function F(at,yi,Sn,dr,us){if(at!==yi){const Xr=Sn?dr?`${Sn} ${dr}`:Sn:"";E(`Expected ${at} === ${yi}. ${Xr}`,us||F)}}t.assertEqual=F;function H(at,yi,Sn,dr){at>=yi&&E(`Expected ${at} < ${yi}. ${Sn||""}`,dr||H)}t.assertLessThan=H;function U(at,yi,Sn){at>yi&&E(`Expected ${at} <= ${yi}`,Sn||U)}t.assertLessThanOrEqual=U;function q(at,yi,Sn){at<yi&&E(`Expected ${at} >= ${yi}`,Sn||q)}t.assertGreaterThanOrEqual=q;function X(at,yi,Sn){at==null&&E(yi,Sn||X)}t.assertIsDefined=X;function Z(at,yi,Sn){return X(at,yi,Sn||Z),at}t.checkDefined=Z;function Q(at,yi,Sn){for(const dr of at)X(dr,yi,Sn||Q)}t.assertEachIsDefined=Q;function re(at,yi,Sn){return Q(at,yi,Sn||re),at}t.checkEachDefined=re;function oe(at,yi="Illegal value:",Sn){const dr=typeof at=="object"&&Li(at,"kind")&&Li(at,"pos")?"SyntaxKind: "+Ne(at.kind):JSON.stringify(at);return E(`${yi} ${dr}`,Sn||oe)}t.assertNever=oe;function pe(at,yi,Sn,dr){T(1,"assertEachNode")&&R(yi===void 0||Ce(at,yi),Sn||"Unexpected node.",()=>`Node array did not pass test '${ke(yi)}'.`,dr||pe)}t.assertEachNode=pe;function de(at,yi,Sn,dr){T(1,"assertNode")&&R(at!==void 0&&(yi===void 0||yi(at)),Sn||"Unexpected node.",()=>`Node ${Ne(at==null?void 0:at.kind)} did not pass test '${ke(yi)}'.`,dr||de)}t.assertNode=de;function ue(at,yi,Sn,dr){T(1,"assertNotNode")&&R(at===void 0||yi===void 0||!yi(at),Sn||"Unexpected node.",()=>`Node ${Ne(at.kind)} should not have passed test '${ke(yi)}'.`,dr||ue)}t.assertNotNode=ue;function Ie(at,yi,Sn,dr){T(1,"assertOptionalNode")&&R(yi===void 0||at===void 0||yi(at),Sn||"Unexpected node.",()=>`Node ${Ne(at==null?void 0:at.kind)} did not pass test '${ke(yi)}'.`,dr||Ie)}t.assertOptionalNode=Ie;function we(at,yi,Sn,dr){T(1,"assertOptionalToken")&&R(yi===void 0||at===void 0||at.kind===yi,Sn||"Unexpected node.",()=>`Node ${Ne(at==null?void 0:at.kind)} was not a '${Ne(yi)}' token.`,dr||we)}t.assertOptionalToken=we;function he(at,yi,Sn){T(1,"assertMissingNode")&&R(at===void 0,yi||"Unexpected node.",()=>`Node ${Ne(at.kind)} was unexpected'.`,Sn||he)}t.assertMissingNode=he;function Ae(at){}t.type=Ae;function ke(at){if(typeof at!="function")return"";if(Li(at,"name"))return at.name;{const yi=Function.prototype.toString.call(at),Sn=/^function\s+([\w$]+)\s*\(/.exec(yi);return Sn?Sn[1]:""}}t.getFunctionName=ke;function Te(at){return`{ name: ${Ws(at.escapedName)}; flags: ${et(at.flags)}; declarations: ${qt(at.declarations,yi=>Ne(yi.kind))} }`}t.formatSymbol=Te;function De(at=0,yi,Sn){const dr=se(yi);if(at===0)return dr.length>0&&dr[0][0]===0?dr[0][1]:"0";if(Sn){const us=[];let Xr=at;for(const[Gs,ma]of dr){if(Gs>at)break;Gs!==0&&Gs&at&&(us.push(ma),Xr&=~Gs)}if(Xr===0)return us.join("|")}else for(const[us,Xr]of dr)if(us===at)return Xr;return at.toString()}t.formatEnum=De;const qe=new Map;function se(at){const yi=qe.get(at);if(yi)return yi;const Sn=[];for(const us in at){const Xr=at[us];typeof Xr=="number"&&Sn.push([Xr,us])}const dr=Mu(Sn,(us,Xr)=>_l(us[0],Xr[0]));return qe.set(at,dr),dr}function Ne(at){return De(at,loe,!1)}t.formatSyntaxKind=Ne;function Oe(at){return De(at,woe,!1)}t.formatSnippetKind=Oe;function He(at){return De(at,Coe,!1)}t.formatScriptKind=He;function Pe(at){return De(at,uoe,!0)}t.formatNodeFlags=Pe;function We(at){return De(at,goe,!0)}t.formatNodeCheckFlags=We;function ze(at){return De(at,doe,!0)}t.formatModifierFlags=ze;function Nt(at){return De(at,Soe,!0)}t.formatTransformFlags=Nt;function $e(at){return De(at,xoe,!0)}t.formatEmitFlags=$e;function et(at){return De(at,moe,!0)}t.formatSymbolFlags=et;function Me(at){return De(at,yoe,!0)}t.formatTypeFlags=Me;function ut(at){return De(at,boe,!0)}t.formatSignatureFlags=ut;function wt(at){return De(at,voe,!0)}t.formatObjectFlags=wt;function Et(at){return De(at,$J,!0)}t.formatFlowFlags=Et;function dt(at){return De(at,foe,!0)}t.formatRelationComparisonResult=dt;function zt(at){return De(at,Iue,!0)}t.formatCheckMode=zt;function Ei(at){return De(at,Nue,!0)}t.formatSignatureCheckMode=Ei;function tn(at){return De(at,Eue,!0)}t.formatTypeFacts=tn;let xn=!1,Pn;function _i(at){"__debugFlowFlags"in at||Object.defineProperties(at,{__tsDebuggerDisplay:{value(){const yi=this.flags&2?"FlowStart":this.flags&4?"FlowBranchLabel":this.flags&8?"FlowLoopLabel":this.flags&16?"FlowAssignment":this.flags&32?"FlowTrueCondition":this.flags&64?"FlowFalseCondition":this.flags&128?"FlowSwitchClause":this.flags&256?"FlowArrayMutation":this.flags&512?"FlowCall":this.flags&1024?"FlowReduceLabel":this.flags&1?"FlowUnreachable":"UnknownFlow",Sn=this.flags&-2048;return`${yi}${Sn?` (${Et(Sn)})`:""}`}},__debugFlowFlags:{get(){return De(this.flags,$J,!0)}},__debugToString:{value(){return Zs(this)}}})}function Fi(at){return xn&&(typeof Object.setPrototypeOf=="function"?(Pn||(Pn=Object.create(Object.prototype),_i(Pn)),Object.setPrototypeOf(at,Pn)):_i(at)),at}t.attachFlowNodeDebugInfo=Fi;let On;function pn(at){"__tsDebuggerDisplay"in at||Object.defineProperties(at,{__tsDebuggerDisplay:{value(yi){return yi=String(yi).replace(/(?:,[\s\w]+:[^,]+)+\]$/,"]"),`NodeArray ${yi}`}}})}function qr(at){xn&&(typeof Object.setPrototypeOf=="function"?(On||(On=Object.create(Array.prototype),pn(On)),Object.setPrototypeOf(at,On)):pn(at))}t.attachNodeArrayDebugInfo=qr;function Fs(){if(xn)return;const at=new WeakMap,yi=new WeakMap;Object.defineProperties(Hf.getSymbolConstructor().prototype,{__tsDebuggerDisplay:{value(){const dr=this.flags&33554432?"TransientSymbol":"Symbol",us=this.flags&-33554433;return`${dr} '${Eu(this)}'${us?` (${et(us)})`:""}`}},__debugFlags:{get(){return et(this.flags)}}}),Object.defineProperties(Hf.getTypeConstructor().prototype,{__tsDebuggerDisplay:{value(){const dr=this.flags&67359327?`IntrinsicType ${this.intrinsicName}${this.debugIntrinsicName?` (${this.debugIntrinsicName})`:""}`:this.flags&98304?"NullableType":this.flags&384?`LiteralType ${JSON.stringify(this.value)}`:this.flags&2048?`LiteralType ${this.value.negative?"-":""}${this.value.base10Value}n`:this.flags&8192?"UniqueESSymbolType":this.flags&32?"EnumType":this.flags&1048576?"UnionType":this.flags&2097152?"IntersectionType":this.flags&4194304?"IndexType":this.flags&8388608?"IndexedAccessType":this.flags&16777216?"ConditionalType":this.flags&33554432?"SubstitutionType":this.flags&262144?"TypeParameter":this.flags&524288?this.objectFlags&3?"InterfaceType":this.objectFlags&4?"TypeReference":this.objectFlags&8?"TupleType":this.objectFlags&16?"AnonymousType":this.objectFlags&32?"MappedType":this.objectFlags&1024?"ReverseMappedType":this.objectFlags&256?"EvolvingArrayType":"ObjectType":"Type",us=this.flags&524288?this.objectFlags&-1344:0;return`${dr}${this.symbol?` '${Eu(this.symbol)}'`:""}${us?` (${wt(us)})`:""}`}},__debugFlags:{get(){return Me(this.flags)}},__debugObjectFlags:{get(){return this.flags&524288?wt(this.objectFlags):""}},__debugTypeToString:{value(){let dr=at.get(this);return dr===void 0&&(dr=this.checker.typeToString(this),at.set(this,dr)),dr}}}),Object.defineProperties(Hf.getSignatureConstructor().prototype,{__debugFlags:{get(){return ut(this.flags)}},__debugSignatureToString:{value(){var dr;return(dr=this.checker)==null?void 0:dr.signatureToString(this)}}});const Sn=[Hf.getNodeConstructor(),Hf.getIdentifierConstructor(),Hf.getTokenConstructor(),Hf.getSourceFileConstructor()];for(const dr of Sn)Li(dr.prototype,"__debugKind")||Object.defineProperties(dr.prototype,{__tsDebuggerDisplay:{value(){return`${zl(this)?"GeneratedIdentifier":ot(this)?`Identifier '${Fr(this)}'`:Vs(this)?`PrivateIdentifier '${Fr(this)}'`:Ha(this)?`StringLiteral ${JSON.stringify(this.text.length<10?this.text:this.text.slice(10)+"...")}`:A_(this)?`NumericLiteral ${this.text}`:p7(this)?`BigIntLiteral ${this.text}n`:$l(this)?"TypeParameterDeclaration":$s(this)?"ParameterDeclaration":iu(this)?"ConstructorDeclaration":um(this)?"GetAccessorDeclaration":kp(this)?"SetAccessorDeclaration":VP(this)?"CallSignatureDeclaration":fH(this)?"ConstructSignatureDeclaration":eD(this)?"IndexSignatureDeclaration":HP(this)?"TypePredicateNode":qp(this)?"TypeReferenceNode":Hy(this)?"FunctionTypeNode":v3(this)?"ConstructorTypeNode":tD(this)?"TypeQueryNode":a_(this)?"TypeLiteralNode":hH(this)?"ArrayTypeNode":jP(this)?"TupleTypeNode":vX(this)?"OptionalTypeNode":bX(this)?"RestTypeNode":fx(this)?"UnionTypeNode":zP(this)?"IntersectionTypeNode":iD(this)?"ConditionalTypeNode":DI(this)?"InferTypeNode":EI(this)?"ParenthesizedTypeNode":C3(this)?"ThisTypeNode":t2(this)?"TypeOperatorNode":nD(this)?"IndexedAccessTypeNode":II(this)?"MappedTypeNode":bS(this)?"LiteralTypeNode":b3(this)?"NamedTupleMember":V1(this)?"ImportTypeNode":Ne(this.kind)}${this.flags?` (${Pe(this.flags)})`:""}`}},__debugKind:{get(){return Ne(this.kind)}},__debugNodeFlags:{get(){return Pe(this.flags)}},__debugModifierFlags:{get(){return ze(oDe(this))}},__debugTransformFlags:{get(){return Nt(this.transformFlags)}},__debugIsParseTreeNode:{get(){return h8(this)}},__debugEmitFlags:{get(){return $e(Ya(this))}},__debugGetText:{value(us){if(cl(this))return"";let Xr=yi.get(this);if(Xr===void 0){const Gs=Mo(this),ma=Gs&&_n(Gs);Xr=ma?RT(ma,Gs,us):"",yi.set(this,Xr)}return Xr}}});xn=!0}t.enableDebugInfo=Fs;function ko(at){const yi=at&7;let Sn=yi===0?"in out":yi===3?"[bivariant]":yi===2?"in":yi===1?"out":yi===4?"[independent]":"";return at&8?Sn+=" (unmeasurable)":at&16&&(Sn+=" (unreliable)"),Sn}t.formatVariance=ko;class ls{__debugToString(){var yi;switch(this.kind){case 3:return((yi=this.debugInfo)==null?void 0:yi.call(this))||"(function mapper)";case 0:return`${this.source.__debugTypeToString()} -> ${this.target.__debugTypeToString()}`;case 1:return me(this.sources,this.targets||qt(this.sources,()=>"any"),(Sn,dr)=>`${Sn.__debugTypeToString()} -> ${typeof dr=="string"?dr:dr.__debugTypeToString()}`).join(", ");case 2:return me(this.sources,this.targets,(Sn,dr)=>`${Sn.__debugTypeToString()} -> ${dr().__debugTypeToString()}`).join(", ");case 5:case 4:return`m1: ${this.mapper1.__debugToString().split(`
+`).join(`
+ `)}
+m2: ${this.mapper2.__debugToString().split(`
+`).join(`
+ `)}`;default:return oe(this)}}}t.DebugTypeMapper=ls;function Qs(at){return t.isDebugging?Object.setPrototypeOf(at,ls.prototype):at}t.attachDebugPrototypeIfDebug=Qs;function Mn(at){return console.log(Zs(at))}t.printControlFlowGraph=Mn;function Zs(at){let yi=-1;function Sn(Ue){return Ue.id||(Ue.id=yi,yi--),Ue.id}let dr;(Ue=>{Ue.lr="─",Ue.ud="│",Ue.dr="╭",Ue.dl="╮",Ue.ul="╯",Ue.ur="╰",Ue.udr="├",Ue.udl="┤",Ue.dlr="┬",Ue.ulr="┴",Ue.udlr="╫"})(dr||(dr={}));let us;(Ue=>{Ue[Ue.None=0]="None",Ue[Ue.Up=1]="Up",Ue[Ue.Down=2]="Down",Ue[Ue.Left=4]="Left",Ue[Ue.Right=8]="Right",Ue[Ue.UpDown=3]="UpDown",Ue[Ue.LeftRight=12]="LeftRight",Ue[Ue.UpLeft=5]="UpLeft",Ue[Ue.UpRight=9]="UpRight",Ue[Ue.DownLeft=6]="DownLeft",Ue[Ue.DownRight=10]="DownRight",Ue[Ue.UpDownLeft=7]="UpDownLeft",Ue[Ue.UpDownRight=11]="UpDownRight",Ue[Ue.UpLeftRight=13]="UpLeftRight",Ue[Ue.DownLeftRight=14]="DownLeftRight",Ue[Ue.UpDownLeftRight=15]="UpDownLeftRight",Ue[Ue.NoChildren=16]="NoChildren"})(us||(us={}));const Xr=2032,Gs=882,ma=Object.create(null),ka=[],Ji=Dt(at,new Set);for(const Ue of ka)Ue.text=gr(Ue.flowNode,Ue.circular),Rt(Ue);const ac=Ct(Ji),St=ii(ac);return vt(Ji,0),te();function ri(Ue){return!!(Ue.flags&128)}function xe(Ue){return!!(Ue.flags&12)&&!!Ue.antecedent}function pt(Ue){return!!(Ue.flags&Xr)}function Se(Ue){return!!(Ue.flags&Gs)}function Ze(Ue){const be=[];for(const Qt of Ue.edges)Qt.source===Ue&&be.push(Qt.target);return be}function gt(Ue){const be=[];for(const Qt of Ue.edges)Qt.target===Ue&&be.push(Qt.source);return be}function Dt(Ue,be){const Qt=Sn(Ue);let lt=ma[Qt];if(lt&&be.has(Ue))return lt.circular=!0,lt={id:-1,flowNode:Ue,edges:[],text:"",lane:-1,endLane:-1,level:-1,circular:"circularity"},ka.push(lt),lt;if(be.add(Ue),!lt)if(ma[Qt]=lt={id:Qt,flowNode:Ue,edges:[],text:"",lane:-1,endLane:-1,level:-1,circular:!1},ka.push(lt),xe(Ue))for(const st of Ue.antecedent)Je(lt,st,be);else pt(Ue)&&Je(lt,Ue.antecedent,be);return be.delete(Ue),lt}function Je(Ue,be,Qt){const lt=Dt(be,Qt),st={source:Ue,target:lt};Ue.edges.push(st),lt.edges.push(st)}function Rt(Ue){if(Ue.level!==-1)return Ue.level;let be=0;for(const Qt of gt(Ue))be=Math.max(be,Rt(Qt)+1);return Ue.level=be}function Ct(Ue){let be=0;for(const Qt of Ze(Ue))be=Math.max(be,Ct(Qt));return be+1}function ii(Ue){const be=Gt(Array(Ue),0);for(const Qt of ka)be[Qt.level]=Math.max(be[Qt.level],Qt.text.length);return be}function vt(Ue,be){if(Ue.lane===-1){Ue.lane=be,Ue.endLane=be;const Qt=Ze(Ue);for(let lt=0;lt<Qt.length;lt++){lt>0&&be++;const st=Qt[lt];vt(st,be),st.endLane>Ue.endLane&&(be=st.endLane)}Ue.endLane=be}}function Ni(Ue){if(Ue&2)return"Start";if(Ue&4)return"Branch";if(Ue&8)return"Loop";if(Ue&16)return"Assignment";if(Ue&32)return"True";if(Ue&64)return"False";if(Ue&128)return"SwitchClause";if(Ue&256)return"ArrayMutation";if(Ue&512)return"Call";if(Ue&1024)return"ReduceLabel";if(Ue&1)return"Unreachable";throw new Error}function ji(Ue){const be=_n(Ue);return RT(be,Ue,!1)}function gr(Ue,be){let Qt=Ni(Ue.flags);if(be&&(Qt=`${Qt}#${Sn(Ue)}`),ri(Ue)){const lt=[],{switchStatement:st,clauseStart:hi,clauseEnd:vn}=Ue.node;for(let ln=hi;ln<vn;ln++){const Un=st.caseBlock.clauses[ln];k7(Un)?lt.push("default"):lt.push(ji(Un.expression))}Qt+=` (${lt.join(", ")})`}else Se(Ue)&&Ue.node&&(Qt+=` (${ji(Ue.node)})`);return be==="circularity"?`Circular(${Qt})`:Qt}function te(){const Ue=St.length,be=Qse(ka,0,vn=>vn.lane)+1,Qt=Gt(Array(be),""),lt=St.map(()=>Array(be)),st=St.map(()=>Gt(Array(be),0));for(const vn of ka){lt[vn.level][vn.lane]=vn;const ln=Ze(vn);for(let Pt=0;Pt<ln.length;Pt++){const Bt=ln[Pt];let fi=8;Bt.lane===vn.lane&&(fi|=4),Pt>0&&(fi|=1),Pt<ln.length-1&&(fi|=2),st[vn.level][Bt.lane]|=fi}ln.length===0&&(st[vn.level][vn.lane]|=16);const Un=gt(vn);for(let Pt=0;Pt<Un.length;Pt++){const Bt=Un[Pt];let fi=4;Pt>0&&(fi|=1),Pt<Un.length-1&&(fi|=2),st[vn.level-1][Bt.lane]|=fi}}for(let vn=0;vn<Ue;vn++)for(let ln=0;ln<be;ln++){const Un=vn>0?st[vn-1][ln]:0,Pt=ln>0?st[vn][ln-1]:0;let Bt=st[vn][ln];Bt||(Un&8&&(Bt|=12),Pt&2&&(Bt|=3),st[vn][ln]=Bt)}for(let vn=0;vn<Ue;vn++)for(let ln=0;ln<Qt.length;ln++){const Un=st[vn][ln],Pt=Un&4?"─":" ",Bt=lt[vn][ln];Bt?(hi(ln,Bt.text),vn<Ue-1&&(hi(ln," "),hi(ln,le(Pt,St[vn]-Bt.text.length)))):vn<Ue-1&&hi(ln,le(Pt,St[vn]+1)),hi(ln,tt(Un)),hi(ln,Un&8&&vn<Ue-1&&!lt[vn+1][ln]?"─":" ")}return`
+${Qt.join(`
+`)}
+`;function hi(vn,ln){Qt[vn]+=ln}}function tt(Ue){switch(Ue){case 3:return"│";case 12:return"─";case 5:return"╯";case 9:return"╰";case 6:return"╮";case 10:return"╭";case 7:return"┤";case 11:return"├";case 13:return"┴";case 14:return"┬";case 15:return"╫"}return" "}function Gt(Ue,be){if(Ue.fill)Ue.fill(be);else for(let Qt=0;Qt<Ue.length;Qt++)Ue[Qt]=be;return Ue}function le(Ue,be){if(Ue.repeat)return be>0?Ue.repeat(be):"";let Qt="";for(;Qt.length<be;)Qt+=Ue;return Qt}}t.formatControlFlowGraph=Zs})(j||(j={}));var bAt=/^(0|[1-9]\d*)(?:\.(0|[1-9]\d*)(?:\.(0|[1-9]\d*)(?:-([a-z0-9-.]+))?(?:\+([a-z0-9-.]+))?)?)?$/i,CAt=/^(?:0|[1-9]\d*|[a-z-][a-z0-9-]*)(?:\.(?:0|[1-9]\d*|[a-z-][a-z0-9-]*))*$/i,SAt=/^(?:0|[1-9]\d*|[a-z-][a-z0-9-]*)$/i,wAt=/^[a-z0-9-]+(?:\.[a-z0-9-]+)*$/i,xAt=/^[a-z0-9-]+$/i,FKe=/^(?:0|[1-9]\d*)$/,Sxe=class QU{constructor(r,a=0,u=0,h="",p=""){typeof r=="string"&&({major:r,minor:a,patch:u,prerelease:h,build:p}=j.checkDefined(BKe(r),"Invalid version")),j.assert(r>=0,"Invalid argument: major"),j.assert(a>=0,"Invalid argument: minor"),j.assert(u>=0,"Invalid argument: patch");const g=h?Ao(h)?h:h.split("."):w,C=p?Ao(p)?p:p.split("."):w;j.assert(Ce(g,b=>SAt.test(b)),"Invalid argument: prerelease"),j.assert(Ce(C,b=>xAt.test(b)),"Invalid argument: build"),this.major=r,this.minor=a,this.patch=u,this.prerelease=g,this.build=C}static tryParse(r){const a=BKe(r);if(!a)return;const{major:u,minor:h,patch:p,prerelease:g,build:C}=a;return new QU(u,h,p,g,C)}compareTo(r){return this===r?0:r===void 0?1:_l(this.major,r.major)||_l(this.minor,r.minor)||_l(this.patch,r.patch)||kAt(this.prerelease,r.prerelease)}increment(r){switch(r){case"major":return new QU(this.major+1,0,0);case"minor":return new QU(this.major,this.minor+1,0);case"patch":return new QU(this.major,this.minor,this.patch+1);default:return j.assertNever(r)}}with(r){const{major:a=this.major,minor:u=this.minor,patch:h=this.patch,prerelease:p=this.prerelease,build:g=this.build}=r;return new QU(a,u,h,p,g)}toString(){let r=`${this.major}.${this.minor}.${this.patch}`;return Ft(this.prerelease)&&(r+=`-${this.prerelease.join(".")}`),Ft(this.build)&&(r+=`+${this.build.join(".")}`),r}};Sxe.zero=new Sxe(0,0,0,["0"]);var P0=Sxe;function BKe(t){const r=bAt.exec(t);if(!r)return;const[,a,u="0",h="0",p="",g=""]=r;if(!(p&&!CAt.test(p))&&!(g&&!wAt.test(g)))return{major:parseInt(a,10),minor:parseInt(u,10),patch:parseInt(h,10),prerelease:p,build:g}}function kAt(t,r){if(t===r)return 0;if(t.length===0)return r.length===0?0:1;if(r.length===0)return-1;const a=Math.min(t.length,r.length);for(let u=0;u<a;u++){const h=t[u],p=r[u];if(h===p)continue;const g=FKe.test(h),C=FKe.test(p);if(g||C){if(g!==C)return g?-1:1;const b=_l(+h,+p);if(b)return b}else{const b=sh(h,p);if(b)return b}}return _l(t.length,r.length)}var qJ=class oAt{constructor(r){this._alternatives=r?j.checkDefined(WKe(r),"Invalid range spec."):w}static tryParse(r){const a=WKe(r);if(a){const u=new oAt("");return u._alternatives=a,u}}test(r){return typeof r=="string"&&(r=new P0(r)),AAt(r,this._alternatives)}toString(){return RAt(this._alternatives)}},TAt=/\|\|/,DAt=/\s+/,EAt=/^([x*0]|[1-9]\d*)(?:\.([x*0]|[1-9]\d*)(?:\.([x*0]|[1-9]\d*)(?:-([a-z0-9-.]+))?(?:\+([a-z0-9-.]+))?)?)?$/i,IAt=/^\s*([a-z0-9-+.*]+)\s+-\s+([a-z0-9-+.*]+)\s*$/i,NAt=/^([~^<>=]|<=|>=)?\s*([a-z0-9-+.*]+)$/i;function WKe(t){const r=[];for(let a of t.trim().split(TAt)){if(!a)continue;const u=[];a=a.trim();const h=IAt.exec(a);if(h){if(!LAt(h[1],h[2],u))return}else for(const p of a.split(DAt)){const g=NAt.exec(p.trim());if(!g||!PAt(g[1],g[2],u))return}r.push(u)}return r}function wxe(t){const r=EAt.exec(t);if(!r)return;const[,a,u="*",h="*",p,g]=r;return{version:new P0(xg(a)?0:parseInt(a,10),xg(a)||xg(u)?0:parseInt(u,10),xg(a)||xg(u)||xg(h)?0:parseInt(h,10),p,g),major:a,minor:u,patch:h}}function LAt(t,r,a){const u=wxe(t);if(!u)return!1;const h=wxe(r);return h?(xg(u.major)||a.push(Ny(">=",u.version)),xg(h.major)||a.push(xg(h.minor)?Ny("<",h.version.increment("major")):xg(h.patch)?Ny("<",h.version.increment("minor")):Ny("<=",h.version)),!0):!1}function PAt(t,r,a){const u=wxe(r);if(!u)return!1;const{version:h,major:p,minor:g,patch:C}=u;if(xg(p))(t==="<"||t===">")&&a.push(Ny("<",P0.zero));else switch(t){case"~":a.push(Ny(">=",h)),a.push(Ny("<",h.increment(xg(g)?"major":"minor")));break;case"^":a.push(Ny(">=",h)),a.push(Ny("<",h.increment(h.major>0||xg(g)?"major":h.minor>0||xg(C)?"minor":"patch")));break;case"<":case">=":a.push(xg(g)||xg(C)?Ny(t,h.with({prerelease:"0"})):Ny(t,h));break;case"<=":case">":a.push(xg(g)?Ny(t==="<="?"<":">=",h.increment("major").with({prerelease:"0"})):xg(C)?Ny(t==="<="?"<":">=",h.increment("minor").with({prerelease:"0"})):Ny(t,h));break;case"=":case void 0:xg(g)||xg(C)?(a.push(Ny(">=",h.with({prerelease:"0"}))),a.push(Ny("<",h.increment(xg(g)?"major":"minor").with({prerelease:"0"})))):a.push(Ny("=",h));break;default:return!1}return!0}function xg(t){return t==="*"||t==="x"||t==="X"}function Ny(t,r){return{operator:t,operand:r}}function AAt(t,r){if(r.length===0)return!0;for(const a of r)if(OAt(t,a))return!0;return!1}function OAt(t,r){for(const a of r)if(!MAt(t,a.operator,a.operand))return!1;return!0}function MAt(t,r,a){const u=t.compareTo(a);switch(r){case"<":return u<0;case"<=":return u<=0;case">":return u>0;case">=":return u>=0;case"=":return u===0;default:return j.assertNever(r)}}function RAt(t){return qt(t,FAt).join(" || ")||"*"}function FAt(t){return qt(t,BAt).join(" ")}function BAt(t){return`${t.operator}${t.operand}`}function WAt(){if(soe())try{const{performance:t}=cM;if(t)return{shouldWriteNativeEvents:!1,performance:t}}catch{}if(typeof performance=="object")return{shouldWriteNativeEvents:!0,performance}}function VAt(){const t=WAt();if(!t)return;const{shouldWriteNativeEvents:r,performance:a}=t,u={shouldWriteNativeEvents:r,performance:void 0,performanceTime:void 0};return typeof a.timeOrigin=="number"&&typeof a.now=="function"&&(u.performanceTime=a),u.performanceTime&&typeof a.mark=="function"&&typeof a.measure=="function"&&typeof a.clearMarks=="function"&&typeof a.clearMeasures=="function"&&(u.performance=a),u}var xxe=VAt(),VKe=xxe==null?void 0:xxe.performanceTime;function kxe(){return xxe}var sl=VKe?()=>VKe.now():Date.now,Txe={};c(Txe,{clearMarks:()=>$Ke,clearMeasures:()=>qKe,createTimer:()=>ooe,createTimerIf:()=>HKe,disable:()=>Ixe,enable:()=>coe,forEachMark:()=>UKe,forEachMeasure:()=>aoe,getCount:()=>zKe,getDuration:()=>e8,isEnabled:()=>Exe,mark:()=>ou,measure:()=>Wm,nullTimer:()=>Dxe});var XR,iI;function HKe(t,r,a,u){return t?ooe(r,a,u):Dxe}function ooe(t,r,a){let u=0;return{enter:h,exit:p};function h(){++u===1&&ou(r)}function p(){--u===0?(ou(a),Wm(t,r,a)):u<0&&j.fail("enter/exit count does not match.")}}var Dxe={enter:Tc,exit:Tc},QR=!1,jKe=sl(),ZR=new Map,yW=new Map,YR=new Map;function ou(t){if(QR){const r=yW.get(t)??0;yW.set(t,r+1),ZR.set(t,sl()),iI==null||iI.mark(t),typeof onProfilerEvent=="function"&&onProfilerEvent(t)}}function Wm(t,r,a){if(QR){const u=(a!==void 0?ZR.get(a):void 0)??sl(),h=(r!==void 0?ZR.get(r):void 0)??jKe,p=YR.get(t)||0;YR.set(t,p+(u-h)),iI==null||iI.measure(t,r,a)}}function zKe(t){return yW.get(t)||0}function e8(t){return YR.get(t)||0}function aoe(t){YR.forEach((r,a)=>t(a,r))}function UKe(t){ZR.forEach((r,a)=>t(a))}function qKe(t){t!==void 0?YR.delete(t):YR.clear(),iI==null||iI.clearMeasures(t)}function $Ke(t){t!==void 0?(yW.delete(t),ZR.delete(t)):(yW.clear(),ZR.clear()),iI==null||iI.clearMarks(t)}function Exe(){return QR}function coe(t=Pd){var r;return QR||(QR=!0,XR||(XR=kxe()),XR!=null&&XR.performance&&(jKe=XR.performance.timeOrigin,(XR.shouldWriteNativeEvents||(r=t==null?void 0:t.cpuProfilingEnabled)!=null&&r.call(t)||t!=null&&t.debugMode)&&(iI=XR.performance))),!0}function Ixe(){QR&&(ZR.clear(),yW.clear(),YR.clear(),iI=void 0,QR=!1)}var Zn,vW;(t=>{let r,a=0,u=0,h;const p=[];let g;const C=[];function b(pe,de,ue){if(j.assert(!Zn,"Tracing already started"),r===void 0)try{r=cM}catch(ke){throw new Error(`tracing requires having fs
+(original error: ${ke.message||ke})`)}h=pe,p.length=0,g===void 0&&(g=zr(de,"legend.json")),r.existsSync(de)||r.mkdirSync(de,{recursive:!0});const Ie=h==="build"?`.${process.pid}-${++a}`:h==="server"?`.${process.pid}`:"",we=zr(de,`trace${Ie}.json`),he=zr(de,`types${Ie}.json`);C.push({configFilePath:ue,tracePath:we,typesPath:he}),u=r.openSync(we,"w"),Zn=t;const Ae={cat:"__metadata",ph:"M",ts:1e3*sl(),pid:1,tid:1};r.writeSync(u,`[
+`+[{name:"process_name",args:{name:"tsc"},...Ae},{name:"thread_name",args:{name:"Main"},...Ae},{name:"TracingStartedInBrowser",...Ae,cat:"disabled-by-default-devtools.timeline"}].map(ke=>JSON.stringify(ke)).join(`,
+`))}t.startTracing=b;function T(){j.assert(Zn,"Tracing is not in progress"),j.assert(!!p.length==(h!=="server")),r.writeSync(u,`
+]
+`),r.closeSync(u),Zn=void 0,p.length?re(p):C[C.length-1].typesPath=void 0}t.stopTracing=T;function E(pe){h!=="server"&&p.push(pe)}t.recordType=E,(pe=>{pe.Parse="parse",pe.Program="program",pe.Bind="bind",pe.Check="check",pe.CheckTypes="checkTypes",pe.Emit="emit",pe.Session="session"})(t.Phase||(t.Phase={}));function N(pe,de,ue){Z("I",pe,de,ue,'"s":"g"')}t.instant=N;const R=[];function F(pe,de,ue,Ie=!1){Ie&&Z("B",pe,de,ue),R.push({phase:pe,name:de,args:ue,time:1e3*sl(),separateBeginAndEnd:Ie})}t.push=F;function H(pe){j.assert(R.length>0),X(R.length-1,1e3*sl(),pe),R.length--}t.pop=H;function U(){const pe=1e3*sl();for(let de=R.length-1;de>=0;de--)X(de,pe);R.length=0}t.popAll=U;const q=1e3*10;function X(pe,de,ue){const{phase:Ie,name:we,args:he,time:Ae,separateBeginAndEnd:ke}=R[pe];ke?(j.assert(!ue,"`results` are not supported for events with `separateBeginAndEnd`"),Z("E",Ie,we,he,void 0,de)):q-Ae%q<=de-Ae&&Z("X",Ie,we,{...he,results:ue},`"dur":${de-Ae}`,Ae)}function Z(pe,de,ue,Ie,we,he=1e3*sl()){h==="server"&&de==="checkTypes"||(ou("beginTracing"),r.writeSync(u,`,
+{"pid":1,"tid":1,"ph":"${pe}","cat":"${de}","ts":${he},"name":"${ue}"`),we&&r.writeSync(u,`,${we}`),Ie&&r.writeSync(u,`,"args":${JSON.stringify(Ie)}`),r.writeSync(u,"}"),ou("endTracing"),Wm("Tracing","beginTracing","endTracing"))}function Q(pe){const de=_n(pe);return de?{path:de.path,start:ue(Ia(de,pe.pos)),end:ue(Ia(de,pe.end))}:void 0;function ue(Ie){return{line:Ie.line+1,character:Ie.character+1}}}function re(pe){var de,ue,Ie,we,he,Ae,ke,Te,De,qe,se,Ne,Oe,He,Pe,We,ze,Nt,$e;ou("beginDumpTypes");const et=C[C.length-1].typesPath,Me=r.openSync(et,"w"),ut=new Map;r.writeSync(Me,"[");const wt=pe.length;for(let Et=0;Et<wt;Et++){const dt=pe[Et],zt=dt.objectFlags,Ei=dt.aliasSymbol??dt.symbol;let tn;if(zt&16|dt.flags&2944)try{tn=(de=dt.checker)==null?void 0:de.typeToString(dt)}catch{tn=void 0}let xn={};if(dt.flags&8388608){const ls=dt;xn={indexedAccessObjectType:(ue=ls.objectType)==null?void 0:ue.id,indexedAccessIndexType:(Ie=ls.indexType)==null?void 0:Ie.id}}let Pn={};if(zt&4){const ls=dt;Pn={instantiatedType:(we=ls.target)==null?void 0:we.id,typeArguments:(he=ls.resolvedTypeArguments)==null?void 0:he.map(Qs=>Qs.id),referenceLocation:Q(ls.node)}}let _i={};if(dt.flags&16777216){const ls=dt;_i={conditionalCheckType:(Ae=ls.checkType)==null?void 0:Ae.id,conditionalExtendsType:(ke=ls.extendsType)==null?void 0:ke.id,conditionalTrueType:((Te=ls.resolvedTrueType)==null?void 0:Te.id)??-1,conditionalFalseType:((De=ls.resolvedFalseType)==null?void 0:De.id)??-1}}let Fi={};if(dt.flags&33554432){const ls=dt;Fi={substitutionBaseType:(qe=ls.baseType)==null?void 0:qe.id,constraintType:(se=ls.constraint)==null?void 0:se.id}}let On={};if(zt&1024){const ls=dt;On={reverseMappedSourceType:(Ne=ls.source)==null?void 0:Ne.id,reverseMappedMappedType:(Oe=ls.mappedType)==null?void 0:Oe.id,reverseMappedConstraintType:(He=ls.constraintType)==null?void 0:He.id}}let pn={};if(zt&256){const ls=dt;pn={evolvingArrayElementType:ls.elementType.id,evolvingArrayFinalType:(Pe=ls.finalArrayType)==null?void 0:Pe.id}}let qr;const Fs=dt.checker.getRecursionIdentity(dt);Fs&&(qr=ut.get(Fs),qr||(qr=ut.size,ut.set(Fs,qr)));const ko={id:dt.id,intrinsicName:dt.intrinsicName,symbolName:(Ei==null?void 0:Ei.escapedName)&&Ws(Ei.escapedName),recursionId:qr,isTuple:zt&8?!0:void 0,unionTypes:dt.flags&1048576?(We=dt.types)==null?void 0:We.map(ls=>ls.id):void 0,intersectionTypes:dt.flags&2097152?dt.types.map(ls=>ls.id):void 0,aliasTypeArguments:(ze=dt.aliasTypeArguments)==null?void 0:ze.map(ls=>ls.id),keyofType:dt.flags&4194304?(Nt=dt.type)==null?void 0:Nt.id:void 0,...xn,...Pn,..._i,...Fi,...On,...pn,destructuringPattern:Q(dt.pattern),firstDeclaration:Q(($e=Ei==null?void 0:Ei.declarations)==null?void 0:$e[0]),flags:j.formatTypeFlags(dt.flags).split("|"),display:tn};r.writeSync(Me,JSON.stringify(ko)),Et<wt-1&&r.writeSync(Me,`,
+`)}r.writeSync(Me,`]
+`),r.closeSync(Me),ou("endDumpTypes"),Wm("Dump types","beginDumpTypes","endDumpTypes")}function oe(){g&&r.writeFileSync(g,JSON.stringify(C))}t.dumpLegend=oe})(vW||(vW={}));var Nxe=vW.startTracing,Lxe=vW.dumpLegend,loe=(t=>(t[t.Unknown=0]="Unknown",t[t.EndOfFileToken=1]="EndOfFileToken",t[t.SingleLineCommentTrivia=2]="SingleLineCommentTrivia",t[t.MultiLineCommentTrivia=3]="MultiLineCommentTrivia",t[t.NewLineTrivia=4]="NewLineTrivia",t[t.WhitespaceTrivia=5]="WhitespaceTrivia",t[t.ShebangTrivia=6]="ShebangTrivia",t[t.ConflictMarkerTrivia=7]="ConflictMarkerTrivia",t[t.NonTextFileMarkerTrivia=8]="NonTextFileMarkerTrivia",t[t.NumericLiteral=9]="NumericLiteral",t[t.BigIntLiteral=10]="BigIntLiteral",t[t.StringLiteral=11]="StringLiteral",t[t.JsxText=12]="JsxText",t[t.JsxTextAllWhiteSpaces=13]="JsxTextAllWhiteSpaces",t[t.RegularExpressionLiteral=14]="RegularExpressionLiteral",t[t.NoSubstitutionTemplateLiteral=15]="NoSubstitutionTemplateLiteral",t[t.TemplateHead=16]="TemplateHead",t[t.TemplateMiddle=17]="TemplateMiddle",t[t.TemplateTail=18]="TemplateTail",t[t.OpenBraceToken=19]="OpenBraceToken",t[t.CloseBraceToken=20]="CloseBraceToken",t[t.OpenParenToken=21]="OpenParenToken",t[t.CloseParenToken=22]="CloseParenToken",t[t.OpenBracketToken=23]="OpenBracketToken",t[t.CloseBracketToken=24]="CloseBracketToken",t[t.DotToken=25]="DotToken",t[t.DotDotDotToken=26]="DotDotDotToken",t[t.SemicolonToken=27]="SemicolonToken",t[t.CommaToken=28]="CommaToken",t[t.QuestionDotToken=29]="QuestionDotToken",t[t.LessThanToken=30]="LessThanToken",t[t.LessThanSlashToken=31]="LessThanSlashToken",t[t.GreaterThanToken=32]="GreaterThanToken",t[t.LessThanEqualsToken=33]="LessThanEqualsToken",t[t.GreaterThanEqualsToken=34]="GreaterThanEqualsToken",t[t.EqualsEqualsToken=35]="EqualsEqualsToken",t[t.ExclamationEqualsToken=36]="ExclamationEqualsToken",t[t.EqualsEqualsEqualsToken=37]="EqualsEqualsEqualsToken",t[t.ExclamationEqualsEqualsToken=38]="ExclamationEqualsEqualsToken",t[t.EqualsGreaterThanToken=39]="EqualsGreaterThanToken",t[t.PlusToken=40]="PlusToken",t[t.MinusToken=41]="MinusToken",t[t.AsteriskToken=42]="AsteriskToken",t[t.AsteriskAsteriskToken=43]="AsteriskAsteriskToken",t[t.SlashToken=44]="SlashToken",t[t.PercentToken=45]="PercentToken",t[t.PlusPlusToken=46]="PlusPlusToken",t[t.MinusMinusToken=47]="MinusMinusToken",t[t.LessThanLessThanToken=48]="LessThanLessThanToken",t[t.GreaterThanGreaterThanToken=49]="GreaterThanGreaterThanToken",t[t.GreaterThanGreaterThanGreaterThanToken=50]="GreaterThanGreaterThanGreaterThanToken",t[t.AmpersandToken=51]="AmpersandToken",t[t.BarToken=52]="BarToken",t[t.CaretToken=53]="CaretToken",t[t.ExclamationToken=54]="ExclamationToken",t[t.TildeToken=55]="TildeToken",t[t.AmpersandAmpersandToken=56]="AmpersandAmpersandToken",t[t.BarBarToken=57]="BarBarToken",t[t.QuestionToken=58]="QuestionToken",t[t.ColonToken=59]="ColonToken",t[t.AtToken=60]="AtToken",t[t.QuestionQuestionToken=61]="QuestionQuestionToken",t[t.BacktickToken=62]="BacktickToken",t[t.HashToken=63]="HashToken",t[t.EqualsToken=64]="EqualsToken",t[t.PlusEqualsToken=65]="PlusEqualsToken",t[t.MinusEqualsToken=66]="MinusEqualsToken",t[t.AsteriskEqualsToken=67]="AsteriskEqualsToken",t[t.AsteriskAsteriskEqualsToken=68]="AsteriskAsteriskEqualsToken",t[t.SlashEqualsToken=69]="SlashEqualsToken",t[t.PercentEqualsToken=70]="PercentEqualsToken",t[t.LessThanLessThanEqualsToken=71]="LessThanLessThanEqualsToken",t[t.GreaterThanGreaterThanEqualsToken=72]="GreaterThanGreaterThanEqualsToken",t[t.GreaterThanGreaterThanGreaterThanEqualsToken=73]="GreaterThanGreaterThanGreaterThanEqualsToken",t[t.AmpersandEqualsToken=74]="AmpersandEqualsToken",t[t.BarEqualsToken=75]="BarEqualsToken",t[t.BarBarEqualsToken=76]="BarBarEqualsToken",t[t.AmpersandAmpersandEqualsToken=77]="AmpersandAmpersandEqualsToken",t[t.QuestionQuestionEqualsToken=78]="QuestionQuestionEqualsToken",t[t.CaretEqualsToken=79]="CaretEqualsToken",t[t.Identifier=80]="Identifier",t[t.PrivateIdentifier=81]="PrivateIdentifier",t[t.JSDocCommentTextToken=82]="JSDocCommentTextToken",t[t.BreakKeyword=83]="BreakKeyword",t[t.CaseKeyword=84]="CaseKeyword",t[t.CatchKeyword=85]="CatchKeyword",t[t.ClassKeyword=86]="ClassKeyword",t[t.ConstKeyword=87]="ConstKeyword",t[t.ContinueKeyword=88]="ContinueKeyword",t[t.DebuggerKeyword=89]="DebuggerKeyword",t[t.DefaultKeyword=90]="DefaultKeyword",t[t.DeleteKeyword=91]="DeleteKeyword",t[t.DoKeyword=92]="DoKeyword",t[t.ElseKeyword=93]="ElseKeyword",t[t.EnumKeyword=94]="EnumKeyword",t[t.ExportKeyword=95]="ExportKeyword",t[t.ExtendsKeyword=96]="ExtendsKeyword",t[t.FalseKeyword=97]="FalseKeyword",t[t.FinallyKeyword=98]="FinallyKeyword",t[t.ForKeyword=99]="ForKeyword",t[t.FunctionKeyword=100]="FunctionKeyword",t[t.IfKeyword=101]="IfKeyword",t[t.ImportKeyword=102]="ImportKeyword",t[t.InKeyword=103]="InKeyword",t[t.InstanceOfKeyword=104]="InstanceOfKeyword",t[t.NewKeyword=105]="NewKeyword",t[t.NullKeyword=106]="NullKeyword",t[t.ReturnKeyword=107]="ReturnKeyword",t[t.SuperKeyword=108]="SuperKeyword",t[t.SwitchKeyword=109]="SwitchKeyword",t[t.ThisKeyword=110]="ThisKeyword",t[t.ThrowKeyword=111]="ThrowKeyword",t[t.TrueKeyword=112]="TrueKeyword",t[t.TryKeyword=113]="TryKeyword",t[t.TypeOfKeyword=114]="TypeOfKeyword",t[t.VarKeyword=115]="VarKeyword",t[t.VoidKeyword=116]="VoidKeyword",t[t.WhileKeyword=117]="WhileKeyword",t[t.WithKeyword=118]="WithKeyword",t[t.ImplementsKeyword=119]="ImplementsKeyword",t[t.InterfaceKeyword=120]="InterfaceKeyword",t[t.LetKeyword=121]="LetKeyword",t[t.PackageKeyword=122]="PackageKeyword",t[t.PrivateKeyword=123]="PrivateKeyword",t[t.ProtectedKeyword=124]="ProtectedKeyword",t[t.PublicKeyword=125]="PublicKeyword",t[t.StaticKeyword=126]="StaticKeyword",t[t.YieldKeyword=127]="YieldKeyword",t[t.AbstractKeyword=128]="AbstractKeyword",t[t.AccessorKeyword=129]="AccessorKeyword",t[t.AsKeyword=130]="AsKeyword",t[t.AssertsKeyword=131]="AssertsKeyword",t[t.AssertKeyword=132]="AssertKeyword",t[t.AnyKeyword=133]="AnyKeyword",t[t.AsyncKeyword=134]="AsyncKeyword",t[t.AwaitKeyword=135]="AwaitKeyword",t[t.BooleanKeyword=136]="BooleanKeyword",t[t.ConstructorKeyword=137]="ConstructorKeyword",t[t.DeclareKeyword=138]="DeclareKeyword",t[t.GetKeyword=139]="GetKeyword",t[t.InferKeyword=140]="InferKeyword",t[t.IntrinsicKeyword=141]="IntrinsicKeyword",t[t.IsKeyword=142]="IsKeyword",t[t.KeyOfKeyword=143]="KeyOfKeyword",t[t.ModuleKeyword=144]="ModuleKeyword",t[t.NamespaceKeyword=145]="NamespaceKeyword",t[t.NeverKeyword=146]="NeverKeyword",t[t.OutKeyword=147]="OutKeyword",t[t.ReadonlyKeyword=148]="ReadonlyKeyword",t[t.RequireKeyword=149]="RequireKeyword",t[t.NumberKeyword=150]="NumberKeyword",t[t.ObjectKeyword=151]="ObjectKeyword",t[t.SatisfiesKeyword=152]="SatisfiesKeyword",t[t.SetKeyword=153]="SetKeyword",t[t.StringKeyword=154]="StringKeyword",t[t.SymbolKeyword=155]="SymbolKeyword",t[t.TypeKeyword=156]="TypeKeyword",t[t.UndefinedKeyword=157]="UndefinedKeyword",t[t.UniqueKeyword=158]="UniqueKeyword",t[t.UnknownKeyword=159]="UnknownKeyword",t[t.UsingKeyword=160]="UsingKeyword",t[t.FromKeyword=161]="FromKeyword",t[t.GlobalKeyword=162]="GlobalKeyword",t[t.BigIntKeyword=163]="BigIntKeyword",t[t.OverrideKeyword=164]="OverrideKeyword",t[t.OfKeyword=165]="OfKeyword",t[t.QualifiedName=166]="QualifiedName",t[t.ComputedPropertyName=167]="ComputedPropertyName",t[t.TypeParameter=168]="TypeParameter",t[t.Parameter=169]="Parameter",t[t.Decorator=170]="Decorator",t[t.PropertySignature=171]="PropertySignature",t[t.PropertyDeclaration=172]="PropertyDeclaration",t[t.MethodSignature=173]="MethodSignature",t[t.MethodDeclaration=174]="MethodDeclaration",t[t.ClassStaticBlockDeclaration=175]="ClassStaticBlockDeclaration",t[t.Constructor=176]="Constructor",t[t.GetAccessor=177]="GetAccessor",t[t.SetAccessor=178]="SetAccessor",t[t.CallSignature=179]="CallSignature",t[t.ConstructSignature=180]="ConstructSignature",t[t.IndexSignature=181]="IndexSignature",t[t.TypePredicate=182]="TypePredicate",t[t.TypeReference=183]="TypeReference",t[t.FunctionType=184]="FunctionType",t[t.ConstructorType=185]="ConstructorType",t[t.TypeQuery=186]="TypeQuery",t[t.TypeLiteral=187]="TypeLiteral",t[t.ArrayType=188]="ArrayType",t[t.TupleType=189]="TupleType",t[t.OptionalType=190]="OptionalType",t[t.RestType=191]="RestType",t[t.UnionType=192]="UnionType",t[t.IntersectionType=193]="IntersectionType",t[t.ConditionalType=194]="ConditionalType",t[t.InferType=195]="InferType",t[t.ParenthesizedType=196]="ParenthesizedType",t[t.ThisType=197]="ThisType",t[t.TypeOperator=198]="TypeOperator",t[t.IndexedAccessType=199]="IndexedAccessType",t[t.MappedType=200]="MappedType",t[t.LiteralType=201]="LiteralType",t[t.NamedTupleMember=202]="NamedTupleMember",t[t.TemplateLiteralType=203]="TemplateLiteralType",t[t.TemplateLiteralTypeSpan=204]="TemplateLiteralTypeSpan",t[t.ImportType=205]="ImportType",t[t.ObjectBindingPattern=206]="ObjectBindingPattern",t[t.ArrayBindingPattern=207]="ArrayBindingPattern",t[t.BindingElement=208]="BindingElement",t[t.ArrayLiteralExpression=209]="ArrayLiteralExpression",t[t.ObjectLiteralExpression=210]="ObjectLiteralExpression",t[t.PropertyAccessExpression=211]="PropertyAccessExpression",t[t.ElementAccessExpression=212]="ElementAccessExpression",t[t.CallExpression=213]="CallExpression",t[t.NewExpression=214]="NewExpression",t[t.TaggedTemplateExpression=215]="TaggedTemplateExpression",t[t.TypeAssertionExpression=216]="TypeAssertionExpression",t[t.ParenthesizedExpression=217]="ParenthesizedExpression",t[t.FunctionExpression=218]="FunctionExpression",t[t.ArrowFunction=219]="ArrowFunction",t[t.DeleteExpression=220]="DeleteExpression",t[t.TypeOfExpression=221]="TypeOfExpression",t[t.VoidExpression=222]="VoidExpression",t[t.AwaitExpression=223]="AwaitExpression",t[t.PrefixUnaryExpression=224]="PrefixUnaryExpression",t[t.PostfixUnaryExpression=225]="PostfixUnaryExpression",t[t.BinaryExpression=226]="BinaryExpression",t[t.ConditionalExpression=227]="ConditionalExpression",t[t.TemplateExpression=228]="TemplateExpression",t[t.YieldExpression=229]="YieldExpression",t[t.SpreadElement=230]="SpreadElement",t[t.ClassExpression=231]="ClassExpression",t[t.OmittedExpression=232]="OmittedExpression",t[t.ExpressionWithTypeArguments=233]="ExpressionWithTypeArguments",t[t.AsExpression=234]="AsExpression",t[t.NonNullExpression=235]="NonNullExpression",t[t.MetaProperty=236]="MetaProperty",t[t.SyntheticExpression=237]="SyntheticExpression",t[t.SatisfiesExpression=238]="SatisfiesExpression",t[t.TemplateSpan=239]="TemplateSpan",t[t.SemicolonClassElement=240]="SemicolonClassElement",t[t.Block=241]="Block",t[t.EmptyStatement=242]="EmptyStatement",t[t.VariableStatement=243]="VariableStatement",t[t.ExpressionStatement=244]="ExpressionStatement",t[t.IfStatement=245]="IfStatement",t[t.DoStatement=246]="DoStatement",t[t.WhileStatement=247]="WhileStatement",t[t.ForStatement=248]="ForStatement",t[t.ForInStatement=249]="ForInStatement",t[t.ForOfStatement=250]="ForOfStatement",t[t.ContinueStatement=251]="ContinueStatement",t[t.BreakStatement=252]="BreakStatement",t[t.ReturnStatement=253]="ReturnStatement",t[t.WithStatement=254]="WithStatement",t[t.SwitchStatement=255]="SwitchStatement",t[t.LabeledStatement=256]="LabeledStatement",t[t.ThrowStatement=257]="ThrowStatement",t[t.TryStatement=258]="TryStatement",t[t.DebuggerStatement=259]="DebuggerStatement",t[t.VariableDeclaration=260]="VariableDeclaration",t[t.VariableDeclarationList=261]="VariableDeclarationList",t[t.FunctionDeclaration=262]="FunctionDeclaration",t[t.ClassDeclaration=263]="ClassDeclaration",t[t.InterfaceDeclaration=264]="InterfaceDeclaration",t[t.TypeAliasDeclaration=265]="TypeAliasDeclaration",t[t.EnumDeclaration=266]="EnumDeclaration",t[t.ModuleDeclaration=267]="ModuleDeclaration",t[t.ModuleBlock=268]="ModuleBlock",t[t.CaseBlock=269]="CaseBlock",t[t.NamespaceExportDeclaration=270]="NamespaceExportDeclaration",t[t.ImportEqualsDeclaration=271]="ImportEqualsDeclaration",t[t.ImportDeclaration=272]="ImportDeclaration",t[t.ImportClause=273]="ImportClause",t[t.NamespaceImport=274]="NamespaceImport",t[t.NamedImports=275]="NamedImports",t[t.ImportSpecifier=276]="ImportSpecifier",t[t.ExportAssignment=277]="ExportAssignment",t[t.ExportDeclaration=278]="ExportDeclaration",t[t.NamedExports=279]="NamedExports",t[t.NamespaceExport=280]="NamespaceExport",t[t.ExportSpecifier=281]="ExportSpecifier",t[t.MissingDeclaration=282]="MissingDeclaration",t[t.ExternalModuleReference=283]="ExternalModuleReference",t[t.JsxElement=284]="JsxElement",t[t.JsxSelfClosingElement=285]="JsxSelfClosingElement",t[t.JsxOpeningElement=286]="JsxOpeningElement",t[t.JsxClosingElement=287]="JsxClosingElement",t[t.JsxFragment=288]="JsxFragment",t[t.JsxOpeningFragment=289]="JsxOpeningFragment",t[t.JsxClosingFragment=290]="JsxClosingFragment",t[t.JsxAttribute=291]="JsxAttribute",t[t.JsxAttributes=292]="JsxAttributes",t[t.JsxSpreadAttribute=293]="JsxSpreadAttribute",t[t.JsxExpression=294]="JsxExpression",t[t.JsxNamespacedName=295]="JsxNamespacedName",t[t.CaseClause=296]="CaseClause",t[t.DefaultClause=297]="DefaultClause",t[t.HeritageClause=298]="HeritageClause",t[t.CatchClause=299]="CatchClause",t[t.ImportAttributes=300]="ImportAttributes",t[t.ImportAttribute=301]="ImportAttribute",t[t.AssertClause=300]="AssertClause",t[t.AssertEntry=301]="AssertEntry",t[t.ImportTypeAssertionContainer=302]="ImportTypeAssertionContainer",t[t.PropertyAssignment=303]="PropertyAssignment",t[t.ShorthandPropertyAssignment=304]="ShorthandPropertyAssignment",t[t.SpreadAssignment=305]="SpreadAssignment",t[t.EnumMember=306]="EnumMember",t[t.SourceFile=307]="SourceFile",t[t.Bundle=308]="Bundle",t[t.JSDocTypeExpression=309]="JSDocTypeExpression",t[t.JSDocNameReference=310]="JSDocNameReference",t[t.JSDocMemberName=311]="JSDocMemberName",t[t.JSDocAllType=312]="JSDocAllType",t[t.JSDocUnknownType=313]="JSDocUnknownType",t[t.JSDocNullableType=314]="JSDocNullableType",t[t.JSDocNonNullableType=315]="JSDocNonNullableType",t[t.JSDocOptionalType=316]="JSDocOptionalType",t[t.JSDocFunctionType=317]="JSDocFunctionType",t[t.JSDocVariadicType=318]="JSDocVariadicType",t[t.JSDocNamepathType=319]="JSDocNamepathType",t[t.JSDoc=320]="JSDoc",t[t.JSDocComment=320]="JSDocComment",t[t.JSDocText=321]="JSDocText",t[t.JSDocTypeLiteral=322]="JSDocTypeLiteral",t[t.JSDocSignature=323]="JSDocSignature",t[t.JSDocLink=324]="JSDocLink",t[t.JSDocLinkCode=325]="JSDocLinkCode",t[t.JSDocLinkPlain=326]="JSDocLinkPlain",t[t.JSDocTag=327]="JSDocTag",t[t.JSDocAugmentsTag=328]="JSDocAugmentsTag",t[t.JSDocImplementsTag=329]="JSDocImplementsTag",t[t.JSDocAuthorTag=330]="JSDocAuthorTag",t[t.JSDocDeprecatedTag=331]="JSDocDeprecatedTag",t[t.JSDocClassTag=332]="JSDocClassTag",t[t.JSDocPublicTag=333]="JSDocPublicTag",t[t.JSDocPrivateTag=334]="JSDocPrivateTag",t[t.JSDocProtectedTag=335]="JSDocProtectedTag",t[t.JSDocReadonlyTag=336]="JSDocReadonlyTag",t[t.JSDocOverrideTag=337]="JSDocOverrideTag",t[t.JSDocCallbackTag=338]="JSDocCallbackTag",t[t.JSDocOverloadTag=339]="JSDocOverloadTag",t[t.JSDocEnumTag=340]="JSDocEnumTag",t[t.JSDocParameterTag=341]="JSDocParameterTag",t[t.JSDocReturnTag=342]="JSDocReturnTag",t[t.JSDocThisTag=343]="JSDocThisTag",t[t.JSDocTypeTag=344]="JSDocTypeTag",t[t.JSDocTemplateTag=345]="JSDocTemplateTag",t[t.JSDocTypedefTag=346]="JSDocTypedefTag",t[t.JSDocSeeTag=347]="JSDocSeeTag",t[t.JSDocPropertyTag=348]="JSDocPropertyTag",t[t.JSDocThrowsTag=349]="JSDocThrowsTag",t[t.JSDocSatisfiesTag=350]="JSDocSatisfiesTag",t[t.JSDocImportTag=351]="JSDocImportTag",t[t.SyntaxList=352]="SyntaxList",t[t.NotEmittedStatement=353]="NotEmittedStatement",t[t.PartiallyEmittedExpression=354]="PartiallyEmittedExpression",t[t.CommaListExpression=355]="CommaListExpression",t[t.SyntheticReferenceExpression=356]="SyntheticReferenceExpression",t[t.Count=357]="Count",t[t.FirstAssignment=64]="FirstAssignment",t[t.LastAssignment=79]="LastAssignment",t[t.FirstCompoundAssignment=65]="FirstCompoundAssignment",t[t.LastCompoundAssignment=79]="LastCompoundAssignment",t[t.FirstReservedWord=83]="FirstReservedWord",t[t.LastReservedWord=118]="LastReservedWord",t[t.FirstKeyword=83]="FirstKeyword",t[t.LastKeyword=165]="LastKeyword",t[t.FirstFutureReservedWord=119]="FirstFutureReservedWord",t[t.LastFutureReservedWord=127]="LastFutureReservedWord",t[t.FirstTypeNode=182]="FirstTypeNode",t[t.LastTypeNode=205]="LastTypeNode",t[t.FirstPunctuation=19]="FirstPunctuation",t[t.LastPunctuation=79]="LastPunctuation",t[t.FirstToken=0]="FirstToken",t[t.LastToken=165]="LastToken",t[t.FirstTriviaToken=2]="FirstTriviaToken",t[t.LastTriviaToken=7]="LastTriviaToken",t[t.FirstLiteralToken=9]="FirstLiteralToken",t[t.LastLiteralToken=15]="LastLiteralToken",t[t.FirstTemplateToken=15]="FirstTemplateToken",t[t.LastTemplateToken=18]="LastTemplateToken",t[t.FirstBinaryOperator=30]="FirstBinaryOperator",t[t.LastBinaryOperator=79]="LastBinaryOperator",t[t.FirstStatement=243]="FirstStatement",t[t.LastStatement=259]="LastStatement",t[t.FirstNode=166]="FirstNode",t[t.FirstJSDocNode=309]="FirstJSDocNode",t[t.LastJSDocNode=351]="LastJSDocNode",t[t.FirstJSDocTagNode=327]="FirstJSDocTagNode",t[t.LastJSDocTagNode=351]="LastJSDocTagNode",t[t.FirstContextualKeyword=128]="FirstContextualKeyword",t[t.LastContextualKeyword=165]="LastContextualKeyword",t))(loe||{}),uoe=(t=>(t[t.None=0]="None",t[t.Let=1]="Let",t[t.Const=2]="Const",t[t.Using=4]="Using",t[t.AwaitUsing=6]="AwaitUsing",t[t.NestedNamespace=8]="NestedNamespace",t[t.Synthesized=16]="Synthesized",t[t.Namespace=32]="Namespace",t[t.OptionalChain=64]="OptionalChain",t[t.ExportContext=128]="ExportContext",t[t.ContainsThis=256]="ContainsThis",t[t.HasImplicitReturn=512]="HasImplicitReturn",t[t.HasExplicitReturn=1024]="HasExplicitReturn",t[t.GlobalAugmentation=2048]="GlobalAugmentation",t[t.HasAsyncFunctions=4096]="HasAsyncFunctions",t[t.DisallowInContext=8192]="DisallowInContext",t[t.YieldContext=16384]="YieldContext",t[t.DecoratorContext=32768]="DecoratorContext",t[t.AwaitContext=65536]="AwaitContext",t[t.DisallowConditionalTypesContext=131072]="DisallowConditionalTypesContext",t[t.ThisNodeHasError=262144]="ThisNodeHasError",t[t.JavaScriptFile=524288]="JavaScriptFile",t[t.ThisNodeOrAnySubNodesHasError=1048576]="ThisNodeOrAnySubNodesHasError",t[t.HasAggregatedChildData=2097152]="HasAggregatedChildData",t[t.PossiblyContainsDynamicImport=4194304]="PossiblyContainsDynamicImport",t[t.PossiblyContainsImportMeta=8388608]="PossiblyContainsImportMeta",t[t.JSDoc=16777216]="JSDoc",t[t.Ambient=33554432]="Ambient",t[t.InWithStatement=67108864]="InWithStatement",t[t.JsonFile=134217728]="JsonFile",t[t.TypeCached=268435456]="TypeCached",t[t.Deprecated=536870912]="Deprecated",t[t.BlockScoped=7]="BlockScoped",t[t.Constant=6]="Constant",t[t.ReachabilityCheckFlags=1536]="ReachabilityCheckFlags",t[t.ReachabilityAndEmitFlags=5632]="ReachabilityAndEmitFlags",t[t.ContextFlags=101441536]="ContextFlags",t[t.TypeExcludesFlags=81920]="TypeExcludesFlags",t[t.PermanentlySetIncrementalFlags=12582912]="PermanentlySetIncrementalFlags",t[t.IdentifierHasExtendedUnicodeEscape=256]="IdentifierHasExtendedUnicodeEscape",t[t.IdentifierIsInJSDocNamespace=4096]="IdentifierIsInJSDocNamespace",t))(uoe||{}),doe=(t=>(t[t.None=0]="None",t[t.Public=1]="Public",t[t.Private=2]="Private",t[t.Protected=4]="Protected",t[t.Readonly=8]="Readonly",t[t.Override=16]="Override",t[t.Export=32]="Export",t[t.Abstract=64]="Abstract",t[t.Ambient=128]="Ambient",t[t.Static=256]="Static",t[t.Accessor=512]="Accessor",t[t.Async=1024]="Async",t[t.Default=2048]="Default",t[t.Const=4096]="Const",t[t.In=8192]="In",t[t.Out=16384]="Out",t[t.Decorator=32768]="Decorator",t[t.Deprecated=65536]="Deprecated",t[t.JSDocPublic=8388608]="JSDocPublic",t[t.JSDocPrivate=16777216]="JSDocPrivate",t[t.JSDocProtected=33554432]="JSDocProtected",t[t.JSDocReadonly=67108864]="JSDocReadonly",t[t.JSDocOverride=134217728]="JSDocOverride",t[t.SyntacticOrJSDocModifiers=31]="SyntacticOrJSDocModifiers",t[t.SyntacticOnlyModifiers=65504]="SyntacticOnlyModifiers",t[t.SyntacticModifiers=65535]="SyntacticModifiers",t[t.JSDocCacheOnlyModifiers=260046848]="JSDocCacheOnlyModifiers",t[t.JSDocOnlyModifiers=65536]="JSDocOnlyModifiers",t[t.NonCacheOnlyModifiers=131071]="NonCacheOnlyModifiers",t[t.HasComputedJSDocModifiers=268435456]="HasComputedJSDocModifiers",t[t.HasComputedFlags=536870912]="HasComputedFlags",t[t.AccessibilityModifier=7]="AccessibilityModifier",t[t.ParameterPropertyModifier=31]="ParameterPropertyModifier",t[t.NonPublicAccessibilityModifier=6]="NonPublicAccessibilityModifier",t[t.TypeScriptModifier=28895]="TypeScriptModifier",t[t.ExportDefault=2080]="ExportDefault",t[t.All=131071]="All",t[t.Modifier=98303]="Modifier",t))(doe||{}),Pxe=(t=>(t[t.None=0]="None",t[t.IntrinsicNamedElement=1]="IntrinsicNamedElement",t[t.IntrinsicIndexedElement=2]="IntrinsicIndexedElement",t[t.IntrinsicElement=3]="IntrinsicElement",t))(Pxe||{}),foe=(t=>(t[t.None=0]="None",t[t.Succeeded=1]="Succeeded",t[t.Failed=2]="Failed",t[t.ReportsUnmeasurable=8]="ReportsUnmeasurable",t[t.ReportsUnreliable=16]="ReportsUnreliable",t[t.ReportsMask=24]="ReportsMask",t[t.ComplexityOverflow=32]="ComplexityOverflow",t[t.StackDepthOverflow=64]="StackDepthOverflow",t[t.Overflow=96]="Overflow",t))(foe||{}),Axe=(t=>(t[t.None=0]="None",t[t.Always=1]="Always",t[t.Never=2]="Never",t[t.Sometimes=3]="Sometimes",t))(Axe||{}),hoe=(t=>(t[t.None=0]="None",t[t.Auto=1]="Auto",t[t.Loop=2]="Loop",t[t.Unique=3]="Unique",t[t.Node=4]="Node",t[t.KindMask=7]="KindMask",t[t.ReservedInNestedScopes=8]="ReservedInNestedScopes",t[t.Optimistic=16]="Optimistic",t[t.FileLevel=32]="FileLevel",t[t.AllowNameSubstitution=64]="AllowNameSubstitution",t))(hoe||{}),Oxe=(t=>(t[t.None=0]="None",t[t.HasIndices=1]="HasIndices",t[t.Global=2]="Global",t[t.IgnoreCase=4]="IgnoreCase",t[t.Multiline=8]="Multiline",t[t.DotAll=16]="DotAll",t[t.Unicode=32]="Unicode",t[t.UnicodeSets=64]="UnicodeSets",t[t.Sticky=128]="Sticky",t[t.AnyUnicodeMode=96]="AnyUnicodeMode",t[t.Modifiers=28]="Modifiers",t))(Oxe||{}),Mxe=(t=>(t[t.None=0]="None",t[t.PrecedingLineBreak=1]="PrecedingLineBreak",t[t.PrecedingJSDocComment=2]="PrecedingJSDocComment",t[t.Unterminated=4]="Unterminated",t[t.ExtendedUnicodeEscape=8]="ExtendedUnicodeEscape",t[t.Scientific=16]="Scientific",t[t.Octal=32]="Octal",t[t.HexSpecifier=64]="HexSpecifier",t[t.BinarySpecifier=128]="BinarySpecifier",t[t.OctalSpecifier=256]="OctalSpecifier",t[t.ContainsSeparator=512]="ContainsSeparator",t[t.UnicodeEscape=1024]="UnicodeEscape",t[t.ContainsInvalidEscape=2048]="ContainsInvalidEscape",t[t.HexEscape=4096]="HexEscape",t[t.ContainsLeadingZero=8192]="ContainsLeadingZero",t[t.ContainsInvalidSeparator=16384]="ContainsInvalidSeparator",t[t.PrecedingJSDocLeadingAsterisks=32768]="PrecedingJSDocLeadingAsterisks",t[t.BinaryOrOctalSpecifier=384]="BinaryOrOctalSpecifier",t[t.WithSpecifier=448]="WithSpecifier",t[t.StringLiteralFlags=7176]="StringLiteralFlags",t[t.NumericLiteralFlags=25584]="NumericLiteralFlags",t[t.TemplateLiteralLikeFlags=7176]="TemplateLiteralLikeFlags",t[t.IsInvalid=26656]="IsInvalid",t))(Mxe||{}),$J=(t=>(t[t.Unreachable=1]="Unreachable",t[t.Start=2]="Start",t[t.BranchLabel=4]="BranchLabel",t[t.LoopLabel=8]="LoopLabel",t[t.Assignment=16]="Assignment",t[t.TrueCondition=32]="TrueCondition",t[t.FalseCondition=64]="FalseCondition",t[t.SwitchClause=128]="SwitchClause",t[t.ArrayMutation=256]="ArrayMutation",t[t.Call=512]="Call",t[t.ReduceLabel=1024]="ReduceLabel",t[t.Referenced=2048]="Referenced",t[t.Shared=4096]="Shared",t[t.Label=12]="Label",t[t.Condition=96]="Condition",t))($J||{}),Rxe=(t=>(t[t.ExpectError=0]="ExpectError",t[t.Ignore=1]="Ignore",t))(Rxe||{}),t8=class{},_oe=(t=>(t[t.RootFile=0]="RootFile",t[t.SourceFromProjectReference=1]="SourceFromProjectReference",t[t.OutputFromProjectReference=2]="OutputFromProjectReference",t[t.Import=3]="Import",t[t.ReferenceFile=4]="ReferenceFile",t[t.TypeReferenceDirective=5]="TypeReferenceDirective",t[t.LibFile=6]="LibFile",t[t.LibReferenceDirective=7]="LibReferenceDirective",t[t.AutomaticTypeDirectiveFile=8]="AutomaticTypeDirectiveFile",t))(_oe||{}),Fxe=(t=>(t[t.FilePreprocessingLibReferenceDiagnostic=0]="FilePreprocessingLibReferenceDiagnostic",t[t.FilePreprocessingFileExplainingDiagnostic=1]="FilePreprocessingFileExplainingDiagnostic",t[t.ResolutionDiagnostics=2]="ResolutionDiagnostics",t))(Fxe||{}),Bxe=(t=>(t[t.Js=0]="Js",t[t.Dts=1]="Dts",t[t.BuilderSignature=2]="BuilderSignature",t))(Bxe||{}),poe=(t=>(t[t.Not=0]="Not",t[t.SafeModules=1]="SafeModules",t[t.Completely=2]="Completely",t))(poe||{}),Wxe=(t=>(t[t.Success=0]="Success",t[t.DiagnosticsPresent_OutputsSkipped=1]="DiagnosticsPresent_OutputsSkipped",t[t.DiagnosticsPresent_OutputsGenerated=2]="DiagnosticsPresent_OutputsGenerated",t[t.InvalidProject_OutputsSkipped=3]="InvalidProject_OutputsSkipped",t[t.ProjectReferenceCycle_OutputsSkipped=4]="ProjectReferenceCycle_OutputsSkipped",t))(Wxe||{}),Vxe=(t=>(t[t.Ok=0]="Ok",t[t.NeedsOverride=1]="NeedsOverride",t[t.HasInvalidOverride=2]="HasInvalidOverride",t))(Vxe||{}),Hxe=(t=>(t[t.None=0]="None",t[t.Literal=1]="Literal",t[t.Subtype=2]="Subtype",t))(Hxe||{}),jxe=(t=>(t[t.None=0]="None",t[t.NoSupertypeReduction=1]="NoSupertypeReduction",t[t.NoConstraintReduction=2]="NoConstraintReduction",t))(jxe||{}),zxe=(t=>(t[t.None=0]="None",t[t.Signature=1]="Signature",t[t.NoConstraints=2]="NoConstraints",t[t.Completions=4]="Completions",t[t.SkipBindingPatterns=8]="SkipBindingPatterns",t))(zxe||{}),Uxe=(t=>(t[t.None=0]="None",t[t.NoTruncation=1]="NoTruncation",t[t.WriteArrayAsGenericType=2]="WriteArrayAsGenericType",t[t.GenerateNamesForShadowedTypeParams=4]="GenerateNamesForShadowedTypeParams",t[t.UseStructuralFallback=8]="UseStructuralFallback",t[t.ForbidIndexedAccessSymbolReferences=16]="ForbidIndexedAccessSymbolReferences",t[t.WriteTypeArgumentsOfSignature=32]="WriteTypeArgumentsOfSignature",t[t.UseFullyQualifiedType=64]="UseFullyQualifiedType",t[t.UseOnlyExternalAliasing=128]="UseOnlyExternalAliasing",t[t.SuppressAnyReturnType=256]="SuppressAnyReturnType",t[t.WriteTypeParametersInQualifiedName=512]="WriteTypeParametersInQualifiedName",t[t.MultilineObjectLiterals=1024]="MultilineObjectLiterals",t[t.WriteClassExpressionAsTypeLiteral=2048]="WriteClassExpressionAsTypeLiteral",t[t.UseTypeOfFunction=4096]="UseTypeOfFunction",t[t.OmitParameterModifiers=8192]="OmitParameterModifiers",t[t.UseAliasDefinedOutsideCurrentScope=16384]="UseAliasDefinedOutsideCurrentScope",t[t.UseSingleQuotesForStringLiteralType=268435456]="UseSingleQuotesForStringLiteralType",t[t.NoTypeReduction=536870912]="NoTypeReduction",t[t.OmitThisParameter=33554432]="OmitThisParameter",t[t.AllowThisInObjectLiteral=32768]="AllowThisInObjectLiteral",t[t.AllowQualifiedNameInPlaceOfIdentifier=65536]="AllowQualifiedNameInPlaceOfIdentifier",t[t.AllowAnonymousIdentifier=131072]="AllowAnonymousIdentifier",t[t.AllowEmptyUnionOrIntersection=262144]="AllowEmptyUnionOrIntersection",t[t.AllowEmptyTuple=524288]="AllowEmptyTuple",t[t.AllowUniqueESSymbolType=1048576]="AllowUniqueESSymbolType",t[t.AllowEmptyIndexInfoType=2097152]="AllowEmptyIndexInfoType",t[t.AllowNodeModulesRelativePaths=67108864]="AllowNodeModulesRelativePaths",t[t.IgnoreErrors=70221824]="IgnoreErrors",t[t.InObjectTypeLiteral=4194304]="InObjectTypeLiteral",t[t.InTypeAlias=8388608]="InTypeAlias",t[t.InInitialEntityName=16777216]="InInitialEntityName",t))(Uxe||{}),qxe=(t=>(t[t.None=0]="None",t[t.WriteComputedProps=1]="WriteComputedProps",t[t.NoSyntacticPrinter=2]="NoSyntacticPrinter",t[t.DoNotIncludeSymbolChain=4]="DoNotIncludeSymbolChain",t[t.AllowUnresolvedNames=8]="AllowUnresolvedNames",t))(qxe||{}),$xe=(t=>(t[t.None=0]="None",t[t.NoTruncation=1]="NoTruncation",t[t.WriteArrayAsGenericType=2]="WriteArrayAsGenericType",t[t.GenerateNamesForShadowedTypeParams=4]="GenerateNamesForShadowedTypeParams",t[t.UseStructuralFallback=8]="UseStructuralFallback",t[t.WriteTypeArgumentsOfSignature=32]="WriteTypeArgumentsOfSignature",t[t.UseFullyQualifiedType=64]="UseFullyQualifiedType",t[t.SuppressAnyReturnType=256]="SuppressAnyReturnType",t[t.MultilineObjectLiterals=1024]="MultilineObjectLiterals",t[t.WriteClassExpressionAsTypeLiteral=2048]="WriteClassExpressionAsTypeLiteral",t[t.UseTypeOfFunction=4096]="UseTypeOfFunction",t[t.OmitParameterModifiers=8192]="OmitParameterModifiers",t[t.UseAliasDefinedOutsideCurrentScope=16384]="UseAliasDefinedOutsideCurrentScope",t[t.UseSingleQuotesForStringLiteralType=268435456]="UseSingleQuotesForStringLiteralType",t[t.NoTypeReduction=536870912]="NoTypeReduction",t[t.OmitThisParameter=33554432]="OmitThisParameter",t[t.AllowUniqueESSymbolType=1048576]="AllowUniqueESSymbolType",t[t.AddUndefined=131072]="AddUndefined",t[t.WriteArrowStyleSignature=262144]="WriteArrowStyleSignature",t[t.InArrayType=524288]="InArrayType",t[t.InElementType=2097152]="InElementType",t[t.InFirstTypeArgument=4194304]="InFirstTypeArgument",t[t.InTypeAlias=8388608]="InTypeAlias",t[t.NodeBuilderFlagsMask=848330095]="NodeBuilderFlagsMask",t))($xe||{}),Jxe=(t=>(t[t.None=0]="None",t[t.WriteTypeParametersOrArguments=1]="WriteTypeParametersOrArguments",t[t.UseOnlyExternalAliasing=2]="UseOnlyExternalAliasing",t[t.AllowAnyNodeKind=4]="AllowAnyNodeKind",t[t.UseAliasDefinedOutsideCurrentScope=8]="UseAliasDefinedOutsideCurrentScope",t[t.WriteComputedProps=16]="WriteComputedProps",t[t.DoNotIncludeSymbolChain=32]="DoNotIncludeSymbolChain",t))(Jxe||{}),Gxe=(t=>(t[t.Accessible=0]="Accessible",t[t.NotAccessible=1]="NotAccessible",t[t.CannotBeNamed=2]="CannotBeNamed",t[t.NotResolved=3]="NotResolved",t))(Gxe||{}),Kxe=(t=>(t[t.This=0]="This",t[t.Identifier=1]="Identifier",t[t.AssertsThis=2]="AssertsThis",t[t.AssertsIdentifier=3]="AssertsIdentifier",t))(Kxe||{}),Xxe=(t=>(t[t.Unknown=0]="Unknown",t[t.TypeWithConstructSignatureAndValue=1]="TypeWithConstructSignatureAndValue",t[t.VoidNullableOrNeverType=2]="VoidNullableOrNeverType",t[t.NumberLikeType=3]="NumberLikeType",t[t.BigIntLikeType=4]="BigIntLikeType",t[t.StringLikeType=5]="StringLikeType",t[t.BooleanType=6]="BooleanType",t[t.ArrayLikeType=7]="ArrayLikeType",t[t.ESSymbolType=8]="ESSymbolType",t[t.Promise=9]="Promise",t[t.TypeWithCallSignature=10]="TypeWithCallSignature",t[t.ObjectType=11]="ObjectType",t))(Xxe||{}),moe=(t=>(t[t.None=0]="None",t[t.FunctionScopedVariable=1]="FunctionScopedVariable",t[t.BlockScopedVariable=2]="BlockScopedVariable",t[t.Property=4]="Property",t[t.EnumMember=8]="EnumMember",t[t.Function=16]="Function",t[t.Class=32]="Class",t[t.Interface=64]="Interface",t[t.ConstEnum=128]="ConstEnum",t[t.RegularEnum=256]="RegularEnum",t[t.ValueModule=512]="ValueModule",t[t.NamespaceModule=1024]="NamespaceModule",t[t.TypeLiteral=2048]="TypeLiteral",t[t.ObjectLiteral=4096]="ObjectLiteral",t[t.Method=8192]="Method",t[t.Constructor=16384]="Constructor",t[t.GetAccessor=32768]="GetAccessor",t[t.SetAccessor=65536]="SetAccessor",t[t.Signature=131072]="Signature",t[t.TypeParameter=262144]="TypeParameter",t[t.TypeAlias=524288]="TypeAlias",t[t.ExportValue=1048576]="ExportValue",t[t.Alias=2097152]="Alias",t[t.Prototype=4194304]="Prototype",t[t.ExportStar=8388608]="ExportStar",t[t.Optional=16777216]="Optional",t[t.Transient=33554432]="Transient",t[t.Assignment=67108864]="Assignment",t[t.ModuleExports=134217728]="ModuleExports",t[t.All=-1]="All",t[t.Enum=384]="Enum",t[t.Variable=3]="Variable",t[t.Value=111551]="Value",t[t.Type=788968]="Type",t[t.Namespace=1920]="Namespace",t[t.Module=1536]="Module",t[t.Accessor=98304]="Accessor",t[t.FunctionScopedVariableExcludes=111550]="FunctionScopedVariableExcludes",t[t.BlockScopedVariableExcludes=111551]="BlockScopedVariableExcludes",t[t.ParameterExcludes=111551]="ParameterExcludes",t[t.PropertyExcludes=0]="PropertyExcludes",t[t.EnumMemberExcludes=900095]="EnumMemberExcludes",t[t.FunctionExcludes=110991]="FunctionExcludes",t[t.ClassExcludes=899503]="ClassExcludes",t[t.InterfaceExcludes=788872]="InterfaceExcludes",t[t.RegularEnumExcludes=899327]="RegularEnumExcludes",t[t.ConstEnumExcludes=899967]="ConstEnumExcludes",t[t.ValueModuleExcludes=110735]="ValueModuleExcludes",t[t.NamespaceModuleExcludes=0]="NamespaceModuleExcludes",t[t.MethodExcludes=103359]="MethodExcludes",t[t.GetAccessorExcludes=46015]="GetAccessorExcludes",t[t.SetAccessorExcludes=78783]="SetAccessorExcludes",t[t.AccessorExcludes=13247]="AccessorExcludes",t[t.TypeParameterExcludes=526824]="TypeParameterExcludes",t[t.TypeAliasExcludes=788968]="TypeAliasExcludes",t[t.AliasExcludes=2097152]="AliasExcludes",t[t.ModuleMember=2623475]="ModuleMember",t[t.ExportHasLocal=944]="ExportHasLocal",t[t.BlockScoped=418]="BlockScoped",t[t.PropertyOrAccessor=98308]="PropertyOrAccessor",t[t.ClassMember=106500]="ClassMember",t[t.ExportSupportsDefaultModifier=112]="ExportSupportsDefaultModifier",t[t.ExportDoesNotSupportDefaultModifier=-113]="ExportDoesNotSupportDefaultModifier",t[t.Classifiable=2885600]="Classifiable",t[t.LateBindingContainer=6256]="LateBindingContainer",t))(moe||{}),Qxe=(t=>(t[t.None=0]="None",t[t.Instantiated=1]="Instantiated",t[t.SyntheticProperty=2]="SyntheticProperty",t[t.SyntheticMethod=4]="SyntheticMethod",t[t.Readonly=8]="Readonly",t[t.ReadPartial=16]="ReadPartial",t[t.WritePartial=32]="WritePartial",t[t.HasNonUniformType=64]="HasNonUniformType",t[t.HasLiteralType=128]="HasLiteralType",t[t.ContainsPublic=256]="ContainsPublic",t[t.ContainsProtected=512]="ContainsProtected",t[t.ContainsPrivate=1024]="ContainsPrivate",t[t.ContainsStatic=2048]="ContainsStatic",t[t.Late=4096]="Late",t[t.ReverseMapped=8192]="ReverseMapped",t[t.OptionalParameter=16384]="OptionalParameter",t[t.RestParameter=32768]="RestParameter",t[t.DeferredType=65536]="DeferredType",t[t.HasNeverType=131072]="HasNeverType",t[t.Mapped=262144]="Mapped",t[t.StripOptional=524288]="StripOptional",t[t.Unresolved=1048576]="Unresolved",t[t.Synthetic=6]="Synthetic",t[t.Discriminant=192]="Discriminant",t[t.Partial=48]="Partial",t))(Qxe||{}),Zxe=(t=>(t.Call="__call",t.Constructor="__constructor",t.New="__new",t.Index="__index",t.ExportStar="__export",t.Global="__global",t.Missing="__missing",t.Type="__type",t.Object="__object",t.JSXAttributes="__jsxAttributes",t.Class="__class",t.Function="__function",t.Computed="__computed",t.Resolving="__resolving__",t.ExportEquals="export=",t.Default="default",t.This="this",t.InstantiationExpression="__instantiationExpression",t.ImportAttributes="__importAttributes",t))(Zxe||{}),goe=(t=>(t[t.None=0]="None",t[t.TypeChecked=1]="TypeChecked",t[t.LexicalThis=2]="LexicalThis",t[t.CaptureThis=4]="CaptureThis",t[t.CaptureNewTarget=8]="CaptureNewTarget",t[t.SuperInstance=16]="SuperInstance",t[t.SuperStatic=32]="SuperStatic",t[t.ContextChecked=64]="ContextChecked",t[t.MethodWithSuperPropertyAccessInAsync=128]="MethodWithSuperPropertyAccessInAsync",t[t.MethodWithSuperPropertyAssignmentInAsync=256]="MethodWithSuperPropertyAssignmentInAsync",t[t.CaptureArguments=512]="CaptureArguments",t[t.EnumValuesComputed=1024]="EnumValuesComputed",t[t.LexicalModuleMergesWithClass=2048]="LexicalModuleMergesWithClass",t[t.LoopWithCapturedBlockScopedBinding=4096]="LoopWithCapturedBlockScopedBinding",t[t.ContainsCapturedBlockScopeBinding=8192]="ContainsCapturedBlockScopeBinding",t[t.CapturedBlockScopedBinding=16384]="CapturedBlockScopedBinding",t[t.BlockScopedBindingInLoop=32768]="BlockScopedBindingInLoop",t[t.NeedsLoopOutParameter=65536]="NeedsLoopOutParameter",t[t.AssignmentsMarked=131072]="AssignmentsMarked",t[t.ContainsConstructorReference=262144]="ContainsConstructorReference",t[t.ConstructorReference=536870912]="ConstructorReference",t[t.ContainsClassWithPrivateIdentifiers=1048576]="ContainsClassWithPrivateIdentifiers",t[t.ContainsSuperPropertyInStaticInitializer=2097152]="ContainsSuperPropertyInStaticInitializer",t[t.InCheckIdentifier=4194304]="InCheckIdentifier",t[t.PartiallyTypeChecked=8388608]="PartiallyTypeChecked",t[t.LazyFlags=539358128]="LazyFlags",t))(goe||{}),yoe=(t=>(t[t.Any=1]="Any",t[t.Unknown=2]="Unknown",t[t.String=4]="String",t[t.Number=8]="Number",t[t.Boolean=16]="Boolean",t[t.Enum=32]="Enum",t[t.BigInt=64]="BigInt",t[t.StringLiteral=128]="StringLiteral",t[t.NumberLiteral=256]="NumberLiteral",t[t.BooleanLiteral=512]="BooleanLiteral",t[t.EnumLiteral=1024]="EnumLiteral",t[t.BigIntLiteral=2048]="BigIntLiteral",t[t.ESSymbol=4096]="ESSymbol",t[t.UniqueESSymbol=8192]="UniqueESSymbol",t[t.Void=16384]="Void",t[t.Undefined=32768]="Undefined",t[t.Null=65536]="Null",t[t.Never=131072]="Never",t[t.TypeParameter=262144]="TypeParameter",t[t.Object=524288]="Object",t[t.Union=1048576]="Union",t[t.Intersection=2097152]="Intersection",t[t.Index=4194304]="Index",t[t.IndexedAccess=8388608]="IndexedAccess",t[t.Conditional=16777216]="Conditional",t[t.Substitution=33554432]="Substitution",t[t.NonPrimitive=67108864]="NonPrimitive",t[t.TemplateLiteral=134217728]="TemplateLiteral",t[t.StringMapping=268435456]="StringMapping",t[t.Reserved1=536870912]="Reserved1",t[t.Reserved2=1073741824]="Reserved2",t[t.AnyOrUnknown=3]="AnyOrUnknown",t[t.Nullable=98304]="Nullable",t[t.Literal=2944]="Literal",t[t.Unit=109472]="Unit",t[t.Freshable=2976]="Freshable",t[t.StringOrNumberLiteral=384]="StringOrNumberLiteral",t[t.StringOrNumberLiteralOrUnique=8576]="StringOrNumberLiteralOrUnique",t[t.DefinitelyFalsy=117632]="DefinitelyFalsy",t[t.PossiblyFalsy=117724]="PossiblyFalsy",t[t.Intrinsic=67359327]="Intrinsic",t[t.StringLike=402653316]="StringLike",t[t.NumberLike=296]="NumberLike",t[t.BigIntLike=2112]="BigIntLike",t[t.BooleanLike=528]="BooleanLike",t[t.EnumLike=1056]="EnumLike",t[t.ESSymbolLike=12288]="ESSymbolLike",t[t.VoidLike=49152]="VoidLike",t[t.Primitive=402784252]="Primitive",t[t.DefinitelyNonNullable=470302716]="DefinitelyNonNullable",t[t.DisjointDomains=469892092]="DisjointDomains",t[t.UnionOrIntersection=3145728]="UnionOrIntersection",t[t.StructuredType=3670016]="StructuredType",t[t.TypeVariable=8650752]="TypeVariable",t[t.InstantiableNonPrimitive=58982400]="InstantiableNonPrimitive",t[t.InstantiablePrimitive=406847488]="InstantiablePrimitive",t[t.Instantiable=465829888]="Instantiable",t[t.StructuredOrInstantiable=469499904]="StructuredOrInstantiable",t[t.ObjectFlagsType=3899393]="ObjectFlagsType",t[t.Simplifiable=25165824]="Simplifiable",t[t.Singleton=67358815]="Singleton",t[t.Narrowable=536624127]="Narrowable",t[t.IncludesMask=473694207]="IncludesMask",t[t.IncludesMissingType=262144]="IncludesMissingType",t[t.IncludesNonWideningType=4194304]="IncludesNonWideningType",t[t.IncludesWildcard=8388608]="IncludesWildcard",t[t.IncludesEmptyObject=16777216]="IncludesEmptyObject",t[t.IncludesInstantiable=33554432]="IncludesInstantiable",t[t.IncludesConstrainedTypeVariable=536870912]="IncludesConstrainedTypeVariable",t[t.IncludesError=1073741824]="IncludesError",t[t.NotPrimitiveUnion=36323331]="NotPrimitiveUnion",t))(yoe||{}),voe=(t=>(t[t.None=0]="None",t[t.Class=1]="Class",t[t.Interface=2]="Interface",t[t.Reference=4]="Reference",t[t.Tuple=8]="Tuple",t[t.Anonymous=16]="Anonymous",t[t.Mapped=32]="Mapped",t[t.Instantiated=64]="Instantiated",t[t.ObjectLiteral=128]="ObjectLiteral",t[t.EvolvingArray=256]="EvolvingArray",t[t.ObjectLiteralPatternWithComputedProperties=512]="ObjectLiteralPatternWithComputedProperties",t[t.ReverseMapped=1024]="ReverseMapped",t[t.JsxAttributes=2048]="JsxAttributes",t[t.JSLiteral=4096]="JSLiteral",t[t.FreshLiteral=8192]="FreshLiteral",t[t.ArrayLiteral=16384]="ArrayLiteral",t[t.PrimitiveUnion=32768]="PrimitiveUnion",t[t.ContainsWideningType=65536]="ContainsWideningType",t[t.ContainsObjectOrArrayLiteral=131072]="ContainsObjectOrArrayLiteral",t[t.NonInferrableType=262144]="NonInferrableType",t[t.CouldContainTypeVariablesComputed=524288]="CouldContainTypeVariablesComputed",t[t.CouldContainTypeVariables=1048576]="CouldContainTypeVariables",t[t.ClassOrInterface=3]="ClassOrInterface",t[t.RequiresWidening=196608]="RequiresWidening",t[t.PropagatingFlags=458752]="PropagatingFlags",t[t.InstantiatedMapped=96]="InstantiatedMapped",t[t.ObjectTypeKindMask=1343]="ObjectTypeKindMask",t[t.ContainsSpread=2097152]="ContainsSpread",t[t.ObjectRestType=4194304]="ObjectRestType",t[t.InstantiationExpressionType=8388608]="InstantiationExpressionType",t[t.SingleSignatureType=134217728]="SingleSignatureType",t[t.IsClassInstanceClone=16777216]="IsClassInstanceClone",t[t.IdenticalBaseTypeCalculated=33554432]="IdenticalBaseTypeCalculated",t[t.IdenticalBaseTypeExists=67108864]="IdenticalBaseTypeExists",t[t.IsGenericTypeComputed=2097152]="IsGenericTypeComputed",t[t.IsGenericObjectType=4194304]="IsGenericObjectType",t[t.IsGenericIndexType=8388608]="IsGenericIndexType",t[t.IsGenericType=12582912]="IsGenericType",t[t.ContainsIntersections=16777216]="ContainsIntersections",t[t.IsUnknownLikeUnionComputed=33554432]="IsUnknownLikeUnionComputed",t[t.IsUnknownLikeUnion=67108864]="IsUnknownLikeUnion",t[t.IsNeverIntersectionComputed=16777216]="IsNeverIntersectionComputed",t[t.IsNeverIntersection=33554432]="IsNeverIntersection",t[t.IsConstrainedTypeVariable=67108864]="IsConstrainedTypeVariable",t))(voe||{}),Yxe=(t=>(t[t.Invariant=0]="Invariant",t[t.Covariant=1]="Covariant",t[t.Contravariant=2]="Contravariant",t[t.Bivariant=3]="Bivariant",t[t.Independent=4]="Independent",t[t.VarianceMask=7]="VarianceMask",t[t.Unmeasurable=8]="Unmeasurable",t[t.Unreliable=16]="Unreliable",t[t.AllowsStructuralFallback=24]="AllowsStructuralFallback",t))(Yxe||{}),eke=(t=>(t[t.Required=1]="Required",t[t.Optional=2]="Optional",t[t.Rest=4]="Rest",t[t.Variadic=8]="Variadic",t[t.Fixed=3]="Fixed",t[t.Variable=12]="Variable",t[t.NonRequired=14]="NonRequired",t[t.NonRest=11]="NonRest",t))(eke||{}),tke=(t=>(t[t.None=0]="None",t[t.IncludeUndefined=1]="IncludeUndefined",t[t.NoIndexSignatures=2]="NoIndexSignatures",t[t.Writing=4]="Writing",t[t.CacheSymbol=8]="CacheSymbol",t[t.AllowMissing=16]="AllowMissing",t[t.ExpressionPosition=32]="ExpressionPosition",t[t.ReportDeprecated=64]="ReportDeprecated",t[t.SuppressNoImplicitAnyError=128]="SuppressNoImplicitAnyError",t[t.Contextual=256]="Contextual",t[t.Persistent=1]="Persistent",t))(tke||{}),ike=(t=>(t[t.None=0]="None",t[t.StringsOnly=1]="StringsOnly",t[t.NoIndexSignatures=2]="NoIndexSignatures",t[t.NoReducibleCheck=4]="NoReducibleCheck",t))(ike||{}),nke=(t=>(t[t.Component=0]="Component",t[t.Function=1]="Function",t[t.Mixed=2]="Mixed",t))(nke||{}),rke=(t=>(t[t.Call=0]="Call",t[t.Construct=1]="Construct",t))(rke||{}),boe=(t=>(t[t.None=0]="None",t[t.HasRestParameter=1]="HasRestParameter",t[t.HasLiteralTypes=2]="HasLiteralTypes",t[t.Abstract=4]="Abstract",t[t.IsInnerCallChain=8]="IsInnerCallChain",t[t.IsOuterCallChain=16]="IsOuterCallChain",t[t.IsUntypedSignatureInJSFile=32]="IsUntypedSignatureInJSFile",t[t.IsNonInferrable=64]="IsNonInferrable",t[t.IsSignatureCandidateForOverloadFailure=128]="IsSignatureCandidateForOverloadFailure",t[t.PropagatingFlags=167]="PropagatingFlags",t[t.CallChainFlags=24]="CallChainFlags",t))(boe||{}),ske=(t=>(t[t.String=0]="String",t[t.Number=1]="Number",t))(ske||{}),oke=(t=>(t[t.Simple=0]="Simple",t[t.Array=1]="Array",t[t.Deferred=2]="Deferred",t[t.Function=3]="Function",t[t.Composite=4]="Composite",t[t.Merged=5]="Merged",t))(oke||{}),ake=(t=>(t[t.None=0]="None",t[t.NakedTypeVariable=1]="NakedTypeVariable",t[t.SpeculativeTuple=2]="SpeculativeTuple",t[t.SubstituteSource=4]="SubstituteSource",t[t.HomomorphicMappedType=8]="HomomorphicMappedType",t[t.PartialHomomorphicMappedType=16]="PartialHomomorphicMappedType",t[t.MappedTypeConstraint=32]="MappedTypeConstraint",t[t.ContravariantConditional=64]="ContravariantConditional",t[t.ReturnType=128]="ReturnType",t[t.LiteralKeyof=256]="LiteralKeyof",t[t.NoConstraints=512]="NoConstraints",t[t.AlwaysStrict=1024]="AlwaysStrict",t[t.MaxValue=2048]="MaxValue",t[t.PriorityImpliesCombination=416]="PriorityImpliesCombination",t[t.Circularity=-1]="Circularity",t))(ake||{}),cke=(t=>(t[t.None=0]="None",t[t.NoDefault=1]="NoDefault",t[t.AnyDefault=2]="AnyDefault",t[t.SkippedGenericFunction=4]="SkippedGenericFunction",t))(cke||{}),lke=(t=>(t[t.False=0]="False",t[t.Unknown=1]="Unknown",t[t.Maybe=3]="Maybe",t[t.True=-1]="True",t))(lke||{}),uke=(t=>(t[t.None=0]="None",t[t.ExportsProperty=1]="ExportsProperty",t[t.ModuleExports=2]="ModuleExports",t[t.PrototypeProperty=3]="PrototypeProperty",t[t.ThisProperty=4]="ThisProperty",t[t.Property=5]="Property",t[t.Prototype=6]="Prototype",t[t.ObjectDefinePropertyValue=7]="ObjectDefinePropertyValue",t[t.ObjectDefinePropertyExports=8]="ObjectDefinePropertyExports",t[t.ObjectDefinePrototypeProperty=9]="ObjectDefinePrototypeProperty",t))(uke||{}),JJ=(t=>(t[t.Warning=0]="Warning",t[t.Error=1]="Error",t[t.Suggestion=2]="Suggestion",t[t.Message=3]="Message",t))(JJ||{});function nI(t,r=!0){const a=JJ[t.category];return r?a.toLowerCase():a}var i8=(t=>(t[t.Classic=1]="Classic",t[t.NodeJs=2]="NodeJs",t[t.Node10=2]="Node10",t[t.Node16=3]="Node16",t[t.NodeNext=99]="NodeNext",t[t.Bundler=100]="Bundler",t))(i8||{}),dke=(t=>(t[t.Legacy=1]="Legacy",t[t.Auto=2]="Auto",t[t.Force=3]="Force",t))(dke||{}),fke=(t=>(t[t.FixedPollingInterval=0]="FixedPollingInterval",t[t.PriorityPollingInterval=1]="PriorityPollingInterval",t[t.DynamicPriorityPolling=2]="DynamicPriorityPolling",t[t.FixedChunkSizePolling=3]="FixedChunkSizePolling",t[t.UseFsEvents=4]="UseFsEvents",t[t.UseFsEventsOnParentDirectory=5]="UseFsEventsOnParentDirectory",t))(fke||{}),hke=(t=>(t[t.UseFsEvents=0]="UseFsEvents",t[t.FixedPollingInterval=1]="FixedPollingInterval",t[t.DynamicPriorityPolling=2]="DynamicPriorityPolling",t[t.FixedChunkSizePolling=3]="FixedChunkSizePolling",t))(hke||{}),_ke=(t=>(t[t.FixedInterval=0]="FixedInterval",t[t.PriorityInterval=1]="PriorityInterval",t[t.DynamicPriority=2]="DynamicPriority",t[t.FixedChunkSize=3]="FixedChunkSize",t))(_ke||{}),bW=(t=>(t[t.None=0]="None",t[t.CommonJS=1]="CommonJS",t[t.AMD=2]="AMD",t[t.UMD=3]="UMD",t[t.System=4]="System",t[t.ES2015=5]="ES2015",t[t.ES2020=6]="ES2020",t[t.ES2022=7]="ES2022",t[t.ESNext=99]="ESNext",t[t.Node16=100]="Node16",t[t.NodeNext=199]="NodeNext",t[t.Preserve=200]="Preserve",t))(bW||{}),pke=(t=>(t[t.None=0]="None",t[t.Preserve=1]="Preserve",t[t.React=2]="React",t[t.ReactNative=3]="ReactNative",t[t.ReactJSX=4]="ReactJSX",t[t.ReactJSXDev=5]="ReactJSXDev",t))(pke||{}),mke=(t=>(t[t.Remove=0]="Remove",t[t.Preserve=1]="Preserve",t[t.Error=2]="Error",t))(mke||{}),gke=(t=>(t[t.CarriageReturnLineFeed=0]="CarriageReturnLineFeed",t[t.LineFeed=1]="LineFeed",t))(gke||{}),Coe=(t=>(t[t.Unknown=0]="Unknown",t[t.JS=1]="JS",t[t.JSX=2]="JSX",t[t.TS=3]="TS",t[t.TSX=4]="TSX",t[t.External=5]="External",t[t.JSON=6]="JSON",t[t.Deferred=7]="Deferred",t))(Coe||{}),yke=(t=>(t[t.ES3=0]="ES3",t[t.ES5=1]="ES5",t[t.ES2015=2]="ES2015",t[t.ES2016=3]="ES2016",t[t.ES2017=4]="ES2017",t[t.ES2018=5]="ES2018",t[t.ES2019=6]="ES2019",t[t.ES2020=7]="ES2020",t[t.ES2021=8]="ES2021",t[t.ES2022=9]="ES2022",t[t.ES2023=10]="ES2023",t[t.ESNext=99]="ESNext",t[t.JSON=100]="JSON",t[t.Latest=99]="Latest",t))(yke||{}),vke=(t=>(t[t.Standard=0]="Standard",t[t.JSX=1]="JSX",t))(vke||{}),bke=(t=>(t[t.None=0]="None",t[t.Recursive=1]="Recursive",t))(bke||{}),Cke=(t=>(t[t.EOF=-1]="EOF",t[t.nullCharacter=0]="nullCharacter",t[t.maxAsciiCharacter=127]="maxAsciiCharacter",t[t.lineFeed=10]="lineFeed",t[t.carriageReturn=13]="carriageReturn",t[t.lineSeparator=8232]="lineSeparator",t[t.paragraphSeparator=8233]="paragraphSeparator",t[t.nextLine=133]="nextLine",t[t.space=32]="space",t[t.nonBreakingSpace=160]="nonBreakingSpace",t[t.enQuad=8192]="enQuad",t[t.emQuad=8193]="emQuad",t[t.enSpace=8194]="enSpace",t[t.emSpace=8195]="emSpace",t[t.threePerEmSpace=8196]="threePerEmSpace",t[t.fourPerEmSpace=8197]="fourPerEmSpace",t[t.sixPerEmSpace=8198]="sixPerEmSpace",t[t.figureSpace=8199]="figureSpace",t[t.punctuationSpace=8200]="punctuationSpace",t[t.thinSpace=8201]="thinSpace",t[t.hairSpace=8202]="hairSpace",t[t.zeroWidthSpace=8203]="zeroWidthSpace",t[t.narrowNoBreakSpace=8239]="narrowNoBreakSpace",t[t.ideographicSpace=12288]="ideographicSpace",t[t.mathematicalSpace=8287]="mathematicalSpace",t[t.ogham=5760]="ogham",t[t.replacementCharacter=65533]="replacementCharacter",t[t._=95]="_",t[t.$=36]="$",t[t._0=48]="_0",t[t._1=49]="_1",t[t._2=50]="_2",t[t._3=51]="_3",t[t._4=52]="_4",t[t._5=53]="_5",t[t._6=54]="_6",t[t._7=55]="_7",t[t._8=56]="_8",t[t._9=57]="_9",t[t.a=97]="a",t[t.b=98]="b",t[t.c=99]="c",t[t.d=100]="d",t[t.e=101]="e",t[t.f=102]="f",t[t.g=103]="g",t[t.h=104]="h",t[t.i=105]="i",t[t.j=106]="j",t[t.k=107]="k",t[t.l=108]="l",t[t.m=109]="m",t[t.n=110]="n",t[t.o=111]="o",t[t.p=112]="p",t[t.q=113]="q",t[t.r=114]="r",t[t.s=115]="s",t[t.t=116]="t",t[t.u=117]="u",t[t.v=118]="v",t[t.w=119]="w",t[t.x=120]="x",t[t.y=121]="y",t[t.z=122]="z",t[t.A=65]="A",t[t.B=66]="B",t[t.C=67]="C",t[t.D=68]="D",t[t.E=69]="E",t[t.F=70]="F",t[t.G=71]="G",t[t.H=72]="H",t[t.I=73]="I",t[t.J=74]="J",t[t.K=75]="K",t[t.L=76]="L",t[t.M=77]="M",t[t.N=78]="N",t[t.O=79]="O",t[t.P=80]="P",t[t.Q=81]="Q",t[t.R=82]="R",t[t.S=83]="S",t[t.T=84]="T",t[t.U=85]="U",t[t.V=86]="V",t[t.W=87]="W",t[t.X=88]="X",t[t.Y=89]="Y",t[t.Z=90]="Z",t[t.ampersand=38]="ampersand",t[t.asterisk=42]="asterisk",t[t.at=64]="at",t[t.backslash=92]="backslash",t[t.backtick=96]="backtick",t[t.bar=124]="bar",t[t.caret=94]="caret",t[t.closeBrace=125]="closeBrace",t[t.closeBracket=93]="closeBracket",t[t.closeParen=41]="closeParen",t[t.colon=58]="colon",t[t.comma=44]="comma",t[t.dot=46]="dot",t[t.doubleQuote=34]="doubleQuote",t[t.equals=61]="equals",t[t.exclamation=33]="exclamation",t[t.greaterThan=62]="greaterThan",t[t.hash=35]="hash",t[t.lessThan=60]="lessThan",t[t.minus=45]="minus",t[t.openBrace=123]="openBrace",t[t.openBracket=91]="openBracket",t[t.openParen=40]="openParen",t[t.percent=37]="percent",t[t.plus=43]="plus",t[t.question=63]="question",t[t.semicolon=59]="semicolon",t[t.singleQuote=39]="singleQuote",t[t.slash=47]="slash",t[t.tilde=126]="tilde",t[t.backspace=8]="backspace",t[t.formFeed=12]="formFeed",t[t.byteOrderMark=65279]="byteOrderMark",t[t.tab=9]="tab",t[t.verticalTab=11]="verticalTab",t))(Cke||{}),Ske=(t=>(t.Ts=".ts",t.Tsx=".tsx",t.Dts=".d.ts",t.Js=".js",t.Jsx=".jsx",t.Json=".json",t.TsBuildInfo=".tsbuildinfo",t.Mjs=".mjs",t.Mts=".mts",t.Dmts=".d.mts",t.Cjs=".cjs",t.Cts=".cts",t.Dcts=".d.cts",t))(Ske||{}),Soe=(t=>(t[t.None=0]="None",t[t.ContainsTypeScript=1]="ContainsTypeScript",t[t.ContainsJsx=2]="ContainsJsx",t[t.ContainsESNext=4]="ContainsESNext",t[t.ContainsES2022=8]="ContainsES2022",t[t.ContainsES2021=16]="ContainsES2021",t[t.ContainsES2020=32]="ContainsES2020",t[t.ContainsES2019=64]="ContainsES2019",t[t.ContainsES2018=128]="ContainsES2018",t[t.ContainsES2017=256]="ContainsES2017",t[t.ContainsES2016=512]="ContainsES2016",t[t.ContainsES2015=1024]="ContainsES2015",t[t.ContainsGenerator=2048]="ContainsGenerator",t[t.ContainsDestructuringAssignment=4096]="ContainsDestructuringAssignment",t[t.ContainsTypeScriptClassSyntax=8192]="ContainsTypeScriptClassSyntax",t[t.ContainsLexicalThis=16384]="ContainsLexicalThis",t[t.ContainsRestOrSpread=32768]="ContainsRestOrSpread",t[t.ContainsObjectRestOrSpread=65536]="ContainsObjectRestOrSpread",t[t.ContainsComputedPropertyName=131072]="ContainsComputedPropertyName",t[t.ContainsBlockScopedBinding=262144]="ContainsBlockScopedBinding",t[t.ContainsBindingPattern=524288]="ContainsBindingPattern",t[t.ContainsYield=1048576]="ContainsYield",t[t.ContainsAwait=2097152]="ContainsAwait",t[t.ContainsHoistedDeclarationOrCompletion=4194304]="ContainsHoistedDeclarationOrCompletion",t[t.ContainsDynamicImport=8388608]="ContainsDynamicImport",t[t.ContainsClassFields=16777216]="ContainsClassFields",t[t.ContainsDecorators=33554432]="ContainsDecorators",t[t.ContainsPossibleTopLevelAwait=67108864]="ContainsPossibleTopLevelAwait",t[t.ContainsLexicalSuper=134217728]="ContainsLexicalSuper",t[t.ContainsUpdateExpressionForIdentifier=268435456]="ContainsUpdateExpressionForIdentifier",t[t.ContainsPrivateIdentifierInExpression=536870912]="ContainsPrivateIdentifierInExpression",t[t.HasComputedFlags=-2147483648]="HasComputedFlags",t[t.AssertTypeScript=1]="AssertTypeScript",t[t.AssertJsx=2]="AssertJsx",t[t.AssertESNext=4]="AssertESNext",t[t.AssertES2022=8]="AssertES2022",t[t.AssertES2021=16]="AssertES2021",t[t.AssertES2020=32]="AssertES2020",t[t.AssertES2019=64]="AssertES2019",t[t.AssertES2018=128]="AssertES2018",t[t.AssertES2017=256]="AssertES2017",t[t.AssertES2016=512]="AssertES2016",t[t.AssertES2015=1024]="AssertES2015",t[t.AssertGenerator=2048]="AssertGenerator",t[t.AssertDestructuringAssignment=4096]="AssertDestructuringAssignment",t[t.OuterExpressionExcludes=-2147483648]="OuterExpressionExcludes",t[t.PropertyAccessExcludes=-2147483648]="PropertyAccessExcludes",t[t.NodeExcludes=-2147483648]="NodeExcludes",t[t.ArrowFunctionExcludes=-2072174592]="ArrowFunctionExcludes",t[t.FunctionExcludes=-1937940480]="FunctionExcludes",t[t.ConstructorExcludes=-1937948672]="ConstructorExcludes",t[t.MethodOrAccessorExcludes=-2005057536]="MethodOrAccessorExcludes",t[t.PropertyExcludes=-2013249536]="PropertyExcludes",t[t.ClassExcludes=-2147344384]="ClassExcludes",t[t.ModuleExcludes=-1941676032]="ModuleExcludes",t[t.TypeExcludes=-2]="TypeExcludes",t[t.ObjectLiteralExcludes=-2147278848]="ObjectLiteralExcludes",t[t.ArrayLiteralOrCallOrNewExcludes=-2147450880]="ArrayLiteralOrCallOrNewExcludes",t[t.VariableDeclarationListExcludes=-2146893824]="VariableDeclarationListExcludes",t[t.ParameterExcludes=-2147483648]="ParameterExcludes",t[t.CatchClauseExcludes=-2147418112]="CatchClauseExcludes",t[t.BindingPatternExcludes=-2147450880]="BindingPatternExcludes",t[t.ContainsLexicalThisOrSuper=134234112]="ContainsLexicalThisOrSuper",t[t.PropertyNamePropagatingFlags=134234112]="PropertyNamePropagatingFlags",t))(Soe||{}),woe=(t=>(t[t.TabStop=0]="TabStop",t[t.Placeholder=1]="Placeholder",t[t.Choice=2]="Choice",t[t.Variable=3]="Variable",t))(woe||{}),xoe=(t=>(t[t.None=0]="None",t[t.SingleLine=1]="SingleLine",t[t.MultiLine=2]="MultiLine",t[t.AdviseOnEmitNode=4]="AdviseOnEmitNode",t[t.NoSubstitution=8]="NoSubstitution",t[t.CapturesThis=16]="CapturesThis",t[t.NoLeadingSourceMap=32]="NoLeadingSourceMap",t[t.NoTrailingSourceMap=64]="NoTrailingSourceMap",t[t.NoSourceMap=96]="NoSourceMap",t[t.NoNestedSourceMaps=128]="NoNestedSourceMaps",t[t.NoTokenLeadingSourceMaps=256]="NoTokenLeadingSourceMaps",t[t.NoTokenTrailingSourceMaps=512]="NoTokenTrailingSourceMaps",t[t.NoTokenSourceMaps=768]="NoTokenSourceMaps",t[t.NoLeadingComments=1024]="NoLeadingComments",t[t.NoTrailingComments=2048]="NoTrailingComments",t[t.NoComments=3072]="NoComments",t[t.NoNestedComments=4096]="NoNestedComments",t[t.HelperName=8192]="HelperName",t[t.ExportName=16384]="ExportName",t[t.LocalName=32768]="LocalName",t[t.InternalName=65536]="InternalName",t[t.Indented=131072]="Indented",t[t.NoIndentation=262144]="NoIndentation",t[t.AsyncFunctionBody=524288]="AsyncFunctionBody",t[t.ReuseTempVariableScope=1048576]="ReuseTempVariableScope",t[t.CustomPrologue=2097152]="CustomPrologue",t[t.NoHoisting=4194304]="NoHoisting",t[t.Iterator=8388608]="Iterator",t[t.NoAsciiEscaping=16777216]="NoAsciiEscaping",t))(xoe||{}),wke=(t=>(t[t.None=0]="None",t[t.TypeScriptClassWrapper=1]="TypeScriptClassWrapper",t[t.NeverApplyImportHelper=2]="NeverApplyImportHelper",t[t.IgnoreSourceNewlines=4]="IgnoreSourceNewlines",t[t.Immutable=8]="Immutable",t[t.IndirectCall=16]="IndirectCall",t[t.TransformPrivateStaticElements=32]="TransformPrivateStaticElements",t))(wke||{}),xke=(t=>(t[t.Classes=2]="Classes",t[t.ForOf=2]="ForOf",t[t.Generators=2]="Generators",t[t.Iteration=2]="Iteration",t[t.SpreadElements=2]="SpreadElements",t[t.RestElements=2]="RestElements",t[t.TaggedTemplates=2]="TaggedTemplates",t[t.DestructuringAssignment=2]="DestructuringAssignment",t[t.BindingPatterns=2]="BindingPatterns",t[t.ArrowFunctions=2]="ArrowFunctions",t[t.BlockScopedVariables=2]="BlockScopedVariables",t[t.ObjectAssign=2]="ObjectAssign",t[t.RegularExpressionFlagsUnicode=2]="RegularExpressionFlagsUnicode",t[t.RegularExpressionFlagsSticky=2]="RegularExpressionFlagsSticky",t[t.Exponentiation=3]="Exponentiation",t[t.AsyncFunctions=4]="AsyncFunctions",t[t.ForAwaitOf=5]="ForAwaitOf",t[t.AsyncGenerators=5]="AsyncGenerators",t[t.AsyncIteration=5]="AsyncIteration",t[t.ObjectSpreadRest=5]="ObjectSpreadRest",t[t.RegularExpressionFlagsDotAll=5]="RegularExpressionFlagsDotAll",t[t.BindinglessCatch=6]="BindinglessCatch",t[t.BigInt=7]="BigInt",t[t.NullishCoalesce=7]="NullishCoalesce",t[t.OptionalChaining=7]="OptionalChaining",t[t.LogicalAssignment=8]="LogicalAssignment",t[t.TopLevelAwait=9]="TopLevelAwait",t[t.ClassFields=9]="ClassFields",t[t.PrivateNamesAndClassStaticBlocks=9]="PrivateNamesAndClassStaticBlocks",t[t.RegularExpressionFlagsHasIndices=9]="RegularExpressionFlagsHasIndices",t[t.ShebangComments=99]="ShebangComments",t[t.UsingAndAwaitUsing=99]="UsingAndAwaitUsing",t[t.ClassAndClassElementDecorators=99]="ClassAndClassElementDecorators",t[t.RegularExpressionFlagsUnicodeSets=99]="RegularExpressionFlagsUnicodeSets",t))(xke||{}),kke=(t=>(t[t.Extends=1]="Extends",t[t.Assign=2]="Assign",t[t.Rest=4]="Rest",t[t.Decorate=8]="Decorate",t[t.ESDecorateAndRunInitializers=8]="ESDecorateAndRunInitializers",t[t.Metadata=16]="Metadata",t[t.Param=32]="Param",t[t.Awaiter=64]="Awaiter",t[t.Generator=128]="Generator",t[t.Values=256]="Values",t[t.Read=512]="Read",t[t.SpreadArray=1024]="SpreadArray",t[t.Await=2048]="Await",t[t.AsyncGenerator=4096]="AsyncGenerator",t[t.AsyncDelegator=8192]="AsyncDelegator",t[t.AsyncValues=16384]="AsyncValues",t[t.ExportStar=32768]="ExportStar",t[t.ImportStar=65536]="ImportStar",t[t.ImportDefault=131072]="ImportDefault",t[t.MakeTemplateObject=262144]="MakeTemplateObject",t[t.ClassPrivateFieldGet=524288]="ClassPrivateFieldGet",t[t.ClassPrivateFieldSet=1048576]="ClassPrivateFieldSet",t[t.ClassPrivateFieldIn=2097152]="ClassPrivateFieldIn",t[t.SetFunctionName=4194304]="SetFunctionName",t[t.PropKey=8388608]="PropKey",t[t.AddDisposableResourceAndDisposeResources=16777216]="AddDisposableResourceAndDisposeResources",t[t.FirstEmitHelper=1]="FirstEmitHelper",t[t.LastEmitHelper=16777216]="LastEmitHelper",t[t.ForOfIncludes=256]="ForOfIncludes",t[t.ForAwaitOfIncludes=16384]="ForAwaitOfIncludes",t[t.AsyncGeneratorIncludes=6144]="AsyncGeneratorIncludes",t[t.AsyncDelegatorIncludes=26624]="AsyncDelegatorIncludes",t[t.SpreadIncludes=1536]="SpreadIncludes",t))(kke||{}),Tke=(t=>(t[t.SourceFile=0]="SourceFile",t[t.Expression=1]="Expression",t[t.IdentifierName=2]="IdentifierName",t[t.MappedTypeParameter=3]="MappedTypeParameter",t[t.Unspecified=4]="Unspecified",t[t.EmbeddedStatement=5]="EmbeddedStatement",t[t.JsxAttributeValue=6]="JsxAttributeValue",t[t.ImportTypeNodeAttributes=7]="ImportTypeNodeAttributes",t))(Tke||{}),Dke=(t=>(t[t.Parentheses=1]="Parentheses",t[t.TypeAssertions=2]="TypeAssertions",t[t.NonNullAssertions=4]="NonNullAssertions",t[t.PartiallyEmittedExpressions=8]="PartiallyEmittedExpressions",t[t.ExpressionsWithTypeArguments=16]="ExpressionsWithTypeArguments",t[t.Assertions=6]="Assertions",t[t.All=31]="All",t[t.ExcludeJSDocTypeAssertion=-2147483648]="ExcludeJSDocTypeAssertion",t))(Dke||{}),Eke=(t=>(t[t.None=0]="None",t[t.InParameters=1]="InParameters",t[t.VariablesHoistedInParameters=2]="VariablesHoistedInParameters",t))(Eke||{}),Ike=(t=>(t[t.None=0]="None",t[t.SingleLine=0]="SingleLine",t[t.MultiLine=1]="MultiLine",t[t.PreserveLines=2]="PreserveLines",t[t.LinesMask=3]="LinesMask",t[t.NotDelimited=0]="NotDelimited",t[t.BarDelimited=4]="BarDelimited",t[t.AmpersandDelimited=8]="AmpersandDelimited",t[t.CommaDelimited=16]="CommaDelimited",t[t.AsteriskDelimited=32]="AsteriskDelimited",t[t.DelimitersMask=60]="DelimitersMask",t[t.AllowTrailingComma=64]="AllowTrailingComma",t[t.Indented=128]="Indented",t[t.SpaceBetweenBraces=256]="SpaceBetweenBraces",t[t.SpaceBetweenSiblings=512]="SpaceBetweenSiblings",t[t.Braces=1024]="Braces",t[t.Parenthesis=2048]="Parenthesis",t[t.AngleBrackets=4096]="AngleBrackets",t[t.SquareBrackets=8192]="SquareBrackets",t[t.BracketsMask=15360]="BracketsMask",t[t.OptionalIfUndefined=16384]="OptionalIfUndefined",t[t.OptionalIfEmpty=32768]="OptionalIfEmpty",t[t.Optional=49152]="Optional",t[t.PreferNewLine=65536]="PreferNewLine",t[t.NoTrailingNewLine=131072]="NoTrailingNewLine",t[t.NoInterveningComments=262144]="NoInterveningComments",t[t.NoSpaceIfEmpty=524288]="NoSpaceIfEmpty",t[t.SingleElement=1048576]="SingleElement",t[t.SpaceAfterList=2097152]="SpaceAfterList",t[t.Modifiers=2359808]="Modifiers",t[t.HeritageClauses=512]="HeritageClauses",t[t.SingleLineTypeLiteralMembers=768]="SingleLineTypeLiteralMembers",t[t.MultiLineTypeLiteralMembers=32897]="MultiLineTypeLiteralMembers",t[t.SingleLineTupleTypeElements=528]="SingleLineTupleTypeElements",t[t.MultiLineTupleTypeElements=657]="MultiLineTupleTypeElements",t[t.UnionTypeConstituents=516]="UnionTypeConstituents",t[t.IntersectionTypeConstituents=520]="IntersectionTypeConstituents",t[t.ObjectBindingPatternElements=525136]="ObjectBindingPatternElements",t[t.ArrayBindingPatternElements=524880]="ArrayBindingPatternElements",t[t.ObjectLiteralExpressionProperties=526226]="ObjectLiteralExpressionProperties",t[t.ImportAttributes=526226]="ImportAttributes",t[t.ImportClauseEntries=526226]="ImportClauseEntries",t[t.ArrayLiteralExpressionElements=8914]="ArrayLiteralExpressionElements",t[t.CommaListElements=528]="CommaListElements",t[t.CallExpressionArguments=2576]="CallExpressionArguments",t[t.NewExpressionArguments=18960]="NewExpressionArguments",t[t.TemplateExpressionSpans=262144]="TemplateExpressionSpans",t[t.SingleLineBlockStatements=768]="SingleLineBlockStatements",t[t.MultiLineBlockStatements=129]="MultiLineBlockStatements",t[t.VariableDeclarationList=528]="VariableDeclarationList",t[t.SingleLineFunctionBodyStatements=768]="SingleLineFunctionBodyStatements",t[t.MultiLineFunctionBodyStatements=1]="MultiLineFunctionBodyStatements",t[t.ClassHeritageClauses=0]="ClassHeritageClauses",t[t.ClassMembers=129]="ClassMembers",t[t.InterfaceMembers=129]="InterfaceMembers",t[t.EnumMembers=145]="EnumMembers",t[t.CaseBlockClauses=129]="CaseBlockClauses",t[t.NamedImportsOrExportsElements=525136]="NamedImportsOrExportsElements",t[t.JsxElementOrFragmentChildren=262144]="JsxElementOrFragmentChildren",t[t.JsxElementAttributes=262656]="JsxElementAttributes",t[t.CaseOrDefaultClauseStatements=163969]="CaseOrDefaultClauseStatements",t[t.HeritageClauseTypes=528]="HeritageClauseTypes",t[t.SourceFileStatements=131073]="SourceFileStatements",t[t.Decorators=2146305]="Decorators",t[t.TypeArguments=53776]="TypeArguments",t[t.TypeParameters=53776]="TypeParameters",t[t.Parameters=2576]="Parameters",t[t.IndexSignatureParameters=8848]="IndexSignatureParameters",t[t.JSDocComment=33]="JSDocComment",t))(Ike||{}),Nke=(t=>(t[t.None=0]="None",t[t.TripleSlashXML=1]="TripleSlashXML",t[t.SingleLine=2]="SingleLine",t[t.MultiLine=4]="MultiLine",t[t.All=7]="All",t[t.Default=7]="Default",t))(Nke||{}),GJ={reference:{args:[{name:"types",optional:!0,captureSpan:!0},{name:"lib",optional:!0,captureSpan:!0},{name:"path",optional:!0,captureSpan:!0},{name:"no-default-lib",optional:!0},{name:"resolution-mode",optional:!0},{name:"preserve",optional:!0}],kind:1},"amd-dependency":{args:[{name:"path"},{name:"name",optional:!0}],kind:1},"amd-module":{args:[{name:"name"}],kind:1},"ts-check":{kind:2},"ts-nocheck":{kind:2},jsx:{args:[{name:"factory"}],kind:4},jsxfrag:{args:[{name:"factory"}],kind:4},jsximportsource:{args:[{name:"factory"}],kind:4},jsxruntime:{args:[{name:"factory"}],kind:4}},Lke=(t=>(t[t.ParseAll=0]="ParseAll",t[t.ParseNone=1]="ParseNone",t[t.ParseForTypeErrors=2]="ParseForTypeErrors",t[t.ParseForTypeInfo=3]="ParseForTypeInfo",t))(Lke||{});function n8(t){let r=5381;for(let a=0;a<t.length;a++)r=(r<<5)+r+t.charCodeAt(a);return r.toString()}function JKe(){Error.stackTraceLimit<100&&(Error.stackTraceLimit=100)}var Pke=(t=>(t[t.Created=0]="Created",t[t.Changed=1]="Changed",t[t.Deleted=2]="Deleted",t))(Pke||{}),koe=(t=>(t[t.High=2e3]="High",t[t.Medium=500]="Medium",t[t.Low=250]="Low",t))(koe||{}),gp=new Date(0);function XL(t,r){return t.getModifiedTime(r)||gp}function Ake(t){return{250:t.Low,500:t.Medium,2e3:t.High}}var Toe={Low:32,Medium:64,High:256},Doe=Ake(Toe),KJ=Ake(Toe);function HAt(t){if(!t.getEnvironmentVariable)return;const r=h("TSC_WATCH_POLLINGINTERVAL",koe);Doe=p("TSC_WATCH_POLLINGCHUNKSIZE",Toe)||Doe,KJ=p("TSC_WATCH_UNCHANGEDPOLLTHRESHOLDS",Toe)||KJ;function a(g,C){return t.getEnvironmentVariable(`${g}_${C.toUpperCase()}`)}function u(g){let C;return b("Low"),b("Medium"),b("High"),C;function b(T){const E=a(g,T);E&&((C||(C={}))[T]=Number(E))}}function h(g,C){const b=u(g);if(b)return T("Low"),T("Medium"),T("High"),!0;return!1;function T(E){C[E]=b[E]||C[E]}}function p(g,C){const b=u(g);return(r||b)&&Ake(b?{...C,...b}:C)}}function GKe(t,r,a,u,h){let p=a;for(let C=r.length;u&&C;g(),C--){const b=r[a];if(b){if(b.isClosed){r[a]=void 0;continue}}else continue;u--;const T=qAt(b,XL(t,b.fileName));if(b.isClosed){r[a]=void 0;continue}h==null||h(b,a,T),r[a]&&(p<a&&(r[p]=b,r[a]=void 0),p++)}return a;function g(){a++,a===r.length&&(p<a&&(r.length=p),a=0,p=0)}}function jAt(t){const r=[],a=[],u=C(250),h=C(500),p=C(2e3);return g;function g(q,X,Z){const Q={fileName:q,callback:X,unchangedPolls:0,mtime:XL(t,q)};return r.push(Q),R(Q,Z),{close:()=>{Q.isClosed=!0,GL(r,Q)}}}function C(q){const X=[];return X.pollingInterval=q,X.pollIndex=0,X.pollScheduled=!1,X}function b(q,X){X.pollIndex=E(X,X.pollingInterval,X.pollIndex,Doe[X.pollingInterval]),X.length?U(X.pollingInterval):(j.assert(X.pollIndex===0),X.pollScheduled=!1)}function T(q,X){E(a,250,0,a.length),b(q,X),!X.pollScheduled&&a.length&&U(250)}function E(q,X,Z,Q){return GKe(t,q,Z,Q,re);function re(oe,pe,de){de?(oe.unchangedPolls=0,q!==a&&(q[pe]=void 0,F(oe))):oe.unchangedPolls!==KJ[X]?oe.unchangedPolls++:q===a?(oe.unchangedPolls=1,q[pe]=void 0,R(oe,250)):X!==2e3&&(oe.unchangedPolls++,q[pe]=void 0,R(oe,X===250?500:2e3))}}function N(q){switch(q){case 250:return u;case 500:return h;case 2e3:return p}}function R(q,X){N(X).push(q),H(X)}function F(q){a.push(q),H(250)}function H(q){N(q).pollScheduled||U(q)}function U(q){N(q).pollScheduled=t.setTimeout(q===250?T:b,q,q===250?"pollLowPollingIntervalQueue":"pollPollingIntervalQueue",N(q))}}function zAt(t,r,a,u){const h=Of(),p=u?new Map:void 0,g=new Map,C=Mf(r);return b;function b(E,N,R,F){const H=C(E);h.add(H,N).length===1&&p&&p.set(H,a(E)||gp);const U=ts(H)||".",q=g.get(U)||T(ts(E)||".",U,F);return q.referenceCount++,{close:()=>{q.referenceCount===1?(q.close(),g.delete(U)):q.referenceCount--,h.remove(H,N)}}}function T(E,N,R){const F=t(E,1,(H,U)=>{if(!Oo(U))return;const q=wo(U,E),X=C(q),Z=q&&h.get(X);if(Z){let Q,re=1;if(p){const oe=p.get(X);if(H==="change"&&(Q=a(q)||gp,Q.getTime()===oe.getTime()))return;Q||(Q=a(q)||gp),p.set(X,Q),oe===gp?re=0:Q===gp&&(re=2)}for(const oe of Z)oe(q,re,Q)}},!1,500,R);return F.referenceCount=0,g.set(N,F),F}}function UAt(t){const r=[];let a=0,u;return h;function h(C,b){const T={fileName:C,callback:b,mtime:XL(t,C)};return r.push(T),g(),{close:()=>{T.isClosed=!0,GL(r,T)}}}function p(){u=void 0,a=GKe(t,r,a,Doe[250]),g()}function g(){!r.length||u||(u=t.setTimeout(p,2e3,"pollQueue"))}}function KKe(t,r,a,u,h){const g=Mf(r)(a),C=t.get(g);return C?C.callbacks.push(u):t.set(g,{watcher:h((b,T,E)=>{var N;return(N=t.get(g))==null?void 0:N.callbacks.slice().forEach(R=>R(b,T,E))}),callbacks:[u]}),{close:()=>{const b=t.get(g);b&&(!GR(b.callbacks,u)||b.callbacks.length||(t.delete(g),Zm(b)))}}}function qAt(t,r){const a=t.mtime.getTime(),u=r.getTime();return a!==u?(t.mtime=r,t.callback(t.fileName,Eoe(a,u),r),!0):!1}function Eoe(t,r){return t===0?0:r===0?2:1}var XJ=["/node_modules/.","/.git","/.#"],XKe=Tc;function CW(t){return XKe(t)}function Oke(t){XKe=t}function $At({watchDirectory:t,useCaseSensitiveFileNames:r,getCurrentDirectory:a,getAccessibleSortedChildDirectories:u,fileSystemEntryExists:h,realpath:p,setTimeout:g,clearTimeout:C}){const b=new Map,T=Of(),E=new Map;let N;const R=E6(!r),F=Mf(r);return(ue,Ie,we,he)=>we?H(ue,he,Ie):t(ue,Ie,we,he);function H(ue,Ie,we,he){const Ae=F(ue);let ke=b.get(Ae);ke?ke.refCount++:(ke={watcher:t(ue,De=>{var qe;pe(De,Ie)||(Ie!=null&&Ie.synchronousWatchDirectory?((qe=b.get(Ae))!=null&&qe.targetWatcher||U(ue,Ae,De),oe(ue,Ae,Ie)):q(ue,Ae,De,Ie))},!1,Ie),refCount:1,childWatches:w,targetWatcher:void 0,links:void 0},b.set(Ae,ke),oe(ue,Ae,Ie)),he&&(ke.links??(ke.links=new Set)).add(he);const Te=we&&{dirName:ue,callback:we};return Te&&T.add(Ae,Te),{dirName:ue,close:()=>{var De;const qe=j.checkDefined(b.get(Ae));Te&&T.remove(Ae,Te),he&&((De=qe.links)==null||De.delete(he)),qe.refCount--,!qe.refCount&&(b.delete(Ae),qe.links=void 0,Zm(qe),re(qe),qe.childWatches.forEach(d0))}}}function U(ue,Ie,we,he){var Ae,ke;let Te,De;Oo(we)?Te=we:De=we,T.forEach((qe,se)=>{if(!(De&&De.get(se)===!0)&&(se===Ie||so(Ie,se)&&Ie[se.length]===Kl))if(De)if(he){const Ne=De.get(se);Ne?Ne.push(...he):De.set(se,he.slice())}else De.set(se,!0);else qe.forEach(({callback:Ne})=>Ne(Te))}),(ke=(Ae=b.get(Ie))==null?void 0:Ae.links)==null||ke.forEach(qe=>{const se=Ne=>zr(qe,c0(ue,Ne,F));De?U(qe,F(qe),De,he==null?void 0:he.map(se)):U(qe,F(qe),se(Te))})}function q(ue,Ie,we,he){const Ae=b.get(Ie);if(Ae&&h(ue,1)){X(ue,Ie,we,he);return}U(ue,Ie,we),re(Ae),Q(Ae)}function X(ue,Ie,we,he){const Ae=E.get(Ie);Ae?Ae.fileNames.push(we):E.set(Ie,{dirName:ue,options:he,fileNames:[we]}),N&&(C(N),N=void 0),N=g(Z,1e3,"timerToUpdateChildWatches")}function Z(){var ue;N=void 0,CW(`sysLog:: onTimerToUpdateChildWatches:: ${E.size}`);const Ie=sl(),we=new Map;for(;!N&&E.size;){const Ae=E.entries().next();j.assert(!Ae.done);const{value:[ke,{dirName:Te,options:De,fileNames:qe}]}=Ae;E.delete(ke);const se=oe(Te,ke,De);(ue=b.get(ke))!=null&&ue.targetWatcher||U(Te,ke,we,se?void 0:qe)}CW(`sysLog:: invokingWatchers:: Elapsed:: ${sl()-Ie}ms:: ${E.size}`),T.forEach((Ae,ke)=>{const Te=we.get(ke);Te&&Ae.forEach(({callback:De,dirName:qe})=>{Ao(Te)?Te.forEach(De):De(qe)})});const he=sl()-Ie;CW(`sysLog:: Elapsed:: ${he}ms:: onTimerToUpdateChildWatches:: ${E.size} ${N}`)}function Q(ue){if(!ue)return;const Ie=ue.childWatches;ue.childWatches=w;for(const we of Ie)we.close(),Q(b.get(F(we.dirName)))}function re(ue){ue!=null&&ue.targetWatcher&&(ue.targetWatcher.close(),ue.targetWatcher=void 0)}function oe(ue,Ie,we){const he=b.get(Ie);if(!he)return!1;const Ae=ca(p(ue));let ke,Te;return R(Ae,ue)===0?ke=UJ(h(ue,1)?es(u(ue),se=>{const Ne=wo(se,ue);return!pe(Ne,we)&&R(Ne,ca(p(Ne)))===0?Ne:void 0}):w,he.childWatches,(se,Ne)=>R(se,Ne.dirName),De,d0,qe):he.targetWatcher&&R(Ae,he.targetWatcher.dirName)===0?(ke=!1,j.assert(he.childWatches===w)):(re(he),he.targetWatcher=H(Ae,we,void 0,ue),he.childWatches.forEach(d0),ke=!0),he.childWatches=Te||w,ke;function De(se){const Ne=H(se,we);qe(Ne)}function qe(se){(Te||(Te=[])).push(se)}}function pe(ue,Ie){return Ft(XJ,we=>de(ue,we))||QKe(ue,Ie,r,a)}function de(ue,Ie){return ue.includes(Ie)?!0:r?!1:F(ue).includes(Ie)}}var Mke=(t=>(t[t.File=0]="File",t[t.Directory=1]="Directory",t))(Mke||{});function JAt(t){return(r,a,u)=>t(a===1?"change":"rename","",u)}function GAt(t,r,a){return(u,h,p)=>{u==="rename"?(p||(p=a(t)||gp),r(t,p!==gp?0:2,p)):r(t,1,p)}}function QKe(t,r,a,u){return((r==null?void 0:r.excludeDirectories)||(r==null?void 0:r.excludeFiles))&&(eQ(t,r==null?void 0:r.excludeFiles,a,u())||eQ(t,r==null?void 0:r.excludeDirectories,a,u()))}function ZKe(t,r,a,u,h){return(p,g)=>{if(p==="rename"){const C=g?ca(zr(t,g)):t;(!g||!QKe(C,a,u,h))&&r(C)}}}function Rke({pollingWatchFileWorker:t,getModifiedTime:r,setTimeout:a,clearTimeout:u,fsWatchWorker:h,fileSystemEntryExists:p,useCaseSensitiveFileNames:g,getCurrentDirectory:C,fsSupportsRecursiveFsWatch:b,getAccessibleSortedChildDirectories:T,realpath:E,tscWatchFile:N,useNonPollingWatchers:R,tscWatchDirectory:F,inodeWatching:H,fsWatchWithTimestamp:U,sysLog:q}){const X=new Map,Z=new Map,Q=new Map;let re,oe,pe,de,ue=!1;return{watchFile:Ie,watchDirectory:Te};function Ie(Pe,We,ze,Nt){Nt=Ae(Nt,R);const $e=j.checkDefined(Nt.watchFile);switch($e){case 0:return se(Pe,We,250,void 0);case 1:return se(Pe,We,ze,void 0);case 2:return we()(Pe,We,ze,void 0);case 3:return he()(Pe,We,void 0,void 0);case 4:return Ne(Pe,0,GAt(Pe,We,r),!1,ze,XH(Nt));case 5:return pe||(pe=zAt(Ne,g,r,U)),pe(Pe,We,ze,XH(Nt));default:j.assertNever($e)}}function we(){return re||(re=jAt({getModifiedTime:r,setTimeout:a}))}function he(){return oe||(oe=UAt({getModifiedTime:r,setTimeout:a}))}function Ae(Pe,We){if(Pe&&Pe.watchFile!==void 0)return Pe;switch(N){case"PriorityPollingInterval":return{watchFile:1};case"DynamicPriorityPolling":return{watchFile:2};case"UseFsEvents":return ke(4,1,Pe);case"UseFsEventsWithFallbackDynamicPolling":return ke(4,2,Pe);case"UseFsEventsOnParentDirectory":We=!0;default:return We?ke(5,1,Pe):{watchFile:4}}}function ke(Pe,We,ze){const Nt=ze==null?void 0:ze.fallbackPolling;return{watchFile:Pe,fallbackPolling:Nt===void 0?We:Nt}}function Te(Pe,We,ze,Nt){return b?Ne(Pe,1,ZKe(Pe,We,Nt,g,C),ze,500,XH(Nt)):(de||(de=$At({useCaseSensitiveFileNames:g,getCurrentDirectory:C,fileSystemEntryExists:p,getAccessibleSortedChildDirectories:T,watchDirectory:De,realpath:E,setTimeout:a,clearTimeout:u})),de(Pe,We,ze,Nt))}function De(Pe,We,ze,Nt){j.assert(!ze);const $e=qe(Nt),et=j.checkDefined($e.watchDirectory);switch(et){case 1:return se(Pe,()=>We(Pe),500,void 0);case 2:return we()(Pe,()=>We(Pe),500,void 0);case 3:return he()(Pe,()=>We(Pe),void 0,void 0);case 0:return Ne(Pe,1,ZKe(Pe,We,Nt,g,C),ze,500,XH($e));default:j.assertNever(et)}}function qe(Pe){if(Pe&&Pe.watchDirectory!==void 0)return Pe;switch(F){case"RecursiveDirectoryUsingFsWatchFile":return{watchDirectory:1};case"RecursiveDirectoryUsingDynamicPriorityPolling":return{watchDirectory:2};default:const We=Pe==null?void 0:Pe.fallbackPolling;return{watchDirectory:0,fallbackPolling:We!==void 0?We:void 0}}}function se(Pe,We,ze,Nt){return KKe(X,g,Pe,We,$e=>t(Pe,$e,ze,Nt))}function Ne(Pe,We,ze,Nt,$e,et){return KKe(Nt?Q:Z,g,Pe,ze,Me=>Oe(Pe,We,Me,Nt,$e,et))}function Oe(Pe,We,ze,Nt,$e,et){let Me,ut;H&&(Me=Pe.substring(Pe.lastIndexOf(Kl)),ut=Me.slice(Kl.length));let wt=p(Pe,We)?dt():tn();return{close:()=>{wt&&(wt.close(),wt=void 0)}};function Et(xn){wt&&(q(`sysLog:: ${Pe}:: Changing watcher to ${xn===dt?"Present":"Missing"}FileSystemEntryWatcher`),wt.close(),wt=xn())}function dt(){if(ue)return q(`sysLog:: ${Pe}:: Defaulting to watchFile`),Ei();try{const xn=(We===1||!U?h:He)(Pe,Nt,H?zt:ze);return xn.on("error",()=>{ze("rename",""),Et(tn)}),xn}catch(xn){return ue||(ue=xn.code==="ENOSPC"),q(`sysLog:: ${Pe}:: Changing to watchFile`),Ei()}}function zt(xn,Pn){let _i;if(Pn&&su(Pn,"~")&&(_i=Pn,Pn=Pn.slice(0,Pn.length-1)),xn==="rename"&&(!Pn||Pn===ut||su(Pn,Me))){const Fi=r(Pe)||gp;_i&&ze(xn,_i,Fi),ze(xn,Pn,Fi),H?Et(Fi===gp?tn:dt):Fi===gp&&Et(tn)}else _i&&ze(xn,_i),ze(xn,Pn)}function Ei(){return Ie(Pe,JAt(ze),$e,et)}function tn(){return Ie(Pe,(xn,Pn,_i)=>{Pn===0&&(_i||(_i=r(Pe)||gp),_i!==gp&&(ze("rename","",_i),Et(dt)))},$e,et)}}function He(Pe,We,ze){let Nt=r(Pe)||gp;return h(Pe,We,($e,et,Me)=>{$e==="change"&&(Me||(Me=r(Pe)||gp),Me.getTime()===Nt.getTime())||(Nt=Me||r(Pe)||gp,ze($e,et,Nt))})}}function Fke(t){const r=t.writeFile;t.writeFile=(a,u,h)=>lce(a,u,!!h,(p,g,C)=>r.call(t,p,g,C),p=>t.createDirectory(p),p=>t.directoryExists(p))}var Pd=(()=>{const t="\uFEFF";function r(){const u=/^native |^\([^)]+\)$|^(?:internal[\\/]|[\w\s]+(?:\.js)?$)/,h=cM,p=cM,g=cM;let C;try{C=cM}catch{C=void 0}let b,T="./profile.cpuprofile";const E=process.platform==="darwin",N=process.platform==="linux"||E,R=g.platform(),F=Ie(),H=h.realpathSync.native?process.platform==="win32"?Pe:h.realpathSync.native:h.realpathSync,U=__filename.endsWith("sys.js")?p.join(p.dirname(__dirname),"__fake__.js"):__filename,q=process.platform==="win32"||E,X=Bh(()=>process.cwd()),{watchFile:Z,watchDirectory:Q}=Rke({pollingWatchFileWorker:he,getModifiedTime:ze,setTimeout,clearTimeout,fsWatchWorker:Ae,useCaseSensitiveFileNames:F,getCurrentDirectory:X,fileSystemEntryExists:se,fsSupportsRecursiveFsWatch:q,getAccessibleSortedChildDirectories:Me=>De(Me).directories,realpath:We,tscWatchFile:e.TSC_WATCHFILE,useNonPollingWatchers:!!e.TSC_NONPOLLING_WATCHER,tscWatchDirectory:e.TSC_WATCHDIRECTORY,inodeWatching:N,fsWatchWithTimestamp:E,sysLog:CW}),re={args:process.argv.slice(2),newLine:g.EOL,useCaseSensitiveFileNames:F,write(Me){process.stdout.write(Me)},getWidthOfTerminal(){return process.stdout.columns},writeOutputIsTTY(){return process.stdout.isTTY},readFile:ke,writeFile:Te,watchFile:Z,watchDirectory:Q,preferNonRecursiveWatch:!q,resolvePath:Me=>p.resolve(Me),fileExists:Ne,directoryExists:Oe,getAccessibleFileSystemEntries:De,createDirectory(Me){if(!re.directoryExists(Me))try{h.mkdirSync(Me)}catch(ut){if(ut.code!=="EEXIST")throw ut}},getExecutingFilePath(){return U},getCurrentDirectory:X,getDirectories:He,getEnvironmentVariable(Me){return e[Me]||""},readDirectory:qe,getModifiedTime:ze,setModifiedTime:Nt,deleteFile:$e,createHash:C?et:n8,createSHA256Hash:C?et:void 0,getMemoryUsage(){return Pyt.gc&&Pyt.gc(),process.memoryUsage().heapUsed},getFileSize(Me){try{const ut=oe(Me);if(ut!=null&&ut.isFile())return ut.size}catch{}return 0},exit(Me){ue(()=>process.exit(Me))},enableCPUProfiler:pe,disableCPUProfiler:ue,cpuProfilingEnabled:()=>!!b||Yt(process.execArgv,"--cpu-prof")||Yt(process.execArgv,"--prof"),realpath:We,debugMode:!!e.NODE_INSPECTOR_IPC||!!e.VSCODE_INSPECTOR_OPTIONS||Ft(process.execArgv,Me=>/^--(?:inspect|debug)(?:-brk)?(?:=\d+)?$/i.test(Me))||!!process.recordreplay,tryEnableSourceMapsForHost(){try{cM.install()}catch{}},setTimeout,clearTimeout,clearScreen:()=>{process.stdout.write("\x1B[2J\x1B[3J\x1B[H")},setBlocking:()=>{var Me;const ut=(Me=process.stdout)==null?void 0:Me._handle;ut&&ut.setBlocking&&ut.setBlocking(!0)},base64decode:Me=>Buffer.from(Me,"base64").toString("utf8"),base64encode:Me=>Buffer.from(Me).toString("base64"),require:(Me,ut)=>{try{const wt=sNe(ut,Me,re);return{module:ukt(wt),modulePath:wt,error:void 0}}catch(wt){return{module:void 0,modulePath:void 0,error:wt}}}};return re;function oe(Me){return h.statSync(Me,{throwIfNoEntry:!1})}function pe(Me,ut){if(b)return ut(),!1;const wt=cM;if(!wt||!wt.Session)return ut(),!1;const Et=new wt.Session;return Et.connect(),Et.post("Profiler.enable",()=>{Et.post("Profiler.start",()=>{b=Et,T=Me,ut()})}),!0}function de(Me){let ut=0;const wt=new Map,Et=Rf(p.dirname(U)),dt=`file://${Ly(Et)===1?"":"/"}${Et}`;for(const zt of Me.nodes)if(zt.callFrame.url){const Ei=Rf(zt.callFrame.url);Vm(dt,Ei,F)?zt.callFrame.url=QL(dt,Ei,dt,Mf(F),!0):u.test(Ei)||(zt.callFrame.url=(wt.has(Ei)?wt:wt.set(Ei,`external${ut}.js`)).get(Ei),ut++)}return Me}function ue(Me){if(b&&b!=="stopping"){const ut=b;return b.post("Profiler.stop",(wt,{profile:Et})=>{var dt;if(!wt){try{(dt=oe(T))!=null&&dt.isDirectory()&&(T=p.join(T,`${new Date().toISOString().replace(/:/g,"-")}+P${process.pid}.cpuprofile`))}catch{}try{h.mkdirSync(p.dirname(T),{recursive:!0})}catch{}h.writeFileSync(T,JSON.stringify(de(Et)))}b=void 0,ut.disconnect(),Me()}),b="stopping",!0}else return Me(),!1}function Ie(){return R==="win32"||R==="win64"?!1:!Ne(we(__filename))}function we(Me){return Me.replace(/\w/g,ut=>{const wt=ut.toUpperCase();return ut===wt?ut.toLowerCase():wt})}function he(Me,ut,wt){h.watchFile(Me,{persistent:!0,interval:wt},dt);let Et;return{close:()=>h.unwatchFile(Me,dt)};function dt(zt,Ei){const tn=+Ei.mtime==0||Et===2;if(+zt.mtime==0){if(tn)return;Et=2}else if(tn)Et=0;else{if(+zt.mtime==+Ei.mtime)return;Et=1}ut(Me,Et,zt.mtime)}}function Ae(Me,ut,wt){return h.watch(Me,q?{persistent:!0,recursive:!!ut}:{persistent:!0},wt)}function ke(Me,ut){let wt;try{wt=h.readFileSync(Me)}catch{return}let Et=wt.length;if(Et>=2&&wt[0]===254&&wt[1]===255){Et&=-2;for(let dt=0;dt<Et;dt+=2){const zt=wt[dt];wt[dt]=wt[dt+1],wt[dt+1]=zt}return wt.toString("utf16le",2)}return Et>=2&&wt[0]===255&&wt[1]===254?wt.toString("utf16le",2):Et>=3&&wt[0]===239&&wt[1]===187&&wt[2]===191?wt.toString("utf8",3):wt.toString("utf8")}function Te(Me,ut,wt){wt&&(ut=t+ut);let Et;try{Et=h.openSync(Me,"w"),h.writeSync(Et,ut,void 0,"utf8")}finally{Et!==void 0&&h.closeSync(Et)}}function De(Me){try{const ut=h.readdirSync(Me||".",{withFileTypes:!0}),wt=[],Et=[];for(const dt of ut){const zt=typeof dt=="string"?dt:dt.name;if(zt==="."||zt==="..")continue;let Ei;if(typeof dt=="string"||dt.isSymbolicLink()){const tn=zr(Me,zt);try{if(Ei=oe(tn),!Ei)continue}catch{continue}}else Ei=dt;Ei.isFile()?wt.push(zt):Ei.isDirectory()&&Et.push(zt)}return wt.sort(),Et.sort(),{files:wt,directories:Et}}catch{return Vce}}function qe(Me,ut,wt,Et,dt){return Fce(Me,ut,wt,Et,F,process.cwd(),dt,De,We)}function se(Me,ut){const wt=Error.stackTraceLimit;Error.stackTraceLimit=0;try{const Et=oe(Me);if(!Et)return!1;switch(ut){case 0:return Et.isFile();case 1:return Et.isDirectory();default:return!1}}catch{return!1}finally{Error.stackTraceLimit=wt}}function Ne(Me){return se(Me,0)}function Oe(Me){return se(Me,1)}function He(Me){return De(Me).directories.slice()}function Pe(Me){return Me.length<260?h.realpathSync.native(Me):h.realpathSync(Me)}function We(Me){try{return H(Me)}catch{return Me}}function ze(Me){var ut;const wt=Error.stackTraceLimit;Error.stackTraceLimit=0;try{return(ut=oe(Me))==null?void 0:ut.mtime}catch{return}finally{Error.stackTraceLimit=wt}}function Nt(Me,ut){try{h.utimesSync(Me,ut,ut)}catch{return}}function $e(Me){try{return h.unlinkSync(Me)}catch{return}}function et(Me){const ut=C.createHash("sha256");return ut.update(Me),ut.digest("hex")}}let a;return soe()&&(a=r()),a&&Fke(a),a})();function YKe(t){Pd=t}Pd&&Pd.getEnvironmentVariable&&(HAt(Pd),j.setAssertionLevel(/^development$/i.test(Pd.getEnvironmentVariable("NODE_ENV"))?1:0)),Pd&&Pd.debugMode&&(j.isDebugging=!0);var Kl="/",QJ="\\",eXe="://",KAt=/\\/g;function Ioe(t){return t===47||t===92}function Bke(t){return ZJ(t)<0}function yp(t){return ZJ(t)>0}function Noe(t){const r=ZJ(t);return r>0&&r===t.length}function r8(t){return ZJ(t)!==0}function am(t){return/^\.\.?(?:$|[\\/])/.test(t)}function Loe(t){return!r8(t)&&!am(t)}function N6(t){return ud(t).includes(".")}function Xl(t,r){return t.length>r.length&&su(t,r)}function Ru(t,r){for(const a of r)if(Xl(t,a))return!0;return!1}function Uw(t){return t.length>0&&Ioe(t.charCodeAt(t.length-1))}function tXe(t){return t>=97&&t<=122||t>=65&&t<=90}function XAt(t,r){const a=t.charCodeAt(r);if(a===58)return r+1;if(a===37&&t.charCodeAt(r+1)===51){const u=t.charCodeAt(r+2);if(u===97||u===65)return r+3}return-1}function ZJ(t){if(!t)return 0;const r=t.charCodeAt(0);if(r===47||r===92){if(t.charCodeAt(1)!==r)return 1;const u=t.indexOf(r===47?Kl:QJ,2);return u<0?t.length:u+1}if(tXe(r)&&t.charCodeAt(1)===58){const u=t.charCodeAt(2);if(u===47||u===92)return 3;if(t.length===2)return 2}const a=t.indexOf(eXe);if(a!==-1){const u=a+eXe.length,h=t.indexOf(Kl,u);if(h!==-1){const p=t.slice(0,a),g=t.slice(u,h);if(p==="file"&&(g===""||g==="localhost")&&tXe(t.charCodeAt(h+1))){const C=XAt(t,h+2);if(C!==-1){if(t.charCodeAt(C)===47)return~(C+1);if(C===t.length)return~C}}return~(h+1)}return~t.length}return 0}function Ly(t){const r=ZJ(t);return r<0?~r:r}function ts(t){t=Rf(t);const r=Ly(t);return r===t.length?t:(t=Mk(t),t.slice(0,Math.max(r,t.lastIndexOf(Kl))))}function ud(t,r,a){if(t=Rf(t),Ly(t)===t.length)return"";t=Mk(t);const h=t.slice(Math.max(Ly(t),t.lastIndexOf(Kl)+1)),p=r!==void 0&&a!==void 0?L6(h,r,a):void 0;return p?h.slice(0,h.length-p.length):h}function iXe(t,r,a){if(so(r,".")||(r="."+r),t.length>=r.length&&t.charCodeAt(t.length-r.length)===46){const u=t.slice(t.length-r.length);if(a(u,r))return u}}function QAt(t,r,a){if(typeof r=="string")return iXe(t,r,a)||"";for(const u of r){const h=iXe(t,u,a);if(h)return h}return""}function L6(t,r,a){if(r)return QAt(Mk(t),r,a?jw:NT);const u=ud(t),h=u.lastIndexOf(".");return h>=0?u.substring(h):""}function ZAt(t,r){const a=t.substring(0,r),u=t.substring(r).split(Kl);return u.length&&!rl(u)&&u.pop(),[a,...u]}function af(t,r=""){return t=zr(r,t),ZAt(t,Ly(t))}function sS(t,r){return t.length===0?"":(t[0]&&Ad(t[0]))+t.slice(1,r).join(Kl)}function Rf(t){return t.includes("\\")?t.replace(KAt,Kl):t}function rI(t){if(!Ft(t))return[];const r=[t[0]];for(let a=1;a<t.length;a++){const u=t[a];if(u&&u!=="."){if(u===".."){if(r.length>1){if(r[r.length-1]!==".."){r.pop();continue}}else if(r[0])continue}r.push(u)}}return r}function zr(t,...r){t&&(t=Rf(t));for(let a of r)a&&(a=Rf(a),!t||Ly(a)!==0?t=a:t=Ad(t)+a);return t}function Ok(t,...r){return ca(Ft(r)?zr(t,...r):Rf(t))}function SW(t,r){return rI(af(t,r))}function wo(t,r){return sS(SW(t,r))}function ca(t){if(t=Rf(t),!Aoe.test(t))return t;const r=t.replace(/\/\.\//g,"/").replace(/^\.\//,"");if(r!==t&&(t=r,!Aoe.test(t)))return t;const a=sS(rI(af(t)));return a&&Uw(t)?Ad(a):a}function YAt(t){return t.length===0?"":t.slice(1).join(Kl)}function Poe(t,r){return YAt(SW(t,r))}function ol(t,r,a){const u=yp(t)?ca(t):wo(t,r);return a(u)}function Mk(t){return Uw(t)?t.substr(0,t.length-1):t}function Ad(t){return Uw(t)?t:t+Kl}function sI(t){return!r8(t)&&!am(t)?"./"+t:t}function wW(t,r,a,u){const h=a!==void 0&&u!==void 0?L6(t,a,u):L6(t);return h?t.slice(0,t.length-h.length)+(so(r,".")?r:"."+r):t}function YJ(t,r){const a=Ule(t);return a?t.slice(0,t.length-a.length)+(so(r,".")?r:"."+r):wW(t,r)}var Aoe=/\/\/|(?:^|\/)\.\.?(?:$|\/)/;function Wke(t,r,a){if(t===r)return 0;if(t===void 0)return-1;if(r===void 0)return 1;const u=t.substring(0,Ly(t)),h=r.substring(0,Ly(r)),p=mW(u,h);if(p!==0)return p;const g=t.substring(u.length),C=r.substring(h.length);if(!Aoe.test(g)&&!Aoe.test(C))return a(g,C);const b=rI(af(t)),T=rI(af(r)),E=Math.min(b.length,T.length);for(let N=1;N<E;N++){const R=a(b[N],T[N]);if(R!==0)return R}return _l(b.length,T.length)}function nXe(t,r){return Wke(t,r,sh)}function rXe(t,r){return Wke(t,r,mW)}function Hb(t,r,a,u){return typeof a=="string"?(t=zr(a,t),r=zr(a,r)):typeof a=="boolean"&&(u=a),Wke(t,r,E6(u))}function Vm(t,r,a,u){if(typeof a=="string"?(t=zr(a,t),r=zr(a,r)):typeof a=="boolean"&&(u=a),t===void 0||r===void 0)return!1;if(t===r)return!0;const h=rI(af(t)),p=rI(af(r));if(p.length<h.length)return!1;const g=u?jw:NT;for(let C=0;C<h.length;C++)if(!(C===0?jw:g)(h[C],p[C]))return!1;return!0}function Ooe(t,r,a){const u=a(t),h=a(r);return so(u,h+"/")||so(u,h+"\\")}function sXe(t,r,a,u){const h=rI(af(t)),p=rI(af(r));let g;for(g=0;g<h.length&&g<p.length;g++){const T=u(h[g]),E=u(p[g]);if(!(g===0?jw:a)(T,E))break}if(g===0)return p;const C=p.slice(g),b=[];for(;g<h.length;g++)b.push("..");return["",...b,...C]}function c0(t,r,a){j.assert(Ly(t)>0==Ly(r)>0,"Paths must either both be absolute or both be relative");const p=sXe(t,r,(typeof a=="boolean"?a:!1)?jw:NT,typeof a=="function"?a:hl);return sS(p)}function s8(t,r,a){return yp(t)?QL(r,t,r,a,!1):t}function o8(t,r,a){return sI(c0(ts(t),r,a))}function QL(t,r,a,u,h){const p=sXe(Ok(a,t),Ok(a,r),NT,u),g=p[0];if(h&&yp(g)){const C=g.charAt(0)===Kl?"file://":"file:///";p[0]=C+g}return sS(p)}function kg(t,r){for(;;){const a=r(t);if(a!==void 0)return a;const u=ts(t);if(u===t)return;t=u}}function eG(t){return su(t,"/node_modules")}function M(t,r,a,u,h,p,g){return{code:t,category:r,key:a,message:u,reportsUnnecessary:h,elidedInCompatabilityPyramid:p,reportsDeprecated:g}}var k={Unterminated_string_literal:M(1002,1,"Unterminated_string_literal_1002","Unterminated string literal."),Identifier_expected:M(1003,1,"Identifier_expected_1003","Identifier expected."),_0_expected:M(1005,1,"_0_expected_1005","'{0}' expected."),A_file_cannot_have_a_reference_to_itself:M(1006,1,"A_file_cannot_have_a_reference_to_itself_1006","A file cannot have a reference to itself."),The_parser_expected_to_find_a_1_to_match_the_0_token_here:M(1007,1,"The_parser_expected_to_find_a_1_to_match_the_0_token_here_1007","The parser expected to find a '{1}' to match the '{0}' token here."),Trailing_comma_not_allowed:M(1009,1,"Trailing_comma_not_allowed_1009","Trailing comma not allowed."),Asterisk_Slash_expected:M(1010,1,"Asterisk_Slash_expected_1010","'*/' expected."),An_element_access_expression_should_take_an_argument:M(1011,1,"An_element_access_expression_should_take_an_argument_1011","An element access expression should take an argument."),Unexpected_token:M(1012,1,"Unexpected_token_1012","Unexpected token."),A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma:M(1013,1,"A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma_1013","A rest parameter or binding pattern may not have a trailing comma."),A_rest_parameter_must_be_last_in_a_parameter_list:M(1014,1,"A_rest_parameter_must_be_last_in_a_parameter_list_1014","A rest parameter must be last in a parameter list."),Parameter_cannot_have_question_mark_and_initializer:M(1015,1,"Parameter_cannot_have_question_mark_and_initializer_1015","Parameter cannot have question mark and initializer."),A_required_parameter_cannot_follow_an_optional_parameter:M(1016,1,"A_required_parameter_cannot_follow_an_optional_parameter_1016","A required parameter cannot follow an optional parameter."),An_index_signature_cannot_have_a_rest_parameter:M(1017,1,"An_index_signature_cannot_have_a_rest_parameter_1017","An index signature cannot have a rest parameter."),An_index_signature_parameter_cannot_have_an_accessibility_modifier:M(1018,1,"An_index_signature_parameter_cannot_have_an_accessibility_modifier_1018","An index signature parameter cannot have an accessibility modifier."),An_index_signature_parameter_cannot_have_a_question_mark:M(1019,1,"An_index_signature_parameter_cannot_have_a_question_mark_1019","An index signature parameter cannot have a question mark."),An_index_signature_parameter_cannot_have_an_initializer:M(1020,1,"An_index_signature_parameter_cannot_have_an_initializer_1020","An index signature parameter cannot have an initializer."),An_index_signature_must_have_a_type_annotation:M(1021,1,"An_index_signature_must_have_a_type_annotation_1021","An index signature must have a type annotation."),An_index_signature_parameter_must_have_a_type_annotation:M(1022,1,"An_index_signature_parameter_must_have_a_type_annotation_1022","An index signature parameter must have a type annotation."),readonly_modifier_can_only_appear_on_a_property_declaration_or_index_signature:M(1024,1,"readonly_modifier_can_only_appear_on_a_property_declaration_or_index_signature_1024","'readonly' modifier can only appear on a property declaration or index signature."),An_index_signature_cannot_have_a_trailing_comma:M(1025,1,"An_index_signature_cannot_have_a_trailing_comma_1025","An index signature cannot have a trailing comma."),Accessibility_modifier_already_seen:M(1028,1,"Accessibility_modifier_already_seen_1028","Accessibility modifier already seen."),_0_modifier_must_precede_1_modifier:M(1029,1,"_0_modifier_must_precede_1_modifier_1029","'{0}' modifier must precede '{1}' modifier."),_0_modifier_already_seen:M(1030,1,"_0_modifier_already_seen_1030","'{0}' modifier already seen."),_0_modifier_cannot_appear_on_class_elements_of_this_kind:M(1031,1,"_0_modifier_cannot_appear_on_class_elements_of_this_kind_1031","'{0}' modifier cannot appear on class elements of this kind."),super_must_be_followed_by_an_argument_list_or_member_access:M(1034,1,"super_must_be_followed_by_an_argument_list_or_member_access_1034","'super' must be followed by an argument list or member access."),Only_ambient_modules_can_use_quoted_names:M(1035,1,"Only_ambient_modules_can_use_quoted_names_1035","Only ambient modules can use quoted names."),Statements_are_not_allowed_in_ambient_contexts:M(1036,1,"Statements_are_not_allowed_in_ambient_contexts_1036","Statements are not allowed in ambient contexts."),A_declare_modifier_cannot_be_used_in_an_already_ambient_context:M(1038,1,"A_declare_modifier_cannot_be_used_in_an_already_ambient_context_1038","A 'declare' modifier cannot be used in an already ambient context."),Initializers_are_not_allowed_in_ambient_contexts:M(1039,1,"Initializers_are_not_allowed_in_ambient_contexts_1039","Initializers are not allowed in ambient contexts."),_0_modifier_cannot_be_used_in_an_ambient_context:M(1040,1,"_0_modifier_cannot_be_used_in_an_ambient_context_1040","'{0}' modifier cannot be used in an ambient context."),_0_modifier_cannot_be_used_here:M(1042,1,"_0_modifier_cannot_be_used_here_1042","'{0}' modifier cannot be used here."),_0_modifier_cannot_appear_on_a_module_or_namespace_element:M(1044,1,"_0_modifier_cannot_appear_on_a_module_or_namespace_element_1044","'{0}' modifier cannot appear on a module or namespace element."),Top_level_declarations_in_d_ts_files_must_start_with_either_a_declare_or_export_modifier:M(1046,1,"Top_level_declarations_in_d_ts_files_must_start_with_either_a_declare_or_export_modifier_1046","Top-level declarations in .d.ts files must start with either a 'declare' or 'export' modifier."),A_rest_parameter_cannot_be_optional:M(1047,1,"A_rest_parameter_cannot_be_optional_1047","A rest parameter cannot be optional."),A_rest_parameter_cannot_have_an_initializer:M(1048,1,"A_rest_parameter_cannot_have_an_initializer_1048","A rest parameter cannot have an initializer."),A_set_accessor_must_have_exactly_one_parameter:M(1049,1,"A_set_accessor_must_have_exactly_one_parameter_1049","A 'set' accessor must have exactly one parameter."),A_set_accessor_cannot_have_an_optional_parameter:M(1051,1,"A_set_accessor_cannot_have_an_optional_parameter_1051","A 'set' accessor cannot have an optional parameter."),A_set_accessor_parameter_cannot_have_an_initializer:M(1052,1,"A_set_accessor_parameter_cannot_have_an_initializer_1052","A 'set' accessor parameter cannot have an initializer."),A_set_accessor_cannot_have_rest_parameter:M(1053,1,"A_set_accessor_cannot_have_rest_parameter_1053","A 'set' accessor cannot have rest parameter."),A_get_accessor_cannot_have_parameters:M(1054,1,"A_get_accessor_cannot_have_parameters_1054","A 'get' accessor cannot have parameters."),Type_0_is_not_a_valid_async_function_return_type_in_ES5_because_it_does_not_refer_to_a_Promise_compatible_constructor_value:M(1055,1,"Type_0_is_not_a_valid_async_function_return_type_in_ES5_because_it_does_not_refer_to_a_Promise_compa_1055","Type '{0}' is not a valid async function return type in ES5 because it does not refer to a Promise-compatible constructor value."),Accessors_are_only_available_when_targeting_ECMAScript_5_and_higher:M(1056,1,"Accessors_are_only_available_when_targeting_ECMAScript_5_and_higher_1056","Accessors are only available when targeting ECMAScript 5 and higher."),The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:M(1058,1,"The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_t_1058","The return type of an async function must either be a valid promise or must not contain a callable 'then' member."),A_promise_must_have_a_then_method:M(1059,1,"A_promise_must_have_a_then_method_1059","A promise must have a 'then' method."),The_first_parameter_of_the_then_method_of_a_promise_must_be_a_callback:M(1060,1,"The_first_parameter_of_the_then_method_of_a_promise_must_be_a_callback_1060","The first parameter of the 'then' method of a promise must be a callback."),Enum_member_must_have_initializer:M(1061,1,"Enum_member_must_have_initializer_1061","Enum member must have initializer."),Type_is_referenced_directly_or_indirectly_in_the_fulfillment_callback_of_its_own_then_method:M(1062,1,"Type_is_referenced_directly_or_indirectly_in_the_fulfillment_callback_of_its_own_then_method_1062","Type is referenced directly or indirectly in the fulfillment callback of its own 'then' method."),An_export_assignment_cannot_be_used_in_a_namespace:M(1063,1,"An_export_assignment_cannot_be_used_in_a_namespace_1063","An export assignment cannot be used in a namespace."),The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_Did_you_mean_to_write_Promise_0:M(1064,1,"The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_Did_you_mean_to_wri_1064","The return type of an async function or method must be the global Promise<T> type. Did you mean to write 'Promise<{0}>'?"),The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type:M(1065,1,"The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_1065","The return type of an async function or method must be the global Promise<T> type."),In_ambient_enum_declarations_member_initializer_must_be_constant_expression:M(1066,1,"In_ambient_enum_declarations_member_initializer_must_be_constant_expression_1066","In ambient enum declarations member initializer must be constant expression."),Unexpected_token_A_constructor_method_accessor_or_property_was_expected:M(1068,1,"Unexpected_token_A_constructor_method_accessor_or_property_was_expected_1068","Unexpected token. A constructor, method, accessor, or property was expected."),Unexpected_token_A_type_parameter_name_was_expected_without_curly_braces:M(1069,1,"Unexpected_token_A_type_parameter_name_was_expected_without_curly_braces_1069","Unexpected token. A type parameter name was expected without curly braces."),_0_modifier_cannot_appear_on_a_type_member:M(1070,1,"_0_modifier_cannot_appear_on_a_type_member_1070","'{0}' modifier cannot appear on a type member."),_0_modifier_cannot_appear_on_an_index_signature:M(1071,1,"_0_modifier_cannot_appear_on_an_index_signature_1071","'{0}' modifier cannot appear on an index signature."),A_0_modifier_cannot_be_used_with_an_import_declaration:M(1079,1,"A_0_modifier_cannot_be_used_with_an_import_declaration_1079","A '{0}' modifier cannot be used with an import declaration."),Invalid_reference_directive_syntax:M(1084,1,"Invalid_reference_directive_syntax_1084","Invalid 'reference' directive syntax."),_0_modifier_cannot_appear_on_a_constructor_declaration:M(1089,1,"_0_modifier_cannot_appear_on_a_constructor_declaration_1089","'{0}' modifier cannot appear on a constructor declaration."),_0_modifier_cannot_appear_on_a_parameter:M(1090,1,"_0_modifier_cannot_appear_on_a_parameter_1090","'{0}' modifier cannot appear on a parameter."),Only_a_single_variable_declaration_is_allowed_in_a_for_in_statement:M(1091,1,"Only_a_single_variable_declaration_is_allowed_in_a_for_in_statement_1091","Only a single variable declaration is allowed in a 'for...in' statement."),Type_parameters_cannot_appear_on_a_constructor_declaration:M(1092,1,"Type_parameters_cannot_appear_on_a_constructor_declaration_1092","Type parameters cannot appear on a constructor declaration."),Type_annotation_cannot_appear_on_a_constructor_declaration:M(1093,1,"Type_annotation_cannot_appear_on_a_constructor_declaration_1093","Type annotation cannot appear on a constructor declaration."),An_accessor_cannot_have_type_parameters:M(1094,1,"An_accessor_cannot_have_type_parameters_1094","An accessor cannot have type parameters."),A_set_accessor_cannot_have_a_return_type_annotation:M(1095,1,"A_set_accessor_cannot_have_a_return_type_annotation_1095","A 'set' accessor cannot have a return type annotation."),An_index_signature_must_have_exactly_one_parameter:M(1096,1,"An_index_signature_must_have_exactly_one_parameter_1096","An index signature must have exactly one parameter."),_0_list_cannot_be_empty:M(1097,1,"_0_list_cannot_be_empty_1097","'{0}' list cannot be empty."),Type_parameter_list_cannot_be_empty:M(1098,1,"Type_parameter_list_cannot_be_empty_1098","Type parameter list cannot be empty."),Type_argument_list_cannot_be_empty:M(1099,1,"Type_argument_list_cannot_be_empty_1099","Type argument list cannot be empty."),Invalid_use_of_0_in_strict_mode:M(1100,1,"Invalid_use_of_0_in_strict_mode_1100","Invalid use of '{0}' in strict mode."),with_statements_are_not_allowed_in_strict_mode:M(1101,1,"with_statements_are_not_allowed_in_strict_mode_1101","'with' statements are not allowed in strict mode."),delete_cannot_be_called_on_an_identifier_in_strict_mode:M(1102,1,"delete_cannot_be_called_on_an_identifier_in_strict_mode_1102","'delete' cannot be called on an identifier in strict mode."),for_await_loops_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules:M(1103,1,"for_await_loops_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules_1103","'for await' loops are only allowed within async functions and at the top levels of modules."),A_continue_statement_can_only_be_used_within_an_enclosing_iteration_statement:M(1104,1,"A_continue_statement_can_only_be_used_within_an_enclosing_iteration_statement_1104","A 'continue' statement can only be used within an enclosing iteration statement."),A_break_statement_can_only_be_used_within_an_enclosing_iteration_or_switch_statement:M(1105,1,"A_break_statement_can_only_be_used_within_an_enclosing_iteration_or_switch_statement_1105","A 'break' statement can only be used within an enclosing iteration or switch statement."),The_left_hand_side_of_a_for_of_statement_may_not_be_async:M(1106,1,"The_left_hand_side_of_a_for_of_statement_may_not_be_async_1106","The left-hand side of a 'for...of' statement may not be 'async'."),Jump_target_cannot_cross_function_boundary:M(1107,1,"Jump_target_cannot_cross_function_boundary_1107","Jump target cannot cross function boundary."),A_return_statement_can_only_be_used_within_a_function_body:M(1108,1,"A_return_statement_can_only_be_used_within_a_function_body_1108","A 'return' statement can only be used within a function body."),Expression_expected:M(1109,1,"Expression_expected_1109","Expression expected."),Type_expected:M(1110,1,"Type_expected_1110","Type expected."),Private_field_0_must_be_declared_in_an_enclosing_class:M(1111,1,"Private_field_0_must_be_declared_in_an_enclosing_class_1111","Private field '{0}' must be declared in an enclosing class."),A_default_clause_cannot_appear_more_than_once_in_a_switch_statement:M(1113,1,"A_default_clause_cannot_appear_more_than_once_in_a_switch_statement_1113","A 'default' clause cannot appear more than once in a 'switch' statement."),Duplicate_label_0:M(1114,1,"Duplicate_label_0_1114","Duplicate label '{0}'."),A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement:M(1115,1,"A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement_1115","A 'continue' statement can only jump to a label of an enclosing iteration statement."),A_break_statement_can_only_jump_to_a_label_of_an_enclosing_statement:M(1116,1,"A_break_statement_can_only_jump_to_a_label_of_an_enclosing_statement_1116","A 'break' statement can only jump to a label of an enclosing statement."),An_object_literal_cannot_have_multiple_properties_with_the_same_name:M(1117,1,"An_object_literal_cannot_have_multiple_properties_with_the_same_name_1117","An object literal cannot have multiple properties with the same name."),An_object_literal_cannot_have_multiple_get_Slashset_accessors_with_the_same_name:M(1118,1,"An_object_literal_cannot_have_multiple_get_Slashset_accessors_with_the_same_name_1118","An object literal cannot have multiple get/set accessors with the same name."),An_object_literal_cannot_have_property_and_accessor_with_the_same_name:M(1119,1,"An_object_literal_cannot_have_property_and_accessor_with_the_same_name_1119","An object literal cannot have property and accessor with the same name."),An_export_assignment_cannot_have_modifiers:M(1120,1,"An_export_assignment_cannot_have_modifiers_1120","An export assignment cannot have modifiers."),Octal_literals_are_not_allowed_Use_the_syntax_0:M(1121,1,"Octal_literals_are_not_allowed_Use_the_syntax_0_1121","Octal literals are not allowed. Use the syntax '{0}'."),Variable_declaration_list_cannot_be_empty:M(1123,1,"Variable_declaration_list_cannot_be_empty_1123","Variable declaration list cannot be empty."),Digit_expected:M(1124,1,"Digit_expected_1124","Digit expected."),Hexadecimal_digit_expected:M(1125,1,"Hexadecimal_digit_expected_1125","Hexadecimal digit expected."),Unexpected_end_of_text:M(1126,1,"Unexpected_end_of_text_1126","Unexpected end of text."),Invalid_character:M(1127,1,"Invalid_character_1127","Invalid character."),Declaration_or_statement_expected:M(1128,1,"Declaration_or_statement_expected_1128","Declaration or statement expected."),Statement_expected:M(1129,1,"Statement_expected_1129","Statement expected."),case_or_default_expected:M(1130,1,"case_or_default_expected_1130","'case' or 'default' expected."),Property_or_signature_expected:M(1131,1,"Property_or_signature_expected_1131","Property or signature expected."),Enum_member_expected:M(1132,1,"Enum_member_expected_1132","Enum member expected."),Variable_declaration_expected:M(1134,1,"Variable_declaration_expected_1134","Variable declaration expected."),Argument_expression_expected:M(1135,1,"Argument_expression_expected_1135","Argument expression expected."),Property_assignment_expected:M(1136,1,"Property_assignment_expected_1136","Property assignment expected."),Expression_or_comma_expected:M(1137,1,"Expression_or_comma_expected_1137","Expression or comma expected."),Parameter_declaration_expected:M(1138,1,"Parameter_declaration_expected_1138","Parameter declaration expected."),Type_parameter_declaration_expected:M(1139,1,"Type_parameter_declaration_expected_1139","Type parameter declaration expected."),Type_argument_expected:M(1140,1,"Type_argument_expected_1140","Type argument expected."),String_literal_expected:M(1141,1,"String_literal_expected_1141","String literal expected."),Line_break_not_permitted_here:M(1142,1,"Line_break_not_permitted_here_1142","Line break not permitted here."),or_expected:M(1144,1,"or_expected_1144","'{' or ';' expected."),or_JSX_element_expected:M(1145,1,"or_JSX_element_expected_1145","'{' or JSX element expected."),Declaration_expected:M(1146,1,"Declaration_expected_1146","Declaration expected."),Import_declarations_in_a_namespace_cannot_reference_a_module:M(1147,1,"Import_declarations_in_a_namespace_cannot_reference_a_module_1147","Import declarations in a namespace cannot reference a module."),Cannot_use_imports_exports_or_module_augmentations_when_module_is_none:M(1148,1,"Cannot_use_imports_exports_or_module_augmentations_when_module_is_none_1148","Cannot use imports, exports, or module augmentations when '--module' is 'none'."),File_name_0_differs_from_already_included_file_name_1_only_in_casing:M(1149,1,"File_name_0_differs_from_already_included_file_name_1_only_in_casing_1149","File name '{0}' differs from already included file name '{1}' only in casing."),_0_declarations_must_be_initialized:M(1155,1,"_0_declarations_must_be_initialized_1155","'{0}' declarations must be initialized."),_0_declarations_can_only_be_declared_inside_a_block:M(1156,1,"_0_declarations_can_only_be_declared_inside_a_block_1156","'{0}' declarations can only be declared inside a block."),Unterminated_template_literal:M(1160,1,"Unterminated_template_literal_1160","Unterminated template literal."),Unterminated_regular_expression_literal:M(1161,1,"Unterminated_regular_expression_literal_1161","Unterminated regular expression literal."),An_object_member_cannot_be_declared_optional:M(1162,1,"An_object_member_cannot_be_declared_optional_1162","An object member cannot be declared optional."),A_yield_expression_is_only_allowed_in_a_generator_body:M(1163,1,"A_yield_expression_is_only_allowed_in_a_generator_body_1163","A 'yield' expression is only allowed in a generator body."),Computed_property_names_are_not_allowed_in_enums:M(1164,1,"Computed_property_names_are_not_allowed_in_enums_1164","Computed property names are not allowed in enums."),A_computed_property_name_in_an_ambient_context_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type:M(1165,1,"A_computed_property_name_in_an_ambient_context_must_refer_to_an_expression_whose_type_is_a_literal_t_1165","A computed property name in an ambient context must refer to an expression whose type is a literal type or a 'unique symbol' type."),A_computed_property_name_in_a_class_property_declaration_must_have_a_simple_literal_type_or_a_unique_symbol_type:M(1166,1,"A_computed_property_name_in_a_class_property_declaration_must_have_a_simple_literal_type_or_a_unique_1166","A computed property name in a class property declaration must have a simple literal type or a 'unique symbol' type."),A_computed_property_name_in_a_method_overload_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type:M(1168,1,"A_computed_property_name_in_a_method_overload_must_refer_to_an_expression_whose_type_is_a_literal_ty_1168","A computed property name in a method overload must refer to an expression whose type is a literal type or a 'unique symbol' type."),A_computed_property_name_in_an_interface_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type:M(1169,1,"A_computed_property_name_in_an_interface_must_refer_to_an_expression_whose_type_is_a_literal_type_or_1169","A computed property name in an interface must refer to an expression whose type is a literal type or a 'unique symbol' type."),A_computed_property_name_in_a_type_literal_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type:M(1170,1,"A_computed_property_name_in_a_type_literal_must_refer_to_an_expression_whose_type_is_a_literal_type__1170","A computed property name in a type literal must refer to an expression whose type is a literal type or a 'unique symbol' type."),A_comma_expression_is_not_allowed_in_a_computed_property_name:M(1171,1,"A_comma_expression_is_not_allowed_in_a_computed_property_name_1171","A comma expression is not allowed in a computed property name."),extends_clause_already_seen:M(1172,1,"extends_clause_already_seen_1172","'extends' clause already seen."),extends_clause_must_precede_implements_clause:M(1173,1,"extends_clause_must_precede_implements_clause_1173","'extends' clause must precede 'implements' clause."),Classes_can_only_extend_a_single_class:M(1174,1,"Classes_can_only_extend_a_single_class_1174","Classes can only extend a single class."),implements_clause_already_seen:M(1175,1,"implements_clause_already_seen_1175","'implements' clause already seen."),Interface_declaration_cannot_have_implements_clause:M(1176,1,"Interface_declaration_cannot_have_implements_clause_1176","Interface declaration cannot have 'implements' clause."),Binary_digit_expected:M(1177,1,"Binary_digit_expected_1177","Binary digit expected."),Octal_digit_expected:M(1178,1,"Octal_digit_expected_1178","Octal digit expected."),Unexpected_token_expected:M(1179,1,"Unexpected_token_expected_1179","Unexpected token. '{' expected."),Property_destructuring_pattern_expected:M(1180,1,"Property_destructuring_pattern_expected_1180","Property destructuring pattern expected."),Array_element_destructuring_pattern_expected:M(1181,1,"Array_element_destructuring_pattern_expected_1181","Array element destructuring pattern expected."),A_destructuring_declaration_must_have_an_initializer:M(1182,1,"A_destructuring_declaration_must_have_an_initializer_1182","A destructuring declaration must have an initializer."),An_implementation_cannot_be_declared_in_ambient_contexts:M(1183,1,"An_implementation_cannot_be_declared_in_ambient_contexts_1183","An implementation cannot be declared in ambient contexts."),Modifiers_cannot_appear_here:M(1184,1,"Modifiers_cannot_appear_here_1184","Modifiers cannot appear here."),Merge_conflict_marker_encountered:M(1185,1,"Merge_conflict_marker_encountered_1185","Merge conflict marker encountered."),A_rest_element_cannot_have_an_initializer:M(1186,1,"A_rest_element_cannot_have_an_initializer_1186","A rest element cannot have an initializer."),A_parameter_property_may_not_be_declared_using_a_binding_pattern:M(1187,1,"A_parameter_property_may_not_be_declared_using_a_binding_pattern_1187","A parameter property may not be declared using a binding pattern."),Only_a_single_variable_declaration_is_allowed_in_a_for_of_statement:M(1188,1,"Only_a_single_variable_declaration_is_allowed_in_a_for_of_statement_1188","Only a single variable declaration is allowed in a 'for...of' statement."),The_variable_declaration_of_a_for_in_statement_cannot_have_an_initializer:M(1189,1,"The_variable_declaration_of_a_for_in_statement_cannot_have_an_initializer_1189","The variable declaration of a 'for...in' statement cannot have an initializer."),The_variable_declaration_of_a_for_of_statement_cannot_have_an_initializer:M(1190,1,"The_variable_declaration_of_a_for_of_statement_cannot_have_an_initializer_1190","The variable declaration of a 'for...of' statement cannot have an initializer."),An_import_declaration_cannot_have_modifiers:M(1191,1,"An_import_declaration_cannot_have_modifiers_1191","An import declaration cannot have modifiers."),Module_0_has_no_default_export:M(1192,1,"Module_0_has_no_default_export_1192","Module '{0}' has no default export."),An_export_declaration_cannot_have_modifiers:M(1193,1,"An_export_declaration_cannot_have_modifiers_1193","An export declaration cannot have modifiers."),Export_declarations_are_not_permitted_in_a_namespace:M(1194,1,"Export_declarations_are_not_permitted_in_a_namespace_1194","Export declarations are not permitted in a namespace."),export_Asterisk_does_not_re_export_a_default:M(1195,1,"export_Asterisk_does_not_re_export_a_default_1195","'export *' does not re-export a default."),Catch_clause_variable_type_annotation_must_be_any_or_unknown_if_specified:M(1196,1,"Catch_clause_variable_type_annotation_must_be_any_or_unknown_if_specified_1196","Catch clause variable type annotation must be 'any' or 'unknown' if specified."),Catch_clause_variable_cannot_have_an_initializer:M(1197,1,"Catch_clause_variable_cannot_have_an_initializer_1197","Catch clause variable cannot have an initializer."),An_extended_Unicode_escape_value_must_be_between_0x0_and_0x10FFFF_inclusive:M(1198,1,"An_extended_Unicode_escape_value_must_be_between_0x0_and_0x10FFFF_inclusive_1198","An extended Unicode escape value must be between 0x0 and 0x10FFFF inclusive."),Unterminated_Unicode_escape_sequence:M(1199,1,"Unterminated_Unicode_escape_sequence_1199","Unterminated Unicode escape sequence."),Line_terminator_not_permitted_before_arrow:M(1200,1,"Line_terminator_not_permitted_before_arrow_1200","Line terminator not permitted before arrow."),Import_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_import_Asterisk_as_ns_from_mod_import_a_from_mod_import_d_from_mod_or_another_module_format_instead:M(1202,1,"Import_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_import_Asterisk_as_1202",`Import assignment cannot be used when targeting ECMAScript modules. Consider using 'import * as ns from "mod"', 'import {a} from "mod"', 'import d from "mod"', or another module format instead.`),Export_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_export_default_or_another_module_format_instead:M(1203,1,"Export_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_export_default_or__1203","Export assignment cannot be used when targeting ECMAScript modules. Consider using 'export default' or another module format instead."),Re_exporting_a_type_when_0_is_enabled_requires_using_export_type:M(1205,1,"Re_exporting_a_type_when_0_is_enabled_requires_using_export_type_1205","Re-exporting a type when '{0}' is enabled requires using 'export type'."),Decorators_are_not_valid_here:M(1206,1,"Decorators_are_not_valid_here_1206","Decorators are not valid here."),Decorators_cannot_be_applied_to_multiple_get_Slashset_accessors_of_the_same_name:M(1207,1,"Decorators_cannot_be_applied_to_multiple_get_Slashset_accessors_of_the_same_name_1207","Decorators cannot be applied to multiple get/set accessors of the same name."),Invalid_optional_chain_from_new_expression_Did_you_mean_to_call_0:M(1209,1,"Invalid_optional_chain_from_new_expression_Did_you_mean_to_call_0_1209","Invalid optional chain from new expression. Did you mean to call '{0}()'?"),Code_contained_in_a_class_is_evaluated_in_JavaScript_s_strict_mode_which_does_not_allow_this_use_of_0_For_more_information_see_https_Colon_Slash_Slashdeveloper_mozilla_org_Slashen_US_Slashdocs_SlashWeb_SlashJavaScript_SlashReference_SlashStrict_mode:M(1210,1,"Code_contained_in_a_class_is_evaluated_in_JavaScript_s_strict_mode_which_does_not_allow_this_use_of__1210","Code contained in a class is evaluated in JavaScript's strict mode which does not allow this use of '{0}'. For more information, see https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Strict_mode."),A_class_declaration_without_the_default_modifier_must_have_a_name:M(1211,1,"A_class_declaration_without_the_default_modifier_must_have_a_name_1211","A class declaration without the 'default' modifier must have a name."),Identifier_expected_0_is_a_reserved_word_in_strict_mode:M(1212,1,"Identifier_expected_0_is_a_reserved_word_in_strict_mode_1212","Identifier expected. '{0}' is a reserved word in strict mode."),Identifier_expected_0_is_a_reserved_word_in_strict_mode_Class_definitions_are_automatically_in_strict_mode:M(1213,1,"Identifier_expected_0_is_a_reserved_word_in_strict_mode_Class_definitions_are_automatically_in_stric_1213","Identifier expected. '{0}' is a reserved word in strict mode. Class definitions are automatically in strict mode."),Identifier_expected_0_is_a_reserved_word_in_strict_mode_Modules_are_automatically_in_strict_mode:M(1214,1,"Identifier_expected_0_is_a_reserved_word_in_strict_mode_Modules_are_automatically_in_strict_mode_1214","Identifier expected. '{0}' is a reserved word in strict mode. Modules are automatically in strict mode."),Invalid_use_of_0_Modules_are_automatically_in_strict_mode:M(1215,1,"Invalid_use_of_0_Modules_are_automatically_in_strict_mode_1215","Invalid use of '{0}'. Modules are automatically in strict mode."),Identifier_expected_esModule_is_reserved_as_an_exported_marker_when_transforming_ECMAScript_modules:M(1216,1,"Identifier_expected_esModule_is_reserved_as_an_exported_marker_when_transforming_ECMAScript_modules_1216","Identifier expected. '__esModule' is reserved as an exported marker when transforming ECMAScript modules."),Export_assignment_is_not_supported_when_module_flag_is_system:M(1218,1,"Export_assignment_is_not_supported_when_module_flag_is_system_1218","Export assignment is not supported when '--module' flag is 'system'."),Generators_are_not_allowed_in_an_ambient_context:M(1221,1,"Generators_are_not_allowed_in_an_ambient_context_1221","Generators are not allowed in an ambient context."),An_overload_signature_cannot_be_declared_as_a_generator:M(1222,1,"An_overload_signature_cannot_be_declared_as_a_generator_1222","An overload signature cannot be declared as a generator."),_0_tag_already_specified:M(1223,1,"_0_tag_already_specified_1223","'{0}' tag already specified."),Signature_0_must_be_a_type_predicate:M(1224,1,"Signature_0_must_be_a_type_predicate_1224","Signature '{0}' must be a type predicate."),Cannot_find_parameter_0:M(1225,1,"Cannot_find_parameter_0_1225","Cannot find parameter '{0}'."),Type_predicate_0_is_not_assignable_to_1:M(1226,1,"Type_predicate_0_is_not_assignable_to_1_1226","Type predicate '{0}' is not assignable to '{1}'."),Parameter_0_is_not_in_the_same_position_as_parameter_1:M(1227,1,"Parameter_0_is_not_in_the_same_position_as_parameter_1_1227","Parameter '{0}' is not in the same position as parameter '{1}'."),A_type_predicate_is_only_allowed_in_return_type_position_for_functions_and_methods:M(1228,1,"A_type_predicate_is_only_allowed_in_return_type_position_for_functions_and_methods_1228","A type predicate is only allowed in return type position for functions and methods."),A_type_predicate_cannot_reference_a_rest_parameter:M(1229,1,"A_type_predicate_cannot_reference_a_rest_parameter_1229","A type predicate cannot reference a rest parameter."),A_type_predicate_cannot_reference_element_0_in_a_binding_pattern:M(1230,1,"A_type_predicate_cannot_reference_element_0_in_a_binding_pattern_1230","A type predicate cannot reference element '{0}' in a binding pattern."),An_export_assignment_must_be_at_the_top_level_of_a_file_or_module_declaration:M(1231,1,"An_export_assignment_must_be_at_the_top_level_of_a_file_or_module_declaration_1231","An export assignment must be at the top level of a file or module declaration."),An_import_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module:M(1232,1,"An_import_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module_1232","An import declaration can only be used at the top level of a namespace or module."),An_export_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module:M(1233,1,"An_export_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module_1233","An export declaration can only be used at the top level of a namespace or module."),An_ambient_module_declaration_is_only_allowed_at_the_top_level_in_a_file:M(1234,1,"An_ambient_module_declaration_is_only_allowed_at_the_top_level_in_a_file_1234","An ambient module declaration is only allowed at the top level in a file."),A_namespace_declaration_is_only_allowed_at_the_top_level_of_a_namespace_or_module:M(1235,1,"A_namespace_declaration_is_only_allowed_at_the_top_level_of_a_namespace_or_module_1235","A namespace declaration is only allowed at the top level of a namespace or module."),The_return_type_of_a_property_decorator_function_must_be_either_void_or_any:M(1236,1,"The_return_type_of_a_property_decorator_function_must_be_either_void_or_any_1236","The return type of a property decorator function must be either 'void' or 'any'."),The_return_type_of_a_parameter_decorator_function_must_be_either_void_or_any:M(1237,1,"The_return_type_of_a_parameter_decorator_function_must_be_either_void_or_any_1237","The return type of a parameter decorator function must be either 'void' or 'any'."),Unable_to_resolve_signature_of_class_decorator_when_called_as_an_expression:M(1238,1,"Unable_to_resolve_signature_of_class_decorator_when_called_as_an_expression_1238","Unable to resolve signature of class decorator when called as an expression."),Unable_to_resolve_signature_of_parameter_decorator_when_called_as_an_expression:M(1239,1,"Unable_to_resolve_signature_of_parameter_decorator_when_called_as_an_expression_1239","Unable to resolve signature of parameter decorator when called as an expression."),Unable_to_resolve_signature_of_property_decorator_when_called_as_an_expression:M(1240,1,"Unable_to_resolve_signature_of_property_decorator_when_called_as_an_expression_1240","Unable to resolve signature of property decorator when called as an expression."),Unable_to_resolve_signature_of_method_decorator_when_called_as_an_expression:M(1241,1,"Unable_to_resolve_signature_of_method_decorator_when_called_as_an_expression_1241","Unable to resolve signature of method decorator when called as an expression."),abstract_modifier_can_only_appear_on_a_class_method_or_property_declaration:M(1242,1,"abstract_modifier_can_only_appear_on_a_class_method_or_property_declaration_1242","'abstract' modifier can only appear on a class, method, or property declaration."),_0_modifier_cannot_be_used_with_1_modifier:M(1243,1,"_0_modifier_cannot_be_used_with_1_modifier_1243","'{0}' modifier cannot be used with '{1}' modifier."),Abstract_methods_can_only_appear_within_an_abstract_class:M(1244,1,"Abstract_methods_can_only_appear_within_an_abstract_class_1244","Abstract methods can only appear within an abstract class."),Method_0_cannot_have_an_implementation_because_it_is_marked_abstract:M(1245,1,"Method_0_cannot_have_an_implementation_because_it_is_marked_abstract_1245","Method '{0}' cannot have an implementation because it is marked abstract."),An_interface_property_cannot_have_an_initializer:M(1246,1,"An_interface_property_cannot_have_an_initializer_1246","An interface property cannot have an initializer."),A_type_literal_property_cannot_have_an_initializer:M(1247,1,"A_type_literal_property_cannot_have_an_initializer_1247","A type literal property cannot have an initializer."),A_class_member_cannot_have_the_0_keyword:M(1248,1,"A_class_member_cannot_have_the_0_keyword_1248","A class member cannot have the '{0}' keyword."),A_decorator_can_only_decorate_a_method_implementation_not_an_overload:M(1249,1,"A_decorator_can_only_decorate_a_method_implementation_not_an_overload_1249","A decorator can only decorate a method implementation, not an overload."),Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5:M(1250,1,"Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5_1250","Function declarations are not allowed inside blocks in strict mode when targeting 'ES5'."),Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5_Class_definitions_are_automatically_in_strict_mode:M(1251,1,"Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5_Class_definiti_1251","Function declarations are not allowed inside blocks in strict mode when targeting 'ES5'. Class definitions are automatically in strict mode."),Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5_Modules_are_automatically_in_strict_mode:M(1252,1,"Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5_Modules_are_au_1252","Function declarations are not allowed inside blocks in strict mode when targeting 'ES5'. Modules are automatically in strict mode."),Abstract_properties_can_only_appear_within_an_abstract_class:M(1253,1,"Abstract_properties_can_only_appear_within_an_abstract_class_1253","Abstract properties can only appear within an abstract class."),A_const_initializer_in_an_ambient_context_must_be_a_string_or_numeric_literal_or_literal_enum_reference:M(1254,1,"A_const_initializer_in_an_ambient_context_must_be_a_string_or_numeric_literal_or_literal_enum_refere_1254","A 'const' initializer in an ambient context must be a string or numeric literal or literal enum reference."),A_definite_assignment_assertion_is_not_permitted_in_this_context:M(1255,1,"A_definite_assignment_assertion_is_not_permitted_in_this_context_1255","A definite assignment assertion '!' is not permitted in this context."),A_required_element_cannot_follow_an_optional_element:M(1257,1,"A_required_element_cannot_follow_an_optional_element_1257","A required element cannot follow an optional element."),A_default_export_must_be_at_the_top_level_of_a_file_or_module_declaration:M(1258,1,"A_default_export_must_be_at_the_top_level_of_a_file_or_module_declaration_1258","A default export must be at the top level of a file or module declaration."),Module_0_can_only_be_default_imported_using_the_1_flag:M(1259,1,"Module_0_can_only_be_default_imported_using_the_1_flag_1259","Module '{0}' can only be default-imported using the '{1}' flag"),Keywords_cannot_contain_escape_characters:M(1260,1,"Keywords_cannot_contain_escape_characters_1260","Keywords cannot contain escape characters."),Already_included_file_name_0_differs_from_file_name_1_only_in_casing:M(1261,1,"Already_included_file_name_0_differs_from_file_name_1_only_in_casing_1261","Already included file name '{0}' differs from file name '{1}' only in casing."),Identifier_expected_0_is_a_reserved_word_at_the_top_level_of_a_module:M(1262,1,"Identifier_expected_0_is_a_reserved_word_at_the_top_level_of_a_module_1262","Identifier expected. '{0}' is a reserved word at the top-level of a module."),Declarations_with_initializers_cannot_also_have_definite_assignment_assertions:M(1263,1,"Declarations_with_initializers_cannot_also_have_definite_assignment_assertions_1263","Declarations with initializers cannot also have definite assignment assertions."),Declarations_with_definite_assignment_assertions_must_also_have_type_annotations:M(1264,1,"Declarations_with_definite_assignment_assertions_must_also_have_type_annotations_1264","Declarations with definite assignment assertions must also have type annotations."),A_rest_element_cannot_follow_another_rest_element:M(1265,1,"A_rest_element_cannot_follow_another_rest_element_1265","A rest element cannot follow another rest element."),An_optional_element_cannot_follow_a_rest_element:M(1266,1,"An_optional_element_cannot_follow_a_rest_element_1266","An optional element cannot follow a rest element."),Property_0_cannot_have_an_initializer_because_it_is_marked_abstract:M(1267,1,"Property_0_cannot_have_an_initializer_because_it_is_marked_abstract_1267","Property '{0}' cannot have an initializer because it is marked abstract."),An_index_signature_parameter_type_must_be_string_number_symbol_or_a_template_literal_type:M(1268,1,"An_index_signature_parameter_type_must_be_string_number_symbol_or_a_template_literal_type_1268","An index signature parameter type must be 'string', 'number', 'symbol', or a template literal type."),Cannot_use_export_import_on_a_type_or_type_only_namespace_when_0_is_enabled:M(1269,1,"Cannot_use_export_import_on_a_type_or_type_only_namespace_when_0_is_enabled_1269","Cannot use 'export import' on a type or type-only namespace when '{0}' is enabled."),Decorator_function_return_type_0_is_not_assignable_to_type_1:M(1270,1,"Decorator_function_return_type_0_is_not_assignable_to_type_1_1270","Decorator function return type '{0}' is not assignable to type '{1}'."),Decorator_function_return_type_is_0_but_is_expected_to_be_void_or_any:M(1271,1,"Decorator_function_return_type_is_0_but_is_expected_to_be_void_or_any_1271","Decorator function return type is '{0}' but is expected to be 'void' or 'any'."),A_type_referenced_in_a_decorated_signature_must_be_imported_with_import_type_or_a_namespace_import_when_isolatedModules_and_emitDecoratorMetadata_are_enabled:M(1272,1,"A_type_referenced_in_a_decorated_signature_must_be_imported_with_import_type_or_a_namespace_import_w_1272","A type referenced in a decorated signature must be imported with 'import type' or a namespace import when 'isolatedModules' and 'emitDecoratorMetadata' are enabled."),_0_modifier_cannot_appear_on_a_type_parameter:M(1273,1,"_0_modifier_cannot_appear_on_a_type_parameter_1273","'{0}' modifier cannot appear on a type parameter"),_0_modifier_can_only_appear_on_a_type_parameter_of_a_class_interface_or_type_alias:M(1274,1,"_0_modifier_can_only_appear_on_a_type_parameter_of_a_class_interface_or_type_alias_1274","'{0}' modifier can only appear on a type parameter of a class, interface or type alias"),accessor_modifier_can_only_appear_on_a_property_declaration:M(1275,1,"accessor_modifier_can_only_appear_on_a_property_declaration_1275","'accessor' modifier can only appear on a property declaration."),An_accessor_property_cannot_be_declared_optional:M(1276,1,"An_accessor_property_cannot_be_declared_optional_1276","An 'accessor' property cannot be declared optional."),_0_modifier_can_only_appear_on_a_type_parameter_of_a_function_method_or_class:M(1277,1,"_0_modifier_can_only_appear_on_a_type_parameter_of_a_function_method_or_class_1277","'{0}' modifier can only appear on a type parameter of a function, method or class"),The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_0:M(1278,1,"The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_0_1278","The runtime will invoke the decorator with {1} arguments, but the decorator expects {0}."),The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_at_least_0:M(1279,1,"The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_at_least_0_1279","The runtime will invoke the decorator with {1} arguments, but the decorator expects at least {0}."),Namespaces_are_not_allowed_in_global_script_files_when_0_is_enabled_If_this_file_is_not_intended_to_be_a_global_script_set_moduleDetection_to_force_or_add_an_empty_export_statement:M(1280,1,"Namespaces_are_not_allowed_in_global_script_files_when_0_is_enabled_If_this_file_is_not_intended_to__1280","Namespaces are not allowed in global script files when '{0}' is enabled. If this file is not intended to be a global script, set 'moduleDetection' to 'force' or add an empty 'export {}' statement."),Cannot_access_0_from_another_file_without_qualification_when_1_is_enabled_Use_2_instead:M(1281,1,"Cannot_access_0_from_another_file_without_qualification_when_1_is_enabled_Use_2_instead_1281","Cannot access '{0}' from another file without qualification when '{1}' is enabled. Use '{2}' instead."),An_export_declaration_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_type:M(1282,1,"An_export_declaration_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers__1282","An 'export =' declaration must reference a value when 'verbatimModuleSyntax' is enabled, but '{0}' only refers to a type."),An_export_declaration_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_a_type_only_declaration:M(1283,1,"An_export_declaration_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolve_1283","An 'export =' declaration must reference a real value when 'verbatimModuleSyntax' is enabled, but '{0}' resolves to a type-only declaration."),An_export_default_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_type:M(1284,1,"An_export_default_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_1284","An 'export default' must reference a value when 'verbatimModuleSyntax' is enabled, but '{0}' only refers to a type."),An_export_default_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_a_type_only_declaration:M(1285,1,"An_export_default_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_1285","An 'export default' must reference a real value when 'verbatimModuleSyntax' is enabled, but '{0}' resolves to a type-only declaration."),ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled:M(1286,1,"ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled_1286","ESM syntax is not allowed in a CommonJS module when 'verbatimModuleSyntax' is enabled."),A_top_level_export_modifier_cannot_be_used_on_value_declarations_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled:M(1287,1,"A_top_level_export_modifier_cannot_be_used_on_value_declarations_in_a_CommonJS_module_when_verbatimM_1287","A top-level 'export' modifier cannot be used on value declarations in a CommonJS module when 'verbatimModuleSyntax' is enabled."),An_import_alias_cannot_resolve_to_a_type_or_type_only_declaration_when_verbatimModuleSyntax_is_enabled:M(1288,1,"An_import_alias_cannot_resolve_to_a_type_or_type_only_declaration_when_verbatimModuleSyntax_is_enabl_1288","An import alias cannot resolve to a type or type-only declaration when 'verbatimModuleSyntax' is enabled."),_0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_import_type_where_0_is_imported:M(1289,1,"_0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_1289","'{0}' resolves to a type-only declaration and must be marked type-only in this file before re-exporting when '{1}' is enabled. Consider using 'import type' where '{0}' is imported."),_0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_export_type_0_as_default:M(1290,1,"_0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_1290","'{0}' resolves to a type-only declaration and must be marked type-only in this file before re-exporting when '{1}' is enabled. Consider using 'export type { {0} as default }'."),_0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_import_type_where_0_is_imported:M(1291,1,"_0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enable_1291","'{0}' resolves to a type and must be marked type-only in this file before re-exporting when '{1}' is enabled. Consider using 'import type' where '{0}' is imported."),_0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_export_type_0_as_default:M(1292,1,"_0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enable_1292","'{0}' resolves to a type and must be marked type-only in this file before re-exporting when '{1}' is enabled. Consider using 'export type { {0} as default }'."),ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_module_is_set_to_preserve:M(1293,1,"ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_module_is_set_to_preserve_1293","ESM syntax is not allowed in a CommonJS module when 'module' is set to 'preserve'."),with_statements_are_not_allowed_in_an_async_function_block:M(1300,1,"with_statements_are_not_allowed_in_an_async_function_block_1300","'with' statements are not allowed in an async function block."),await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules:M(1308,1,"await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules_1308","'await' expressions are only allowed within async functions and at the top levels of modules."),The_current_file_is_a_CommonJS_module_and_cannot_use_await_at_the_top_level:M(1309,1,"The_current_file_is_a_CommonJS_module_and_cannot_use_await_at_the_top_level_1309","The current file is a CommonJS module and cannot use 'await' at the top level."),Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_part_of_a_destructuring_pattern:M(1312,1,"Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_1312","Did you mean to use a ':'? An '=' can only follow a property name when the containing object literal is part of a destructuring pattern."),The_body_of_an_if_statement_cannot_be_the_empty_statement:M(1313,1,"The_body_of_an_if_statement_cannot_be_the_empty_statement_1313","The body of an 'if' statement cannot be the empty statement."),Global_module_exports_may_only_appear_in_module_files:M(1314,1,"Global_module_exports_may_only_appear_in_module_files_1314","Global module exports may only appear in module files."),Global_module_exports_may_only_appear_in_declaration_files:M(1315,1,"Global_module_exports_may_only_appear_in_declaration_files_1315","Global module exports may only appear in declaration files."),Global_module_exports_may_only_appear_at_top_level:M(1316,1,"Global_module_exports_may_only_appear_at_top_level_1316","Global module exports may only appear at top level."),A_parameter_property_cannot_be_declared_using_a_rest_parameter:M(1317,1,"A_parameter_property_cannot_be_declared_using_a_rest_parameter_1317","A parameter property cannot be declared using a rest parameter."),An_abstract_accessor_cannot_have_an_implementation:M(1318,1,"An_abstract_accessor_cannot_have_an_implementation_1318","An abstract accessor cannot have an implementation."),A_default_export_can_only_be_used_in_an_ECMAScript_style_module:M(1319,1,"A_default_export_can_only_be_used_in_an_ECMAScript_style_module_1319","A default export can only be used in an ECMAScript-style module."),Type_of_await_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:M(1320,1,"Type_of_await_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member_1320","Type of 'await' operand must either be a valid promise or must not contain a callable 'then' member."),Type_of_yield_operand_in_an_async_generator_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:M(1321,1,"Type_of_yield_operand_in_an_async_generator_must_either_be_a_valid_promise_or_must_not_contain_a_cal_1321","Type of 'yield' operand in an async generator must either be a valid promise or must not contain a callable 'then' member."),Type_of_iterated_elements_of_a_yield_Asterisk_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:M(1322,1,"Type_of_iterated_elements_of_a_yield_Asterisk_operand_must_either_be_a_valid_promise_or_must_not_con_1322","Type of iterated elements of a 'yield*' operand must either be a valid promise or must not contain a callable 'then' member."),Dynamic_imports_are_only_supported_when_the_module_flag_is_set_to_es2020_es2022_esnext_commonjs_amd_system_umd_node16_or_nodenext:M(1323,1,"Dynamic_imports_are_only_supported_when_the_module_flag_is_set_to_es2020_es2022_esnext_commonjs_amd__1323","Dynamic imports are only supported when the '--module' flag is set to 'es2020', 'es2022', 'esnext', 'commonjs', 'amd', 'system', 'umd', 'node16', or 'nodenext'."),Dynamic_imports_only_support_a_second_argument_when_the_module_option_is_set_to_esnext_node16_nodenext_or_preserve:M(1324,1,"Dynamic_imports_only_support_a_second_argument_when_the_module_option_is_set_to_esnext_node16_nodene_1324","Dynamic imports only support a second argument when the '--module' option is set to 'esnext', 'node16', 'nodenext', or 'preserve'."),Argument_of_dynamic_import_cannot_be_spread_element:M(1325,1,"Argument_of_dynamic_import_cannot_be_spread_element_1325","Argument of dynamic import cannot be spread element."),This_use_of_import_is_invalid_import_calls_can_be_written_but_they_must_have_parentheses_and_cannot_have_type_arguments:M(1326,1,"This_use_of_import_is_invalid_import_calls_can_be_written_but_they_must_have_parentheses_and_cannot__1326","This use of 'import' is invalid. 'import()' calls can be written, but they must have parentheses and cannot have type arguments."),String_literal_with_double_quotes_expected:M(1327,1,"String_literal_with_double_quotes_expected_1327","String literal with double quotes expected."),Property_value_can_only_be_string_literal_numeric_literal_true_false_null_object_literal_or_array_literal:M(1328,1,"Property_value_can_only_be_string_literal_numeric_literal_true_false_null_object_literal_or_array_li_1328","Property value can only be string literal, numeric literal, 'true', 'false', 'null', object literal or array literal."),_0_accepts_too_few_arguments_to_be_used_as_a_decorator_here_Did_you_mean_to_call_it_first_and_write_0:M(1329,1,"_0_accepts_too_few_arguments_to_be_used_as_a_decorator_here_Did_you_mean_to_call_it_first_and_write__1329","'{0}' accepts too few arguments to be used as a decorator here. Did you mean to call it first and write '@{0}()'?"),A_property_of_an_interface_or_type_literal_whose_type_is_a_unique_symbol_type_must_be_readonly:M(1330,1,"A_property_of_an_interface_or_type_literal_whose_type_is_a_unique_symbol_type_must_be_readonly_1330","A property of an interface or type literal whose type is a 'unique symbol' type must be 'readonly'."),A_property_of_a_class_whose_type_is_a_unique_symbol_type_must_be_both_static_and_readonly:M(1331,1,"A_property_of_a_class_whose_type_is_a_unique_symbol_type_must_be_both_static_and_readonly_1331","A property of a class whose type is a 'unique symbol' type must be both 'static' and 'readonly'."),A_variable_whose_type_is_a_unique_symbol_type_must_be_const:M(1332,1,"A_variable_whose_type_is_a_unique_symbol_type_must_be_const_1332","A variable whose type is a 'unique symbol' type must be 'const'."),unique_symbol_types_may_not_be_used_on_a_variable_declaration_with_a_binding_name:M(1333,1,"unique_symbol_types_may_not_be_used_on_a_variable_declaration_with_a_binding_name_1333","'unique symbol' types may not be used on a variable declaration with a binding name."),unique_symbol_types_are_only_allowed_on_variables_in_a_variable_statement:M(1334,1,"unique_symbol_types_are_only_allowed_on_variables_in_a_variable_statement_1334","'unique symbol' types are only allowed on variables in a variable statement."),unique_symbol_types_are_not_allowed_here:M(1335,1,"unique_symbol_types_are_not_allowed_here_1335","'unique symbol' types are not allowed here."),An_index_signature_parameter_type_cannot_be_a_literal_type_or_generic_type_Consider_using_a_mapped_object_type_instead:M(1337,1,"An_index_signature_parameter_type_cannot_be_a_literal_type_or_generic_type_Consider_using_a_mapped_o_1337","An index signature parameter type cannot be a literal type or generic type. Consider using a mapped object type instead."),infer_declarations_are_only_permitted_in_the_extends_clause_of_a_conditional_type:M(1338,1,"infer_declarations_are_only_permitted_in_the_extends_clause_of_a_conditional_type_1338","'infer' declarations are only permitted in the 'extends' clause of a conditional type."),Module_0_does_not_refer_to_a_value_but_is_used_as_a_value_here:M(1339,1,"Module_0_does_not_refer_to_a_value_but_is_used_as_a_value_here_1339","Module '{0}' does not refer to a value, but is used as a value here."),Module_0_does_not_refer_to_a_type_but_is_used_as_a_type_here_Did_you_mean_typeof_import_0:M(1340,1,"Module_0_does_not_refer_to_a_type_but_is_used_as_a_type_here_Did_you_mean_typeof_import_0_1340","Module '{0}' does not refer to a type, but is used as a type here. Did you mean 'typeof import('{0}')'?"),Class_constructor_may_not_be_an_accessor:M(1341,1,"Class_constructor_may_not_be_an_accessor_1341","Class constructor may not be an accessor."),The_import_meta_meta_property_is_only_allowed_when_the_module_option_is_es2020_es2022_esnext_system_node16_or_nodenext:M(1343,1,"The_import_meta_meta_property_is_only_allowed_when_the_module_option_is_es2020_es2022_esnext_system__1343","The 'import.meta' meta-property is only allowed when the '--module' option is 'es2020', 'es2022', 'esnext', 'system', 'node16', or 'nodenext'."),A_label_is_not_allowed_here:M(1344,1,"A_label_is_not_allowed_here_1344","'A label is not allowed here."),An_expression_of_type_void_cannot_be_tested_for_truthiness:M(1345,1,"An_expression_of_type_void_cannot_be_tested_for_truthiness_1345","An expression of type 'void' cannot be tested for truthiness."),This_parameter_is_not_allowed_with_use_strict_directive:M(1346,1,"This_parameter_is_not_allowed_with_use_strict_directive_1346","This parameter is not allowed with 'use strict' directive."),use_strict_directive_cannot_be_used_with_non_simple_parameter_list:M(1347,1,"use_strict_directive_cannot_be_used_with_non_simple_parameter_list_1347","'use strict' directive cannot be used with non-simple parameter list."),Non_simple_parameter_declared_here:M(1348,1,"Non_simple_parameter_declared_here_1348","Non-simple parameter declared here."),use_strict_directive_used_here:M(1349,1,"use_strict_directive_used_here_1349","'use strict' directive used here."),Print_the_final_configuration_instead_of_building:M(1350,3,"Print_the_final_configuration_instead_of_building_1350","Print the final configuration instead of building."),An_identifier_or_keyword_cannot_immediately_follow_a_numeric_literal:M(1351,1,"An_identifier_or_keyword_cannot_immediately_follow_a_numeric_literal_1351","An identifier or keyword cannot immediately follow a numeric literal."),A_bigint_literal_cannot_use_exponential_notation:M(1352,1,"A_bigint_literal_cannot_use_exponential_notation_1352","A bigint literal cannot use exponential notation."),A_bigint_literal_must_be_an_integer:M(1353,1,"A_bigint_literal_must_be_an_integer_1353","A bigint literal must be an integer."),readonly_type_modifier_is_only_permitted_on_array_and_tuple_literal_types:M(1354,1,"readonly_type_modifier_is_only_permitted_on_array_and_tuple_literal_types_1354","'readonly' type modifier is only permitted on array and tuple literal types."),A_const_assertions_can_only_be_applied_to_references_to_enum_members_or_string_number_boolean_array_or_object_literals:M(1355,1,"A_const_assertions_can_only_be_applied_to_references_to_enum_members_or_string_number_boolean_array__1355","A 'const' assertions can only be applied to references to enum members, or string, number, boolean, array, or object literals."),Did_you_mean_to_mark_this_function_as_async:M(1356,1,"Did_you_mean_to_mark_this_function_as_async_1356","Did you mean to mark this function as 'async'?"),An_enum_member_name_must_be_followed_by_a_or:M(1357,1,"An_enum_member_name_must_be_followed_by_a_or_1357","An enum member name must be followed by a ',', '=', or '}'."),Tagged_template_expressions_are_not_permitted_in_an_optional_chain:M(1358,1,"Tagged_template_expressions_are_not_permitted_in_an_optional_chain_1358","Tagged template expressions are not permitted in an optional chain."),Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here:M(1359,1,"Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here_1359","Identifier expected. '{0}' is a reserved word that cannot be used here."),Type_0_does_not_satisfy_the_expected_type_1:M(1360,1,"Type_0_does_not_satisfy_the_expected_type_1_1360","Type '{0}' does not satisfy the expected type '{1}'."),_0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type:M(1361,1,"_0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type_1361","'{0}' cannot be used as a value because it was imported using 'import type'."),_0_cannot_be_used_as_a_value_because_it_was_exported_using_export_type:M(1362,1,"_0_cannot_be_used_as_a_value_because_it_was_exported_using_export_type_1362","'{0}' cannot be used as a value because it was exported using 'export type'."),A_type_only_import_can_specify_a_default_import_or_named_bindings_but_not_both:M(1363,1,"A_type_only_import_can_specify_a_default_import_or_named_bindings_but_not_both_1363","A type-only import can specify a default import or named bindings, but not both."),Convert_to_type_only_export:M(1364,3,"Convert_to_type_only_export_1364","Convert to type-only export"),Convert_all_re_exported_types_to_type_only_exports:M(1365,3,"Convert_all_re_exported_types_to_type_only_exports_1365","Convert all re-exported types to type-only exports"),Split_into_two_separate_import_declarations:M(1366,3,"Split_into_two_separate_import_declarations_1366","Split into two separate import declarations"),Split_all_invalid_type_only_imports:M(1367,3,"Split_all_invalid_type_only_imports_1367","Split all invalid type-only imports"),Class_constructor_may_not_be_a_generator:M(1368,1,"Class_constructor_may_not_be_a_generator_1368","Class constructor may not be a generator."),Did_you_mean_0:M(1369,3,"Did_you_mean_0_1369","Did you mean '{0}'?"),await_expressions_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module:M(1375,1,"await_expressions_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_fi_1375","'await' expressions are only allowed at the top level of a file when that file is a module, but this file has no imports or exports. Consider adding an empty 'export {}' to make this file a module."),_0_was_imported_here:M(1376,3,"_0_was_imported_here_1376","'{0}' was imported here."),_0_was_exported_here:M(1377,3,"_0_was_exported_here_1377","'{0}' was exported here."),Top_level_await_expressions_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher:M(1378,1,"Top_level_await_expressions_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_n_1378","Top-level 'await' expressions are only allowed when the 'module' option is set to 'es2022', 'esnext', 'system', 'node16', 'nodenext', or 'preserve', and the 'target' option is set to 'es2017' or higher."),An_import_alias_cannot_reference_a_declaration_that_was_exported_using_export_type:M(1379,1,"An_import_alias_cannot_reference_a_declaration_that_was_exported_using_export_type_1379","An import alias cannot reference a declaration that was exported using 'export type'."),An_import_alias_cannot_reference_a_declaration_that_was_imported_using_import_type:M(1380,1,"An_import_alias_cannot_reference_a_declaration_that_was_imported_using_import_type_1380","An import alias cannot reference a declaration that was imported using 'import type'."),Unexpected_token_Did_you_mean_or_rbrace:M(1381,1,"Unexpected_token_Did_you_mean_or_rbrace_1381","Unexpected token. Did you mean `{'}'}` or `&rbrace;`?"),Unexpected_token_Did_you_mean_or_gt:M(1382,1,"Unexpected_token_Did_you_mean_or_gt_1382","Unexpected token. Did you mean `{'>'}` or `&gt;`?"),Function_type_notation_must_be_parenthesized_when_used_in_a_union_type:M(1385,1,"Function_type_notation_must_be_parenthesized_when_used_in_a_union_type_1385","Function type notation must be parenthesized when used in a union type."),Constructor_type_notation_must_be_parenthesized_when_used_in_a_union_type:M(1386,1,"Constructor_type_notation_must_be_parenthesized_when_used_in_a_union_type_1386","Constructor type notation must be parenthesized when used in a union type."),Function_type_notation_must_be_parenthesized_when_used_in_an_intersection_type:M(1387,1,"Function_type_notation_must_be_parenthesized_when_used_in_an_intersection_type_1387","Function type notation must be parenthesized when used in an intersection type."),Constructor_type_notation_must_be_parenthesized_when_used_in_an_intersection_type:M(1388,1,"Constructor_type_notation_must_be_parenthesized_when_used_in_an_intersection_type_1388","Constructor type notation must be parenthesized when used in an intersection type."),_0_is_not_allowed_as_a_variable_declaration_name:M(1389,1,"_0_is_not_allowed_as_a_variable_declaration_name_1389","'{0}' is not allowed as a variable declaration name."),_0_is_not_allowed_as_a_parameter_name:M(1390,1,"_0_is_not_allowed_as_a_parameter_name_1390","'{0}' is not allowed as a parameter name."),An_import_alias_cannot_use_import_type:M(1392,1,"An_import_alias_cannot_use_import_type_1392","An import alias cannot use 'import type'"),Imported_via_0_from_file_1:M(1393,3,"Imported_via_0_from_file_1_1393","Imported via {0} from file '{1}'"),Imported_via_0_from_file_1_with_packageId_2:M(1394,3,"Imported_via_0_from_file_1_with_packageId_2_1394","Imported via {0} from file '{1}' with packageId '{2}'"),Imported_via_0_from_file_1_to_import_importHelpers_as_specified_in_compilerOptions:M(1395,3,"Imported_via_0_from_file_1_to_import_importHelpers_as_specified_in_compilerOptions_1395","Imported via {0} from file '{1}' to import 'importHelpers' as specified in compilerOptions"),Imported_via_0_from_file_1_with_packageId_2_to_import_importHelpers_as_specified_in_compilerOptions:M(1396,3,"Imported_via_0_from_file_1_with_packageId_2_to_import_importHelpers_as_specified_in_compilerOptions_1396","Imported via {0} from file '{1}' with packageId '{2}' to import 'importHelpers' as specified in compilerOptions"),Imported_via_0_from_file_1_to_import_jsx_and_jsxs_factory_functions:M(1397,3,"Imported_via_0_from_file_1_to_import_jsx_and_jsxs_factory_functions_1397","Imported via {0} from file '{1}' to import 'jsx' and 'jsxs' factory functions"),Imported_via_0_from_file_1_with_packageId_2_to_import_jsx_and_jsxs_factory_functions:M(1398,3,"Imported_via_0_from_file_1_with_packageId_2_to_import_jsx_and_jsxs_factory_functions_1398","Imported via {0} from file '{1}' with packageId '{2}' to import 'jsx' and 'jsxs' factory functions"),File_is_included_via_import_here:M(1399,3,"File_is_included_via_import_here_1399","File is included via import here."),Referenced_via_0_from_file_1:M(1400,3,"Referenced_via_0_from_file_1_1400","Referenced via '{0}' from file '{1}'"),File_is_included_via_reference_here:M(1401,3,"File_is_included_via_reference_here_1401","File is included via reference here."),Type_library_referenced_via_0_from_file_1:M(1402,3,"Type_library_referenced_via_0_from_file_1_1402","Type library referenced via '{0}' from file '{1}'"),Type_library_referenced_via_0_from_file_1_with_packageId_2:M(1403,3,"Type_library_referenced_via_0_from_file_1_with_packageId_2_1403","Type library referenced via '{0}' from file '{1}' with packageId '{2}'"),File_is_included_via_type_library_reference_here:M(1404,3,"File_is_included_via_type_library_reference_here_1404","File is included via type library reference here."),Library_referenced_via_0_from_file_1:M(1405,3,"Library_referenced_via_0_from_file_1_1405","Library referenced via '{0}' from file '{1}'"),File_is_included_via_library_reference_here:M(1406,3,"File_is_included_via_library_reference_here_1406","File is included via library reference here."),Matched_by_include_pattern_0_in_1:M(1407,3,"Matched_by_include_pattern_0_in_1_1407","Matched by include pattern '{0}' in '{1}'"),File_is_matched_by_include_pattern_specified_here:M(1408,3,"File_is_matched_by_include_pattern_specified_here_1408","File is matched by include pattern specified here."),Part_of_files_list_in_tsconfig_json:M(1409,3,"Part_of_files_list_in_tsconfig_json_1409","Part of 'files' list in tsconfig.json"),File_is_matched_by_files_list_specified_here:M(1410,3,"File_is_matched_by_files_list_specified_here_1410","File is matched by 'files' list specified here."),Output_from_referenced_project_0_included_because_1_specified:M(1411,3,"Output_from_referenced_project_0_included_because_1_specified_1411","Output from referenced project '{0}' included because '{1}' specified"),Output_from_referenced_project_0_included_because_module_is_specified_as_none:M(1412,3,"Output_from_referenced_project_0_included_because_module_is_specified_as_none_1412","Output from referenced project '{0}' included because '--module' is specified as 'none'"),File_is_output_from_referenced_project_specified_here:M(1413,3,"File_is_output_from_referenced_project_specified_here_1413","File is output from referenced project specified here."),Source_from_referenced_project_0_included_because_1_specified:M(1414,3,"Source_from_referenced_project_0_included_because_1_specified_1414","Source from referenced project '{0}' included because '{1}' specified"),Source_from_referenced_project_0_included_because_module_is_specified_as_none:M(1415,3,"Source_from_referenced_project_0_included_because_module_is_specified_as_none_1415","Source from referenced project '{0}' included because '--module' is specified as 'none'"),File_is_source_from_referenced_project_specified_here:M(1416,3,"File_is_source_from_referenced_project_specified_here_1416","File is source from referenced project specified here."),Entry_point_of_type_library_0_specified_in_compilerOptions:M(1417,3,"Entry_point_of_type_library_0_specified_in_compilerOptions_1417","Entry point of type library '{0}' specified in compilerOptions"),Entry_point_of_type_library_0_specified_in_compilerOptions_with_packageId_1:M(1418,3,"Entry_point_of_type_library_0_specified_in_compilerOptions_with_packageId_1_1418","Entry point of type library '{0}' specified in compilerOptions with packageId '{1}'"),File_is_entry_point_of_type_library_specified_here:M(1419,3,"File_is_entry_point_of_type_library_specified_here_1419","File is entry point of type library specified here."),Entry_point_for_implicit_type_library_0:M(1420,3,"Entry_point_for_implicit_type_library_0_1420","Entry point for implicit type library '{0}'"),Entry_point_for_implicit_type_library_0_with_packageId_1:M(1421,3,"Entry_point_for_implicit_type_library_0_with_packageId_1_1421","Entry point for implicit type library '{0}' with packageId '{1}'"),Library_0_specified_in_compilerOptions:M(1422,3,"Library_0_specified_in_compilerOptions_1422","Library '{0}' specified in compilerOptions"),File_is_library_specified_here:M(1423,3,"File_is_library_specified_here_1423","File is library specified here."),Default_library:M(1424,3,"Default_library_1424","Default library"),Default_library_for_target_0:M(1425,3,"Default_library_for_target_0_1425","Default library for target '{0}'"),File_is_default_library_for_target_specified_here:M(1426,3,"File_is_default_library_for_target_specified_here_1426","File is default library for target specified here."),Root_file_specified_for_compilation:M(1427,3,"Root_file_specified_for_compilation_1427","Root file specified for compilation"),File_is_output_of_project_reference_source_0:M(1428,3,"File_is_output_of_project_reference_source_0_1428","File is output of project reference source '{0}'"),File_redirects_to_file_0:M(1429,3,"File_redirects_to_file_0_1429","File redirects to file '{0}'"),The_file_is_in_the_program_because_Colon:M(1430,3,"The_file_is_in_the_program_because_Colon_1430","The file is in the program because:"),for_await_loops_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module:M(1431,1,"for_await_loops_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_1431","'for await' loops are only allowed at the top level of a file when that file is a module, but this file has no imports or exports. Consider adding an empty 'export {}' to make this file a module."),Top_level_for_await_loops_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher:M(1432,1,"Top_level_for_await_loops_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_nod_1432","Top-level 'for await' loops are only allowed when the 'module' option is set to 'es2022', 'esnext', 'system', 'node16', 'nodenext', or 'preserve', and the 'target' option is set to 'es2017' or higher."),Neither_decorators_nor_modifiers_may_be_applied_to_this_parameters:M(1433,1,"Neither_decorators_nor_modifiers_may_be_applied_to_this_parameters_1433","Neither decorators nor modifiers may be applied to 'this' parameters."),Unexpected_keyword_or_identifier:M(1434,1,"Unexpected_keyword_or_identifier_1434","Unexpected keyword or identifier."),Unknown_keyword_or_identifier_Did_you_mean_0:M(1435,1,"Unknown_keyword_or_identifier_Did_you_mean_0_1435","Unknown keyword or identifier. Did you mean '{0}'?"),Decorators_must_precede_the_name_and_all_keywords_of_property_declarations:M(1436,1,"Decorators_must_precede_the_name_and_all_keywords_of_property_declarations_1436","Decorators must precede the name and all keywords of property declarations."),Namespace_must_be_given_a_name:M(1437,1,"Namespace_must_be_given_a_name_1437","Namespace must be given a name."),Interface_must_be_given_a_name:M(1438,1,"Interface_must_be_given_a_name_1438","Interface must be given a name."),Type_alias_must_be_given_a_name:M(1439,1,"Type_alias_must_be_given_a_name_1439","Type alias must be given a name."),Variable_declaration_not_allowed_at_this_location:M(1440,1,"Variable_declaration_not_allowed_at_this_location_1440","Variable declaration not allowed at this location."),Cannot_start_a_function_call_in_a_type_annotation:M(1441,1,"Cannot_start_a_function_call_in_a_type_annotation_1441","Cannot start a function call in a type annotation."),Expected_for_property_initializer:M(1442,1,"Expected_for_property_initializer_1442","Expected '=' for property initializer."),Module_declaration_names_may_only_use_or_quoted_strings:M(1443,1,"Module_declaration_names_may_only_use_or_quoted_strings_1443",`Module declaration names may only use ' or " quoted strings.`),_0_resolves_to_a_type_only_declaration_and_must_be_re_exported_using_a_type_only_re_export_when_1_is_enabled:M(1448,1,"_0_resolves_to_a_type_only_declaration_and_must_be_re_exported_using_a_type_only_re_export_when_1_is_1448","'{0}' resolves to a type-only declaration and must be re-exported using a type-only re-export when '{1}' is enabled."),Preserve_unused_imported_values_in_the_JavaScript_output_that_would_otherwise_be_removed:M(1449,3,"Preserve_unused_imported_values_in_the_JavaScript_output_that_would_otherwise_be_removed_1449","Preserve unused imported values in the JavaScript output that would otherwise be removed."),Dynamic_imports_can_only_accept_a_module_specifier_and_an_optional_set_of_attributes_as_arguments:M(1450,3,"Dynamic_imports_can_only_accept_a_module_specifier_and_an_optional_set_of_attributes_as_arguments_1450","Dynamic imports can only accept a module specifier and an optional set of attributes as arguments"),Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member_declaration_property_access_or_on_the_left_hand_side_of_an_in_expression:M(1451,1,"Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member__1451","Private identifiers are only allowed in class bodies and may only be used as part of a class member declaration, property access, or on the left-hand-side of an 'in' expression"),resolution_mode_should_be_either_require_or_import:M(1453,1,"resolution_mode_should_be_either_require_or_import_1453","`resolution-mode` should be either `require` or `import`."),resolution_mode_can_only_be_set_for_type_only_imports:M(1454,1,"resolution_mode_can_only_be_set_for_type_only_imports_1454","`resolution-mode` can only be set for type-only imports."),resolution_mode_is_the_only_valid_key_for_type_import_assertions:M(1455,1,"resolution_mode_is_the_only_valid_key_for_type_import_assertions_1455","`resolution-mode` is the only valid key for type import assertions."),Type_import_assertions_should_have_exactly_one_key_resolution_mode_with_value_import_or_require:M(1456,1,"Type_import_assertions_should_have_exactly_one_key_resolution_mode_with_value_import_or_require_1456","Type import assertions should have exactly one key - `resolution-mode` - with value `import` or `require`."),Matched_by_default_include_pattern_Asterisk_Asterisk_Slash_Asterisk:M(1457,3,"Matched_by_default_include_pattern_Asterisk_Asterisk_Slash_Asterisk_1457","Matched by default include pattern '**/*'"),File_is_ECMAScript_module_because_0_has_field_type_with_value_module:M(1458,3,"File_is_ECMAScript_module_because_0_has_field_type_with_value_module_1458",`File is ECMAScript module because '{0}' has field "type" with value "module"`),File_is_CommonJS_module_because_0_has_field_type_whose_value_is_not_module:M(1459,3,"File_is_CommonJS_module_because_0_has_field_type_whose_value_is_not_module_1459",`File is CommonJS module because '{0}' has field "type" whose value is not "module"`),File_is_CommonJS_module_because_0_does_not_have_field_type:M(1460,3,"File_is_CommonJS_module_because_0_does_not_have_field_type_1460",`File is CommonJS module because '{0}' does not have field "type"`),File_is_CommonJS_module_because_package_json_was_not_found:M(1461,3,"File_is_CommonJS_module_because_package_json_was_not_found_1461","File is CommonJS module because 'package.json' was not found"),resolution_mode_is_the_only_valid_key_for_type_import_attributes:M(1463,1,"resolution_mode_is_the_only_valid_key_for_type_import_attributes_1463","'resolution-mode' is the only valid key for type import attributes."),Type_import_attributes_should_have_exactly_one_key_resolution_mode_with_value_import_or_require:M(1464,1,"Type_import_attributes_should_have_exactly_one_key_resolution_mode_with_value_import_or_require_1464","Type import attributes should have exactly one key - 'resolution-mode' - with value 'import' or 'require'."),The_import_meta_meta_property_is_not_allowed_in_files_which_will_build_into_CommonJS_output:M(1470,1,"The_import_meta_meta_property_is_not_allowed_in_files_which_will_build_into_CommonJS_output_1470","The 'import.meta' meta-property is not allowed in files which will build into CommonJS output."),Module_0_cannot_be_imported_using_this_construct_The_specifier_only_resolves_to_an_ES_module_which_cannot_be_imported_with_require_Use_an_ECMAScript_import_instead:M(1471,1,"Module_0_cannot_be_imported_using_this_construct_The_specifier_only_resolves_to_an_ES_module_which_c_1471","Module '{0}' cannot be imported using this construct. The specifier only resolves to an ES module, which cannot be imported with 'require'. Use an ECMAScript import instead."),catch_or_finally_expected:M(1472,1,"catch_or_finally_expected_1472","'catch' or 'finally' expected."),An_import_declaration_can_only_be_used_at_the_top_level_of_a_module:M(1473,1,"An_import_declaration_can_only_be_used_at_the_top_level_of_a_module_1473","An import declaration can only be used at the top level of a module."),An_export_declaration_can_only_be_used_at_the_top_level_of_a_module:M(1474,1,"An_export_declaration_can_only_be_used_at_the_top_level_of_a_module_1474","An export declaration can only be used at the top level of a module."),Control_what_method_is_used_to_detect_module_format_JS_files:M(1475,3,"Control_what_method_is_used_to_detect_module_format_JS_files_1475","Control what method is used to detect module-format JS files."),auto_Colon_Treat_files_with_imports_exports_import_meta_jsx_with_jsx_Colon_react_jsx_or_esm_format_with_module_Colon_node16_as_modules:M(1476,3,"auto_Colon_Treat_files_with_imports_exports_import_meta_jsx_with_jsx_Colon_react_jsx_or_esm_format_w_1476",'"auto": Treat files with imports, exports, import.meta, jsx (with jsx: react-jsx), or esm format (with module: node16+) as modules.'),An_instantiation_expression_cannot_be_followed_by_a_property_access:M(1477,1,"An_instantiation_expression_cannot_be_followed_by_a_property_access_1477","An instantiation expression cannot be followed by a property access."),Identifier_or_string_literal_expected:M(1478,1,"Identifier_or_string_literal_expected_1478","Identifier or string literal expected."),The_current_file_is_a_CommonJS_module_whose_imports_will_produce_require_calls_however_the_referenced_file_is_an_ECMAScript_module_and_cannot_be_imported_with_require_Consider_writing_a_dynamic_import_0_call_instead:M(1479,1,"The_current_file_is_a_CommonJS_module_whose_imports_will_produce_require_calls_however_the_reference_1479",`The current file is a CommonJS module whose imports will produce 'require' calls; however, the referenced file is an ECMAScript module and cannot be imported with 'require'. Consider writing a dynamic 'import("{0}")' call instead.`),To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_create_a_local_package_json_file_with_type_Colon_module:M(1480,3,"To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_create_a_local_packag_1480",'To convert this file to an ECMAScript module, change its file extension to \'{0}\' or create a local package.json file with `{ "type": "module" }`.'),To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_add_the_field_type_Colon_module_to_1:M(1481,3,"To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_add_the_field_type_Co_1481",`To convert this file to an ECMAScript module, change its file extension to '{0}', or add the field \`"type": "module"\` to '{1}'.`),To_convert_this_file_to_an_ECMAScript_module_add_the_field_type_Colon_module_to_0:M(1482,3,"To_convert_this_file_to_an_ECMAScript_module_add_the_field_type_Colon_module_to_0_1482",'To convert this file to an ECMAScript module, add the field `"type": "module"` to \'{0}\'.'),To_convert_this_file_to_an_ECMAScript_module_create_a_local_package_json_file_with_type_Colon_module:M(1483,3,"To_convert_this_file_to_an_ECMAScript_module_create_a_local_package_json_file_with_type_Colon_module_1483",'To convert this file to an ECMAScript module, create a local package.json file with `{ "type": "module" }`.'),_0_is_a_type_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled:M(1484,1,"_0_is_a_type_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled_1484","'{0}' is a type and must be imported using a type-only import when 'verbatimModuleSyntax' is enabled."),_0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled:M(1485,1,"_0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_verbatimMo_1485","'{0}' resolves to a type-only declaration and must be imported using a type-only import when 'verbatimModuleSyntax' is enabled."),Decorator_used_before_export_here:M(1486,1,"Decorator_used_before_export_here_1486","Decorator used before 'export' here."),Octal_escape_sequences_are_not_allowed_Use_the_syntax_0:M(1487,1,"Octal_escape_sequences_are_not_allowed_Use_the_syntax_0_1487","Octal escape sequences are not allowed. Use the syntax '{0}'."),Escape_sequence_0_is_not_allowed:M(1488,1,"Escape_sequence_0_is_not_allowed_1488","Escape sequence '{0}' is not allowed."),Decimals_with_leading_zeros_are_not_allowed:M(1489,1,"Decimals_with_leading_zeros_are_not_allowed_1489","Decimals with leading zeros are not allowed."),File_appears_to_be_binary:M(1490,1,"File_appears_to_be_binary_1490","File appears to be binary."),_0_modifier_cannot_appear_on_a_using_declaration:M(1491,1,"_0_modifier_cannot_appear_on_a_using_declaration_1491","'{0}' modifier cannot appear on a 'using' declaration."),_0_declarations_may_not_have_binding_patterns:M(1492,1,"_0_declarations_may_not_have_binding_patterns_1492","'{0}' declarations may not have binding patterns."),The_left_hand_side_of_a_for_in_statement_cannot_be_a_using_declaration:M(1493,1,"The_left_hand_side_of_a_for_in_statement_cannot_be_a_using_declaration_1493","The left-hand side of a 'for...in' statement cannot be a 'using' declaration."),The_left_hand_side_of_a_for_in_statement_cannot_be_an_await_using_declaration:M(1494,1,"The_left_hand_side_of_a_for_in_statement_cannot_be_an_await_using_declaration_1494","The left-hand side of a 'for...in' statement cannot be an 'await using' declaration."),_0_modifier_cannot_appear_on_an_await_using_declaration:M(1495,1,"_0_modifier_cannot_appear_on_an_await_using_declaration_1495","'{0}' modifier cannot appear on an 'await using' declaration."),Identifier_string_literal_or_number_literal_expected:M(1496,1,"Identifier_string_literal_or_number_literal_expected_1496","Identifier, string literal, or number literal expected."),Expression_must_be_enclosed_in_parentheses_to_be_used_as_a_decorator:M(1497,1,"Expression_must_be_enclosed_in_parentheses_to_be_used_as_a_decorator_1497","Expression must be enclosed in parentheses to be used as a decorator."),Invalid_syntax_in_decorator:M(1498,1,"Invalid_syntax_in_decorator_1498","Invalid syntax in decorator."),Unknown_regular_expression_flag:M(1499,1,"Unknown_regular_expression_flag_1499","Unknown regular expression flag."),Duplicate_regular_expression_flag:M(1500,1,"Duplicate_regular_expression_flag_1500","Duplicate regular expression flag."),This_regular_expression_flag_is_only_available_when_targeting_0_or_later:M(1501,1,"This_regular_expression_flag_is_only_available_when_targeting_0_or_later_1501","This regular expression flag is only available when targeting '{0}' or later."),The_Unicode_u_flag_and_the_Unicode_Sets_v_flag_cannot_be_set_simultaneously:M(1502,1,"The_Unicode_u_flag_and_the_Unicode_Sets_v_flag_cannot_be_set_simultaneously_1502","The Unicode (u) flag and the Unicode Sets (v) flag cannot be set simultaneously."),Named_capturing_groups_are_only_available_when_targeting_ES2018_or_later:M(1503,1,"Named_capturing_groups_are_only_available_when_targeting_ES2018_or_later_1503","Named capturing groups are only available when targeting 'ES2018' or later."),Subpattern_flags_must_be_present_when_there_is_a_minus_sign:M(1504,1,"Subpattern_flags_must_be_present_when_there_is_a_minus_sign_1504","Subpattern flags must be present when there is a minus sign."),Incomplete_quantifier_Digit_expected:M(1505,1,"Incomplete_quantifier_Digit_expected_1505","Incomplete quantifier. Digit expected."),Numbers_out_of_order_in_quantifier:M(1506,1,"Numbers_out_of_order_in_quantifier_1506","Numbers out of order in quantifier."),There_is_nothing_available_for_repetition:M(1507,1,"There_is_nothing_available_for_repetition_1507","There is nothing available for repetition."),Unexpected_0_Did_you_mean_to_escape_it_with_backslash:M(1508,1,"Unexpected_0_Did_you_mean_to_escape_it_with_backslash_1508","Unexpected '{0}'. Did you mean to escape it with backslash?"),This_regular_expression_flag_cannot_be_toggled_within_a_subpattern:M(1509,1,"This_regular_expression_flag_cannot_be_toggled_within_a_subpattern_1509","This regular expression flag cannot be toggled within a subpattern."),k_must_be_followed_by_a_capturing_group_name_enclosed_in_angle_brackets:M(1510,1,"k_must_be_followed_by_a_capturing_group_name_enclosed_in_angle_brackets_1510","'\\k' must be followed by a capturing group name enclosed in angle brackets."),q_is_only_available_inside_character_class:M(1511,1,"q_is_only_available_inside_character_class_1511","'\\q' is only available inside character class."),c_must_be_followed_by_an_ASCII_letter:M(1512,1,"c_must_be_followed_by_an_ASCII_letter_1512","'\\c' must be followed by an ASCII letter."),Undetermined_character_escape:M(1513,1,"Undetermined_character_escape_1513","Undetermined character escape."),Expected_a_capturing_group_name:M(1514,1,"Expected_a_capturing_group_name_1514","Expected a capturing group name."),Named_capturing_groups_with_the_same_name_must_be_mutually_exclusive_to_each_other:M(1515,1,"Named_capturing_groups_with_the_same_name_must_be_mutually_exclusive_to_each_other_1515","Named capturing groups with the same name must be mutually exclusive to each other."),A_character_class_range_must_not_be_bounded_by_another_character_class:M(1516,1,"A_character_class_range_must_not_be_bounded_by_another_character_class_1516","A character class range must not be bounded by another character class."),Range_out_of_order_in_character_class:M(1517,1,"Range_out_of_order_in_character_class_1517","Range out of order in character class."),Anything_that_would_possibly_match_more_than_a_single_character_is_invalid_inside_a_negated_character_class:M(1518,1,"Anything_that_would_possibly_match_more_than_a_single_character_is_invalid_inside_a_negated_characte_1518","Anything that would possibly match more than a single character is invalid inside a negated character class."),Operators_must_not_be_mixed_within_a_character_class_Wrap_it_in_a_nested_class_instead:M(1519,1,"Operators_must_not_be_mixed_within_a_character_class_Wrap_it_in_a_nested_class_instead_1519","Operators must not be mixed within a character class. Wrap it in a nested class instead."),Expected_a_class_set_operand:M(1520,1,"Expected_a_class_set_operand_1520","Expected a class set operand."),q_must_be_followed_by_string_alternatives_enclosed_in_braces:M(1521,1,"q_must_be_followed_by_string_alternatives_enclosed_in_braces_1521","'\\q' must be followed by string alternatives enclosed in braces."),A_character_class_must_not_contain_a_reserved_double_punctuator_Did_you_mean_to_escape_it_with_backslash:M(1522,1,"A_character_class_must_not_contain_a_reserved_double_punctuator_Did_you_mean_to_escape_it_with_backs_1522","A character class must not contain a reserved double punctuator. Did you mean to escape it with backslash?"),Expected_a_Unicode_property_name:M(1523,1,"Expected_a_Unicode_property_name_1523","Expected a Unicode property name."),Unknown_Unicode_property_name:M(1524,1,"Unknown_Unicode_property_name_1524","Unknown Unicode property name."),Expected_a_Unicode_property_value:M(1525,1,"Expected_a_Unicode_property_value_1525","Expected a Unicode property value."),Unknown_Unicode_property_value:M(1526,1,"Unknown_Unicode_property_value_1526","Unknown Unicode property value."),Expected_a_Unicode_property_name_or_value:M(1527,1,"Expected_a_Unicode_property_name_or_value_1527","Expected a Unicode property name or value."),Any_Unicode_property_that_would_possibly_match_more_than_a_single_character_is_only_available_when_the_Unicode_Sets_v_flag_is_set:M(1528,1,"Any_Unicode_property_that_would_possibly_match_more_than_a_single_character_is_only_available_when_t_1528","Any Unicode property that would possibly match more than a single character is only available when the Unicode Sets (v) flag is set."),Unknown_Unicode_property_name_or_value:M(1529,1,"Unknown_Unicode_property_name_or_value_1529","Unknown Unicode property name or value."),Unicode_property_value_expressions_are_only_available_when_the_Unicode_u_flag_or_the_Unicode_Sets_v_flag_is_set:M(1530,1,"Unicode_property_value_expressions_are_only_available_when_the_Unicode_u_flag_or_the_Unicode_Sets_v__1530","Unicode property value expressions are only available when the Unicode (u) flag or the Unicode Sets (v) flag is set."),_0_must_be_followed_by_a_Unicode_property_value_expression_enclosed_in_braces:M(1531,1,"_0_must_be_followed_by_a_Unicode_property_value_expression_enclosed_in_braces_1531","'\\{0}' must be followed by a Unicode property value expression enclosed in braces."),There_is_no_capturing_group_named_0_in_this_regular_expression:M(1532,1,"There_is_no_capturing_group_named_0_in_this_regular_expression_1532","There is no capturing group named '{0}' in this regular expression."),This_backreference_refers_to_a_group_that_does_not_exist_There_are_only_0_capturing_groups_in_this_regular_expression:M(1533,1,"This_backreference_refers_to_a_group_that_does_not_exist_There_are_only_0_capturing_groups_in_this_r_1533","This backreference refers to a group that does not exist. There are only {0} capturing groups in this regular expression."),This_backreference_refers_to_a_group_that_does_not_exist_There_are_no_capturing_groups_in_this_regular_expression:M(1534,1,"This_backreference_refers_to_a_group_that_does_not_exist_There_are_no_capturing_groups_in_this_regul_1534","This backreference refers to a group that does not exist. There are no capturing groups in this regular expression."),This_character_cannot_be_escaped_in_a_regular_expression:M(1535,1,"This_character_cannot_be_escaped_in_a_regular_expression_1535","This character cannot be escaped in a regular expression."),Octal_escape_sequences_and_backreferences_are_not_allowed_in_a_character_class_If_this_was_intended_as_an_escape_sequence_use_the_syntax_0_instead:M(1536,1,"Octal_escape_sequences_and_backreferences_are_not_allowed_in_a_character_class_If_this_was_intended__1536","Octal escape sequences and backreferences are not allowed in a character class. If this was intended as an escape sequence, use the syntax '{0}' instead."),Decimal_escape_sequences_and_backreferences_are_not_allowed_in_a_character_class:M(1537,1,"Decimal_escape_sequences_and_backreferences_are_not_allowed_in_a_character_class_1537","Decimal escape sequences and backreferences are not allowed in a character class."),Unicode_escape_sequences_are_only_available_when_the_Unicode_u_flag_or_the_Unicode_Sets_v_flag_is_set:M(1538,1,"Unicode_escape_sequences_are_only_available_when_the_Unicode_u_flag_or_the_Unicode_Sets_v_flag_is_se_1538","Unicode escape sequences are only available when the Unicode (u) flag or the Unicode Sets (v) flag is set."),A_bigint_literal_cannot_be_used_as_a_property_name:M(1539,1,"A_bigint_literal_cannot_be_used_as_a_property_name_1539","A 'bigint' literal cannot be used as a property name."),A_namespace_declaration_should_not_be_declared_using_the_module_keyword_Please_use_the_namespace_keyword_instead:M(1540,2,"A_namespace_declaration_should_not_be_declared_using_the_module_keyword_Please_use_the_namespace_key_1540","A 'namespace' declaration should not be declared using the 'module' keyword. Please use the 'namespace' keyword instead.",void 0,void 0,!0),The_types_of_0_are_incompatible_between_these_types:M(2200,1,"The_types_of_0_are_incompatible_between_these_types_2200","The types of '{0}' are incompatible between these types."),The_types_returned_by_0_are_incompatible_between_these_types:M(2201,1,"The_types_returned_by_0_are_incompatible_between_these_types_2201","The types returned by '{0}' are incompatible between these types."),Call_signature_return_types_0_and_1_are_incompatible:M(2202,1,"Call_signature_return_types_0_and_1_are_incompatible_2202","Call signature return types '{0}' and '{1}' are incompatible.",void 0,!0),Construct_signature_return_types_0_and_1_are_incompatible:M(2203,1,"Construct_signature_return_types_0_and_1_are_incompatible_2203","Construct signature return types '{0}' and '{1}' are incompatible.",void 0,!0),Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1:M(2204,1,"Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1_2204","Call signatures with no arguments have incompatible return types '{0}' and '{1}'.",void 0,!0),Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1:M(2205,1,"Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1_2205","Construct signatures with no arguments have incompatible return types '{0}' and '{1}'.",void 0,!0),The_type_modifier_cannot_be_used_on_a_named_import_when_import_type_is_used_on_its_import_statement:M(2206,1,"The_type_modifier_cannot_be_used_on_a_named_import_when_import_type_is_used_on_its_import_statement_2206","The 'type' modifier cannot be used on a named import when 'import type' is used on its import statement."),The_type_modifier_cannot_be_used_on_a_named_export_when_export_type_is_used_on_its_export_statement:M(2207,1,"The_type_modifier_cannot_be_used_on_a_named_export_when_export_type_is_used_on_its_export_statement_2207","The 'type' modifier cannot be used on a named export when 'export type' is used on its export statement."),This_type_parameter_might_need_an_extends_0_constraint:M(2208,1,"This_type_parameter_might_need_an_extends_0_constraint_2208","This type parameter might need an `extends {0}` constraint."),The_project_root_is_ambiguous_but_is_required_to_resolve_export_map_entry_0_in_file_1_Supply_the_rootDir_compiler_option_to_disambiguate:M(2209,1,"The_project_root_is_ambiguous_but_is_required_to_resolve_export_map_entry_0_in_file_1_Supply_the_roo_2209","The project root is ambiguous, but is required to resolve export map entry '{0}' in file '{1}'. Supply the `rootDir` compiler option to disambiguate."),The_project_root_is_ambiguous_but_is_required_to_resolve_import_map_entry_0_in_file_1_Supply_the_rootDir_compiler_option_to_disambiguate:M(2210,1,"The_project_root_is_ambiguous_but_is_required_to_resolve_import_map_entry_0_in_file_1_Supply_the_roo_2210","The project root is ambiguous, but is required to resolve import map entry '{0}' in file '{1}'. Supply the `rootDir` compiler option to disambiguate."),Add_extends_constraint:M(2211,3,"Add_extends_constraint_2211","Add `extends` constraint."),Add_extends_constraint_to_all_type_parameters:M(2212,3,"Add_extends_constraint_to_all_type_parameters_2212","Add `extends` constraint to all type parameters"),Duplicate_identifier_0:M(2300,1,"Duplicate_identifier_0_2300","Duplicate identifier '{0}'."),Initializer_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor:M(2301,1,"Initializer_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor_2301","Initializer of instance member variable '{0}' cannot reference identifier '{1}' declared in the constructor."),Static_members_cannot_reference_class_type_parameters:M(2302,1,"Static_members_cannot_reference_class_type_parameters_2302","Static members cannot reference class type parameters."),Circular_definition_of_import_alias_0:M(2303,1,"Circular_definition_of_import_alias_0_2303","Circular definition of import alias '{0}'."),Cannot_find_name_0:M(2304,1,"Cannot_find_name_0_2304","Cannot find name '{0}'."),Module_0_has_no_exported_member_1:M(2305,1,"Module_0_has_no_exported_member_1_2305","Module '{0}' has no exported member '{1}'."),File_0_is_not_a_module:M(2306,1,"File_0_is_not_a_module_2306","File '{0}' is not a module."),Cannot_find_module_0_or_its_corresponding_type_declarations:M(2307,1,"Cannot_find_module_0_or_its_corresponding_type_declarations_2307","Cannot find module '{0}' or its corresponding type declarations."),Module_0_has_already_exported_a_member_named_1_Consider_explicitly_re_exporting_to_resolve_the_ambiguity:M(2308,1,"Module_0_has_already_exported_a_member_named_1_Consider_explicitly_re_exporting_to_resolve_the_ambig_2308","Module {0} has already exported a member named '{1}'. Consider explicitly re-exporting to resolve the ambiguity."),An_export_assignment_cannot_be_used_in_a_module_with_other_exported_elements:M(2309,1,"An_export_assignment_cannot_be_used_in_a_module_with_other_exported_elements_2309","An export assignment cannot be used in a module with other exported elements."),Type_0_recursively_references_itself_as_a_base_type:M(2310,1,"Type_0_recursively_references_itself_as_a_base_type_2310","Type '{0}' recursively references itself as a base type."),Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function:M(2311,1,"Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function_2311","Cannot find name '{0}'. Did you mean to write this in an async function?"),An_interface_can_only_extend_an_object_type_or_intersection_of_object_types_with_statically_known_members:M(2312,1,"An_interface_can_only_extend_an_object_type_or_intersection_of_object_types_with_statically_known_me_2312","An interface can only extend an object type or intersection of object types with statically known members."),Type_parameter_0_has_a_circular_constraint:M(2313,1,"Type_parameter_0_has_a_circular_constraint_2313","Type parameter '{0}' has a circular constraint."),Generic_type_0_requires_1_type_argument_s:M(2314,1,"Generic_type_0_requires_1_type_argument_s_2314","Generic type '{0}' requires {1} type argument(s)."),Type_0_is_not_generic:M(2315,1,"Type_0_is_not_generic_2315","Type '{0}' is not generic."),Global_type_0_must_be_a_class_or_interface_type:M(2316,1,"Global_type_0_must_be_a_class_or_interface_type_2316","Global type '{0}' must be a class or interface type."),Global_type_0_must_have_1_type_parameter_s:M(2317,1,"Global_type_0_must_have_1_type_parameter_s_2317","Global type '{0}' must have {1} type parameter(s)."),Cannot_find_global_type_0:M(2318,1,"Cannot_find_global_type_0_2318","Cannot find global type '{0}'."),Named_property_0_of_types_1_and_2_are_not_identical:M(2319,1,"Named_property_0_of_types_1_and_2_are_not_identical_2319","Named property '{0}' of types '{1}' and '{2}' are not identical."),Interface_0_cannot_simultaneously_extend_types_1_and_2:M(2320,1,"Interface_0_cannot_simultaneously_extend_types_1_and_2_2320","Interface '{0}' cannot simultaneously extend types '{1}' and '{2}'."),Excessive_stack_depth_comparing_types_0_and_1:M(2321,1,"Excessive_stack_depth_comparing_types_0_and_1_2321","Excessive stack depth comparing types '{0}' and '{1}'."),Type_0_is_not_assignable_to_type_1:M(2322,1,"Type_0_is_not_assignable_to_type_1_2322","Type '{0}' is not assignable to type '{1}'."),Cannot_redeclare_exported_variable_0:M(2323,1,"Cannot_redeclare_exported_variable_0_2323","Cannot redeclare exported variable '{0}'."),Property_0_is_missing_in_type_1:M(2324,1,"Property_0_is_missing_in_type_1_2324","Property '{0}' is missing in type '{1}'."),Property_0_is_private_in_type_1_but_not_in_type_2:M(2325,1,"Property_0_is_private_in_type_1_but_not_in_type_2_2325","Property '{0}' is private in type '{1}' but not in type '{2}'."),Types_of_property_0_are_incompatible:M(2326,1,"Types_of_property_0_are_incompatible_2326","Types of property '{0}' are incompatible."),Property_0_is_optional_in_type_1_but_required_in_type_2:M(2327,1,"Property_0_is_optional_in_type_1_but_required_in_type_2_2327","Property '{0}' is optional in type '{1}' but required in type '{2}'."),Types_of_parameters_0_and_1_are_incompatible:M(2328,1,"Types_of_parameters_0_and_1_are_incompatible_2328","Types of parameters '{0}' and '{1}' are incompatible."),Index_signature_for_type_0_is_missing_in_type_1:M(2329,1,"Index_signature_for_type_0_is_missing_in_type_1_2329","Index signature for type '{0}' is missing in type '{1}'."),_0_and_1_index_signatures_are_incompatible:M(2330,1,"_0_and_1_index_signatures_are_incompatible_2330","'{0}' and '{1}' index signatures are incompatible."),this_cannot_be_referenced_in_a_module_or_namespace_body:M(2331,1,"this_cannot_be_referenced_in_a_module_or_namespace_body_2331","'this' cannot be referenced in a module or namespace body."),this_cannot_be_referenced_in_current_location:M(2332,1,"this_cannot_be_referenced_in_current_location_2332","'this' cannot be referenced in current location."),this_cannot_be_referenced_in_a_static_property_initializer:M(2334,1,"this_cannot_be_referenced_in_a_static_property_initializer_2334","'this' cannot be referenced in a static property initializer."),super_can_only_be_referenced_in_a_derived_class:M(2335,1,"super_can_only_be_referenced_in_a_derived_class_2335","'super' can only be referenced in a derived class."),super_cannot_be_referenced_in_constructor_arguments:M(2336,1,"super_cannot_be_referenced_in_constructor_arguments_2336","'super' cannot be referenced in constructor arguments."),Super_calls_are_not_permitted_outside_constructors_or_in_nested_functions_inside_constructors:M(2337,1,"Super_calls_are_not_permitted_outside_constructors_or_in_nested_functions_inside_constructors_2337","Super calls are not permitted outside constructors or in nested functions inside constructors."),super_property_access_is_permitted_only_in_a_constructor_member_function_or_member_accessor_of_a_derived_class:M(2338,1,"super_property_access_is_permitted_only_in_a_constructor_member_function_or_member_accessor_of_a_der_2338","'super' property access is permitted only in a constructor, member function, or member accessor of a derived class."),Property_0_does_not_exist_on_type_1:M(2339,1,"Property_0_does_not_exist_on_type_1_2339","Property '{0}' does not exist on type '{1}'."),Only_public_and_protected_methods_of_the_base_class_are_accessible_via_the_super_keyword:M(2340,1,"Only_public_and_protected_methods_of_the_base_class_are_accessible_via_the_super_keyword_2340","Only public and protected methods of the base class are accessible via the 'super' keyword."),Property_0_is_private_and_only_accessible_within_class_1:M(2341,1,"Property_0_is_private_and_only_accessible_within_class_1_2341","Property '{0}' is private and only accessible within class '{1}'."),This_syntax_requires_an_imported_helper_named_1_which_does_not_exist_in_0_Consider_upgrading_your_version_of_0:M(2343,1,"This_syntax_requires_an_imported_helper_named_1_which_does_not_exist_in_0_Consider_upgrading_your_ve_2343","This syntax requires an imported helper named '{1}' which does not exist in '{0}'. Consider upgrading your version of '{0}'."),Type_0_does_not_satisfy_the_constraint_1:M(2344,1,"Type_0_does_not_satisfy_the_constraint_1_2344","Type '{0}' does not satisfy the constraint '{1}'."),Argument_of_type_0_is_not_assignable_to_parameter_of_type_1:M(2345,1,"Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_2345","Argument of type '{0}' is not assignable to parameter of type '{1}'."),Untyped_function_calls_may_not_accept_type_arguments:M(2347,1,"Untyped_function_calls_may_not_accept_type_arguments_2347","Untyped function calls may not accept type arguments."),Value_of_type_0_is_not_callable_Did_you_mean_to_include_new:M(2348,1,"Value_of_type_0_is_not_callable_Did_you_mean_to_include_new_2348","Value of type '{0}' is not callable. Did you mean to include 'new'?"),This_expression_is_not_callable:M(2349,1,"This_expression_is_not_callable_2349","This expression is not callable."),Only_a_void_function_can_be_called_with_the_new_keyword:M(2350,1,"Only_a_void_function_can_be_called_with_the_new_keyword_2350","Only a void function can be called with the 'new' keyword."),This_expression_is_not_constructable:M(2351,1,"This_expression_is_not_constructable_2351","This expression is not constructable."),Conversion_of_type_0_to_type_1_may_be_a_mistake_because_neither_type_sufficiently_overlaps_with_the_other_If_this_was_intentional_convert_the_expression_to_unknown_first:M(2352,1,"Conversion_of_type_0_to_type_1_may_be_a_mistake_because_neither_type_sufficiently_overlaps_with_the__2352","Conversion of type '{0}' to type '{1}' may be a mistake because neither type sufficiently overlaps with the other. If this was intentional, convert the expression to 'unknown' first."),Object_literal_may_only_specify_known_properties_and_0_does_not_exist_in_type_1:M(2353,1,"Object_literal_may_only_specify_known_properties_and_0_does_not_exist_in_type_1_2353","Object literal may only specify known properties, and '{0}' does not exist in type '{1}'."),This_syntax_requires_an_imported_helper_but_module_0_cannot_be_found:M(2354,1,"This_syntax_requires_an_imported_helper_but_module_0_cannot_be_found_2354","This syntax requires an imported helper but module '{0}' cannot be found."),A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value:M(2355,1,"A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value_2355","A function whose declared type is neither 'undefined', 'void', nor 'any' must return a value."),An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type:M(2356,1,"An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type_2356","An arithmetic operand must be of type 'any', 'number', 'bigint' or an enum type."),The_operand_of_an_increment_or_decrement_operator_must_be_a_variable_or_a_property_access:M(2357,1,"The_operand_of_an_increment_or_decrement_operator_must_be_a_variable_or_a_property_access_2357","The operand of an increment or decrement operator must be a variable or a property access."),The_left_hand_side_of_an_instanceof_expression_must_be_of_type_any_an_object_type_or_a_type_parameter:M(2358,1,"The_left_hand_side_of_an_instanceof_expression_must_be_of_type_any_an_object_type_or_a_type_paramete_2358","The left-hand side of an 'instanceof' expression must be of type 'any', an object type or a type parameter."),The_right_hand_side_of_an_instanceof_expression_must_be_either_of_type_any_a_class_function_or_other_type_assignable_to_the_Function_interface_type_or_an_object_type_with_a_Symbol_hasInstance_method:M(2359,1,"The_right_hand_side_of_an_instanceof_expression_must_be_either_of_type_any_a_class_function_or_other_2359","The right-hand side of an 'instanceof' expression must be either of type 'any', a class, function, or other type assignable to the 'Function' interface type, or an object type with a 'Symbol.hasInstance' method."),The_left_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type:M(2362,1,"The_left_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type_2362","The left-hand side of an arithmetic operation must be of type 'any', 'number', 'bigint' or an enum type."),The_right_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type:M(2363,1,"The_right_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type_2363","The right-hand side of an arithmetic operation must be of type 'any', 'number', 'bigint' or an enum type."),The_left_hand_side_of_an_assignment_expression_must_be_a_variable_or_a_property_access:M(2364,1,"The_left_hand_side_of_an_assignment_expression_must_be_a_variable_or_a_property_access_2364","The left-hand side of an assignment expression must be a variable or a property access."),Operator_0_cannot_be_applied_to_types_1_and_2:M(2365,1,"Operator_0_cannot_be_applied_to_types_1_and_2_2365","Operator '{0}' cannot be applied to types '{1}' and '{2}'."),Function_lacks_ending_return_statement_and_return_type_does_not_include_undefined:M(2366,1,"Function_lacks_ending_return_statement_and_return_type_does_not_include_undefined_2366","Function lacks ending return statement and return type does not include 'undefined'."),This_comparison_appears_to_be_unintentional_because_the_types_0_and_1_have_no_overlap:M(2367,1,"This_comparison_appears_to_be_unintentional_because_the_types_0_and_1_have_no_overlap_2367","This comparison appears to be unintentional because the types '{0}' and '{1}' have no overlap."),Type_parameter_name_cannot_be_0:M(2368,1,"Type_parameter_name_cannot_be_0_2368","Type parameter name cannot be '{0}'."),A_parameter_property_is_only_allowed_in_a_constructor_implementation:M(2369,1,"A_parameter_property_is_only_allowed_in_a_constructor_implementation_2369","A parameter property is only allowed in a constructor implementation."),A_rest_parameter_must_be_of_an_array_type:M(2370,1,"A_rest_parameter_must_be_of_an_array_type_2370","A rest parameter must be of an array type."),A_parameter_initializer_is_only_allowed_in_a_function_or_constructor_implementation:M(2371,1,"A_parameter_initializer_is_only_allowed_in_a_function_or_constructor_implementation_2371","A parameter initializer is only allowed in a function or constructor implementation."),Parameter_0_cannot_reference_itself:M(2372,1,"Parameter_0_cannot_reference_itself_2372","Parameter '{0}' cannot reference itself."),Parameter_0_cannot_reference_identifier_1_declared_after_it:M(2373,1,"Parameter_0_cannot_reference_identifier_1_declared_after_it_2373","Parameter '{0}' cannot reference identifier '{1}' declared after it."),Duplicate_index_signature_for_type_0:M(2374,1,"Duplicate_index_signature_for_type_0_2374","Duplicate index signature for type '{0}'."),Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties:M(2375,1,"Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefi_2375","Type '{0}' is not assignable to type '{1}' with 'exactOptionalPropertyTypes: true'. Consider adding 'undefined' to the types of the target's properties."),A_super_call_must_be_the_first_statement_in_the_constructor_to_refer_to_super_or_this_when_a_derived_class_contains_initialized_properties_parameter_properties_or_private_identifiers:M(2376,1,"A_super_call_must_be_the_first_statement_in_the_constructor_to_refer_to_super_or_this_when_a_derived_2376","A 'super' call must be the first statement in the constructor to refer to 'super' or 'this' when a derived class contains initialized properties, parameter properties, or private identifiers."),Constructors_for_derived_classes_must_contain_a_super_call:M(2377,1,"Constructors_for_derived_classes_must_contain_a_super_call_2377","Constructors for derived classes must contain a 'super' call."),A_get_accessor_must_return_a_value:M(2378,1,"A_get_accessor_must_return_a_value_2378","A 'get' accessor must return a value."),Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties:M(2379,1,"Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_tr_2379","Argument of type '{0}' is not assignable to parameter of type '{1}' with 'exactOptionalPropertyTypes: true'. Consider adding 'undefined' to the types of the target's properties."),Overload_signatures_must_all_be_exported_or_non_exported:M(2383,1,"Overload_signatures_must_all_be_exported_or_non_exported_2383","Overload signatures must all be exported or non-exported."),Overload_signatures_must_all_be_ambient_or_non_ambient:M(2384,1,"Overload_signatures_must_all_be_ambient_or_non_ambient_2384","Overload signatures must all be ambient or non-ambient."),Overload_signatures_must_all_be_public_private_or_protected:M(2385,1,"Overload_signatures_must_all_be_public_private_or_protected_2385","Overload signatures must all be public, private or protected."),Overload_signatures_must_all_be_optional_or_required:M(2386,1,"Overload_signatures_must_all_be_optional_or_required_2386","Overload signatures must all be optional or required."),Function_overload_must_be_static:M(2387,1,"Function_overload_must_be_static_2387","Function overload must be static."),Function_overload_must_not_be_static:M(2388,1,"Function_overload_must_not_be_static_2388","Function overload must not be static."),Function_implementation_name_must_be_0:M(2389,1,"Function_implementation_name_must_be_0_2389","Function implementation name must be '{0}'."),Constructor_implementation_is_missing:M(2390,1,"Constructor_implementation_is_missing_2390","Constructor implementation is missing."),Function_implementation_is_missing_or_not_immediately_following_the_declaration:M(2391,1,"Function_implementation_is_missing_or_not_immediately_following_the_declaration_2391","Function implementation is missing or not immediately following the declaration."),Multiple_constructor_implementations_are_not_allowed:M(2392,1,"Multiple_constructor_implementations_are_not_allowed_2392","Multiple constructor implementations are not allowed."),Duplicate_function_implementation:M(2393,1,"Duplicate_function_implementation_2393","Duplicate function implementation."),This_overload_signature_is_not_compatible_with_its_implementation_signature:M(2394,1,"This_overload_signature_is_not_compatible_with_its_implementation_signature_2394","This overload signature is not compatible with its implementation signature."),Individual_declarations_in_merged_declaration_0_must_be_all_exported_or_all_local:M(2395,1,"Individual_declarations_in_merged_declaration_0_must_be_all_exported_or_all_local_2395","Individual declarations in merged declaration '{0}' must be all exported or all local."),Duplicate_identifier_arguments_Compiler_uses_arguments_to_initialize_rest_parameters:M(2396,1,"Duplicate_identifier_arguments_Compiler_uses_arguments_to_initialize_rest_parameters_2396","Duplicate identifier 'arguments'. Compiler uses 'arguments' to initialize rest parameters."),Declaration_name_conflicts_with_built_in_global_identifier_0:M(2397,1,"Declaration_name_conflicts_with_built_in_global_identifier_0_2397","Declaration name conflicts with built-in global identifier '{0}'."),constructor_cannot_be_used_as_a_parameter_property_name:M(2398,1,"constructor_cannot_be_used_as_a_parameter_property_name_2398","'constructor' cannot be used as a parameter property name."),Duplicate_identifier_this_Compiler_uses_variable_declaration_this_to_capture_this_reference:M(2399,1,"Duplicate_identifier_this_Compiler_uses_variable_declaration_this_to_capture_this_reference_2399","Duplicate identifier '_this'. Compiler uses variable declaration '_this' to capture 'this' reference."),Expression_resolves_to_variable_declaration_this_that_compiler_uses_to_capture_this_reference:M(2400,1,"Expression_resolves_to_variable_declaration_this_that_compiler_uses_to_capture_this_reference_2400","Expression resolves to variable declaration '_this' that compiler uses to capture 'this' reference."),A_super_call_must_be_a_root_level_statement_within_a_constructor_of_a_derived_class_that_contains_initialized_properties_parameter_properties_or_private_identifiers:M(2401,1,"A_super_call_must_be_a_root_level_statement_within_a_constructor_of_a_derived_class_that_contains_in_2401","A 'super' call must be a root-level statement within a constructor of a derived class that contains initialized properties, parameter properties, or private identifiers."),Expression_resolves_to_super_that_compiler_uses_to_capture_base_class_reference:M(2402,1,"Expression_resolves_to_super_that_compiler_uses_to_capture_base_class_reference_2402","Expression resolves to '_super' that compiler uses to capture base class reference."),Subsequent_variable_declarations_must_have_the_same_type_Variable_0_must_be_of_type_1_but_here_has_type_2:M(2403,1,"Subsequent_variable_declarations_must_have_the_same_type_Variable_0_must_be_of_type_1_but_here_has_t_2403","Subsequent variable declarations must have the same type. Variable '{0}' must be of type '{1}', but here has type '{2}'."),The_left_hand_side_of_a_for_in_statement_cannot_use_a_type_annotation:M(2404,1,"The_left_hand_side_of_a_for_in_statement_cannot_use_a_type_annotation_2404","The left-hand side of a 'for...in' statement cannot use a type annotation."),The_left_hand_side_of_a_for_in_statement_must_be_of_type_string_or_any:M(2405,1,"The_left_hand_side_of_a_for_in_statement_must_be_of_type_string_or_any_2405","The left-hand side of a 'for...in' statement must be of type 'string' or 'any'."),The_left_hand_side_of_a_for_in_statement_must_be_a_variable_or_a_property_access:M(2406,1,"The_left_hand_side_of_a_for_in_statement_must_be_a_variable_or_a_property_access_2406","The left-hand side of a 'for...in' statement must be a variable or a property access."),The_right_hand_side_of_a_for_in_statement_must_be_of_type_any_an_object_type_or_a_type_parameter_but_here_has_type_0:M(2407,1,"The_right_hand_side_of_a_for_in_statement_must_be_of_type_any_an_object_type_or_a_type_parameter_but_2407","The right-hand side of a 'for...in' statement must be of type 'any', an object type or a type parameter, but here has type '{0}'."),Setters_cannot_return_a_value:M(2408,1,"Setters_cannot_return_a_value_2408","Setters cannot return a value."),Return_type_of_constructor_signature_must_be_assignable_to_the_instance_type_of_the_class:M(2409,1,"Return_type_of_constructor_signature_must_be_assignable_to_the_instance_type_of_the_class_2409","Return type of constructor signature must be assignable to the instance type of the class."),The_with_statement_is_not_supported_All_symbols_in_a_with_block_will_have_type_any:M(2410,1,"The_with_statement_is_not_supported_All_symbols_in_a_with_block_will_have_type_any_2410","The 'with' statement is not supported. All symbols in a 'with' block will have type 'any'."),Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target:M(2412,1,"Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefi_2412","Type '{0}' is not assignable to type '{1}' with 'exactOptionalPropertyTypes: true'. Consider adding 'undefined' to the type of the target."),Property_0_of_type_1_is_not_assignable_to_2_index_type_3:M(2411,1,"Property_0_of_type_1_is_not_assignable_to_2_index_type_3_2411","Property '{0}' of type '{1}' is not assignable to '{2}' index type '{3}'."),_0_index_type_1_is_not_assignable_to_2_index_type_3:M(2413,1,"_0_index_type_1_is_not_assignable_to_2_index_type_3_2413","'{0}' index type '{1}' is not assignable to '{2}' index type '{3}'."),Class_name_cannot_be_0:M(2414,1,"Class_name_cannot_be_0_2414","Class name cannot be '{0}'."),Class_0_incorrectly_extends_base_class_1:M(2415,1,"Class_0_incorrectly_extends_base_class_1_2415","Class '{0}' incorrectly extends base class '{1}'."),Property_0_in_type_1_is_not_assignable_to_the_same_property_in_base_type_2:M(2416,1,"Property_0_in_type_1_is_not_assignable_to_the_same_property_in_base_type_2_2416","Property '{0}' in type '{1}' is not assignable to the same property in base type '{2}'."),Class_static_side_0_incorrectly_extends_base_class_static_side_1:M(2417,1,"Class_static_side_0_incorrectly_extends_base_class_static_side_1_2417","Class static side '{0}' incorrectly extends base class static side '{1}'."),Type_of_computed_property_s_value_is_0_which_is_not_assignable_to_type_1:M(2418,1,"Type_of_computed_property_s_value_is_0_which_is_not_assignable_to_type_1_2418","Type of computed property's value is '{0}', which is not assignable to type '{1}'."),Types_of_construct_signatures_are_incompatible:M(2419,1,"Types_of_construct_signatures_are_incompatible_2419","Types of construct signatures are incompatible."),Class_0_incorrectly_implements_interface_1:M(2420,1,"Class_0_incorrectly_implements_interface_1_2420","Class '{0}' incorrectly implements interface '{1}'."),A_class_can_only_implement_an_object_type_or_intersection_of_object_types_with_statically_known_members:M(2422,1,"A_class_can_only_implement_an_object_type_or_intersection_of_object_types_with_statically_known_memb_2422","A class can only implement an object type or intersection of object types with statically known members."),Class_0_defines_instance_member_function_1_but_extended_class_2_defines_it_as_instance_member_accessor:M(2423,1,"Class_0_defines_instance_member_function_1_but_extended_class_2_defines_it_as_instance_member_access_2423","Class '{0}' defines instance member function '{1}', but extended class '{2}' defines it as instance member accessor."),Class_0_defines_instance_member_property_1_but_extended_class_2_defines_it_as_instance_member_function:M(2425,1,"Class_0_defines_instance_member_property_1_but_extended_class_2_defines_it_as_instance_member_functi_2425","Class '{0}' defines instance member property '{1}', but extended class '{2}' defines it as instance member function."),Class_0_defines_instance_member_accessor_1_but_extended_class_2_defines_it_as_instance_member_function:M(2426,1,"Class_0_defines_instance_member_accessor_1_but_extended_class_2_defines_it_as_instance_member_functi_2426","Class '{0}' defines instance member accessor '{1}', but extended class '{2}' defines it as instance member function."),Interface_name_cannot_be_0:M(2427,1,"Interface_name_cannot_be_0_2427","Interface name cannot be '{0}'."),All_declarations_of_0_must_have_identical_type_parameters:M(2428,1,"All_declarations_of_0_must_have_identical_type_parameters_2428","All declarations of '{0}' must have identical type parameters."),Interface_0_incorrectly_extends_interface_1:M(2430,1,"Interface_0_incorrectly_extends_interface_1_2430","Interface '{0}' incorrectly extends interface '{1}'."),Enum_name_cannot_be_0:M(2431,1,"Enum_name_cannot_be_0_2431","Enum name cannot be '{0}'."),In_an_enum_with_multiple_declarations_only_one_declaration_can_omit_an_initializer_for_its_first_enum_element:M(2432,1,"In_an_enum_with_multiple_declarations_only_one_declaration_can_omit_an_initializer_for_its_first_enu_2432","In an enum with multiple declarations, only one declaration can omit an initializer for its first enum element."),A_namespace_declaration_cannot_be_in_a_different_file_from_a_class_or_function_with_which_it_is_merged:M(2433,1,"A_namespace_declaration_cannot_be_in_a_different_file_from_a_class_or_function_with_which_it_is_merg_2433","A namespace declaration cannot be in a different file from a class or function with which it is merged."),A_namespace_declaration_cannot_be_located_prior_to_a_class_or_function_with_which_it_is_merged:M(2434,1,"A_namespace_declaration_cannot_be_located_prior_to_a_class_or_function_with_which_it_is_merged_2434","A namespace declaration cannot be located prior to a class or function with which it is merged."),Ambient_modules_cannot_be_nested_in_other_modules_or_namespaces:M(2435,1,"Ambient_modules_cannot_be_nested_in_other_modules_or_namespaces_2435","Ambient modules cannot be nested in other modules or namespaces."),Ambient_module_declaration_cannot_specify_relative_module_name:M(2436,1,"Ambient_module_declaration_cannot_specify_relative_module_name_2436","Ambient module declaration cannot specify relative module name."),Module_0_is_hidden_by_a_local_declaration_with_the_same_name:M(2437,1,"Module_0_is_hidden_by_a_local_declaration_with_the_same_name_2437","Module '{0}' is hidden by a local declaration with the same name."),Import_name_cannot_be_0:M(2438,1,"Import_name_cannot_be_0_2438","Import name cannot be '{0}'."),Import_or_export_declaration_in_an_ambient_module_declaration_cannot_reference_module_through_relative_module_name:M(2439,1,"Import_or_export_declaration_in_an_ambient_module_declaration_cannot_reference_module_through_relati_2439","Import or export declaration in an ambient module declaration cannot reference module through relative module name."),Import_declaration_conflicts_with_local_declaration_of_0:M(2440,1,"Import_declaration_conflicts_with_local_declaration_of_0_2440","Import declaration conflicts with local declaration of '{0}'."),Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module:M(2441,1,"Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module_2441","Duplicate identifier '{0}'. Compiler reserves name '{1}' in top level scope of a module."),Types_have_separate_declarations_of_a_private_property_0:M(2442,1,"Types_have_separate_declarations_of_a_private_property_0_2442","Types have separate declarations of a private property '{0}'."),Property_0_is_protected_but_type_1_is_not_a_class_derived_from_2:M(2443,1,"Property_0_is_protected_but_type_1_is_not_a_class_derived_from_2_2443","Property '{0}' is protected but type '{1}' is not a class derived from '{2}'."),Property_0_is_protected_in_type_1_but_public_in_type_2:M(2444,1,"Property_0_is_protected_in_type_1_but_public_in_type_2_2444","Property '{0}' is protected in type '{1}' but public in type '{2}'."),Property_0_is_protected_and_only_accessible_within_class_1_and_its_subclasses:M(2445,1,"Property_0_is_protected_and_only_accessible_within_class_1_and_its_subclasses_2445","Property '{0}' is protected and only accessible within class '{1}' and its subclasses."),Property_0_is_protected_and_only_accessible_through_an_instance_of_class_1_This_is_an_instance_of_class_2:M(2446,1,"Property_0_is_protected_and_only_accessible_through_an_instance_of_class_1_This_is_an_instance_of_cl_2446","Property '{0}' is protected and only accessible through an instance of class '{1}'. This is an instance of class '{2}'."),The_0_operator_is_not_allowed_for_boolean_types_Consider_using_1_instead:M(2447,1,"The_0_operator_is_not_allowed_for_boolean_types_Consider_using_1_instead_2447","The '{0}' operator is not allowed for boolean types. Consider using '{1}' instead."),Block_scoped_variable_0_used_before_its_declaration:M(2448,1,"Block_scoped_variable_0_used_before_its_declaration_2448","Block-scoped variable '{0}' used before its declaration."),Class_0_used_before_its_declaration:M(2449,1,"Class_0_used_before_its_declaration_2449","Class '{0}' used before its declaration."),Enum_0_used_before_its_declaration:M(2450,1,"Enum_0_used_before_its_declaration_2450","Enum '{0}' used before its declaration."),Cannot_redeclare_block_scoped_variable_0:M(2451,1,"Cannot_redeclare_block_scoped_variable_0_2451","Cannot redeclare block-scoped variable '{0}'."),An_enum_member_cannot_have_a_numeric_name:M(2452,1,"An_enum_member_cannot_have_a_numeric_name_2452","An enum member cannot have a numeric name."),Variable_0_is_used_before_being_assigned:M(2454,1,"Variable_0_is_used_before_being_assigned_2454","Variable '{0}' is used before being assigned."),Type_alias_0_circularly_references_itself:M(2456,1,"Type_alias_0_circularly_references_itself_2456","Type alias '{0}' circularly references itself."),Type_alias_name_cannot_be_0:M(2457,1,"Type_alias_name_cannot_be_0_2457","Type alias name cannot be '{0}'."),An_AMD_module_cannot_have_multiple_name_assignments:M(2458,1,"An_AMD_module_cannot_have_multiple_name_assignments_2458","An AMD module cannot have multiple name assignments."),Module_0_declares_1_locally_but_it_is_not_exported:M(2459,1,"Module_0_declares_1_locally_but_it_is_not_exported_2459","Module '{0}' declares '{1}' locally, but it is not exported."),Module_0_declares_1_locally_but_it_is_exported_as_2:M(2460,1,"Module_0_declares_1_locally_but_it_is_exported_as_2_2460","Module '{0}' declares '{1}' locally, but it is exported as '{2}'."),Type_0_is_not_an_array_type:M(2461,1,"Type_0_is_not_an_array_type_2461","Type '{0}' is not an array type."),A_rest_element_must_be_last_in_a_destructuring_pattern:M(2462,1,"A_rest_element_must_be_last_in_a_destructuring_pattern_2462","A rest element must be last in a destructuring pattern."),A_binding_pattern_parameter_cannot_be_optional_in_an_implementation_signature:M(2463,1,"A_binding_pattern_parameter_cannot_be_optional_in_an_implementation_signature_2463","A binding pattern parameter cannot be optional in an implementation signature."),A_computed_property_name_must_be_of_type_string_number_symbol_or_any:M(2464,1,"A_computed_property_name_must_be_of_type_string_number_symbol_or_any_2464","A computed property name must be of type 'string', 'number', 'symbol', or 'any'."),this_cannot_be_referenced_in_a_computed_property_name:M(2465,1,"this_cannot_be_referenced_in_a_computed_property_name_2465","'this' cannot be referenced in a computed property name."),super_cannot_be_referenced_in_a_computed_property_name:M(2466,1,"super_cannot_be_referenced_in_a_computed_property_name_2466","'super' cannot be referenced in a computed property name."),A_computed_property_name_cannot_reference_a_type_parameter_from_its_containing_type:M(2467,1,"A_computed_property_name_cannot_reference_a_type_parameter_from_its_containing_type_2467","A computed property name cannot reference a type parameter from its containing type."),Cannot_find_global_value_0:M(2468,1,"Cannot_find_global_value_0_2468","Cannot find global value '{0}'."),The_0_operator_cannot_be_applied_to_type_symbol:M(2469,1,"The_0_operator_cannot_be_applied_to_type_symbol_2469","The '{0}' operator cannot be applied to type 'symbol'."),Spread_operator_in_new_expressions_is_only_available_when_targeting_ECMAScript_5_and_higher:M(2472,1,"Spread_operator_in_new_expressions_is_only_available_when_targeting_ECMAScript_5_and_higher_2472","Spread operator in 'new' expressions is only available when targeting ECMAScript 5 and higher."),Enum_declarations_must_all_be_const_or_non_const:M(2473,1,"Enum_declarations_must_all_be_const_or_non_const_2473","Enum declarations must all be const or non-const."),const_enum_member_initializers_must_be_constant_expressions:M(2474,1,"const_enum_member_initializers_must_be_constant_expressions_2474","const enum member initializers must be constant expressions."),const_enums_can_only_be_used_in_property_or_index_access_expressions_or_the_right_hand_side_of_an_import_declaration_or_export_assignment_or_type_query:M(2475,1,"const_enums_can_only_be_used_in_property_or_index_access_expressions_or_the_right_hand_side_of_an_im_2475","'const' enums can only be used in property or index access expressions or the right hand side of an import declaration or export assignment or type query."),A_const_enum_member_can_only_be_accessed_using_a_string_literal:M(2476,1,"A_const_enum_member_can_only_be_accessed_using_a_string_literal_2476","A const enum member can only be accessed using a string literal."),const_enum_member_initializer_was_evaluated_to_a_non_finite_value:M(2477,1,"const_enum_member_initializer_was_evaluated_to_a_non_finite_value_2477","'const' enum member initializer was evaluated to a non-finite value."),const_enum_member_initializer_was_evaluated_to_disallowed_value_NaN:M(2478,1,"const_enum_member_initializer_was_evaluated_to_disallowed_value_NaN_2478","'const' enum member initializer was evaluated to disallowed value 'NaN'."),let_is_not_allowed_to_be_used_as_a_name_in_let_or_const_declarations:M(2480,1,"let_is_not_allowed_to_be_used_as_a_name_in_let_or_const_declarations_2480","'let' is not allowed to be used as a name in 'let' or 'const' declarations."),Cannot_initialize_outer_scoped_variable_0_in_the_same_scope_as_block_scoped_declaration_1:M(2481,1,"Cannot_initialize_outer_scoped_variable_0_in_the_same_scope_as_block_scoped_declaration_1_2481","Cannot initialize outer scoped variable '{0}' in the same scope as block scoped declaration '{1}'."),The_left_hand_side_of_a_for_of_statement_cannot_use_a_type_annotation:M(2483,1,"The_left_hand_side_of_a_for_of_statement_cannot_use_a_type_annotation_2483","The left-hand side of a 'for...of' statement cannot use a type annotation."),Export_declaration_conflicts_with_exported_declaration_of_0:M(2484,1,"Export_declaration_conflicts_with_exported_declaration_of_0_2484","Export declaration conflicts with exported declaration of '{0}'."),The_left_hand_side_of_a_for_of_statement_must_be_a_variable_or_a_property_access:M(2487,1,"The_left_hand_side_of_a_for_of_statement_must_be_a_variable_or_a_property_access_2487","The left-hand side of a 'for...of' statement must be a variable or a property access."),Type_0_must_have_a_Symbol_iterator_method_that_returns_an_iterator:M(2488,1,"Type_0_must_have_a_Symbol_iterator_method_that_returns_an_iterator_2488","Type '{0}' must have a '[Symbol.iterator]()' method that returns an iterator."),An_iterator_must_have_a_next_method:M(2489,1,"An_iterator_must_have_a_next_method_2489","An iterator must have a 'next()' method."),The_type_returned_by_the_0_method_of_an_iterator_must_have_a_value_property:M(2490,1,"The_type_returned_by_the_0_method_of_an_iterator_must_have_a_value_property_2490","The type returned by the '{0}()' method of an iterator must have a 'value' property."),The_left_hand_side_of_a_for_in_statement_cannot_be_a_destructuring_pattern:M(2491,1,"The_left_hand_side_of_a_for_in_statement_cannot_be_a_destructuring_pattern_2491","The left-hand side of a 'for...in' statement cannot be a destructuring pattern."),Cannot_redeclare_identifier_0_in_catch_clause:M(2492,1,"Cannot_redeclare_identifier_0_in_catch_clause_2492","Cannot redeclare identifier '{0}' in catch clause."),Tuple_type_0_of_length_1_has_no_element_at_index_2:M(2493,1,"Tuple_type_0_of_length_1_has_no_element_at_index_2_2493","Tuple type '{0}' of length '{1}' has no element at index '{2}'."),Using_a_string_in_a_for_of_statement_is_only_supported_in_ECMAScript_5_and_higher:M(2494,1,"Using_a_string_in_a_for_of_statement_is_only_supported_in_ECMAScript_5_and_higher_2494","Using a string in a 'for...of' statement is only supported in ECMAScript 5 and higher."),Type_0_is_not_an_array_type_or_a_string_type:M(2495,1,"Type_0_is_not_an_array_type_or_a_string_type_2495","Type '{0}' is not an array type or a string type."),The_arguments_object_cannot_be_referenced_in_an_arrow_function_in_ES5_Consider_using_a_standard_function_expression:M(2496,1,"The_arguments_object_cannot_be_referenced_in_an_arrow_function_in_ES5_Consider_using_a_standard_func_2496","The 'arguments' object cannot be referenced in an arrow function in ES5. Consider using a standard function expression."),This_module_can_only_be_referenced_with_ECMAScript_imports_Slashexports_by_turning_on_the_0_flag_and_referencing_its_default_export:M(2497,1,"This_module_can_only_be_referenced_with_ECMAScript_imports_Slashexports_by_turning_on_the_0_flag_and_2497","This module can only be referenced with ECMAScript imports/exports by turning on the '{0}' flag and referencing its default export."),Module_0_uses_export_and_cannot_be_used_with_export_Asterisk:M(2498,1,"Module_0_uses_export_and_cannot_be_used_with_export_Asterisk_2498","Module '{0}' uses 'export =' and cannot be used with 'export *'."),An_interface_can_only_extend_an_identifier_Slashqualified_name_with_optional_type_arguments:M(2499,1,"An_interface_can_only_extend_an_identifier_Slashqualified_name_with_optional_type_arguments_2499","An interface can only extend an identifier/qualified-name with optional type arguments."),A_class_can_only_implement_an_identifier_Slashqualified_name_with_optional_type_arguments:M(2500,1,"A_class_can_only_implement_an_identifier_Slashqualified_name_with_optional_type_arguments_2500","A class can only implement an identifier/qualified-name with optional type arguments."),A_rest_element_cannot_contain_a_binding_pattern:M(2501,1,"A_rest_element_cannot_contain_a_binding_pattern_2501","A rest element cannot contain a binding pattern."),_0_is_referenced_directly_or_indirectly_in_its_own_type_annotation:M(2502,1,"_0_is_referenced_directly_or_indirectly_in_its_own_type_annotation_2502","'{0}' is referenced directly or indirectly in its own type annotation."),Cannot_find_namespace_0:M(2503,1,"Cannot_find_namespace_0_2503","Cannot find namespace '{0}'."),Type_0_must_have_a_Symbol_asyncIterator_method_that_returns_an_async_iterator:M(2504,1,"Type_0_must_have_a_Symbol_asyncIterator_method_that_returns_an_async_iterator_2504","Type '{0}' must have a '[Symbol.asyncIterator]()' method that returns an async iterator."),A_generator_cannot_have_a_void_type_annotation:M(2505,1,"A_generator_cannot_have_a_void_type_annotation_2505","A generator cannot have a 'void' type annotation."),_0_is_referenced_directly_or_indirectly_in_its_own_base_expression:M(2506,1,"_0_is_referenced_directly_or_indirectly_in_its_own_base_expression_2506","'{0}' is referenced directly or indirectly in its own base expression."),Type_0_is_not_a_constructor_function_type:M(2507,1,"Type_0_is_not_a_constructor_function_type_2507","Type '{0}' is not a constructor function type."),No_base_constructor_has_the_specified_number_of_type_arguments:M(2508,1,"No_base_constructor_has_the_specified_number_of_type_arguments_2508","No base constructor has the specified number of type arguments."),Base_constructor_return_type_0_is_not_an_object_type_or_intersection_of_object_types_with_statically_known_members:M(2509,1,"Base_constructor_return_type_0_is_not_an_object_type_or_intersection_of_object_types_with_statically_2509","Base constructor return type '{0}' is not an object type or intersection of object types with statically known members."),Base_constructors_must_all_have_the_same_return_type:M(2510,1,"Base_constructors_must_all_have_the_same_return_type_2510","Base constructors must all have the same return type."),Cannot_create_an_instance_of_an_abstract_class:M(2511,1,"Cannot_create_an_instance_of_an_abstract_class_2511","Cannot create an instance of an abstract class."),Overload_signatures_must_all_be_abstract_or_non_abstract:M(2512,1,"Overload_signatures_must_all_be_abstract_or_non_abstract_2512","Overload signatures must all be abstract or non-abstract."),Abstract_method_0_in_class_1_cannot_be_accessed_via_super_expression:M(2513,1,"Abstract_method_0_in_class_1_cannot_be_accessed_via_super_expression_2513","Abstract method '{0}' in class '{1}' cannot be accessed via super expression."),A_tuple_type_cannot_be_indexed_with_a_negative_value:M(2514,1,"A_tuple_type_cannot_be_indexed_with_a_negative_value_2514","A tuple type cannot be indexed with a negative value."),Non_abstract_class_0_does_not_implement_inherited_abstract_member_1_from_class_2:M(2515,1,"Non_abstract_class_0_does_not_implement_inherited_abstract_member_1_from_class_2_2515","Non-abstract class '{0}' does not implement inherited abstract member {1} from class '{2}'."),All_declarations_of_an_abstract_method_must_be_consecutive:M(2516,1,"All_declarations_of_an_abstract_method_must_be_consecutive_2516","All declarations of an abstract method must be consecutive."),Cannot_assign_an_abstract_constructor_type_to_a_non_abstract_constructor_type:M(2517,1,"Cannot_assign_an_abstract_constructor_type_to_a_non_abstract_constructor_type_2517","Cannot assign an abstract constructor type to a non-abstract constructor type."),A_this_based_type_guard_is_not_compatible_with_a_parameter_based_type_guard:M(2518,1,"A_this_based_type_guard_is_not_compatible_with_a_parameter_based_type_guard_2518","A 'this'-based type guard is not compatible with a parameter-based type guard."),An_async_iterator_must_have_a_next_method:M(2519,1,"An_async_iterator_must_have_a_next_method_2519","An async iterator must have a 'next()' method."),Duplicate_identifier_0_Compiler_uses_declaration_1_to_support_async_functions:M(2520,1,"Duplicate_identifier_0_Compiler_uses_declaration_1_to_support_async_functions_2520","Duplicate identifier '{0}'. Compiler uses declaration '{1}' to support async functions."),The_arguments_object_cannot_be_referenced_in_an_async_function_or_method_in_ES5_Consider_using_a_standard_function_or_method:M(2522,1,"The_arguments_object_cannot_be_referenced_in_an_async_function_or_method_in_ES5_Consider_using_a_sta_2522","The 'arguments' object cannot be referenced in an async function or method in ES5. Consider using a standard function or method."),yield_expressions_cannot_be_used_in_a_parameter_initializer:M(2523,1,"yield_expressions_cannot_be_used_in_a_parameter_initializer_2523","'yield' expressions cannot be used in a parameter initializer."),await_expressions_cannot_be_used_in_a_parameter_initializer:M(2524,1,"await_expressions_cannot_be_used_in_a_parameter_initializer_2524","'await' expressions cannot be used in a parameter initializer."),A_this_type_is_available_only_in_a_non_static_member_of_a_class_or_interface:M(2526,1,"A_this_type_is_available_only_in_a_non_static_member_of_a_class_or_interface_2526","A 'this' type is available only in a non-static member of a class or interface."),The_inferred_type_of_0_references_an_inaccessible_1_type_A_type_annotation_is_necessary:M(2527,1,"The_inferred_type_of_0_references_an_inaccessible_1_type_A_type_annotation_is_necessary_2527","The inferred type of '{0}' references an inaccessible '{1}' type. A type annotation is necessary."),A_module_cannot_have_multiple_default_exports:M(2528,1,"A_module_cannot_have_multiple_default_exports_2528","A module cannot have multiple default exports."),Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module_containing_async_functions:M(2529,1,"Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module_containing_async_func_2529","Duplicate identifier '{0}'. Compiler reserves name '{1}' in top level scope of a module containing async functions."),Property_0_is_incompatible_with_index_signature:M(2530,1,"Property_0_is_incompatible_with_index_signature_2530","Property '{0}' is incompatible with index signature."),Object_is_possibly_null:M(2531,1,"Object_is_possibly_null_2531","Object is possibly 'null'."),Object_is_possibly_undefined:M(2532,1,"Object_is_possibly_undefined_2532","Object is possibly 'undefined'."),Object_is_possibly_null_or_undefined:M(2533,1,"Object_is_possibly_null_or_undefined_2533","Object is possibly 'null' or 'undefined'."),A_function_returning_never_cannot_have_a_reachable_end_point:M(2534,1,"A_function_returning_never_cannot_have_a_reachable_end_point_2534","A function returning 'never' cannot have a reachable end point."),Type_0_cannot_be_used_to_index_type_1:M(2536,1,"Type_0_cannot_be_used_to_index_type_1_2536","Type '{0}' cannot be used to index type '{1}'."),Type_0_has_no_matching_index_signature_for_type_1:M(2537,1,"Type_0_has_no_matching_index_signature_for_type_1_2537","Type '{0}' has no matching index signature for type '{1}'."),Type_0_cannot_be_used_as_an_index_type:M(2538,1,"Type_0_cannot_be_used_as_an_index_type_2538","Type '{0}' cannot be used as an index type."),Cannot_assign_to_0_because_it_is_not_a_variable:M(2539,1,"Cannot_assign_to_0_because_it_is_not_a_variable_2539","Cannot assign to '{0}' because it is not a variable."),Cannot_assign_to_0_because_it_is_a_read_only_property:M(2540,1,"Cannot_assign_to_0_because_it_is_a_read_only_property_2540","Cannot assign to '{0}' because it is a read-only property."),Index_signature_in_type_0_only_permits_reading:M(2542,1,"Index_signature_in_type_0_only_permits_reading_2542","Index signature in type '{0}' only permits reading."),Duplicate_identifier_newTarget_Compiler_uses_variable_declaration_newTarget_to_capture_new_target_meta_property_reference:M(2543,1,"Duplicate_identifier_newTarget_Compiler_uses_variable_declaration_newTarget_to_capture_new_target_me_2543","Duplicate identifier '_newTarget'. Compiler uses variable declaration '_newTarget' to capture 'new.target' meta-property reference."),Expression_resolves_to_variable_declaration_newTarget_that_compiler_uses_to_capture_new_target_meta_property_reference:M(2544,1,"Expression_resolves_to_variable_declaration_newTarget_that_compiler_uses_to_capture_new_target_meta__2544","Expression resolves to variable declaration '_newTarget' that compiler uses to capture 'new.target' meta-property reference."),A_mixin_class_must_have_a_constructor_with_a_single_rest_parameter_of_type_any:M(2545,1,"A_mixin_class_must_have_a_constructor_with_a_single_rest_parameter_of_type_any_2545","A mixin class must have a constructor with a single rest parameter of type 'any[]'."),The_type_returned_by_the_0_method_of_an_async_iterator_must_be_a_promise_for_a_type_with_a_value_property:M(2547,1,"The_type_returned_by_the_0_method_of_an_async_iterator_must_be_a_promise_for_a_type_with_a_value_pro_2547","The type returned by the '{0}()' method of an async iterator must be a promise for a type with a 'value' property."),Type_0_is_not_an_array_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator:M(2548,1,"Type_0_is_not_an_array_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator_2548","Type '{0}' is not an array type or does not have a '[Symbol.iterator]()' method that returns an iterator."),Type_0_is_not_an_array_type_or_a_string_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator:M(2549,1,"Type_0_is_not_an_array_type_or_a_string_type_or_does_not_have_a_Symbol_iterator_method_that_returns__2549","Type '{0}' is not an array type or a string type or does not have a '[Symbol.iterator]()' method that returns an iterator."),Property_0_does_not_exist_on_type_1_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_2_or_later:M(2550,1,"Property_0_does_not_exist_on_type_1_Do_you_need_to_change_your_target_library_Try_changing_the_lib_c_2550","Property '{0}' does not exist on type '{1}'. Do you need to change your target library? Try changing the 'lib' compiler option to '{2}' or later."),Property_0_does_not_exist_on_type_1_Did_you_mean_2:M(2551,1,"Property_0_does_not_exist_on_type_1_Did_you_mean_2_2551","Property '{0}' does not exist on type '{1}'. Did you mean '{2}'?"),Cannot_find_name_0_Did_you_mean_1:M(2552,1,"Cannot_find_name_0_Did_you_mean_1_2552","Cannot find name '{0}'. Did you mean '{1}'?"),Computed_values_are_not_permitted_in_an_enum_with_string_valued_members:M(2553,1,"Computed_values_are_not_permitted_in_an_enum_with_string_valued_members_2553","Computed values are not permitted in an enum with string valued members."),Expected_0_arguments_but_got_1:M(2554,1,"Expected_0_arguments_but_got_1_2554","Expected {0} arguments, but got {1}."),Expected_at_least_0_arguments_but_got_1:M(2555,1,"Expected_at_least_0_arguments_but_got_1_2555","Expected at least {0} arguments, but got {1}."),A_spread_argument_must_either_have_a_tuple_type_or_be_passed_to_a_rest_parameter:M(2556,1,"A_spread_argument_must_either_have_a_tuple_type_or_be_passed_to_a_rest_parameter_2556","A spread argument must either have a tuple type or be passed to a rest parameter."),Expected_0_type_arguments_but_got_1:M(2558,1,"Expected_0_type_arguments_but_got_1_2558","Expected {0} type arguments, but got {1}."),Type_0_has_no_properties_in_common_with_type_1:M(2559,1,"Type_0_has_no_properties_in_common_with_type_1_2559","Type '{0}' has no properties in common with type '{1}'."),Value_of_type_0_has_no_properties_in_common_with_type_1_Did_you_mean_to_call_it:M(2560,1,"Value_of_type_0_has_no_properties_in_common_with_type_1_Did_you_mean_to_call_it_2560","Value of type '{0}' has no properties in common with type '{1}'. Did you mean to call it?"),Object_literal_may_only_specify_known_properties_but_0_does_not_exist_in_type_1_Did_you_mean_to_write_2:M(2561,1,"Object_literal_may_only_specify_known_properties_but_0_does_not_exist_in_type_1_Did_you_mean_to_writ_2561","Object literal may only specify known properties, but '{0}' does not exist in type '{1}'. Did you mean to write '{2}'?"),Base_class_expressions_cannot_reference_class_type_parameters:M(2562,1,"Base_class_expressions_cannot_reference_class_type_parameters_2562","Base class expressions cannot reference class type parameters."),The_containing_function_or_module_body_is_too_large_for_control_flow_analysis:M(2563,1,"The_containing_function_or_module_body_is_too_large_for_control_flow_analysis_2563","The containing function or module body is too large for control flow analysis."),Property_0_has_no_initializer_and_is_not_definitely_assigned_in_the_constructor:M(2564,1,"Property_0_has_no_initializer_and_is_not_definitely_assigned_in_the_constructor_2564","Property '{0}' has no initializer and is not definitely assigned in the constructor."),Property_0_is_used_before_being_assigned:M(2565,1,"Property_0_is_used_before_being_assigned_2565","Property '{0}' is used before being assigned."),A_rest_element_cannot_have_a_property_name:M(2566,1,"A_rest_element_cannot_have_a_property_name_2566","A rest element cannot have a property name."),Enum_declarations_can_only_merge_with_namespace_or_other_enum_declarations:M(2567,1,"Enum_declarations_can_only_merge_with_namespace_or_other_enum_declarations_2567","Enum declarations can only merge with namespace or other enum declarations."),Property_0_may_not_exist_on_type_1_Did_you_mean_2:M(2568,1,"Property_0_may_not_exist_on_type_1_Did_you_mean_2_2568","Property '{0}' may not exist on type '{1}'. Did you mean '{2}'?"),Could_not_find_name_0_Did_you_mean_1:M(2570,1,"Could_not_find_name_0_Did_you_mean_1_2570","Could not find name '{0}'. Did you mean '{1}'?"),Object_is_of_type_unknown:M(2571,1,"Object_is_of_type_unknown_2571","Object is of type 'unknown'."),A_rest_element_type_must_be_an_array_type:M(2574,1,"A_rest_element_type_must_be_an_array_type_2574","A rest element type must be an array type."),No_overload_expects_0_arguments_but_overloads_do_exist_that_expect_either_1_or_2_arguments:M(2575,1,"No_overload_expects_0_arguments_but_overloads_do_exist_that_expect_either_1_or_2_arguments_2575","No overload expects {0} arguments, but overloads do exist that expect either {1} or {2} arguments."),Property_0_does_not_exist_on_type_1_Did_you_mean_to_access_the_static_member_2_instead:M(2576,1,"Property_0_does_not_exist_on_type_1_Did_you_mean_to_access_the_static_member_2_instead_2576","Property '{0}' does not exist on type '{1}'. Did you mean to access the static member '{2}' instead?"),Return_type_annotation_circularly_references_itself:M(2577,1,"Return_type_annotation_circularly_references_itself_2577","Return type annotation circularly references itself."),Unused_ts_expect_error_directive:M(2578,1,"Unused_ts_expect_error_directive_2578","Unused '@ts-expect-error' directive."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode:M(2580,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashno_2580","Cannot find name '{0}'. Do you need to install type definitions for node? Try `npm i --save-dev @types/node`."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery:M(2581,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slash_2581","Cannot find name '{0}'. Do you need to install type definitions for jQuery? Try `npm i --save-dev @types/jquery`."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha:M(2582,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_type_2582","Cannot find name '{0}'. Do you need to install type definitions for a test runner? Try `npm i --save-dev @types/jest` or `npm i --save-dev @types/mocha`."),Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_1_or_later:M(2583,1,"Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_2583","Cannot find name '{0}'. Do you need to change your target library? Try changing the 'lib' compiler option to '{1}' or later."),Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_include_dom:M(2584,1,"Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_2584","Cannot find name '{0}'. Do you need to change your target library? Try changing the 'lib' compiler option to include 'dom'."),_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_es2015_or_later:M(2585,1,"_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Do_you_need_to_change_your_target_library_2585","'{0}' only refers to a type, but is being used as a value here. Do you need to change your target library? Try changing the 'lib' compiler option to es2015 or later."),Cannot_assign_to_0_because_it_is_a_constant:M(2588,1,"Cannot_assign_to_0_because_it_is_a_constant_2588","Cannot assign to '{0}' because it is a constant."),Type_instantiation_is_excessively_deep_and_possibly_infinite:M(2589,1,"Type_instantiation_is_excessively_deep_and_possibly_infinite_2589","Type instantiation is excessively deep and possibly infinite."),Expression_produces_a_union_type_that_is_too_complex_to_represent:M(2590,1,"Expression_produces_a_union_type_that_is_too_complex_to_represent_2590","Expression produces a union type that is too complex to represent."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode_and_then_add_node_to_the_types_field_in_your_tsconfig:M(2591,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashno_2591","Cannot find name '{0}'. Do you need to install type definitions for node? Try `npm i --save-dev @types/node` and then add 'node' to the types field in your tsconfig."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery_and_then_add_jquery_to_the_types_field_in_your_tsconfig:M(2592,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slash_2592","Cannot find name '{0}'. Do you need to install type definitions for jQuery? Try `npm i --save-dev @types/jquery` and then add 'jquery' to the types field in your tsconfig."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha_and_then_add_jest_or_mocha_to_the_types_field_in_your_tsconfig:M(2593,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_type_2593","Cannot find name '{0}'. Do you need to install type definitions for a test runner? Try `npm i --save-dev @types/jest` or `npm i --save-dev @types/mocha` and then add 'jest' or 'mocha' to the types field in your tsconfig."),This_module_is_declared_with_export_and_can_only_be_used_with_a_default_import_when_using_the_0_flag:M(2594,1,"This_module_is_declared_with_export_and_can_only_be_used_with_a_default_import_when_using_the_0_flag_2594","This module is declared with 'export =', and can only be used with a default import when using the '{0}' flag."),_0_can_only_be_imported_by_using_a_default_import:M(2595,1,"_0_can_only_be_imported_by_using_a_default_import_2595","'{0}' can only be imported by using a default import."),_0_can_only_be_imported_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import:M(2596,1,"_0_can_only_be_imported_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import_2596","'{0}' can only be imported by turning on the 'esModuleInterop' flag and using a default import."),_0_can_only_be_imported_by_using_a_require_call_or_by_using_a_default_import:M(2597,1,"_0_can_only_be_imported_by_using_a_require_call_or_by_using_a_default_import_2597","'{0}' can only be imported by using a 'require' call or by using a default import."),_0_can_only_be_imported_by_using_a_require_call_or_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import:M(2598,1,"_0_can_only_be_imported_by_using_a_require_call_or_by_turning_on_the_esModuleInterop_flag_and_using__2598","'{0}' can only be imported by using a 'require' call or by turning on the 'esModuleInterop' flag and using a default import."),JSX_element_implicitly_has_type_any_because_the_global_type_JSX_Element_does_not_exist:M(2602,1,"JSX_element_implicitly_has_type_any_because_the_global_type_JSX_Element_does_not_exist_2602","JSX element implicitly has type 'any' because the global type 'JSX.Element' does not exist."),Property_0_in_type_1_is_not_assignable_to_type_2:M(2603,1,"Property_0_in_type_1_is_not_assignable_to_type_2_2603","Property '{0}' in type '{1}' is not assignable to type '{2}'."),JSX_element_type_0_does_not_have_any_construct_or_call_signatures:M(2604,1,"JSX_element_type_0_does_not_have_any_construct_or_call_signatures_2604","JSX element type '{0}' does not have any construct or call signatures."),Property_0_of_JSX_spread_attribute_is_not_assignable_to_target_property:M(2606,1,"Property_0_of_JSX_spread_attribute_is_not_assignable_to_target_property_2606","Property '{0}' of JSX spread attribute is not assignable to target property."),JSX_element_class_does_not_support_attributes_because_it_does_not_have_a_0_property:M(2607,1,"JSX_element_class_does_not_support_attributes_because_it_does_not_have_a_0_property_2607","JSX element class does not support attributes because it does not have a '{0}' property."),The_global_type_JSX_0_may_not_have_more_than_one_property:M(2608,1,"The_global_type_JSX_0_may_not_have_more_than_one_property_2608","The global type 'JSX.{0}' may not have more than one property."),JSX_spread_child_must_be_an_array_type:M(2609,1,"JSX_spread_child_must_be_an_array_type_2609","JSX spread child must be an array type."),_0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property:M(2610,1,"_0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property_2610","'{0}' is defined as an accessor in class '{1}', but is overridden here in '{2}' as an instance property."),_0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor:M(2611,1,"_0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor_2611","'{0}' is defined as a property in class '{1}', but is overridden here in '{2}' as an accessor."),Property_0_will_overwrite_the_base_property_in_1_If_this_is_intentional_add_an_initializer_Otherwise_add_a_declare_modifier_or_remove_the_redundant_declaration:M(2612,1,"Property_0_will_overwrite_the_base_property_in_1_If_this_is_intentional_add_an_initializer_Otherwise_2612","Property '{0}' will overwrite the base property in '{1}'. If this is intentional, add an initializer. Otherwise, add a 'declare' modifier or remove the redundant declaration."),Module_0_has_no_default_export_Did_you_mean_to_use_import_1_from_0_instead:M(2613,1,"Module_0_has_no_default_export_Did_you_mean_to_use_import_1_from_0_instead_2613","Module '{0}' has no default export. Did you mean to use 'import { {1} } from {0}' instead?"),Module_0_has_no_exported_member_1_Did_you_mean_to_use_import_1_from_0_instead:M(2614,1,"Module_0_has_no_exported_member_1_Did_you_mean_to_use_import_1_from_0_instead_2614","Module '{0}' has no exported member '{1}'. Did you mean to use 'import {1} from {0}' instead?"),Type_of_property_0_circularly_references_itself_in_mapped_type_1:M(2615,1,"Type_of_property_0_circularly_references_itself_in_mapped_type_1_2615","Type of property '{0}' circularly references itself in mapped type '{1}'."),_0_can_only_be_imported_by_using_import_1_require_2_or_a_default_import:M(2616,1,"_0_can_only_be_imported_by_using_import_1_require_2_or_a_default_import_2616","'{0}' can only be imported by using 'import {1} = require({2})' or a default import."),_0_can_only_be_imported_by_using_import_1_require_2_or_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import:M(2617,1,"_0_can_only_be_imported_by_using_import_1_require_2_or_by_turning_on_the_esModuleInterop_flag_and_us_2617","'{0}' can only be imported by using 'import {1} = require({2})' or by turning on the 'esModuleInterop' flag and using a default import."),Source_has_0_element_s_but_target_requires_1:M(2618,1,"Source_has_0_element_s_but_target_requires_1_2618","Source has {0} element(s) but target requires {1}."),Source_has_0_element_s_but_target_allows_only_1:M(2619,1,"Source_has_0_element_s_but_target_allows_only_1_2619","Source has {0} element(s) but target allows only {1}."),Target_requires_0_element_s_but_source_may_have_fewer:M(2620,1,"Target_requires_0_element_s_but_source_may_have_fewer_2620","Target requires {0} element(s) but source may have fewer."),Target_allows_only_0_element_s_but_source_may_have_more:M(2621,1,"Target_allows_only_0_element_s_but_source_may_have_more_2621","Target allows only {0} element(s) but source may have more."),Source_provides_no_match_for_required_element_at_position_0_in_target:M(2623,1,"Source_provides_no_match_for_required_element_at_position_0_in_target_2623","Source provides no match for required element at position {0} in target."),Source_provides_no_match_for_variadic_element_at_position_0_in_target:M(2624,1,"Source_provides_no_match_for_variadic_element_at_position_0_in_target_2624","Source provides no match for variadic element at position {0} in target."),Variadic_element_at_position_0_in_source_does_not_match_element_at_position_1_in_target:M(2625,1,"Variadic_element_at_position_0_in_source_does_not_match_element_at_position_1_in_target_2625","Variadic element at position {0} in source does not match element at position {1} in target."),Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target:M(2626,1,"Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target_2626","Type at position {0} in source is not compatible with type at position {1} in target."),Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target:M(2627,1,"Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target_2627","Type at positions {0} through {1} in source is not compatible with type at position {2} in target."),Cannot_assign_to_0_because_it_is_an_enum:M(2628,1,"Cannot_assign_to_0_because_it_is_an_enum_2628","Cannot assign to '{0}' because it is an enum."),Cannot_assign_to_0_because_it_is_a_class:M(2629,1,"Cannot_assign_to_0_because_it_is_a_class_2629","Cannot assign to '{0}' because it is a class."),Cannot_assign_to_0_because_it_is_a_function:M(2630,1,"Cannot_assign_to_0_because_it_is_a_function_2630","Cannot assign to '{0}' because it is a function."),Cannot_assign_to_0_because_it_is_a_namespace:M(2631,1,"Cannot_assign_to_0_because_it_is_a_namespace_2631","Cannot assign to '{0}' because it is a namespace."),Cannot_assign_to_0_because_it_is_an_import:M(2632,1,"Cannot_assign_to_0_because_it_is_an_import_2632","Cannot assign to '{0}' because it is an import."),JSX_property_access_expressions_cannot_include_JSX_namespace_names:M(2633,1,"JSX_property_access_expressions_cannot_include_JSX_namespace_names_2633","JSX property access expressions cannot include JSX namespace names"),_0_index_signatures_are_incompatible:M(2634,1,"_0_index_signatures_are_incompatible_2634","'{0}' index signatures are incompatible."),Type_0_has_no_signatures_for_which_the_type_argument_list_is_applicable:M(2635,1,"Type_0_has_no_signatures_for_which_the_type_argument_list_is_applicable_2635","Type '{0}' has no signatures for which the type argument list is applicable."),Type_0_is_not_assignable_to_type_1_as_implied_by_variance_annotation:M(2636,1,"Type_0_is_not_assignable_to_type_1_as_implied_by_variance_annotation_2636","Type '{0}' is not assignable to type '{1}' as implied by variance annotation."),Variance_annotations_are_only_supported_in_type_aliases_for_object_function_constructor_and_mapped_types:M(2637,1,"Variance_annotations_are_only_supported_in_type_aliases_for_object_function_constructor_and_mapped_t_2637","Variance annotations are only supported in type aliases for object, function, constructor, and mapped types."),Type_0_may_represent_a_primitive_value_which_is_not_permitted_as_the_right_operand_of_the_in_operator:M(2638,1,"Type_0_may_represent_a_primitive_value_which_is_not_permitted_as_the_right_operand_of_the_in_operato_2638","Type '{0}' may represent a primitive value, which is not permitted as the right operand of the 'in' operator."),React_components_cannot_include_JSX_namespace_names:M(2639,1,"React_components_cannot_include_JSX_namespace_names_2639","React components cannot include JSX namespace names"),Cannot_augment_module_0_with_value_exports_because_it_resolves_to_a_non_module_entity:M(2649,1,"Cannot_augment_module_0_with_value_exports_because_it_resolves_to_a_non_module_entity_2649","Cannot augment module '{0}' with value exports because it resolves to a non-module entity."),Non_abstract_class_expression_is_missing_implementations_for_the_following_members_of_0_Colon_1_and_2_more:M(2650,1,"Non_abstract_class_expression_is_missing_implementations_for_the_following_members_of_0_Colon_1_and__2650","Non-abstract class expression is missing implementations for the following members of '{0}': {1} and {2} more."),A_member_initializer_in_a_enum_declaration_cannot_reference_members_declared_after_it_including_members_defined_in_other_enums:M(2651,1,"A_member_initializer_in_a_enum_declaration_cannot_reference_members_declared_after_it_including_memb_2651","A member initializer in a enum declaration cannot reference members declared after it, including members defined in other enums."),Merged_declaration_0_cannot_include_a_default_export_declaration_Consider_adding_a_separate_export_default_0_declaration_instead:M(2652,1,"Merged_declaration_0_cannot_include_a_default_export_declaration_Consider_adding_a_separate_export_d_2652","Merged declaration '{0}' cannot include a default export declaration. Consider adding a separate 'export default {0}' declaration instead."),Non_abstract_class_expression_does_not_implement_inherited_abstract_member_0_from_class_1:M(2653,1,"Non_abstract_class_expression_does_not_implement_inherited_abstract_member_0_from_class_1_2653","Non-abstract class expression does not implement inherited abstract member '{0}' from class '{1}'."),Non_abstract_class_0_is_missing_implementations_for_the_following_members_of_1_Colon_2:M(2654,1,"Non_abstract_class_0_is_missing_implementations_for_the_following_members_of_1_Colon_2_2654","Non-abstract class '{0}' is missing implementations for the following members of '{1}': {2}."),Non_abstract_class_0_is_missing_implementations_for_the_following_members_of_1_Colon_2_and_3_more:M(2655,1,"Non_abstract_class_0_is_missing_implementations_for_the_following_members_of_1_Colon_2_and_3_more_2655","Non-abstract class '{0}' is missing implementations for the following members of '{1}': {2} and {3} more."),Non_abstract_class_expression_is_missing_implementations_for_the_following_members_of_0_Colon_1:M(2656,1,"Non_abstract_class_expression_is_missing_implementations_for_the_following_members_of_0_Colon_1_2656","Non-abstract class expression is missing implementations for the following members of '{0}': {1}."),JSX_expressions_must_have_one_parent_element:M(2657,1,"JSX_expressions_must_have_one_parent_element_2657","JSX expressions must have one parent element."),Type_0_provides_no_match_for_the_signature_1:M(2658,1,"Type_0_provides_no_match_for_the_signature_1_2658","Type '{0}' provides no match for the signature '{1}'."),super_is_only_allowed_in_members_of_object_literal_expressions_when_option_target_is_ES2015_or_higher:M(2659,1,"super_is_only_allowed_in_members_of_object_literal_expressions_when_option_target_is_ES2015_or_highe_2659","'super' is only allowed in members of object literal expressions when option 'target' is 'ES2015' or higher."),super_can_only_be_referenced_in_members_of_derived_classes_or_object_literal_expressions:M(2660,1,"super_can_only_be_referenced_in_members_of_derived_classes_or_object_literal_expressions_2660","'super' can only be referenced in members of derived classes or object literal expressions."),Cannot_export_0_Only_local_declarations_can_be_exported_from_a_module:M(2661,1,"Cannot_export_0_Only_local_declarations_can_be_exported_from_a_module_2661","Cannot export '{0}'. Only local declarations can be exported from a module."),Cannot_find_name_0_Did_you_mean_the_static_member_1_0:M(2662,1,"Cannot_find_name_0_Did_you_mean_the_static_member_1_0_2662","Cannot find name '{0}'. Did you mean the static member '{1}.{0}'?"),Cannot_find_name_0_Did_you_mean_the_instance_member_this_0:M(2663,1,"Cannot_find_name_0_Did_you_mean_the_instance_member_this_0_2663","Cannot find name '{0}'. Did you mean the instance member 'this.{0}'?"),Invalid_module_name_in_augmentation_module_0_cannot_be_found:M(2664,1,"Invalid_module_name_in_augmentation_module_0_cannot_be_found_2664","Invalid module name in augmentation, module '{0}' cannot be found."),Invalid_module_name_in_augmentation_Module_0_resolves_to_an_untyped_module_at_1_which_cannot_be_augmented:M(2665,1,"Invalid_module_name_in_augmentation_Module_0_resolves_to_an_untyped_module_at_1_which_cannot_be_augm_2665","Invalid module name in augmentation. Module '{0}' resolves to an untyped module at '{1}', which cannot be augmented."),Exports_and_export_assignments_are_not_permitted_in_module_augmentations:M(2666,1,"Exports_and_export_assignments_are_not_permitted_in_module_augmentations_2666","Exports and export assignments are not permitted in module augmentations."),Imports_are_not_permitted_in_module_augmentations_Consider_moving_them_to_the_enclosing_external_module:M(2667,1,"Imports_are_not_permitted_in_module_augmentations_Consider_moving_them_to_the_enclosing_external_mod_2667","Imports are not permitted in module augmentations. Consider moving them to the enclosing external module."),export_modifier_cannot_be_applied_to_ambient_modules_and_module_augmentations_since_they_are_always_visible:M(2668,1,"export_modifier_cannot_be_applied_to_ambient_modules_and_module_augmentations_since_they_are_always__2668","'export' modifier cannot be applied to ambient modules and module augmentations since they are always visible."),Augmentations_for_the_global_scope_can_only_be_directly_nested_in_external_modules_or_ambient_module_declarations:M(2669,1,"Augmentations_for_the_global_scope_can_only_be_directly_nested_in_external_modules_or_ambient_module_2669","Augmentations for the global scope can only be directly nested in external modules or ambient module declarations."),Augmentations_for_the_global_scope_should_have_declare_modifier_unless_they_appear_in_already_ambient_context:M(2670,1,"Augmentations_for_the_global_scope_should_have_declare_modifier_unless_they_appear_in_already_ambien_2670","Augmentations for the global scope should have 'declare' modifier unless they appear in already ambient context."),Cannot_augment_module_0_because_it_resolves_to_a_non_module_entity:M(2671,1,"Cannot_augment_module_0_because_it_resolves_to_a_non_module_entity_2671","Cannot augment module '{0}' because it resolves to a non-module entity."),Cannot_assign_a_0_constructor_type_to_a_1_constructor_type:M(2672,1,"Cannot_assign_a_0_constructor_type_to_a_1_constructor_type_2672","Cannot assign a '{0}' constructor type to a '{1}' constructor type."),Constructor_of_class_0_is_private_and_only_accessible_within_the_class_declaration:M(2673,1,"Constructor_of_class_0_is_private_and_only_accessible_within_the_class_declaration_2673","Constructor of class '{0}' is private and only accessible within the class declaration."),Constructor_of_class_0_is_protected_and_only_accessible_within_the_class_declaration:M(2674,1,"Constructor_of_class_0_is_protected_and_only_accessible_within_the_class_declaration_2674","Constructor of class '{0}' is protected and only accessible within the class declaration."),Cannot_extend_a_class_0_Class_constructor_is_marked_as_private:M(2675,1,"Cannot_extend_a_class_0_Class_constructor_is_marked_as_private_2675","Cannot extend a class '{0}'. Class constructor is marked as private."),Accessors_must_both_be_abstract_or_non_abstract:M(2676,1,"Accessors_must_both_be_abstract_or_non_abstract_2676","Accessors must both be abstract or non-abstract."),A_type_predicate_s_type_must_be_assignable_to_its_parameter_s_type:M(2677,1,"A_type_predicate_s_type_must_be_assignable_to_its_parameter_s_type_2677","A type predicate's type must be assignable to its parameter's type."),Type_0_is_not_comparable_to_type_1:M(2678,1,"Type_0_is_not_comparable_to_type_1_2678","Type '{0}' is not comparable to type '{1}'."),A_function_that_is_called_with_the_new_keyword_cannot_have_a_this_type_that_is_void:M(2679,1,"A_function_that_is_called_with_the_new_keyword_cannot_have_a_this_type_that_is_void_2679","A function that is called with the 'new' keyword cannot have a 'this' type that is 'void'."),A_0_parameter_must_be_the_first_parameter:M(2680,1,"A_0_parameter_must_be_the_first_parameter_2680","A '{0}' parameter must be the first parameter."),A_constructor_cannot_have_a_this_parameter:M(2681,1,"A_constructor_cannot_have_a_this_parameter_2681","A constructor cannot have a 'this' parameter."),this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation:M(2683,1,"this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation_2683","'this' implicitly has type 'any' because it does not have a type annotation."),The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1:M(2684,1,"The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1_2684","The 'this' context of type '{0}' is not assignable to method's 'this' of type '{1}'."),The_this_types_of_each_signature_are_incompatible:M(2685,1,"The_this_types_of_each_signature_are_incompatible_2685","The 'this' types of each signature are incompatible."),_0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead:M(2686,1,"_0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead_2686","'{0}' refers to a UMD global, but the current file is a module. Consider adding an import instead."),All_declarations_of_0_must_have_identical_modifiers:M(2687,1,"All_declarations_of_0_must_have_identical_modifiers_2687","All declarations of '{0}' must have identical modifiers."),Cannot_find_type_definition_file_for_0:M(2688,1,"Cannot_find_type_definition_file_for_0_2688","Cannot find type definition file for '{0}'."),Cannot_extend_an_interface_0_Did_you_mean_implements:M(2689,1,"Cannot_extend_an_interface_0_Did_you_mean_implements_2689","Cannot extend an interface '{0}'. Did you mean 'implements'?"),_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Did_you_mean_to_use_1_in_0:M(2690,1,"_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Did_you_mean_to_use_1_in_0_2690","'{0}' only refers to a type, but is being used as a value here. Did you mean to use '{1} in {0}'?"),_0_is_a_primitive_but_1_is_a_wrapper_object_Prefer_using_0_when_possible:M(2692,1,"_0_is_a_primitive_but_1_is_a_wrapper_object_Prefer_using_0_when_possible_2692","'{0}' is a primitive, but '{1}' is a wrapper object. Prefer using '{0}' when possible."),_0_only_refers_to_a_type_but_is_being_used_as_a_value_here:M(2693,1,"_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_2693","'{0}' only refers to a type, but is being used as a value here."),Namespace_0_has_no_exported_member_1:M(2694,1,"Namespace_0_has_no_exported_member_1_2694","Namespace '{0}' has no exported member '{1}'."),Left_side_of_comma_operator_is_unused_and_has_no_side_effects:M(2695,1,"Left_side_of_comma_operator_is_unused_and_has_no_side_effects_2695","Left side of comma operator is unused and has no side effects.",!0),The_Object_type_is_assignable_to_very_few_other_types_Did_you_mean_to_use_the_any_type_instead:M(2696,1,"The_Object_type_is_assignable_to_very_few_other_types_Did_you_mean_to_use_the_any_type_instead_2696","The 'Object' type is assignable to very few other types. Did you mean to use the 'any' type instead?"),An_async_function_or_method_must_return_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES2015_in_your_lib_option:M(2697,1,"An_async_function_or_method_must_return_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_in_2697","An async function or method must return a 'Promise'. Make sure you have a declaration for 'Promise' or include 'ES2015' in your '--lib' option."),Spread_types_may_only_be_created_from_object_types:M(2698,1,"Spread_types_may_only_be_created_from_object_types_2698","Spread types may only be created from object types."),Static_property_0_conflicts_with_built_in_property_Function_0_of_constructor_function_1:M(2699,1,"Static_property_0_conflicts_with_built_in_property_Function_0_of_constructor_function_1_2699","Static property '{0}' conflicts with built-in property 'Function.{0}' of constructor function '{1}'."),Rest_types_may_only_be_created_from_object_types:M(2700,1,"Rest_types_may_only_be_created_from_object_types_2700","Rest types may only be created from object types."),The_target_of_an_object_rest_assignment_must_be_a_variable_or_a_property_access:M(2701,1,"The_target_of_an_object_rest_assignment_must_be_a_variable_or_a_property_access_2701","The target of an object rest assignment must be a variable or a property access."),_0_only_refers_to_a_type_but_is_being_used_as_a_namespace_here:M(2702,1,"_0_only_refers_to_a_type_but_is_being_used_as_a_namespace_here_2702","'{0}' only refers to a type, but is being used as a namespace here."),The_operand_of_a_delete_operator_must_be_a_property_reference:M(2703,1,"The_operand_of_a_delete_operator_must_be_a_property_reference_2703","The operand of a 'delete' operator must be a property reference."),The_operand_of_a_delete_operator_cannot_be_a_read_only_property:M(2704,1,"The_operand_of_a_delete_operator_cannot_be_a_read_only_property_2704","The operand of a 'delete' operator cannot be a read-only property."),An_async_function_or_method_in_ES5_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option:M(2705,1,"An_async_function_or_method_in_ES5_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_2705","An async function or method in ES5 requires the 'Promise' constructor. Make sure you have a declaration for the 'Promise' constructor or include 'ES2015' in your '--lib' option."),Required_type_parameters_may_not_follow_optional_type_parameters:M(2706,1,"Required_type_parameters_may_not_follow_optional_type_parameters_2706","Required type parameters may not follow optional type parameters."),Generic_type_0_requires_between_1_and_2_type_arguments:M(2707,1,"Generic_type_0_requires_between_1_and_2_type_arguments_2707","Generic type '{0}' requires between {1} and {2} type arguments."),Cannot_use_namespace_0_as_a_value:M(2708,1,"Cannot_use_namespace_0_as_a_value_2708","Cannot use namespace '{0}' as a value."),Cannot_use_namespace_0_as_a_type:M(2709,1,"Cannot_use_namespace_0_as_a_type_2709","Cannot use namespace '{0}' as a type."),_0_are_specified_twice_The_attribute_named_0_will_be_overwritten:M(2710,1,"_0_are_specified_twice_The_attribute_named_0_will_be_overwritten_2710","'{0}' are specified twice. The attribute named '{0}' will be overwritten."),A_dynamic_import_call_returns_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES2015_in_your_lib_option:M(2711,1,"A_dynamic_import_call_returns_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES20_2711","A dynamic import call returns a 'Promise'. Make sure you have a declaration for 'Promise' or include 'ES2015' in your '--lib' option."),A_dynamic_import_call_in_ES5_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option:M(2712,1,"A_dynamic_import_call_in_ES5_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_t_2712","A dynamic import call in ES5 requires the 'Promise' constructor. Make sure you have a declaration for the 'Promise' constructor or include 'ES2015' in your '--lib' option."),Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_property_1_in_0_with_0_1:M(2713,1,"Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_p_2713",`Cannot access '{0}.{1}' because '{0}' is a type, but not a namespace. Did you mean to retrieve the type of the property '{1}' in '{0}' with '{0}["{1}"]'?`),The_expression_of_an_export_assignment_must_be_an_identifier_or_qualified_name_in_an_ambient_context:M(2714,1,"The_expression_of_an_export_assignment_must_be_an_identifier_or_qualified_name_in_an_ambient_context_2714","The expression of an export assignment must be an identifier or qualified name in an ambient context."),Abstract_property_0_in_class_1_cannot_be_accessed_in_the_constructor:M(2715,1,"Abstract_property_0_in_class_1_cannot_be_accessed_in_the_constructor_2715","Abstract property '{0}' in class '{1}' cannot be accessed in the constructor."),Type_parameter_0_has_a_circular_default:M(2716,1,"Type_parameter_0_has_a_circular_default_2716","Type parameter '{0}' has a circular default."),Subsequent_property_declarations_must_have_the_same_type_Property_0_must_be_of_type_1_but_here_has_type_2:M(2717,1,"Subsequent_property_declarations_must_have_the_same_type_Property_0_must_be_of_type_1_but_here_has_t_2717","Subsequent property declarations must have the same type. Property '{0}' must be of type '{1}', but here has type '{2}'."),Duplicate_property_0:M(2718,1,"Duplicate_property_0_2718","Duplicate property '{0}'."),Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated:M(2719,1,"Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated_2719","Type '{0}' is not assignable to type '{1}'. Two different types with this name exist, but they are unrelated."),Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclass:M(2720,1,"Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclas_2720","Class '{0}' incorrectly implements class '{1}'. Did you mean to extend '{1}' and inherit its members as a subclass?"),Cannot_invoke_an_object_which_is_possibly_null:M(2721,1,"Cannot_invoke_an_object_which_is_possibly_null_2721","Cannot invoke an object which is possibly 'null'."),Cannot_invoke_an_object_which_is_possibly_undefined:M(2722,1,"Cannot_invoke_an_object_which_is_possibly_undefined_2722","Cannot invoke an object which is possibly 'undefined'."),Cannot_invoke_an_object_which_is_possibly_null_or_undefined:M(2723,1,"Cannot_invoke_an_object_which_is_possibly_null_or_undefined_2723","Cannot invoke an object which is possibly 'null' or 'undefined'."),_0_has_no_exported_member_named_1_Did_you_mean_2:M(2724,1,"_0_has_no_exported_member_named_1_Did_you_mean_2_2724","'{0}' has no exported member named '{1}'. Did you mean '{2}'?"),Class_name_cannot_be_Object_when_targeting_ES5_with_module_0:M(2725,1,"Class_name_cannot_be_Object_when_targeting_ES5_with_module_0_2725","Class name cannot be 'Object' when targeting ES5 with module {0}."),Cannot_find_lib_definition_for_0:M(2726,1,"Cannot_find_lib_definition_for_0_2726","Cannot find lib definition for '{0}'."),Cannot_find_lib_definition_for_0_Did_you_mean_1:M(2727,1,"Cannot_find_lib_definition_for_0_Did_you_mean_1_2727","Cannot find lib definition for '{0}'. Did you mean '{1}'?"),_0_is_declared_here:M(2728,3,"_0_is_declared_here_2728","'{0}' is declared here."),Property_0_is_used_before_its_initialization:M(2729,1,"Property_0_is_used_before_its_initialization_2729","Property '{0}' is used before its initialization."),An_arrow_function_cannot_have_a_this_parameter:M(2730,1,"An_arrow_function_cannot_have_a_this_parameter_2730","An arrow function cannot have a 'this' parameter."),Implicit_conversion_of_a_symbol_to_a_string_will_fail_at_runtime_Consider_wrapping_this_expression_in_String:M(2731,1,"Implicit_conversion_of_a_symbol_to_a_string_will_fail_at_runtime_Consider_wrapping_this_expression_i_2731","Implicit conversion of a 'symbol' to a 'string' will fail at runtime. Consider wrapping this expression in 'String(...)'."),Cannot_find_module_0_Consider_using_resolveJsonModule_to_import_module_with_json_extension:M(2732,1,"Cannot_find_module_0_Consider_using_resolveJsonModule_to_import_module_with_json_extension_2732","Cannot find module '{0}'. Consider using '--resolveJsonModule' to import module with '.json' extension."),Property_0_was_also_declared_here:M(2733,1,"Property_0_was_also_declared_here_2733","Property '{0}' was also declared here."),Are_you_missing_a_semicolon:M(2734,1,"Are_you_missing_a_semicolon_2734","Are you missing a semicolon?"),Did_you_mean_for_0_to_be_constrained_to_type_new_args_Colon_any_1:M(2735,1,"Did_you_mean_for_0_to_be_constrained_to_type_new_args_Colon_any_1_2735","Did you mean for '{0}' to be constrained to type 'new (...args: any[]) => {1}'?"),Operator_0_cannot_be_applied_to_type_1:M(2736,1,"Operator_0_cannot_be_applied_to_type_1_2736","Operator '{0}' cannot be applied to type '{1}'."),BigInt_literals_are_not_available_when_targeting_lower_than_ES2020:M(2737,1,"BigInt_literals_are_not_available_when_targeting_lower_than_ES2020_2737","BigInt literals are not available when targeting lower than ES2020."),An_outer_value_of_this_is_shadowed_by_this_container:M(2738,3,"An_outer_value_of_this_is_shadowed_by_this_container_2738","An outer value of 'this' is shadowed by this container."),Type_0_is_missing_the_following_properties_from_type_1_Colon_2:M(2739,1,"Type_0_is_missing_the_following_properties_from_type_1_Colon_2_2739","Type '{0}' is missing the following properties from type '{1}': {2}"),Type_0_is_missing_the_following_properties_from_type_1_Colon_2_and_3_more:M(2740,1,"Type_0_is_missing_the_following_properties_from_type_1_Colon_2_and_3_more_2740","Type '{0}' is missing the following properties from type '{1}': {2}, and {3} more."),Property_0_is_missing_in_type_1_but_required_in_type_2:M(2741,1,"Property_0_is_missing_in_type_1_but_required_in_type_2_2741","Property '{0}' is missing in type '{1}' but required in type '{2}'."),The_inferred_type_of_0_cannot_be_named_without_a_reference_to_1_This_is_likely_not_portable_A_type_annotation_is_necessary:M(2742,1,"The_inferred_type_of_0_cannot_be_named_without_a_reference_to_1_This_is_likely_not_portable_A_type_a_2742","The inferred type of '{0}' cannot be named without a reference to '{1}'. This is likely not portable. A type annotation is necessary."),No_overload_expects_0_type_arguments_but_overloads_do_exist_that_expect_either_1_or_2_type_arguments:M(2743,1,"No_overload_expects_0_type_arguments_but_overloads_do_exist_that_expect_either_1_or_2_type_arguments_2743","No overload expects {0} type arguments, but overloads do exist that expect either {1} or {2} type arguments."),Type_parameter_defaults_can_only_reference_previously_declared_type_parameters:M(2744,1,"Type_parameter_defaults_can_only_reference_previously_declared_type_parameters_2744","Type parameter defaults can only reference previously declared type parameters."),This_JSX_tag_s_0_prop_expects_type_1_which_requires_multiple_children_but_only_a_single_child_was_provided:M(2745,1,"This_JSX_tag_s_0_prop_expects_type_1_which_requires_multiple_children_but_only_a_single_child_was_pr_2745","This JSX tag's '{0}' prop expects type '{1}' which requires multiple children, but only a single child was provided."),This_JSX_tag_s_0_prop_expects_a_single_child_of_type_1_but_multiple_children_were_provided:M(2746,1,"This_JSX_tag_s_0_prop_expects_a_single_child_of_type_1_but_multiple_children_were_provided_2746","This JSX tag's '{0}' prop expects a single child of type '{1}', but multiple children were provided."),_0_components_don_t_accept_text_as_child_elements_Text_in_JSX_has_the_type_string_but_the_expected_type_of_1_is_2:M(2747,1,"_0_components_don_t_accept_text_as_child_elements_Text_in_JSX_has_the_type_string_but_the_expected_t_2747","'{0}' components don't accept text as child elements. Text in JSX has the type 'string', but the expected type of '{1}' is '{2}'."),Cannot_access_ambient_const_enums_when_0_is_enabled:M(2748,1,"Cannot_access_ambient_const_enums_when_0_is_enabled_2748","Cannot access ambient const enums when '{0}' is enabled."),_0_refers_to_a_value_but_is_being_used_as_a_type_here_Did_you_mean_typeof_0:M(2749,1,"_0_refers_to_a_value_but_is_being_used_as_a_type_here_Did_you_mean_typeof_0_2749","'{0}' refers to a value, but is being used as a type here. Did you mean 'typeof {0}'?"),The_implementation_signature_is_declared_here:M(2750,1,"The_implementation_signature_is_declared_here_2750","The implementation signature is declared here."),Circularity_originates_in_type_at_this_location:M(2751,1,"Circularity_originates_in_type_at_this_location_2751","Circularity originates in type at this location."),The_first_export_default_is_here:M(2752,1,"The_first_export_default_is_here_2752","The first export default is here."),Another_export_default_is_here:M(2753,1,"Another_export_default_is_here_2753","Another export default is here."),super_may_not_use_type_arguments:M(2754,1,"super_may_not_use_type_arguments_2754","'super' may not use type arguments."),No_constituent_of_type_0_is_callable:M(2755,1,"No_constituent_of_type_0_is_callable_2755","No constituent of type '{0}' is callable."),Not_all_constituents_of_type_0_are_callable:M(2756,1,"Not_all_constituents_of_type_0_are_callable_2756","Not all constituents of type '{0}' are callable."),Type_0_has_no_call_signatures:M(2757,1,"Type_0_has_no_call_signatures_2757","Type '{0}' has no call signatures."),Each_member_of_the_union_type_0_has_signatures_but_none_of_those_signatures_are_compatible_with_each_other:M(2758,1,"Each_member_of_the_union_type_0_has_signatures_but_none_of_those_signatures_are_compatible_with_each_2758","Each member of the union type '{0}' has signatures, but none of those signatures are compatible with each other."),No_constituent_of_type_0_is_constructable:M(2759,1,"No_constituent_of_type_0_is_constructable_2759","No constituent of type '{0}' is constructable."),Not_all_constituents_of_type_0_are_constructable:M(2760,1,"Not_all_constituents_of_type_0_are_constructable_2760","Not all constituents of type '{0}' are constructable."),Type_0_has_no_construct_signatures:M(2761,1,"Type_0_has_no_construct_signatures_2761","Type '{0}' has no construct signatures."),Each_member_of_the_union_type_0_has_construct_signatures_but_none_of_those_signatures_are_compatible_with_each_other:M(2762,1,"Each_member_of_the_union_type_0_has_construct_signatures_but_none_of_those_signatures_are_compatible_2762","Each member of the union type '{0}' has construct signatures, but none of those signatures are compatible with each other."),Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_for_of_will_always_send_0:M(2763,1,"Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_for_of_will_always_s_2763","Cannot iterate value because the 'next' method of its iterator expects type '{1}', but for-of will always send '{0}'."),Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_spread_will_always_send_0:M(2764,1,"Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_spread_will_al_2764","Cannot iterate value because the 'next' method of its iterator expects type '{1}', but array spread will always send '{0}'."),Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_destructuring_will_always_send_0:M(2765,1,"Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_destructuring__2765","Cannot iterate value because the 'next' method of its iterator expects type '{1}', but array destructuring will always send '{0}'."),Cannot_delegate_iteration_to_value_because_the_next_method_of_its_iterator_expects_type_1_but_the_containing_generator_will_always_send_0:M(2766,1,"Cannot_delegate_iteration_to_value_because_the_next_method_of_its_iterator_expects_type_1_but_the_co_2766","Cannot delegate iteration to value because the 'next' method of its iterator expects type '{1}', but the containing generator will always send '{0}'."),The_0_property_of_an_iterator_must_be_a_method:M(2767,1,"The_0_property_of_an_iterator_must_be_a_method_2767","The '{0}' property of an iterator must be a method."),The_0_property_of_an_async_iterator_must_be_a_method:M(2768,1,"The_0_property_of_an_async_iterator_must_be_a_method_2768","The '{0}' property of an async iterator must be a method."),No_overload_matches_this_call:M(2769,1,"No_overload_matches_this_call_2769","No overload matches this call."),The_last_overload_gave_the_following_error:M(2770,1,"The_last_overload_gave_the_following_error_2770","The last overload gave the following error."),The_last_overload_is_declared_here:M(2771,1,"The_last_overload_is_declared_here_2771","The last overload is declared here."),Overload_0_of_1_2_gave_the_following_error:M(2772,1,"Overload_0_of_1_2_gave_the_following_error_2772","Overload {0} of {1}, '{2}', gave the following error."),Did_you_forget_to_use_await:M(2773,1,"Did_you_forget_to_use_await_2773","Did you forget to use 'await'?"),This_condition_will_always_return_true_since_this_function_is_always_defined_Did_you_mean_to_call_it_instead:M(2774,1,"This_condition_will_always_return_true_since_this_function_is_always_defined_Did_you_mean_to_call_it_2774","This condition will always return true since this function is always defined. Did you mean to call it instead?"),Assertions_require_every_name_in_the_call_target_to_be_declared_with_an_explicit_type_annotation:M(2775,1,"Assertions_require_every_name_in_the_call_target_to_be_declared_with_an_explicit_type_annotation_2775","Assertions require every name in the call target to be declared with an explicit type annotation."),Assertions_require_the_call_target_to_be_an_identifier_or_qualified_name:M(2776,1,"Assertions_require_the_call_target_to_be_an_identifier_or_qualified_name_2776","Assertions require the call target to be an identifier or qualified name."),The_operand_of_an_increment_or_decrement_operator_may_not_be_an_optional_property_access:M(2777,1,"The_operand_of_an_increment_or_decrement_operator_may_not_be_an_optional_property_access_2777","The operand of an increment or decrement operator may not be an optional property access."),The_target_of_an_object_rest_assignment_may_not_be_an_optional_property_access:M(2778,1,"The_target_of_an_object_rest_assignment_may_not_be_an_optional_property_access_2778","The target of an object rest assignment may not be an optional property access."),The_left_hand_side_of_an_assignment_expression_may_not_be_an_optional_property_access:M(2779,1,"The_left_hand_side_of_an_assignment_expression_may_not_be_an_optional_property_access_2779","The left-hand side of an assignment expression may not be an optional property access."),The_left_hand_side_of_a_for_in_statement_may_not_be_an_optional_property_access:M(2780,1,"The_left_hand_side_of_a_for_in_statement_may_not_be_an_optional_property_access_2780","The left-hand side of a 'for...in' statement may not be an optional property access."),The_left_hand_side_of_a_for_of_statement_may_not_be_an_optional_property_access:M(2781,1,"The_left_hand_side_of_a_for_of_statement_may_not_be_an_optional_property_access_2781","The left-hand side of a 'for...of' statement may not be an optional property access."),_0_needs_an_explicit_type_annotation:M(2782,3,"_0_needs_an_explicit_type_annotation_2782","'{0}' needs an explicit type annotation."),_0_is_specified_more_than_once_so_this_usage_will_be_overwritten:M(2783,1,"_0_is_specified_more_than_once_so_this_usage_will_be_overwritten_2783","'{0}' is specified more than once, so this usage will be overwritten."),get_and_set_accessors_cannot_declare_this_parameters:M(2784,1,"get_and_set_accessors_cannot_declare_this_parameters_2784","'get' and 'set' accessors cannot declare 'this' parameters."),This_spread_always_overwrites_this_property:M(2785,1,"This_spread_always_overwrites_this_property_2785","This spread always overwrites this property."),_0_cannot_be_used_as_a_JSX_component:M(2786,1,"_0_cannot_be_used_as_a_JSX_component_2786","'{0}' cannot be used as a JSX component."),Its_return_type_0_is_not_a_valid_JSX_element:M(2787,1,"Its_return_type_0_is_not_a_valid_JSX_element_2787","Its return type '{0}' is not a valid JSX element."),Its_instance_type_0_is_not_a_valid_JSX_element:M(2788,1,"Its_instance_type_0_is_not_a_valid_JSX_element_2788","Its instance type '{0}' is not a valid JSX element."),Its_element_type_0_is_not_a_valid_JSX_element:M(2789,1,"Its_element_type_0_is_not_a_valid_JSX_element_2789","Its element type '{0}' is not a valid JSX element."),The_operand_of_a_delete_operator_must_be_optional:M(2790,1,"The_operand_of_a_delete_operator_must_be_optional_2790","The operand of a 'delete' operator must be optional."),Exponentiation_cannot_be_performed_on_bigint_values_unless_the_target_option_is_set_to_es2016_or_later:M(2791,1,"Exponentiation_cannot_be_performed_on_bigint_values_unless_the_target_option_is_set_to_es2016_or_lat_2791","Exponentiation cannot be performed on 'bigint' values unless the 'target' option is set to 'es2016' or later."),Cannot_find_module_0_Did_you_mean_to_set_the_moduleResolution_option_to_nodenext_or_to_add_aliases_to_the_paths_option:M(2792,1,"Cannot_find_module_0_Did_you_mean_to_set_the_moduleResolution_option_to_nodenext_or_to_add_aliases_t_2792","Cannot find module '{0}'. Did you mean to set the 'moduleResolution' option to 'nodenext', or to add aliases to the 'paths' option?"),The_call_would_have_succeeded_against_this_implementation_but_implementation_signatures_of_overloads_are_not_externally_visible:M(2793,1,"The_call_would_have_succeeded_against_this_implementation_but_implementation_signatures_of_overloads_2793","The call would have succeeded against this implementation, but implementation signatures of overloads are not externally visible."),Expected_0_arguments_but_got_1_Did_you_forget_to_include_void_in_your_type_argument_to_Promise:M(2794,1,"Expected_0_arguments_but_got_1_Did_you_forget_to_include_void_in_your_type_argument_to_Promise_2794","Expected {0} arguments, but got {1}. Did you forget to include 'void' in your type argument to 'Promise'?"),The_intrinsic_keyword_can_only_be_used_to_declare_compiler_provided_intrinsic_types:M(2795,1,"The_intrinsic_keyword_can_only_be_used_to_declare_compiler_provided_intrinsic_types_2795","The 'intrinsic' keyword can only be used to declare compiler provided intrinsic types."),It_is_likely_that_you_are_missing_a_comma_to_separate_these_two_template_expressions_They_form_a_tagged_template_expression_which_cannot_be_invoked:M(2796,1,"It_is_likely_that_you_are_missing_a_comma_to_separate_these_two_template_expressions_They_form_a_tag_2796","It is likely that you are missing a comma to separate these two template expressions. They form a tagged template expression which cannot be invoked."),A_mixin_class_that_extends_from_a_type_variable_containing_an_abstract_construct_signature_must_also_be_declared_abstract:M(2797,1,"A_mixin_class_that_extends_from_a_type_variable_containing_an_abstract_construct_signature_must_also_2797","A mixin class that extends from a type variable containing an abstract construct signature must also be declared 'abstract'."),The_declaration_was_marked_as_deprecated_here:M(2798,1,"The_declaration_was_marked_as_deprecated_here_2798","The declaration was marked as deprecated here."),Type_produces_a_tuple_type_that_is_too_large_to_represent:M(2799,1,"Type_produces_a_tuple_type_that_is_too_large_to_represent_2799","Type produces a tuple type that is too large to represent."),Expression_produces_a_tuple_type_that_is_too_large_to_represent:M(2800,1,"Expression_produces_a_tuple_type_that_is_too_large_to_represent_2800","Expression produces a tuple type that is too large to represent."),This_condition_will_always_return_true_since_this_0_is_always_defined:M(2801,1,"This_condition_will_always_return_true_since_this_0_is_always_defined_2801","This condition will always return true since this '{0}' is always defined."),Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es2015_or_higher:M(2802,1,"Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es201_2802","Type '{0}' can only be iterated through when using the '--downlevelIteration' flag or with a '--target' of 'es2015' or higher."),Cannot_assign_to_private_method_0_Private_methods_are_not_writable:M(2803,1,"Cannot_assign_to_private_method_0_Private_methods_are_not_writable_2803","Cannot assign to private method '{0}'. Private methods are not writable."),Duplicate_identifier_0_Static_and_instance_elements_cannot_share_the_same_private_name:M(2804,1,"Duplicate_identifier_0_Static_and_instance_elements_cannot_share_the_same_private_name_2804","Duplicate identifier '{0}'. Static and instance elements cannot share the same private name."),Private_accessor_was_defined_without_a_getter:M(2806,1,"Private_accessor_was_defined_without_a_getter_2806","Private accessor was defined without a getter."),This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_one_in_0_Consider_upgrading_your_version_of_0:M(2807,1,"This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_o_2807","This syntax requires an imported helper named '{1}' with {2} parameters, which is not compatible with the one in '{0}'. Consider upgrading your version of '{0}'."),A_get_accessor_must_be_at_least_as_accessible_as_the_setter:M(2808,1,"A_get_accessor_must_be_at_least_as_accessible_as_the_setter_2808","A get accessor must be at least as accessible as the setter"),Declaration_or_statement_expected_This_follows_a_block_of_statements_so_if_you_intended_to_write_a_destructuring_assignment_you_might_need_to_wrap_the_whole_assignment_in_parentheses:M(2809,1,"Declaration_or_statement_expected_This_follows_a_block_of_statements_so_if_you_intended_to_write_a_d_2809","Declaration or statement expected. This '=' follows a block of statements, so if you intended to write a destructuring assignment, you might need to wrap the whole assignment in parentheses."),Expected_1_argument_but_got_0_new_Promise_needs_a_JSDoc_hint_to_produce_a_resolve_that_can_be_called_without_arguments:M(2810,1,"Expected_1_argument_but_got_0_new_Promise_needs_a_JSDoc_hint_to_produce_a_resolve_that_can_be_called_2810","Expected 1 argument, but got 0. 'new Promise()' needs a JSDoc hint to produce a 'resolve' that can be called without arguments."),Initializer_for_property_0:M(2811,1,"Initializer_for_property_0_2811","Initializer for property '{0}'"),Property_0_does_not_exist_on_type_1_Try_changing_the_lib_compiler_option_to_include_dom:M(2812,1,"Property_0_does_not_exist_on_type_1_Try_changing_the_lib_compiler_option_to_include_dom_2812","Property '{0}' does not exist on type '{1}'. Try changing the 'lib' compiler option to include 'dom'."),Class_declaration_cannot_implement_overload_list_for_0:M(2813,1,"Class_declaration_cannot_implement_overload_list_for_0_2813","Class declaration cannot implement overload list for '{0}'."),Function_with_bodies_can_only_merge_with_classes_that_are_ambient:M(2814,1,"Function_with_bodies_can_only_merge_with_classes_that_are_ambient_2814","Function with bodies can only merge with classes that are ambient."),arguments_cannot_be_referenced_in_property_initializers:M(2815,1,"arguments_cannot_be_referenced_in_property_initializers_2815","'arguments' cannot be referenced in property initializers."),Cannot_use_this_in_a_static_property_initializer_of_a_decorated_class:M(2816,1,"Cannot_use_this_in_a_static_property_initializer_of_a_decorated_class_2816","Cannot use 'this' in a static property initializer of a decorated class."),Property_0_has_no_initializer_and_is_not_definitely_assigned_in_a_class_static_block:M(2817,1,"Property_0_has_no_initializer_and_is_not_definitely_assigned_in_a_class_static_block_2817","Property '{0}' has no initializer and is not definitely assigned in a class static block."),Duplicate_identifier_0_Compiler_reserves_name_1_when_emitting_super_references_in_static_initializers:M(2818,1,"Duplicate_identifier_0_Compiler_reserves_name_1_when_emitting_super_references_in_static_initializer_2818","Duplicate identifier '{0}'. Compiler reserves name '{1}' when emitting 'super' references in static initializers."),Namespace_name_cannot_be_0:M(2819,1,"Namespace_name_cannot_be_0_2819","Namespace name cannot be '{0}'."),Type_0_is_not_assignable_to_type_1_Did_you_mean_2:M(2820,1,"Type_0_is_not_assignable_to_type_1_Did_you_mean_2_2820","Type '{0}' is not assignable to type '{1}'. Did you mean '{2}'?"),Import_assertions_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve:M(2821,1,"Import_assertions_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve_2821","Import assertions are only supported when the '--module' option is set to 'esnext', 'nodenext', or 'preserve'."),Import_assertions_cannot_be_used_with_type_only_imports_or_exports:M(2822,1,"Import_assertions_cannot_be_used_with_type_only_imports_or_exports_2822","Import assertions cannot be used with type-only imports or exports."),Import_attributes_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve:M(2823,1,"Import_attributes_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve_2823","Import attributes are only supported when the '--module' option is set to 'esnext', 'nodenext', or 'preserve'."),Cannot_find_namespace_0_Did_you_mean_1:M(2833,1,"Cannot_find_namespace_0_Did_you_mean_1_2833","Cannot find namespace '{0}'. Did you mean '{1}'?"),Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_node16_or_nodenext_Consider_adding_an_extension_to_the_import_path:M(2834,1,"Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_n_2834","Relative import paths need explicit file extensions in ECMAScript imports when '--moduleResolution' is 'node16' or 'nodenext'. Consider adding an extension to the import path."),Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_node16_or_nodenext_Did_you_mean_0:M(2835,1,"Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_n_2835","Relative import paths need explicit file extensions in ECMAScript imports when '--moduleResolution' is 'node16' or 'nodenext'. Did you mean '{0}'?"),Import_assertions_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls:M(2836,1,"Import_assertions_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls_2836","Import assertions are not allowed on statements that compile to CommonJS 'require' calls."),Import_assertion_values_must_be_string_literal_expressions:M(2837,1,"Import_assertion_values_must_be_string_literal_expressions_2837","Import assertion values must be string literal expressions."),All_declarations_of_0_must_have_identical_constraints:M(2838,1,"All_declarations_of_0_must_have_identical_constraints_2838","All declarations of '{0}' must have identical constraints."),This_condition_will_always_return_0_since_JavaScript_compares_objects_by_reference_not_value:M(2839,1,"This_condition_will_always_return_0_since_JavaScript_compares_objects_by_reference_not_value_2839","This condition will always return '{0}' since JavaScript compares objects by reference, not value."),An_interface_cannot_extend_a_primitive_type_like_0_It_can_only_extend_other_named_object_types:M(2840,1,"An_interface_cannot_extend_a_primitive_type_like_0_It_can_only_extend_other_named_object_types_2840","An interface cannot extend a primitive type like '{0}'. It can only extend other named object types."),_0_is_an_unused_renaming_of_1_Did_you_intend_to_use_it_as_a_type_annotation:M(2842,1,"_0_is_an_unused_renaming_of_1_Did_you_intend_to_use_it_as_a_type_annotation_2842","'{0}' is an unused renaming of '{1}'. Did you intend to use it as a type annotation?"),We_can_only_write_a_type_for_0_by_adding_a_type_for_the_entire_parameter_here:M(2843,1,"We_can_only_write_a_type_for_0_by_adding_a_type_for_the_entire_parameter_here_2843","We can only write a type for '{0}' by adding a type for the entire parameter here."),Type_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor:M(2844,1,"Type_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor_2844","Type of instance member variable '{0}' cannot reference identifier '{1}' declared in the constructor."),This_condition_will_always_return_0:M(2845,1,"This_condition_will_always_return_0_2845","This condition will always return '{0}'."),A_declaration_file_cannot_be_imported_without_import_type_Did_you_mean_to_import_an_implementation_file_0_instead:M(2846,1,"A_declaration_file_cannot_be_imported_without_import_type_Did_you_mean_to_import_an_implementation_f_2846","A declaration file cannot be imported without 'import type'. Did you mean to import an implementation file '{0}' instead?"),The_right_hand_side_of_an_instanceof_expression_must_not_be_an_instantiation_expression:M(2848,1,"The_right_hand_side_of_an_instanceof_expression_must_not_be_an_instantiation_expression_2848","The right-hand side of an 'instanceof' expression must not be an instantiation expression."),Target_signature_provides_too_few_arguments_Expected_0_or_more_but_got_1:M(2849,1,"Target_signature_provides_too_few_arguments_Expected_0_or_more_but_got_1_2849","Target signature provides too few arguments. Expected {0} or more, but got {1}."),The_initializer_of_a_using_declaration_must_be_either_an_object_with_a_Symbol_dispose_method_or_be_null_or_undefined:M(2850,1,"The_initializer_of_a_using_declaration_must_be_either_an_object_with_a_Symbol_dispose_method_or_be_n_2850","The initializer of a 'using' declaration must be either an object with a '[Symbol.dispose]()' method, or be 'null' or 'undefined'."),The_initializer_of_an_await_using_declaration_must_be_either_an_object_with_a_Symbol_asyncDispose_or_Symbol_dispose_method_or_be_null_or_undefined:M(2851,1,"The_initializer_of_an_await_using_declaration_must_be_either_an_object_with_a_Symbol_asyncDispose_or_2851","The initializer of an 'await using' declaration must be either an object with a '[Symbol.asyncDispose]()' or '[Symbol.dispose]()' method, or be 'null' or 'undefined'."),await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules:M(2852,1,"await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules_2852","'await using' statements are only allowed within async functions and at the top levels of modules."),await_using_statements_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module:M(2853,1,"await_using_statements_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_th_2853","'await using' statements are only allowed at the top level of a file when that file is a module, but this file has no imports or exports. Consider adding an empty 'export {}' to make this file a module."),Top_level_await_using_statements_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher:M(2854,1,"Top_level_await_using_statements_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_sys_2854","Top-level 'await using' statements are only allowed when the 'module' option is set to 'es2022', 'esnext', 'system', 'node16', 'nodenext', or 'preserve', and the 'target' option is set to 'es2017' or higher."),Class_field_0_defined_by_the_parent_class_is_not_accessible_in_the_child_class_via_super:M(2855,1,"Class_field_0_defined_by_the_parent_class_is_not_accessible_in_the_child_class_via_super_2855","Class field '{0}' defined by the parent class is not accessible in the child class via super."),Import_attributes_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls:M(2856,1,"Import_attributes_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls_2856","Import attributes are not allowed on statements that compile to CommonJS 'require' calls."),Import_attributes_cannot_be_used_with_type_only_imports_or_exports:M(2857,1,"Import_attributes_cannot_be_used_with_type_only_imports_or_exports_2857","Import attributes cannot be used with type-only imports or exports."),Import_attribute_values_must_be_string_literal_expressions:M(2858,1,"Import_attribute_values_must_be_string_literal_expressions_2858","Import attribute values must be string literal expressions."),Excessive_complexity_comparing_types_0_and_1:M(2859,1,"Excessive_complexity_comparing_types_0_and_1_2859","Excessive complexity comparing types '{0}' and '{1}'."),The_left_hand_side_of_an_instanceof_expression_must_be_assignable_to_the_first_argument_of_the_right_hand_side_s_Symbol_hasInstance_method:M(2860,1,"The_left_hand_side_of_an_instanceof_expression_must_be_assignable_to_the_first_argument_of_the_right_2860","The left-hand side of an 'instanceof' expression must be assignable to the first argument of the right-hand side's '[Symbol.hasInstance]' method."),An_object_s_Symbol_hasInstance_method_must_return_a_boolean_value_for_it_to_be_used_on_the_right_hand_side_of_an_instanceof_expression:M(2861,1,"An_object_s_Symbol_hasInstance_method_must_return_a_boolean_value_for_it_to_be_used_on_the_right_han_2861","An object's '[Symbol.hasInstance]' method must return a boolean value for it to be used on the right-hand side of an 'instanceof' expression."),Type_0_is_generic_and_can_only_be_indexed_for_reading:M(2862,1,"Type_0_is_generic_and_can_only_be_indexed_for_reading_2862","Type '{0}' is generic and can only be indexed for reading."),A_class_cannot_extend_a_primitive_type_like_0_Classes_can_only_extend_constructable_values:M(2863,1,"A_class_cannot_extend_a_primitive_type_like_0_Classes_can_only_extend_constructable_values_2863","A class cannot extend a primitive type like '{0}'. Classes can only extend constructable values."),A_class_cannot_implement_a_primitive_type_like_0_It_can_only_implement_other_named_object_types:M(2864,1,"A_class_cannot_implement_a_primitive_type_like_0_It_can_only_implement_other_named_object_types_2864","A class cannot implement a primitive type like '{0}'. It can only implement other named object types."),Import_0_conflicts_with_local_value_so_must_be_declared_with_a_type_only_import_when_isolatedModules_is_enabled:M(2865,1,"Import_0_conflicts_with_local_value_so_must_be_declared_with_a_type_only_import_when_isolatedModules_2865","Import '{0}' conflicts with local value, so must be declared with a type-only import when 'isolatedModules' is enabled."),Import_0_conflicts_with_global_value_used_in_this_file_so_must_be_declared_with_a_type_only_import_when_isolatedModules_is_enabled:M(2866,1,"Import_0_conflicts_with_global_value_used_in_this_file_so_must_be_declared_with_a_type_only_import_w_2866","Import '{0}' conflicts with global value used in this file, so must be declared with a type-only import when 'isolatedModules' is enabled."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun:M(2867,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun_2867","Cannot find name '{0}'. Do you need to install type definitions for Bun? Try `npm i --save-dev @types/bun`."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun_and_then_add_bun_to_the_types_field_in_your_tsconfig:M(2868,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun_2868","Cannot find name '{0}'. Do you need to install type definitions for Bun? Try `npm i --save-dev @types/bun` and then add 'bun' to the types field in your tsconfig."),Right_operand_of_is_unreachable_because_the_left_operand_is_never_nullish:M(2869,1,"Right_operand_of_is_unreachable_because_the_left_operand_is_never_nullish_2869","Right operand of ?? is unreachable because the left operand is never nullish."),This_binary_expression_is_never_nullish_Are_you_missing_parentheses:M(2870,1,"This_binary_expression_is_never_nullish_Are_you_missing_parentheses_2870","This binary expression is never nullish. Are you missing parentheses?"),This_expression_is_always_nullish:M(2871,1,"This_expression_is_always_nullish_2871","This expression is always nullish."),This_kind_of_expression_is_always_truthy:M(2872,1,"This_kind_of_expression_is_always_truthy_2872","This kind of expression is always truthy."),This_kind_of_expression_is_always_falsy:M(2873,1,"This_kind_of_expression_is_always_falsy_2873","This kind of expression is always falsy."),Import_declaration_0_is_using_private_name_1:M(4e3,1,"Import_declaration_0_is_using_private_name_1_4000","Import declaration '{0}' is using private name '{1}'."),Type_parameter_0_of_exported_class_has_or_is_using_private_name_1:M(4002,1,"Type_parameter_0_of_exported_class_has_or_is_using_private_name_1_4002","Type parameter '{0}' of exported class has or is using private name '{1}'."),Type_parameter_0_of_exported_interface_has_or_is_using_private_name_1:M(4004,1,"Type_parameter_0_of_exported_interface_has_or_is_using_private_name_1_4004","Type parameter '{0}' of exported interface has or is using private name '{1}'."),Type_parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1:M(4006,1,"Type_parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1_4006","Type parameter '{0}' of constructor signature from exported interface has or is using private name '{1}'."),Type_parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1:M(4008,1,"Type_parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1_4008","Type parameter '{0}' of call signature from exported interface has or is using private name '{1}'."),Type_parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1:M(4010,1,"Type_parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1_4010","Type parameter '{0}' of public static method from exported class has or is using private name '{1}'."),Type_parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1:M(4012,1,"Type_parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1_4012","Type parameter '{0}' of public method from exported class has or is using private name '{1}'."),Type_parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1:M(4014,1,"Type_parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1_4014","Type parameter '{0}' of method from exported interface has or is using private name '{1}'."),Type_parameter_0_of_exported_function_has_or_is_using_private_name_1:M(4016,1,"Type_parameter_0_of_exported_function_has_or_is_using_private_name_1_4016","Type parameter '{0}' of exported function has or is using private name '{1}'."),Implements_clause_of_exported_class_0_has_or_is_using_private_name_1:M(4019,1,"Implements_clause_of_exported_class_0_has_or_is_using_private_name_1_4019","Implements clause of exported class '{0}' has or is using private name '{1}'."),extends_clause_of_exported_class_0_has_or_is_using_private_name_1:M(4020,1,"extends_clause_of_exported_class_0_has_or_is_using_private_name_1_4020","'extends' clause of exported class '{0}' has or is using private name '{1}'."),extends_clause_of_exported_class_has_or_is_using_private_name_0:M(4021,1,"extends_clause_of_exported_class_has_or_is_using_private_name_0_4021","'extends' clause of exported class has or is using private name '{0}'."),extends_clause_of_exported_interface_0_has_or_is_using_private_name_1:M(4022,1,"extends_clause_of_exported_interface_0_has_or_is_using_private_name_1_4022","'extends' clause of exported interface '{0}' has or is using private name '{1}'."),Exported_variable_0_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4023,1,"Exported_variable_0_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named_4023","Exported variable '{0}' has or is using name '{1}' from external module {2} but cannot be named."),Exported_variable_0_has_or_is_using_name_1_from_private_module_2:M(4024,1,"Exported_variable_0_has_or_is_using_name_1_from_private_module_2_4024","Exported variable '{0}' has or is using name '{1}' from private module '{2}'."),Exported_variable_0_has_or_is_using_private_name_1:M(4025,1,"Exported_variable_0_has_or_is_using_private_name_1_4025","Exported variable '{0}' has or is using private name '{1}'."),Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4026,1,"Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot__4026","Public static property '{0}' of exported class has or is using name '{1}' from external module {2} but cannot be named."),Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:M(4027,1,"Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2_4027","Public static property '{0}' of exported class has or is using name '{1}' from private module '{2}'."),Public_static_property_0_of_exported_class_has_or_is_using_private_name_1:M(4028,1,"Public_static_property_0_of_exported_class_has_or_is_using_private_name_1_4028","Public static property '{0}' of exported class has or is using private name '{1}'."),Public_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4029,1,"Public_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_name_4029","Public property '{0}' of exported class has or is using name '{1}' from external module {2} but cannot be named."),Public_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:M(4030,1,"Public_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2_4030","Public property '{0}' of exported class has or is using name '{1}' from private module '{2}'."),Public_property_0_of_exported_class_has_or_is_using_private_name_1:M(4031,1,"Public_property_0_of_exported_class_has_or_is_using_private_name_1_4031","Public property '{0}' of exported class has or is using private name '{1}'."),Property_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:M(4032,1,"Property_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2_4032","Property '{0}' of exported interface has or is using name '{1}' from private module '{2}'."),Property_0_of_exported_interface_has_or_is_using_private_name_1:M(4033,1,"Property_0_of_exported_interface_has_or_is_using_private_name_1_4033","Property '{0}' of exported interface has or is using private name '{1}'."),Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:M(4034,1,"Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_name_1_from_private_mod_4034","Parameter type of public static setter '{0}' from exported class has or is using name '{1}' from private module '{2}'."),Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_private_name_1:M(4035,1,"Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_private_name_1_4035","Parameter type of public static setter '{0}' from exported class has or is using private name '{1}'."),Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:M(4036,1,"Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2_4036","Parameter type of public setter '{0}' from exported class has or is using name '{1}' from private module '{2}'."),Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_private_name_1:M(4037,1,"Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_private_name_1_4037","Parameter type of public setter '{0}' from exported class has or is using private name '{1}'."),Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4038,1,"Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_external_modul_4038","Return type of public static getter '{0}' from exported class has or is using name '{1}' from external module {2} but cannot be named."),Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:M(4039,1,"Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_4039","Return type of public static getter '{0}' from exported class has or is using name '{1}' from private module '{2}'."),Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_private_name_1:M(4040,1,"Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_private_name_1_4040","Return type of public static getter '{0}' from exported class has or is using private name '{1}'."),Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4041,1,"Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_4041","Return type of public getter '{0}' from exported class has or is using name '{1}' from external module {2} but cannot be named."),Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:M(4042,1,"Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2_4042","Return type of public getter '{0}' from exported class has or is using name '{1}' from private module '{2}'."),Return_type_of_public_getter_0_from_exported_class_has_or_is_using_private_name_1:M(4043,1,"Return_type_of_public_getter_0_from_exported_class_has_or_is_using_private_name_1_4043","Return type of public getter '{0}' from exported class has or is using private name '{1}'."),Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:M(4044,1,"Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_name_0_from_private_mod_4044","Return type of constructor signature from exported interface has or is using name '{0}' from private module '{1}'."),Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_0:M(4045,1,"Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_0_4045","Return type of constructor signature from exported interface has or is using private name '{0}'."),Return_type_of_call_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:M(4046,1,"Return_type_of_call_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1_4046","Return type of call signature from exported interface has or is using name '{0}' from private module '{1}'."),Return_type_of_call_signature_from_exported_interface_has_or_is_using_private_name_0:M(4047,1,"Return_type_of_call_signature_from_exported_interface_has_or_is_using_private_name_0_4047","Return type of call signature from exported interface has or is using private name '{0}'."),Return_type_of_index_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:M(4048,1,"Return_type_of_index_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1_4048","Return type of index signature from exported interface has or is using name '{0}' from private module '{1}'."),Return_type_of_index_signature_from_exported_interface_has_or_is_using_private_name_0:M(4049,1,"Return_type_of_index_signature_from_exported_interface_has_or_is_using_private_name_0_4049","Return type of index signature from exported interface has or is using private name '{0}'."),Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:M(4050,1,"Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_external_module__4050","Return type of public static method from exported class has or is using name '{0}' from external module {1} but cannot be named."),Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_private_module_1:M(4051,1,"Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_private_module_1_4051","Return type of public static method from exported class has or is using name '{0}' from private module '{1}'."),Return_type_of_public_static_method_from_exported_class_has_or_is_using_private_name_0:M(4052,1,"Return_type_of_public_static_method_from_exported_class_has_or_is_using_private_name_0_4052","Return type of public static method from exported class has or is using private name '{0}'."),Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:M(4053,1,"Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_c_4053","Return type of public method from exported class has or is using name '{0}' from external module {1} but cannot be named."),Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_private_module_1:M(4054,1,"Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_private_module_1_4054","Return type of public method from exported class has or is using name '{0}' from private module '{1}'."),Return_type_of_public_method_from_exported_class_has_or_is_using_private_name_0:M(4055,1,"Return_type_of_public_method_from_exported_class_has_or_is_using_private_name_0_4055","Return type of public method from exported class has or is using private name '{0}'."),Return_type_of_method_from_exported_interface_has_or_is_using_name_0_from_private_module_1:M(4056,1,"Return_type_of_method_from_exported_interface_has_or_is_using_name_0_from_private_module_1_4056","Return type of method from exported interface has or is using name '{0}' from private module '{1}'."),Return_type_of_method_from_exported_interface_has_or_is_using_private_name_0:M(4057,1,"Return_type_of_method_from_exported_interface_has_or_is_using_private_name_0_4057","Return type of method from exported interface has or is using private name '{0}'."),Return_type_of_exported_function_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:M(4058,1,"Return_type_of_exported_function_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named_4058","Return type of exported function has or is using name '{0}' from external module {1} but cannot be named."),Return_type_of_exported_function_has_or_is_using_name_0_from_private_module_1:M(4059,1,"Return_type_of_exported_function_has_or_is_using_name_0_from_private_module_1_4059","Return type of exported function has or is using name '{0}' from private module '{1}'."),Return_type_of_exported_function_has_or_is_using_private_name_0:M(4060,1,"Return_type_of_exported_function_has_or_is_using_private_name_0_4060","Return type of exported function has or is using private name '{0}'."),Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4061,1,"Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_can_4061","Parameter '{0}' of constructor from exported class has or is using name '{1}' from external module {2} but cannot be named."),Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_private_module_2:M(4062,1,"Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_private_module_2_4062","Parameter '{0}' of constructor from exported class has or is using name '{1}' from private module '{2}'."),Parameter_0_of_constructor_from_exported_class_has_or_is_using_private_name_1:M(4063,1,"Parameter_0_of_constructor_from_exported_class_has_or_is_using_private_name_1_4063","Parameter '{0}' of constructor from exported class has or is using private name '{1}'."),Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:M(4064,1,"Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_name_1_from_private_mod_4064","Parameter '{0}' of constructor signature from exported interface has or is using name '{1}' from private module '{2}'."),Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1:M(4065,1,"Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1_4065","Parameter '{0}' of constructor signature from exported interface has or is using private name '{1}'."),Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:M(4066,1,"Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2_4066","Parameter '{0}' of call signature from exported interface has or is using name '{1}' from private module '{2}'."),Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1:M(4067,1,"Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1_4067","Parameter '{0}' of call signature from exported interface has or is using private name '{1}'."),Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4068,1,"Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_external_module__4068","Parameter '{0}' of public static method from exported class has or is using name '{1}' from external module {2} but cannot be named."),Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_private_module_2:M(4069,1,"Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_private_module_2_4069","Parameter '{0}' of public static method from exported class has or is using name '{1}' from private module '{2}'."),Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1:M(4070,1,"Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1_4070","Parameter '{0}' of public static method from exported class has or is using private name '{1}'."),Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4071,1,"Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_c_4071","Parameter '{0}' of public method from exported class has or is using name '{1}' from external module {2} but cannot be named."),Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_private_module_2:M(4072,1,"Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_private_module_2_4072","Parameter '{0}' of public method from exported class has or is using name '{1}' from private module '{2}'."),Parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1:M(4073,1,"Parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1_4073","Parameter '{0}' of public method from exported class has or is using private name '{1}'."),Parameter_0_of_method_from_exported_interface_has_or_is_using_name_1_from_private_module_2:M(4074,1,"Parameter_0_of_method_from_exported_interface_has_or_is_using_name_1_from_private_module_2_4074","Parameter '{0}' of method from exported interface has or is using name '{1}' from private module '{2}'."),Parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1:M(4075,1,"Parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1_4075","Parameter '{0}' of method from exported interface has or is using private name '{1}'."),Parameter_0_of_exported_function_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4076,1,"Parameter_0_of_exported_function_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named_4076","Parameter '{0}' of exported function has or is using name '{1}' from external module {2} but cannot be named."),Parameter_0_of_exported_function_has_or_is_using_name_1_from_private_module_2:M(4077,1,"Parameter_0_of_exported_function_has_or_is_using_name_1_from_private_module_2_4077","Parameter '{0}' of exported function has or is using name '{1}' from private module '{2}'."),Parameter_0_of_exported_function_has_or_is_using_private_name_1:M(4078,1,"Parameter_0_of_exported_function_has_or_is_using_private_name_1_4078","Parameter '{0}' of exported function has or is using private name '{1}'."),Exported_type_alias_0_has_or_is_using_private_name_1:M(4081,1,"Exported_type_alias_0_has_or_is_using_private_name_1_4081","Exported type alias '{0}' has or is using private name '{1}'."),Default_export_of_the_module_has_or_is_using_private_name_0:M(4082,1,"Default_export_of_the_module_has_or_is_using_private_name_0_4082","Default export of the module has or is using private name '{0}'."),Type_parameter_0_of_exported_type_alias_has_or_is_using_private_name_1:M(4083,1,"Type_parameter_0_of_exported_type_alias_has_or_is_using_private_name_1_4083","Type parameter '{0}' of exported type alias has or is using private name '{1}'."),Exported_type_alias_0_has_or_is_using_private_name_1_from_module_2:M(4084,1,"Exported_type_alias_0_has_or_is_using_private_name_1_from_module_2_4084","Exported type alias '{0}' has or is using private name '{1}' from module {2}."),Extends_clause_for_inferred_type_0_has_or_is_using_private_name_1:M(4085,1,"Extends_clause_for_inferred_type_0_has_or_is_using_private_name_1_4085","Extends clause for inferred type '{0}' has or is using private name '{1}'."),Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:M(4091,1,"Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2_4091","Parameter '{0}' of index signature from exported interface has or is using name '{1}' from private module '{2}'."),Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_private_name_1:M(4092,1,"Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_private_name_1_4092","Parameter '{0}' of index signature from exported interface has or is using private name '{1}'."),Property_0_of_exported_anonymous_class_type_may_not_be_private_or_protected:M(4094,1,"Property_0_of_exported_anonymous_class_type_may_not_be_private_or_protected_4094","Property '{0}' of exported anonymous class type may not be private or protected."),Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4095,1,"Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_4095","Public static method '{0}' of exported class has or is using name '{1}' from external module {2} but cannot be named."),Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:M(4096,1,"Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2_4096","Public static method '{0}' of exported class has or is using name '{1}' from private module '{2}'."),Public_static_method_0_of_exported_class_has_or_is_using_private_name_1:M(4097,1,"Public_static_method_0_of_exported_class_has_or_is_using_private_name_1_4097","Public static method '{0}' of exported class has or is using private name '{1}'."),Public_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4098,1,"Public_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named_4098","Public method '{0}' of exported class has or is using name '{1}' from external module {2} but cannot be named."),Public_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:M(4099,1,"Public_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2_4099","Public method '{0}' of exported class has or is using name '{1}' from private module '{2}'."),Public_method_0_of_exported_class_has_or_is_using_private_name_1:M(4100,1,"Public_method_0_of_exported_class_has_or_is_using_private_name_1_4100","Public method '{0}' of exported class has or is using private name '{1}'."),Method_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:M(4101,1,"Method_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2_4101","Method '{0}' of exported interface has or is using name '{1}' from private module '{2}'."),Method_0_of_exported_interface_has_or_is_using_private_name_1:M(4102,1,"Method_0_of_exported_interface_has_or_is_using_private_name_1_4102","Method '{0}' of exported interface has or is using private name '{1}'."),Type_parameter_0_of_exported_mapped_object_type_is_using_private_name_1:M(4103,1,"Type_parameter_0_of_exported_mapped_object_type_is_using_private_name_1_4103","Type parameter '{0}' of exported mapped object type is using private name '{1}'."),The_type_0_is_readonly_and_cannot_be_assigned_to_the_mutable_type_1:M(4104,1,"The_type_0_is_readonly_and_cannot_be_assigned_to_the_mutable_type_1_4104","The type '{0}' is 'readonly' and cannot be assigned to the mutable type '{1}'."),Private_or_protected_member_0_cannot_be_accessed_on_a_type_parameter:M(4105,1,"Private_or_protected_member_0_cannot_be_accessed_on_a_type_parameter_4105","Private or protected member '{0}' cannot be accessed on a type parameter."),Parameter_0_of_accessor_has_or_is_using_private_name_1:M(4106,1,"Parameter_0_of_accessor_has_or_is_using_private_name_1_4106","Parameter '{0}' of accessor has or is using private name '{1}'."),Parameter_0_of_accessor_has_or_is_using_name_1_from_private_module_2:M(4107,1,"Parameter_0_of_accessor_has_or_is_using_name_1_from_private_module_2_4107","Parameter '{0}' of accessor has or is using name '{1}' from private module '{2}'."),Parameter_0_of_accessor_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:M(4108,1,"Parameter_0_of_accessor_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named_4108","Parameter '{0}' of accessor has or is using name '{1}' from external module '{2}' but cannot be named."),Type_arguments_for_0_circularly_reference_themselves:M(4109,1,"Type_arguments_for_0_circularly_reference_themselves_4109","Type arguments for '{0}' circularly reference themselves."),Tuple_type_arguments_circularly_reference_themselves:M(4110,1,"Tuple_type_arguments_circularly_reference_themselves_4110","Tuple type arguments circularly reference themselves."),Property_0_comes_from_an_index_signature_so_it_must_be_accessed_with_0:M(4111,1,"Property_0_comes_from_an_index_signature_so_it_must_be_accessed_with_0_4111","Property '{0}' comes from an index signature, so it must be accessed with ['{0}']."),This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class:M(4112,1,"This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another__4112","This member cannot have an 'override' modifier because its containing class '{0}' does not extend another class."),This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0:M(4113,1,"This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_4113","This member cannot have an 'override' modifier because it is not declared in the base class '{0}'."),This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0:M(4114,1,"This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0_4114","This member must have an 'override' modifier because it overrides a member in the base class '{0}'."),This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0:M(4115,1,"This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0_4115","This parameter property must have an 'override' modifier because it overrides a member in base class '{0}'."),This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0:M(4116,1,"This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared__4116","This member must have an 'override' modifier because it overrides an abstract method that is declared in the base class '{0}'."),This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1:M(4117,1,"This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_Did_you__4117","This member cannot have an 'override' modifier because it is not declared in the base class '{0}'. Did you mean '{1}'?"),The_type_of_this_node_cannot_be_serialized_because_its_property_0_cannot_be_serialized:M(4118,1,"The_type_of_this_node_cannot_be_serialized_because_its_property_0_cannot_be_serialized_4118","The type of this node cannot be serialized because its property '{0}' cannot be serialized."),This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0:M(4119,1,"This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_4119","This member must have a JSDoc comment with an '@override' tag because it overrides a member in the base class '{0}'."),This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0:M(4120,1,"This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_4120","This parameter property must have a JSDoc comment with an '@override' tag because it overrides a member in the base class '{0}'."),This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class:M(4121,1,"This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_4121","This member cannot have a JSDoc comment with an '@override' tag because its containing class '{0}' does not extend another class."),This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0:M(4122,1,"This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base__4122","This member cannot have a JSDoc comment with an '@override' tag because it is not declared in the base class '{0}'."),This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1:M(4123,1,"This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base__4123","This member cannot have a JSDoc comment with an 'override' tag because it is not declared in the base class '{0}'. Did you mean '{1}'?"),Compiler_option_0_of_value_1_is_unstable_Use_nightly_TypeScript_to_silence_this_error_Try_updating_with_npm_install_D_typescript_next:M(4124,1,"Compiler_option_0_of_value_1_is_unstable_Use_nightly_TypeScript_to_silence_this_error_Try_updating_w_4124","Compiler option '{0}' of value '{1}' is unstable. Use nightly TypeScript to silence this error. Try updating with 'npm install -D typescript@next'."),Each_declaration_of_0_1_differs_in_its_value_where_2_was_expected_but_3_was_given:M(4125,1,"Each_declaration_of_0_1_differs_in_its_value_where_2_was_expected_but_3_was_given_4125","Each declaration of '{0}.{1}' differs in its value, where '{2}' was expected but '{3}' was given."),One_value_of_0_1_is_the_string_2_and_the_other_is_assumed_to_be_an_unknown_numeric_value:M(4126,1,"One_value_of_0_1_is_the_string_2_and_the_other_is_assumed_to_be_an_unknown_numeric_value_4126","One value of '{0}.{1}' is the string '{2}', and the other is assumed to be an unknown numeric value."),The_current_host_does_not_support_the_0_option:M(5001,1,"The_current_host_does_not_support_the_0_option_5001","The current host does not support the '{0}' option."),Cannot_find_the_common_subdirectory_path_for_the_input_files:M(5009,1,"Cannot_find_the_common_subdirectory_path_for_the_input_files_5009","Cannot find the common subdirectory path for the input files."),File_specification_cannot_end_in_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0:M(5010,1,"File_specification_cannot_end_in_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0_5010","File specification cannot end in a recursive directory wildcard ('**'): '{0}'."),Cannot_read_file_0_Colon_1:M(5012,1,"Cannot_read_file_0_Colon_1_5012","Cannot read file '{0}': {1}."),Unknown_compiler_option_0:M(5023,1,"Unknown_compiler_option_0_5023","Unknown compiler option '{0}'."),Compiler_option_0_requires_a_value_of_type_1:M(5024,1,"Compiler_option_0_requires_a_value_of_type_1_5024","Compiler option '{0}' requires a value of type {1}."),Unknown_compiler_option_0_Did_you_mean_1:M(5025,1,"Unknown_compiler_option_0_Did_you_mean_1_5025","Unknown compiler option '{0}'. Did you mean '{1}'?"),Could_not_write_file_0_Colon_1:M(5033,1,"Could_not_write_file_0_Colon_1_5033","Could not write file '{0}': {1}."),Option_project_cannot_be_mixed_with_source_files_on_a_command_line:M(5042,1,"Option_project_cannot_be_mixed_with_source_files_on_a_command_line_5042","Option 'project' cannot be mixed with source files on a command line."),Option_isolatedModules_can_only_be_used_when_either_option_module_is_provided_or_option_target_is_ES2015_or_higher:M(5047,1,"Option_isolatedModules_can_only_be_used_when_either_option_module_is_provided_or_option_target_is_ES_5047","Option 'isolatedModules' can only be used when either option '--module' is provided or option 'target' is 'ES2015' or higher."),Option_0_can_only_be_used_when_either_option_inlineSourceMap_or_option_sourceMap_is_provided:M(5051,1,"Option_0_can_only_be_used_when_either_option_inlineSourceMap_or_option_sourceMap_is_provided_5051","Option '{0} can only be used when either option '--inlineSourceMap' or option '--sourceMap' is provided."),Option_0_cannot_be_specified_without_specifying_option_1:M(5052,1,"Option_0_cannot_be_specified_without_specifying_option_1_5052","Option '{0}' cannot be specified without specifying option '{1}'."),Option_0_cannot_be_specified_with_option_1:M(5053,1,"Option_0_cannot_be_specified_with_option_1_5053","Option '{0}' cannot be specified with option '{1}'."),A_tsconfig_json_file_is_already_defined_at_Colon_0:M(5054,1,"A_tsconfig_json_file_is_already_defined_at_Colon_0_5054","A 'tsconfig.json' file is already defined at: '{0}'."),Cannot_write_file_0_because_it_would_overwrite_input_file:M(5055,1,"Cannot_write_file_0_because_it_would_overwrite_input_file_5055","Cannot write file '{0}' because it would overwrite input file."),Cannot_write_file_0_because_it_would_be_overwritten_by_multiple_input_files:M(5056,1,"Cannot_write_file_0_because_it_would_be_overwritten_by_multiple_input_files_5056","Cannot write file '{0}' because it would be overwritten by multiple input files."),Cannot_find_a_tsconfig_json_file_at_the_specified_directory_Colon_0:M(5057,1,"Cannot_find_a_tsconfig_json_file_at_the_specified_directory_Colon_0_5057","Cannot find a tsconfig.json file at the specified directory: '{0}'."),The_specified_path_does_not_exist_Colon_0:M(5058,1,"The_specified_path_does_not_exist_Colon_0_5058","The specified path does not exist: '{0}'."),Invalid_value_for_reactNamespace_0_is_not_a_valid_identifier:M(5059,1,"Invalid_value_for_reactNamespace_0_is_not_a_valid_identifier_5059","Invalid value for '--reactNamespace'. '{0}' is not a valid identifier."),Pattern_0_can_have_at_most_one_Asterisk_character:M(5061,1,"Pattern_0_can_have_at_most_one_Asterisk_character_5061","Pattern '{0}' can have at most one '*' character."),Substitution_0_in_pattern_1_can_have_at_most_one_Asterisk_character:M(5062,1,"Substitution_0_in_pattern_1_can_have_at_most_one_Asterisk_character_5062","Substitution '{0}' in pattern '{1}' can have at most one '*' character."),Substitutions_for_pattern_0_should_be_an_array:M(5063,1,"Substitutions_for_pattern_0_should_be_an_array_5063","Substitutions for pattern '{0}' should be an array."),Substitution_0_for_pattern_1_has_incorrect_type_expected_string_got_2:M(5064,1,"Substitution_0_for_pattern_1_has_incorrect_type_expected_string_got_2_5064","Substitution '{0}' for pattern '{1}' has incorrect type, expected 'string', got '{2}'."),File_specification_cannot_contain_a_parent_directory_that_appears_after_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0:M(5065,1,"File_specification_cannot_contain_a_parent_directory_that_appears_after_a_recursive_directory_wildca_5065","File specification cannot contain a parent directory ('..') that appears after a recursive directory wildcard ('**'): '{0}'."),Substitutions_for_pattern_0_shouldn_t_be_an_empty_array:M(5066,1,"Substitutions_for_pattern_0_shouldn_t_be_an_empty_array_5066","Substitutions for pattern '{0}' shouldn't be an empty array."),Invalid_value_for_jsxFactory_0_is_not_a_valid_identifier_or_qualified_name:M(5067,1,"Invalid_value_for_jsxFactory_0_is_not_a_valid_identifier_or_qualified_name_5067","Invalid value for 'jsxFactory'. '{0}' is not a valid identifier or qualified-name."),Adding_a_tsconfig_json_file_will_help_organize_projects_that_contain_both_TypeScript_and_JavaScript_files_Learn_more_at_https_Colon_Slash_Slashaka_ms_Slashtsconfig:M(5068,1,"Adding_a_tsconfig_json_file_will_help_organize_projects_that_contain_both_TypeScript_and_JavaScript__5068","Adding a tsconfig.json file will help organize projects that contain both TypeScript and JavaScript files. Learn more at https://aka.ms/tsconfig."),Option_0_cannot_be_specified_without_specifying_option_1_or_option_2:M(5069,1,"Option_0_cannot_be_specified_without_specifying_option_1_or_option_2_5069","Option '{0}' cannot be specified without specifying option '{1}' or option '{2}'."),Option_resolveJsonModule_cannot_be_specified_when_moduleResolution_is_set_to_classic:M(5070,1,"Option_resolveJsonModule_cannot_be_specified_when_moduleResolution_is_set_to_classic_5070","Option '--resolveJsonModule' cannot be specified when 'moduleResolution' is set to 'classic'."),Option_resolveJsonModule_cannot_be_specified_when_module_is_set_to_none_system_or_umd:M(5071,1,"Option_resolveJsonModule_cannot_be_specified_when_module_is_set_to_none_system_or_umd_5071","Option '--resolveJsonModule' cannot be specified when 'module' is set to 'none', 'system', or 'umd'."),Unknown_build_option_0:M(5072,1,"Unknown_build_option_0_5072","Unknown build option '{0}'."),Build_option_0_requires_a_value_of_type_1:M(5073,1,"Build_option_0_requires_a_value_of_type_1_5073","Build option '{0}' requires a value of type {1}."),Option_incremental_can_only_be_specified_using_tsconfig_emitting_to_single_file_or_when_option_tsBuildInfoFile_is_specified:M(5074,1,"Option_incremental_can_only_be_specified_using_tsconfig_emitting_to_single_file_or_when_option_tsBui_5074","Option '--incremental' can only be specified using tsconfig, emitting to single file or when option '--tsBuildInfoFile' is specified."),_0_is_assignable_to_the_constraint_of_type_1_but_1_could_be_instantiated_with_a_different_subtype_of_constraint_2:M(5075,1,"_0_is_assignable_to_the_constraint_of_type_1_but_1_could_be_instantiated_with_a_different_subtype_of_5075","'{0}' is assignable to the constraint of type '{1}', but '{1}' could be instantiated with a different subtype of constraint '{2}'."),_0_and_1_operations_cannot_be_mixed_without_parentheses:M(5076,1,"_0_and_1_operations_cannot_be_mixed_without_parentheses_5076","'{0}' and '{1}' operations cannot be mixed without parentheses."),Unknown_build_option_0_Did_you_mean_1:M(5077,1,"Unknown_build_option_0_Did_you_mean_1_5077","Unknown build option '{0}'. Did you mean '{1}'?"),Unknown_watch_option_0:M(5078,1,"Unknown_watch_option_0_5078","Unknown watch option '{0}'."),Unknown_watch_option_0_Did_you_mean_1:M(5079,1,"Unknown_watch_option_0_Did_you_mean_1_5079","Unknown watch option '{0}'. Did you mean '{1}'?"),Watch_option_0_requires_a_value_of_type_1:M(5080,1,"Watch_option_0_requires_a_value_of_type_1_5080","Watch option '{0}' requires a value of type {1}."),Cannot_find_a_tsconfig_json_file_at_the_current_directory_Colon_0:M(5081,1,"Cannot_find_a_tsconfig_json_file_at_the_current_directory_Colon_0_5081","Cannot find a tsconfig.json file at the current directory: {0}."),_0_could_be_instantiated_with_an_arbitrary_type_which_could_be_unrelated_to_1:M(5082,1,"_0_could_be_instantiated_with_an_arbitrary_type_which_could_be_unrelated_to_1_5082","'{0}' could be instantiated with an arbitrary type which could be unrelated to '{1}'."),Cannot_read_file_0:M(5083,1,"Cannot_read_file_0_5083","Cannot read file '{0}'."),A_tuple_member_cannot_be_both_optional_and_rest:M(5085,1,"A_tuple_member_cannot_be_both_optional_and_rest_5085","A tuple member cannot be both optional and rest."),A_labeled_tuple_element_is_declared_as_optional_with_a_question_mark_after_the_name_and_before_the_colon_rather_than_after_the_type:M(5086,1,"A_labeled_tuple_element_is_declared_as_optional_with_a_question_mark_after_the_name_and_before_the_c_5086","A labeled tuple element is declared as optional with a question mark after the name and before the colon, rather than after the type."),A_labeled_tuple_element_is_declared_as_rest_with_a_before_the_name_rather_than_before_the_type:M(5087,1,"A_labeled_tuple_element_is_declared_as_rest_with_a_before_the_name_rather_than_before_the_type_5087","A labeled tuple element is declared as rest with a '...' before the name, rather than before the type."),The_inferred_type_of_0_references_a_type_with_a_cyclic_structure_which_cannot_be_trivially_serialized_A_type_annotation_is_necessary:M(5088,1,"The_inferred_type_of_0_references_a_type_with_a_cyclic_structure_which_cannot_be_trivially_serialize_5088","The inferred type of '{0}' references a type with a cyclic structure which cannot be trivially serialized. A type annotation is necessary."),Option_0_cannot_be_specified_when_option_jsx_is_1:M(5089,1,"Option_0_cannot_be_specified_when_option_jsx_is_1_5089","Option '{0}' cannot be specified when option 'jsx' is '{1}'."),Non_relative_paths_are_not_allowed_when_baseUrl_is_not_set_Did_you_forget_a_leading_Slash:M(5090,1,"Non_relative_paths_are_not_allowed_when_baseUrl_is_not_set_Did_you_forget_a_leading_Slash_5090","Non-relative paths are not allowed when 'baseUrl' is not set. Did you forget a leading './'?"),Option_preserveConstEnums_cannot_be_disabled_when_0_is_enabled:M(5091,1,"Option_preserveConstEnums_cannot_be_disabled_when_0_is_enabled_5091","Option 'preserveConstEnums' cannot be disabled when '{0}' is enabled."),The_root_value_of_a_0_file_must_be_an_object:M(5092,1,"The_root_value_of_a_0_file_must_be_an_object_5092","The root value of a '{0}' file must be an object."),Compiler_option_0_may_only_be_used_with_build:M(5093,1,"Compiler_option_0_may_only_be_used_with_build_5093","Compiler option '--{0}' may only be used with '--build'."),Compiler_option_0_may_not_be_used_with_build:M(5094,1,"Compiler_option_0_may_not_be_used_with_build_5094","Compiler option '--{0}' may not be used with '--build'."),Option_0_can_only_be_used_when_module_is_set_to_preserve_or_to_es2015_or_later:M(5095,1,"Option_0_can_only_be_used_when_module_is_set_to_preserve_or_to_es2015_or_later_5095","Option '{0}' can only be used when 'module' is set to 'preserve' or to 'es2015' or later."),Option_allowImportingTsExtensions_can_only_be_used_when_either_noEmit_or_emitDeclarationOnly_is_set:M(5096,1,"Option_allowImportingTsExtensions_can_only_be_used_when_either_noEmit_or_emitDeclarationOnly_is_set_5096","Option 'allowImportingTsExtensions' can only be used when either 'noEmit' or 'emitDeclarationOnly' is set."),An_import_path_can_only_end_with_a_0_extension_when_allowImportingTsExtensions_is_enabled:M(5097,1,"An_import_path_can_only_end_with_a_0_extension_when_allowImportingTsExtensions_is_enabled_5097","An import path can only end with a '{0}' extension when 'allowImportingTsExtensions' is enabled."),Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler:M(5098,1,"Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler_5098","Option '{0}' can only be used when 'moduleResolution' is set to 'node16', 'nodenext', or 'bundler'."),Option_0_is_deprecated_and_will_stop_functioning_in_TypeScript_1_Specify_compilerOption_ignoreDeprecations_Colon_2_to_silence_this_error:M(5101,1,"Option_0_is_deprecated_and_will_stop_functioning_in_TypeScript_1_Specify_compilerOption_ignoreDeprec_5101",`Option '{0}' is deprecated and will stop functioning in TypeScript {1}. Specify compilerOption '"ignoreDeprecations": "{2}"' to silence this error.`),Option_0_has_been_removed_Please_remove_it_from_your_configuration:M(5102,1,"Option_0_has_been_removed_Please_remove_it_from_your_configuration_5102","Option '{0}' has been removed. Please remove it from your configuration."),Invalid_value_for_ignoreDeprecations:M(5103,1,"Invalid_value_for_ignoreDeprecations_5103","Invalid value for '--ignoreDeprecations'."),Option_0_is_redundant_and_cannot_be_specified_with_option_1:M(5104,1,"Option_0_is_redundant_and_cannot_be_specified_with_option_1_5104","Option '{0}' is redundant and cannot be specified with option '{1}'."),Option_verbatimModuleSyntax_cannot_be_used_when_module_is_set_to_UMD_AMD_or_System:M(5105,1,"Option_verbatimModuleSyntax_cannot_be_used_when_module_is_set_to_UMD_AMD_or_System_5105","Option 'verbatimModuleSyntax' cannot be used when 'module' is set to 'UMD', 'AMD', or 'System'."),Use_0_instead:M(5106,3,"Use_0_instead_5106","Use '{0}' instead."),Option_0_1_is_deprecated_and_will_stop_functioning_in_TypeScript_2_Specify_compilerOption_ignoreDeprecations_Colon_3_to_silence_this_error:M(5107,1,"Option_0_1_is_deprecated_and_will_stop_functioning_in_TypeScript_2_Specify_compilerOption_ignoreDepr_5107",`Option '{0}={1}' is deprecated and will stop functioning in TypeScript {2}. Specify compilerOption '"ignoreDeprecations": "{3}"' to silence this error.`),Option_0_1_has_been_removed_Please_remove_it_from_your_configuration:M(5108,1,"Option_0_1_has_been_removed_Please_remove_it_from_your_configuration_5108","Option '{0}={1}' has been removed. Please remove it from your configuration."),Option_moduleResolution_must_be_set_to_0_or_left_unspecified_when_option_module_is_set_to_1:M(5109,1,"Option_moduleResolution_must_be_set_to_0_or_left_unspecified_when_option_module_is_set_to_1_5109","Option 'moduleResolution' must be set to '{0}' (or left unspecified) when option 'module' is set to '{1}'."),Option_module_must_be_set_to_0_when_option_moduleResolution_is_set_to_1:M(5110,1,"Option_module_must_be_set_to_0_when_option_moduleResolution_is_set_to_1_5110","Option 'module' must be set to '{0}' when option 'moduleResolution' is set to '{1}'."),Generates_a_sourcemap_for_each_corresponding_d_ts_file:M(6e3,3,"Generates_a_sourcemap_for_each_corresponding_d_ts_file_6000","Generates a sourcemap for each corresponding '.d.ts' file."),Concatenate_and_emit_output_to_single_file:M(6001,3,"Concatenate_and_emit_output_to_single_file_6001","Concatenate and emit output to single file."),Generates_corresponding_d_ts_file:M(6002,3,"Generates_corresponding_d_ts_file_6002","Generates corresponding '.d.ts' file."),Specify_the_location_where_debugger_should_locate_TypeScript_files_instead_of_source_locations:M(6004,3,"Specify_the_location_where_debugger_should_locate_TypeScript_files_instead_of_source_locations_6004","Specify the location where debugger should locate TypeScript files instead of source locations."),Watch_input_files:M(6005,3,"Watch_input_files_6005","Watch input files."),Redirect_output_structure_to_the_directory:M(6006,3,"Redirect_output_structure_to_the_directory_6006","Redirect output structure to the directory."),Do_not_erase_const_enum_declarations_in_generated_code:M(6007,3,"Do_not_erase_const_enum_declarations_in_generated_code_6007","Do not erase const enum declarations in generated code."),Do_not_emit_outputs_if_any_errors_were_reported:M(6008,3,"Do_not_emit_outputs_if_any_errors_were_reported_6008","Do not emit outputs if any errors were reported."),Do_not_emit_comments_to_output:M(6009,3,"Do_not_emit_comments_to_output_6009","Do not emit comments to output."),Do_not_emit_outputs:M(6010,3,"Do_not_emit_outputs_6010","Do not emit outputs."),Allow_default_imports_from_modules_with_no_default_export_This_does_not_affect_code_emit_just_typechecking:M(6011,3,"Allow_default_imports_from_modules_with_no_default_export_This_does_not_affect_code_emit_just_typech_6011","Allow default imports from modules with no default export. This does not affect code emit, just typechecking."),Skip_type_checking_of_declaration_files:M(6012,3,"Skip_type_checking_of_declaration_files_6012","Skip type checking of declaration files."),Do_not_resolve_the_real_path_of_symlinks:M(6013,3,"Do_not_resolve_the_real_path_of_symlinks_6013","Do not resolve the real path of symlinks."),Only_emit_d_ts_declaration_files:M(6014,3,"Only_emit_d_ts_declaration_files_6014","Only emit '.d.ts' declaration files."),Specify_ECMAScript_target_version:M(6015,3,"Specify_ECMAScript_target_version_6015","Specify ECMAScript target version."),Specify_module_code_generation:M(6016,3,"Specify_module_code_generation_6016","Specify module code generation."),Print_this_message:M(6017,3,"Print_this_message_6017","Print this message."),Print_the_compiler_s_version:M(6019,3,"Print_the_compiler_s_version_6019","Print the compiler's version."),Compile_the_project_given_the_path_to_its_configuration_file_or_to_a_folder_with_a_tsconfig_json:M(6020,3,"Compile_the_project_given_the_path_to_its_configuration_file_or_to_a_folder_with_a_tsconfig_json_6020","Compile the project given the path to its configuration file, or to a folder with a 'tsconfig.json'."),Syntax_Colon_0:M(6023,3,"Syntax_Colon_0_6023","Syntax: {0}"),options:M(6024,3,"options_6024","options"),file:M(6025,3,"file_6025","file"),Examples_Colon_0:M(6026,3,"Examples_Colon_0_6026","Examples: {0}"),Options_Colon:M(6027,3,"Options_Colon_6027","Options:"),Version_0:M(6029,3,"Version_0_6029","Version {0}"),Insert_command_line_options_and_files_from_a_file:M(6030,3,"Insert_command_line_options_and_files_from_a_file_6030","Insert command line options and files from a file."),Starting_compilation_in_watch_mode:M(6031,3,"Starting_compilation_in_watch_mode_6031","Starting compilation in watch mode..."),File_change_detected_Starting_incremental_compilation:M(6032,3,"File_change_detected_Starting_incremental_compilation_6032","File change detected. Starting incremental compilation..."),KIND:M(6034,3,"KIND_6034","KIND"),FILE:M(6035,3,"FILE_6035","FILE"),VERSION:M(6036,3,"VERSION_6036","VERSION"),LOCATION:M(6037,3,"LOCATION_6037","LOCATION"),DIRECTORY:M(6038,3,"DIRECTORY_6038","DIRECTORY"),STRATEGY:M(6039,3,"STRATEGY_6039","STRATEGY"),FILE_OR_DIRECTORY:M(6040,3,"FILE_OR_DIRECTORY_6040","FILE OR DIRECTORY"),Errors_Files:M(6041,3,"Errors_Files_6041","Errors Files"),Generates_corresponding_map_file:M(6043,3,"Generates_corresponding_map_file_6043","Generates corresponding '.map' file."),Compiler_option_0_expects_an_argument:M(6044,1,"Compiler_option_0_expects_an_argument_6044","Compiler option '{0}' expects an argument."),Unterminated_quoted_string_in_response_file_0:M(6045,1,"Unterminated_quoted_string_in_response_file_0_6045","Unterminated quoted string in response file '{0}'."),Argument_for_0_option_must_be_Colon_1:M(6046,1,"Argument_for_0_option_must_be_Colon_1_6046","Argument for '{0}' option must be: {1}."),Locale_must_be_of_the_form_language_or_language_territory_For_example_0_or_1:M(6048,1,"Locale_must_be_of_the_form_language_or_language_territory_For_example_0_or_1_6048","Locale must be of the form <language> or <language>-<territory>. For example '{0}' or '{1}'."),Unable_to_open_file_0:M(6050,1,"Unable_to_open_file_0_6050","Unable to open file '{0}'."),Corrupted_locale_file_0:M(6051,1,"Corrupted_locale_file_0_6051","Corrupted locale file {0}."),Raise_error_on_expressions_and_declarations_with_an_implied_any_type:M(6052,3,"Raise_error_on_expressions_and_declarations_with_an_implied_any_type_6052","Raise error on expressions and declarations with an implied 'any' type."),File_0_not_found:M(6053,1,"File_0_not_found_6053","File '{0}' not found."),File_0_has_an_unsupported_extension_The_only_supported_extensions_are_1:M(6054,1,"File_0_has_an_unsupported_extension_The_only_supported_extensions_are_1_6054","File '{0}' has an unsupported extension. The only supported extensions are {1}."),Suppress_noImplicitAny_errors_for_indexing_objects_lacking_index_signatures:M(6055,3,"Suppress_noImplicitAny_errors_for_indexing_objects_lacking_index_signatures_6055","Suppress noImplicitAny errors for indexing objects lacking index signatures."),Do_not_emit_declarations_for_code_that_has_an_internal_annotation:M(6056,3,"Do_not_emit_declarations_for_code_that_has_an_internal_annotation_6056","Do not emit declarations for code that has an '@internal' annotation."),Specify_the_root_directory_of_input_files_Use_to_control_the_output_directory_structure_with_outDir:M(6058,3,"Specify_the_root_directory_of_input_files_Use_to_control_the_output_directory_structure_with_outDir_6058","Specify the root directory of input files. Use to control the output directory structure with --outDir."),File_0_is_not_under_rootDir_1_rootDir_is_expected_to_contain_all_source_files:M(6059,1,"File_0_is_not_under_rootDir_1_rootDir_is_expected_to_contain_all_source_files_6059","File '{0}' is not under 'rootDir' '{1}'. 'rootDir' is expected to contain all source files."),Specify_the_end_of_line_sequence_to_be_used_when_emitting_files_Colon_CRLF_dos_or_LF_unix:M(6060,3,"Specify_the_end_of_line_sequence_to_be_used_when_emitting_files_Colon_CRLF_dos_or_LF_unix_6060","Specify the end of line sequence to be used when emitting files: 'CRLF' (dos) or 'LF' (unix)."),NEWLINE:M(6061,3,"NEWLINE_6061","NEWLINE"),Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_null_on_command_line:M(6064,1,"Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_null_on_command_line_6064","Option '{0}' can only be specified in 'tsconfig.json' file or set to 'null' on command line."),Enables_experimental_support_for_ES7_decorators:M(6065,3,"Enables_experimental_support_for_ES7_decorators_6065","Enables experimental support for ES7 decorators."),Enables_experimental_support_for_emitting_type_metadata_for_decorators:M(6066,3,"Enables_experimental_support_for_emitting_type_metadata_for_decorators_6066","Enables experimental support for emitting type metadata for decorators."),Initializes_a_TypeScript_project_and_creates_a_tsconfig_json_file:M(6070,3,"Initializes_a_TypeScript_project_and_creates_a_tsconfig_json_file_6070","Initializes a TypeScript project and creates a tsconfig.json file."),Successfully_created_a_tsconfig_json_file:M(6071,3,"Successfully_created_a_tsconfig_json_file_6071","Successfully created a tsconfig.json file."),Suppress_excess_property_checks_for_object_literals:M(6072,3,"Suppress_excess_property_checks_for_object_literals_6072","Suppress excess property checks for object literals."),Stylize_errors_and_messages_using_color_and_context_experimental:M(6073,3,"Stylize_errors_and_messages_using_color_and_context_experimental_6073","Stylize errors and messages using color and context (experimental)."),Do_not_report_errors_on_unused_labels:M(6074,3,"Do_not_report_errors_on_unused_labels_6074","Do not report errors on unused labels."),Report_error_when_not_all_code_paths_in_function_return_a_value:M(6075,3,"Report_error_when_not_all_code_paths_in_function_return_a_value_6075","Report error when not all code paths in function return a value."),Report_errors_for_fallthrough_cases_in_switch_statement:M(6076,3,"Report_errors_for_fallthrough_cases_in_switch_statement_6076","Report errors for fallthrough cases in switch statement."),Do_not_report_errors_on_unreachable_code:M(6077,3,"Do_not_report_errors_on_unreachable_code_6077","Do not report errors on unreachable code."),Disallow_inconsistently_cased_references_to_the_same_file:M(6078,3,"Disallow_inconsistently_cased_references_to_the_same_file_6078","Disallow inconsistently-cased references to the same file."),Specify_library_files_to_be_included_in_the_compilation:M(6079,3,"Specify_library_files_to_be_included_in_the_compilation_6079","Specify library files to be included in the compilation."),Specify_JSX_code_generation:M(6080,3,"Specify_JSX_code_generation_6080","Specify JSX code generation."),Only_amd_and_system_modules_are_supported_alongside_0:M(6082,1,"Only_amd_and_system_modules_are_supported_alongside_0_6082","Only 'amd' and 'system' modules are supported alongside --{0}."),Base_directory_to_resolve_non_absolute_module_names:M(6083,3,"Base_directory_to_resolve_non_absolute_module_names_6083","Base directory to resolve non-absolute module names."),Deprecated_Use_jsxFactory_instead_Specify_the_object_invoked_for_createElement_when_targeting_react_JSX_emit:M(6084,3,"Deprecated_Use_jsxFactory_instead_Specify_the_object_invoked_for_createElement_when_targeting_react__6084","[Deprecated] Use '--jsxFactory' instead. Specify the object invoked for createElement when targeting 'react' JSX emit"),Enable_tracing_of_the_name_resolution_process:M(6085,3,"Enable_tracing_of_the_name_resolution_process_6085","Enable tracing of the name resolution process."),Resolving_module_0_from_1:M(6086,3,"Resolving_module_0_from_1_6086","======== Resolving module '{0}' from '{1}'. ========"),Explicitly_specified_module_resolution_kind_Colon_0:M(6087,3,"Explicitly_specified_module_resolution_kind_Colon_0_6087","Explicitly specified module resolution kind: '{0}'."),Module_resolution_kind_is_not_specified_using_0:M(6088,3,"Module_resolution_kind_is_not_specified_using_0_6088","Module resolution kind is not specified, using '{0}'."),Module_name_0_was_successfully_resolved_to_1:M(6089,3,"Module_name_0_was_successfully_resolved_to_1_6089","======== Module name '{0}' was successfully resolved to '{1}'. ========"),Module_name_0_was_not_resolved:M(6090,3,"Module_name_0_was_not_resolved_6090","======== Module name '{0}' was not resolved. ========"),paths_option_is_specified_looking_for_a_pattern_to_match_module_name_0:M(6091,3,"paths_option_is_specified_looking_for_a_pattern_to_match_module_name_0_6091","'paths' option is specified, looking for a pattern to match module name '{0}'."),Module_name_0_matched_pattern_1:M(6092,3,"Module_name_0_matched_pattern_1_6092","Module name '{0}', matched pattern '{1}'."),Trying_substitution_0_candidate_module_location_Colon_1:M(6093,3,"Trying_substitution_0_candidate_module_location_Colon_1_6093","Trying substitution '{0}', candidate module location: '{1}'."),Resolving_module_name_0_relative_to_base_url_1_2:M(6094,3,"Resolving_module_name_0_relative_to_base_url_1_2_6094","Resolving module name '{0}' relative to base url '{1}' - '{2}'."),Loading_module_as_file_Slash_folder_candidate_module_location_0_target_file_types_Colon_1:M(6095,3,"Loading_module_as_file_Slash_folder_candidate_module_location_0_target_file_types_Colon_1_6095","Loading module as file / folder, candidate module location '{0}', target file types: {1}."),File_0_does_not_exist:M(6096,3,"File_0_does_not_exist_6096","File '{0}' does not exist."),File_0_exists_use_it_as_a_name_resolution_result:M(6097,3,"File_0_exists_use_it_as_a_name_resolution_result_6097","File '{0}' exists - use it as a name resolution result."),Loading_module_0_from_node_modules_folder_target_file_types_Colon_1:M(6098,3,"Loading_module_0_from_node_modules_folder_target_file_types_Colon_1_6098","Loading module '{0}' from 'node_modules' folder, target file types: {1}."),Found_package_json_at_0:M(6099,3,"Found_package_json_at_0_6099","Found 'package.json' at '{0}'."),package_json_does_not_have_a_0_field:M(6100,3,"package_json_does_not_have_a_0_field_6100","'package.json' does not have a '{0}' field."),package_json_has_0_field_1_that_references_2:M(6101,3,"package_json_has_0_field_1_that_references_2_6101","'package.json' has '{0}' field '{1}' that references '{2}'."),Allow_javascript_files_to_be_compiled:M(6102,3,"Allow_javascript_files_to_be_compiled_6102","Allow javascript files to be compiled."),Checking_if_0_is_the_longest_matching_prefix_for_1_2:M(6104,3,"Checking_if_0_is_the_longest_matching_prefix_for_1_2_6104","Checking if '{0}' is the longest matching prefix for '{1}' - '{2}'."),Expected_type_of_0_field_in_package_json_to_be_1_got_2:M(6105,3,"Expected_type_of_0_field_in_package_json_to_be_1_got_2_6105","Expected type of '{0}' field in 'package.json' to be '{1}', got '{2}'."),baseUrl_option_is_set_to_0_using_this_value_to_resolve_non_relative_module_name_1:M(6106,3,"baseUrl_option_is_set_to_0_using_this_value_to_resolve_non_relative_module_name_1_6106","'baseUrl' option is set to '{0}', using this value to resolve non-relative module name '{1}'."),rootDirs_option_is_set_using_it_to_resolve_relative_module_name_0:M(6107,3,"rootDirs_option_is_set_using_it_to_resolve_relative_module_name_0_6107","'rootDirs' option is set, using it to resolve relative module name '{0}'."),Longest_matching_prefix_for_0_is_1:M(6108,3,"Longest_matching_prefix_for_0_is_1_6108","Longest matching prefix for '{0}' is '{1}'."),Loading_0_from_the_root_dir_1_candidate_location_2:M(6109,3,"Loading_0_from_the_root_dir_1_candidate_location_2_6109","Loading '{0}' from the root dir '{1}', candidate location '{2}'."),Trying_other_entries_in_rootDirs:M(6110,3,"Trying_other_entries_in_rootDirs_6110","Trying other entries in 'rootDirs'."),Module_resolution_using_rootDirs_has_failed:M(6111,3,"Module_resolution_using_rootDirs_has_failed_6111","Module resolution using 'rootDirs' has failed."),Do_not_emit_use_strict_directives_in_module_output:M(6112,3,"Do_not_emit_use_strict_directives_in_module_output_6112","Do not emit 'use strict' directives in module output."),Enable_strict_null_checks:M(6113,3,"Enable_strict_null_checks_6113","Enable strict null checks."),Unknown_option_excludes_Did_you_mean_exclude:M(6114,1,"Unknown_option_excludes_Did_you_mean_exclude_6114","Unknown option 'excludes'. Did you mean 'exclude'?"),Raise_error_on_this_expressions_with_an_implied_any_type:M(6115,3,"Raise_error_on_this_expressions_with_an_implied_any_type_6115","Raise error on 'this' expressions with an implied 'any' type."),Resolving_type_reference_directive_0_containing_file_1_root_directory_2:M(6116,3,"Resolving_type_reference_directive_0_containing_file_1_root_directory_2_6116","======== Resolving type reference directive '{0}', containing file '{1}', root directory '{2}'. ========"),Type_reference_directive_0_was_successfully_resolved_to_1_primary_Colon_2:M(6119,3,"Type_reference_directive_0_was_successfully_resolved_to_1_primary_Colon_2_6119","======== Type reference directive '{0}' was successfully resolved to '{1}', primary: {2}. ========"),Type_reference_directive_0_was_not_resolved:M(6120,3,"Type_reference_directive_0_was_not_resolved_6120","======== Type reference directive '{0}' was not resolved. ========"),Resolving_with_primary_search_path_0:M(6121,3,"Resolving_with_primary_search_path_0_6121","Resolving with primary search path '{0}'."),Root_directory_cannot_be_determined_skipping_primary_search_paths:M(6122,3,"Root_directory_cannot_be_determined_skipping_primary_search_paths_6122","Root directory cannot be determined, skipping primary search paths."),Resolving_type_reference_directive_0_containing_file_1_root_directory_not_set:M(6123,3,"Resolving_type_reference_directive_0_containing_file_1_root_directory_not_set_6123","======== Resolving type reference directive '{0}', containing file '{1}', root directory not set. ========"),Type_declaration_files_to_be_included_in_compilation:M(6124,3,"Type_declaration_files_to_be_included_in_compilation_6124","Type declaration files to be included in compilation."),Looking_up_in_node_modules_folder_initial_location_0:M(6125,3,"Looking_up_in_node_modules_folder_initial_location_0_6125","Looking up in 'node_modules' folder, initial location '{0}'."),Containing_file_is_not_specified_and_root_directory_cannot_be_determined_skipping_lookup_in_node_modules_folder:M(6126,3,"Containing_file_is_not_specified_and_root_directory_cannot_be_determined_skipping_lookup_in_node_mod_6126","Containing file is not specified and root directory cannot be determined, skipping lookup in 'node_modules' folder."),Resolving_type_reference_directive_0_containing_file_not_set_root_directory_1:M(6127,3,"Resolving_type_reference_directive_0_containing_file_not_set_root_directory_1_6127","======== Resolving type reference directive '{0}', containing file not set, root directory '{1}'. ========"),Resolving_type_reference_directive_0_containing_file_not_set_root_directory_not_set:M(6128,3,"Resolving_type_reference_directive_0_containing_file_not_set_root_directory_not_set_6128","======== Resolving type reference directive '{0}', containing file not set, root directory not set. ========"),Resolving_real_path_for_0_result_1:M(6130,3,"Resolving_real_path_for_0_result_1_6130","Resolving real path for '{0}', result '{1}'."),Cannot_compile_modules_using_option_0_unless_the_module_flag_is_amd_or_system:M(6131,1,"Cannot_compile_modules_using_option_0_unless_the_module_flag_is_amd_or_system_6131","Cannot compile modules using option '{0}' unless the '--module' flag is 'amd' or 'system'."),File_name_0_has_a_1_extension_stripping_it:M(6132,3,"File_name_0_has_a_1_extension_stripping_it_6132","File name '{0}' has a '{1}' extension - stripping it."),_0_is_declared_but_its_value_is_never_read:M(6133,1,"_0_is_declared_but_its_value_is_never_read_6133","'{0}' is declared but its value is never read.",!0),Report_errors_on_unused_locals:M(6134,3,"Report_errors_on_unused_locals_6134","Report errors on unused locals."),Report_errors_on_unused_parameters:M(6135,3,"Report_errors_on_unused_parameters_6135","Report errors on unused parameters."),The_maximum_dependency_depth_to_search_under_node_modules_and_load_JavaScript_files:M(6136,3,"The_maximum_dependency_depth_to_search_under_node_modules_and_load_JavaScript_files_6136","The maximum dependency depth to search under node_modules and load JavaScript files."),Cannot_import_type_declaration_files_Consider_importing_0_instead_of_1:M(6137,1,"Cannot_import_type_declaration_files_Consider_importing_0_instead_of_1_6137","Cannot import type declaration files. Consider importing '{0}' instead of '{1}'."),Property_0_is_declared_but_its_value_is_never_read:M(6138,1,"Property_0_is_declared_but_its_value_is_never_read_6138","Property '{0}' is declared but its value is never read.",!0),Import_emit_helpers_from_tslib:M(6139,3,"Import_emit_helpers_from_tslib_6139","Import emit helpers from 'tslib'."),Auto_discovery_for_typings_is_enabled_in_project_0_Running_extra_resolution_pass_for_module_1_using_cache_location_2:M(6140,1,"Auto_discovery_for_typings_is_enabled_in_project_0_Running_extra_resolution_pass_for_module_1_using__6140","Auto discovery for typings is enabled in project '{0}'. Running extra resolution pass for module '{1}' using cache location '{2}'."),Parse_in_strict_mode_and_emit_use_strict_for_each_source_file:M(6141,3,"Parse_in_strict_mode_and_emit_use_strict_for_each_source_file_6141",'Parse in strict mode and emit "use strict" for each source file.'),Module_0_was_resolved_to_1_but_jsx_is_not_set:M(6142,1,"Module_0_was_resolved_to_1_but_jsx_is_not_set_6142","Module '{0}' was resolved to '{1}', but '--jsx' is not set."),Module_0_was_resolved_as_locally_declared_ambient_module_in_file_1:M(6144,3,"Module_0_was_resolved_as_locally_declared_ambient_module_in_file_1_6144","Module '{0}' was resolved as locally declared ambient module in file '{1}'."),Specify_the_JSX_factory_function_to_use_when_targeting_react_JSX_emit_e_g_React_createElement_or_h:M(6146,3,"Specify_the_JSX_factory_function_to_use_when_targeting_react_JSX_emit_e_g_React_createElement_or_h_6146","Specify the JSX factory function to use when targeting 'react' JSX emit, e.g. 'React.createElement' or 'h'."),Resolution_for_module_0_was_found_in_cache_from_location_1:M(6147,3,"Resolution_for_module_0_was_found_in_cache_from_location_1_6147","Resolution for module '{0}' was found in cache from location '{1}'."),Directory_0_does_not_exist_skipping_all_lookups_in_it:M(6148,3,"Directory_0_does_not_exist_skipping_all_lookups_in_it_6148","Directory '{0}' does not exist, skipping all lookups in it."),Show_diagnostic_information:M(6149,3,"Show_diagnostic_information_6149","Show diagnostic information."),Show_verbose_diagnostic_information:M(6150,3,"Show_verbose_diagnostic_information_6150","Show verbose diagnostic information."),Emit_a_single_file_with_source_maps_instead_of_having_a_separate_file:M(6151,3,"Emit_a_single_file_with_source_maps_instead_of_having_a_separate_file_6151","Emit a single file with source maps instead of having a separate file."),Emit_the_source_alongside_the_sourcemaps_within_a_single_file_requires_inlineSourceMap_or_sourceMap_to_be_set:M(6152,3,"Emit_the_source_alongside_the_sourcemaps_within_a_single_file_requires_inlineSourceMap_or_sourceMap__6152","Emit the source alongside the sourcemaps within a single file; requires '--inlineSourceMap' or '--sourceMap' to be set."),Transpile_each_file_as_a_separate_module_similar_to_ts_transpileModule:M(6153,3,"Transpile_each_file_as_a_separate_module_similar_to_ts_transpileModule_6153","Transpile each file as a separate module (similar to 'ts.transpileModule')."),Print_names_of_generated_files_part_of_the_compilation:M(6154,3,"Print_names_of_generated_files_part_of_the_compilation_6154","Print names of generated files part of the compilation."),Print_names_of_files_part_of_the_compilation:M(6155,3,"Print_names_of_files_part_of_the_compilation_6155","Print names of files part of the compilation."),The_locale_used_when_displaying_messages_to_the_user_e_g_en_us:M(6156,3,"The_locale_used_when_displaying_messages_to_the_user_e_g_en_us_6156","The locale used when displaying messages to the user (e.g. 'en-us')"),Do_not_generate_custom_helper_functions_like_extends_in_compiled_output:M(6157,3,"Do_not_generate_custom_helper_functions_like_extends_in_compiled_output_6157","Do not generate custom helper functions like '__extends' in compiled output."),Do_not_include_the_default_library_file_lib_d_ts:M(6158,3,"Do_not_include_the_default_library_file_lib_d_ts_6158","Do not include the default library file (lib.d.ts)."),Do_not_add_triple_slash_references_or_imported_modules_to_the_list_of_compiled_files:M(6159,3,"Do_not_add_triple_slash_references_or_imported_modules_to_the_list_of_compiled_files_6159","Do not add triple-slash references or imported modules to the list of compiled files."),Deprecated_Use_skipLibCheck_instead_Skip_type_checking_of_default_library_declaration_files:M(6160,3,"Deprecated_Use_skipLibCheck_instead_Skip_type_checking_of_default_library_declaration_files_6160","[Deprecated] Use '--skipLibCheck' instead. Skip type checking of default library declaration files."),List_of_folders_to_include_type_definitions_from:M(6161,3,"List_of_folders_to_include_type_definitions_from_6161","List of folders to include type definitions from."),Disable_size_limitations_on_JavaScript_projects:M(6162,3,"Disable_size_limitations_on_JavaScript_projects_6162","Disable size limitations on JavaScript projects."),The_character_set_of_the_input_files:M(6163,3,"The_character_set_of_the_input_files_6163","The character set of the input files."),Skipping_module_0_that_looks_like_an_absolute_URI_target_file_types_Colon_1:M(6164,3,"Skipping_module_0_that_looks_like_an_absolute_URI_target_file_types_Colon_1_6164","Skipping module '{0}' that looks like an absolute URI, target file types: {1}."),Do_not_truncate_error_messages:M(6165,3,"Do_not_truncate_error_messages_6165","Do not truncate error messages."),Output_directory_for_generated_declaration_files:M(6166,3,"Output_directory_for_generated_declaration_files_6166","Output directory for generated declaration files."),A_series_of_entries_which_re_map_imports_to_lookup_locations_relative_to_the_baseUrl:M(6167,3,"A_series_of_entries_which_re_map_imports_to_lookup_locations_relative_to_the_baseUrl_6167","A series of entries which re-map imports to lookup locations relative to the 'baseUrl'."),List_of_root_folders_whose_combined_content_represents_the_structure_of_the_project_at_runtime:M(6168,3,"List_of_root_folders_whose_combined_content_represents_the_structure_of_the_project_at_runtime_6168","List of root folders whose combined content represents the structure of the project at runtime."),Show_all_compiler_options:M(6169,3,"Show_all_compiler_options_6169","Show all compiler options."),Deprecated_Use_outFile_instead_Concatenate_and_emit_output_to_single_file:M(6170,3,"Deprecated_Use_outFile_instead_Concatenate_and_emit_output_to_single_file_6170","[Deprecated] Use '--outFile' instead. Concatenate and emit output to single file"),Command_line_Options:M(6171,3,"Command_line_Options_6171","Command-line Options"),Provide_full_support_for_iterables_in_for_of_spread_and_destructuring_when_targeting_ES5:M(6179,3,"Provide_full_support_for_iterables_in_for_of_spread_and_destructuring_when_targeting_ES5_6179","Provide full support for iterables in 'for-of', spread, and destructuring when targeting 'ES5'."),Enable_all_strict_type_checking_options:M(6180,3,"Enable_all_strict_type_checking_options_6180","Enable all strict type-checking options."),Scoped_package_detected_looking_in_0:M(6182,3,"Scoped_package_detected_looking_in_0_6182","Scoped package detected, looking in '{0}'"),Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:M(6183,3,"Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_6183","Reusing resolution of module '{0}' from '{1}' of old program, it was successfully resolved to '{2}'."),Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:M(6184,3,"Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package__6184","Reusing resolution of module '{0}' from '{1}' of old program, it was successfully resolved to '{2}' with Package ID '{3}'."),Enable_strict_checking_of_function_types:M(6186,3,"Enable_strict_checking_of_function_types_6186","Enable strict checking of function types."),Enable_strict_checking_of_property_initialization_in_classes:M(6187,3,"Enable_strict_checking_of_property_initialization_in_classes_6187","Enable strict checking of property initialization in classes."),Numeric_separators_are_not_allowed_here:M(6188,1,"Numeric_separators_are_not_allowed_here_6188","Numeric separators are not allowed here."),Multiple_consecutive_numeric_separators_are_not_permitted:M(6189,1,"Multiple_consecutive_numeric_separators_are_not_permitted_6189","Multiple consecutive numeric separators are not permitted."),Whether_to_keep_outdated_console_output_in_watch_mode_instead_of_clearing_the_screen:M(6191,3,"Whether_to_keep_outdated_console_output_in_watch_mode_instead_of_clearing_the_screen_6191","Whether to keep outdated console output in watch mode instead of clearing the screen."),All_imports_in_import_declaration_are_unused:M(6192,1,"All_imports_in_import_declaration_are_unused_6192","All imports in import declaration are unused.",!0),Found_1_error_Watching_for_file_changes:M(6193,3,"Found_1_error_Watching_for_file_changes_6193","Found 1 error. Watching for file changes."),Found_0_errors_Watching_for_file_changes:M(6194,3,"Found_0_errors_Watching_for_file_changes_6194","Found {0} errors. Watching for file changes."),Resolve_keyof_to_string_valued_property_names_only_no_numbers_or_symbols:M(6195,3,"Resolve_keyof_to_string_valued_property_names_only_no_numbers_or_symbols_6195","Resolve 'keyof' to string valued property names only (no numbers or symbols)."),_0_is_declared_but_never_used:M(6196,1,"_0_is_declared_but_never_used_6196","'{0}' is declared but never used.",!0),Include_modules_imported_with_json_extension:M(6197,3,"Include_modules_imported_with_json_extension_6197","Include modules imported with '.json' extension"),All_destructured_elements_are_unused:M(6198,1,"All_destructured_elements_are_unused_6198","All destructured elements are unused.",!0),All_variables_are_unused:M(6199,1,"All_variables_are_unused_6199","All variables are unused.",!0),Definitions_of_the_following_identifiers_conflict_with_those_in_another_file_Colon_0:M(6200,1,"Definitions_of_the_following_identifiers_conflict_with_those_in_another_file_Colon_0_6200","Definitions of the following identifiers conflict with those in another file: {0}"),Conflicts_are_in_this_file:M(6201,3,"Conflicts_are_in_this_file_6201","Conflicts are in this file."),Project_references_may_not_form_a_circular_graph_Cycle_detected_Colon_0:M(6202,1,"Project_references_may_not_form_a_circular_graph_Cycle_detected_Colon_0_6202","Project references may not form a circular graph. Cycle detected: {0}"),_0_was_also_declared_here:M(6203,3,"_0_was_also_declared_here_6203","'{0}' was also declared here."),and_here:M(6204,3,"and_here_6204","and here."),All_type_parameters_are_unused:M(6205,1,"All_type_parameters_are_unused_6205","All type parameters are unused."),package_json_has_a_typesVersions_field_with_version_specific_path_mappings:M(6206,3,"package_json_has_a_typesVersions_field_with_version_specific_path_mappings_6206","'package.json' has a 'typesVersions' field with version-specific path mappings."),package_json_does_not_have_a_typesVersions_entry_that_matches_version_0:M(6207,3,"package_json_does_not_have_a_typesVersions_entry_that_matches_version_0_6207","'package.json' does not have a 'typesVersions' entry that matches version '{0}'."),package_json_has_a_typesVersions_entry_0_that_matches_compiler_version_1_looking_for_a_pattern_to_match_module_name_2:M(6208,3,"package_json_has_a_typesVersions_entry_0_that_matches_compiler_version_1_looking_for_a_pattern_to_ma_6208","'package.json' has a 'typesVersions' entry '{0}' that matches compiler version '{1}', looking for a pattern to match module name '{2}'."),package_json_has_a_typesVersions_entry_0_that_is_not_a_valid_semver_range:M(6209,3,"package_json_has_a_typesVersions_entry_0_that_is_not_a_valid_semver_range_6209","'package.json' has a 'typesVersions' entry '{0}' that is not a valid semver range."),An_argument_for_0_was_not_provided:M(6210,3,"An_argument_for_0_was_not_provided_6210","An argument for '{0}' was not provided."),An_argument_matching_this_binding_pattern_was_not_provided:M(6211,3,"An_argument_matching_this_binding_pattern_was_not_provided_6211","An argument matching this binding pattern was not provided."),Did_you_mean_to_call_this_expression:M(6212,3,"Did_you_mean_to_call_this_expression_6212","Did you mean to call this expression?"),Did_you_mean_to_use_new_with_this_expression:M(6213,3,"Did_you_mean_to_use_new_with_this_expression_6213","Did you mean to use 'new' with this expression?"),Enable_strict_bind_call_and_apply_methods_on_functions:M(6214,3,"Enable_strict_bind_call_and_apply_methods_on_functions_6214","Enable strict 'bind', 'call', and 'apply' methods on functions."),Using_compiler_options_of_project_reference_redirect_0:M(6215,3,"Using_compiler_options_of_project_reference_redirect_0_6215","Using compiler options of project reference redirect '{0}'."),Found_1_error:M(6216,3,"Found_1_error_6216","Found 1 error."),Found_0_errors:M(6217,3,"Found_0_errors_6217","Found {0} errors."),Module_name_0_was_successfully_resolved_to_1_with_Package_ID_2:M(6218,3,"Module_name_0_was_successfully_resolved_to_1_with_Package_ID_2_6218","======== Module name '{0}' was successfully resolved to '{1}' with Package ID '{2}'. ========"),Type_reference_directive_0_was_successfully_resolved_to_1_with_Package_ID_2_primary_Colon_3:M(6219,3,"Type_reference_directive_0_was_successfully_resolved_to_1_with_Package_ID_2_primary_Colon_3_6219","======== Type reference directive '{0}' was successfully resolved to '{1}' with Package ID '{2}', primary: {3}. ========"),package_json_had_a_falsy_0_field:M(6220,3,"package_json_had_a_falsy_0_field_6220","'package.json' had a falsy '{0}' field."),Disable_use_of_source_files_instead_of_declaration_files_from_referenced_projects:M(6221,3,"Disable_use_of_source_files_instead_of_declaration_files_from_referenced_projects_6221","Disable use of source files instead of declaration files from referenced projects."),Emit_class_fields_with_Define_instead_of_Set:M(6222,3,"Emit_class_fields_with_Define_instead_of_Set_6222","Emit class fields with Define instead of Set."),Generates_a_CPU_profile:M(6223,3,"Generates_a_CPU_profile_6223","Generates a CPU profile."),Disable_solution_searching_for_this_project:M(6224,3,"Disable_solution_searching_for_this_project_6224","Disable solution searching for this project."),Specify_strategy_for_watching_file_Colon_FixedPollingInterval_default_PriorityPollingInterval_DynamicPriorityPolling_FixedChunkSizePolling_UseFsEvents_UseFsEventsOnParentDirectory:M(6225,3,"Specify_strategy_for_watching_file_Colon_FixedPollingInterval_default_PriorityPollingInterval_Dynami_6225","Specify strategy for watching file: 'FixedPollingInterval' (default), 'PriorityPollingInterval', 'DynamicPriorityPolling', 'FixedChunkSizePolling', 'UseFsEvents', 'UseFsEventsOnParentDirectory'."),Specify_strategy_for_watching_directory_on_platforms_that_don_t_support_recursive_watching_natively_Colon_UseFsEvents_default_FixedPollingInterval_DynamicPriorityPolling_FixedChunkSizePolling:M(6226,3,"Specify_strategy_for_watching_directory_on_platforms_that_don_t_support_recursive_watching_natively__6226","Specify strategy for watching directory on platforms that don't support recursive watching natively: 'UseFsEvents' (default), 'FixedPollingInterval', 'DynamicPriorityPolling', 'FixedChunkSizePolling'."),Specify_strategy_for_creating_a_polling_watch_when_it_fails_to_create_using_file_system_events_Colon_FixedInterval_default_PriorityInterval_DynamicPriority_FixedChunkSize:M(6227,3,"Specify_strategy_for_creating_a_polling_watch_when_it_fails_to_create_using_file_system_events_Colon_6227","Specify strategy for creating a polling watch when it fails to create using file system events: 'FixedInterval' (default), 'PriorityInterval', 'DynamicPriority', 'FixedChunkSize'."),Tag_0_expects_at_least_1_arguments_but_the_JSX_factory_2_provides_at_most_3:M(6229,1,"Tag_0_expects_at_least_1_arguments_but_the_JSX_factory_2_provides_at_most_3_6229","Tag '{0}' expects at least '{1}' arguments, but the JSX factory '{2}' provides at most '{3}'."),Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_false_or_null_on_command_line:M(6230,1,"Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_false_or_null_on_command_line_6230","Option '{0}' can only be specified in 'tsconfig.json' file or set to 'false' or 'null' on command line."),Could_not_resolve_the_path_0_with_the_extensions_Colon_1:M(6231,1,"Could_not_resolve_the_path_0_with_the_extensions_Colon_1_6231","Could not resolve the path '{0}' with the extensions: {1}."),Declaration_augments_declaration_in_another_file_This_cannot_be_serialized:M(6232,1,"Declaration_augments_declaration_in_another_file_This_cannot_be_serialized_6232","Declaration augments declaration in another file. This cannot be serialized."),This_is_the_declaration_being_augmented_Consider_moving_the_augmenting_declaration_into_the_same_file:M(6233,1,"This_is_the_declaration_being_augmented_Consider_moving_the_augmenting_declaration_into_the_same_fil_6233","This is the declaration being augmented. Consider moving the augmenting declaration into the same file."),This_expression_is_not_callable_because_it_is_a_get_accessor_Did_you_mean_to_use_it_without:M(6234,1,"This_expression_is_not_callable_because_it_is_a_get_accessor_Did_you_mean_to_use_it_without_6234","This expression is not callable because it is a 'get' accessor. Did you mean to use it without '()'?"),Disable_loading_referenced_projects:M(6235,3,"Disable_loading_referenced_projects_6235","Disable loading referenced projects."),Arguments_for_the_rest_parameter_0_were_not_provided:M(6236,1,"Arguments_for_the_rest_parameter_0_were_not_provided_6236","Arguments for the rest parameter '{0}' were not provided."),Generates_an_event_trace_and_a_list_of_types:M(6237,3,"Generates_an_event_trace_and_a_list_of_types_6237","Generates an event trace and a list of types."),Specify_the_module_specifier_to_be_used_to_import_the_jsx_and_jsxs_factory_functions_from_eg_react:M(6238,1,"Specify_the_module_specifier_to_be_used_to_import_the_jsx_and_jsxs_factory_functions_from_eg_react_6238","Specify the module specifier to be used to import the 'jsx' and 'jsxs' factory functions from. eg, react"),File_0_exists_according_to_earlier_cached_lookups:M(6239,3,"File_0_exists_according_to_earlier_cached_lookups_6239","File '{0}' exists according to earlier cached lookups."),File_0_does_not_exist_according_to_earlier_cached_lookups:M(6240,3,"File_0_does_not_exist_according_to_earlier_cached_lookups_6240","File '{0}' does not exist according to earlier cached lookups."),Resolution_for_type_reference_directive_0_was_found_in_cache_from_location_1:M(6241,3,"Resolution_for_type_reference_directive_0_was_found_in_cache_from_location_1_6241","Resolution for type reference directive '{0}' was found in cache from location '{1}'."),Resolving_type_reference_directive_0_containing_file_1:M(6242,3,"Resolving_type_reference_directive_0_containing_file_1_6242","======== Resolving type reference directive '{0}', containing file '{1}'. ========"),Interpret_optional_property_types_as_written_rather_than_adding_undefined:M(6243,3,"Interpret_optional_property_types_as_written_rather_than_adding_undefined_6243","Interpret optional property types as written, rather than adding 'undefined'."),Modules:M(6244,3,"Modules_6244","Modules"),File_Management:M(6245,3,"File_Management_6245","File Management"),Emit:M(6246,3,"Emit_6246","Emit"),JavaScript_Support:M(6247,3,"JavaScript_Support_6247","JavaScript Support"),Type_Checking:M(6248,3,"Type_Checking_6248","Type Checking"),Editor_Support:M(6249,3,"Editor_Support_6249","Editor Support"),Watch_and_Build_Modes:M(6250,3,"Watch_and_Build_Modes_6250","Watch and Build Modes"),Compiler_Diagnostics:M(6251,3,"Compiler_Diagnostics_6251","Compiler Diagnostics"),Interop_Constraints:M(6252,3,"Interop_Constraints_6252","Interop Constraints"),Backwards_Compatibility:M(6253,3,"Backwards_Compatibility_6253","Backwards Compatibility"),Language_and_Environment:M(6254,3,"Language_and_Environment_6254","Language and Environment"),Projects:M(6255,3,"Projects_6255","Projects"),Output_Formatting:M(6256,3,"Output_Formatting_6256","Output Formatting"),Completeness:M(6257,3,"Completeness_6257","Completeness"),_0_should_be_set_inside_the_compilerOptions_object_of_the_config_json_file:M(6258,1,"_0_should_be_set_inside_the_compilerOptions_object_of_the_config_json_file_6258","'{0}' should be set inside the 'compilerOptions' object of the config json file"),Found_1_error_in_0:M(6259,3,"Found_1_error_in_0_6259","Found 1 error in {0}"),Found_0_errors_in_the_same_file_starting_at_Colon_1:M(6260,3,"Found_0_errors_in_the_same_file_starting_at_Colon_1_6260","Found {0} errors in the same file, starting at: {1}"),Found_0_errors_in_1_files:M(6261,3,"Found_0_errors_in_1_files_6261","Found {0} errors in {1} files."),File_name_0_has_a_1_extension_looking_up_2_instead:M(6262,3,"File_name_0_has_a_1_extension_looking_up_2_instead_6262","File name '{0}' has a '{1}' extension - looking up '{2}' instead."),Module_0_was_resolved_to_1_but_allowArbitraryExtensions_is_not_set:M(6263,1,"Module_0_was_resolved_to_1_but_allowArbitraryExtensions_is_not_set_6263","Module '{0}' was resolved to '{1}', but '--allowArbitraryExtensions' is not set."),Enable_importing_files_with_any_extension_provided_a_declaration_file_is_present:M(6264,3,"Enable_importing_files_with_any_extension_provided_a_declaration_file_is_present_6264","Enable importing files with any extension, provided a declaration file is present."),Resolving_type_reference_directive_for_program_that_specifies_custom_typeRoots_skipping_lookup_in_node_modules_folder:M(6265,3,"Resolving_type_reference_directive_for_program_that_specifies_custom_typeRoots_skipping_lookup_in_no_6265","Resolving type reference directive for program that specifies custom typeRoots, skipping lookup in 'node_modules' folder."),Option_0_can_only_be_specified_on_command_line:M(6266,1,"Option_0_can_only_be_specified_on_command_line_6266","Option '{0}' can only be specified on command line."),Directory_0_has_no_containing_package_json_scope_Imports_will_not_resolve:M(6270,3,"Directory_0_has_no_containing_package_json_scope_Imports_will_not_resolve_6270","Directory '{0}' has no containing package.json scope. Imports will not resolve."),Import_specifier_0_does_not_exist_in_package_json_scope_at_path_1:M(6271,3,"Import_specifier_0_does_not_exist_in_package_json_scope_at_path_1_6271","Import specifier '{0}' does not exist in package.json scope at path '{1}'."),Invalid_import_specifier_0_has_no_possible_resolutions:M(6272,3,"Invalid_import_specifier_0_has_no_possible_resolutions_6272","Invalid import specifier '{0}' has no possible resolutions."),package_json_scope_0_has_no_imports_defined:M(6273,3,"package_json_scope_0_has_no_imports_defined_6273","package.json scope '{0}' has no imports defined."),package_json_scope_0_explicitly_maps_specifier_1_to_null:M(6274,3,"package_json_scope_0_explicitly_maps_specifier_1_to_null_6274","package.json scope '{0}' explicitly maps specifier '{1}' to null."),package_json_scope_0_has_invalid_type_for_target_of_specifier_1:M(6275,3,"package_json_scope_0_has_invalid_type_for_target_of_specifier_1_6275","package.json scope '{0}' has invalid type for target of specifier '{1}'"),Export_specifier_0_does_not_exist_in_package_json_scope_at_path_1:M(6276,3,"Export_specifier_0_does_not_exist_in_package_json_scope_at_path_1_6276","Export specifier '{0}' does not exist in package.json scope at path '{1}'."),Resolution_of_non_relative_name_failed_trying_with_modern_Node_resolution_features_disabled_to_see_if_npm_library_needs_configuration_update:M(6277,3,"Resolution_of_non_relative_name_failed_trying_with_modern_Node_resolution_features_disabled_to_see_i_6277","Resolution of non-relative name failed; trying with modern Node resolution features disabled to see if npm library needs configuration update."),There_are_types_at_0_but_this_result_could_not_be_resolved_when_respecting_package_json_exports_The_1_library_may_need_to_update_its_package_json_or_typings:M(6278,3,"There_are_types_at_0_but_this_result_could_not_be_resolved_when_respecting_package_json_exports_The__6278",`There are types at '{0}', but this result could not be resolved when respecting package.json "exports". The '{1}' library may need to update its package.json or typings.`),Resolution_of_non_relative_name_failed_trying_with_moduleResolution_bundler_to_see_if_project_may_need_configuration_update:M(6279,3,"Resolution_of_non_relative_name_failed_trying_with_moduleResolution_bundler_to_see_if_project_may_ne_6279","Resolution of non-relative name failed; trying with '--moduleResolution bundler' to see if project may need configuration update."),There_are_types_at_0_but_this_result_could_not_be_resolved_under_your_current_moduleResolution_setting_Consider_updating_to_node16_nodenext_or_bundler:M(6280,3,"There_are_types_at_0_but_this_result_could_not_be_resolved_under_your_current_moduleResolution_setti_6280","There are types at '{0}', but this result could not be resolved under your current 'moduleResolution' setting. Consider updating to 'node16', 'nodenext', or 'bundler'."),package_json_has_a_peerDependencies_field:M(6281,3,"package_json_has_a_peerDependencies_field_6281","'package.json' has a 'peerDependencies' field."),Found_peerDependency_0_with_1_version:M(6282,3,"Found_peerDependency_0_with_1_version_6282","Found peerDependency '{0}' with '{1}' version."),Failed_to_find_peerDependency_0:M(6283,3,"Failed_to_find_peerDependency_0_6283","Failed to find peerDependency '{0}'."),Enable_project_compilation:M(6302,3,"Enable_project_compilation_6302","Enable project compilation"),Composite_projects_may_not_disable_declaration_emit:M(6304,1,"Composite_projects_may_not_disable_declaration_emit_6304","Composite projects may not disable declaration emit."),Output_file_0_has_not_been_built_from_source_file_1:M(6305,1,"Output_file_0_has_not_been_built_from_source_file_1_6305","Output file '{0}' has not been built from source file '{1}'."),Referenced_project_0_must_have_setting_composite_Colon_true:M(6306,1,"Referenced_project_0_must_have_setting_composite_Colon_true_6306",`Referenced project '{0}' must have setting "composite": true.`),File_0_is_not_listed_within_the_file_list_of_project_1_Projects_must_list_all_files_or_use_an_include_pattern:M(6307,1,"File_0_is_not_listed_within_the_file_list_of_project_1_Projects_must_list_all_files_or_use_an_includ_6307","File '{0}' is not listed within the file list of project '{1}'. Projects must list all files or use an 'include' pattern."),Referenced_project_0_may_not_disable_emit:M(6310,1,"Referenced_project_0_may_not_disable_emit_6310","Referenced project '{0}' may not disable emit."),Project_0_is_out_of_date_because_output_1_is_older_than_input_2:M(6350,3,"Project_0_is_out_of_date_because_output_1_is_older_than_input_2_6350","Project '{0}' is out of date because output '{1}' is older than input '{2}'"),Project_0_is_up_to_date_because_newest_input_1_is_older_than_output_2:M(6351,3,"Project_0_is_up_to_date_because_newest_input_1_is_older_than_output_2_6351","Project '{0}' is up to date because newest input '{1}' is older than output '{2}'"),Project_0_is_out_of_date_because_output_file_1_does_not_exist:M(6352,3,"Project_0_is_out_of_date_because_output_file_1_does_not_exist_6352","Project '{0}' is out of date because output file '{1}' does not exist"),Project_0_is_out_of_date_because_its_dependency_1_is_out_of_date:M(6353,3,"Project_0_is_out_of_date_because_its_dependency_1_is_out_of_date_6353","Project '{0}' is out of date because its dependency '{1}' is out of date"),Project_0_is_up_to_date_with_d_ts_files_from_its_dependencies:M(6354,3,"Project_0_is_up_to_date_with_d_ts_files_from_its_dependencies_6354","Project '{0}' is up to date with .d.ts files from its dependencies"),Projects_in_this_build_Colon_0:M(6355,3,"Projects_in_this_build_Colon_0_6355","Projects in this build: {0}"),A_non_dry_build_would_delete_the_following_files_Colon_0:M(6356,3,"A_non_dry_build_would_delete_the_following_files_Colon_0_6356","A non-dry build would delete the following files: {0}"),A_non_dry_build_would_build_project_0:M(6357,3,"A_non_dry_build_would_build_project_0_6357","A non-dry build would build project '{0}'"),Building_project_0:M(6358,3,"Building_project_0_6358","Building project '{0}'..."),Updating_output_timestamps_of_project_0:M(6359,3,"Updating_output_timestamps_of_project_0_6359","Updating output timestamps of project '{0}'..."),Project_0_is_up_to_date:M(6361,3,"Project_0_is_up_to_date_6361","Project '{0}' is up to date"),Skipping_build_of_project_0_because_its_dependency_1_has_errors:M(6362,3,"Skipping_build_of_project_0_because_its_dependency_1_has_errors_6362","Skipping build of project '{0}' because its dependency '{1}' has errors"),Project_0_can_t_be_built_because_its_dependency_1_has_errors:M(6363,3,"Project_0_can_t_be_built_because_its_dependency_1_has_errors_6363","Project '{0}' can't be built because its dependency '{1}' has errors"),Build_one_or_more_projects_and_their_dependencies_if_out_of_date:M(6364,3,"Build_one_or_more_projects_and_their_dependencies_if_out_of_date_6364","Build one or more projects and their dependencies, if out of date"),Delete_the_outputs_of_all_projects:M(6365,3,"Delete_the_outputs_of_all_projects_6365","Delete the outputs of all projects."),Show_what_would_be_built_or_deleted_if_specified_with_clean:M(6367,3,"Show_what_would_be_built_or_deleted_if_specified_with_clean_6367","Show what would be built (or deleted, if specified with '--clean')"),Option_build_must_be_the_first_command_line_argument:M(6369,1,"Option_build_must_be_the_first_command_line_argument_6369","Option '--build' must be the first command line argument."),Options_0_and_1_cannot_be_combined:M(6370,1,"Options_0_and_1_cannot_be_combined_6370","Options '{0}' and '{1}' cannot be combined."),Updating_unchanged_output_timestamps_of_project_0:M(6371,3,"Updating_unchanged_output_timestamps_of_project_0_6371","Updating unchanged output timestamps of project '{0}'..."),A_non_dry_build_would_update_timestamps_for_output_of_project_0:M(6374,3,"A_non_dry_build_would_update_timestamps_for_output_of_project_0_6374","A non-dry build would update timestamps for output of project '{0}'"),Cannot_write_file_0_because_it_will_overwrite_tsbuildinfo_file_generated_by_referenced_project_1:M(6377,1,"Cannot_write_file_0_because_it_will_overwrite_tsbuildinfo_file_generated_by_referenced_project_1_6377","Cannot write file '{0}' because it will overwrite '.tsbuildinfo' file generated by referenced project '{1}'"),Composite_projects_may_not_disable_incremental_compilation:M(6379,1,"Composite_projects_may_not_disable_incremental_compilation_6379","Composite projects may not disable incremental compilation."),Specify_file_to_store_incremental_compilation_information:M(6380,3,"Specify_file_to_store_incremental_compilation_information_6380","Specify file to store incremental compilation information"),Project_0_is_out_of_date_because_output_for_it_was_generated_with_version_1_that_differs_with_current_version_2:M(6381,3,"Project_0_is_out_of_date_because_output_for_it_was_generated_with_version_1_that_differs_with_curren_6381","Project '{0}' is out of date because output for it was generated with version '{1}' that differs with current version '{2}'"),Skipping_build_of_project_0_because_its_dependency_1_was_not_built:M(6382,3,"Skipping_build_of_project_0_because_its_dependency_1_was_not_built_6382","Skipping build of project '{0}' because its dependency '{1}' was not built"),Project_0_can_t_be_built_because_its_dependency_1_was_not_built:M(6383,3,"Project_0_can_t_be_built_because_its_dependency_1_was_not_built_6383","Project '{0}' can't be built because its dependency '{1}' was not built"),Have_recompiles_in_incremental_and_watch_assume_that_changes_within_a_file_will_only_affect_files_directly_depending_on_it:M(6384,3,"Have_recompiles_in_incremental_and_watch_assume_that_changes_within_a_file_will_only_affect_files_di_6384","Have recompiles in '--incremental' and '--watch' assume that changes within a file will only affect files directly depending on it."),_0_is_deprecated:M(6385,2,"_0_is_deprecated_6385","'{0}' is deprecated.",void 0,void 0,!0),Performance_timings_for_diagnostics_or_extendedDiagnostics_are_not_available_in_this_session_A_native_implementation_of_the_Web_Performance_API_could_not_be_found:M(6386,3,"Performance_timings_for_diagnostics_or_extendedDiagnostics_are_not_available_in_this_session_A_nativ_6386","Performance timings for '--diagnostics' or '--extendedDiagnostics' are not available in this session. A native implementation of the Web Performance API could not be found."),The_signature_0_of_1_is_deprecated:M(6387,2,"The_signature_0_of_1_is_deprecated_6387","The signature '{0}' of '{1}' is deprecated.",void 0,void 0,!0),Project_0_is_being_forcibly_rebuilt:M(6388,3,"Project_0_is_being_forcibly_rebuilt_6388","Project '{0}' is being forcibly rebuilt"),Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved:M(6389,3,"Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved_6389","Reusing resolution of module '{0}' from '{1}' of old program, it was not resolved."),Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2:M(6390,3,"Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved__6390","Reusing resolution of type reference directive '{0}' from '{1}' of old program, it was successfully resolved to '{2}'."),Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:M(6391,3,"Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved__6391","Reusing resolution of type reference directive '{0}' from '{1}' of old program, it was successfully resolved to '{2}' with Package ID '{3}'."),Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_not_resolved:M(6392,3,"Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_not_resolved_6392","Reusing resolution of type reference directive '{0}' from '{1}' of old program, it was not resolved."),Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_3:M(6393,3,"Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_6393","Reusing resolution of module '{0}' from '{1}' found in cache from location '{2}', it was successfully resolved to '{3}'."),Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_3_with_Package_ID_4:M(6394,3,"Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_6394","Reusing resolution of module '{0}' from '{1}' found in cache from location '{2}', it was successfully resolved to '{3}' with Package ID '{4}'."),Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_not_resolved:M(6395,3,"Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_not_resolved_6395","Reusing resolution of module '{0}' from '{1}' found in cache from location '{2}', it was not resolved."),Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_3:M(6396,3,"Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_succes_6396","Reusing resolution of type reference directive '{0}' from '{1}' found in cache from location '{2}', it was successfully resolved to '{3}'."),Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_3_with_Package_ID_4:M(6397,3,"Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_succes_6397","Reusing resolution of type reference directive '{0}' from '{1}' found in cache from location '{2}', it was successfully resolved to '{3}' with Package ID '{4}'."),Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_not_resolved:M(6398,3,"Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_not_re_6398","Reusing resolution of type reference directive '{0}' from '{1}' found in cache from location '{2}', it was not resolved."),Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_some_of_the_changes_were_not_emitted:M(6399,3,"Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_some_of_the_changes_were_not_emitte_6399","Project '{0}' is out of date because buildinfo file '{1}' indicates that some of the changes were not emitted"),Project_0_is_up_to_date_but_needs_to_update_timestamps_of_output_files_that_are_older_than_input_files:M(6400,3,"Project_0_is_up_to_date_but_needs_to_update_timestamps_of_output_files_that_are_older_than_input_fil_6400","Project '{0}' is up to date but needs to update timestamps of output files that are older than input files"),Project_0_is_out_of_date_because_there_was_error_reading_file_1:M(6401,3,"Project_0_is_out_of_date_because_there_was_error_reading_file_1_6401","Project '{0}' is out of date because there was error reading file '{1}'"),Resolving_in_0_mode_with_conditions_1:M(6402,3,"Resolving_in_0_mode_with_conditions_1_6402","Resolving in {0} mode with conditions {1}."),Matched_0_condition_1:M(6403,3,"Matched_0_condition_1_6403","Matched '{0}' condition '{1}'."),Using_0_subpath_1_with_target_2:M(6404,3,"Using_0_subpath_1_with_target_2_6404","Using '{0}' subpath '{1}' with target '{2}'."),Saw_non_matching_condition_0:M(6405,3,"Saw_non_matching_condition_0_6405","Saw non-matching condition '{0}'."),Project_0_is_out_of_date_because_buildinfo_file_1_indicates_there_is_change_in_compilerOptions:M(6406,3,"Project_0_is_out_of_date_because_buildinfo_file_1_indicates_there_is_change_in_compilerOptions_6406","Project '{0}' is out of date because buildinfo file '{1}' indicates there is change in compilerOptions"),Allow_imports_to_include_TypeScript_file_extensions_Requires_moduleResolution_bundler_and_either_noEmit_or_emitDeclarationOnly_to_be_set:M(6407,3,"Allow_imports_to_include_TypeScript_file_extensions_Requires_moduleResolution_bundler_and_either_noE_6407","Allow imports to include TypeScript file extensions. Requires '--moduleResolution bundler' and either '--noEmit' or '--emitDeclarationOnly' to be set."),Use_the_package_json_exports_field_when_resolving_package_imports:M(6408,3,"Use_the_package_json_exports_field_when_resolving_package_imports_6408","Use the package.json 'exports' field when resolving package imports."),Use_the_package_json_imports_field_when_resolving_imports:M(6409,3,"Use_the_package_json_imports_field_when_resolving_imports_6409","Use the package.json 'imports' field when resolving imports."),Conditions_to_set_in_addition_to_the_resolver_specific_defaults_when_resolving_imports:M(6410,3,"Conditions_to_set_in_addition_to_the_resolver_specific_defaults_when_resolving_imports_6410","Conditions to set in addition to the resolver-specific defaults when resolving imports."),true_when_moduleResolution_is_node16_nodenext_or_bundler_otherwise_false:M(6411,3,"true_when_moduleResolution_is_node16_nodenext_or_bundler_otherwise_false_6411","`true` when 'moduleResolution' is 'node16', 'nodenext', or 'bundler'; otherwise `false`."),Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_file_2_was_root_file_of_compilation_but_not_any_more:M(6412,3,"Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_file_2_was_root_file_of_compilation_6412","Project '{0}' is out of date because buildinfo file '{1}' indicates that file '{2}' was root file of compilation but not any more."),Entering_conditional_exports:M(6413,3,"Entering_conditional_exports_6413","Entering conditional exports."),Resolved_under_condition_0:M(6414,3,"Resolved_under_condition_0_6414","Resolved under condition '{0}'."),Failed_to_resolve_under_condition_0:M(6415,3,"Failed_to_resolve_under_condition_0_6415","Failed to resolve under condition '{0}'."),Exiting_conditional_exports:M(6416,3,"Exiting_conditional_exports_6416","Exiting conditional exports."),Searching_all_ancestor_node_modules_directories_for_preferred_extensions_Colon_0:M(6417,3,"Searching_all_ancestor_node_modules_directories_for_preferred_extensions_Colon_0_6417","Searching all ancestor node_modules directories for preferred extensions: {0}."),Searching_all_ancestor_node_modules_directories_for_fallback_extensions_Colon_0:M(6418,3,"Searching_all_ancestor_node_modules_directories_for_fallback_extensions_Colon_0_6418","Searching all ancestor node_modules directories for fallback extensions: {0}."),Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_program_needs_to_report_errors:M(6419,3,"Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_program_needs_to_report_errors_6419","Project '{0}' is out of date because buildinfo file '{1}' indicates that program needs to report errors."),Project_0_is_out_of_date_because_1:M(6420,3,"Project_0_is_out_of_date_because_1_6420","Project '{0}' is out of date because {1}."),The_expected_type_comes_from_property_0_which_is_declared_here_on_type_1:M(6500,3,"The_expected_type_comes_from_property_0_which_is_declared_here_on_type_1_6500","The expected type comes from property '{0}' which is declared here on type '{1}'"),The_expected_type_comes_from_this_index_signature:M(6501,3,"The_expected_type_comes_from_this_index_signature_6501","The expected type comes from this index signature."),The_expected_type_comes_from_the_return_type_of_this_signature:M(6502,3,"The_expected_type_comes_from_the_return_type_of_this_signature_6502","The expected type comes from the return type of this signature."),Print_names_of_files_that_are_part_of_the_compilation_and_then_stop_processing:M(6503,3,"Print_names_of_files_that_are_part_of_the_compilation_and_then_stop_processing_6503","Print names of files that are part of the compilation and then stop processing."),File_0_is_a_JavaScript_file_Did_you_mean_to_enable_the_allowJs_option:M(6504,1,"File_0_is_a_JavaScript_file_Did_you_mean_to_enable_the_allowJs_option_6504","File '{0}' is a JavaScript file. Did you mean to enable the 'allowJs' option?"),Print_names_of_files_and_the_reason_they_are_part_of_the_compilation:M(6505,3,"Print_names_of_files_and_the_reason_they_are_part_of_the_compilation_6505","Print names of files and the reason they are part of the compilation."),Consider_adding_a_declare_modifier_to_this_class:M(6506,3,"Consider_adding_a_declare_modifier_to_this_class_6506","Consider adding a 'declare' modifier to this class."),Allow_JavaScript_files_to_be_a_part_of_your_program_Use_the_checkJS_option_to_get_errors_from_these_files:M(6600,3,"Allow_JavaScript_files_to_be_a_part_of_your_program_Use_the_checkJS_option_to_get_errors_from_these__6600","Allow JavaScript files to be a part of your program. Use the 'checkJS' option to get errors from these files."),Allow_import_x_from_y_when_a_module_doesn_t_have_a_default_export:M(6601,3,"Allow_import_x_from_y_when_a_module_doesn_t_have_a_default_export_6601","Allow 'import x from y' when a module doesn't have a default export."),Allow_accessing_UMD_globals_from_modules:M(6602,3,"Allow_accessing_UMD_globals_from_modules_6602","Allow accessing UMD globals from modules."),Disable_error_reporting_for_unreachable_code:M(6603,3,"Disable_error_reporting_for_unreachable_code_6603","Disable error reporting for unreachable code."),Disable_error_reporting_for_unused_labels:M(6604,3,"Disable_error_reporting_for_unused_labels_6604","Disable error reporting for unused labels."),Ensure_use_strict_is_always_emitted:M(6605,3,"Ensure_use_strict_is_always_emitted_6605","Ensure 'use strict' is always emitted."),Have_recompiles_in_projects_that_use_incremental_and_watch_mode_assume_that_changes_within_a_file_will_only_affect_files_directly_depending_on_it:M(6606,3,"Have_recompiles_in_projects_that_use_incremental_and_watch_mode_assume_that_changes_within_a_file_wi_6606","Have recompiles in projects that use 'incremental' and 'watch' mode assume that changes within a file will only affect files directly depending on it."),Specify_the_base_directory_to_resolve_non_relative_module_names:M(6607,3,"Specify_the_base_directory_to_resolve_non_relative_module_names_6607","Specify the base directory to resolve non-relative module names."),No_longer_supported_In_early_versions_manually_set_the_text_encoding_for_reading_files:M(6608,3,"No_longer_supported_In_early_versions_manually_set_the_text_encoding_for_reading_files_6608","No longer supported. In early versions, manually set the text encoding for reading files."),Enable_error_reporting_in_type_checked_JavaScript_files:M(6609,3,"Enable_error_reporting_in_type_checked_JavaScript_files_6609","Enable error reporting in type-checked JavaScript files."),Enable_constraints_that_allow_a_TypeScript_project_to_be_used_with_project_references:M(6611,3,"Enable_constraints_that_allow_a_TypeScript_project_to_be_used_with_project_references_6611","Enable constraints that allow a TypeScript project to be used with project references."),Generate_d_ts_files_from_TypeScript_and_JavaScript_files_in_your_project:M(6612,3,"Generate_d_ts_files_from_TypeScript_and_JavaScript_files_in_your_project_6612","Generate .d.ts files from TypeScript and JavaScript files in your project."),Specify_the_output_directory_for_generated_declaration_files:M(6613,3,"Specify_the_output_directory_for_generated_declaration_files_6613","Specify the output directory for generated declaration files."),Create_sourcemaps_for_d_ts_files:M(6614,3,"Create_sourcemaps_for_d_ts_files_6614","Create sourcemaps for d.ts files."),Output_compiler_performance_information_after_building:M(6615,3,"Output_compiler_performance_information_after_building_6615","Output compiler performance information after building."),Disables_inference_for_type_acquisition_by_looking_at_filenames_in_a_project:M(6616,3,"Disables_inference_for_type_acquisition_by_looking_at_filenames_in_a_project_6616","Disables inference for type acquisition by looking at filenames in a project."),Reduce_the_number_of_projects_loaded_automatically_by_TypeScript:M(6617,3,"Reduce_the_number_of_projects_loaded_automatically_by_TypeScript_6617","Reduce the number of projects loaded automatically by TypeScript."),Remove_the_20mb_cap_on_total_source_code_size_for_JavaScript_files_in_the_TypeScript_language_server:M(6618,3,"Remove_the_20mb_cap_on_total_source_code_size_for_JavaScript_files_in_the_TypeScript_language_server_6618","Remove the 20mb cap on total source code size for JavaScript files in the TypeScript language server."),Opt_a_project_out_of_multi_project_reference_checking_when_editing:M(6619,3,"Opt_a_project_out_of_multi_project_reference_checking_when_editing_6619","Opt a project out of multi-project reference checking when editing."),Disable_preferring_source_files_instead_of_declaration_files_when_referencing_composite_projects:M(6620,3,"Disable_preferring_source_files_instead_of_declaration_files_when_referencing_composite_projects_6620","Disable preferring source files instead of declaration files when referencing composite projects."),Emit_more_compliant_but_verbose_and_less_performant_JavaScript_for_iteration:M(6621,3,"Emit_more_compliant_but_verbose_and_less_performant_JavaScript_for_iteration_6621","Emit more compliant, but verbose and less performant JavaScript for iteration."),Emit_a_UTF_8_Byte_Order_Mark_BOM_in_the_beginning_of_output_files:M(6622,3,"Emit_a_UTF_8_Byte_Order_Mark_BOM_in_the_beginning_of_output_files_6622","Emit a UTF-8 Byte Order Mark (BOM) in the beginning of output files."),Only_output_d_ts_files_and_not_JavaScript_files:M(6623,3,"Only_output_d_ts_files_and_not_JavaScript_files_6623","Only output d.ts files and not JavaScript files."),Emit_design_type_metadata_for_decorated_declarations_in_source_files:M(6624,3,"Emit_design_type_metadata_for_decorated_declarations_in_source_files_6624","Emit design-type metadata for decorated declarations in source files."),Disable_the_type_acquisition_for_JavaScript_projects:M(6625,3,"Disable_the_type_acquisition_for_JavaScript_projects_6625","Disable the type acquisition for JavaScript projects"),Emit_additional_JavaScript_to_ease_support_for_importing_CommonJS_modules_This_enables_allowSyntheticDefaultImports_for_type_compatibility:M(6626,3,"Emit_additional_JavaScript_to_ease_support_for_importing_CommonJS_modules_This_enables_allowSyntheti_6626","Emit additional JavaScript to ease support for importing CommonJS modules. This enables 'allowSyntheticDefaultImports' for type compatibility."),Filters_results_from_the_include_option:M(6627,3,"Filters_results_from_the_include_option_6627","Filters results from the `include` option."),Remove_a_list_of_directories_from_the_watch_process:M(6628,3,"Remove_a_list_of_directories_from_the_watch_process_6628","Remove a list of directories from the watch process."),Remove_a_list_of_files_from_the_watch_mode_s_processing:M(6629,3,"Remove_a_list_of_files_from_the_watch_mode_s_processing_6629","Remove a list of files from the watch mode's processing."),Enable_experimental_support_for_legacy_experimental_decorators:M(6630,3,"Enable_experimental_support_for_legacy_experimental_decorators_6630","Enable experimental support for legacy experimental decorators."),Print_files_read_during_the_compilation_including_why_it_was_included:M(6631,3,"Print_files_read_during_the_compilation_including_why_it_was_included_6631","Print files read during the compilation including why it was included."),Output_more_detailed_compiler_performance_information_after_building:M(6632,3,"Output_more_detailed_compiler_performance_information_after_building_6632","Output more detailed compiler performance information after building."),Specify_one_or_more_path_or_node_module_references_to_base_configuration_files_from_which_settings_are_inherited:M(6633,3,"Specify_one_or_more_path_or_node_module_references_to_base_configuration_files_from_which_settings_a_6633","Specify one or more path or node module references to base configuration files from which settings are inherited."),Specify_what_approach_the_watcher_should_use_if_the_system_runs_out_of_native_file_watchers:M(6634,3,"Specify_what_approach_the_watcher_should_use_if_the_system_runs_out_of_native_file_watchers_6634","Specify what approach the watcher should use if the system runs out of native file watchers."),Include_a_list_of_files_This_does_not_support_glob_patterns_as_opposed_to_include:M(6635,3,"Include_a_list_of_files_This_does_not_support_glob_patterns_as_opposed_to_include_6635","Include a list of files. This does not support glob patterns, as opposed to `include`."),Build_all_projects_including_those_that_appear_to_be_up_to_date:M(6636,3,"Build_all_projects_including_those_that_appear_to_be_up_to_date_6636","Build all projects, including those that appear to be up to date."),Ensure_that_casing_is_correct_in_imports:M(6637,3,"Ensure_that_casing_is_correct_in_imports_6637","Ensure that casing is correct in imports."),Emit_a_v8_CPU_profile_of_the_compiler_run_for_debugging:M(6638,3,"Emit_a_v8_CPU_profile_of_the_compiler_run_for_debugging_6638","Emit a v8 CPU profile of the compiler run for debugging."),Allow_importing_helper_functions_from_tslib_once_per_project_instead_of_including_them_per_file:M(6639,3,"Allow_importing_helper_functions_from_tslib_once_per_project_instead_of_including_them_per_file_6639","Allow importing helper functions from tslib once per project, instead of including them per-file."),Skip_building_downstream_projects_on_error_in_upstream_project:M(6640,3,"Skip_building_downstream_projects_on_error_in_upstream_project_6640","Skip building downstream projects on error in upstream project."),Specify_a_list_of_glob_patterns_that_match_files_to_be_included_in_compilation:M(6641,3,"Specify_a_list_of_glob_patterns_that_match_files_to_be_included_in_compilation_6641","Specify a list of glob patterns that match files to be included in compilation."),Save_tsbuildinfo_files_to_allow_for_incremental_compilation_of_projects:M(6642,3,"Save_tsbuildinfo_files_to_allow_for_incremental_compilation_of_projects_6642","Save .tsbuildinfo files to allow for incremental compilation of projects."),Include_sourcemap_files_inside_the_emitted_JavaScript:M(6643,3,"Include_sourcemap_files_inside_the_emitted_JavaScript_6643","Include sourcemap files inside the emitted JavaScript."),Include_source_code_in_the_sourcemaps_inside_the_emitted_JavaScript:M(6644,3,"Include_source_code_in_the_sourcemaps_inside_the_emitted_JavaScript_6644","Include source code in the sourcemaps inside the emitted JavaScript."),Ensure_that_each_file_can_be_safely_transpiled_without_relying_on_other_imports:M(6645,3,"Ensure_that_each_file_can_be_safely_transpiled_without_relying_on_other_imports_6645","Ensure that each file can be safely transpiled without relying on other imports."),Specify_what_JSX_code_is_generated:M(6646,3,"Specify_what_JSX_code_is_generated_6646","Specify what JSX code is generated."),Specify_the_JSX_factory_function_used_when_targeting_React_JSX_emit_e_g_React_createElement_or_h:M(6647,3,"Specify_the_JSX_factory_function_used_when_targeting_React_JSX_emit_e_g_React_createElement_or_h_6647","Specify the JSX factory function used when targeting React JSX emit, e.g. 'React.createElement' or 'h'."),Specify_the_JSX_Fragment_reference_used_for_fragments_when_targeting_React_JSX_emit_e_g_React_Fragment_or_Fragment:M(6648,3,"Specify_the_JSX_Fragment_reference_used_for_fragments_when_targeting_React_JSX_emit_e_g_React_Fragme_6648","Specify the JSX Fragment reference used for fragments when targeting React JSX emit e.g. 'React.Fragment' or 'Fragment'."),Specify_module_specifier_used_to_import_the_JSX_factory_functions_when_using_jsx_Colon_react_jsx_Asterisk:M(6649,3,"Specify_module_specifier_used_to_import_the_JSX_factory_functions_when_using_jsx_Colon_react_jsx_Ast_6649","Specify module specifier used to import the JSX factory functions when using 'jsx: react-jsx*'."),Make_keyof_only_return_strings_instead_of_string_numbers_or_symbols_Legacy_option:M(6650,3,"Make_keyof_only_return_strings_instead_of_string_numbers_or_symbols_Legacy_option_6650","Make keyof only return strings instead of string, numbers or symbols. Legacy option."),Specify_a_set_of_bundled_library_declaration_files_that_describe_the_target_runtime_environment:M(6651,3,"Specify_a_set_of_bundled_library_declaration_files_that_describe_the_target_runtime_environment_6651","Specify a set of bundled library declaration files that describe the target runtime environment."),Print_the_names_of_emitted_files_after_a_compilation:M(6652,3,"Print_the_names_of_emitted_files_after_a_compilation_6652","Print the names of emitted files after a compilation."),Print_all_of_the_files_read_during_the_compilation:M(6653,3,"Print_all_of_the_files_read_during_the_compilation_6653","Print all of the files read during the compilation."),Set_the_language_of_the_messaging_from_TypeScript_This_does_not_affect_emit:M(6654,3,"Set_the_language_of_the_messaging_from_TypeScript_This_does_not_affect_emit_6654","Set the language of the messaging from TypeScript. This does not affect emit."),Specify_the_location_where_debugger_should_locate_map_files_instead_of_generated_locations:M(6655,3,"Specify_the_location_where_debugger_should_locate_map_files_instead_of_generated_locations_6655","Specify the location where debugger should locate map files instead of generated locations."),Specify_the_maximum_folder_depth_used_for_checking_JavaScript_files_from_node_modules_Only_applicable_with_allowJs:M(6656,3,"Specify_the_maximum_folder_depth_used_for_checking_JavaScript_files_from_node_modules_Only_applicabl_6656","Specify the maximum folder depth used for checking JavaScript files from 'node_modules'. Only applicable with 'allowJs'."),Specify_what_module_code_is_generated:M(6657,3,"Specify_what_module_code_is_generated_6657","Specify what module code is generated."),Specify_how_TypeScript_looks_up_a_file_from_a_given_module_specifier:M(6658,3,"Specify_how_TypeScript_looks_up_a_file_from_a_given_module_specifier_6658","Specify how TypeScript looks up a file from a given module specifier."),Set_the_newline_character_for_emitting_files:M(6659,3,"Set_the_newline_character_for_emitting_files_6659","Set the newline character for emitting files."),Disable_emitting_files_from_a_compilation:M(6660,3,"Disable_emitting_files_from_a_compilation_6660","Disable emitting files from a compilation."),Disable_generating_custom_helper_functions_like_extends_in_compiled_output:M(6661,3,"Disable_generating_custom_helper_functions_like_extends_in_compiled_output_6661","Disable generating custom helper functions like '__extends' in compiled output."),Disable_emitting_files_if_any_type_checking_errors_are_reported:M(6662,3,"Disable_emitting_files_if_any_type_checking_errors_are_reported_6662","Disable emitting files if any type checking errors are reported."),Disable_truncating_types_in_error_messages:M(6663,3,"Disable_truncating_types_in_error_messages_6663","Disable truncating types in error messages."),Enable_error_reporting_for_fallthrough_cases_in_switch_statements:M(6664,3,"Enable_error_reporting_for_fallthrough_cases_in_switch_statements_6664","Enable error reporting for fallthrough cases in switch statements."),Enable_error_reporting_for_expressions_and_declarations_with_an_implied_any_type:M(6665,3,"Enable_error_reporting_for_expressions_and_declarations_with_an_implied_any_type_6665","Enable error reporting for expressions and declarations with an implied 'any' type."),Ensure_overriding_members_in_derived_classes_are_marked_with_an_override_modifier:M(6666,3,"Ensure_overriding_members_in_derived_classes_are_marked_with_an_override_modifier_6666","Ensure overriding members in derived classes are marked with an override modifier."),Enable_error_reporting_for_codepaths_that_do_not_explicitly_return_in_a_function:M(6667,3,"Enable_error_reporting_for_codepaths_that_do_not_explicitly_return_in_a_function_6667","Enable error reporting for codepaths that do not explicitly return in a function."),Enable_error_reporting_when_this_is_given_the_type_any:M(6668,3,"Enable_error_reporting_when_this_is_given_the_type_any_6668","Enable error reporting when 'this' is given the type 'any'."),Disable_adding_use_strict_directives_in_emitted_JavaScript_files:M(6669,3,"Disable_adding_use_strict_directives_in_emitted_JavaScript_files_6669","Disable adding 'use strict' directives in emitted JavaScript files."),Disable_including_any_library_files_including_the_default_lib_d_ts:M(6670,3,"Disable_including_any_library_files_including_the_default_lib_d_ts_6670","Disable including any library files, including the default lib.d.ts."),Enforces_using_indexed_accessors_for_keys_declared_using_an_indexed_type:M(6671,3,"Enforces_using_indexed_accessors_for_keys_declared_using_an_indexed_type_6671","Enforces using indexed accessors for keys declared using an indexed type."),Disallow_import_s_require_s_or_reference_s_from_expanding_the_number_of_files_TypeScript_should_add_to_a_project:M(6672,3,"Disallow_import_s_require_s_or_reference_s_from_expanding_the_number_of_files_TypeScript_should_add__6672","Disallow 'import's, 'require's or '<reference>'s from expanding the number of files TypeScript should add to a project."),Disable_strict_checking_of_generic_signatures_in_function_types:M(6673,3,"Disable_strict_checking_of_generic_signatures_in_function_types_6673","Disable strict checking of generic signatures in function types."),Add_undefined_to_a_type_when_accessed_using_an_index:M(6674,3,"Add_undefined_to_a_type_when_accessed_using_an_index_6674","Add 'undefined' to a type when accessed using an index."),Enable_error_reporting_when_local_variables_aren_t_read:M(6675,3,"Enable_error_reporting_when_local_variables_aren_t_read_6675","Enable error reporting when local variables aren't read."),Raise_an_error_when_a_function_parameter_isn_t_read:M(6676,3,"Raise_an_error_when_a_function_parameter_isn_t_read_6676","Raise an error when a function parameter isn't read."),Deprecated_setting_Use_outFile_instead:M(6677,3,"Deprecated_setting_Use_outFile_instead_6677","Deprecated setting. Use 'outFile' instead."),Specify_an_output_folder_for_all_emitted_files:M(6678,3,"Specify_an_output_folder_for_all_emitted_files_6678","Specify an output folder for all emitted files."),Specify_a_file_that_bundles_all_outputs_into_one_JavaScript_file_If_declaration_is_true_also_designates_a_file_that_bundles_all_d_ts_output:M(6679,3,"Specify_a_file_that_bundles_all_outputs_into_one_JavaScript_file_If_declaration_is_true_also_designa_6679","Specify a file that bundles all outputs into one JavaScript file. If 'declaration' is true, also designates a file that bundles all .d.ts output."),Specify_a_set_of_entries_that_re_map_imports_to_additional_lookup_locations:M(6680,3,"Specify_a_set_of_entries_that_re_map_imports_to_additional_lookup_locations_6680","Specify a set of entries that re-map imports to additional lookup locations."),Specify_a_list_of_language_service_plugins_to_include:M(6681,3,"Specify_a_list_of_language_service_plugins_to_include_6681","Specify a list of language service plugins to include."),Disable_erasing_const_enum_declarations_in_generated_code:M(6682,3,"Disable_erasing_const_enum_declarations_in_generated_code_6682","Disable erasing 'const enum' declarations in generated code."),Disable_resolving_symlinks_to_their_realpath_This_correlates_to_the_same_flag_in_node:M(6683,3,"Disable_resolving_symlinks_to_their_realpath_This_correlates_to_the_same_flag_in_node_6683","Disable resolving symlinks to their realpath. This correlates to the same flag in node."),Disable_wiping_the_console_in_watch_mode:M(6684,3,"Disable_wiping_the_console_in_watch_mode_6684","Disable wiping the console in watch mode."),Enable_color_and_formatting_in_TypeScript_s_output_to_make_compiler_errors_easier_to_read:M(6685,3,"Enable_color_and_formatting_in_TypeScript_s_output_to_make_compiler_errors_easier_to_read_6685","Enable color and formatting in TypeScript's output to make compiler errors easier to read."),Specify_the_object_invoked_for_createElement_This_only_applies_when_targeting_react_JSX_emit:M(6686,3,"Specify_the_object_invoked_for_createElement_This_only_applies_when_targeting_react_JSX_emit_6686","Specify the object invoked for 'createElement'. This only applies when targeting 'react' JSX emit."),Specify_an_array_of_objects_that_specify_paths_for_projects_Used_in_project_references:M(6687,3,"Specify_an_array_of_objects_that_specify_paths_for_projects_Used_in_project_references_6687","Specify an array of objects that specify paths for projects. Used in project references."),Disable_emitting_comments:M(6688,3,"Disable_emitting_comments_6688","Disable emitting comments."),Enable_importing_json_files:M(6689,3,"Enable_importing_json_files_6689","Enable importing .json files."),Specify_the_root_folder_within_your_source_files:M(6690,3,"Specify_the_root_folder_within_your_source_files_6690","Specify the root folder within your source files."),Allow_multiple_folders_to_be_treated_as_one_when_resolving_modules:M(6691,3,"Allow_multiple_folders_to_be_treated_as_one_when_resolving_modules_6691","Allow multiple folders to be treated as one when resolving modules."),Skip_type_checking_d_ts_files_that_are_included_with_TypeScript:M(6692,3,"Skip_type_checking_d_ts_files_that_are_included_with_TypeScript_6692","Skip type checking .d.ts files that are included with TypeScript."),Skip_type_checking_all_d_ts_files:M(6693,3,"Skip_type_checking_all_d_ts_files_6693","Skip type checking all .d.ts files."),Create_source_map_files_for_emitted_JavaScript_files:M(6694,3,"Create_source_map_files_for_emitted_JavaScript_files_6694","Create source map files for emitted JavaScript files."),Specify_the_root_path_for_debuggers_to_find_the_reference_source_code:M(6695,3,"Specify_the_root_path_for_debuggers_to_find_the_reference_source_code_6695","Specify the root path for debuggers to find the reference source code."),Check_that_the_arguments_for_bind_call_and_apply_methods_match_the_original_function:M(6697,3,"Check_that_the_arguments_for_bind_call_and_apply_methods_match_the_original_function_6697","Check that the arguments for 'bind', 'call', and 'apply' methods match the original function."),When_assigning_functions_check_to_ensure_parameters_and_the_return_values_are_subtype_compatible:M(6698,3,"When_assigning_functions_check_to_ensure_parameters_and_the_return_values_are_subtype_compatible_6698","When assigning functions, check to ensure parameters and the return values are subtype-compatible."),When_type_checking_take_into_account_null_and_undefined:M(6699,3,"When_type_checking_take_into_account_null_and_undefined_6699","When type checking, take into account 'null' and 'undefined'."),Check_for_class_properties_that_are_declared_but_not_set_in_the_constructor:M(6700,3,"Check_for_class_properties_that_are_declared_but_not_set_in_the_constructor_6700","Check for class properties that are declared but not set in the constructor."),Disable_emitting_declarations_that_have_internal_in_their_JSDoc_comments:M(6701,3,"Disable_emitting_declarations_that_have_internal_in_their_JSDoc_comments_6701","Disable emitting declarations that have '@internal' in their JSDoc comments."),Disable_reporting_of_excess_property_errors_during_the_creation_of_object_literals:M(6702,3,"Disable_reporting_of_excess_property_errors_during_the_creation_of_object_literals_6702","Disable reporting of excess property errors during the creation of object literals."),Suppress_noImplicitAny_errors_when_indexing_objects_that_lack_index_signatures:M(6703,3,"Suppress_noImplicitAny_errors_when_indexing_objects_that_lack_index_signatures_6703","Suppress 'noImplicitAny' errors when indexing objects that lack index signatures."),Synchronously_call_callbacks_and_update_the_state_of_directory_watchers_on_platforms_that_don_t_support_recursive_watching_natively:M(6704,3,"Synchronously_call_callbacks_and_update_the_state_of_directory_watchers_on_platforms_that_don_t_supp_6704","Synchronously call callbacks and update the state of directory watchers on platforms that don`t support recursive watching natively."),Set_the_JavaScript_language_version_for_emitted_JavaScript_and_include_compatible_library_declarations:M(6705,3,"Set_the_JavaScript_language_version_for_emitted_JavaScript_and_include_compatible_library_declaratio_6705","Set the JavaScript language version for emitted JavaScript and include compatible library declarations."),Log_paths_used_during_the_moduleResolution_process:M(6706,3,"Log_paths_used_during_the_moduleResolution_process_6706","Log paths used during the 'moduleResolution' process."),Specify_the_path_to_tsbuildinfo_incremental_compilation_file:M(6707,3,"Specify_the_path_to_tsbuildinfo_incremental_compilation_file_6707","Specify the path to .tsbuildinfo incremental compilation file."),Specify_options_for_automatic_acquisition_of_declaration_files:M(6709,3,"Specify_options_for_automatic_acquisition_of_declaration_files_6709","Specify options for automatic acquisition of declaration files."),Specify_multiple_folders_that_act_like_Slashnode_modules_Slash_types:M(6710,3,"Specify_multiple_folders_that_act_like_Slashnode_modules_Slash_types_6710","Specify multiple folders that act like './node_modules/@types'."),Specify_type_package_names_to_be_included_without_being_referenced_in_a_source_file:M(6711,3,"Specify_type_package_names_to_be_included_without_being_referenced_in_a_source_file_6711","Specify type package names to be included without being referenced in a source file."),Emit_ECMAScript_standard_compliant_class_fields:M(6712,3,"Emit_ECMAScript_standard_compliant_class_fields_6712","Emit ECMAScript-standard-compliant class fields."),Enable_verbose_logging:M(6713,3,"Enable_verbose_logging_6713","Enable verbose logging."),Specify_how_directories_are_watched_on_systems_that_lack_recursive_file_watching_functionality:M(6714,3,"Specify_how_directories_are_watched_on_systems_that_lack_recursive_file_watching_functionality_6714","Specify how directories are watched on systems that lack recursive file-watching functionality."),Specify_how_the_TypeScript_watch_mode_works:M(6715,3,"Specify_how_the_TypeScript_watch_mode_works_6715","Specify how the TypeScript watch mode works."),Require_undeclared_properties_from_index_signatures_to_use_element_accesses:M(6717,3,"Require_undeclared_properties_from_index_signatures_to_use_element_accesses_6717","Require undeclared properties from index signatures to use element accesses."),Specify_emit_Slashchecking_behavior_for_imports_that_are_only_used_for_types:M(6718,3,"Specify_emit_Slashchecking_behavior_for_imports_that_are_only_used_for_types_6718","Specify emit/checking behavior for imports that are only used for types."),Require_sufficient_annotation_on_exports_so_other_tools_can_trivially_generate_declaration_files:M(6719,3,"Require_sufficient_annotation_on_exports_so_other_tools_can_trivially_generate_declaration_files_6719","Require sufficient annotation on exports so other tools can trivially generate declaration files."),Built_in_iterators_are_instantiated_with_a_TReturn_type_of_undefined_instead_of_any:M(6720,3,"Built_in_iterators_are_instantiated_with_a_TReturn_type_of_undefined_instead_of_any_6720","Built-in iterators are instantiated with a 'TReturn' type of 'undefined' instead of 'any'."),Default_catch_clause_variables_as_unknown_instead_of_any:M(6803,3,"Default_catch_clause_variables_as_unknown_instead_of_any_6803","Default catch clause variables as 'unknown' instead of 'any'."),Do_not_transform_or_elide_any_imports_or_exports_not_marked_as_type_only_ensuring_they_are_written_in_the_output_file_s_format_based_on_the_module_setting:M(6804,3,"Do_not_transform_or_elide_any_imports_or_exports_not_marked_as_type_only_ensuring_they_are_written_i_6804","Do not transform or elide any imports or exports not marked as type-only, ensuring they are written in the output file's format based on the 'module' setting."),Disable_full_type_checking_only_critical_parse_and_emit_errors_will_be_reported:M(6805,3,"Disable_full_type_checking_only_critical_parse_and_emit_errors_will_be_reported_6805","Disable full type checking (only critical parse and emit errors will be reported)."),Check_side_effect_imports:M(6806,3,"Check_side_effect_imports_6806","Check side effect imports."),This_operation_can_be_simplified_This_shift_is_identical_to_0_1_2:M(6807,1,"This_operation_can_be_simplified_This_shift_is_identical_to_0_1_2_6807","This operation can be simplified. This shift is identical to `{0} {1} {2}`."),one_of_Colon:M(6900,3,"one_of_Colon_6900","one of:"),one_or_more_Colon:M(6901,3,"one_or_more_Colon_6901","one or more:"),type_Colon:M(6902,3,"type_Colon_6902","type:"),default_Colon:M(6903,3,"default_Colon_6903","default:"),module_system_or_esModuleInterop:M(6904,3,"module_system_or_esModuleInterop_6904",'module === "system" or esModuleInterop'),false_unless_strict_is_set:M(6905,3,"false_unless_strict_is_set_6905","`false`, unless `strict` is set"),false_unless_composite_is_set:M(6906,3,"false_unless_composite_is_set_6906","`false`, unless `composite` is set"),node_modules_bower_components_jspm_packages_plus_the_value_of_outDir_if_one_is_specified:M(6907,3,"node_modules_bower_components_jspm_packages_plus_the_value_of_outDir_if_one_is_specified_6907",'`["node_modules", "bower_components", "jspm_packages"]`, plus the value of `outDir` if one is specified.'),if_files_is_specified_otherwise_Asterisk_Asterisk_Slash_Asterisk:M(6908,3,"if_files_is_specified_otherwise_Asterisk_Asterisk_Slash_Asterisk_6908",'`[]` if `files` is specified, otherwise `["**/*"]`'),true_if_composite_false_otherwise:M(6909,3,"true_if_composite_false_otherwise_6909","`true` if `composite`, `false` otherwise"),module_AMD_or_UMD_or_System_or_ES6_then_Classic_Otherwise_Node:M(69010,3,"module_AMD_or_UMD_or_System_or_ES6_then_Classic_Otherwise_Node_69010","module === `AMD` or `UMD` or `System` or `ES6`, then `Classic`, Otherwise `Node`"),Computed_from_the_list_of_input_files:M(6911,3,"Computed_from_the_list_of_input_files_6911","Computed from the list of input files"),Platform_specific:M(6912,3,"Platform_specific_6912","Platform specific"),You_can_learn_about_all_of_the_compiler_options_at_0:M(6913,3,"You_can_learn_about_all_of_the_compiler_options_at_0_6913","You can learn about all of the compiler options at {0}"),Including_watch_w_will_start_watching_the_current_project_for_the_file_changes_Once_set_you_can_config_watch_mode_with_Colon:M(6914,3,"Including_watch_w_will_start_watching_the_current_project_for_the_file_changes_Once_set_you_can_conf_6914","Including --watch, -w will start watching the current project for the file changes. Once set, you can config watch mode with:"),Using_build_b_will_make_tsc_behave_more_like_a_build_orchestrator_than_a_compiler_This_is_used_to_trigger_building_composite_projects_which_you_can_learn_more_about_at_0:M(6915,3,"Using_build_b_will_make_tsc_behave_more_like_a_build_orchestrator_than_a_compiler_This_is_used_to_tr_6915","Using --build, -b will make tsc behave more like a build orchestrator than a compiler. This is used to trigger building composite projects which you can learn more about at {0}"),COMMON_COMMANDS:M(6916,3,"COMMON_COMMANDS_6916","COMMON COMMANDS"),ALL_COMPILER_OPTIONS:M(6917,3,"ALL_COMPILER_OPTIONS_6917","ALL COMPILER OPTIONS"),WATCH_OPTIONS:M(6918,3,"WATCH_OPTIONS_6918","WATCH OPTIONS"),BUILD_OPTIONS:M(6919,3,"BUILD_OPTIONS_6919","BUILD OPTIONS"),COMMON_COMPILER_OPTIONS:M(6920,3,"COMMON_COMPILER_OPTIONS_6920","COMMON COMPILER OPTIONS"),COMMAND_LINE_FLAGS:M(6921,3,"COMMAND_LINE_FLAGS_6921","COMMAND LINE FLAGS"),tsc_Colon_The_TypeScript_Compiler:M(6922,3,"tsc_Colon_The_TypeScript_Compiler_6922","tsc: The TypeScript Compiler"),Compiles_the_current_project_tsconfig_json_in_the_working_directory:M(6923,3,"Compiles_the_current_project_tsconfig_json_in_the_working_directory_6923","Compiles the current project (tsconfig.json in the working directory.)"),Ignoring_tsconfig_json_compiles_the_specified_files_with_default_compiler_options:M(6924,3,"Ignoring_tsconfig_json_compiles_the_specified_files_with_default_compiler_options_6924","Ignoring tsconfig.json, compiles the specified files with default compiler options."),Build_a_composite_project_in_the_working_directory:M(6925,3,"Build_a_composite_project_in_the_working_directory_6925","Build a composite project in the working directory."),Creates_a_tsconfig_json_with_the_recommended_settings_in_the_working_directory:M(6926,3,"Creates_a_tsconfig_json_with_the_recommended_settings_in_the_working_directory_6926","Creates a tsconfig.json with the recommended settings in the working directory."),Compiles_the_TypeScript_project_located_at_the_specified_path:M(6927,3,"Compiles_the_TypeScript_project_located_at_the_specified_path_6927","Compiles the TypeScript project located at the specified path."),An_expanded_version_of_this_information_showing_all_possible_compiler_options:M(6928,3,"An_expanded_version_of_this_information_showing_all_possible_compiler_options_6928","An expanded version of this information, showing all possible compiler options"),Compiles_the_current_project_with_additional_settings:M(6929,3,"Compiles_the_current_project_with_additional_settings_6929","Compiles the current project, with additional settings."),true_for_ES2022_and_above_including_ESNext:M(6930,3,"true_for_ES2022_and_above_including_ESNext_6930","`true` for ES2022 and above, including ESNext."),List_of_file_name_suffixes_to_search_when_resolving_a_module:M(6931,1,"List_of_file_name_suffixes_to_search_when_resolving_a_module_6931","List of file name suffixes to search when resolving a module."),Variable_0_implicitly_has_an_1_type:M(7005,1,"Variable_0_implicitly_has_an_1_type_7005","Variable '{0}' implicitly has an '{1}' type."),Parameter_0_implicitly_has_an_1_type:M(7006,1,"Parameter_0_implicitly_has_an_1_type_7006","Parameter '{0}' implicitly has an '{1}' type."),Member_0_implicitly_has_an_1_type:M(7008,1,"Member_0_implicitly_has_an_1_type_7008","Member '{0}' implicitly has an '{1}' type."),new_expression_whose_target_lacks_a_construct_signature_implicitly_has_an_any_type:M(7009,1,"new_expression_whose_target_lacks_a_construct_signature_implicitly_has_an_any_type_7009","'new' expression, whose target lacks a construct signature, implicitly has an 'any' type."),_0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type:M(7010,1,"_0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type_7010","'{0}', which lacks return-type annotation, implicitly has an '{1}' return type."),Function_expression_which_lacks_return_type_annotation_implicitly_has_an_0_return_type:M(7011,1,"Function_expression_which_lacks_return_type_annotation_implicitly_has_an_0_return_type_7011","Function expression, which lacks return-type annotation, implicitly has an '{0}' return type."),This_overload_implicitly_returns_the_type_0_because_it_lacks_a_return_type_annotation:M(7012,1,"This_overload_implicitly_returns_the_type_0_because_it_lacks_a_return_type_annotation_7012","This overload implicitly returns the type '{0}' because it lacks a return type annotation."),Construct_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type:M(7013,1,"Construct_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type_7013","Construct signature, which lacks return-type annotation, implicitly has an 'any' return type."),Function_type_which_lacks_return_type_annotation_implicitly_has_an_0_return_type:M(7014,1,"Function_type_which_lacks_return_type_annotation_implicitly_has_an_0_return_type_7014","Function type, which lacks return-type annotation, implicitly has an '{0}' return type."),Element_implicitly_has_an_any_type_because_index_expression_is_not_of_type_number:M(7015,1,"Element_implicitly_has_an_any_type_because_index_expression_is_not_of_type_number_7015","Element implicitly has an 'any' type because index expression is not of type 'number'."),Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type:M(7016,1,"Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type_7016","Could not find a declaration file for module '{0}'. '{1}' implicitly has an 'any' type."),Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature:M(7017,1,"Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature_7017","Element implicitly has an 'any' type because type '{0}' has no index signature."),Object_literal_s_property_0_implicitly_has_an_1_type:M(7018,1,"Object_literal_s_property_0_implicitly_has_an_1_type_7018","Object literal's property '{0}' implicitly has an '{1}' type."),Rest_parameter_0_implicitly_has_an_any_type:M(7019,1,"Rest_parameter_0_implicitly_has_an_any_type_7019","Rest parameter '{0}' implicitly has an 'any[]' type."),Call_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type:M(7020,1,"Call_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type_7020","Call signature, which lacks return-type annotation, implicitly has an 'any' return type."),_0_implicitly_has_type_any_because_it_does_not_have_a_type_annotation_and_is_referenced_directly_or_indirectly_in_its_own_initializer:M(7022,1,"_0_implicitly_has_type_any_because_it_does_not_have_a_type_annotation_and_is_referenced_directly_or__7022","'{0}' implicitly has type 'any' because it does not have a type annotation and is referenced directly or indirectly in its own initializer."),_0_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions:M(7023,1,"_0_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_reference_7023","'{0}' implicitly has return type 'any' because it does not have a return type annotation and is referenced directly or indirectly in one of its return expressions."),Function_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions:M(7024,1,"Function_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_ref_7024","Function implicitly has return type 'any' because it does not have a return type annotation and is referenced directly or indirectly in one of its return expressions."),Generator_implicitly_has_yield_type_0_because_it_does_not_yield_any_values_Consider_supplying_a_return_type_annotation:M(7025,1,"Generator_implicitly_has_yield_type_0_because_it_does_not_yield_any_values_Consider_supplying_a_retu_7025","Generator implicitly has yield type '{0}' because it does not yield any values. Consider supplying a return type annotation."),JSX_element_implicitly_has_type_any_because_no_interface_JSX_0_exists:M(7026,1,"JSX_element_implicitly_has_type_any_because_no_interface_JSX_0_exists_7026","JSX element implicitly has type 'any' because no interface 'JSX.{0}' exists."),Unreachable_code_detected:M(7027,1,"Unreachable_code_detected_7027","Unreachable code detected.",!0),Unused_label:M(7028,1,"Unused_label_7028","Unused label.",!0),Fallthrough_case_in_switch:M(7029,1,"Fallthrough_case_in_switch_7029","Fallthrough case in switch."),Not_all_code_paths_return_a_value:M(7030,1,"Not_all_code_paths_return_a_value_7030","Not all code paths return a value."),Binding_element_0_implicitly_has_an_1_type:M(7031,1,"Binding_element_0_implicitly_has_an_1_type_7031","Binding element '{0}' implicitly has an '{1}' type."),Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation:M(7032,1,"Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation_7032","Property '{0}' implicitly has type 'any', because its set accessor lacks a parameter type annotation."),Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation:M(7033,1,"Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation_7033","Property '{0}' implicitly has type 'any', because its get accessor lacks a return type annotation."),Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined:M(7034,1,"Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined_7034","Variable '{0}' implicitly has type '{1}' in some locations where its type cannot be determined."),Try_npm_i_save_dev_types_Slash_1_if_it_exists_or_add_a_new_declaration_d_ts_file_containing_declare_module_0:M(7035,1,"Try_npm_i_save_dev_types_Slash_1_if_it_exists_or_add_a_new_declaration_d_ts_file_containing_declare__7035","Try `npm i --save-dev @types/{1}` if it exists or add a new declaration (.d.ts) file containing `declare module '{0}';`"),Dynamic_import_s_specifier_must_be_of_type_string_but_here_has_type_0:M(7036,1,"Dynamic_import_s_specifier_must_be_of_type_string_but_here_has_type_0_7036","Dynamic import's specifier must be of type 'string', but here has type '{0}'."),Enables_emit_interoperability_between_CommonJS_and_ES_Modules_via_creation_of_namespace_objects_for_all_imports_Implies_allowSyntheticDefaultImports:M(7037,3,"Enables_emit_interoperability_between_CommonJS_and_ES_Modules_via_creation_of_namespace_objects_for__7037","Enables emit interoperability between CommonJS and ES Modules via creation of namespace objects for all imports. Implies 'allowSyntheticDefaultImports'."),Type_originates_at_this_import_A_namespace_style_import_cannot_be_called_or_constructed_and_will_cause_a_failure_at_runtime_Consider_using_a_default_import_or_import_require_here_instead:M(7038,3,"Type_originates_at_this_import_A_namespace_style_import_cannot_be_called_or_constructed_and_will_cau_7038","Type originates at this import. A namespace-style import cannot be called or constructed, and will cause a failure at runtime. Consider using a default import or import require here instead."),Mapped_object_type_implicitly_has_an_any_template_type:M(7039,1,"Mapped_object_type_implicitly_has_an_any_template_type_7039","Mapped object type implicitly has an 'any' template type."),If_the_0_package_actually_exposes_this_module_consider_sending_a_pull_request_to_amend_https_Colon_Slash_Slashgithub_com_SlashDefinitelyTyped_SlashDefinitelyTyped_Slashtree_Slashmaster_Slashtypes_Slash_1:M(7040,1,"If_the_0_package_actually_exposes_this_module_consider_sending_a_pull_request_to_amend_https_Colon_S_7040","If the '{0}' package actually exposes this module, consider sending a pull request to amend 'https://github.com/DefinitelyTyped/DefinitelyTyped/tree/master/types/{1}'"),The_containing_arrow_function_captures_the_global_value_of_this:M(7041,1,"The_containing_arrow_function_captures_the_global_value_of_this_7041","The containing arrow function captures the global value of 'this'."),Module_0_was_resolved_to_1_but_resolveJsonModule_is_not_used:M(7042,1,"Module_0_was_resolved_to_1_but_resolveJsonModule_is_not_used_7042","Module '{0}' was resolved to '{1}', but '--resolveJsonModule' is not used."),Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage:M(7043,2,"Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage_7043","Variable '{0}' implicitly has an '{1}' type, but a better type may be inferred from usage."),Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage:M(7044,2,"Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage_7044","Parameter '{0}' implicitly has an '{1}' type, but a better type may be inferred from usage."),Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage:M(7045,2,"Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage_7045","Member '{0}' implicitly has an '{1}' type, but a better type may be inferred from usage."),Variable_0_implicitly_has_type_1_in_some_locations_but_a_better_type_may_be_inferred_from_usage:M(7046,2,"Variable_0_implicitly_has_type_1_in_some_locations_but_a_better_type_may_be_inferred_from_usage_7046","Variable '{0}' implicitly has type '{1}' in some locations, but a better type may be inferred from usage."),Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage:M(7047,2,"Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage_7047","Rest parameter '{0}' implicitly has an 'any[]' type, but a better type may be inferred from usage."),Property_0_implicitly_has_type_any_but_a_better_type_for_its_get_accessor_may_be_inferred_from_usage:M(7048,2,"Property_0_implicitly_has_type_any_but_a_better_type_for_its_get_accessor_may_be_inferred_from_usage_7048","Property '{0}' implicitly has type 'any', but a better type for its get accessor may be inferred from usage."),Property_0_implicitly_has_type_any_but_a_better_type_for_its_set_accessor_may_be_inferred_from_usage:M(7049,2,"Property_0_implicitly_has_type_any_but_a_better_type_for_its_set_accessor_may_be_inferred_from_usage_7049","Property '{0}' implicitly has type 'any', but a better type for its set accessor may be inferred from usage."),_0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage:M(7050,2,"_0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage_7050","'{0}' implicitly has an '{1}' return type, but a better type may be inferred from usage."),Parameter_has_a_name_but_no_type_Did_you_mean_0_Colon_1:M(7051,1,"Parameter_has_a_name_but_no_type_Did_you_mean_0_Colon_1_7051","Parameter has a name but no type. Did you mean '{0}: {1}'?"),Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature_Did_you_mean_to_call_1:M(7052,1,"Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature_Did_you_mean_to_call_1_7052","Element implicitly has an 'any' type because type '{0}' has no index signature. Did you mean to call '{1}'?"),Element_implicitly_has_an_any_type_because_expression_of_type_0_can_t_be_used_to_index_type_1:M(7053,1,"Element_implicitly_has_an_any_type_because_expression_of_type_0_can_t_be_used_to_index_type_1_7053","Element implicitly has an 'any' type because expression of type '{0}' can't be used to index type '{1}'."),No_index_signature_with_a_parameter_of_type_0_was_found_on_type_1:M(7054,1,"No_index_signature_with_a_parameter_of_type_0_was_found_on_type_1_7054","No index signature with a parameter of type '{0}' was found on type '{1}'."),_0_which_lacks_return_type_annotation_implicitly_has_an_1_yield_type:M(7055,1,"_0_which_lacks_return_type_annotation_implicitly_has_an_1_yield_type_7055","'{0}', which lacks return-type annotation, implicitly has an '{1}' yield type."),The_inferred_type_of_this_node_exceeds_the_maximum_length_the_compiler_will_serialize_An_explicit_type_annotation_is_needed:M(7056,1,"The_inferred_type_of_this_node_exceeds_the_maximum_length_the_compiler_will_serialize_An_explicit_ty_7056","The inferred type of this node exceeds the maximum length the compiler will serialize. An explicit type annotation is needed."),yield_expression_implicitly_results_in_an_any_type_because_its_containing_generator_lacks_a_return_type_annotation:M(7057,1,"yield_expression_implicitly_results_in_an_any_type_because_its_containing_generator_lacks_a_return_t_7057","'yield' expression implicitly results in an 'any' type because its containing generator lacks a return-type annotation."),If_the_0_package_actually_exposes_this_module_try_adding_a_new_declaration_d_ts_file_containing_declare_module_1:M(7058,1,"If_the_0_package_actually_exposes_this_module_try_adding_a_new_declaration_d_ts_file_containing_decl_7058","If the '{0}' package actually exposes this module, try adding a new declaration (.d.ts) file containing `declare module '{1}';`"),This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Use_an_as_expression_instead:M(7059,1,"This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Use_an_as_expression_instead_7059","This syntax is reserved in files with the .mts or .cts extension. Use an `as` expression instead."),This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Add_a_trailing_comma_or_explicit_constraint:M(7060,1,"This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Add_a_trailing_comma_or_explicit_cons_7060","This syntax is reserved in files with the .mts or .cts extension. Add a trailing comma or explicit constraint."),A_mapped_type_may_not_declare_properties_or_methods:M(7061,1,"A_mapped_type_may_not_declare_properties_or_methods_7061","A mapped type may not declare properties or methods."),You_cannot_rename_this_element:M(8e3,1,"You_cannot_rename_this_element_8000","You cannot rename this element."),You_cannot_rename_elements_that_are_defined_in_the_standard_TypeScript_library:M(8001,1,"You_cannot_rename_elements_that_are_defined_in_the_standard_TypeScript_library_8001","You cannot rename elements that are defined in the standard TypeScript library."),import_can_only_be_used_in_TypeScript_files:M(8002,1,"import_can_only_be_used_in_TypeScript_files_8002","'import ... =' can only be used in TypeScript files."),export_can_only_be_used_in_TypeScript_files:M(8003,1,"export_can_only_be_used_in_TypeScript_files_8003","'export =' can only be used in TypeScript files."),Type_parameter_declarations_can_only_be_used_in_TypeScript_files:M(8004,1,"Type_parameter_declarations_can_only_be_used_in_TypeScript_files_8004","Type parameter declarations can only be used in TypeScript files."),implements_clauses_can_only_be_used_in_TypeScript_files:M(8005,1,"implements_clauses_can_only_be_used_in_TypeScript_files_8005","'implements' clauses can only be used in TypeScript files."),_0_declarations_can_only_be_used_in_TypeScript_files:M(8006,1,"_0_declarations_can_only_be_used_in_TypeScript_files_8006","'{0}' declarations can only be used in TypeScript files."),Type_aliases_can_only_be_used_in_TypeScript_files:M(8008,1,"Type_aliases_can_only_be_used_in_TypeScript_files_8008","Type aliases can only be used in TypeScript files."),The_0_modifier_can_only_be_used_in_TypeScript_files:M(8009,1,"The_0_modifier_can_only_be_used_in_TypeScript_files_8009","The '{0}' modifier can only be used in TypeScript files."),Type_annotations_can_only_be_used_in_TypeScript_files:M(8010,1,"Type_annotations_can_only_be_used_in_TypeScript_files_8010","Type annotations can only be used in TypeScript files."),Type_arguments_can_only_be_used_in_TypeScript_files:M(8011,1,"Type_arguments_can_only_be_used_in_TypeScript_files_8011","Type arguments can only be used in TypeScript files."),Parameter_modifiers_can_only_be_used_in_TypeScript_files:M(8012,1,"Parameter_modifiers_can_only_be_used_in_TypeScript_files_8012","Parameter modifiers can only be used in TypeScript files."),Non_null_assertions_can_only_be_used_in_TypeScript_files:M(8013,1,"Non_null_assertions_can_only_be_used_in_TypeScript_files_8013","Non-null assertions can only be used in TypeScript files."),Type_assertion_expressions_can_only_be_used_in_TypeScript_files:M(8016,1,"Type_assertion_expressions_can_only_be_used_in_TypeScript_files_8016","Type assertion expressions can only be used in TypeScript files."),Signature_declarations_can_only_be_used_in_TypeScript_files:M(8017,1,"Signature_declarations_can_only_be_used_in_TypeScript_files_8017","Signature declarations can only be used in TypeScript files."),Report_errors_in_js_files:M(8019,3,"Report_errors_in_js_files_8019","Report errors in .js files."),JSDoc_types_can_only_be_used_inside_documentation_comments:M(8020,1,"JSDoc_types_can_only_be_used_inside_documentation_comments_8020","JSDoc types can only be used inside documentation comments."),JSDoc_typedef_tag_should_either_have_a_type_annotation_or_be_followed_by_property_or_member_tags:M(8021,1,"JSDoc_typedef_tag_should_either_have_a_type_annotation_or_be_followed_by_property_or_member_tags_8021","JSDoc '@typedef' tag should either have a type annotation or be followed by '@property' or '@member' tags."),JSDoc_0_is_not_attached_to_a_class:M(8022,1,"JSDoc_0_is_not_attached_to_a_class_8022","JSDoc '@{0}' is not attached to a class."),JSDoc_0_1_does_not_match_the_extends_2_clause:M(8023,1,"JSDoc_0_1_does_not_match_the_extends_2_clause_8023","JSDoc '@{0} {1}' does not match the 'extends {2}' clause."),JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name:M(8024,1,"JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name_8024","JSDoc '@param' tag has name '{0}', but there is no parameter with that name."),Class_declarations_cannot_have_more_than_one_augments_or_extends_tag:M(8025,1,"Class_declarations_cannot_have_more_than_one_augments_or_extends_tag_8025","Class declarations cannot have more than one '@augments' or '@extends' tag."),Expected_0_type_arguments_provide_these_with_an_extends_tag:M(8026,1,"Expected_0_type_arguments_provide_these_with_an_extends_tag_8026","Expected {0} type arguments; provide these with an '@extends' tag."),Expected_0_1_type_arguments_provide_these_with_an_extends_tag:M(8027,1,"Expected_0_1_type_arguments_provide_these_with_an_extends_tag_8027","Expected {0}-{1} type arguments; provide these with an '@extends' tag."),JSDoc_may_only_appear_in_the_last_parameter_of_a_signature:M(8028,1,"JSDoc_may_only_appear_in_the_last_parameter_of_a_signature_8028","JSDoc '...' may only appear in the last parameter of a signature."),JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name_It_would_match_arguments_if_it_had_an_array_type:M(8029,1,"JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name_It_would_match_arguments_if_it_h_8029","JSDoc '@param' tag has name '{0}', but there is no parameter with that name. It would match 'arguments' if it had an array type."),The_type_of_a_function_declaration_must_match_the_function_s_signature:M(8030,1,"The_type_of_a_function_declaration_must_match_the_function_s_signature_8030","The type of a function declaration must match the function's signature."),You_cannot_rename_a_module_via_a_global_import:M(8031,1,"You_cannot_rename_a_module_via_a_global_import_8031","You cannot rename a module via a global import."),Qualified_name_0_is_not_allowed_without_a_leading_param_object_1:M(8032,1,"Qualified_name_0_is_not_allowed_without_a_leading_param_object_1_8032","Qualified name '{0}' is not allowed without a leading '@param {object} {1}'."),A_JSDoc_typedef_comment_may_not_contain_multiple_type_tags:M(8033,1,"A_JSDoc_typedef_comment_may_not_contain_multiple_type_tags_8033","A JSDoc '@typedef' comment may not contain multiple '@type' tags."),The_tag_was_first_specified_here:M(8034,1,"The_tag_was_first_specified_here_8034","The tag was first specified here."),You_cannot_rename_elements_that_are_defined_in_a_node_modules_folder:M(8035,1,"You_cannot_rename_elements_that_are_defined_in_a_node_modules_folder_8035","You cannot rename elements that are defined in a 'node_modules' folder."),You_cannot_rename_elements_that_are_defined_in_another_node_modules_folder:M(8036,1,"You_cannot_rename_elements_that_are_defined_in_another_node_modules_folder_8036","You cannot rename elements that are defined in another 'node_modules' folder."),Type_satisfaction_expressions_can_only_be_used_in_TypeScript_files:M(8037,1,"Type_satisfaction_expressions_can_only_be_used_in_TypeScript_files_8037","Type satisfaction expressions can only be used in TypeScript files."),Decorators_may_not_appear_after_export_or_export_default_if_they_also_appear_before_export:M(8038,1,"Decorators_may_not_appear_after_export_or_export_default_if_they_also_appear_before_export_8038","Decorators may not appear after 'export' or 'export default' if they also appear before 'export'."),A_JSDoc_template_tag_may_not_follow_a_typedef_callback_or_overload_tag:M(8039,1,"A_JSDoc_template_tag_may_not_follow_a_typedef_callback_or_overload_tag_8039","A JSDoc '@template' tag may not follow a '@typedef', '@callback', or '@overload' tag"),Declaration_emit_for_this_file_requires_using_private_name_0_An_explicit_type_annotation_may_unblock_declaration_emit:M(9005,1,"Declaration_emit_for_this_file_requires_using_private_name_0_An_explicit_type_annotation_may_unblock_9005","Declaration emit for this file requires using private name '{0}'. An explicit type annotation may unblock declaration emit."),Declaration_emit_for_this_file_requires_using_private_name_0_from_module_1_An_explicit_type_annotation_may_unblock_declaration_emit:M(9006,1,"Declaration_emit_for_this_file_requires_using_private_name_0_from_module_1_An_explicit_type_annotati_9006","Declaration emit for this file requires using private name '{0}' from module '{1}'. An explicit type annotation may unblock declaration emit."),Function_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations:M(9007,1,"Function_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations_9007","Function must have an explicit return type annotation with --isolatedDeclarations."),Method_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations:M(9008,1,"Method_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations_9008","Method must have an explicit return type annotation with --isolatedDeclarations."),At_least_one_accessor_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations:M(9009,1,"At_least_one_accessor_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations_9009","At least one accessor must have an explicit return type annotation with --isolatedDeclarations."),Variable_must_have_an_explicit_type_annotation_with_isolatedDeclarations:M(9010,1,"Variable_must_have_an_explicit_type_annotation_with_isolatedDeclarations_9010","Variable must have an explicit type annotation with --isolatedDeclarations."),Parameter_must_have_an_explicit_type_annotation_with_isolatedDeclarations:M(9011,1,"Parameter_must_have_an_explicit_type_annotation_with_isolatedDeclarations_9011","Parameter must have an explicit type annotation with --isolatedDeclarations."),Property_must_have_an_explicit_type_annotation_with_isolatedDeclarations:M(9012,1,"Property_must_have_an_explicit_type_annotation_with_isolatedDeclarations_9012","Property must have an explicit type annotation with --isolatedDeclarations."),Expression_type_can_t_be_inferred_with_isolatedDeclarations:M(9013,1,"Expression_type_can_t_be_inferred_with_isolatedDeclarations_9013","Expression type can't be inferred with --isolatedDeclarations."),Computed_properties_must_be_number_or_string_literals_variables_or_dotted_expressions_with_isolatedDeclarations:M(9014,1,"Computed_properties_must_be_number_or_string_literals_variables_or_dotted_expressions_with_isolatedD_9014","Computed properties must be number or string literals, variables or dotted expressions with --isolatedDeclarations."),Objects_that_contain_spread_assignments_can_t_be_inferred_with_isolatedDeclarations:M(9015,1,"Objects_that_contain_spread_assignments_can_t_be_inferred_with_isolatedDeclarations_9015","Objects that contain spread assignments can't be inferred with --isolatedDeclarations."),Objects_that_contain_shorthand_properties_can_t_be_inferred_with_isolatedDeclarations:M(9016,1,"Objects_that_contain_shorthand_properties_can_t_be_inferred_with_isolatedDeclarations_9016","Objects that contain shorthand properties can't be inferred with --isolatedDeclarations."),Only_const_arrays_can_be_inferred_with_isolatedDeclarations:M(9017,1,"Only_const_arrays_can_be_inferred_with_isolatedDeclarations_9017","Only const arrays can be inferred with --isolatedDeclarations."),Arrays_with_spread_elements_can_t_inferred_with_isolatedDeclarations:M(9018,1,"Arrays_with_spread_elements_can_t_inferred_with_isolatedDeclarations_9018","Arrays with spread elements can't inferred with --isolatedDeclarations."),Binding_elements_can_t_be_exported_directly_with_isolatedDeclarations:M(9019,1,"Binding_elements_can_t_be_exported_directly_with_isolatedDeclarations_9019","Binding elements can't be exported directly with --isolatedDeclarations."),Enum_member_initializers_must_be_computable_without_references_to_external_symbols_with_isolatedDeclarations:M(9020,1,"Enum_member_initializers_must_be_computable_without_references_to_external_symbols_with_isolatedDecl_9020","Enum member initializers must be computable without references to external symbols with --isolatedDeclarations."),Extends_clause_can_t_contain_an_expression_with_isolatedDeclarations:M(9021,1,"Extends_clause_can_t_contain_an_expression_with_isolatedDeclarations_9021","Extends clause can't contain an expression with --isolatedDeclarations."),Inference_from_class_expressions_is_not_supported_with_isolatedDeclarations:M(9022,1,"Inference_from_class_expressions_is_not_supported_with_isolatedDeclarations_9022","Inference from class expressions is not supported with --isolatedDeclarations."),Assigning_properties_to_functions_without_declaring_them_is_not_supported_with_isolatedDeclarations_Add_an_explicit_declaration_for_the_properties_assigned_to_this_function:M(9023,1,"Assigning_properties_to_functions_without_declaring_them_is_not_supported_with_isolatedDeclarations__9023","Assigning properties to functions without declaring them is not supported with --isolatedDeclarations. Add an explicit declaration for the properties assigned to this function."),Declaration_emit_for_this_parameter_requires_implicitly_adding_undefined_to_it_s_type_This_is_not_supported_with_isolatedDeclarations:M(9025,1,"Declaration_emit_for_this_parameter_requires_implicitly_adding_undefined_to_it_s_type_This_is_not_su_9025","Declaration emit for this parameter requires implicitly adding undefined to it's type. This is not supported with --isolatedDeclarations."),Declaration_emit_for_this_file_requires_preserving_this_import_for_augmentations_This_is_not_supported_with_isolatedDeclarations:M(9026,1,"Declaration_emit_for_this_file_requires_preserving_this_import_for_augmentations_This_is_not_support_9026","Declaration emit for this file requires preserving this import for augmentations. This is not supported with --isolatedDeclarations."),Add_a_type_annotation_to_the_variable_0:M(9027,1,"Add_a_type_annotation_to_the_variable_0_9027","Add a type annotation to the variable {0}."),Add_a_type_annotation_to_the_parameter_0:M(9028,1,"Add_a_type_annotation_to_the_parameter_0_9028","Add a type annotation to the parameter {0}."),Add_a_type_annotation_to_the_property_0:M(9029,1,"Add_a_type_annotation_to_the_property_0_9029","Add a type annotation to the property {0}."),Add_a_return_type_to_the_function_expression:M(9030,1,"Add_a_return_type_to_the_function_expression_9030","Add a return type to the function expression."),Add_a_return_type_to_the_function_declaration:M(9031,1,"Add_a_return_type_to_the_function_declaration_9031","Add a return type to the function declaration."),Add_a_return_type_to_the_get_accessor_declaration:M(9032,1,"Add_a_return_type_to_the_get_accessor_declaration_9032","Add a return type to the get accessor declaration."),Add_a_type_to_parameter_of_the_set_accessor_declaration:M(9033,1,"Add_a_type_to_parameter_of_the_set_accessor_declaration_9033","Add a type to parameter of the set accessor declaration."),Add_a_return_type_to_the_method:M(9034,1,"Add_a_return_type_to_the_method_9034","Add a return type to the method"),Add_satisfies_and_a_type_assertion_to_this_expression_satisfies_T_as_T_to_make_the_type_explicit:M(9035,1,"Add_satisfies_and_a_type_assertion_to_this_expression_satisfies_T_as_T_to_make_the_type_explicit_9035","Add satisfies and a type assertion to this expression (satisfies T as T) to make the type explicit."),Move_the_expression_in_default_export_to_a_variable_and_add_a_type_annotation_to_it:M(9036,1,"Move_the_expression_in_default_export_to_a_variable_and_add_a_type_annotation_to_it_9036","Move the expression in default export to a variable and add a type annotation to it."),Default_exports_can_t_be_inferred_with_isolatedDeclarations:M(9037,1,"Default_exports_can_t_be_inferred_with_isolatedDeclarations_9037","Default exports can't be inferred with --isolatedDeclarations."),Computed_property_names_on_class_or_object_literals_cannot_be_inferred_with_isolatedDeclarations:M(9038,1,"Computed_property_names_on_class_or_object_literals_cannot_be_inferred_with_isolatedDeclarations_9038","Computed property names on class or object literals cannot be inferred with --isolatedDeclarations."),Type_containing_private_name_0_can_t_be_used_with_isolatedDeclarations:M(9039,1,"Type_containing_private_name_0_can_t_be_used_with_isolatedDeclarations_9039","Type containing private name '{0}' can't be used with --isolatedDeclarations."),JSX_attributes_must_only_be_assigned_a_non_empty_expression:M(17e3,1,"JSX_attributes_must_only_be_assigned_a_non_empty_expression_17000","JSX attributes must only be assigned a non-empty 'expression'."),JSX_elements_cannot_have_multiple_attributes_with_the_same_name:M(17001,1,"JSX_elements_cannot_have_multiple_attributes_with_the_same_name_17001","JSX elements cannot have multiple attributes with the same name."),Expected_corresponding_JSX_closing_tag_for_0:M(17002,1,"Expected_corresponding_JSX_closing_tag_for_0_17002","Expected corresponding JSX closing tag for '{0}'."),Cannot_use_JSX_unless_the_jsx_flag_is_provided:M(17004,1,"Cannot_use_JSX_unless_the_jsx_flag_is_provided_17004","Cannot use JSX unless the '--jsx' flag is provided."),A_constructor_cannot_contain_a_super_call_when_its_class_extends_null:M(17005,1,"A_constructor_cannot_contain_a_super_call_when_its_class_extends_null_17005","A constructor cannot contain a 'super' call when its class extends 'null'."),An_unary_expression_with_the_0_operator_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Consider_enclosing_the_expression_in_parentheses:M(17006,1,"An_unary_expression_with_the_0_operator_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_ex_17006","An unary expression with the '{0}' operator is not allowed in the left-hand side of an exponentiation expression. Consider enclosing the expression in parentheses."),A_type_assertion_expression_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Consider_enclosing_the_expression_in_parentheses:M(17007,1,"A_type_assertion_expression_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Con_17007","A type assertion expression is not allowed in the left-hand side of an exponentiation expression. Consider enclosing the expression in parentheses."),JSX_element_0_has_no_corresponding_closing_tag:M(17008,1,"JSX_element_0_has_no_corresponding_closing_tag_17008","JSX element '{0}' has no corresponding closing tag."),super_must_be_called_before_accessing_this_in_the_constructor_of_a_derived_class:M(17009,1,"super_must_be_called_before_accessing_this_in_the_constructor_of_a_derived_class_17009","'super' must be called before accessing 'this' in the constructor of a derived class."),Unknown_type_acquisition_option_0:M(17010,1,"Unknown_type_acquisition_option_0_17010","Unknown type acquisition option '{0}'."),super_must_be_called_before_accessing_a_property_of_super_in_the_constructor_of_a_derived_class:M(17011,1,"super_must_be_called_before_accessing_a_property_of_super_in_the_constructor_of_a_derived_class_17011","'super' must be called before accessing a property of 'super' in the constructor of a derived class."),_0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2:M(17012,1,"_0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2_17012","'{0}' is not a valid meta-property for keyword '{1}'. Did you mean '{2}'?"),Meta_property_0_is_only_allowed_in_the_body_of_a_function_declaration_function_expression_or_constructor:M(17013,1,"Meta_property_0_is_only_allowed_in_the_body_of_a_function_declaration_function_expression_or_constru_17013","Meta-property '{0}' is only allowed in the body of a function declaration, function expression, or constructor."),JSX_fragment_has_no_corresponding_closing_tag:M(17014,1,"JSX_fragment_has_no_corresponding_closing_tag_17014","JSX fragment has no corresponding closing tag."),Expected_corresponding_closing_tag_for_JSX_fragment:M(17015,1,"Expected_corresponding_closing_tag_for_JSX_fragment_17015","Expected corresponding closing tag for JSX fragment."),The_jsxFragmentFactory_compiler_option_must_be_provided_to_use_JSX_fragments_with_the_jsxFactory_compiler_option:M(17016,1,"The_jsxFragmentFactory_compiler_option_must_be_provided_to_use_JSX_fragments_with_the_jsxFactory_com_17016","The 'jsxFragmentFactory' compiler option must be provided to use JSX fragments with the 'jsxFactory' compiler option."),An_jsxFrag_pragma_is_required_when_using_an_jsx_pragma_with_JSX_fragments:M(17017,1,"An_jsxFrag_pragma_is_required_when_using_an_jsx_pragma_with_JSX_fragments_17017","An @jsxFrag pragma is required when using an @jsx pragma with JSX fragments."),Unknown_type_acquisition_option_0_Did_you_mean_1:M(17018,1,"Unknown_type_acquisition_option_0_Did_you_mean_1_17018","Unknown type acquisition option '{0}'. Did you mean '{1}'?"),_0_at_the_end_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1:M(17019,1,"_0_at_the_end_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1_17019","'{0}' at the end of a type is not valid TypeScript syntax. Did you mean to write '{1}'?"),_0_at_the_start_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1:M(17020,1,"_0_at_the_start_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1_17020","'{0}' at the start of a type is not valid TypeScript syntax. Did you mean to write '{1}'?"),Unicode_escape_sequence_cannot_appear_here:M(17021,1,"Unicode_escape_sequence_cannot_appear_here_17021","Unicode escape sequence cannot appear here."),Circularity_detected_while_resolving_configuration_Colon_0:M(18e3,1,"Circularity_detected_while_resolving_configuration_Colon_0_18000","Circularity detected while resolving configuration: {0}"),The_files_list_in_config_file_0_is_empty:M(18002,1,"The_files_list_in_config_file_0_is_empty_18002","The 'files' list in config file '{0}' is empty."),No_inputs_were_found_in_config_file_0_Specified_include_paths_were_1_and_exclude_paths_were_2:M(18003,1,"No_inputs_were_found_in_config_file_0_Specified_include_paths_were_1_and_exclude_paths_were_2_18003","No inputs were found in config file '{0}'. Specified 'include' paths were '{1}' and 'exclude' paths were '{2}'."),File_is_a_CommonJS_module_it_may_be_converted_to_an_ES_module:M(80001,2,"File_is_a_CommonJS_module_it_may_be_converted_to_an_ES_module_80001","File is a CommonJS module; it may be converted to an ES module."),This_constructor_function_may_be_converted_to_a_class_declaration:M(80002,2,"This_constructor_function_may_be_converted_to_a_class_declaration_80002","This constructor function may be converted to a class declaration."),Import_may_be_converted_to_a_default_import:M(80003,2,"Import_may_be_converted_to_a_default_import_80003","Import may be converted to a default import."),JSDoc_types_may_be_moved_to_TypeScript_types:M(80004,2,"JSDoc_types_may_be_moved_to_TypeScript_types_80004","JSDoc types may be moved to TypeScript types."),require_call_may_be_converted_to_an_import:M(80005,2,"require_call_may_be_converted_to_an_import_80005","'require' call may be converted to an import."),This_may_be_converted_to_an_async_function:M(80006,2,"This_may_be_converted_to_an_async_function_80006","This may be converted to an async function."),await_has_no_effect_on_the_type_of_this_expression:M(80007,2,"await_has_no_effect_on_the_type_of_this_expression_80007","'await' has no effect on the type of this expression."),Numeric_literals_with_absolute_values_equal_to_2_53_or_greater_are_too_large_to_be_represented_accurately_as_integers:M(80008,2,"Numeric_literals_with_absolute_values_equal_to_2_53_or_greater_are_too_large_to_be_represented_accur_80008","Numeric literals with absolute values equal to 2^53 or greater are too large to be represented accurately as integers."),JSDoc_typedef_may_be_converted_to_TypeScript_type:M(80009,2,"JSDoc_typedef_may_be_converted_to_TypeScript_type_80009","JSDoc typedef may be converted to TypeScript type."),JSDoc_typedefs_may_be_converted_to_TypeScript_types:M(80010,2,"JSDoc_typedefs_may_be_converted_to_TypeScript_types_80010","JSDoc typedefs may be converted to TypeScript types."),Add_missing_super_call:M(90001,3,"Add_missing_super_call_90001","Add missing 'super()' call"),Make_super_call_the_first_statement_in_the_constructor:M(90002,3,"Make_super_call_the_first_statement_in_the_constructor_90002","Make 'super()' call the first statement in the constructor"),Change_extends_to_implements:M(90003,3,"Change_extends_to_implements_90003","Change 'extends' to 'implements'"),Remove_unused_declaration_for_Colon_0:M(90004,3,"Remove_unused_declaration_for_Colon_0_90004","Remove unused declaration for: '{0}'"),Remove_import_from_0:M(90005,3,"Remove_import_from_0_90005","Remove import from '{0}'"),Implement_interface_0:M(90006,3,"Implement_interface_0_90006","Implement interface '{0}'"),Implement_inherited_abstract_class:M(90007,3,"Implement_inherited_abstract_class_90007","Implement inherited abstract class"),Add_0_to_unresolved_variable:M(90008,3,"Add_0_to_unresolved_variable_90008","Add '{0}.' to unresolved variable"),Remove_variable_statement:M(90010,3,"Remove_variable_statement_90010","Remove variable statement"),Remove_template_tag:M(90011,3,"Remove_template_tag_90011","Remove template tag"),Remove_type_parameters:M(90012,3,"Remove_type_parameters_90012","Remove type parameters"),Import_0_from_1:M(90013,3,"Import_0_from_1_90013",`Import '{0}' from "{1}"`),Change_0_to_1:M(90014,3,"Change_0_to_1_90014","Change '{0}' to '{1}'"),Declare_property_0:M(90016,3,"Declare_property_0_90016","Declare property '{0}'"),Add_index_signature_for_property_0:M(90017,3,"Add_index_signature_for_property_0_90017","Add index signature for property '{0}'"),Disable_checking_for_this_file:M(90018,3,"Disable_checking_for_this_file_90018","Disable checking for this file"),Ignore_this_error_message:M(90019,3,"Ignore_this_error_message_90019","Ignore this error message"),Initialize_property_0_in_the_constructor:M(90020,3,"Initialize_property_0_in_the_constructor_90020","Initialize property '{0}' in the constructor"),Initialize_static_property_0:M(90021,3,"Initialize_static_property_0_90021","Initialize static property '{0}'"),Change_spelling_to_0:M(90022,3,"Change_spelling_to_0_90022","Change spelling to '{0}'"),Declare_method_0:M(90023,3,"Declare_method_0_90023","Declare method '{0}'"),Declare_static_method_0:M(90024,3,"Declare_static_method_0_90024","Declare static method '{0}'"),Prefix_0_with_an_underscore:M(90025,3,"Prefix_0_with_an_underscore_90025","Prefix '{0}' with an underscore"),Rewrite_as_the_indexed_access_type_0:M(90026,3,"Rewrite_as_the_indexed_access_type_0_90026","Rewrite as the indexed access type '{0}'"),Declare_static_property_0:M(90027,3,"Declare_static_property_0_90027","Declare static property '{0}'"),Call_decorator_expression:M(90028,3,"Call_decorator_expression_90028","Call decorator expression"),Add_async_modifier_to_containing_function:M(90029,3,"Add_async_modifier_to_containing_function_90029","Add async modifier to containing function"),Replace_infer_0_with_unknown:M(90030,3,"Replace_infer_0_with_unknown_90030","Replace 'infer {0}' with 'unknown'"),Replace_all_unused_infer_with_unknown:M(90031,3,"Replace_all_unused_infer_with_unknown_90031","Replace all unused 'infer' with 'unknown'"),Add_parameter_name:M(90034,3,"Add_parameter_name_90034","Add parameter name"),Declare_private_property_0:M(90035,3,"Declare_private_property_0_90035","Declare private property '{0}'"),Replace_0_with_Promise_1:M(90036,3,"Replace_0_with_Promise_1_90036","Replace '{0}' with 'Promise<{1}>'"),Fix_all_incorrect_return_type_of_an_async_functions:M(90037,3,"Fix_all_incorrect_return_type_of_an_async_functions_90037","Fix all incorrect return type of an async functions"),Declare_private_method_0:M(90038,3,"Declare_private_method_0_90038","Declare private method '{0}'"),Remove_unused_destructuring_declaration:M(90039,3,"Remove_unused_destructuring_declaration_90039","Remove unused destructuring declaration"),Remove_unused_declarations_for_Colon_0:M(90041,3,"Remove_unused_declarations_for_Colon_0_90041","Remove unused declarations for: '{0}'"),Declare_a_private_field_named_0:M(90053,3,"Declare_a_private_field_named_0_90053","Declare a private field named '{0}'."),Includes_imports_of_types_referenced_by_0:M(90054,3,"Includes_imports_of_types_referenced_by_0_90054","Includes imports of types referenced by '{0}'"),Remove_type_from_import_declaration_from_0:M(90055,3,"Remove_type_from_import_declaration_from_0_90055",`Remove 'type' from import declaration from "{0}"`),Remove_type_from_import_of_0_from_1:M(90056,3,"Remove_type_from_import_of_0_from_1_90056",`Remove 'type' from import of '{0}' from "{1}"`),Add_import_from_0:M(90057,3,"Add_import_from_0_90057",'Add import from "{0}"'),Update_import_from_0:M(90058,3,"Update_import_from_0_90058",'Update import from "{0}"'),Export_0_from_module_1:M(90059,3,"Export_0_from_module_1_90059","Export '{0}' from module '{1}'"),Export_all_referenced_locals:M(90060,3,"Export_all_referenced_locals_90060","Export all referenced locals"),Update_modifiers_of_0:M(90061,3,"Update_modifiers_of_0_90061","Update modifiers of '{0}'"),Add_annotation_of_type_0:M(90062,3,"Add_annotation_of_type_0_90062","Add annotation of type '{0}'"),Add_return_type_0:M(90063,3,"Add_return_type_0_90063","Add return type '{0}'"),Extract_base_class_to_variable:M(90064,3,"Extract_base_class_to_variable_90064","Extract base class to variable"),Extract_default_export_to_variable:M(90065,3,"Extract_default_export_to_variable_90065","Extract default export to variable"),Extract_binding_expressions_to_variable:M(90066,3,"Extract_binding_expressions_to_variable_90066","Extract binding expressions to variable"),Add_all_missing_type_annotations:M(90067,3,"Add_all_missing_type_annotations_90067","Add all missing type annotations"),Add_satisfies_and_an_inline_type_assertion_with_0:M(90068,3,"Add_satisfies_and_an_inline_type_assertion_with_0_90068","Add satisfies and an inline type assertion with '{0}'"),Extract_to_variable_and_replace_with_0_as_typeof_0:M(90069,3,"Extract_to_variable_and_replace_with_0_as_typeof_0_90069","Extract to variable and replace with '{0} as typeof {0}'"),Mark_array_literal_as_const:M(90070,3,"Mark_array_literal_as_const_90070","Mark array literal as const"),Annotate_types_of_properties_expando_function_in_a_namespace:M(90071,3,"Annotate_types_of_properties_expando_function_in_a_namespace_90071","Annotate types of properties expando function in a namespace"),Convert_function_to_an_ES2015_class:M(95001,3,"Convert_function_to_an_ES2015_class_95001","Convert function to an ES2015 class"),Convert_0_to_1_in_0:M(95003,3,"Convert_0_to_1_in_0_95003","Convert '{0}' to '{1} in {0}'"),Extract_to_0_in_1:M(95004,3,"Extract_to_0_in_1_95004","Extract to {0} in {1}"),Extract_function:M(95005,3,"Extract_function_95005","Extract function"),Extract_constant:M(95006,3,"Extract_constant_95006","Extract constant"),Extract_to_0_in_enclosing_scope:M(95007,3,"Extract_to_0_in_enclosing_scope_95007","Extract to {0} in enclosing scope"),Extract_to_0_in_1_scope:M(95008,3,"Extract_to_0_in_1_scope_95008","Extract to {0} in {1} scope"),Annotate_with_type_from_JSDoc:M(95009,3,"Annotate_with_type_from_JSDoc_95009","Annotate with type from JSDoc"),Infer_type_of_0_from_usage:M(95011,3,"Infer_type_of_0_from_usage_95011","Infer type of '{0}' from usage"),Infer_parameter_types_from_usage:M(95012,3,"Infer_parameter_types_from_usage_95012","Infer parameter types from usage"),Convert_to_default_import:M(95013,3,"Convert_to_default_import_95013","Convert to default import"),Install_0:M(95014,3,"Install_0_95014","Install '{0}'"),Replace_import_with_0:M(95015,3,"Replace_import_with_0_95015","Replace import with '{0}'."),Use_synthetic_default_member:M(95016,3,"Use_synthetic_default_member_95016","Use synthetic 'default' member."),Convert_to_ES_module:M(95017,3,"Convert_to_ES_module_95017","Convert to ES module"),Add_undefined_type_to_property_0:M(95018,3,"Add_undefined_type_to_property_0_95018","Add 'undefined' type to property '{0}'"),Add_initializer_to_property_0:M(95019,3,"Add_initializer_to_property_0_95019","Add initializer to property '{0}'"),Add_definite_assignment_assertion_to_property_0:M(95020,3,"Add_definite_assignment_assertion_to_property_0_95020","Add definite assignment assertion to property '{0}'"),Convert_all_type_literals_to_mapped_type:M(95021,3,"Convert_all_type_literals_to_mapped_type_95021","Convert all type literals to mapped type"),Add_all_missing_members:M(95022,3,"Add_all_missing_members_95022","Add all missing members"),Infer_all_types_from_usage:M(95023,3,"Infer_all_types_from_usage_95023","Infer all types from usage"),Delete_all_unused_declarations:M(95024,3,"Delete_all_unused_declarations_95024","Delete all unused declarations"),Prefix_all_unused_declarations_with_where_possible:M(95025,3,"Prefix_all_unused_declarations_with_where_possible_95025","Prefix all unused declarations with '_' where possible"),Fix_all_detected_spelling_errors:M(95026,3,"Fix_all_detected_spelling_errors_95026","Fix all detected spelling errors"),Add_initializers_to_all_uninitialized_properties:M(95027,3,"Add_initializers_to_all_uninitialized_properties_95027","Add initializers to all uninitialized properties"),Add_definite_assignment_assertions_to_all_uninitialized_properties:M(95028,3,"Add_definite_assignment_assertions_to_all_uninitialized_properties_95028","Add definite assignment assertions to all uninitialized properties"),Add_undefined_type_to_all_uninitialized_properties:M(95029,3,"Add_undefined_type_to_all_uninitialized_properties_95029","Add undefined type to all uninitialized properties"),Change_all_jsdoc_style_types_to_TypeScript:M(95030,3,"Change_all_jsdoc_style_types_to_TypeScript_95030","Change all jsdoc-style types to TypeScript"),Change_all_jsdoc_style_types_to_TypeScript_and_add_undefined_to_nullable_types:M(95031,3,"Change_all_jsdoc_style_types_to_TypeScript_and_add_undefined_to_nullable_types_95031","Change all jsdoc-style types to TypeScript (and add '| undefined' to nullable types)"),Implement_all_unimplemented_interfaces:M(95032,3,"Implement_all_unimplemented_interfaces_95032","Implement all unimplemented interfaces"),Install_all_missing_types_packages:M(95033,3,"Install_all_missing_types_packages_95033","Install all missing types packages"),Rewrite_all_as_indexed_access_types:M(95034,3,"Rewrite_all_as_indexed_access_types_95034","Rewrite all as indexed access types"),Convert_all_to_default_imports:M(95035,3,"Convert_all_to_default_imports_95035","Convert all to default imports"),Make_all_super_calls_the_first_statement_in_their_constructor:M(95036,3,"Make_all_super_calls_the_first_statement_in_their_constructor_95036","Make all 'super()' calls the first statement in their constructor"),Add_qualifier_to_all_unresolved_variables_matching_a_member_name:M(95037,3,"Add_qualifier_to_all_unresolved_variables_matching_a_member_name_95037","Add qualifier to all unresolved variables matching a member name"),Change_all_extended_interfaces_to_implements:M(95038,3,"Change_all_extended_interfaces_to_implements_95038","Change all extended interfaces to 'implements'"),Add_all_missing_super_calls:M(95039,3,"Add_all_missing_super_calls_95039","Add all missing super calls"),Implement_all_inherited_abstract_classes:M(95040,3,"Implement_all_inherited_abstract_classes_95040","Implement all inherited abstract classes"),Add_all_missing_async_modifiers:M(95041,3,"Add_all_missing_async_modifiers_95041","Add all missing 'async' modifiers"),Add_ts_ignore_to_all_error_messages:M(95042,3,"Add_ts_ignore_to_all_error_messages_95042","Add '@ts-ignore' to all error messages"),Annotate_everything_with_types_from_JSDoc:M(95043,3,"Annotate_everything_with_types_from_JSDoc_95043","Annotate everything with types from JSDoc"),Add_to_all_uncalled_decorators:M(95044,3,"Add_to_all_uncalled_decorators_95044","Add '()' to all uncalled decorators"),Convert_all_constructor_functions_to_classes:M(95045,3,"Convert_all_constructor_functions_to_classes_95045","Convert all constructor functions to classes"),Generate_get_and_set_accessors:M(95046,3,"Generate_get_and_set_accessors_95046","Generate 'get' and 'set' accessors"),Convert_require_to_import:M(95047,3,"Convert_require_to_import_95047","Convert 'require' to 'import'"),Convert_all_require_to_import:M(95048,3,"Convert_all_require_to_import_95048","Convert all 'require' to 'import'"),Move_to_a_new_file:M(95049,3,"Move_to_a_new_file_95049","Move to a new file"),Remove_unreachable_code:M(95050,3,"Remove_unreachable_code_95050","Remove unreachable code"),Remove_all_unreachable_code:M(95051,3,"Remove_all_unreachable_code_95051","Remove all unreachable code"),Add_missing_typeof:M(95052,3,"Add_missing_typeof_95052","Add missing 'typeof'"),Remove_unused_label:M(95053,3,"Remove_unused_label_95053","Remove unused label"),Remove_all_unused_labels:M(95054,3,"Remove_all_unused_labels_95054","Remove all unused labels"),Convert_0_to_mapped_object_type:M(95055,3,"Convert_0_to_mapped_object_type_95055","Convert '{0}' to mapped object type"),Convert_namespace_import_to_named_imports:M(95056,3,"Convert_namespace_import_to_named_imports_95056","Convert namespace import to named imports"),Convert_named_imports_to_namespace_import:M(95057,3,"Convert_named_imports_to_namespace_import_95057","Convert named imports to namespace import"),Add_or_remove_braces_in_an_arrow_function:M(95058,3,"Add_or_remove_braces_in_an_arrow_function_95058","Add or remove braces in an arrow function"),Add_braces_to_arrow_function:M(95059,3,"Add_braces_to_arrow_function_95059","Add braces to arrow function"),Remove_braces_from_arrow_function:M(95060,3,"Remove_braces_from_arrow_function_95060","Remove braces from arrow function"),Convert_default_export_to_named_export:M(95061,3,"Convert_default_export_to_named_export_95061","Convert default export to named export"),Convert_named_export_to_default_export:M(95062,3,"Convert_named_export_to_default_export_95062","Convert named export to default export"),Add_missing_enum_member_0:M(95063,3,"Add_missing_enum_member_0_95063","Add missing enum member '{0}'"),Add_all_missing_imports:M(95064,3,"Add_all_missing_imports_95064","Add all missing imports"),Convert_to_async_function:M(95065,3,"Convert_to_async_function_95065","Convert to async function"),Convert_all_to_async_functions:M(95066,3,"Convert_all_to_async_functions_95066","Convert all to async functions"),Add_missing_call_parentheses:M(95067,3,"Add_missing_call_parentheses_95067","Add missing call parentheses"),Add_all_missing_call_parentheses:M(95068,3,"Add_all_missing_call_parentheses_95068","Add all missing call parentheses"),Add_unknown_conversion_for_non_overlapping_types:M(95069,3,"Add_unknown_conversion_for_non_overlapping_types_95069","Add 'unknown' conversion for non-overlapping types"),Add_unknown_to_all_conversions_of_non_overlapping_types:M(95070,3,"Add_unknown_to_all_conversions_of_non_overlapping_types_95070","Add 'unknown' to all conversions of non-overlapping types"),Add_missing_new_operator_to_call:M(95071,3,"Add_missing_new_operator_to_call_95071","Add missing 'new' operator to call"),Add_missing_new_operator_to_all_calls:M(95072,3,"Add_missing_new_operator_to_all_calls_95072","Add missing 'new' operator to all calls"),Add_names_to_all_parameters_without_names:M(95073,3,"Add_names_to_all_parameters_without_names_95073","Add names to all parameters without names"),Enable_the_experimentalDecorators_option_in_your_configuration_file:M(95074,3,"Enable_the_experimentalDecorators_option_in_your_configuration_file_95074","Enable the 'experimentalDecorators' option in your configuration file"),Convert_parameters_to_destructured_object:M(95075,3,"Convert_parameters_to_destructured_object_95075","Convert parameters to destructured object"),Extract_type:M(95077,3,"Extract_type_95077","Extract type"),Extract_to_type_alias:M(95078,3,"Extract_to_type_alias_95078","Extract to type alias"),Extract_to_typedef:M(95079,3,"Extract_to_typedef_95079","Extract to typedef"),Infer_this_type_of_0_from_usage:M(95080,3,"Infer_this_type_of_0_from_usage_95080","Infer 'this' type of '{0}' from usage"),Add_const_to_unresolved_variable:M(95081,3,"Add_const_to_unresolved_variable_95081","Add 'const' to unresolved variable"),Add_const_to_all_unresolved_variables:M(95082,3,"Add_const_to_all_unresolved_variables_95082","Add 'const' to all unresolved variables"),Add_await:M(95083,3,"Add_await_95083","Add 'await'"),Add_await_to_initializer_for_0:M(95084,3,"Add_await_to_initializer_for_0_95084","Add 'await' to initializer for '{0}'"),Fix_all_expressions_possibly_missing_await:M(95085,3,"Fix_all_expressions_possibly_missing_await_95085","Fix all expressions possibly missing 'await'"),Remove_unnecessary_await:M(95086,3,"Remove_unnecessary_await_95086","Remove unnecessary 'await'"),Remove_all_unnecessary_uses_of_await:M(95087,3,"Remove_all_unnecessary_uses_of_await_95087","Remove all unnecessary uses of 'await'"),Enable_the_jsx_flag_in_your_configuration_file:M(95088,3,"Enable_the_jsx_flag_in_your_configuration_file_95088","Enable the '--jsx' flag in your configuration file"),Add_await_to_initializers:M(95089,3,"Add_await_to_initializers_95089","Add 'await' to initializers"),Extract_to_interface:M(95090,3,"Extract_to_interface_95090","Extract to interface"),Convert_to_a_bigint_numeric_literal:M(95091,3,"Convert_to_a_bigint_numeric_literal_95091","Convert to a bigint numeric literal"),Convert_all_to_bigint_numeric_literals:M(95092,3,"Convert_all_to_bigint_numeric_literals_95092","Convert all to bigint numeric literals"),Convert_const_to_let:M(95093,3,"Convert_const_to_let_95093","Convert 'const' to 'let'"),Prefix_with_declare:M(95094,3,"Prefix_with_declare_95094","Prefix with 'declare'"),Prefix_all_incorrect_property_declarations_with_declare:M(95095,3,"Prefix_all_incorrect_property_declarations_with_declare_95095","Prefix all incorrect property declarations with 'declare'"),Convert_to_template_string:M(95096,3,"Convert_to_template_string_95096","Convert to template string"),Add_export_to_make_this_file_into_a_module:M(95097,3,"Add_export_to_make_this_file_into_a_module_95097","Add 'export {}' to make this file into a module"),Set_the_target_option_in_your_configuration_file_to_0:M(95098,3,"Set_the_target_option_in_your_configuration_file_to_0_95098","Set the 'target' option in your configuration file to '{0}'"),Set_the_module_option_in_your_configuration_file_to_0:M(95099,3,"Set_the_module_option_in_your_configuration_file_to_0_95099","Set the 'module' option in your configuration file to '{0}'"),Convert_invalid_character_to_its_html_entity_code:M(95100,3,"Convert_invalid_character_to_its_html_entity_code_95100","Convert invalid character to its html entity code"),Convert_all_invalid_characters_to_HTML_entity_code:M(95101,3,"Convert_all_invalid_characters_to_HTML_entity_code_95101","Convert all invalid characters to HTML entity code"),Convert_all_const_to_let:M(95102,3,"Convert_all_const_to_let_95102","Convert all 'const' to 'let'"),Convert_function_expression_0_to_arrow_function:M(95105,3,"Convert_function_expression_0_to_arrow_function_95105","Convert function expression '{0}' to arrow function"),Convert_function_declaration_0_to_arrow_function:M(95106,3,"Convert_function_declaration_0_to_arrow_function_95106","Convert function declaration '{0}' to arrow function"),Fix_all_implicit_this_errors:M(95107,3,"Fix_all_implicit_this_errors_95107","Fix all implicit-'this' errors"),Wrap_invalid_character_in_an_expression_container:M(95108,3,"Wrap_invalid_character_in_an_expression_container_95108","Wrap invalid character in an expression container"),Wrap_all_invalid_characters_in_an_expression_container:M(95109,3,"Wrap_all_invalid_characters_in_an_expression_container_95109","Wrap all invalid characters in an expression container"),Visit_https_Colon_Slash_Slashaka_ms_Slashtsconfig_to_read_more_about_this_file:M(95110,3,"Visit_https_Colon_Slash_Slashaka_ms_Slashtsconfig_to_read_more_about_this_file_95110","Visit https://aka.ms/tsconfig to read more about this file"),Add_a_return_statement:M(95111,3,"Add_a_return_statement_95111","Add a return statement"),Remove_braces_from_arrow_function_body:M(95112,3,"Remove_braces_from_arrow_function_body_95112","Remove braces from arrow function body"),Wrap_the_following_body_with_parentheses_which_should_be_an_object_literal:M(95113,3,"Wrap_the_following_body_with_parentheses_which_should_be_an_object_literal_95113","Wrap the following body with parentheses which should be an object literal"),Add_all_missing_return_statement:M(95114,3,"Add_all_missing_return_statement_95114","Add all missing return statement"),Remove_braces_from_all_arrow_function_bodies_with_relevant_issues:M(95115,3,"Remove_braces_from_all_arrow_function_bodies_with_relevant_issues_95115","Remove braces from all arrow function bodies with relevant issues"),Wrap_all_object_literal_with_parentheses:M(95116,3,"Wrap_all_object_literal_with_parentheses_95116","Wrap all object literal with parentheses"),Move_labeled_tuple_element_modifiers_to_labels:M(95117,3,"Move_labeled_tuple_element_modifiers_to_labels_95117","Move labeled tuple element modifiers to labels"),Convert_overload_list_to_single_signature:M(95118,3,"Convert_overload_list_to_single_signature_95118","Convert overload list to single signature"),Generate_get_and_set_accessors_for_all_overriding_properties:M(95119,3,"Generate_get_and_set_accessors_for_all_overriding_properties_95119","Generate 'get' and 'set' accessors for all overriding properties"),Wrap_in_JSX_fragment:M(95120,3,"Wrap_in_JSX_fragment_95120","Wrap in JSX fragment"),Wrap_all_unparented_JSX_in_JSX_fragment:M(95121,3,"Wrap_all_unparented_JSX_in_JSX_fragment_95121","Wrap all unparented JSX in JSX fragment"),Convert_arrow_function_or_function_expression:M(95122,3,"Convert_arrow_function_or_function_expression_95122","Convert arrow function or function expression"),Convert_to_anonymous_function:M(95123,3,"Convert_to_anonymous_function_95123","Convert to anonymous function"),Convert_to_named_function:M(95124,3,"Convert_to_named_function_95124","Convert to named function"),Convert_to_arrow_function:M(95125,3,"Convert_to_arrow_function_95125","Convert to arrow function"),Remove_parentheses:M(95126,3,"Remove_parentheses_95126","Remove parentheses"),Could_not_find_a_containing_arrow_function:M(95127,3,"Could_not_find_a_containing_arrow_function_95127","Could not find a containing arrow function"),Containing_function_is_not_an_arrow_function:M(95128,3,"Containing_function_is_not_an_arrow_function_95128","Containing function is not an arrow function"),Could_not_find_export_statement:M(95129,3,"Could_not_find_export_statement_95129","Could not find export statement"),This_file_already_has_a_default_export:M(95130,3,"This_file_already_has_a_default_export_95130","This file already has a default export"),Could_not_find_import_clause:M(95131,3,"Could_not_find_import_clause_95131","Could not find import clause"),Could_not_find_namespace_import_or_named_imports:M(95132,3,"Could_not_find_namespace_import_or_named_imports_95132","Could not find namespace import or named imports"),Selection_is_not_a_valid_type_node:M(95133,3,"Selection_is_not_a_valid_type_node_95133","Selection is not a valid type node"),No_type_could_be_extracted_from_this_type_node:M(95134,3,"No_type_could_be_extracted_from_this_type_node_95134","No type could be extracted from this type node"),Could_not_find_property_for_which_to_generate_accessor:M(95135,3,"Could_not_find_property_for_which_to_generate_accessor_95135","Could not find property for which to generate accessor"),Name_is_not_valid:M(95136,3,"Name_is_not_valid_95136","Name is not valid"),Can_only_convert_property_with_modifier:M(95137,3,"Can_only_convert_property_with_modifier_95137","Can only convert property with modifier"),Switch_each_misused_0_to_1:M(95138,3,"Switch_each_misused_0_to_1_95138","Switch each misused '{0}' to '{1}'"),Convert_to_optional_chain_expression:M(95139,3,"Convert_to_optional_chain_expression_95139","Convert to optional chain expression"),Could_not_find_convertible_access_expression:M(95140,3,"Could_not_find_convertible_access_expression_95140","Could not find convertible access expression"),Could_not_find_matching_access_expressions:M(95141,3,"Could_not_find_matching_access_expressions_95141","Could not find matching access expressions"),Can_only_convert_logical_AND_access_chains:M(95142,3,"Can_only_convert_logical_AND_access_chains_95142","Can only convert logical AND access chains"),Add_void_to_Promise_resolved_without_a_value:M(95143,3,"Add_void_to_Promise_resolved_without_a_value_95143","Add 'void' to Promise resolved without a value"),Add_void_to_all_Promises_resolved_without_a_value:M(95144,3,"Add_void_to_all_Promises_resolved_without_a_value_95144","Add 'void' to all Promises resolved without a value"),Use_element_access_for_0:M(95145,3,"Use_element_access_for_0_95145","Use element access for '{0}'"),Use_element_access_for_all_undeclared_properties:M(95146,3,"Use_element_access_for_all_undeclared_properties_95146","Use element access for all undeclared properties."),Delete_all_unused_imports:M(95147,3,"Delete_all_unused_imports_95147","Delete all unused imports"),Infer_function_return_type:M(95148,3,"Infer_function_return_type_95148","Infer function return type"),Return_type_must_be_inferred_from_a_function:M(95149,3,"Return_type_must_be_inferred_from_a_function_95149","Return type must be inferred from a function"),Could_not_determine_function_return_type:M(95150,3,"Could_not_determine_function_return_type_95150","Could not determine function return type"),Could_not_convert_to_arrow_function:M(95151,3,"Could_not_convert_to_arrow_function_95151","Could not convert to arrow function"),Could_not_convert_to_named_function:M(95152,3,"Could_not_convert_to_named_function_95152","Could not convert to named function"),Could_not_convert_to_anonymous_function:M(95153,3,"Could_not_convert_to_anonymous_function_95153","Could not convert to anonymous function"),Can_only_convert_string_concatenations_and_string_literals:M(95154,3,"Can_only_convert_string_concatenations_and_string_literals_95154","Can only convert string concatenations and string literals"),Selection_is_not_a_valid_statement_or_statements:M(95155,3,"Selection_is_not_a_valid_statement_or_statements_95155","Selection is not a valid statement or statements"),Add_missing_function_declaration_0:M(95156,3,"Add_missing_function_declaration_0_95156","Add missing function declaration '{0}'"),Add_all_missing_function_declarations:M(95157,3,"Add_all_missing_function_declarations_95157","Add all missing function declarations"),Method_not_implemented:M(95158,3,"Method_not_implemented_95158","Method not implemented."),Function_not_implemented:M(95159,3,"Function_not_implemented_95159","Function not implemented."),Add_override_modifier:M(95160,3,"Add_override_modifier_95160","Add 'override' modifier"),Remove_override_modifier:M(95161,3,"Remove_override_modifier_95161","Remove 'override' modifier"),Add_all_missing_override_modifiers:M(95162,3,"Add_all_missing_override_modifiers_95162","Add all missing 'override' modifiers"),Remove_all_unnecessary_override_modifiers:M(95163,3,"Remove_all_unnecessary_override_modifiers_95163","Remove all unnecessary 'override' modifiers"),Can_only_convert_named_export:M(95164,3,"Can_only_convert_named_export_95164","Can only convert named export"),Add_missing_properties:M(95165,3,"Add_missing_properties_95165","Add missing properties"),Add_all_missing_properties:M(95166,3,"Add_all_missing_properties_95166","Add all missing properties"),Add_missing_attributes:M(95167,3,"Add_missing_attributes_95167","Add missing attributes"),Add_all_missing_attributes:M(95168,3,"Add_all_missing_attributes_95168","Add all missing attributes"),Add_undefined_to_optional_property_type:M(95169,3,"Add_undefined_to_optional_property_type_95169","Add 'undefined' to optional property type"),Convert_named_imports_to_default_import:M(95170,3,"Convert_named_imports_to_default_import_95170","Convert named imports to default import"),Delete_unused_param_tag_0:M(95171,3,"Delete_unused_param_tag_0_95171","Delete unused '@param' tag '{0}'"),Delete_all_unused_param_tags:M(95172,3,"Delete_all_unused_param_tags_95172","Delete all unused '@param' tags"),Rename_param_tag_name_0_to_1:M(95173,3,"Rename_param_tag_name_0_to_1_95173","Rename '@param' tag name '{0}' to '{1}'"),Use_0:M(95174,3,"Use_0_95174","Use `{0}`."),Use_Number_isNaN_in_all_conditions:M(95175,3,"Use_Number_isNaN_in_all_conditions_95175","Use `Number.isNaN` in all conditions."),Convert_typedef_to_TypeScript_type:M(95176,3,"Convert_typedef_to_TypeScript_type_95176","Convert typedef to TypeScript type."),Convert_all_typedef_to_TypeScript_types:M(95177,3,"Convert_all_typedef_to_TypeScript_types_95177","Convert all typedef to TypeScript types."),Move_to_file:M(95178,3,"Move_to_file_95178","Move to file"),Cannot_move_to_file_selected_file_is_invalid:M(95179,3,"Cannot_move_to_file_selected_file_is_invalid_95179","Cannot move to file, selected file is invalid"),Use_import_type:M(95180,3,"Use_import_type_95180","Use 'import type'"),Use_type_0:M(95181,3,"Use_type_0_95181","Use 'type {0}'"),Fix_all_with_type_only_imports:M(95182,3,"Fix_all_with_type_only_imports_95182","Fix all with type-only imports"),Cannot_move_statements_to_the_selected_file:M(95183,3,"Cannot_move_statements_to_the_selected_file_95183","Cannot move statements to the selected file"),Inline_variable:M(95184,3,"Inline_variable_95184","Inline variable"),Could_not_find_variable_to_inline:M(95185,3,"Could_not_find_variable_to_inline_95185","Could not find variable to inline."),Variables_with_multiple_declarations_cannot_be_inlined:M(95186,3,"Variables_with_multiple_declarations_cannot_be_inlined_95186","Variables with multiple declarations cannot be inlined."),Add_missing_comma_for_object_member_completion_0:M(95187,3,"Add_missing_comma_for_object_member_completion_0_95187","Add missing comma for object member completion '{0}'."),Add_missing_parameter_to_0:M(95188,3,"Add_missing_parameter_to_0_95188","Add missing parameter to '{0}'"),Add_missing_parameters_to_0:M(95189,3,"Add_missing_parameters_to_0_95189","Add missing parameters to '{0}'"),Add_all_missing_parameters:M(95190,3,"Add_all_missing_parameters_95190","Add all missing parameters"),Add_optional_parameter_to_0:M(95191,3,"Add_optional_parameter_to_0_95191","Add optional parameter to '{0}'"),Add_optional_parameters_to_0:M(95192,3,"Add_optional_parameters_to_0_95192","Add optional parameters to '{0}'"),Add_all_optional_parameters:M(95193,3,"Add_all_optional_parameters_95193","Add all optional parameters"),Wrap_in_parentheses:M(95194,3,"Wrap_in_parentheses_95194","Wrap in parentheses"),Wrap_all_invalid_decorator_expressions_in_parentheses:M(95195,3,"Wrap_all_invalid_decorator_expressions_in_parentheses_95195","Wrap all invalid decorator expressions in parentheses"),No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer:M(18004,1,"No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer_18004","No value exists in scope for the shorthand property '{0}'. Either declare one or provide an initializer."),Classes_may_not_have_a_field_named_constructor:M(18006,1,"Classes_may_not_have_a_field_named_constructor_18006","Classes may not have a field named 'constructor'."),JSX_expressions_may_not_use_the_comma_operator_Did_you_mean_to_write_an_array:M(18007,1,"JSX_expressions_may_not_use_the_comma_operator_Did_you_mean_to_write_an_array_18007","JSX expressions may not use the comma operator. Did you mean to write an array?"),Private_identifiers_cannot_be_used_as_parameters:M(18009,1,"Private_identifiers_cannot_be_used_as_parameters_18009","Private identifiers cannot be used as parameters."),An_accessibility_modifier_cannot_be_used_with_a_private_identifier:M(18010,1,"An_accessibility_modifier_cannot_be_used_with_a_private_identifier_18010","An accessibility modifier cannot be used with a private identifier."),The_operand_of_a_delete_operator_cannot_be_a_private_identifier:M(18011,1,"The_operand_of_a_delete_operator_cannot_be_a_private_identifier_18011","The operand of a 'delete' operator cannot be a private identifier."),constructor_is_a_reserved_word:M(18012,1,"constructor_is_a_reserved_word_18012","'#constructor' is a reserved word."),Property_0_is_not_accessible_outside_class_1_because_it_has_a_private_identifier:M(18013,1,"Property_0_is_not_accessible_outside_class_1_because_it_has_a_private_identifier_18013","Property '{0}' is not accessible outside class '{1}' because it has a private identifier."),The_property_0_cannot_be_accessed_on_type_1_within_this_class_because_it_is_shadowed_by_another_private_identifier_with_the_same_spelling:M(18014,1,"The_property_0_cannot_be_accessed_on_type_1_within_this_class_because_it_is_shadowed_by_another_priv_18014","The property '{0}' cannot be accessed on type '{1}' within this class because it is shadowed by another private identifier with the same spelling."),Property_0_in_type_1_refers_to_a_different_member_that_cannot_be_accessed_from_within_type_2:M(18015,1,"Property_0_in_type_1_refers_to_a_different_member_that_cannot_be_accessed_from_within_type_2_18015","Property '{0}' in type '{1}' refers to a different member that cannot be accessed from within type '{2}'."),Private_identifiers_are_not_allowed_outside_class_bodies:M(18016,1,"Private_identifiers_are_not_allowed_outside_class_bodies_18016","Private identifiers are not allowed outside class bodies."),The_shadowing_declaration_of_0_is_defined_here:M(18017,1,"The_shadowing_declaration_of_0_is_defined_here_18017","The shadowing declaration of '{0}' is defined here"),The_declaration_of_0_that_you_probably_intended_to_use_is_defined_here:M(18018,1,"The_declaration_of_0_that_you_probably_intended_to_use_is_defined_here_18018","The declaration of '{0}' that you probably intended to use is defined here"),_0_modifier_cannot_be_used_with_a_private_identifier:M(18019,1,"_0_modifier_cannot_be_used_with_a_private_identifier_18019","'{0}' modifier cannot be used with a private identifier."),An_enum_member_cannot_be_named_with_a_private_identifier:M(18024,1,"An_enum_member_cannot_be_named_with_a_private_identifier_18024","An enum member cannot be named with a private identifier."),can_only_be_used_at_the_start_of_a_file:M(18026,1,"can_only_be_used_at_the_start_of_a_file_18026","'#!' can only be used at the start of a file."),Compiler_reserves_name_0_when_emitting_private_identifier_downlevel:M(18027,1,"Compiler_reserves_name_0_when_emitting_private_identifier_downlevel_18027","Compiler reserves name '{0}' when emitting private identifier downlevel."),Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher:M(18028,1,"Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher_18028","Private identifiers are only available when targeting ECMAScript 2015 and higher."),Private_identifiers_are_not_allowed_in_variable_declarations:M(18029,1,"Private_identifiers_are_not_allowed_in_variable_declarations_18029","Private identifiers are not allowed in variable declarations."),An_optional_chain_cannot_contain_private_identifiers:M(18030,1,"An_optional_chain_cannot_contain_private_identifiers_18030","An optional chain cannot contain private identifiers."),The_intersection_0_was_reduced_to_never_because_property_1_has_conflicting_types_in_some_constituents:M(18031,1,"The_intersection_0_was_reduced_to_never_because_property_1_has_conflicting_types_in_some_constituent_18031","The intersection '{0}' was reduced to 'never' because property '{1}' has conflicting types in some constituents."),The_intersection_0_was_reduced_to_never_because_property_1_exists_in_multiple_constituents_and_is_private_in_some:M(18032,1,"The_intersection_0_was_reduced_to_never_because_property_1_exists_in_multiple_constituents_and_is_pr_18032","The intersection '{0}' was reduced to 'never' because property '{1}' exists in multiple constituents and is private in some."),Type_0_is_not_assignable_to_type_1_as_required_for_computed_enum_member_values:M(18033,1,"Type_0_is_not_assignable_to_type_1_as_required_for_computed_enum_member_values_18033","Type '{0}' is not assignable to type '{1}' as required for computed enum member values."),Specify_the_JSX_fragment_factory_function_to_use_when_targeting_react_JSX_emit_with_jsxFactory_compiler_option_is_specified_e_g_Fragment:M(18034,3,"Specify_the_JSX_fragment_factory_function_to_use_when_targeting_react_JSX_emit_with_jsxFactory_compi_18034","Specify the JSX fragment factory function to use when targeting 'react' JSX emit with 'jsxFactory' compiler option is specified, e.g. 'Fragment'."),Invalid_value_for_jsxFragmentFactory_0_is_not_a_valid_identifier_or_qualified_name:M(18035,1,"Invalid_value_for_jsxFragmentFactory_0_is_not_a_valid_identifier_or_qualified_name_18035","Invalid value for 'jsxFragmentFactory'. '{0}' is not a valid identifier or qualified-name."),Class_decorators_can_t_be_used_with_static_private_identifier_Consider_removing_the_experimental_decorator:M(18036,1,"Class_decorators_can_t_be_used_with_static_private_identifier_Consider_removing_the_experimental_dec_18036","Class decorators can't be used with static private identifier. Consider removing the experimental decorator."),await_expression_cannot_be_used_inside_a_class_static_block:M(18037,1,"await_expression_cannot_be_used_inside_a_class_static_block_18037","'await' expression cannot be used inside a class static block."),for_await_loops_cannot_be_used_inside_a_class_static_block:M(18038,1,"for_await_loops_cannot_be_used_inside_a_class_static_block_18038","'for await' loops cannot be used inside a class static block."),Invalid_use_of_0_It_cannot_be_used_inside_a_class_static_block:M(18039,1,"Invalid_use_of_0_It_cannot_be_used_inside_a_class_static_block_18039","Invalid use of '{0}'. It cannot be used inside a class static block."),A_return_statement_cannot_be_used_inside_a_class_static_block:M(18041,1,"A_return_statement_cannot_be_used_inside_a_class_static_block_18041","A 'return' statement cannot be used inside a class static block."),_0_is_a_type_and_cannot_be_imported_in_JavaScript_files_Use_1_in_a_JSDoc_type_annotation:M(18042,1,"_0_is_a_type_and_cannot_be_imported_in_JavaScript_files_Use_1_in_a_JSDoc_type_annotation_18042","'{0}' is a type and cannot be imported in JavaScript files. Use '{1}' in a JSDoc type annotation."),Types_cannot_appear_in_export_declarations_in_JavaScript_files:M(18043,1,"Types_cannot_appear_in_export_declarations_in_JavaScript_files_18043","Types cannot appear in export declarations in JavaScript files."),_0_is_automatically_exported_here:M(18044,3,"_0_is_automatically_exported_here_18044","'{0}' is automatically exported here."),Properties_with_the_accessor_modifier_are_only_available_when_targeting_ECMAScript_2015_and_higher:M(18045,1,"Properties_with_the_accessor_modifier_are_only_available_when_targeting_ECMAScript_2015_and_higher_18045","Properties with the 'accessor' modifier are only available when targeting ECMAScript 2015 and higher."),_0_is_of_type_unknown:M(18046,1,"_0_is_of_type_unknown_18046","'{0}' is of type 'unknown'."),_0_is_possibly_null:M(18047,1,"_0_is_possibly_null_18047","'{0}' is possibly 'null'."),_0_is_possibly_undefined:M(18048,1,"_0_is_possibly_undefined_18048","'{0}' is possibly 'undefined'."),_0_is_possibly_null_or_undefined:M(18049,1,"_0_is_possibly_null_or_undefined_18049","'{0}' is possibly 'null' or 'undefined'."),The_value_0_cannot_be_used_here:M(18050,1,"The_value_0_cannot_be_used_here_18050","The value '{0}' cannot be used here."),Compiler_option_0_cannot_be_given_an_empty_string:M(18051,1,"Compiler_option_0_cannot_be_given_an_empty_string_18051","Compiler option '{0}' cannot be given an empty string."),Its_type_0_is_not_a_valid_JSX_element_type:M(18053,1,"Its_type_0_is_not_a_valid_JSX_element_type_18053","Its type '{0}' is not a valid JSX element type."),await_using_statements_cannot_be_used_inside_a_class_static_block:M(18054,1,"await_using_statements_cannot_be_used_inside_a_class_static_block_18054","'await using' statements cannot be used inside a class static block."),_0_has_a_string_type_but_must_have_syntactically_recognizable_string_syntax_when_isolatedModules_is_enabled:M(18055,1,"_0_has_a_string_type_but_must_have_syntactically_recognizable_string_syntax_when_isolatedModules_is__18055","'{0}' has a string type, but must have syntactically recognizable string syntax when 'isolatedModules' is enabled."),Enum_member_following_a_non_literal_numeric_member_must_have_an_initializer_when_isolatedModules_is_enabled:M(18056,1,"Enum_member_following_a_non_literal_numeric_member_must_have_an_initializer_when_isolatedModules_is__18056","Enum member following a non-literal numeric member must have an initializer when 'isolatedModules' is enabled."),String_literal_import_and_export_names_are_not_supported_when_the_module_flag_is_set_to_es2015_or_es2020:M(18057,1,"String_literal_import_and_export_names_are_not_supported_when_the_module_flag_is_set_to_es2015_or_es_18057","String literal import and export names are not supported when the '--module' flag is set to 'es2015' or 'es2020'.")};function D_(t){return t>=80}function Vke(t){return t===32||D_(t)}var tG={abstract:128,accessor:129,any:133,as:130,asserts:131,assert:132,bigint:163,boolean:136,break:83,case:84,catch:85,class:86,continue:88,const:87,constructor:137,debugger:89,declare:138,default:90,delete:91,do:92,else:93,enum:94,export:95,extends:96,false:97,finally:98,for:99,from:161,function:100,get:139,if:101,implements:119,import:102,in:103,infer:140,instanceof:104,interface:120,intrinsic:141,is:142,keyof:143,let:121,module:144,namespace:145,never:146,new:105,null:106,number:150,object:151,package:122,private:123,protected:124,public:125,override:164,out:147,readonly:148,require:149,global:162,return:107,satisfies:152,set:153,static:126,string:154,super:108,switch:109,symbol:155,this:110,throw:111,true:112,try:113,type:156,typeof:114,undefined:157,unique:158,unknown:159,using:160,var:115,void:116,while:117,with:118,yield:127,async:134,await:135,of:165},e4t=new Map(Object.entries(tG)),oXe=new Map(Object.entries({...tG,"{":19,"}":20,"(":21,")":22,"[":23,"]":24,".":25,"...":26,";":27,",":28,"<":30,">":32,"<=":33,">=":34,"==":35,"!=":36,"===":37,"!==":38,"=>":39,"+":40,"-":41,"**":43,"*":42,"/":44,"%":45,"++":46,"--":47,"<<":48,"</":31,">>":49,">>>":50,"&":51,"|":52,"^":53,"!":54,"~":55,"&&":56,"||":57,"?":58,"??":61,"?.":29,":":59,"=":64,"+=":65,"-=":66,"*=":67,"**=":68,"/=":69,"%=":70,"<<=":71,">>=":72,">>>=":73,"&=":74,"|=":75,"^=":79,"||=":76,"&&=":77,"??=":78,"@":60,"#":63,"`":62})),aXe=new Map([[100,1],[103,2],[105,4],[109,8],[115,16],[117,32],[118,64],[121,128]]),t4t=new Map([[1,9],[16,5],[32,2],[64,99],[128,2]]),i4t=[170,170,181,181,186,186,192,214,216,246,248,705,710,721,736,740,748,748,750,750,880,884,886,887,890,893,902,902,904,906,908,908,910,929,931,1013,1015,1153,1162,1319,1329,1366,1369,1369,1377,1415,1488,1514,1520,1522,1568,1610,1646,1647,1649,1747,1749,1749,1765,1766,1774,1775,1786,1788,1791,1791,1808,1808,1810,1839,1869,1957,1969,1969,1994,2026,2036,2037,2042,2042,2048,2069,2074,2074,2084,2084,2088,2088,2112,2136,2208,2208,2210,2220,2308,2361,2365,2365,2384,2384,2392,2401,2417,2423,2425,2431,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2493,2493,2510,2510,2524,2525,2527,2529,2544,2545,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2649,2652,2654,2654,2674,2676,2693,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2749,2749,2768,2768,2784,2785,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2869,2873,2877,2877,2908,2909,2911,2913,2929,2929,2947,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,3001,3024,3024,3077,3084,3086,3088,3090,3112,3114,3123,3125,3129,3133,3133,3160,3161,3168,3169,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3261,3261,3294,3294,3296,3297,3313,3314,3333,3340,3342,3344,3346,3386,3389,3389,3406,3406,3424,3425,3450,3455,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3585,3632,3634,3635,3648,3654,3713,3714,3716,3716,3719,3720,3722,3722,3725,3725,3732,3735,3737,3743,3745,3747,3749,3749,3751,3751,3754,3755,3757,3760,3762,3763,3773,3773,3776,3780,3782,3782,3804,3807,3840,3840,3904,3911,3913,3948,3976,3980,4096,4138,4159,4159,4176,4181,4186,4189,4193,4193,4197,4198,4206,4208,4213,4225,4238,4238,4256,4293,4295,4295,4301,4301,4304,4346,4348,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4744,4746,4749,4752,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4822,4824,4880,4882,4885,4888,4954,4992,5007,5024,5108,5121,5740,5743,5759,5761,5786,5792,5866,5870,5872,5888,5900,5902,5905,5920,5937,5952,5969,5984,5996,5998,6e3,6016,6067,6103,6103,6108,6108,6176,6263,6272,6312,6314,6314,6320,6389,6400,6428,6480,6509,6512,6516,6528,6571,6593,6599,6656,6678,6688,6740,6823,6823,6917,6963,6981,6987,7043,7072,7086,7087,7098,7141,7168,7203,7245,7247,7258,7293,7401,7404,7406,7409,7413,7414,7424,7615,7680,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8305,8305,8319,8319,8336,8348,8450,8450,8455,8455,8458,8467,8469,8469,8473,8477,8484,8484,8486,8486,8488,8488,8490,8493,8495,8505,8508,8511,8517,8521,8526,8526,8544,8584,11264,11310,11312,11358,11360,11492,11499,11502,11506,11507,11520,11557,11559,11559,11565,11565,11568,11623,11631,11631,11648,11670,11680,11686,11688,11694,11696,11702,11704,11710,11712,11718,11720,11726,11728,11734,11736,11742,11823,11823,12293,12295,12321,12329,12337,12341,12344,12348,12353,12438,12445,12447,12449,12538,12540,12543,12549,12589,12593,12686,12704,12730,12784,12799,13312,19893,19968,40908,40960,42124,42192,42237,42240,42508,42512,42527,42538,42539,42560,42606,42623,42647,42656,42735,42775,42783,42786,42888,42891,42894,42896,42899,42912,42922,43e3,43009,43011,43013,43015,43018,43020,43042,43072,43123,43138,43187,43250,43255,43259,43259,43274,43301,43312,43334,43360,43388,43396,43442,43471,43471,43520,43560,43584,43586,43588,43595,43616,43638,43642,43642,43648,43695,43697,43697,43701,43702,43705,43709,43712,43712,43714,43714,43739,43741,43744,43754,43762,43764,43777,43782,43785,43790,43793,43798,43808,43814,43816,43822,43968,44002,44032,55203,55216,55238,55243,55291,63744,64109,64112,64217,64256,64262,64275,64279,64285,64285,64287,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65136,65140,65142,65276,65313,65338,65345,65370,65382,65470,65474,65479,65482,65487,65490,65495,65498,65500],n4t=[170,170,181,181,186,186,192,214,216,246,248,705,710,721,736,740,748,748,750,750,768,884,886,887,890,893,902,902,904,906,908,908,910,929,931,1013,1015,1153,1155,1159,1162,1319,1329,1366,1369,1369,1377,1415,1425,1469,1471,1471,1473,1474,1476,1477,1479,1479,1488,1514,1520,1522,1552,1562,1568,1641,1646,1747,1749,1756,1759,1768,1770,1788,1791,1791,1808,1866,1869,1969,1984,2037,2042,2042,2048,2093,2112,2139,2208,2208,2210,2220,2276,2302,2304,2403,2406,2415,2417,2423,2425,2431,2433,2435,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2492,2500,2503,2504,2507,2510,2519,2519,2524,2525,2527,2531,2534,2545,2561,2563,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2620,2620,2622,2626,2631,2632,2635,2637,2641,2641,2649,2652,2654,2654,2662,2677,2689,2691,2693,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2748,2757,2759,2761,2763,2765,2768,2768,2784,2787,2790,2799,2817,2819,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2869,2873,2876,2884,2887,2888,2891,2893,2902,2903,2908,2909,2911,2915,2918,2927,2929,2929,2946,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,3001,3006,3010,3014,3016,3018,3021,3024,3024,3031,3031,3046,3055,3073,3075,3077,3084,3086,3088,3090,3112,3114,3123,3125,3129,3133,3140,3142,3144,3146,3149,3157,3158,3160,3161,3168,3171,3174,3183,3202,3203,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3260,3268,3270,3272,3274,3277,3285,3286,3294,3294,3296,3299,3302,3311,3313,3314,3330,3331,3333,3340,3342,3344,3346,3386,3389,3396,3398,3400,3402,3406,3415,3415,3424,3427,3430,3439,3450,3455,3458,3459,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3530,3530,3535,3540,3542,3542,3544,3551,3570,3571,3585,3642,3648,3662,3664,3673,3713,3714,3716,3716,3719,3720,3722,3722,3725,3725,3732,3735,3737,3743,3745,3747,3749,3749,3751,3751,3754,3755,3757,3769,3771,3773,3776,3780,3782,3782,3784,3789,3792,3801,3804,3807,3840,3840,3864,3865,3872,3881,3893,3893,3895,3895,3897,3897,3902,3911,3913,3948,3953,3972,3974,3991,3993,4028,4038,4038,4096,4169,4176,4253,4256,4293,4295,4295,4301,4301,4304,4346,4348,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4744,4746,4749,4752,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4822,4824,4880,4882,4885,4888,4954,4957,4959,4992,5007,5024,5108,5121,5740,5743,5759,5761,5786,5792,5866,5870,5872,5888,5900,5902,5908,5920,5940,5952,5971,5984,5996,5998,6e3,6002,6003,6016,6099,6103,6103,6108,6109,6112,6121,6155,6157,6160,6169,6176,6263,6272,6314,6320,6389,6400,6428,6432,6443,6448,6459,6470,6509,6512,6516,6528,6571,6576,6601,6608,6617,6656,6683,6688,6750,6752,6780,6783,6793,6800,6809,6823,6823,6912,6987,6992,7001,7019,7027,7040,7155,7168,7223,7232,7241,7245,7293,7376,7378,7380,7414,7424,7654,7676,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8204,8205,8255,8256,8276,8276,8305,8305,8319,8319,8336,8348,8400,8412,8417,8417,8421,8432,8450,8450,8455,8455,8458,8467,8469,8469,8473,8477,8484,8484,8486,8486,8488,8488,8490,8493,8495,8505,8508,8511,8517,8521,8526,8526,8544,8584,11264,11310,11312,11358,11360,11492,11499,11507,11520,11557,11559,11559,11565,11565,11568,11623,11631,11631,11647,11670,11680,11686,11688,11694,11696,11702,11704,11710,11712,11718,11720,11726,11728,11734,11736,11742,11744,11775,11823,11823,12293,12295,12321,12335,12337,12341,12344,12348,12353,12438,12441,12442,12445,12447,12449,12538,12540,12543,12549,12589,12593,12686,12704,12730,12784,12799,13312,19893,19968,40908,40960,42124,42192,42237,42240,42508,42512,42539,42560,42607,42612,42621,42623,42647,42655,42737,42775,42783,42786,42888,42891,42894,42896,42899,42912,42922,43e3,43047,43072,43123,43136,43204,43216,43225,43232,43255,43259,43259,43264,43309,43312,43347,43360,43388,43392,43456,43471,43481,43520,43574,43584,43597,43600,43609,43616,43638,43642,43643,43648,43714,43739,43741,43744,43759,43762,43766,43777,43782,43785,43790,43793,43798,43808,43814,43816,43822,43968,44010,44012,44013,44016,44025,44032,55203,55216,55238,55243,55291,63744,64109,64112,64217,64256,64262,64275,64279,64285,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65024,65039,65056,65062,65075,65076,65101,65103,65136,65140,65142,65276,65296,65305,65313,65338,65343,65343,65345,65370,65382,65470,65474,65479,65482,65487,65490,65495,65498,65500],r4t=[65,90,97,122,170,170,181,181,186,186,192,214,216,246,248,705,710,721,736,740,748,748,750,750,880,884,886,887,890,893,895,895,902,902,904,906,908,908,910,929,931,1013,1015,1153,1162,1327,1329,1366,1369,1369,1376,1416,1488,1514,1519,1522,1568,1610,1646,1647,1649,1747,1749,1749,1765,1766,1774,1775,1786,1788,1791,1791,1808,1808,1810,1839,1869,1957,1969,1969,1994,2026,2036,2037,2042,2042,2048,2069,2074,2074,2084,2084,2088,2088,2112,2136,2144,2154,2160,2183,2185,2190,2208,2249,2308,2361,2365,2365,2384,2384,2392,2401,2417,2432,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2493,2493,2510,2510,2524,2525,2527,2529,2544,2545,2556,2556,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2649,2652,2654,2654,2674,2676,2693,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2749,2749,2768,2768,2784,2785,2809,2809,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2869,2873,2877,2877,2908,2909,2911,2913,2929,2929,2947,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,3001,3024,3024,3077,3084,3086,3088,3090,3112,3114,3129,3133,3133,3160,3162,3165,3165,3168,3169,3200,3200,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3261,3261,3293,3294,3296,3297,3313,3314,3332,3340,3342,3344,3346,3386,3389,3389,3406,3406,3412,3414,3423,3425,3450,3455,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3585,3632,3634,3635,3648,3654,3713,3714,3716,3716,3718,3722,3724,3747,3749,3749,3751,3760,3762,3763,3773,3773,3776,3780,3782,3782,3804,3807,3840,3840,3904,3911,3913,3948,3976,3980,4096,4138,4159,4159,4176,4181,4186,4189,4193,4193,4197,4198,4206,4208,4213,4225,4238,4238,4256,4293,4295,4295,4301,4301,4304,4346,4348,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4744,4746,4749,4752,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4822,4824,4880,4882,4885,4888,4954,4992,5007,5024,5109,5112,5117,5121,5740,5743,5759,5761,5786,5792,5866,5870,5880,5888,5905,5919,5937,5952,5969,5984,5996,5998,6e3,6016,6067,6103,6103,6108,6108,6176,6264,6272,6312,6314,6314,6320,6389,6400,6430,6480,6509,6512,6516,6528,6571,6576,6601,6656,6678,6688,6740,6823,6823,6917,6963,6981,6988,7043,7072,7086,7087,7098,7141,7168,7203,7245,7247,7258,7293,7296,7304,7312,7354,7357,7359,7401,7404,7406,7411,7413,7414,7418,7418,7424,7615,7680,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8305,8305,8319,8319,8336,8348,8450,8450,8455,8455,8458,8467,8469,8469,8472,8477,8484,8484,8486,8486,8488,8488,8490,8505,8508,8511,8517,8521,8526,8526,8544,8584,11264,11492,11499,11502,11506,11507,11520,11557,11559,11559,11565,11565,11568,11623,11631,11631,11648,11670,11680,11686,11688,11694,11696,11702,11704,11710,11712,11718,11720,11726,11728,11734,11736,11742,12293,12295,12321,12329,12337,12341,12344,12348,12353,12438,12443,12447,12449,12538,12540,12543,12549,12591,12593,12686,12704,12735,12784,12799,13312,19903,19968,42124,42192,42237,42240,42508,42512,42527,42538,42539,42560,42606,42623,42653,42656,42735,42775,42783,42786,42888,42891,42954,42960,42961,42963,42963,42965,42969,42994,43009,43011,43013,43015,43018,43020,43042,43072,43123,43138,43187,43250,43255,43259,43259,43261,43262,43274,43301,43312,43334,43360,43388,43396,43442,43471,43471,43488,43492,43494,43503,43514,43518,43520,43560,43584,43586,43588,43595,43616,43638,43642,43642,43646,43695,43697,43697,43701,43702,43705,43709,43712,43712,43714,43714,43739,43741,43744,43754,43762,43764,43777,43782,43785,43790,43793,43798,43808,43814,43816,43822,43824,43866,43868,43881,43888,44002,44032,55203,55216,55238,55243,55291,63744,64109,64112,64217,64256,64262,64275,64279,64285,64285,64287,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65136,65140,65142,65276,65313,65338,65345,65370,65382,65470,65474,65479,65482,65487,65490,65495,65498,65500,65536,65547,65549,65574,65576,65594,65596,65597,65599,65613,65616,65629,65664,65786,65856,65908,66176,66204,66208,66256,66304,66335,66349,66378,66384,66421,66432,66461,66464,66499,66504,66511,66513,66517,66560,66717,66736,66771,66776,66811,66816,66855,66864,66915,66928,66938,66940,66954,66956,66962,66964,66965,66967,66977,66979,66993,66995,67001,67003,67004,67072,67382,67392,67413,67424,67431,67456,67461,67463,67504,67506,67514,67584,67589,67592,67592,67594,67637,67639,67640,67644,67644,67647,67669,67680,67702,67712,67742,67808,67826,67828,67829,67840,67861,67872,67897,67968,68023,68030,68031,68096,68096,68112,68115,68117,68119,68121,68149,68192,68220,68224,68252,68288,68295,68297,68324,68352,68405,68416,68437,68448,68466,68480,68497,68608,68680,68736,68786,68800,68850,68864,68899,69248,69289,69296,69297,69376,69404,69415,69415,69424,69445,69488,69505,69552,69572,69600,69622,69635,69687,69745,69746,69749,69749,69763,69807,69840,69864,69891,69926,69956,69956,69959,69959,69968,70002,70006,70006,70019,70066,70081,70084,70106,70106,70108,70108,70144,70161,70163,70187,70207,70208,70272,70278,70280,70280,70282,70285,70287,70301,70303,70312,70320,70366,70405,70412,70415,70416,70419,70440,70442,70448,70450,70451,70453,70457,70461,70461,70480,70480,70493,70497,70656,70708,70727,70730,70751,70753,70784,70831,70852,70853,70855,70855,71040,71086,71128,71131,71168,71215,71236,71236,71296,71338,71352,71352,71424,71450,71488,71494,71680,71723,71840,71903,71935,71942,71945,71945,71948,71955,71957,71958,71960,71983,71999,71999,72001,72001,72096,72103,72106,72144,72161,72161,72163,72163,72192,72192,72203,72242,72250,72250,72272,72272,72284,72329,72349,72349,72368,72440,72704,72712,72714,72750,72768,72768,72818,72847,72960,72966,72968,72969,72971,73008,73030,73030,73056,73061,73063,73064,73066,73097,73112,73112,73440,73458,73474,73474,73476,73488,73490,73523,73648,73648,73728,74649,74752,74862,74880,75075,77712,77808,77824,78895,78913,78918,82944,83526,92160,92728,92736,92766,92784,92862,92880,92909,92928,92975,92992,92995,93027,93047,93053,93071,93760,93823,93952,94026,94032,94032,94099,94111,94176,94177,94179,94179,94208,100343,100352,101589,101632,101640,110576,110579,110581,110587,110589,110590,110592,110882,110898,110898,110928,110930,110933,110933,110948,110951,110960,111355,113664,113770,113776,113788,113792,113800,113808,113817,119808,119892,119894,119964,119966,119967,119970,119970,119973,119974,119977,119980,119982,119993,119995,119995,119997,120003,120005,120069,120071,120074,120077,120084,120086,120092,120094,120121,120123,120126,120128,120132,120134,120134,120138,120144,120146,120485,120488,120512,120514,120538,120540,120570,120572,120596,120598,120628,120630,120654,120656,120686,120688,120712,120714,120744,120746,120770,120772,120779,122624,122654,122661,122666,122928,122989,123136,123180,123191,123197,123214,123214,123536,123565,123584,123627,124112,124139,124896,124902,124904,124907,124909,124910,124912,124926,124928,125124,125184,125251,125259,125259,126464,126467,126469,126495,126497,126498,126500,126500,126503,126503,126505,126514,126516,126519,126521,126521,126523,126523,126530,126530,126535,126535,126537,126537,126539,126539,126541,126543,126545,126546,126548,126548,126551,126551,126553,126553,126555,126555,126557,126557,126559,126559,126561,126562,126564,126564,126567,126570,126572,126578,126580,126583,126585,126588,126590,126590,126592,126601,126603,126619,126625,126627,126629,126633,126635,126651,131072,173791,173824,177977,177984,178205,178208,183969,183984,191456,191472,192093,194560,195101,196608,201546,201552,205743],s4t=[48,57,65,90,95,95,97,122,170,170,181,181,183,183,186,186,192,214,216,246,248,705,710,721,736,740,748,748,750,750,768,884,886,887,890,893,895,895,902,906,908,908,910,929,931,1013,1015,1153,1155,1159,1162,1327,1329,1366,1369,1369,1376,1416,1425,1469,1471,1471,1473,1474,1476,1477,1479,1479,1488,1514,1519,1522,1552,1562,1568,1641,1646,1747,1749,1756,1759,1768,1770,1788,1791,1791,1808,1866,1869,1969,1984,2037,2042,2042,2045,2045,2048,2093,2112,2139,2144,2154,2160,2183,2185,2190,2200,2273,2275,2403,2406,2415,2417,2435,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2492,2500,2503,2504,2507,2510,2519,2519,2524,2525,2527,2531,2534,2545,2556,2556,2558,2558,2561,2563,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2620,2620,2622,2626,2631,2632,2635,2637,2641,2641,2649,2652,2654,2654,2662,2677,2689,2691,2693,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2748,2757,2759,2761,2763,2765,2768,2768,2784,2787,2790,2799,2809,2815,2817,2819,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2869,2873,2876,2884,2887,2888,2891,2893,2901,2903,2908,2909,2911,2915,2918,2927,2929,2929,2946,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,3001,3006,3010,3014,3016,3018,3021,3024,3024,3031,3031,3046,3055,3072,3084,3086,3088,3090,3112,3114,3129,3132,3140,3142,3144,3146,3149,3157,3158,3160,3162,3165,3165,3168,3171,3174,3183,3200,3203,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3260,3268,3270,3272,3274,3277,3285,3286,3293,3294,3296,3299,3302,3311,3313,3315,3328,3340,3342,3344,3346,3396,3398,3400,3402,3406,3412,3415,3423,3427,3430,3439,3450,3455,3457,3459,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3530,3530,3535,3540,3542,3542,3544,3551,3558,3567,3570,3571,3585,3642,3648,3662,3664,3673,3713,3714,3716,3716,3718,3722,3724,3747,3749,3749,3751,3773,3776,3780,3782,3782,3784,3790,3792,3801,3804,3807,3840,3840,3864,3865,3872,3881,3893,3893,3895,3895,3897,3897,3902,3911,3913,3948,3953,3972,3974,3991,3993,4028,4038,4038,4096,4169,4176,4253,4256,4293,4295,4295,4301,4301,4304,4346,4348,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4744,4746,4749,4752,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4822,4824,4880,4882,4885,4888,4954,4957,4959,4969,4977,4992,5007,5024,5109,5112,5117,5121,5740,5743,5759,5761,5786,5792,5866,5870,5880,5888,5909,5919,5940,5952,5971,5984,5996,5998,6e3,6002,6003,6016,6099,6103,6103,6108,6109,6112,6121,6155,6157,6159,6169,6176,6264,6272,6314,6320,6389,6400,6430,6432,6443,6448,6459,6470,6509,6512,6516,6528,6571,6576,6601,6608,6618,6656,6683,6688,6750,6752,6780,6783,6793,6800,6809,6823,6823,6832,6845,6847,6862,6912,6988,6992,7001,7019,7027,7040,7155,7168,7223,7232,7241,7245,7293,7296,7304,7312,7354,7357,7359,7376,7378,7380,7418,7424,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8204,8205,8255,8256,8276,8276,8305,8305,8319,8319,8336,8348,8400,8412,8417,8417,8421,8432,8450,8450,8455,8455,8458,8467,8469,8469,8472,8477,8484,8484,8486,8486,8488,8488,8490,8505,8508,8511,8517,8521,8526,8526,8544,8584,11264,11492,11499,11507,11520,11557,11559,11559,11565,11565,11568,11623,11631,11631,11647,11670,11680,11686,11688,11694,11696,11702,11704,11710,11712,11718,11720,11726,11728,11734,11736,11742,11744,11775,12293,12295,12321,12335,12337,12341,12344,12348,12353,12438,12441,12447,12449,12543,12549,12591,12593,12686,12704,12735,12784,12799,13312,19903,19968,42124,42192,42237,42240,42508,42512,42539,42560,42607,42612,42621,42623,42737,42775,42783,42786,42888,42891,42954,42960,42961,42963,42963,42965,42969,42994,43047,43052,43052,43072,43123,43136,43205,43216,43225,43232,43255,43259,43259,43261,43309,43312,43347,43360,43388,43392,43456,43471,43481,43488,43518,43520,43574,43584,43597,43600,43609,43616,43638,43642,43714,43739,43741,43744,43759,43762,43766,43777,43782,43785,43790,43793,43798,43808,43814,43816,43822,43824,43866,43868,43881,43888,44010,44012,44013,44016,44025,44032,55203,55216,55238,55243,55291,63744,64109,64112,64217,64256,64262,64275,64279,64285,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65024,65039,65056,65071,65075,65076,65101,65103,65136,65140,65142,65276,65296,65305,65313,65338,65343,65343,65345,65370,65381,65470,65474,65479,65482,65487,65490,65495,65498,65500,65536,65547,65549,65574,65576,65594,65596,65597,65599,65613,65616,65629,65664,65786,65856,65908,66045,66045,66176,66204,66208,66256,66272,66272,66304,66335,66349,66378,66384,66426,66432,66461,66464,66499,66504,66511,66513,66517,66560,66717,66720,66729,66736,66771,66776,66811,66816,66855,66864,66915,66928,66938,66940,66954,66956,66962,66964,66965,66967,66977,66979,66993,66995,67001,67003,67004,67072,67382,67392,67413,67424,67431,67456,67461,67463,67504,67506,67514,67584,67589,67592,67592,67594,67637,67639,67640,67644,67644,67647,67669,67680,67702,67712,67742,67808,67826,67828,67829,67840,67861,67872,67897,67968,68023,68030,68031,68096,68099,68101,68102,68108,68115,68117,68119,68121,68149,68152,68154,68159,68159,68192,68220,68224,68252,68288,68295,68297,68326,68352,68405,68416,68437,68448,68466,68480,68497,68608,68680,68736,68786,68800,68850,68864,68903,68912,68921,69248,69289,69291,69292,69296,69297,69373,69404,69415,69415,69424,69456,69488,69509,69552,69572,69600,69622,69632,69702,69734,69749,69759,69818,69826,69826,69840,69864,69872,69881,69888,69940,69942,69951,69956,69959,69968,70003,70006,70006,70016,70084,70089,70092,70094,70106,70108,70108,70144,70161,70163,70199,70206,70209,70272,70278,70280,70280,70282,70285,70287,70301,70303,70312,70320,70378,70384,70393,70400,70403,70405,70412,70415,70416,70419,70440,70442,70448,70450,70451,70453,70457,70459,70468,70471,70472,70475,70477,70480,70480,70487,70487,70493,70499,70502,70508,70512,70516,70656,70730,70736,70745,70750,70753,70784,70853,70855,70855,70864,70873,71040,71093,71096,71104,71128,71133,71168,71232,71236,71236,71248,71257,71296,71352,71360,71369,71424,71450,71453,71467,71472,71481,71488,71494,71680,71738,71840,71913,71935,71942,71945,71945,71948,71955,71957,71958,71960,71989,71991,71992,71995,72003,72016,72025,72096,72103,72106,72151,72154,72161,72163,72164,72192,72254,72263,72263,72272,72345,72349,72349,72368,72440,72704,72712,72714,72758,72760,72768,72784,72793,72818,72847,72850,72871,72873,72886,72960,72966,72968,72969,72971,73014,73018,73018,73020,73021,73023,73031,73040,73049,73056,73061,73063,73064,73066,73102,73104,73105,73107,73112,73120,73129,73440,73462,73472,73488,73490,73530,73534,73538,73552,73561,73648,73648,73728,74649,74752,74862,74880,75075,77712,77808,77824,78895,78912,78933,82944,83526,92160,92728,92736,92766,92768,92777,92784,92862,92864,92873,92880,92909,92912,92916,92928,92982,92992,92995,93008,93017,93027,93047,93053,93071,93760,93823,93952,94026,94031,94087,94095,94111,94176,94177,94179,94180,94192,94193,94208,100343,100352,101589,101632,101640,110576,110579,110581,110587,110589,110590,110592,110882,110898,110898,110928,110930,110933,110933,110948,110951,110960,111355,113664,113770,113776,113788,113792,113800,113808,113817,113821,113822,118528,118573,118576,118598,119141,119145,119149,119154,119163,119170,119173,119179,119210,119213,119362,119364,119808,119892,119894,119964,119966,119967,119970,119970,119973,119974,119977,119980,119982,119993,119995,119995,119997,120003,120005,120069,120071,120074,120077,120084,120086,120092,120094,120121,120123,120126,120128,120132,120134,120134,120138,120144,120146,120485,120488,120512,120514,120538,120540,120570,120572,120596,120598,120628,120630,120654,120656,120686,120688,120712,120714,120744,120746,120770,120772,120779,120782,120831,121344,121398,121403,121452,121461,121461,121476,121476,121499,121503,121505,121519,122624,122654,122661,122666,122880,122886,122888,122904,122907,122913,122915,122916,122918,122922,122928,122989,123023,123023,123136,123180,123184,123197,123200,123209,123214,123214,123536,123566,123584,123641,124112,124153,124896,124902,124904,124907,124909,124910,124912,124926,124928,125124,125136,125142,125184,125259,125264,125273,126464,126467,126469,126495,126497,126498,126500,126500,126503,126503,126505,126514,126516,126519,126521,126521,126523,126523,126530,126530,126535,126535,126537,126537,126539,126539,126541,126543,126545,126546,126548,126548,126551,126551,126553,126553,126555,126555,126557,126557,126559,126559,126561,126562,126564,126564,126567,126570,126572,126578,126580,126583,126585,126588,126590,126590,126592,126601,126603,126619,126625,126627,126629,126633,126635,126651,130032,130041,131072,173791,173824,177977,177984,178205,178208,183969,183984,191456,191472,192093,194560,195101,196608,201546,201552,205743,917760,917999],o4t=/^\/\/\/?\s*@(ts-expect-error|ts-ignore)/,a4t=/^(?:\/|\*)*\s*@(ts-expect-error|ts-ignore)/,c4t=/@(?:see|link)/i;function Moe(t,r){if(t<r[0])return!1;let a=0,u=r.length,h;for(;a+1<u;){if(h=a+(u-a)/2,h-=h%2,r[h]<=t&&t<=r[h+1])return!0;t<r[h]?u=h:a=h+2}return!1}function iG(t,r){return r>=2?Moe(t,r4t):Moe(t,i4t)}function l4t(t,r){return r>=2?Moe(t,s4t):Moe(t,n4t)}function cXe(t){const r=[];return t.forEach((a,u)=>{r[a]=u}),r}var u4t=cXe(oXe);function xa(t){return u4t[t]}function oI(t){return oXe.get(t)}var d4t=cXe(aXe);function lXe(t){return d4t[t]}function Roe(t){return aXe.get(t)}function ZL(t){const r=[];let a=0,u=0;for(;a<t.length;){const h=t.charCodeAt(a);switch(a++,h){case 13:t.charCodeAt(a)===10&&a++;case 10:r.push(u),u=a;break;default:h>127&&mh(h)&&(r.push(u),u=a);break}}return r.push(u),r}function xW(t,r,a,u){return t.getPositionOfLineAndCharacter?t.getPositionOfLineAndCharacter(r,a,u):nG(Lv(t),r,a,t.text,u)}function nG(t,r,a,u,h){(r<0||r>=t.length)&&(h?r=r<0?0:r>=t.length?t.length-1:r:j.fail(`Bad line number. Line: ${r}, lineStarts.length: ${t.length} , line map is correct? ${u!==void 0?i_(t,ZL(u)):"unknown"}`));const p=t[r]+a;return h?p>t[r+1]?t[r+1]:typeof u=="string"&&p>u.length?u.length:p:(r<t.length-1?j.assert(p<t[r+1]):u!==void 0&&j.assert(p<=u.length),p)}function Lv(t){return t.lineMap||(t.lineMap=ZL(t.text))}function P6(t,r){const a=a8(t,r);return{line:a,character:r-t[a]}}function a8(t,r,a){let u=Jt(t,r,hl,_l,a);return u<0&&(u=~u-1,j.assert(u!==-1,"position cannot precede the beginning of the file")),u}function c8(t,r,a){if(r===a)return 0;const u=Lv(t),h=Math.min(r,a),p=h===a,g=p?r:a,C=a8(u,h),b=a8(u,g,C);return p?C-b:b-C}function Ia(t,r){return P6(Lv(t),r)}function Pv(t){return k1(t)||mh(t)}function k1(t){return t===32||t===9||t===11||t===12||t===160||t===133||t===5760||t>=8192&&t<=8203||t===8239||t===8287||t===12288||t===65279}function mh(t){return t===10||t===13||t===8232||t===8233}function A6(t){return t>=48&&t<=57}function Hke(t){return A6(t)||t>=65&&t<=70||t>=97&&t<=102}function jke(t){return t>=65&&t<=90||t>=97&&t<=122}function uXe(t){return jke(t)||A6(t)||t===95}function zke(t){return t>=48&&t<=55}function Uke(t,r){const a=t.charCodeAt(r);switch(a){case 13:case 10:case 9:case 11:case 12:case 32:case 47:case 60:case 124:case 61:case 62:return!0;case 35:return r===0;default:return a>127}}function Va(t,r,a,u,h){if(W0(r))return r;let p=!1;for(;;){const g=t.charCodeAt(r);switch(g){case 13:t.charCodeAt(r+1)===10&&r++;case 10:if(r++,a)return r;p=!!h;continue;case 9:case 11:case 12:case 32:r++;continue;case 47:if(u)break;if(t.charCodeAt(r+1)===47){for(r+=2;r<t.length&&!mh(t.charCodeAt(r));)r++;p=!1;continue}if(t.charCodeAt(r+1)===42){for(r+=2;r<t.length;){if(t.charCodeAt(r)===42&&t.charCodeAt(r+1)===47){r+=2;break}r++}p=!1;continue}break;case 60:case 124:case 61:case 62:if(l8(t,r)){r=kW(t,r),p=!1;continue}break;case 35:if(r===0&&dXe(t,r)){r=fXe(t,r),p=!1;continue}break;case 42:if(p){r++,p=!1;continue}break;default:if(g>127&&Pv(g)){r++;continue}break}return r}}var Foe=7;function l8(t,r){if(j.assert(r>=0),r===0||mh(t.charCodeAt(r-1))){const a=t.charCodeAt(r);if(r+Foe<t.length){for(let u=0;u<Foe;u++)if(t.charCodeAt(r+u)!==a)return!1;return a===61||t.charCodeAt(r+Foe)===32}}return!1}function kW(t,r,a){a&&a(k.Merge_conflict_marker_encountered,r,Foe);const u=t.charCodeAt(r),h=t.length;if(u===60||u===62)for(;r<h&&!mh(t.charCodeAt(r));)r++;else for(j.assert(u===124||u===61);r<h;){const p=t.charCodeAt(r);if((p===61||p===62)&&p!==u&&l8(t,r))break;r++}return r}var qke=/^#!.*/;function dXe(t,r){return j.assert(r===0),qke.test(t)}function fXe(t,r){const a=qke.exec(t)[0];return r=r+a.length,r}function Boe(t,r,a,u,h,p,g){let C,b,T,E,N=!1,R=u,F=g;if(a===0){R=!0;const H=rG(r);H&&(a=H.length)}e:for(;a>=0&&a<r.length;){const H=r.charCodeAt(a);switch(H){case 13:r.charCodeAt(a+1)===10&&a++;case 10:if(a++,u)break e;R=!0,N&&(E=!0);continue;case 9:case 11:case 12:case 32:a++;continue;case 47:const U=r.charCodeAt(a+1);let q=!1;if(U===47||U===42){const X=U===47?2:3,Z=a;if(a+=2,U===47)for(;a<r.length;){if(mh(r.charCodeAt(a))){q=!0;break}a++}else for(;a<r.length;){if(r.charCodeAt(a)===42&&r.charCodeAt(a+1)===47){a+=2;break}a++}if(R){if(N&&(F=h(C,b,T,E,p,F),!t&&F))return F;C=Z,b=a,T=X,E=q,N=!0}continue}break e;default:if(H>127&&Pv(H)){N&&mh(H)&&(E=!0),a++;continue}break e}}return N&&(F=h(C,b,T,E,p,F)),F}function TW(t,r,a,u){return Boe(!1,t,r,!1,a,u)}function DW(t,r,a,u){return Boe(!1,t,r,!0,a,u)}function $ke(t,r,a,u,h){return Boe(!0,t,r,!1,a,u,h)}function Jke(t,r,a,u,h){return Boe(!0,t,r,!0,a,u,h)}function hXe(t,r,a,u,h,p=[]){return p.push({kind:a,pos:t,end:r,hasTrailingNewLine:u}),p}function Av(t,r){return $ke(t,r,hXe,void 0,void 0)}function qw(t,r){return Jke(t,r,hXe,void 0,void 0)}function rG(t){const r=qke.exec(t);if(r)return r[0]}function Py(t,r){return jke(t)||t===36||t===95||t>127&&iG(t,r)}function jb(t,r,a){return uXe(t)||t===36||(a===1?t===45||t===58:!1)||t>127&&l4t(t,r)}function J_(t,r,a){let u=u8(t,0);if(!Py(u,r))return!1;for(let h=A0(u);h<t.length;h+=A0(u))if(!jb(u=u8(t,h),r,a))return!1;return!0}function Ov(t,r,a=0,u,h,p,g){var C=u,b,T,E,N,R,F,H,U,q=0,X=0,Z=0;ma(C,p,g);var Q={getTokenFullStart:()=>E,getStartPos:()=>E,getTokenEnd:()=>b,getTextPos:()=>b,getToken:()=>R,getTokenStart:()=>N,getTokenPos:()=>N,getTokenText:()=>C.substring(N,b),getTokenValue:()=>F,hasUnicodeEscape:()=>(H&1024)!==0,hasExtendedUnicodeEscape:()=>(H&8)!==0,hasPrecedingLineBreak:()=>(H&1)!==0,hasPrecedingJSDocComment:()=>(H&2)!==0,hasPrecedingJSDocLeadingAsterisks:()=>(H&32768)!==0,isIdentifier:()=>R===80||R>118,isReservedWord:()=>R>=83&&R<=118,isUnterminated:()=>(H&4)!==0,getCommentDirectives:()=>U,getNumericLiteralFlags:()=>H&25584,getTokenFlags:()=>H,reScanGreaterToken:Et,reScanAsteriskEqualsToken:dt,reScanSlashToken:zt,reScanTemplateToken:_i,reScanTemplateHeadOrNoSubstitutionTemplate:Fi,scanJsxIdentifier:ls,scanJsxAttributeValue:Qs,reScanJsxAttributeValue:Mn,reScanJsxToken:On,reScanLessThanToken:pn,reScanHashToken:qr,reScanQuestionToken:Fs,reScanInvalidIdentifier:ut,scanJsxToken:ko,scanJsDocToken:at,scanJSDocCommentTextToken:Zs,scan:et,getText:Xr,clearCommentDirectives:Gs,setText:ma,setScriptTarget:Ji,setLanguageVariant:ac,setScriptKind:St,setJSDocParsingMode:ri,setOnError:ka,resetTokenState:xe,setTextPos:xe,setSkipJsDocLeadingAsterisks:pt,tryScan:us,lookAhead:dr,scanRange:Sn};return j.isDebugging&&Object.defineProperty(Q,"__debugShowCurrentPositionInText",{get:()=>{const Se=Q.getText();return Se.slice(0,Q.getTokenFullStart())+"║"+Se.slice(Q.getTokenFullStart())}}),Q;function re(Se){return u8(C,Se)}function oe(Se){return Se>=0&&Se<T?re(Se):-1}function pe(Se){return C.charCodeAt(Se)}function de(Se){return Se>=0&&Se<T?pe(Se):-1}function ue(Se,Ze=b,gt,Dt){if(h){const Je=b;b=Ze,h(Se,gt||0,Dt),b=Je}}function Ie(){let Se=b,Ze=!1,gt=!1,Dt="";for(;;){const Je=pe(b);if(Je===95){H|=512,Ze?(Ze=!1,gt=!0,Dt+=C.substring(Se,b)):(H|=16384,ue(gt?k.Multiple_consecutive_numeric_separators_are_not_permitted:k.Numeric_separators_are_not_allowed_here,b,1)),b++,Se=b;continue}if(A6(Je)){Ze=!0,gt=!1,b++;continue}break}return pe(b-1)===95&&(H|=16384,ue(k.Numeric_separators_are_not_allowed_here,b-1,1)),Dt+C.substring(Se,b)}function we(){let Se=b,Ze;if(pe(b)===48)if(b++,pe(b)===95)H|=16896,ue(k.Numeric_separators_are_not_allowed_here,b,1),b--,Ze=Ie();else if(!Ae())H|=8192,Ze=""+ +F;else if(!F)Ze="0";else{F=""+parseInt(F,8),H|=32;const Ct=R===41,ii=(Ct?"-":"")+"0o"+(+F).toString(8);return Ct&&Se--,ue(k.Octal_literals_are_not_allowed_Use_the_syntax_0,Se,b-Se,ii),9}else Ze=Ie();let gt,Dt;pe(b)===46&&(b++,gt=Ie());let Je=b;if(pe(b)===69||pe(b)===101){b++,H|=16,(pe(b)===43||pe(b)===45)&&b++;const Ct=b,ii=Ie();ii?(Dt=C.substring(Je,Ct)+ii,Je=b):ue(k.Digit_expected)}let Rt;if(H&512?(Rt=Ze,gt&&(Rt+="."+gt),Dt&&(Rt+=Dt)):Rt=C.substring(Se,Je),H&8192)return ue(k.Decimals_with_leading_zeros_are_not_allowed,Se,Je-Se),F=""+ +Rt,9;if(gt!==void 0||H&16)return he(Se,gt===void 0&&!!(H&16)),F=""+ +Rt,9;{F=Rt;const Ct=$e();return he(Se),Ct}}function he(Se,Ze){if(!Py(re(b),t))return;const gt=b,{length:Dt}=We();Dt===1&&C[gt]==="n"?ue(Ze?k.A_bigint_literal_cannot_use_exponential_notation:k.A_bigint_literal_must_be_an_integer,Se,gt-Se+1):(ue(k.An_identifier_or_keyword_cannot_immediately_follow_a_numeric_literal,gt,Dt),b=gt)}function Ae(){const Se=b;let Ze=!0;for(;A6(de(b));)zke(pe(b))||(Ze=!1),b++;return F=C.substring(Se,b),Ze}function ke(Se,Ze){const gt=De(Se,!1,Ze);return gt?parseInt(gt,16):-1}function Te(Se,Ze){return De(Se,!0,Ze)}function De(Se,Ze,gt){let Dt=[],Je=!1,Rt=!1;for(;Dt.length<Se||Ze;){let Ct=pe(b);if(gt&&Ct===95){H|=512,Je?(Je=!1,Rt=!0):ue(Rt?k.Multiple_consecutive_numeric_separators_are_not_permitted:k.Numeric_separators_are_not_allowed_here,b,1),b++;continue}if(Je=gt,Ct>=65&&Ct<=70)Ct+=32;else if(!(Ct>=48&&Ct<=57||Ct>=97&&Ct<=102))break;Dt.push(Ct),b++,Rt=!1}return Dt.length<Se&&(Dt=[]),pe(b-1)===95&&ue(k.Numeric_separators_are_not_allowed_here,b-1,1),String.fromCharCode(...Dt)}function qe(Se=!1){const Ze=pe(b);b++;let gt="",Dt=b;for(;;){if(b>=T){gt+=C.substring(Dt,b),H|=4,ue(k.Unterminated_string_literal);break}const Je=pe(b);if(Je===Ze){gt+=C.substring(Dt,b),b++;break}if(Je===92&&!Se){gt+=C.substring(Dt,b),gt+=Ne(3),Dt=b;continue}if((Je===10||Je===13)&&!Se){gt+=C.substring(Dt,b),H|=4,ue(k.Unterminated_string_literal);break}b++}return gt}function se(Se){const Ze=pe(b)===96;b++;let gt=b,Dt="",Je;for(;;){if(b>=T){Dt+=C.substring(gt,b),H|=4,ue(k.Unterminated_template_literal),Je=Ze?15:18;break}const Rt=pe(b);if(Rt===96){Dt+=C.substring(gt,b),b++,Je=Ze?15:18;break}if(Rt===36&&b+1<T&&pe(b+1)===123){Dt+=C.substring(gt,b),b+=2,Je=Ze?16:17;break}if(Rt===92){Dt+=C.substring(gt,b),Dt+=Ne(1|(Se?2:0)),gt=b;continue}if(Rt===13){Dt+=C.substring(gt,b),b++,b<T&&pe(b)===10&&b++,Dt+=`
+`,gt=b;continue}b++}return j.assert(Je!==void 0),F=Dt,Je}function Ne(Se){const Ze=b;if(b++,b>=T)return ue(k.Unexpected_end_of_text),"";const gt=pe(b);switch(b++,gt){case 48:if(b>=T||!A6(pe(b)))return"\0";case 49:case 50:case 51:b<T&&zke(pe(b))&&b++;case 52:case 53:case 54:case 55:if(b<T&&zke(pe(b))&&b++,H|=2048,Se&6){const Rt=parseInt(C.substring(Ze+1,b),8);return Se&4&&!(Se&32)&&gt!==48?ue(k.Octal_escape_sequences_and_backreferences_are_not_allowed_in_a_character_class_If_this_was_intended_as_an_escape_sequence_use_the_syntax_0_instead,Ze,b-Ze,"\\x"+Rt.toString(16).padStart(2,"0")):ue(k.Octal_escape_sequences_are_not_allowed_Use_the_syntax_0,Ze,b-Ze,"\\x"+Rt.toString(16).padStart(2,"0")),String.fromCharCode(Rt)}return C.substring(Ze,b);case 56:case 57:return H|=2048,Se&6?(Se&4&&!(Se&32)?ue(k.Decimal_escape_sequences_and_backreferences_are_not_allowed_in_a_character_class,Ze,b-Ze):ue(k.Escape_sequence_0_is_not_allowed,Ze,b-Ze,C.substring(Ze,b)),String.fromCharCode(gt)):C.substring(Ze,b);case 98:return"\b";case 116:return" ";case 110:return`
+`;case 118:return"\v";case 102:return"\f";case 114:return"\r";case 39:return"'";case 34:return'"';case 117:if(b<T&&pe(b)===123){b-=2;const Rt=Oe(!!(Se&6));return Se&17||(H|=2048,Se&6&&ue(k.Unicode_escape_sequences_are_only_available_when_the_Unicode_u_flag_or_the_Unicode_Sets_v_flag_is_set,Ze,b-Ze)),Rt}for(;b<Ze+6;b++)if(!(b<T&&Hke(pe(b))))return H|=2048,Se&6&&ue(k.Hexadecimal_digit_expected),C.substring(Ze,b);H|=1024;const Dt=parseInt(C.substring(Ze+2,b),16),Je=String.fromCharCode(Dt);if(Se&16&&Dt>=55296&&Dt<=56319&&b+6<T&&C.substring(b,b+2)==="\\u"&&pe(b+2)!==123){const Rt=b;let Ct=b+2;for(;Ct<Rt+6;Ct++)if(!Hke(pe(Ct)))return Je;const ii=parseInt(C.substring(Rt+2,Ct),16);if(ii>=56320&&ii<=57343)return b=Ct,Je+String.fromCharCode(ii)}return Je;case 120:for(;b<Ze+4;b++)if(!(b<T&&Hke(pe(b))))return H|=2048,Se&6&&ue(k.Hexadecimal_digit_expected),C.substring(Ze,b);return H|=4096,String.fromCharCode(parseInt(C.substring(Ze+2,b),16));case 13:b<T&&pe(b)===10&&b++;case 10:case 8232:case 8233:return"";default:return(Se&16||Se&4&&!(Se&8)&&jb(gt,t))&&ue(k.This_character_cannot_be_escaped_in_a_regular_expression,b-2,2),String.fromCharCode(gt)}}function Oe(Se){const Ze=b;b+=3;const gt=b,Dt=Te(1,!1),Je=Dt?parseInt(Dt,16):-1;let Rt=!1;return Je<0?(Se&&ue(k.Hexadecimal_digit_expected),Rt=!0):Je>1114111&&(Se&&ue(k.An_extended_Unicode_escape_value_must_be_between_0x0_and_0x10FFFF_inclusive,gt,b-gt),Rt=!0),b>=T?(Se&&ue(k.Unexpected_end_of_text),Rt=!0):pe(b)===125?b++:(Se&&ue(k.Unterminated_Unicode_escape_sequence),Rt=!0),Rt?(H|=2048,C.substring(Ze,b)):(H|=8,d8(Je))}function He(){if(b+5<T&&pe(b+1)===117){const Se=b;b+=2;const Ze=ke(4,!1);return b=Se,Ze}return-1}function Pe(){if(re(b+1)===117&&re(b+2)===123){const Se=b;b+=3;const Ze=Te(1,!1),gt=Ze?parseInt(Ze,16):-1;return b=Se,gt}return-1}function We(){let Se="",Ze=b;for(;b<T;){let gt=re(b);if(jb(gt,t))b+=A0(gt);else if(gt===92){if(gt=Pe(),gt>=0&&jb(gt,t)){Se+=Oe(!0),Ze=b;continue}if(gt=He(),!(gt>=0&&jb(gt,t)))break;H|=1024,Se+=C.substring(Ze,b),Se+=d8(gt),b+=6,Ze=b}else break}return Se+=C.substring(Ze,b),Se}function ze(){const Se=F.length;if(Se>=2&&Se<=12){const Ze=F.charCodeAt(0);if(Ze>=97&&Ze<=122){const gt=e4t.get(F);if(gt!==void 0)return R=gt}}return R=80}function Nt(Se){let Ze="",gt=!1,Dt=!1;for(;;){const Je=pe(b);if(Je===95){H|=512,gt?(gt=!1,Dt=!0):ue(Dt?k.Multiple_consecutive_numeric_separators_are_not_permitted:k.Numeric_separators_are_not_allowed_here,b,1),b++;continue}if(gt=!0,!A6(Je)||Je-48>=Se)break;Ze+=C[b],b++,Dt=!1}return pe(b-1)===95&&ue(k.Numeric_separators_are_not_allowed_here,b-1,1),Ze}function $e(){return pe(b)===110?(F+="n",H&384&&(F=s7(F)+"n"),b++,10):(F=""+(H&128?parseInt(F.slice(2),2):H&256?parseInt(F.slice(2),8):+F),9)}function et(){for(E=b,H=0;;){if(N=b,b>=T)return R=1;const Se=re(b);if(b===0&&Se===35&&dXe(C,b)){if(b=fXe(C,b),r)continue;return R=6}switch(Se){case 10:case 13:if(H|=1,r){b++;continue}else return Se===13&&b+1<T&&pe(b+1)===10?b+=2:b++,R=4;case 9:case 11:case 12:case 32:case 160:case 5760:case 8192:case 8193:case 8194:case 8195:case 8196:case 8197:case 8198:case 8199:case 8200:case 8201:case 8202:case 8203:case 8239:case 8287:case 12288:case 65279:if(r){b++;continue}else{for(;b<T&&k1(pe(b));)b++;return R=5}case 33:return pe(b+1)===61?pe(b+2)===61?(b+=3,R=38):(b+=2,R=36):(b++,R=54);case 34:case 39:return F=qe(),R=11;case 96:return R=se(!1);case 37:return pe(b+1)===61?(b+=2,R=70):(b++,R=45);case 38:return pe(b+1)===38?pe(b+2)===61?(b+=3,R=77):(b+=2,R=56):pe(b+1)===61?(b+=2,R=74):(b++,R=51);case 40:return b++,R=21;case 41:return b++,R=22;case 42:if(pe(b+1)===61)return b+=2,R=67;if(pe(b+1)===42)return pe(b+2)===61?(b+=3,R=68):(b+=2,R=43);if(b++,q&&!(H&32768)&&H&1){H|=32768;continue}return R=42;case 43:return pe(b+1)===43?(b+=2,R=46):pe(b+1)===61?(b+=2,R=65):(b++,R=40);case 44:return b++,R=28;case 45:return pe(b+1)===45?(b+=2,R=47):pe(b+1)===61?(b+=2,R=66):(b++,R=41);case 46:return A6(pe(b+1))?(we(),R=9):pe(b+1)===46&&pe(b+2)===46?(b+=3,R=26):(b++,R=25);case 47:if(pe(b+1)===47){for(b+=2;b<T&&!mh(pe(b));)b++;if(U=xn(U,C.slice(N,b),o4t,N),r)continue;return R=2}if(pe(b+1)===42){b+=2;const Ct=pe(b)===42&&pe(b+1)!==47;let ii=!1,vt=N;for(;b<T;){const Ni=pe(b);if(Ni===42&&pe(b+1)===47){b+=2,ii=!0;break}b++,mh(Ni)&&(vt=b,H|=1)}if(Ct&&Me()&&(H|=2),U=xn(U,C.slice(vt,b),a4t,vt),ii||ue(k.Asterisk_Slash_expected),r)continue;return ii||(H|=4),R=3}return pe(b+1)===61?(b+=2,R=69):(b++,R=44);case 48:if(b+2<T&&(pe(b+1)===88||pe(b+1)===120))return b+=2,F=Te(1,!0),F||(ue(k.Hexadecimal_digit_expected),F="0"),F="0x"+F,H|=64,R=$e();if(b+2<T&&(pe(b+1)===66||pe(b+1)===98))return b+=2,F=Nt(2),F||(ue(k.Binary_digit_expected),F="0"),F="0b"+F,H|=128,R=$e();if(b+2<T&&(pe(b+1)===79||pe(b+1)===111))return b+=2,F=Nt(8),F||(ue(k.Octal_digit_expected),F="0"),F="0o"+F,H|=256,R=$e();case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:return R=we();case 58:return b++,R=59;case 59:return b++,R=27;case 60:if(l8(C,b)){if(b=kW(C,b,ue),r)continue;return R=7}return pe(b+1)===60?pe(b+2)===61?(b+=3,R=71):(b+=2,R=48):pe(b+1)===61?(b+=2,R=33):a===1&&pe(b+1)===47&&pe(b+2)!==42?(b+=2,R=31):(b++,R=30);case 61:if(l8(C,b)){if(b=kW(C,b,ue),r)continue;return R=7}return pe(b+1)===61?pe(b+2)===61?(b+=3,R=37):(b+=2,R=35):pe(b+1)===62?(b+=2,R=39):(b++,R=64);case 62:if(l8(C,b)){if(b=kW(C,b,ue),r)continue;return R=7}return b++,R=32;case 63:return pe(b+1)===46&&!A6(pe(b+2))?(b+=2,R=29):pe(b+1)===63?pe(b+2)===61?(b+=3,R=78):(b+=2,R=61):(b++,R=58);case 91:return b++,R=23;case 93:return b++,R=24;case 94:return pe(b+1)===61?(b+=2,R=79):(b++,R=53);case 123:return b++,R=19;case 124:if(l8(C,b)){if(b=kW(C,b,ue),r)continue;return R=7}return pe(b+1)===124?pe(b+2)===61?(b+=3,R=76):(b+=2,R=57):pe(b+1)===61?(b+=2,R=75):(b++,R=52);case 125:return b++,R=20;case 126:return b++,R=55;case 64:return b++,R=60;case 92:const Ze=Pe();if(Ze>=0&&Py(Ze,t))return F=Oe(!0)+We(),R=ze();const gt=He();return gt>=0&&Py(gt,t)?(b+=6,H|=1024,F=String.fromCharCode(gt)+We(),R=ze()):(ue(k.Invalid_character),b++,R=0);case 35:if(b!==0&&C[b+1]==="!")return ue(k.can_only_be_used_at_the_start_of_a_file,b,2),b++,R=0;const Dt=re(b+1);if(Dt===92){b++;const Ct=Pe();if(Ct>=0&&Py(Ct,t))return F="#"+Oe(!0)+We(),R=81;const ii=He();if(ii>=0&&Py(ii,t))return b+=6,H|=1024,F="#"+String.fromCharCode(ii)+We(),R=81;b--}return Py(Dt,t)?(b++,wt(Dt,t)):(F="#",ue(k.Invalid_character,b++,A0(Se))),R=81;case 65533:return ue(k.File_appears_to_be_binary,0,0),b=T,R=8;default:const Je=wt(Se,t);if(Je)return R=Je;if(k1(Se)){b+=A0(Se);continue}else if(mh(Se)){H|=1,b+=A0(Se);continue}const Rt=A0(Se);return ue(k.Invalid_character,b,Rt),b+=Rt,R=0}}}function Me(){switch(Z){case 0:return!0;case 1:return!1}return X!==3&&X!==4?!0:Z===3?!1:c4t.test(C.slice(E,b))}function ut(){j.assert(R===0,"'reScanInvalidIdentifier' should only be called when the current token is 'SyntaxKind.Unknown'."),b=N=E,H=0;const Se=re(b),Ze=wt(Se,99);return Ze?R=Ze:(b+=A0(Se),R)}function wt(Se,Ze){let gt=Se;if(Py(gt,Ze)){for(b+=A0(gt);b<T&&jb(gt=re(b),Ze);)b+=A0(gt);return F=C.substring(N,b),gt===92&&(F+=We()),ze()}}function Et(){if(R===32){if(pe(b)===62)return pe(b+1)===62?pe(b+2)===61?(b+=3,R=73):(b+=2,R=50):pe(b+1)===61?(b+=2,R=72):(b++,R=49);if(pe(b)===61)return b++,R=34}return R}function dt(){return j.assert(R===67,"'reScanAsteriskEqualsToken' should only be called on a '*='"),b=N+1,R=64}function zt(Se){if(R===44||R===69){const Ze=N+1;b=Ze;let gt=!1,Dt=!1,Je=!1;for(;;){const Ct=de(b);if(Ct===-1||mh(Ct)){H|=4;break}if(gt)gt=!1;else{if(Ct===47&&!Je)break;Ct===91?Je=!0:Ct===92?gt=!0:Ct===93?Je=!1:!Je&&Ct===40&&de(b+1)===63&&de(b+2)===60&&de(b+3)!==61&&de(b+3)!==33&&(Dt=!0)}b++}const Rt=b;if(H&4){b=Ze,gt=!1;let Ct=0,ii=!1,vt=0;for(;b<Rt;){const Ni=pe(b);if(gt)gt=!1;else if(Ni===92)gt=!0;else if(Ni===91)Ct++;else if(Ni===93&&Ct)Ct--;else if(!Ct){if(Ni===123)ii=!0;else if(Ni===125&&ii)ii=!1;else if(!ii){if(Ni===40)vt++;else if(Ni===41&&vt)vt--;else if(Ni===41||Ni===93||Ni===125)break}}b++}for(;Pv(de(b-1))||de(b-1)===59;)b--;ue(k.Unterminated_regular_expression_literal,N,b-N)}else{b++;let Ct=0;for(;;){const ii=oe(b);if(ii===-1||!jb(ii,t))break;const vt=A0(ii);if(Se){const Ni=Roe(ii);Ni===void 0?ue(k.Unknown_regular_expression_flag,b,vt):Ct&Ni?ue(k.Duplicate_regular_expression_flag,b,vt):((Ct|Ni)&96)===96?ue(k.The_Unicode_u_flag_and_the_Unicode_Sets_v_flag_cannot_be_set_simultaneously,b,vt):(Ct|=Ni,tn(Ni,vt))}b+=vt}Se&&Sn(Ze,Rt-Ze,()=>{Ei(Ct,!0,Dt)})}F=C.substring(N,b),R=14}return R}function Ei(Se,Ze,gt){var Dt=!!(Se&64),Je=!!(Se&96),Rt=Je||!Ze,Ct=!1,ii=0,vt,Ni,ji,gr=[],te;function tt(Di){for(;;){if(gr.push(te),te=void 0,Gt(Di),te=gr.pop(),de(b)!==124)return;b++}}function Gt(Di){let on=!1;for(;;){const zi=b,cn=de(b);switch(cn){case-1:return;case 94:case 36:b++,on=!1;break;case 92:switch(b++,de(b)){case 98:case 66:b++,on=!1;break;default:Ue(),on=!0;break}break;case 40:if(b++,de(b)===63)switch(b++,de(b)){case 61:case 33:b++,on=!Rt;break;case 60:const Wo=b;switch(b++,de(b)){case 61:case 33:b++,on=!1;break;default:lt(!1),Xn(62),t<5&&ue(k.Named_capturing_groups_are_only_available_when_targeting_ES2018_or_later,Wo,b-Wo),ii++,on=!0;break}break;default:const $o=b,Lc=le(0);de(b)===45&&(b++,le(Lc),b===$o+1&&ue(k.Subpattern_flags_must_be_present_when_there_is_a_minus_sign,$o,b-$o)),Xn(58),on=!0;break}else ii++,on=!0;tt(!0),Xn(41);break;case 123:b++;const vi=b;Ae();const qo=F;if(!Rt&&!qo){on=!0;break}if(de(b)===44){b++,Ae();const Wo=F;if(qo)Wo&&Number.parseInt(qo)>Number.parseInt(Wo)&&(Rt||de(b)===125)&&ue(k.Numbers_out_of_order_in_quantifier,vi,b-vi);else if(Wo||de(b)===125)ue(k.Incomplete_quantifier_Digit_expected,vi,0);else{ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,zi,1,String.fromCharCode(cn)),on=!0;break}}else if(!qo){Rt&&ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,zi,1,String.fromCharCode(cn)),on=!0;break}if(de(b)!==125)if(Rt)ue(k._0_expected,b,0,"}"),b--;else{on=!0;break}case 42:case 43:case 63:b++,de(b)===63&&b++,on||ue(k.There_is_nothing_available_for_repetition,zi,b-zi),on=!1;break;case 46:b++,on=!0;break;case 91:b++,Dt?vn():hi(),Xn(93),on=!0;break;case 41:if(Di)return;case 93:case 125:(Rt||cn===41)&&ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,b,1,String.fromCharCode(cn)),b++,on=!0;break;case 47:case 124:return;default:Ai(),on=!0;break}}}function le(Di){for(;;){const on=oe(b);if(on===-1||!jb(on,t))break;const zi=A0(on),cn=Roe(on);cn===void 0?ue(k.Unknown_regular_expression_flag,b,zi):Di&cn?ue(k.Duplicate_regular_expression_flag,b,zi):cn&28?(Di|=cn,tn(cn,zi)):ue(k.This_regular_expression_flag_cannot_be_toggled_within_a_subpattern,b,zi),b+=zi}return Di}function Ue(){switch(j.assertEqual(pe(b-1),92),de(b)){case 107:b++,de(b)===60?(b++,lt(!0),Xn(62)):(Rt||gt)&&ue(k.k_must_be_followed_by_a_capturing_group_name_enclosed_in_angle_brackets,b-2,2);break;case 113:if(Dt){b++,ue(k.q_is_only_available_inside_character_class,b-2,2);break}default:j.assert(wi()||be()||Qt(!0));break}}function be(){j.assertEqual(pe(b-1),92);const Di=de(b);if(Di>=49&&Di<=57){const on=b;return Ae(),ji=fn(ji,{pos:on,end:b,value:+F}),!0}return!1}function Qt(Di){j.assertEqual(pe(b-1),92);let on=de(b);switch(on){case-1:return ue(k.Undetermined_character_escape,b-1,1),"\\";case 99:if(b++,on=de(b),jke(on))return b++,String.fromCharCode(on&31);if(Rt)ue(k.c_must_be_followed_by_an_ASCII_letter,b-2,2);else if(Di)return b--,"\\";return String.fromCharCode(on);case 94:case 36:case 47:case 92:case 46:case 42:case 43:case 63:case 40:case 41:case 91:case 93:case 123:case 125:case 124:return b++,String.fromCharCode(on);default:return b--,Ne(12|(Je?16:0)|(Di?32:0))}}function lt(Di){j.assertEqual(pe(b-1),60),N=b,wt(oe(b),t),b===N?ue(k.Expected_a_capturing_group_name):Di?Ni=fn(Ni,{pos:N,end:b,name:F}):te!=null&&te.has(F)||gr.some(on=>on==null?void 0:on.has(F))?ue(k.Named_capturing_groups_with_the_same_name_must_be_mutually_exclusive_to_each_other,N,b-N):(te??(te=new Set),te.add(F),vt??(vt=new Set),vt.add(F))}function st(Di){return Di===93||Di===-1||b>=T}function hi(){for(j.assertEqual(pe(b-1),91),de(b)===94&&b++;;){const Di=de(b);if(st(Di))return;const on=b,zi=fi();if(de(b)===45){b++;const cn=de(b);if(st(cn))return;!zi&&Rt&&ue(k.A_character_class_range_must_not_be_bounded_by_another_character_class,on,b-1-on);const vi=b,qo=fi();if(!qo&&Rt){ue(k.A_character_class_range_must_not_be_bounded_by_another_character_class,vi,b-vi);continue}if(!zi)continue;const Wo=u8(zi,0),$o=u8(qo,0);zi.length===A0(Wo)&&qo.length===A0($o)&&Wo>$o&&ue(k.Range_out_of_order_in_character_class,on,b-on)}}}function vn(){j.assertEqual(pe(b-1),91);let Di=!1;de(b)===94&&(b++,Di=!0);let on=!1,zi=de(b);if(st(zi))return;let cn=b,vi;switch(C.slice(b,b+2)){case"--":case"&&":ue(k.Expected_a_class_set_operand),Ct=!1;break;default:vi=Un();break}switch(de(b)){case 45:if(de(b+1)===45){Di&&Ct&&ue(k.Anything_that_would_possibly_match_more_than_a_single_character_is_invalid_inside_a_negated_character_class,cn,b-cn),on=Ct,ln(3),Ct=!Di&&on;return}break;case 38:if(de(b+1)===38){ln(2),Di&&Ct&&ue(k.Anything_that_would_possibly_match_more_than_a_single_character_is_invalid_inside_a_negated_character_class,cn,b-cn),on=Ct,Ct=!Di&&on;return}else ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,b,1,String.fromCharCode(zi));break;default:Di&&Ct&&ue(k.Anything_that_would_possibly_match_more_than_a_single_character_is_invalid_inside_a_negated_character_class,cn,b-cn),on=Ct;break}for(;zi=de(b),zi!==-1;){switch(zi){case 45:if(b++,zi=de(b),st(zi)){Ct=!Di&&on;return}if(zi===45){b++,ue(k.Operators_must_not_be_mixed_within_a_character_class_Wrap_it_in_a_nested_class_instead,b-2,2),cn=b-2,vi=C.slice(cn,b);continue}else{vi||ue(k.A_character_class_range_must_not_be_bounded_by_another_character_class,cn,b-1-cn);const qo=b,Wo=Un();if(Di&&Ct&&ue(k.Anything_that_would_possibly_match_more_than_a_single_character_is_invalid_inside_a_negated_character_class,qo,b-qo),on||(on=Ct),!Wo){ue(k.A_character_class_range_must_not_be_bounded_by_another_character_class,qo,b-qo);break}if(!vi)break;const $o=u8(vi,0),Lc=u8(Wo,0);vi.length===A0($o)&&Wo.length===A0(Lc)&&$o>Lc&&ue(k.Range_out_of_order_in_character_class,cn,b-cn)}break;case 38:cn=b,b++,de(b)===38?(b++,ue(k.Operators_must_not_be_mixed_within_a_character_class_Wrap_it_in_a_nested_class_instead,b-2,2),de(b)===38&&(ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,b,1,String.fromCharCode(zi)),b++)):ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,b-1,1,String.fromCharCode(zi)),vi=C.slice(cn,b);continue}if(st(de(b)))break;switch(cn=b,C.slice(b,b+2)){case"--":case"&&":ue(k.Operators_must_not_be_mixed_within_a_character_class_Wrap_it_in_a_nested_class_instead,b,2),b+=2,vi=C.slice(cn,b);break;default:vi=Un();break}}Ct=!Di&&on}function ln(Di){let on=Ct;for(;;){let zi=de(b);if(st(zi))break;switch(zi){case 45:b++,de(b)===45?(b++,Di!==3&&ue(k.Operators_must_not_be_mixed_within_a_character_class_Wrap_it_in_a_nested_class_instead,b-2,2)):ue(k.Operators_must_not_be_mixed_within_a_character_class_Wrap_it_in_a_nested_class_instead,b-1,1);break;case 38:b++,de(b)===38?(b++,Di!==2&&ue(k.Operators_must_not_be_mixed_within_a_character_class_Wrap_it_in_a_nested_class_instead,b-2,2),de(b)===38&&(ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,b,1,String.fromCharCode(zi)),b++)):ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,b-1,1,String.fromCharCode(zi));break;default:switch(Di){case 3:ue(k._0_expected,b,0,"--");break;case 2:ue(k._0_expected,b,0,"&&");break}break}if(zi=de(b),st(zi)){ue(k.Expected_a_class_set_operand);break}Un(),on&&(on=Ct)}Ct=on}function Un(){switch(Ct=!1,de(b)){case-1:return"";case 91:return b++,vn(),Xn(93),"";case 92:if(b++,wi())return"";if(de(b)===113)return b++,de(b)===123?(b++,Pt(),Xn(125),""):(ue(k.q_must_be_followed_by_string_alternatives_enclosed_in_braces,b-2,2),"q");b--;default:return Bt()}}function Pt(){j.assertEqual(pe(b-1),123);let Di=0;for(;;)switch(de(b)){case-1:return;case 125:Di!==1&&(Ct=!0);return;case 124:Di!==1&&(Ct=!0),b++,p=b,Di=0;break;default:Bt(),Di++;break}}function Bt(){const Di=de(b);if(Di===-1)return"";if(Di===92){b++;const on=de(b);switch(on){case 98:return b++,"\b";case 38:case 45:case 33:case 35:case 37:case 44:case 58:case 59:case 60:case 61:case 62:case 64:case 96:case 126:return b++,String.fromCharCode(on);default:return Qt(!1)}}else if(Di===de(b+1))switch(Di){case 38:case 33:case 35:case 37:case 42:case 43:case 44:case 46:case 58:case 59:case 60:case 61:case 62:case 63:case 64:case 96:case 126:return ue(k.A_character_class_must_not_contain_a_reserved_double_punctuator_Did_you_mean_to_escape_it_with_backslash,b,2),b+=2,C.substring(b-2,b)}switch(Di){case 47:case 40:case 41:case 91:case 93:case 123:case 125:case 45:case 124:return ue(k.Unexpected_0_Did_you_mean_to_escape_it_with_backslash,b,1,String.fromCharCode(Di)),b++,String.fromCharCode(Di)}return Ai()}function fi(){if(de(b)===92){b++;const Di=de(b);switch(Di){case 98:return b++,"\b";case 45:return b++,String.fromCharCode(Di);default:return wi()?"":Qt(!1)}}else return Ai()}function wi(){j.assertEqual(pe(b-1),92);let Di=!1;const on=b-1,zi=de(b);switch(zi){case 100:case 68:case 115:case 83:case 119:case 87:return b++,!0;case 80:Di=!0;case 112:if(b++,de(b)===123){b++;const cn=b,vi=Ri();if(de(b)===61){const qo=_Xe.get(vi);if(b===cn)ue(k.Expected_a_Unicode_property_name);else if(qo===void 0){ue(k.Unknown_Unicode_property_name,cn,b-cn);const Lc=LT(vi,_Xe.keys(),hl);Lc&&ue(k.Did_you_mean_0,cn,b-cn,Lc)}b++;const Wo=b,$o=Ri();if(b===Wo)ue(k.Expected_a_Unicode_property_value);else if(qo!==void 0&&!EW[qo].has($o)){ue(k.Unknown_Unicode_property_value,Wo,b-Wo);const Lc=LT($o,EW[qo],hl);Lc&&ue(k.Did_you_mean_0,Wo,b-Wo,Lc)}}else if(b===cn)ue(k.Expected_a_Unicode_property_name_or_value);else if(mXe.has(vi))Dt?Di?ue(k.Anything_that_would_possibly_match_more_than_a_single_character_is_invalid_inside_a_negated_character_class,cn,b-cn):Ct=!0:ue(k.Any_Unicode_property_that_would_possibly_match_more_than_a_single_character_is_only_available_when_the_Unicode_Sets_v_flag_is_set,cn,b-cn);else if(!EW.General_Category.has(vi)&&!pXe.has(vi)){ue(k.Unknown_Unicode_property_name_or_value,cn,b-cn);const qo=LT(vi,[...EW.General_Category,...pXe,...mXe],hl);qo&&ue(k.Did_you_mean_0,cn,b-cn,qo)}Xn(125),Je||ue(k.Unicode_property_value_expressions_are_only_available_when_the_Unicode_u_flag_or_the_Unicode_Sets_v_flag_is_set,on,b-on)}else if(Rt)ue(k._0_must_be_followed_by_a_Unicode_property_value_expression_enclosed_in_braces,b-2,2,String.fromCharCode(zi));else return b--,!1;return!0}return!1}function Ri(){let Di="";for(;;){const on=de(b);if(on===-1||!uXe(on))break;Di+=String.fromCharCode(on),b++}return Di}function Ai(){const Di=Je?A0(oe(b)):1;return b+=Di,Di>0?C.substring(b-Di,b):""}function Xn(Di){de(b)===Di?b++:ue(k._0_expected,b,0,String.fromCharCode(Di))}tt(!1),O(Ni,Di=>{if(!(vt!=null&&vt.has(Di.name))&&(ue(k.There_is_no_capturing_group_named_0_in_this_regular_expression,Di.pos,Di.end-Di.pos,Di.name),vt)){const on=LT(Di.name,vt,hl);on&&ue(k.Did_you_mean_0,Di.pos,Di.end-Di.pos,on)}}),O(ji,Di=>{Di.value>ii&&(ii?ue(k.This_backreference_refers_to_a_group_that_does_not_exist_There_are_only_0_capturing_groups_in_this_regular_expression,Di.pos,Di.end-Di.pos,ii):ue(k.This_backreference_refers_to_a_group_that_does_not_exist_There_are_no_capturing_groups_in_this_regular_expression,Di.pos,Di.end-Di.pos))})}function tn(Se,Ze){const gt=t4t.get(Se);gt&&t<gt&&ue(k.This_regular_expression_flag_is_only_available_when_targeting_0_or_later,b,Ze,FK(gt))}function xn(Se,Ze,gt,Dt){const Je=Pn(Ze.trimStart(),gt);return Je===void 0?Se:fn(Se,{range:{pos:Dt,end:b},type:Je})}function Pn(Se,Ze){const gt=Ze.exec(Se);if(gt)switch(gt[1]){case"ts-expect-error":return 0;case"ts-ignore":return 1}}function _i(Se){return b=N,R=se(!Se)}function Fi(){return b=N,R=se(!0)}function On(Se=!0){return b=N=E,R=ko(Se)}function pn(){return R===48?(b=N+1,R=30):R}function qr(){return R===81?(b=N+1,R=63):R}function Fs(){return j.assert(R===61,"'reScanQuestionToken' should only be called on a '??'"),b=N+1,R=58}function ko(Se=!0){if(E=N=b,b>=T)return R=1;let Ze=pe(b);if(Ze===60)return pe(b+1)===47?(b+=2,R=31):(b++,R=30);if(Ze===123)return b++,R=19;let gt=0;for(;b<T&&(Ze=pe(b),Ze!==123);){if(Ze===60){if(l8(C,b))return b=kW(C,b,ue),R=7;break}if(Ze===62&&ue(k.Unexpected_token_Did_you_mean_or_gt,b,1),Ze===125&&ue(k.Unexpected_token_Did_you_mean_or_rbrace,b,1),mh(Ze)&&gt===0)gt=-1;else{if(!Se&&mh(Ze)&&gt>0)break;Pv(Ze)||(gt=b)}b++}return F=C.substring(E,b),gt===-1?13:12}function ls(){if(D_(R)){for(;b<T;){if(pe(b)===45){F+="-",b++;continue}const Ze=b;if(F+=We(),b===Ze)break}return ze()}return R}function Qs(){switch(E=b,pe(b)){case 34:case 39:return F=qe(!0),R=11;default:return et()}}function Mn(){return b=N=E,Qs()}function Zs(Se){if(E=N=b,H=0,b>=T)return R=1;for(let Ze=pe(b);b<T&&!mh(Ze)&&Ze!==96;Ze=re(++b))if(!Se){if(Ze===123)break;if(Ze===64&&b-1>=0&&k1(pe(b-1))&&!(b+1<T&&Pv(pe(b+1))))break}return b===N?at():(F=C.substring(N,b),R=82)}function at(){if(E=N=b,H=0,b>=T)return R=1;const Se=re(b);switch(b+=A0(Se),Se){case 9:case 11:case 12:case 32:for(;b<T&&k1(pe(b));)b++;return R=5;case 64:return R=60;case 13:pe(b)===10&&b++;case 10:return H|=1,R=4;case 42:return R=42;case 123:return R=19;case 125:return R=20;case 91:return R=23;case 93:return R=24;case 40:return R=21;case 41:return R=22;case 60:return R=30;case 62:return R=32;case 61:return R=64;case 44:return R=28;case 46:return R=25;case 96:return R=62;case 35:return R=63;case 92:b--;const Ze=Pe();if(Ze>=0&&Py(Ze,t))return F=Oe(!0)+We(),R=ze();const gt=He();return gt>=0&&Py(gt,t)?(b+=6,H|=1024,F=String.fromCharCode(gt)+We(),R=ze()):(b++,R=0)}if(Py(Se,t)){let Ze=Se;for(;b<T&&jb(Ze=re(b),t)||Ze===45;)b+=A0(Ze);return F=C.substring(N,b),Ze===92&&(F+=We()),R=ze()}else return R=0}function yi(Se,Ze){const gt=b,Dt=E,Je=N,Rt=R,Ct=F,ii=H,vt=Se();return(!vt||Ze)&&(b=gt,E=Dt,N=Je,R=Rt,F=Ct,H=ii),vt}function Sn(Se,Ze,gt){const Dt=T,Je=b,Rt=E,Ct=N,ii=R,vt=F,Ni=H,ji=U;ma(C,Se,Ze);const gr=gt();return T=Dt,b=Je,E=Rt,N=Ct,R=ii,F=vt,H=Ni,U=ji,gr}function dr(Se){return yi(Se,!0)}function us(Se){return yi(Se,!1)}function Xr(){return C}function Gs(){U=void 0}function ma(Se,Ze,gt){C=Se||"",T=gt===void 0?C.length:Ze+gt,xe(Ze||0)}function ka(Se){h=Se}function Ji(Se){t=Se}function ac(Se){a=Se}function St(Se){X=Se}function ri(Se){Z=Se}function xe(Se){j.assert(Se>=0),b=Se,E=Se,N=Se,R=0,F=void 0,H=0}function pt(Se){q+=Se?1:-1}}function u8(t,r){return t.codePointAt(r)}function A0(t){return t>=65536?2:t===-1?0:1}function f4t(t){if(j.assert(0<=t&&t<=1114111),t<=65535)return String.fromCharCode(t);const r=Math.floor((t-65536)/1024)+55296,a=(t-65536)%1024+56320;return String.fromCharCode(r,a)}var h4t=String.fromCodePoint?t=>String.fromCodePoint(t):f4t;function d8(t){return h4t(t)}var _Xe=new Map(Object.entries({General_Category:"General_Category",gc:"General_Category",Script:"Script",sc:"Script",Script_Extensions:"Script_Extensions",scx:"Script_Extensions"})),pXe=new Set(["ASCII","ASCII_Hex_Digit","AHex","Alphabetic","Alpha","Any","Assigned","Bidi_Control","Bidi_C","Bidi_Mirrored","Bidi_M","Case_Ignorable","CI","Cased","Changes_When_Casefolded","CWCF","Changes_When_Casemapped","CWCM","Changes_When_Lowercased","CWL","Changes_When_NFKC_Casefolded","CWKCF","Changes_When_Titlecased","CWT","Changes_When_Uppercased","CWU","Dash","Default_Ignorable_Code_Point","DI","Deprecated","Dep","Diacritic","Dia","Emoji","Emoji_Component","EComp","Emoji_Modifier","EMod","Emoji_Modifier_Base","EBase","Emoji_Presentation","EPres","Extended_Pictographic","ExtPict","Extender","Ext","Grapheme_Base","Gr_Base","Grapheme_Extend","Gr_Ext","Hex_Digit","Hex","IDS_Binary_Operator","IDSB","IDS_Trinary_Operator","IDST","ID_Continue","IDC","ID_Start","IDS","Ideographic","Ideo","Join_Control","Join_C","Logical_Order_Exception","LOE","Lowercase","Lower","Math","Noncharacter_Code_Point","NChar","Pattern_Syntax","Pat_Syn","Pattern_White_Space","Pat_WS","Quotation_Mark","QMark","Radical","Regional_Indicator","RI","Sentence_Terminal","STerm","Soft_Dotted","SD","Terminal_Punctuation","Term","Unified_Ideograph","UIdeo","Uppercase","Upper","Variation_Selector","VS","White_Space","space","XID_Continue","XIDC","XID_Start","XIDS"]),mXe=new Set(["Basic_Emoji","Emoji_Keycap_Sequence","RGI_Emoji_Modifier_Sequence","RGI_Emoji_Flag_Sequence","RGI_Emoji_Tag_Sequence","RGI_Emoji_ZWJ_Sequence","RGI_Emoji"]),EW={General_Category:new Set(["C","Other","Cc","Control","cntrl","Cf","Format","Cn","Unassigned","Co","Private_Use","Cs","Surrogate","L","Letter","LC","Cased_Letter","Ll","Lowercase_Letter","Lm","Modifier_Letter","Lo","Other_Letter","Lt","Titlecase_Letter","Lu","Uppercase_Letter","M","Mark","Combining_Mark","Mc","Spacing_Mark","Me","Enclosing_Mark","Mn","Nonspacing_Mark","N","Number","Nd","Decimal_Number","digit","Nl","Letter_Number","No","Other_Number","P","Punctuation","punct","Pc","Connector_Punctuation","Pd","Dash_Punctuation","Pe","Close_Punctuation","Pf","Final_Punctuation","Pi","Initial_Punctuation","Po","Other_Punctuation","Ps","Open_Punctuation","S","Symbol","Sc","Currency_Symbol","Sk","Modifier_Symbol","Sm","Math_Symbol","So","Other_Symbol","Z","Separator","Zl","Line_Separator","Zp","Paragraph_Separator","Zs","Space_Separator"]),Script:new Set(["Adlm","Adlam","Aghb","Caucasian_Albanian","Ahom","Arab","Arabic","Armi","Imperial_Aramaic","Armn","Armenian","Avst","Avestan","Bali","Balinese","Bamu","Bamum","Bass","Bassa_Vah","Batk","Batak","Beng","Bengali","Bhks","Bhaiksuki","Bopo","Bopomofo","Brah","Brahmi","Brai","Braille","Bugi","Buginese","Buhd","Buhid","Cakm","Chakma","Cans","Canadian_Aboriginal","Cari","Carian","Cham","Cher","Cherokee","Chrs","Chorasmian","Copt","Coptic","Qaac","Cpmn","Cypro_Minoan","Cprt","Cypriot","Cyrl","Cyrillic","Deva","Devanagari","Diak","Dives_Akuru","Dogr","Dogra","Dsrt","Deseret","Dupl","Duployan","Egyp","Egyptian_Hieroglyphs","Elba","Elbasan","Elym","Elymaic","Ethi","Ethiopic","Geor","Georgian","Glag","Glagolitic","Gong","Gunjala_Gondi","Gonm","Masaram_Gondi","Goth","Gothic","Gran","Grantha","Grek","Greek","Gujr","Gujarati","Guru","Gurmukhi","Hang","Hangul","Hani","Han","Hano","Hanunoo","Hatr","Hatran","Hebr","Hebrew","Hira","Hiragana","Hluw","Anatolian_Hieroglyphs","Hmng","Pahawh_Hmong","Hmnp","Nyiakeng_Puachue_Hmong","Hrkt","Katakana_Or_Hiragana","Hung","Old_Hungarian","Ital","Old_Italic","Java","Javanese","Kali","Kayah_Li","Kana","Katakana","Kawi","Khar","Kharoshthi","Khmr","Khmer","Khoj","Khojki","Kits","Khitan_Small_Script","Knda","Kannada","Kthi","Kaithi","Lana","Tai_Tham","Laoo","Lao","Latn","Latin","Lepc","Lepcha","Limb","Limbu","Lina","Linear_A","Linb","Linear_B","Lisu","Lyci","Lycian","Lydi","Lydian","Mahj","Mahajani","Maka","Makasar","Mand","Mandaic","Mani","Manichaean","Marc","Marchen","Medf","Medefaidrin","Mend","Mende_Kikakui","Merc","Meroitic_Cursive","Mero","Meroitic_Hieroglyphs","Mlym","Malayalam","Modi","Mong","Mongolian","Mroo","Mro","Mtei","Meetei_Mayek","Mult","Multani","Mymr","Myanmar","Nagm","Nag_Mundari","Nand","Nandinagari","Narb","Old_North_Arabian","Nbat","Nabataean","Newa","Nkoo","Nko","Nshu","Nushu","Ogam","Ogham","Olck","Ol_Chiki","Orkh","Old_Turkic","Orya","Oriya","Osge","Osage","Osma","Osmanya","Ougr","Old_Uyghur","Palm","Palmyrene","Pauc","Pau_Cin_Hau","Perm","Old_Permic","Phag","Phags_Pa","Phli","Inscriptional_Pahlavi","Phlp","Psalter_Pahlavi","Phnx","Phoenician","Plrd","Miao","Prti","Inscriptional_Parthian","Rjng","Rejang","Rohg","Hanifi_Rohingya","Runr","Runic","Samr","Samaritan","Sarb","Old_South_Arabian","Saur","Saurashtra","Sgnw","SignWriting","Shaw","Shavian","Shrd","Sharada","Sidd","Siddham","Sind","Khudawadi","Sinh","Sinhala","Sogd","Sogdian","Sogo","Old_Sogdian","Sora","Sora_Sompeng","Soyo","Soyombo","Sund","Sundanese","Sylo","Syloti_Nagri","Syrc","Syriac","Tagb","Tagbanwa","Takr","Takri","Tale","Tai_Le","Talu","New_Tai_Lue","Taml","Tamil","Tang","Tangut","Tavt","Tai_Viet","Telu","Telugu","Tfng","Tifinagh","Tglg","Tagalog","Thaa","Thaana","Thai","Tibt","Tibetan","Tirh","Tirhuta","Tnsa","Tangsa","Toto","Ugar","Ugaritic","Vaii","Vai","Vith","Vithkuqi","Wara","Warang_Citi","Wcho","Wancho","Xpeo","Old_Persian","Xsux","Cuneiform","Yezi","Yezidi","Yiii","Yi","Zanb","Zanabazar_Square","Zinh","Inherited","Qaai","Zyyy","Common","Zzzz","Unknown"]),Script_Extensions:void 0};EW.Script_Extensions=EW.Script;function Gd(t){return am(t)||yp(t)}function O6(t){return Iy(t,Z8,AK)}function IW(t){switch(Ja(t)){case 99:return"lib.esnext.full.d.ts";case 10:return"lib.es2023.full.d.ts";case 9:return"lib.es2022.full.d.ts";case 8:return"lib.es2021.full.d.ts";case 7:return"lib.es2020.full.d.ts";case 6:return"lib.es2019.full.d.ts";case 5:return"lib.es2018.full.d.ts";case 4:return"lib.es2017.full.d.ts";case 3:return"lib.es2016.full.d.ts";case 2:return"lib.es6.d.ts";default:return"lib.d.ts"}}function au(t){return t.start+t.length}function Gke(t){return t.length===0}function Woe(t,r){return r>=t.start&&r<au(t)}function NW(t,r){return r>=t.pos&&r<=t.end}function Kke(t,r){return r.start>=t.start&&au(r)<=au(t)}function Voe(t,r){return r.pos>=t.start&&r.end<=au(t)}function Xke(t,r){return r.start>=t.pos&&au(r)<=t.end}function gXe(t,r){return Qke(t,r)!==void 0}function Qke(t,r){const a=t2e(t,r);return a&&a.length===0?void 0:a}function Zke(t,r){return PW(t.start,t.length,r.start,r.length)}function LW(t,r,a){return PW(t.start,t.length,r,a)}function PW(t,r,a,u){const h=t+r,p=a+u;return a<=h&&p>=t}function Yke(t,r){return r<=au(t)&&r>=t.start}function e2e(t,r){return LW(r,t.pos,t.end-t.pos)}function t2e(t,r){const a=Math.max(t.start,r.start),u=Math.min(au(t),au(r));return a<=u?Fu(a,u):void 0}function Hoe(t){t=t.filter(u=>u.length>0).sort((u,h)=>u.start!==h.start?u.start-h.start:u.length-h.length);const r=[];let a=0;for(;a<t.length;){let u=t[a],h=a+1;for(;h<t.length&&Zke(u,t[h]);){const p=Math.min(u.start,t[h].start),g=Math.max(au(u),au(t[h]));u=Fu(p,g),h++}a=h,r.push(u)}return r}function Ff(t,r){if(t<0)throw new Error("start < 0");if(r<0)throw new Error("length < 0");return{start:t,length:r}}function Fu(t,r){return Ff(t,r-t)}function f8(t){return Ff(t.span.start,t.newLength)}function i2e(t){return Gke(t.span)&&t.newLength===0}function AW(t,r){if(r<0)throw new Error("newLength < 0");return{span:t,newLength:r}}var sG=AW(Ff(0,0),0);function n2e(t){if(t.length===0)return sG;if(t.length===1)return t[0];const r=t[0];let a=r.span.start,u=au(r.span),h=a+r.newLength;for(let p=1;p<t.length;p++){const g=t[p],C=a,b=u,T=h,E=g.span.start,N=au(g.span),R=E+g.newLength;a=Math.min(C,E),u=Math.max(b,b+(N-T)),h=Math.max(R,R+(T-N))}return AW(Fu(a,u),h-a)}function yXe(t){if(t&&t.kind===168){for(let r=t;r;r=r.parent)if(Ho(r)||ss(r)||r.kind===264)return r}}function vp(t,r){return $s(t)&&Zr(t,31)&&r.kind===176}function r2e(t){return ta(t)?Ce(t.elements,s2e):!1}function s2e(t){return Bd(t)?!0:r2e(t.name)}function YL(t){let r=t.parent;for(;ec(r.parent);)r=r.parent.parent;return r.parent}function o2e(t,r){ec(t)&&(t=YL(t));let a=r(t);return t.kind===260&&(t=t.parent),t&&t.kind===261&&(a|=r(t),t=t.parent),t&&t.kind===243&&(a|=r(t)),a}function Rk(t){return o2e(t,jh)}function joe(t){return o2e(t,sDe)}function zb(t){return o2e(t,_4t)}function _4t(t){return t.flags}var a2e=["cs","de","es","fr","it","ja","ko","pl","pt-br","ru","tr","zh-cn","zh-tw"];function zoe(t,r,a){const u=t.toLowerCase(),h=/^([a-z]+)(?:[_-]([a-z]+))?$/.exec(u);if(!h){a&&a.push(Zl(k.Locale_must_be_of_the_form_language_or_language_territory_For_example_0_or_1,"en","ja-jp"));return}const p=h[1],g=h[2];Yt(a2e,u)&&!C(p,g,a)&&C(p,void 0,a),_xe(t);function C(b,T,E){const N=ca(r.getExecutingFilePath()),R=ts(N);let F=zr(R,b);if(T&&(F=F+"-"+T),F=r.resolvePath(zr(F,"diagnosticMessages.generated.json")),!r.fileExists(F))return!1;let H="";try{H=r.readFile(F)}catch{return E&&E.push(Zl(k.Unable_to_open_file_0,F)),!1}try{xDe(JSON.parse(H))}catch{return E&&E.push(Zl(k.Corrupted_locale_file_0,F)),!1}return!0}}function Ql(t,r){if(t)for(;t.original!==void 0;)t=t.original;return!t||!r||r(t)?t:void 0}function Qi(t,r){for(;t;){const a=r(t);if(a==="quit")return;if(a)return t;t=t.parent}}function h8(t){return(t.flags&16)===0}function Mo(t,r){if(t===void 0||h8(t))return t;for(t=t.original;t;){if(h8(t))return!r||r(t)?t:void 0;t=t.original}}function cu(t){return t.length>=2&&t.charCodeAt(0)===95&&t.charCodeAt(1)===95?"_"+t:t}function Ws(t){const r=t;return r.length>=3&&r.charCodeAt(0)===95&&r.charCodeAt(1)===95&&r.charCodeAt(2)===95?r.substr(1):r}function Fr(t){return Ws(t.escapedText)}function aI(t){const r=oI(t.escapedText);return r?Jr(r,P_):void 0}function Eu(t){return t.valueDeclaration&&Wh(t.valueDeclaration)?Fr(t.valueDeclaration.name):Ws(t.escapedName)}function vXe(t){const r=t.parent.parent;if(r){if(Wf(r))return Uoe(r);switch(r.kind){case 243:if(r.declarationList&&r.declarationList.declarations[0])return Uoe(r.declarationList.declarations[0]);break;case 244:let a=r.expression;switch(a.kind===226&&a.operatorToken.kind===64&&(a=a.left),a.kind){case 211:return a.name;case 212:const u=a.argumentExpression;if(ot(u))return u}break;case 217:return Uoe(r.expression);case 256:{if(Wf(r.statement)||jt(r.statement))return Uoe(r.statement);break}}}}function Uoe(t){const r=No(t);return r&&ot(r)?r:void 0}function OW(t,r){return!!(Bf(t)&&ot(t.name)&&Fr(t.name)===Fr(r)||Lu(t)&&Ft(t.declarationList.declarations,a=>OW(a,r)))}function c2e(t){return t.name||vXe(t)}function Bf(t){return!!t.name}function oG(t){switch(t.kind){case 80:return t;case 348:case 341:{const{name:a}=t;if(a.kind===166)return a.right;break}case 213:case 226:{const a=t;switch(Bu(a)){case 1:case 4:case 5:case 3:return nK(a.left);case 7:case 8:case 9:return a.arguments[1];default:return}}case 346:return c2e(t);case 340:return vXe(t);case 277:{const{expression:a}=t;return ot(a)?a:void 0}case 212:const r=t;if(iK(r))return r.argumentExpression}return t.name}function No(t){if(t!==void 0)return oG(t)||(ml(t)||xl(t)||fd(t)?aG(t):void 0)}function aG(t){if(t.parent){if(_d(t.parent)||ec(t.parent))return t.parent.name;if(ur(t.parent)&&t===t.parent.right){if(ot(t.parent.left))return t.parent.left;if(Sl(t.parent.left))return nK(t.parent.left)}else if(_s(t.parent)&&ot(t.parent.name))return t.parent.name}else return}function $w(t){if(lm(t))return $t(t.modifiers,Fd)}function PT(t){if(Zr(t,98303))return $t(t.modifiers,Fa)}function bXe(t,r){if(t.name)if(ot(t.name)){const a=t.name.escapedText;return lG(t.parent,r).filter(u=>Qm(u)&&ot(u.name)&&u.name.escapedText===a)}else{const a=t.parent.parameters.indexOf(t);j.assert(a>-1,"Parameters should always be in their parents' parameter list");const u=lG(t.parent,r).filter(Qm);if(a<u.length)return[u[a]]}return w}function M6(t){return bXe(t,!1)}function l2e(t){return bXe(t,!0)}function CXe(t,r){const a=t.name.escapedText;return lG(t.parent,r).filter(u=>Rg(u)&&u.typeParameters.some(h=>h.name.escapedText===a))}function u2e(t){return CXe(t,!1)}function d2e(t){return CXe(t,!0)}function f2e(t){return!!Tg(t,Qm)}function h2e(t){return Tg(t,KP)}function _2e(t){return uG(t,NX)}function qoe(t){return Tg(t,KEe)}function SXe(t){return Tg(t,Cle)}function p2e(t){return Tg(t,Cle,!0)}function wXe(t){return Tg(t,Sle)}function m2e(t){return Tg(t,Sle,!0)}function xXe(t){return Tg(t,wle)}function g2e(t){return Tg(t,wle,!0)}function kXe(t){return Tg(t,xle)}function y2e(t){return Tg(t,xle,!0)}function v2e(t){return Tg(t,EX,!0)}function $oe(t){return Tg(t,kle)}function b2e(t){return Tg(t,kle,!0)}function Joe(t){return Tg(t,mH)}function cG(t){return Tg(t,Tle)}function C2e(t){return Tg(t,IX)}function TXe(t){return Tg(t,Rg)}function Goe(t){return Tg(t,LX)}function Fk(t){const r=Tg(t,D7);if(r&&r.typeExpression&&r.typeExpression.type)return r}function Bk(t){let r=Tg(t,D7);return!r&&$s(t)&&(r=Fe(M6(t),a=>!!a.typeExpression)),r&&r.typeExpression&&r.typeExpression.type}function MW(t){const r=C2e(t);if(r&&r.typeExpression)return r.typeExpression.type;const a=Fk(t);if(a&&a.typeExpression){const u=a.typeExpression.type;if(a_(u)){const h=Fe(u.members,VP);return h&&h.type}if(Hy(u)||T3(u))return u.type}}function lG(t,r){var a;if(!xV(t))return w;let u=(a=t.jsDoc)==null?void 0:a.jsDocCache;if(u===void 0||r){const h=jae(t,r);j.assert(h.length<2||h[0]!==h[1]),u=Rr(h,p=>z0(p)?p.tags:p),r||(t.jsDoc??(t.jsDoc=[]),t.jsDoc.jsDocCache=u)}return u}function Wk(t){return lG(t,!1)}function Tg(t,r,a){return Fe(lG(t,a),r)}function uG(t,r){return Wk(t).filter(r)}function DXe(t,r){return Wk(t).filter(a=>a.kind===r)}function RW(t){return typeof t=="string"?t:t==null?void 0:t.map(r=>r.kind===321?r.text:p4t(r)).join("")}function p4t(t){const r=t.kind===324?"link":t.kind===325?"linkcode":"linkplain",a=t.name?bp(t.name):"",u=t.name&&(t.text===""||t.text.startsWith("://"))?"":" ";return`{@${r} ${a}${u}${t.text}}`}function Jw(t){if(tC(t)){if(D3(t.parent)){const r=t3(t.parent);if(r&&P(r.tags))return Rr(r.tags,a=>Rg(a)?a.typeParameters:void 0)}return w}if(Ng(t))return j.assert(t.parent.kind===320),Rr(t.parent.tags,r=>Rg(r)?r.typeParameters:void 0);if(t.typeParameters||aIe(t)&&t.typeParameters)return t.typeParameters;if(nr(t)){const r=vK(t);if(r.length)return r;const a=Bk(t);if(a&&Hy(a)&&a.typeParameters)return a.typeParameters}return w}function R6(t){return t.constraint?t.constraint:Rg(t.parent)&&t===t.parent.typeParameters[0]?t.parent.constraint:void 0}function Mv(t){return t.kind===80||t.kind===81}function FW(t){return t.kind===178||t.kind===177}function dG(t){return Nr(t)&&!!(t.flags&64)}function Koe(t){return wl(t)&&!!(t.flags&64)}function cI(t){return la(t)&&!!(t.flags&64)}function gh(t){const r=t.kind;return!!(t.flags&64)&&(r===211||r===212||r===213||r===235)}function _8(t){return gh(t)&&!$P(t)&&!!t.questionDotToken}function fG(t){return _8(t.parent)&&t.parent.expression===t}function p8(t){return!gh(t.parent)||_8(t.parent)||t!==t.parent.expression}function Xoe(t){return t.kind===226&&t.operatorToken.kind===61}function O0(t){return qp(t)&&ot(t.typeName)&&t.typeName.escapedText==="const"&&!t.typeArguments}function l0(t){return Vu(t,8)}function hG(t){return $P(t)&&!!(t.flags&64)}function m8(t){return t.kind===252||t.kind===251}function Qoe(t){return t.kind===280||t.kind===279}function g8(t){return t.kind===348||t.kind===341}function _G(t){return t>=166}function Zoe(t){return t>=0&&t<=165}function eP(t){return Zoe(t.kind)}function AT(t){return Li(t,"pos")&&Li(t,"end")}function y8(t){return 9<=t&&t<=15}function lI(t){return y8(t.kind)}function Yoe(t){switch(t.kind){case 210:case 209:case 14:case 218:case 231:return!0}return!1}function Gw(t){return 15<=t&&t<=18}function S2e(t){return Gw(t.kind)}function pG(t){const r=t.kind;return r===17||r===18}function Vk(t){return l_(t)||vh(t)}function v8(t){switch(t.kind){case 276:return t.isTypeOnly||t.parent.parent.isTypeOnly;case 274:return t.parent.isTypeOnly;case 273:case 271:return t.isTypeOnly}return!1}function w2e(t){switch(t.kind){case 281:return t.isTypeOnly||t.parent.parent.isTypeOnly;case 278:return t.isTypeOnly&&!!t.moduleSpecifier&&!t.exportClause;case 280:return t.parent.isTypeOnly}return!1}function Kw(t){return v8(t)||w2e(t)}function eae(t){return t.kind===11||Gw(t.kind)}function x2e(t){return Ha(t)||ot(t)}function zl(t){var r;return ot(t)&&((r=t.emitNode)==null?void 0:r.autoGenerate)!==void 0}function uI(t){var r;return Vs(t)&&((r=t.emitNode)==null?void 0:r.autoGenerate)!==void 0}function BW(t){const r=t.emitNode.autoGenerate.flags;return!!(r&32)&&!!(r&16)&&!!(r&8)}function Wh(t){return(Lo(t)||iP(t))&&Vs(t.name)}function F6(t){return Nr(t)&&Vs(t.name)}function Xw(t){switch(t){case 128:case 129:case 134:case 87:case 138:case 90:case 95:case 103:case 125:case 123:case 124:case 148:case 126:case 147:case 164:return!0}return!1}function b8(t){return!!(CP(t)&31)}function tae(t){return b8(t)||t===126||t===164||t===129}function Fa(t){return Xw(t.kind)}function E_(t){const r=t.kind;return r===166||r===80}function id(t){const r=t.kind;return r===80||r===81||r===11||r===9||r===167}function dI(t){const r=t.kind;return r===80||r===206||r===207}function Ho(t){return!!t&&tP(t.kind)}function B6(t){return!!t&&(tP(t.kind)||gu(t))}function Qc(t){return t&&EXe(t.kind)}function C8(t){return t.kind===112||t.kind===97}function EXe(t){switch(t){case 262:case 174:case 176:case 177:case 178:case 218:case 219:return!0;default:return!1}}function tP(t){switch(t){case 173:case 179:case 323:case 180:case 181:case 184:case 317:case 185:return!0;default:return EXe(t)}}function iae(t){return Os(t)||H1(t)||Xo(t)&&Ho(t.parent)}function Od(t){const r=t.kind;return r===176||r===172||r===174||r===177||r===178||r===181||r===175||r===240}function ss(t){return t&&(t.kind===263||t.kind===231)}function Qw(t){return t&&(t.kind===177||t.kind===178)}function I_(t){return Lo(t)&&O1(t)}function k2e(t){return nr(t)&&PP(t)?(!jT(t)||!rx(t.expression))&&!bI(t,!0):t.parent&&ss(t.parent)&&Lo(t)&&!O1(t)}function iP(t){switch(t.kind){case 174:case 177:case 178:return!0;default:return!1}}function Ul(t){return Fa(t)||Fd(t)}function OT(t){const r=t.kind;return r===180||r===179||r===171||r===173||r===181||r===177||r===178}function mG(t){return OT(t)||Od(t)}function Ub(t){const r=t.kind;return r===303||r===304||r===305||r===174||r===177||r===178}function ws(t){return Tce(t.kind)}function T2e(t){switch(t.kind){case 184:case 185:return!0}return!1}function ta(t){if(t){const r=t.kind;return r===207||r===206}return!1}function S8(t){const r=t.kind;return r===209||r===210}function gG(t){const r=t.kind;return r===208||r===232}function WW(t){switch(t.kind){case 260:case 169:case 208:return!0}return!1}function D2e(t){return _s(t)||$s(t)||HW(t)||jW(t)}function VW(t){return nae(t)||rae(t)}function nae(t){switch(t.kind){case 206:case 210:return!0}return!1}function HW(t){switch(t.kind){case 208:case 303:case 304:case 305:return!0}return!1}function rae(t){switch(t.kind){case 207:case 209:return!0}return!1}function jW(t){switch(t.kind){case 208:case 232:case 230:case 209:case 210:case 80:case 211:case 212:return!0}return lf(t,!0)}function E2e(t){const r=t.kind;return r===211||r===166||r===205}function zW(t){const r=t.kind;return r===211||r===166}function sae(t){return MT(t)||lx(t)}function MT(t){switch(t.kind){case 286:case 285:case 213:case 214:case 215:case 170:return!0;default:return!1}}function T1(t){return t.kind===213||t.kind===214}function nP(t){const r=t.kind;return r===228||r===15}function N_(t){return IXe(l0(t).kind)}function IXe(t){switch(t){case 211:case 212:case 214:case 213:case 284:case 285:case 288:case 215:case 209:case 217:case 210:case 231:case 218:case 80:case 81:case 14:case 9:case 10:case 11:case 15:case 228:case 97:case 106:case 110:case 112:case 108:case 235:case 233:case 236:case 102:case 282:return!0;default:return!1}}function oae(t){return NXe(l0(t).kind)}function NXe(t){switch(t){case 224:case 225:case 220:case 221:case 222:case 223:case 216:return!0;default:return IXe(t)}}function I2e(t){switch(t.kind){case 225:return!0;case 224:return t.operator===46||t.operator===47;default:return!1}}function N2e(t){switch(t.kind){case 106:case 112:case 97:case 224:return!0;default:return lI(t)}}function jt(t){return m4t(l0(t).kind)}function m4t(t){switch(t){case 227:case 229:case 219:case 226:case 230:case 234:case 232:case 355:case 354:case 238:return!0;default:return NXe(t)}}function Hk(t){const r=t.kind;return r===216||r===234}function Zw(t,r){switch(t.kind){case 248:case 249:case 250:case 246:case 247:return!0;case 256:return r&&Zw(t.statement,r)}return!1}function g4t(t){return Il(t)||Ju(t)}function L2e(t){return Ft(t,g4t)}function yG(t){return!ZW(t)&&!Il(t)&&!Zr(t,32)&&!Vh(t)}function UW(t){return ZW(t)||Il(t)||Zr(t,32)}function fI(t){return t.kind===249||t.kind===250}function vG(t){return Xo(t)||jt(t)}function aae(t){return Xo(t)}function Hm(t){return Sf(t)||jt(t)}function P2e(t){const r=t.kind;return r===268||r===267||r===80}function LXe(t){const r=t.kind;return r===268||r===267}function PXe(t){const r=t.kind;return r===80||r===267}function cae(t){const r=t.kind;return r===275||r===274}function qW(t){return t.kind===267||t.kind===266}function M0(t){switch(t.kind){case 219:case 226:case 208:case 213:case 179:case 263:case 231:case 175:case 176:case 185:case 180:case 212:case 266:case 306:case 277:case 278:case 281:case 262:case 218:case 184:case 177:case 80:case 273:case 271:case 276:case 181:case 264:case 338:case 340:case 317:case 341:case 348:case 323:case 346:case 322:case 291:case 292:case 293:case 200:case 174:case 173:case 267:case 202:case 280:case 270:case 274:case 214:case 15:case 9:case 210:case 169:case 211:case 303:case 172:case 171:case 178:case 304:case 307:case 305:case 11:case 265:case 187:case 168:case 260:return!0;default:return!1}}function Ay(t){switch(t.kind){case 219:case 241:case 179:case 269:case 299:case 175:case 194:case 176:case 185:case 180:case 248:case 249:case 250:case 262:case 218:case 184:case 177:case 181:case 338:case 340:case 317:case 323:case 346:case 200:case 174:case 173:case 267:case 178:case 307:case 265:return!0;default:return!1}}function y4t(t){return t===219||t===208||t===263||t===231||t===175||t===176||t===266||t===306||t===281||t===262||t===218||t===177||t===273||t===271||t===276||t===264||t===291||t===174||t===173||t===267||t===270||t===274||t===280||t===169||t===303||t===172||t===171||t===178||t===304||t===265||t===168||t===260||t===346||t===338||t===348||t===202}function A2e(t){return t===262||t===282||t===263||t===264||t===265||t===266||t===267||t===272||t===271||t===278||t===277||t===270}function O2e(t){return t===252||t===251||t===259||t===246||t===244||t===242||t===249||t===250||t===248||t===245||t===256||t===253||t===255||t===257||t===258||t===243||t===247||t===254||t===353}function Wf(t){return t.kind===168?t.parent&&t.parent.kind!==345||nr(t):y4t(t.kind)}function M2e(t){return A2e(t.kind)}function $W(t){return O2e(t.kind)}function Ps(t){const r=t.kind;return O2e(r)||A2e(r)||v4t(t)}function v4t(t){return t.kind!==241||t.parent!==void 0&&(t.parent.kind===258||t.parent.kind===299)?!1:!WT(t)}function R2e(t){const r=t.kind;return O2e(r)||A2e(r)||r===241}function F2e(t){const r=t.kind;return r===283||r===166||r===80}function w8(t){const r=t.kind;return r===110||r===80||r===211||r===295}function JW(t){const r=t.kind;return r===284||r===294||r===285||r===12||r===288}function bG(t){const r=t.kind;return r===291||r===293}function B2e(t){const r=t.kind;return r===11||r===294}function oh(t){const r=t.kind;return r===286||r===285}function CG(t){const r=t.kind;return r===296||r===297}function W6(t){return t.kind>=309&&t.kind<=351}function SG(t){return t.kind===320||t.kind===319||t.kind===321||rP(t)||V6(t)||OI(t)||tC(t)}function V6(t){return t.kind>=327&&t.kind<=351}function D1(t){return t.kind===178}function oS(t){return t.kind===177}function jp(t){if(!xV(t))return!1;const{jsDoc:r}=t;return!!r&&r.length>0}function wG(t){return!!t.type}function aS(t){return!!t.initializer}function hI(t){switch(t.kind){case 260:case 169:case 208:case 172:case 303:case 306:return!0;default:return!1}}function lae(t){return t.kind===291||t.kind===293||Ub(t)}function xG(t){return t.kind===183||t.kind===233}var AXe=1073741823;function W2e(t){let r=AXe;for(const a of t){if(!a.length)continue;let u=0;for(;u<a.length&&u<r&&Pv(a.charCodeAt(u));u++);if(u<r&&(r=u),r===0)return 0}return r===AXe?void 0:r}function Bc(t){return t.kind===11||t.kind===15}function rP(t){return t.kind===324||t.kind===325||t.kind===326}function uae(t){const r=rl(t.parameters);return!!r&&Oy(r)}function Oy(t){const r=Qm(t)?t.typeExpression&&t.typeExpression.type:t.type;return t.dotDotDotToken!==void 0||!!r&&r.kind===318}function OXe(t,r){return r.text.substring(t.pos,t.end).includes("@internal")}function V2e(t,r){r??(r=_n(t));const a=Mo(t);if(a&&a.kind===169){const h=a.parent.parameters.indexOf(a),p=h>0?a.parent.parameters[h-1]:void 0,g=r.text,C=p?Js(qw(g,Va(g,p.end+1,!1,!0)),Av(g,t.pos)):qw(g,Va(g,t.pos,!1,!0));return Ft(C)&&OXe(va(C),r)}const u=a&&Lae(a,r);return!!O(u,h=>OXe(h,r))}var dae=[],jk="tslib",x8=160,fae=1e6;function ql(t,r){const a=t.declarations;if(a){for(const u of a)if(u.kind===r)return u}}function H2e(t,r){return $t(t.declarations||w,a=>a.kind===r)}function _a(t){const r=new Map;if(t)for(const a of t)r.set(a.escapedName,a);return r}function Rv(t){return(t.flags&33554432)!==0}function H6(t){return!!(t.flags&1536)&&t.escapedName.charCodeAt(0)===34}var kG=b4t();function b4t(){var t="";const r=a=>t+=a;return{getText:()=>t,write:r,rawWrite:r,writeKeyword:r,writeOperator:r,writePunctuation:r,writeSpace:r,writeStringLiteral:r,writeLiteral:r,writeParameter:r,writeProperty:r,writeSymbol:(a,u)=>r(a),writeTrailingSemicolon:r,writeComment:r,getTextPos:()=>t.length,getLine:()=>0,getColumn:()=>0,getIndent:()=>0,isAtStartOfLine:()=>!1,hasTrailingComment:()=>!1,hasTrailingWhitespace:()=>!!t.length&&Pv(t.charCodeAt(t.length-1)),writeLine:()=>t+=" ",increaseIndent:Tc,decreaseIndent:Tc,clear:()=>t=""}}function TG(t,r){return t.configFilePath!==r.configFilePath||C4t(t,r)}function C4t(t,r){return j6(t,r,Kle)}function j2e(t,r){return j6(t,r,NIe)}function j6(t,r,a){return t!==r&&a.some(u=>!ZK(BK(t,u),BK(r,u)))}function z2e(t,r){for(;;){const a=r(t);if(a==="quit")return;if(a!==void 0)return a;if(Os(t))return;t=t.parent}}function cf(t,r){const a=t.entries();for(const[u,h]of a){const p=r(h,u);if(p)return p}}function qb(t,r){const a=t.keys();for(const u of a){const h=r(u);if(h)return h}}function DG(t,r){t.forEach((a,u)=>{r.set(u,a)})}function z6(t){const r=kG.getText();try{return t(kG),kG.getText()}finally{kG.clear(),kG.writeKeyword(r)}}function GW(t){return t.end-t.pos}function hae(t,r){return t.path===r.path&&!t.prepend==!r.prepend&&!t.circular==!r.circular}function U2e(t,r){return t===r||t.resolvedModule===r.resolvedModule||!!t.resolvedModule&&!!r.resolvedModule&&t.resolvedModule.isExternalLibraryImport===r.resolvedModule.isExternalLibraryImport&&t.resolvedModule.extension===r.resolvedModule.extension&&t.resolvedModule.resolvedFileName===r.resolvedModule.resolvedFileName&&t.resolvedModule.originalPath===r.resolvedModule.originalPath&&S4t(t.resolvedModule.packageId,r.resolvedModule.packageId)&&t.alternateResult===r.alternateResult}function sP(t){return t.resolvedModule}function EG(t){return t.resolvedTypeReferenceDirective}function IG(t,r,a,u,h){var p;const g=(p=r.getResolvedModule(t,a,u))==null?void 0:p.alternateResult,C=g&&(yh(r.getCompilerOptions())===2?[k.There_are_types_at_0_but_this_result_could_not_be_resolved_under_your_current_moduleResolution_setting_Consider_updating_to_node16_nodenext_or_bundler,[g]]:[k.There_are_types_at_0_but_this_result_could_not_be_resolved_when_respecting_package_json_exports_The_1_library_may_need_to_update_its_package_json_or_typings,[g,g.includes(Xv+"@types/")?`@types/${B3(h)}`:h]]),b=C?jo(void 0,C[0],...C[1]):r.typesPackageExists(h)?jo(void 0,k.If_the_0_package_actually_exposes_this_module_consider_sending_a_pull_request_to_amend_https_Colon_Slash_Slashgithub_com_SlashDefinitelyTyped_SlashDefinitelyTyped_Slashtree_Slashmaster_Slashtypes_Slash_1,h,B3(h)):r.packageBundlesTypes(h)?jo(void 0,k.If_the_0_package_actually_exposes_this_module_try_adding_a_new_declaration_d_ts_file_containing_declare_module_1,h,a):jo(void 0,k.Try_npm_i_save_dev_types_Slash_1_if_it_exists_or_add_a_new_declaration_d_ts_file_containing_declare_module_0,a,B3(h));return b&&(b.repopulateInfo=()=>({moduleReference:a,mode:u,packageName:h===a?void 0:h})),b}function _ae(t){const r=qv(t.fileName),a=t.packageJsonScope,u=r===".ts"?".mts":r===".js"?".mjs":void 0,h=a&&!a.contents.packageJsonContent.type?u?jo(void 0,k.To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_add_the_field_type_Colon_module_to_1,u,zr(a.packageDirectory,"package.json")):jo(void 0,k.To_convert_this_file_to_an_ECMAScript_module_add_the_field_type_Colon_module_to_0,zr(a.packageDirectory,"package.json")):u?jo(void 0,k.To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_create_a_local_package_json_file_with_type_Colon_module,u):jo(void 0,k.To_convert_this_file_to_an_ECMAScript_module_create_a_local_package_json_file_with_type_Colon_module);return h.repopulateInfo=()=>!0,h}function S4t(t,r){return t===r||!!t&&!!r&&t.name===r.name&&t.subModuleName===r.subModuleName&&t.version===r.version&&t.peerDependencies===r.peerDependencies}function NG({name:t,subModuleName:r}){return r?`${t}/${r}`:t}function zk(t){return`${NG(t)}@${t.version}${t.peerDependencies??""}`}function q2e(t,r){return t===r||t.resolvedTypeReferenceDirective===r.resolvedTypeReferenceDirective||!!t.resolvedTypeReferenceDirective&&!!r.resolvedTypeReferenceDirective&&t.resolvedTypeReferenceDirective.resolvedFileName===r.resolvedTypeReferenceDirective.resolvedFileName&&!!t.resolvedTypeReferenceDirective.primary==!!r.resolvedTypeReferenceDirective.primary&&t.resolvedTypeReferenceDirective.originalPath===r.resolvedTypeReferenceDirective.originalPath}function pae(t,r,a,u){j.assert(t.length===r.length);for(let h=0;h<t.length;h++){const p=r[h],g=t[h],C=a(g);if(C?!p||!u(C,p):p)return!0}return!1}function oP(t){return w4t(t),(t.flags&1048576)!==0}function w4t(t){t.flags&2097152||((t.flags&262144||Uo(t,oP))&&(t.flags|=1048576),t.flags|=2097152)}function _n(t){for(;t&&t.kind!==307;)t=t.parent;return t}function LG(t){return _n(t.valueDeclaration||xae(t))}function k8(t,r){return!!t&&(t.scriptKind===1||t.scriptKind===2)&&!t.checkJsDirective&&r===void 0}function $2e(t){switch(t.kind){case 241:case 269:case 248:case 249:case 250:return!0}return!1}function Yw(t,r){return j.assert(t>=0),Lv(r)[t]}function MXe(t){const r=_n(t),a=Ia(r,t.pos);return`${r.fileName}(${a.line+1},${a.character+1})`}function KW(t,r){j.assert(t>=0);const a=Lv(r),u=t,h=r.text;if(u+1===a.length)return h.length-1;{const p=a[u];let g=a[u+1]-1;for(j.assert(mh(h.charCodeAt(g)));p<=g&&mh(h.charCodeAt(g));)g--;return g}}function PG(t,r,a){return!(a&&a(r))&&!t.identifiers.has(r)}function lu(t){return t===void 0?!0:t.pos===t.end&&t.pos>=0&&t.kind!==1}function Dg(t){return!lu(t)}function J2e(t,r){return $l(t)?r===t.expression:gu(t)?r===t.modifiers:O_(t)?r===t.initializer:Lo(t)?r===t.questionToken&&I_(t):_d(t)?r===t.modifiers||r===t.questionToken||r===t.exclamationToken||XW(t.modifiers,r,Ul):lh(t)?r===t.equalsToken||r===t.modifiers||r===t.questionToken||r===t.exclamationToken||XW(t.modifiers,r,Ul):Nu(t)?r===t.exclamationToken:iu(t)?r===t.typeParameters||r===t.type||XW(t.typeParameters,r,$l):um(t)?r===t.typeParameters||XW(t.typeParameters,r,$l):kp(t)?r===t.typeParameters||r===t.type||XW(t.typeParameters,r,$l):pH(t)?r===t.modifiers||XW(t.modifiers,r,Ul):!1}function XW(t,r,a){return!t||Ao(r)||!a(r)?!1:Yt(t,r)}function RXe(t,r,a){if(r===void 0||r.length===0)return t;let u=0;for(;u<t.length&&a(t[u]);++u);return t.splice(u,0,...r),t}function FXe(t,r,a){if(r===void 0)return t;let u=0;for(;u<t.length&&a(t[u]);++u);return t.splice(u,0,r),t}function BXe(t){return I1(t)||!!(Ya(t)&2097152)}function Fv(t,r){return RXe(t,r,I1)}function mae(t,r){return RXe(t,r,BXe)}function WXe(t,r){return FXe(t,r,I1)}function _I(t,r){return FXe(t,r,BXe)}function gae(t,r,a){if(t.charCodeAt(r+1)===47&&r+2<a&&t.charCodeAt(r+2)===47){const u=t.substring(r,a);return!!(P4t.test(u)||M4t.test(u)||R4t.test(u)||A4t.test(u)||O4t.test(u)||F4t.test(u))}return!1}function AG(t,r){return t.charCodeAt(r+1)===42&&t.charCodeAt(r+2)===33}function G2e(t,r){const a=new Map(r.map(g=>[`${Ia(t,g.range.end).line}`,g])),u=new Map;return{getUnusedExpectations:h,markUsed:p};function h(){return as(a.entries()).filter(([g,C])=>C.type===0&&!u.get(g)).map(([g,C])=>C)}function p(g){return a.has(`${g}`)?(u.set(`${g}`,!0),!0):!1}}function ex(t,r,a){if(lu(t))return t.pos;if(W6(t)||t.kind===12)return Va((r??_n(t)).text,t.pos,!1,!0);if(a&&jp(t))return ex(t.jsDoc[0],r);if(t.kind===352){r??(r=_n(t));const u=Ra(Dle(t,r));if(u)return ex(u,r,a)}return Va((r??_n(t)).text,t.pos,!1,!1,dV(t))}function yae(t,r){const a=!lu(t)&&Fg(t)?rt(t.modifiers,Fd):void 0;return a?Va((r||_n(t)).text,a.end):ex(t,r)}function K2e(t,r){const a=!lu(t)&&Fg(t)&&t.modifiers?va(t.modifiers):void 0;return a?Va((r||_n(t)).text,a.end):ex(t,r)}function RT(t,r,a=!1){return T8(t.text,r,a)}function x4t(t){return!!Qi(t,o2)}function OG(t){return!!(Ju(t)&&t.exportClause&&jy(t.exportClause)&&My(t.exportClause.name))}function tx(t){return t.kind===11?t.text:Ws(t.escapedText)}function FT(t){return t.kind===11?cu(t.text):t.escapedText}function My(t){return(t.kind===11?t.text:t.escapedText)==="default"}function T8(t,r,a=!1){if(lu(r))return"";let u=t.substring(a?r.pos:Va(t,r.pos),r.end);return x4t(r)&&(u=u.split(/\r\n|\n|\r/).map(h=>h.replace(/^\s*\*/,"").trimStart()).join(`
+`)),u}function uu(t,r=!1){return RT(_n(t),t,r)}function k4t(t){return t.pos}function U6(t,r){return Jt(t,r,k4t,_l)}function Ya(t){const r=t.emitNode;return r&&r.flags||0}function u0(t){const r=t.emitNode;return r&&r.internalFlags||0}var vae=Bh(()=>new Map(Object.entries({Array:new Map(Object.entries({es2015:["find","findIndex","fill","copyWithin","entries","keys","values"],es2016:["includes"],es2019:["flat","flatMap"],es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Iterator:new Map(Object.entries({es2015:w})),AsyncIterator:new Map(Object.entries({es2015:w})),Atomics:new Map(Object.entries({es2017:w})),SharedArrayBuffer:new Map(Object.entries({es2017:w})),AsyncIterable:new Map(Object.entries({es2018:w})),AsyncIterableIterator:new Map(Object.entries({es2018:w})),AsyncGenerator:new Map(Object.entries({es2018:w})),AsyncGeneratorFunction:new Map(Object.entries({es2018:w})),RegExp:new Map(Object.entries({es2015:["flags","sticky","unicode"],es2018:["dotAll"]})),Reflect:new Map(Object.entries({es2015:["apply","construct","defineProperty","deleteProperty","get","getOwnPropertyDescriptor","getPrototypeOf","has","isExtensible","ownKeys","preventExtensions","set","setPrototypeOf"]})),ArrayConstructor:new Map(Object.entries({es2015:["from","of"],esnext:["fromAsync"]})),ObjectConstructor:new Map(Object.entries({es2015:["assign","getOwnPropertySymbols","keys","is","setPrototypeOf"],es2017:["values","entries","getOwnPropertyDescriptors"],es2019:["fromEntries"],es2022:["hasOwn"]})),NumberConstructor:new Map(Object.entries({es2015:["isFinite","isInteger","isNaN","isSafeInteger","parseFloat","parseInt"]})),Math:new Map(Object.entries({es2015:["clz32","imul","sign","log10","log2","log1p","expm1","cosh","sinh","tanh","acosh","asinh","atanh","hypot","trunc","fround","cbrt"]})),Map:new Map(Object.entries({es2015:["entries","keys","values"]})),Set:new Map(Object.entries({es2015:["entries","keys","values"]})),PromiseConstructor:new Map(Object.entries({es2015:["all","race","reject","resolve"],es2020:["allSettled"],es2021:["any"]})),Symbol:new Map(Object.entries({es2015:["for","keyFor"],es2019:["description"]})),WeakMap:new Map(Object.entries({es2015:["entries","keys","values"]})),WeakSet:new Map(Object.entries({es2015:["entries","keys","values"]})),String:new Map(Object.entries({es2015:["codePointAt","includes","endsWith","normalize","repeat","startsWith","anchor","big","blink","bold","fixed","fontcolor","fontsize","italics","link","small","strike","sub","sup"],es2017:["padStart","padEnd"],es2019:["trimStart","trimEnd","trimLeft","trimRight"],es2020:["matchAll"],es2021:["replaceAll"],es2022:["at"],esnext:["isWellFormed","toWellFormed"]})),StringConstructor:new Map(Object.entries({es2015:["fromCodePoint","raw"]})),DateTimeFormat:new Map(Object.entries({es2017:["formatToParts"]})),Promise:new Map(Object.entries({es2015:w,es2018:["finally"]})),RegExpMatchArray:new Map(Object.entries({es2018:["groups"]})),RegExpExecArray:new Map(Object.entries({es2018:["groups"]})),Intl:new Map(Object.entries({es2018:["PluralRules"]})),NumberFormat:new Map(Object.entries({es2018:["formatToParts"]})),SymbolConstructor:new Map(Object.entries({es2020:["matchAll"]})),DataView:new Map(Object.entries({es2020:["setBigInt64","setBigUint64","getBigInt64","getBigUint64"]})),BigInt:new Map(Object.entries({es2020:w})),RelativeTimeFormat:new Map(Object.entries({es2020:["format","formatToParts","resolvedOptions"]})),Int8Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Uint8Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Uint8ClampedArray:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Int16Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Uint16Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Int32Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Uint32Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Float32Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Float64Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),BigInt64Array:new Map(Object.entries({es2020:w,es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),BigUint64Array:new Map(Object.entries({es2020:w,es2022:["at"],es2023:["findLastIndex","findLast","toReversed","toSorted","toSpliced","with"]})),Error:new Map(Object.entries({es2022:["cause"]}))}))),X2e=(t=>(t[t.None=0]="None",t[t.NeverAsciiEscape=1]="NeverAsciiEscape",t[t.JsxAttributeEscape=2]="JsxAttributeEscape",t[t.TerminateUnterminatedLiterals=4]="TerminateUnterminatedLiterals",t[t.AllowNumericSeparator=8]="AllowNumericSeparator",t))(X2e||{});function Q2e(t,r,a){if(r&&T4t(t,a))return RT(r,t);switch(t.kind){case 11:{const u=a&2?sce:a&1||Ya(t)&16777216?Vy:dK;return t.singleQuote?"'"+u(t.text,39)+"'":'"'+u(t.text,34)+'"'}case 15:case 16:case 17:case 18:{const u=a&1||Ya(t)&16777216?Vy:dK,h=t.rawText??nce(u(t.text,96));switch(t.kind){case 15:return"`"+h+"`";case 16:return"`"+h+"${";case 17:return"}"+h+"${";case 18:return"}"+h+"`"}break}case 9:case 10:return t.text;case 14:return a&4&&t.isUnterminated?t.text+(t.text.charCodeAt(t.text.length-1)===92?" /":"/"):t.text}return j.fail(`Literal kind '${t.kind}' not accounted for.`)}function T4t(t,r){if(cl(t)||!t.parent||r&4&&t.isUnterminated)return!1;if(A_(t)){if(t.numericLiteralFlags&26656)return!1;if(t.numericLiteralFlags&512)return!!(r&8)}return!p7(t)}function Z2e(t){return Oo(t)?`"${Vy(t)}"`:""+t}function Y2e(t){return ud(t).replace(/^(\d)/,"_$1").replace(/\W/g,"_")}function bae(t){return(zb(t)&7)!==0||Cae(t)}function Cae(t){const r=A1(t);return r.kind===260&&r.parent.kind===299}function Vh(t){return rd(t)&&(t.name.kind===11||Ry(t))}function MG(t){return rd(t)&&t.name.kind===11}function Sae(t){return rd(t)&&Ha(t.name)}function D4t(t){return rd(t)||ot(t)}function QW(t){return E4t(t.valueDeclaration)}function E4t(t){return!!t&&t.kind===267&&!t.body}function eTe(t){return t.kind===307||t.kind===267||B6(t)}function Ry(t){return!!(t.flags&2048)}function BT(t){return Vh(t)&&wae(t)}function wae(t){switch(t.parent.kind){case 307:return wd(t.parent);case 268:return Vh(t.parent.parent)&&Os(t.parent.parent.parent)&&!wd(t.parent.parent.parent)}return!1}function xae(t){var r;return(r=t.declarations)==null?void 0:r.find(a=>!BT(a)&&!(rd(a)&&Ry(a)))}function I4t(t){return t===1||t===100||t===199}function aP(t,r){return wd(t)||I4t(zh(r))&&!!t.commonJsModuleIndicator}function kae(t,r){switch(t.scriptKind){case 1:case 3:case 2:case 4:break;default:return!1}return t.isDeclarationFile?!1:!!(ah(r,"alwaysStrict")||rIe(t.statements)||wd(t)||Ag(r))}function Tae(t){return!!(t.flags&33554432)||Zr(t,128)}function Dae(t,r){switch(t.kind){case 307:case 269:case 299:case 267:case 248:case 249:case 250:case 176:case 174:case 177:case 178:case 262:case 218:case 219:case 172:case 175:return!0;case 241:return!B6(r)}return!1}function Eae(t){switch(j.type(t),t.kind){case 338:case 346:case 323:return!0;default:return Iae(t)}}function Iae(t){switch(j.type(t),t.kind){case 179:case 180:case 173:case 181:case 184:case 185:case 317:case 263:case 231:case 264:case 265:case 345:case 262:case 174:case 176:case 177:case 178:case 218:case 219:return!0;default:return!1}}function cP(t){switch(t.kind){case 272:case 271:return!0;default:return!1}}function tTe(t){return cP(t)||HT(t)}function iTe(t){return cP(t)||hV(t)}function RG(t){switch(t.kind){case 272:case 271:case 243:case 263:case 262:case 267:case 265:case 264:case 266:return!0;default:return!1}}function nTe(t){return ZW(t)||rd(t)||V1(t)||zp(t)}function ZW(t){return cP(t)||Ju(t)}function FG(t){return Qi(t.parent,r=>!!(wue(r)&1))}function R0(t){return Qi(t.parent,r=>Dae(r,r.parent))}function rTe(t,r){let a=R0(t);for(;a;)r(a),a=R0(a)}function al(t){return!t||GW(t)===0?"(Missing)":uu(t)}function sTe(t){return t.declaration?al(t.declaration.parameters[0].name):void 0}function YW(t){return t.kind===167&&!cm(t.expression)}function D8(t){var r;switch(t.kind){case 80:case 81:return(r=t.emitNode)!=null&&r.autoGenerate?void 0:t.escapedText;case 11:case 9:case 10:case 15:return cu(t.text);case 167:return cm(t.expression)?cu(t.expression.text):void 0;case 295:return LP(t);default:return j.assertNever(t)}}function lP(t){return j.checkDefined(D8(t))}function bp(t){switch(t.kind){case 110:return"this";case 81:case 80:return GW(t)===0?Fr(t):uu(t);case 166:return bp(t.left)+"."+bp(t.right);case 211:return ot(t.name)||Vs(t.name)?bp(t.expression)+"."+bp(t.name):j.assertNever(t.name);case 311:return bp(t.left)+"#"+bp(t.right);case 295:return bp(t.namespace)+":"+bp(t.name);default:return j.assertNever(t)}}function Kn(t,r,...a){const u=_n(t);return jm(u,t,r,...a)}function q6(t,r,a,...u){const h=Va(t.text,r.pos);return Md(t,h,r.end-h,a,...u)}function jm(t,r,a,...u){const h=pI(t,r);return Md(t,h.start,h.length,a,...u)}function Bv(t,r,a,u){const h=pI(t,r);return BG(t,h.start,h.length,a,u)}function eV(t,r,a,u){const h=Va(t.text,r.pos);return BG(t,h,r.end-h,a,u)}function oTe(t,r,a){j.assertGreaterThanOrEqual(r,0),j.assertGreaterThanOrEqual(a,0),j.assertLessThanOrEqual(r,t.length),j.assertLessThanOrEqual(r+a,t.length)}function BG(t,r,a,u,h){return oTe(t.text,r,a),{file:t,start:r,length:a,code:u.code,category:u.category,messageText:u.next?u:u.messageText,relatedInformation:h,canonicalHead:u.canonicalHead}}function Nae(t,r,a){return{file:t,start:0,length:0,code:r.code,category:r.category,messageText:r.next?r:r.messageText,relatedInformation:a}}function aTe(t){return typeof t.messageText=="string"?{code:t.code,category:t.category,messageText:t.messageText,next:t.next}:t.messageText}function cTe(t,r,a){return{file:t,start:r.pos,length:r.end-r.pos,code:a.code,category:a.category,messageText:a.message}}function lTe(t,...r){return{code:t.code,messageText:DP(t,...r)}}function E1(t,r){const a=Ov(t.languageVersion,!0,t.languageVariant,t.text,void 0,r);a.scan();const u=a.getTokenStart();return Fu(u,a.getTokenEnd())}function uTe(t,r){const a=Ov(t.languageVersion,!0,t.languageVariant,t.text,void 0,r);return a.scan(),a.getToken()}function N4t(t,r){const a=Va(t.text,r.pos);if(r.body&&r.body.kind===241){const{line:u}=Ia(t,r.body.pos),{line:h}=Ia(t,r.body.end);if(u<h)return Ff(a,KW(u,t)-a+1)}return Fu(a,r.end)}function pI(t,r){let a=r;switch(r.kind){case 307:{const p=Va(t.text,0,!1);return p===t.text.length?Ff(0,0):E1(t,p)}case 260:case 208:case 263:case 231:case 264:case 267:case 266:case 306:case 262:case 218:case 174:case 177:case 178:case 265:case 172:case 171:case 274:a=r.name;break;case 219:return N4t(t,r);case 296:case 297:{const p=Va(t.text,r.pos),g=r.statements.length>0?r.statements[0].pos:r.end;return Fu(p,g)}case 253:case 229:{const p=Va(t.text,r.pos);return E1(t,p)}case 238:{const p=Va(t.text,r.expression.end);return E1(t,p)}case 350:{const p=Va(t.text,r.tagName.pos);return E1(t,p)}case 176:{const p=r,g=Va(t.text,p.pos),C=Ov(t.languageVersion,!0,t.languageVariant,t.text,void 0,g);let b=C.scan();for(;b!==137&&b!==1;)b=C.scan();const T=C.getTokenEnd();return Fu(g,T)}}if(a===void 0)return E1(t,r.pos);j.assert(!z0(a));const u=lu(a),h=u||RP(r)?a.pos:Va(t.text,a.pos);return u?(j.assert(h===a.pos,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809"),j.assert(h===a.end,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809")):(j.assert(h>=a.pos,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809"),j.assert(h<=a.end,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809")),Fu(h,a.end)}function cS(t){return t.kind===307&&!Cp(t)}function Cp(t){return(t.externalModuleIndicator||t.commonJsModuleIndicator)!==void 0}function Eg(t){return t.scriptKind===6}function Uk(t){return!!(Rk(t)&4096)}function tV(t){return!!(Rk(t)&8&&!vp(t,t.parent))}function iV(t){return(zb(t)&7)===6}function nV(t){return(zb(t)&7)===4}function $6(t){return(zb(t)&7)===2}function dTe(t){const r=zb(t)&7;return r===2||r===4||r===6}function WG(t){return(zb(t)&7)===1}function mI(t){return t.kind===213&&t.expression.kind===108}function zp(t){return t.kind===213&&t.expression.kind===102}function J6(t){return b7(t)&&t.keywordToken===102&&t.name.escapedText==="meta"}function lS(t){return V1(t)&&bS(t.argument)&&Ha(t.argument.literal)}function I1(t){return t.kind===244&&t.expression.kind===11}function rV(t){return!!(Ya(t)&2097152)}function VG(t){return rV(t)&&Wu(t)}function L4t(t){return ot(t.name)&&!t.initializer}function HG(t){return rV(t)&&Lu(t)&&Ce(t.declarationList.declarations,L4t)}function Lae(t,r){return t.kind!==12?Av(r.text,t.pos):void 0}function Pae(t,r){const a=t.kind===169||t.kind===168||t.kind===218||t.kind===219||t.kind===217||t.kind===260||t.kind===281?Js(qw(r,t.pos),Av(r,t.pos)):Av(r,t.pos);return $t(a,u=>u.end<=t.end&&r.charCodeAt(u.pos+1)===42&&r.charCodeAt(u.pos+2)===42&&r.charCodeAt(u.pos+3)!==47)}var P4t=/^\/\/\/\s*<reference\s+path\s*=\s*(?:'[^']*'|"[^"]*").*?\/>/,A4t=/^\/\/\/\s*<reference\s+types\s*=\s*(?:'[^']*'|"[^"]*").*?\/>/,O4t=/^\/\/\/\s*<reference\s+lib\s*=\s*(?:'[^']*'|"[^"]*").*?\/>/,M4t=/^\/\/\/\s*<amd-dependency\s+path\s*=\s*(?:'[^']*'|"[^"]*").*?\/>/,R4t=/^\/\/\/\s*<amd-module\s+(?:\S.*?)??\/>/,F4t=/^\/\/\/\s*<reference\s+no-default-lib\s*=\s*(?:'[^']*'|"[^"]*")\s*\/>/;function N1(t){if(182<=t.kind&&t.kind<=205)return!0;switch(t.kind){case 133:case 159:case 150:case 163:case 154:case 136:case 155:case 151:case 157:case 106:case 146:return!0;case 116:return t.parent.kind!==222;case 233:return VXe(t);case 168:return t.parent.kind===200||t.parent.kind===195;case 80:(t.parent.kind===166&&t.parent.right===t||t.parent.kind===211&&t.parent.name===t)&&(t=t.parent),j.assert(t.kind===80||t.kind===166||t.kind===211,"'node' was expected to be a qualified name, identifier or property access in 'isPartOfTypeNode'.");case 166:case 211:case 110:{const{parent:r}=t;if(r.kind===186)return!1;if(r.kind===205)return!r.isTypeOf;if(182<=r.kind&&r.kind<=205)return!0;switch(r.kind){case 233:return VXe(r);case 168:return t===r.constraint;case 345:return t===r.constraint;case 172:case 171:case 169:case 260:return t===r.type;case 262:case 218:case 219:case 176:case 174:case 173:case 177:case 178:return t===r.type;case 179:case 180:case 181:return t===r.type;case 216:return t===r.type;case 213:case 214:case 215:return Yt(r.typeArguments,t)}}}return!1}function VXe(t){return NX(t.parent)||KP(t.parent)||Tp(t.parent)&&!SK(t)}function uS(t,r){return a(t);function a(u){switch(u.kind){case 253:return r(u);case 269:case 241:case 245:case 246:case 247:case 248:case 249:case 250:case 254:case 255:case 296:case 297:case 256:case 258:case 299:return Uo(u,a)}}}function fTe(t,r){return a(t);function a(u){switch(u.kind){case 229:r(u);const h=u.expression;h&&a(h);return;case 266:case 264:case 267:case 265:return;default:if(Ho(u)){if(u.name&&u.name.kind===167){a(u.name.expression);return}}else N1(u)||Uo(u,a)}}}function Aae(t){return t&&t.kind===188?t.elementType:t&&t.kind===183?Bm(t.typeArguments):void 0}function hTe(t){switch(t.kind){case 264:case 263:case 231:case 187:return t.members;case 210:return t.properties}}function uP(t){if(t)switch(t.kind){case 208:case 306:case 169:case 303:case 172:case 171:case 304:case 260:return!0}return!1}function _Te(t){return uP(t)||Qw(t)}function E8(t){return t.parent.kind===261&&t.parent.parent.kind===243}function pTe(t){return nr(t)?Aa(t.parent)&&ur(t.parent.parent)&&Bu(t.parent.parent)===2||jG(t.parent):!1}function jG(t){return nr(t)?ur(t)&&Bu(t)===1:!1}function mTe(t){return(_s(t)?$6(t)&&ot(t.name)&&E8(t):Lo(t)?z8(t)&&bd(t):O_(t)&&z8(t))||jG(t)}function gTe(t){switch(t.kind){case 174:case 173:case 176:case 177:case 178:case 262:case 218:return!0}return!1}function Oae(t,r){for(;;){if(r&&r(t),t.statement.kind!==256)return t.statement;t=t.statement}}function WT(t){return t&&t.kind===241&&Ho(t.parent)}function Ig(t){return t&&t.kind===174&&t.parent.kind===210}function zG(t){return(t.kind===174||t.kind===177||t.kind===178)&&(t.parent.kind===210||t.parent.kind===231)}function yTe(t){return t&&t.kind===1}function vTe(t){return t&&t.kind===0}function G6(t,r,a,u){return O(t==null?void 0:t.properties,h=>{if(!_d(h))return;const p=D8(h.name);return r===p||u&&u===p?a(h):void 0})}function bTe(t,r,a){return G6(t,r,u=>jf(u.initializer)?Fe(u.initializer.elements,h=>Ha(h)&&h.text===a):void 0)}function I8(t){if(t&&t.statements.length){const r=t.statements[0].expression;return Jr(r,Aa)}}function UG(t,r,a){return sV(t,r,u=>jf(u.initializer)?Fe(u.initializer.elements,h=>Ha(h)&&h.text===a):void 0)}function sV(t,r,a){return G6(I8(t),r,a)}function Up(t){return Qi(t.parent,Ho)}function CTe(t){return Qi(t.parent,Qc)}function Cf(t){return Qi(t.parent,ss)}function STe(t){return Qi(t.parent,r=>ss(r)||Ho(r)?"quit":gu(r))}function qG(t){return Qi(t.parent,B6)}function $G(t){const r=Qi(t.parent,a=>ss(a)?"quit":Fd(a));return r&&ss(r.parent)?Cf(r.parent):Cf(r??t)}function Hh(t,r,a){for(j.assert(t.kind!==307);;){if(t=t.parent,!t)return j.fail();switch(t.kind){case 167:if(a&&ss(t.parent.parent))return t;t=t.parent.parent;break;case 170:t.parent.kind===169&&Od(t.parent.parent)?t=t.parent.parent:Od(t.parent)&&(t=t.parent);break;case 219:if(!r)continue;case 262:case 218:case 267:case 175:case 172:case 171:case 174:case 173:case 176:case 177:case 178:case 179:case 180:case 181:case 266:case 307:return t}}}function wTe(t){switch(t.kind){case 219:case 262:case 218:case 172:return!0;case 241:switch(t.parent.kind){case 176:case 174:case 177:case 178:return!0;default:return!1}default:return!1}}function JG(t){ot(t)&&(hd(t.parent)||Wu(t.parent))&&t.parent.name===t&&(t=t.parent);const r=Hh(t,!0,!1);return Os(r)}function xTe(t){const r=Hh(t,!1,!1);if(r)switch(r.kind){case 176:case 262:case 218:return r}}function oV(t,r){for(;;){if(t=t.parent,!t)return;switch(t.kind){case 167:t=t.parent;break;case 262:case 218:case 219:if(!r)continue;case 172:case 171:case 174:case 173:case 176:case 177:case 178:case 175:return t;case 170:t.parent.kind===169&&Od(t.parent.parent)?t=t.parent.parent:Od(t.parent)&&(t=t.parent);break}}}function VT(t){if(t.kind===218||t.kind===219){let r=t,a=t.parent;for(;a.kind===217;)r=a,a=a.parent;if(a.kind===213&&a.expression===r)return a}}function G_(t){const r=t.kind;return(r===211||r===212)&&t.expression.kind===108}function aV(t){const r=t.kind;return(r===211||r===212)&&t.expression.kind===110}function GG(t){var r;return!!t&&_s(t)&&((r=t.initializer)==null?void 0:r.kind)===110}function kTe(t){return!!t&&(lh(t)||_d(t))&&ur(t.parent.parent)&&t.parent.parent.operatorToken.kind===64&&t.parent.parent.right.kind===110}function cV(t){switch(t.kind){case 183:return t.typeName;case 233:return pl(t.expression)?t.expression:void 0;case 80:case 166:return t}}function KG(t){switch(t.kind){case 215:return t.tag;case 286:case 285:return t.tagName;case 226:return t.right;default:return t.expression}}function lV(t,r,a,u){if(t&&Bf(r)&&Vs(r.name))return!1;switch(r.kind){case 263:return!0;case 231:return!t;case 172:return a!==void 0&&(t?hd(a):ss(a)&&!KT(r)&&!fce(r));case 177:case 178:case 174:return r.body!==void 0&&a!==void 0&&(t?hd(a):ss(a));case 169:return t?a!==void 0&&a.body!==void 0&&(a.kind===176||a.kind===174||a.kind===178)&&$T(a)!==r&&u!==void 0&&u.kind===263:!1}return!1}function K6(t,r,a,u){return lm(r)&&lV(t,r,a,u)}function uV(t,r,a,u){return K6(t,r,a,u)||N8(t,r,a)}function N8(t,r,a){switch(r.kind){case 263:return Ft(r.members,u=>uV(t,u,r,a));case 231:return!t&&Ft(r.members,u=>uV(t,u,r,a));case 174:case 178:case 176:return Ft(r.parameters,u=>K6(t,u,r,a));default:return!1}}function dS(t,r){if(K6(t,r))return!0;const a=Vv(r);return!!a&&N8(t,a,r)}function Mae(t,r,a){let u;if(Qw(r)){const{firstAccessor:h,secondAccessor:p,setAccessor:g}=nx(a.members,r),C=lm(h)?h:p&&lm(p)?p:void 0;if(!C||r!==C)return!1;u=g==null?void 0:g.parameters}else Nu(r)&&(u=r.parameters);if(K6(t,r,a))return!0;if(u){for(const h of u)if(!JT(h)&&K6(t,h,r,a))return!0}return!1}function Rae(t){if(t.textSourceNode){switch(t.textSourceNode.kind){case 11:return Rae(t.textSourceNode);case 15:return t.text===""}return!1}return t.text===""}function X6(t){const{parent:r}=t;return r.kind===286||r.kind===285||r.kind===287?r.tagName===t:!1}function F0(t){switch(t.kind){case 108:case 106:case 112:case 97:case 14:case 209:case 210:case 211:case 212:case 213:case 214:case 215:case 234:case 216:case 238:case 235:case 217:case 218:case 231:case 219:case 222:case 220:case 221:case 224:case 225:case 226:case 227:case 230:case 228:case 232:case 284:case 285:case 288:case 229:case 223:case 236:return!0;case 233:return!Tp(t.parent)&&!KP(t.parent);case 166:for(;t.parent.kind===166;)t=t.parent;return t.parent.kind===186||rP(t.parent)||T7(t.parent)||a2(t.parent)||X6(t);case 311:for(;a2(t.parent);)t=t.parent;return t.parent.kind===186||rP(t.parent)||T7(t.parent)||a2(t.parent)||X6(t);case 81:return ur(t.parent)&&t.parent.left===t&&t.parent.operatorToken.kind===103;case 80:if(t.parent.kind===186||rP(t.parent)||T7(t.parent)||a2(t.parent)||X6(t))return!0;case 9:case 10:case 11:case 15:case 110:return XG(t);default:return!1}}function XG(t){const{parent:r}=t;switch(r.kind){case 260:case 169:case 172:case 171:case 306:case 303:case 208:return r.initializer===t;case 244:case 245:case 246:case 247:case 253:case 254:case 255:case 296:case 257:return r.expression===t;case 248:const a=r;return a.initializer===t&&a.initializer.kind!==261||a.condition===t||a.incrementor===t;case 249:case 250:const u=r;return u.initializer===t&&u.initializer.kind!==261||u.expression===t;case 216:case 234:return t===r.expression;case 239:return t===r.expression;case 167:return t===r.expression;case 170:case 294:case 293:case 305:return!0;case 233:return r.expression===t&&!N1(r);case 304:return r.objectAssignmentInitializer===t;case 238:return t===r.expression;default:return F0(r)}}function QG(t){for(;t.kind===166||t.kind===80;)t=t.parent;return t.kind===186}function TTe(t){return jy(t)&&!!t.parent.moduleSpecifier}function qk(t){return t.kind===271&&t.moduleReference.kind===283}function L8(t){return j.assert(qk(t)),t.moduleReference.expression}function Fae(t){return HT(t)&&u3(t.initializer).arguments[0]}function gI(t){return t.kind===271&&t.moduleReference.kind!==283}function Fy(t){return(t==null?void 0:t.kind)===307}function r_(t){return nr(t)}function nr(t){return!!t&&!!(t.flags&524288)}function ZG(t){return!!t&&!!(t.flags&134217728)}function YG(t){return!Eg(t)}function dV(t){return!!t&&!!(t.flags&16777216)}function eK(t){return qp(t)&&ot(t.typeName)&&t.typeName.escapedText==="Object"&&t.typeArguments&&t.typeArguments.length===2&&(t.typeArguments[0].kind===154||t.typeArguments[0].kind===150)}function L_(t,r){if(t.kind!==213)return!1;const{expression:a,arguments:u}=t;if(a.kind!==80||a.escapedText!=="require"||u.length!==1)return!1;const h=u[0];return!r||Bc(h)}function fV(t){return HXe(t,!1)}function HT(t){return HXe(t,!0)}function DTe(t){return ec(t)&&HT(t.parent.parent)}function HXe(t,r){return _s(t)&&!!t.initializer&&L_(r?u3(t.initializer):t.initializer,!0)}function hV(t){return Lu(t)&&t.declarationList.declarations.length>0&&Ce(t.declarationList.declarations,r=>fV(r))}function _V(t){return t===39||t===34}function tK(t,r){return RT(r,t).charCodeAt(0)===34}function P8(t){return ur(t)||Sl(t)||ot(t)||la(t)}function pV(t){return nr(t)&&t.initializer&&ur(t.initializer)&&(t.initializer.operatorToken.kind===57||t.initializer.operatorToken.kind===61)&&t.name&&pl(t.name)&&Q6(t.name,t.initializer.left)?t.initializer.right:t.initializer}function A8(t){const r=pV(t);return r&&$k(r,rx(t.name))}function B4t(t,r){return O(t.properties,a=>_d(a)&&ot(a.name)&&a.name.escapedText==="value"&&a.initializer&&$k(a.initializer,r))}function dP(t){if(t&&t.parent&&ur(t.parent)&&t.parent.operatorToken.kind===64){const r=rx(t.parent.left);return $k(t.parent.right,r)||W4t(t.parent.left,t.parent.right,r)}if(t&&la(t)&&vI(t)){const r=B4t(t.arguments[2],t.arguments[1].text==="prototype");if(r)return r}}function $k(t,r){if(la(t)){const a=Dc(t.expression);return a.kind===218||a.kind===219?t:void 0}if(t.kind===218||t.kind===231||t.kind===219||Aa(t)&&(t.properties.length===0||r))return t}function W4t(t,r,a){const u=ur(r)&&(r.operatorToken.kind===57||r.operatorToken.kind===61)&&$k(r.right,a);if(u&&Q6(t,r.left))return u}function ETe(t){const r=_s(t.parent)?t.parent.name:ur(t.parent)&&t.parent.operatorToken.kind===64?t.parent.left:void 0;return r&&$k(t.right,rx(r))&&pl(r)&&Q6(r,t.left)}function Bae(t){if(ur(t.parent)){const r=(t.parent.operatorToken.kind===57||t.parent.operatorToken.kind===61)&&ur(t.parent.parent)?t.parent.parent:t.parent;if(r.operatorToken.kind===64&&ot(r.left))return r.left}else if(_s(t.parent))return t.parent.name}function Q6(t,r){return P1(t)&&P1(r)?Lg(t)===Lg(r):Mv(t)&&ITe(r)&&(r.expression.kind===110||ot(r.expression)&&(r.expression.escapedText==="window"||r.expression.escapedText==="self"||r.expression.escapedText==="global"))?Q6(t,gV(r)):ITe(t)&&ITe(r)?$b(t)===$b(r)&&Q6(t.expression,r.expression):!1}function mV(t){for(;lf(t,!0);)t=t.right;return t}function yI(t){return ot(t)&&t.escapedText==="exports"}function Wae(t){return ot(t)&&t.escapedText==="module"}function Wv(t){return(Nr(t)||Vae(t))&&Wae(t.expression)&&$b(t)==="exports"}function Bu(t){const r=V4t(t);return r===5||nr(t)?r:0}function vI(t){return P(t.arguments)===3&&Nr(t.expression)&&ot(t.expression.expression)&&Fr(t.expression.expression)==="Object"&&Fr(t.expression.name)==="defineProperty"&&cm(t.arguments[1])&&bI(t.arguments[0],!0)}function ITe(t){return Nr(t)||Vae(t)}function Vae(t){return wl(t)&&cm(t.argumentExpression)}function jT(t,r){return Nr(t)&&(!r&&t.expression.kind===110||ot(t.name)&&bI(t.expression,!0))||iK(t,r)}function iK(t,r){return Vae(t)&&(!r&&t.expression.kind===110||pl(t.expression)||jT(t.expression,!0))}function bI(t,r){return pl(t)||jT(t,r)}function gV(t){return Nr(t)?t.name:t.argumentExpression}function V4t(t){if(la(t)){if(!vI(t))return 0;const r=t.arguments[0];return yI(r)||Wv(r)?8:jT(r)&&$b(r)==="prototype"?9:7}return t.operatorToken.kind!==64||!Sl(t.left)||H4t(mV(t))?0:bI(t.left.expression,!0)&&$b(t.left)==="prototype"&&Aa(Hae(t))?6:yV(t.left)}function H4t(t){return UP(t)&&A_(t.expression)&&t.expression.text==="0"}function nK(t){if(Nr(t))return t.name;const r=Dc(t.argumentExpression);return A_(r)||Bc(r)?r:t}function $b(t){const r=nK(t);if(r){if(ot(r))return r.escapedText;if(Bc(r)||A_(r))return cu(r.text)}}function yV(t){if(t.expression.kind===110)return 4;if(Wv(t))return 2;if(bI(t.expression,!0)){if(rx(t.expression))return 3;let r=t;for(;!ot(r.expression);)r=r.expression;const a=r.expression;if((a.escapedText==="exports"||a.escapedText==="module"&&$b(r)==="exports")&&jT(t))return 1;if(bI(t,!0)||wl(t)&&lK(t))return 5}return 0}function Hae(t){for(;ur(t.right);)t=t.right;return t.right}function vV(t){return ur(t)&&Bu(t)===3}function NTe(t){return nr(t)&&t.parent&&t.parent.kind===244&&(!wl(t)||Vae(t))&&!!Fk(t.parent)}function bV(t,r){const{valueDeclaration:a}=t;(!a||!(r.flags&33554432&&!nr(r)&&!(a.flags&33554432))&&P8(a)&&!P8(r)||a.kind!==r.kind&&D4t(a))&&(t.valueDeclaration=r)}function LTe(t){if(!t||!t.valueDeclaration)return!1;const r=t.valueDeclaration;return r.kind===262||_s(r)&&r.initializer&&Ho(r.initializer)}function PTe(t){switch(t==null?void 0:t.kind){case 260:case 208:case 272:case 278:case 271:case 273:case 280:case 274:case 281:case 276:case 205:return!0}return!1}function Z6(t){var r,a;switch(t.kind){case 260:case 208:return(r=Qi(t.initializer,u=>L_(u,!0)))==null?void 0:r.arguments[0];case 272:case 278:case 351:return Jr(t.moduleSpecifier,Bc);case 271:return Jr((a=Jr(t.moduleReference,eC))==null?void 0:a.expression,Bc);case 273:case 280:return Jr(t.parent.moduleSpecifier,Bc);case 274:case 281:return Jr(t.parent.parent.moduleSpecifier,Bc);case 276:return Jr(t.parent.parent.parent.moduleSpecifier,Bc);case 205:return lS(t)?t.argument.literal:void 0;default:j.assertNever(t)}}function O8(t){return CV(t)||j.failBadSyntaxKind(t.parent)}function CV(t){switch(t.parent.kind){case 272:case 278:case 351:return t.parent;case 283:return t.parent.parent;case 213:return zp(t.parent)||L_(t.parent,!1)?t.parent:void 0;case 201:return j.assert(Ha(t)),Jr(t.parent.parent,V1);default:return}}function fP(t){switch(t.kind){case 272:case 278:case 351:return t.moduleSpecifier;case 271:return t.moduleReference.kind===283?t.moduleReference.expression:void 0;case 205:return lS(t)?t.argument.literal:void 0;case 213:return t.arguments[0];case 267:return t.name.kind===11?t.name:void 0;default:return j.assertNever(t)}}function Y6(t){switch(t.kind){case 272:return t.importClause&&Jr(t.importClause.namedBindings,Jv);case 271:return t;case 278:return t.exportClause&&Jr(t.exportClause,jy);default:return j.assertNever(t)}}function hP(t){return(t.kind===272||t.kind===351)&&!!t.importClause&&!!t.importClause.name}function ATe(t,r){if(t.name){const a=r(t);if(a)return a}if(t.namedBindings){const a=Jv(t.namedBindings)?r(t.namedBindings):O(t.namedBindings.elements,r);if(a)return a}}function _P(t){if(t)switch(t.kind){case 169:case 174:case 173:case 304:case 303:case 172:case 171:return t.questionToken!==void 0}return!1}function e3(t){const r=T3(t)?Ra(t.parameters):void 0,a=Jr(r&&r.name,ot);return!!a&&a.escapedText==="new"}function Ng(t){return t.kind===346||t.kind===338||t.kind===340}function SV(t){return Ng(t)||Mg(t)}function j4t(t){return uf(t)&&ur(t.expression)&&t.expression.operatorToken.kind===64?mV(t.expression):void 0}function jXe(t){return uf(t)&&ur(t.expression)&&Bu(t.expression)!==0&&ur(t.expression.right)&&(t.expression.right.operatorToken.kind===57||t.expression.right.operatorToken.kind===61)?t.expression.right.right:void 0}function zXe(t){switch(t.kind){case 243:const r=pP(t);return r&&r.initializer;case 172:return t.initializer;case 303:return t.initializer}}function pP(t){return Lu(t)?Ra(t.declarationList.declarations):void 0}function UXe(t){return rd(t)&&t.body&&t.body.kind===267?t.body:void 0}function wV(t){if(t.kind>=243&&t.kind<=259)return!0;switch(t.kind){case 80:case 110:case 108:case 166:case 236:case 212:case 211:case 208:case 218:case 219:case 174:case 177:case 178:return!0;default:return!1}}function xV(t){switch(t.kind){case 219:case 226:case 241:case 252:case 179:case 296:case 263:case 231:case 175:case 176:case 185:case 180:case 251:case 259:case 246:case 212:case 242:case 1:case 266:case 306:case 277:case 278:case 281:case 244:case 249:case 250:case 248:case 262:case 218:case 184:case 177:case 80:case 245:case 272:case 271:case 181:case 264:case 317:case 323:case 256:case 174:case 173:case 267:case 202:case 270:case 210:case 169:case 217:case 211:case 303:case 172:case 171:case 253:case 240:case 178:case 304:case 305:case 255:case 257:case 258:case 265:case 168:case 260:case 243:case 247:case 254:return!0;default:return!1}}function jae(t,r){let a;uP(t)&&aS(t)&&jp(t.initializer)&&(a=Sr(a,qXe(t,t.initializer.jsDoc)));let u=t;for(;u&&u.parent;){if(jp(u)&&(a=Sr(a,qXe(t,u.jsDoc))),u.kind===169){a=Sr(a,(r?l2e:M6)(u));break}if(u.kind===168){a=Sr(a,(r?d2e:u2e)(u));break}u=zae(u)}return a||w}function qXe(t,r){const a=va(r);return Rr(r,u=>{if(u===a){const h=$t(u.tags,p=>z4t(t,p));return u.tags===h?[u]:h}else return $t(u.tags,D3)})}function z4t(t,r){return!(D7(r)||LX(r))||!r.parent||!z0(r.parent)||!c_(r.parent.parent)||r.parent.parent===t}function zae(t){const r=t.parent;if(r.kind===303||r.kind===277||r.kind===172||r.kind===244&&t.kind===211||r.kind===253||UXe(r)||lf(t))return r;if(r.parent&&(pP(r.parent)===t||lf(r)))return r.parent;if(r.parent&&r.parent.parent&&(pP(r.parent.parent)||zXe(r.parent.parent)===t||jXe(r.parent.parent)))return r.parent.parent}function kV(t){if(t.symbol)return t.symbol;if(!ot(t.name))return;const r=t.name.escapedText,a=Jk(t);if(!a)return;const u=Fe(a.parameters,h=>h.name.kind===80&&h.name.escapedText===r);return u&&u.symbol}function rK(t){if(z0(t.parent)&&t.parent.tags){const r=Fe(t.parent.tags,Ng);if(r)return r}return Jk(t)}function Uae(t){return uG(t,D3)}function Jk(t){const r=Gk(t);if(r)return O_(r)&&r.type&&Ho(r.type)?r.type:Ho(r)?r:void 0}function Gk(t){const r=zT(t);if(r)return jXe(r)||j4t(r)||zXe(r)||pP(r)||UXe(r)||r}function zT(t){const r=t3(t);if(!r)return;const a=r.parent;if(a&&a.jsDoc&&r===rl(a.jsDoc))return a}function t3(t){return Qi(t.parent,z0)}function OTe(t){const r=t.name.escapedText,{typeParameters:a}=t.parent.parent.parent;return a&&Fe(a,u=>u.name.escapedText===r)}function $Xe(t){return!!t.typeArguments}var MTe=(t=>(t[t.None=0]="None",t[t.Definite=1]="Definite",t[t.Compound=2]="Compound",t))(MTe||{});function RTe(t){let r=t.parent;for(;;){switch(r.kind){case 226:const a=r,u=a.operatorToken.kind;return Gb(u)&&a.left===t?a:void 0;case 224:case 225:const h=r,p=h.operator;return p===46||p===47?h:void 0;case 249:case 250:const g=r;return g.initializer===t?g:void 0;case 217:case 209:case 230:case 235:t=r;break;case 305:t=r.parent;break;case 304:if(r.name!==t)return;t=r.parent;break;case 303:if(r.name===t)return;t=r.parent;break;default:return}r=t.parent}}function Kk(t){const r=RTe(t);if(!r)return 0;switch(r.kind){case 226:const a=r.operatorToken.kind;return a===64||U8(a)?1:2;case 224:case 225:return 2;case 249:case 250:return 1}}function fS(t){return!!RTe(t)}function U4t(t){const r=Dc(t.right);return r.kind===226&&Ble(r.operatorToken.kind)}function qae(t){const r=RTe(t);return!!r&&lf(r,!0)&&U4t(r)}function FTe(t){switch(t.kind){case 241:case 243:case 254:case 245:case 255:case 269:case 296:case 297:case 256:case 248:case 249:case 250:case 246:case 247:case 258:case 299:return!0}return!1}function mP(t){return ml(t)||xl(t)||iP(t)||Wu(t)||iu(t)}function JXe(t,r){for(;t&&t.kind===r;)t=t.parent;return t}function TV(t){return JXe(t,196)}function By(t){return JXe(t,217)}function BTe(t){let r;for(;t&&t.kind===196;)r=t,t=t.parent;return[r,t]}function M8(t){for(;EI(t);)t=t.type;return t}function Dc(t,r){return Vu(t,r?-2147483647:1)}function $ae(t){return t.kind!==211&&t.kind!==212?!1:(t=By(t.parent),t&&t.kind===220)}function UT(t,r){for(;t;){if(t===r)return!0;t=t.parent}return!1}function Wy(t){return!Os(t)&&!ta(t)&&Wf(t.parent)&&t.parent.name===t}function R8(t){const r=t.parent;switch(t.kind){case 11:case 15:case 9:if(Ka(r))return r.parent;case 80:if(Wf(r))return r.name===t?r:void 0;if(o_(r)){const a=r.parent;return Qm(a)&&a.name===r?a:void 0}else{const a=r.parent;return ur(a)&&Bu(a)!==0&&(a.left.symbol||a.symbol)&&No(a)===t?a:void 0}case 81:return Wf(r)&&r.name===t?r:void 0;default:return}}function DV(t){return cm(t)&&t.parent.kind===167&&Wf(t.parent.parent)}function WTe(t){const r=t.parent;switch(r.kind){case 172:case 171:case 174:case 173:case 177:case 178:case 306:case 303:case 211:return r.name===t;case 166:return r.right===t;case 208:case 276:return r.propertyName===t;case 281:case 291:case 285:case 286:case 287:return!0}return!1}function Jae(t){switch(t.parent.kind){case 273:case 276:case 274:case 281:case 277:case 271:case 280:return t.parent;case 166:do t=t.parent;while(t.parent.kind===166);return Jae(t)}}function sK(t){return pl(t)||fd(t)}function EV(t){const r=Gae(t);return sK(r)}function Gae(t){return Il(t)?t.expression:t.right}function VTe(t){return t.kind===304?t.name:t.kind===303?t.initializer:t.parent.right}function L1(t){const r=qT(t);if(r&&nr(t)){const a=h2e(t);if(a)return a.class}return r}function qT(t){const r=IV(t.heritageClauses,96);return r&&r.types.length>0?r.types[0]:void 0}function i3(t){if(nr(t))return _2e(t).map(r=>r.class);{const r=IV(t.heritageClauses,119);return r==null?void 0:r.types}}function F8(t){return zf(t)?B8(t)||w:ss(t)&&Js(KL(L1(t)),i3(t))||w}function B8(t){const r=IV(t.heritageClauses,96);return r?r.types:void 0}function IV(t,r){if(t){for(const a of t)if(a.token===r)return a}}function Xk(t,r){for(;t;){if(t.kind===r)return t;t=t.parent}}function P_(t){return 83<=t&&t<=165}function Kae(t){return 19<=t&&t<=79}function oK(t){return P_(t)||Kae(t)}function aK(t){return 128<=t&&t<=165}function Xae(t){return P_(t)&&!aK(t)}function gP(t){const r=oI(t);return r!==void 0&&Xae(r)}function Qae(t){const r=aI(t);return!!r&&!aK(r)}function n3(t){return 2<=t&&t<=7}var HTe=(t=>(t[t.Normal=0]="Normal",t[t.Generator=1]="Generator",t[t.Async=2]="Async",t[t.Invalid=4]="Invalid",t[t.AsyncGenerator=3]="AsyncGenerator",t))(HTe||{});function nd(t){if(!t)return 4;let r=0;switch(t.kind){case 262:case 218:case 174:t.asteriskToken&&(r|=1);case 219:Zr(t,1024)&&(r|=2);break}return t.body||(r|=4),r}function W8(t){switch(t.kind){case 262:case 218:case 219:case 174:return t.body!==void 0&&t.asteriskToken===void 0&&Zr(t,1024)}return!1}function cm(t){return Bc(t)||A_(t)}function cK(t){return n2(t)&&(t.operator===40||t.operator===41)&&A_(t.operand)}function Jb(t){const r=No(t);return!!r&&lK(r)}function lK(t){if(!(t.kind===167||t.kind===212))return!1;const r=wl(t)?Dc(t.argumentExpression):t.expression;return!cm(r)&&!cK(r)}function CI(t){switch(t.kind){case 80:case 81:return t.escapedText;case 11:case 15:case 9:case 10:return cu(t.text);case 167:const r=t.expression;return cm(r)?cu(r.text):cK(r)?r.operator===41?xa(r.operator)+r.operand.text:r.operand.text:void 0;case 295:return LP(t);default:return j.assertNever(t)}}function P1(t){switch(t.kind){case 80:case 11:case 15:case 9:return!0;default:return!1}}function Lg(t){return Mv(t)?Fr(t):j0(t)?d7(t):t.text}function V8(t){return Mv(t)?t.escapedText:j0(t)?LP(t):cu(t.text)}function NV(t,r){return`__#${Ba(t)}@${r}`}function LV(t){return so(t.escapedName,"__@")}function jTe(t){return so(t.escapedName,"__#")}function q4t(t){return ot(t)?Fr(t)==="__proto__":Ha(t)&&t.text==="__proto__"}function uK(t,r){switch(t=Vu(t),t.kind){case 231:if(que(t))return!1;break;case 218:if(t.name)return!1;break;case 219:break;default:return!1}return typeof r=="function"?r(t):!0}function Zae(t){switch(t.kind){case 303:return!q4t(t.name);case 304:return!!t.objectAssignmentInitializer;case 260:return ot(t.name)&&!!t.initializer;case 169:return ot(t.name)&&!!t.initializer&&!t.dotDotDotToken;case 208:return ot(t.name)&&!!t.initializer&&!t.dotDotDotToken;case 172:return!!t.initializer;case 226:switch(t.operatorToken.kind){case 64:case 77:case 76:case 78:return ot(t.left)}break;case 277:return!0}return!1}function Sp(t,r){if(!Zae(t))return!1;switch(t.kind){case 303:return uK(t.initializer,r);case 304:return uK(t.objectAssignmentInitializer,r);case 260:case 169:case 208:case 172:return uK(t.initializer,r);case 226:return uK(t.right,r);case 277:return uK(t.expression,r)}}function Yae(t){return t.escapedText==="push"||t.escapedText==="unshift"}function Qk(t){return A1(t).kind===169}function A1(t){for(;t.kind===208;)t=t.parent.parent;return t}function ece(t){const r=t.kind;return r===176||r===218||r===262||r===219||r===174||r===177||r===178||r===267||r===307}function cl(t){return W0(t.pos)||W0(t.end)}var zTe=(t=>(t[t.Left=0]="Left",t[t.Right=1]="Right",t))(zTe||{});function tce(t){const r=GXe(t),a=t.kind===214&&t.arguments!==void 0;return ice(t.kind,r,a)}function ice(t,r,a){switch(t){case 214:return a?0:1;case 224:case 221:case 222:case 220:case 223:case 227:case 229:return 1;case 226:switch(r){case 43:case 64:case 65:case 66:case 68:case 67:case 69:case 70:case 71:case 72:case 73:case 74:case 79:case 75:case 76:case 77:case 78:return 1}}return 0}function H8(t){const r=GXe(t),a=t.kind===214&&t.arguments!==void 0;return PV(t.kind,r,a)}function GXe(t){return t.kind===226?t.operatorToken.kind:t.kind===224||t.kind===225?t.operator:t.kind}var UTe=(t=>(t[t.Comma=0]="Comma",t[t.Spread=1]="Spread",t[t.Yield=2]="Yield",t[t.Assignment=3]="Assignment",t[t.Conditional=4]="Conditional",t[t.Coalesce=4]="Coalesce",t[t.LogicalOR=5]="LogicalOR",t[t.LogicalAND=6]="LogicalAND",t[t.BitwiseOR=7]="BitwiseOR",t[t.BitwiseXOR=8]="BitwiseXOR",t[t.BitwiseAND=9]="BitwiseAND",t[t.Equality=10]="Equality",t[t.Relational=11]="Relational",t[t.Shift=12]="Shift",t[t.Additive=13]="Additive",t[t.Multiplicative=14]="Multiplicative",t[t.Exponentiation=15]="Exponentiation",t[t.Unary=16]="Unary",t[t.Update=17]="Update",t[t.LeftHandSide=18]="LeftHandSide",t[t.Member=19]="Member",t[t.Primary=20]="Primary",t[t.Highest=20]="Highest",t[t.Lowest=0]="Lowest",t[t.Invalid=-1]="Invalid",t))(UTe||{});function PV(t,r,a){switch(t){case 355:return 0;case 230:return 1;case 229:return 2;case 227:return 4;case 226:switch(r){case 28:return 0;case 64:case 65:case 66:case 68:case 67:case 69:case 70:case 71:case 72:case 73:case 74:case 79:case 75:case 76:case 77:case 78:return 3;default:return AV(r)}case 216:case 235:case 224:case 221:case 222:case 220:case 223:return 16;case 225:return 17;case 213:return 18;case 214:return a?19:18;case 215:case 211:case 212:case 236:return 19;case 234:case 238:return 11;case 110:case 108:case 80:case 81:case 106:case 112:case 97:case 9:case 10:case 11:case 209:case 210:case 218:case 219:case 231:case 14:case 15:case 228:case 217:case 232:case 284:case 285:case 288:return 20;default:return-1}}function AV(t){switch(t){case 61:return 4;case 57:return 5;case 56:return 6;case 52:return 7;case 53:return 8;case 51:return 9;case 35:case 36:case 37:case 38:return 10;case 30:case 32:case 33:case 34:case 104:case 103:case 130:case 152:return 11;case 48:case 49:case 50:return 12;case 40:case 41:return 13;case 42:case 44:case 45:return 14;case 43:return 15}return-1}function r3(t){return $t(t,r=>{switch(r.kind){case 294:return!!r.expression;case 12:return!r.containsOnlyTriviaWhiteSpaces;default:return!0}})}function OV(){let t=[];const r=[],a=new Map;let u=!1;return{add:p,lookup:h,getGlobalDiagnostics:g,getDiagnostics:C};function h(b){let T;if(b.file?T=a.get(b.file.fileName):T=t,!T)return;const E=Jt(T,b,hl,DDe);if(E>=0)return T[E];if(~E>0&&AK(b,T[~E-1]))return T[~E-1]}function p(b){let T;b.file?(T=a.get(b.file.fileName),T||(T=[],a.set(b.file.fileName,T),rm(r,b.file.fileName,sh))):(u&&(u=!1,t=t.slice()),T=t),rm(T,b,DDe,AK)}function g(){return u=!0,t}function C(b){if(b)return a.get(b)||[];const T=na(r,E=>a.get(E));return t.length&&T.unshift(...t),T}}var $4t=/\$\{/g;function nce(t){return t.replace($4t,"\\${")}function qTe(t){return!!((t.templateFlags||0)&2048)}function rce(t){return t&&!!(TI(t)?qTe(t):qTe(t.head)||Ft(t.templateSpans,r=>qTe(r.literal)))}var J4t=/[\\"\u0000-\u001f\u2028\u2029\u0085]/g,G4t=/[\\'\u0000-\u001f\u2028\u2029\u0085]/g,K4t=/\r\n|[\\`\u0000-\u001f\u2028\u2029\u0085]/g,X4t=new Map(Object.entries({" ":"\\t","\v":"\\v","\f":"\\f","\b":"\\b","\r":"\\r","\n":"\\n","\\":"\\\\",'"':'\\"',"'":"\\'","`":"\\`","\u2028":"\\u2028","\u2029":"\\u2029","…":"\\u0085","\r\n":"\\r\\n"}));function KXe(t){return"\\u"+("0000"+t.toString(16).toUpperCase()).slice(-4)}function Q4t(t,r,a){if(t.charCodeAt(0)===0){const u=a.charCodeAt(r+t.length);return u>=48&&u<=57?"\\x00":"\\0"}return X4t.get(t)||KXe(t.charCodeAt(0))}function Vy(t,r){const a=r===96?K4t:r===39?G4t:J4t;return t.replace(a,Q4t)}var XXe=/[^\u0000-\u007F]/g;function dK(t,r){return t=Vy(t,r),XXe.test(t)?t.replace(XXe,a=>KXe(a.charCodeAt(0))):t}var Z4t=/["\u0000-\u001f\u2028\u2029\u0085]/g,Y4t=/['\u0000-\u001f\u2028\u2029\u0085]/g,e6t=new Map(Object.entries({'"':"&quot;","'":"&apos;"}));function t6t(t){return"&#x"+t.toString(16).toUpperCase()+";"}function i6t(t){return t.charCodeAt(0)===0?"&#0;":e6t.get(t)||t6t(t.charCodeAt(0))}function sce(t,r){const a=r===39?Y4t:Z4t;return t.replace(a,i6t)}function zm(t){const r=t.length;return r>=2&&t.charCodeAt(0)===t.charCodeAt(r-1)&&n6t(t.charCodeAt(0))?t.substring(1,r-1):t}function n6t(t){return t===39||t===34||t===96}function s3(t){const r=t.charCodeAt(0);return r>=97&&r<=122||t.includes("-")}var MV=[""," "];function fK(t){const r=MV[1];for(let a=MV.length;a<=t;a++)MV.push(MV[a-1]+r);return MV[t]}function RV(){return MV[1].length}function FV(t){var r,a,u,h,p,g=!1;function C(U){const q=ZL(U);q.length>1?(h=h+q.length-1,p=r.length-U.length+va(q),u=p-r.length===0):u=!1}function b(U){U&&U.length&&(u&&(U=fK(a)+U,u=!1),r+=U,C(U))}function T(U){U&&(g=!1),b(U)}function E(U){U&&(g=!0),b(U)}function N(){r="",a=0,u=!0,h=0,p=0,g=!1}function R(U){U!==void 0&&(r+=U,C(U),g=!1)}function F(U){U&&U.length&&T(U)}function H(U){(!u||U)&&(r+=t,h++,p=r.length,u=!0,g=!1)}return N(),{write:T,rawWrite:R,writeLiteral:F,writeLine:H,increaseIndent:()=>{a++},decreaseIndent:()=>{a--},getIndent:()=>a,getTextPos:()=>r.length,getLine:()=>h,getColumn:()=>u?a*RV():r.length-p,getText:()=>r,isAtStartOfLine:()=>u,hasTrailingComment:()=>g,hasTrailingWhitespace:()=>!!r.length&&Pv(r.charCodeAt(r.length-1)),clear:N,writeKeyword:T,writeOperator:T,writeParameter:T,writeProperty:T,writePunctuation:T,writeSpace:T,writeStringLiteral:T,writeSymbol:(U,q)=>T(U),writeTrailingSemicolon:T,writeComment:E}}function oce(t){let r=!1;function a(){r&&(t.writeTrailingSemicolon(";"),r=!1)}return{...t,writeTrailingSemicolon(){r=!0},writeLiteral(u){a(),t.writeLiteral(u)},writeStringLiteral(u){a(),t.writeStringLiteral(u)},writeSymbol(u,h){a(),t.writeSymbol(u,h)},writePunctuation(u){a(),t.writePunctuation(u)},writeKeyword(u){a(),t.writeKeyword(u)},writeOperator(u){a(),t.writeOperator(u)},writeParameter(u){a(),t.writeParameter(u)},writeSpace(u){a(),t.writeSpace(u)},writeProperty(u){a(),t.writeProperty(u)},writeComment(u){a(),t.writeComment(u)},writeLine(){a(),t.writeLine()},increaseIndent(){a(),t.increaseIndent()},decreaseIndent(){a(),t.decreaseIndent()}}}function yP(t){return t.useCaseSensitiveFileNames?t.useCaseSensitiveFileNames():!1}function hS(t){return Mf(yP(t))}function ace(t,r,a){return r.moduleName||cce(t,r.fileName,a&&a.fileName)}function QXe(t,r){return t.getCanonicalFileName(wo(r,t.getCurrentDirectory()))}function $Te(t,r,a){const u=r.getExternalModuleFileFromDeclaration(a);if(!u||u.isDeclarationFile)return;const h=fP(a);if(!(h&&Bc(h)&&!am(h.text)&&!QXe(t,u.path).includes(QXe(t,Ad(t.getCommonSourceDirectory())))))return ace(t,u)}function cce(t,r,a){const u=b=>t.getCanonicalFileName(b),h=ol(a?ts(a):t.getCommonSourceDirectory(),t.getCurrentDirectory(),u),p=wo(r,t.getCurrentDirectory()),g=QL(h,p,h,u,!1),C=s_(g);return a?sI(C):C}function JTe(t,r,a){const u=r.getCompilerOptions();let h;return u.outDir?h=s_(gK(t,r,u.outDir)):h=s_(t),h+a}function GTe(t,r){return hK(t,r.getCompilerOptions(),r)}function hK(t,r,a){const u=r.declarationDir||r.outDir,h=u?XTe(t,u,a.getCurrentDirectory(),a.getCommonSourceDirectory(),g=>a.getCanonicalFileName(g)):t,p=_K(h);return s_(h)+p}function _K(t){return Ru(t,[".mjs",".mts"])?".d.mts":Ru(t,[".cjs",".cts"])?".d.cts":Ru(t,[".json"])?".d.json.ts":".d.ts"}function KTe(t){return Ru(t,[".d.mts",".mjs",".mts"])?[".mts",".mjs"]:Ru(t,[".d.cts",".cjs",".cts"])?[".cts",".cjs"]:Ru(t,[".d.json.ts"])?[".json"]:[".tsx",".ts",".jsx",".js"]}function pK(t,r){var a;if(t.paths)return t.baseUrl??j.checkDefined(t.pathsBasePath||((a=r.getCurrentDirectory)==null?void 0:a.call(r)),"Encountered 'paths' without a 'baseUrl', config file, or host 'getCurrentDirectory'.")}function mK(t,r,a){const u=t.getCompilerOptions();if(u.outFile){const h=zh(u),p=u.emitDeclarationOnly||h===2||h===4;return $t(t.getSourceFiles(),g=>(p||!wd(g))&&SI(g,t,a))}else{const h=r===void 0?t.getSourceFiles():[r];return $t(h,p=>SI(p,t,a))}}function SI(t,r,a){const u=r.getCompilerOptions();if(u.noEmitForJsFiles&&r_(t)||t.isDeclarationFile||r.isSourceFileFromExternalLibrary(t))return!1;if(a)return!0;if(r.isSourceOfProjectReferenceRedirect(t.fileName))return!1;if(!Eg(t))return!0;if(r.getResolvedProjectReferenceToRedirect(t.fileName))return!1;if(u.outFile)return!0;if(!u.outDir)return!1;if(u.rootDir||u.composite&&u.configFilePath){const h=wo(X7(u,()=>[],r.getCurrentDirectory(),r.getCanonicalFileName),r.getCurrentDirectory()),p=XTe(t.fileName,u.outDir,r.getCurrentDirectory(),h,r.getCanonicalFileName);if(Hb(t.fileName,p,r.getCurrentDirectory(),!r.useCaseSensitiveFileNames())===0)return!1}return!0}function gK(t,r,a){return XTe(t,a,r.getCurrentDirectory(),r.getCommonSourceDirectory(),u=>r.getCanonicalFileName(u))}function XTe(t,r,a,u,h){let p=wo(t,a);return p=h(p).indexOf(h(u))===0?p.substring(u.length):p,zr(r,p)}function yK(t,r,a,u,h,p,g){t.writeFile(a,u,h,C=>{r.add(Zl(k.Could_not_write_file_0_Colon_1,a,C))},p,g)}function ZXe(t,r,a){if(t.length>Ly(t)&&!a(t)){const u=ts(t);ZXe(u,r,a),r(t)}}function lce(t,r,a,u,h,p){try{u(t,r,a)}catch{ZXe(ts(ca(t)),h,p),u(t,r,a)}}function j8(t,r){const a=Lv(t);return a8(a,r)}function o3(t,r){return a8(t,r)}function Vv(t){return Fe(t.members,r=>iu(r)&&Dg(r.body))}function a3(t){if(t&&t.parameters.length>0){const r=t.parameters.length===2&&JT(t.parameters[0]);return t.parameters[r?1:0]}}function QTe(t){const r=a3(t);return r&&r.type}function $T(t){if(t.parameters.length&&!tC(t)){const r=t.parameters[0];if(JT(r))return r}}function JT(t){return ix(t.name)}function ix(t){return!!t&&t.kind===80&&uce(t)}function vP(t){return!!Qi(t,r=>r.kind===186?!0:r.kind===80||r.kind===166?!1:"quit")}function GT(t){if(!ix(t))return!1;for(;o_(t.parent)&&t.parent.left===t;)t=t.parent;return t.parent.kind===186}function uce(t){return t.escapedText==="this"}function nx(t,r){let a,u,h,p;return Jb(r)?(a=r,r.kind===177?h=r:r.kind===178?p=r:j.fail("Accessor has wrong kind")):O(t,g=>{if(Qw(g)&&pa(g)===pa(r)){const C=CI(g.name),b=CI(r.name);C===b&&(a?u||(u=g):a=g,g.kind===177&&!h&&(h=g),g.kind===178&&!p&&(p=g))}}),{firstAccessor:a,secondAccessor:u,getAccessor:h,setAccessor:p}}function dd(t){if(!nr(t)&&Wu(t)||Mg(t))return;const r=t.type;return r||!nr(t)?r:g8(t)?t.typeExpression&&t.typeExpression.type:Bk(t)}function ZTe(t){return t.type}function wp(t){return tC(t)?t.type&&t.type.typeExpression&&t.type.typeExpression.type:t.type||(nr(t)?MW(t):void 0)}function vK(t){return Rr(Wk(t),r=>r6t(r)?r.typeParameters:void 0)}function r6t(t){return Rg(t)&&!(t.parent.kind===320&&(t.parent.tags.some(Ng)||t.parent.tags.some(D3)))}function YTe(t){const r=a3(t);return r&&dd(r)}function s6t(t,r,a,u){o6t(t,r,a.pos,u)}function o6t(t,r,a,u){u&&u.length&&a!==u[0].pos&&o3(t,a)!==o3(t,u[0].pos)&&r.writeLine()}function eDe(t,r,a,u){a!==u&&o3(t,a)!==o3(t,u)&&r.writeLine()}function a6t(t,r,a,u,h,p,g,C){if(u&&u.length>0){let b=!1;for(const T of u)b&&(a.writeSpace(" "),b=!1),C(t,r,a,T.pos,T.end,g),T.hasTrailingNewLine?a.writeLine():b=!0;b&&p&&a.writeSpace(" ")}}function tDe(t,r,a,u,h,p,g){let C,b;if(g?h.pos===0&&(C=$t(Av(t,h.pos),T)):C=Av(t,h.pos),C){const E=[];let N;for(const R of C){if(N){const F=o3(r,N.end);if(o3(r,R.pos)>=F+2)break}E.push(R),N=R}if(E.length){const R=o3(r,va(E).end);o3(r,Va(t,h.pos))>=R+2&&(s6t(r,a,h,C),a6t(t,r,a,E,!1,!0,p,u),b={nodePos:h.pos,detachedCommentEndPos:va(E).end})}}return b;function T(E){return AG(t,E.pos)}}function c3(t,r,a,u,h,p){if(t.charCodeAt(u+1)===42){const g=P6(r,u),C=r.length;let b;for(let T=u,E=g.line;T<h;E++){const N=E+1===C?t.length+1:r[E+1];if(T!==u){b===void 0&&(b=YXe(t,r[g.line],u));const F=a.getIndent()*RV()-b+YXe(t,T,N);if(F>0){let H=F%RV();const U=fK((F-H)/RV());for(a.rawWrite(U);H;)a.rawWrite(" "),H--}else a.rawWrite("")}c6t(t,h,a,p,T,N),T=N}}else a.writeComment(t.substring(u,h))}function c6t(t,r,a,u,h,p){const g=Math.min(r,p-1),C=t.substring(h,g).trim();C?(a.writeComment(C),g!==r&&a.writeLine()):a.rawWrite(u)}function YXe(t,r,a){let u=0;for(;r<a&&k1(t.charCodeAt(r));r++)t.charCodeAt(r)===9?u+=RV()-u%RV():u++;return u}function dce(t){return jh(t)!==0}function iDe(t){return _S(t)!==0}function xp(t,r){return!!bP(t,r)}function Zr(t,r){return!!nDe(t,r)}function pa(t){return Od(t)&&bd(t)||gu(t)}function bd(t){return Zr(t,256)}function bK(t){return xp(t,16)}function KT(t){return Zr(t,64)}function fce(t){return Zr(t,128)}function O1(t){return Zr(t,512)}function z8(t){return xp(t,8)}function lm(t){return Zr(t,32768)}function bP(t,r){return jh(t)&r}function nDe(t,r){return _S(t)&r}function rDe(t,r,a){return t.kind>=0&&t.kind<=165?0:(t.modifierFlagsCache&536870912||(t.modifierFlagsCache=hce(t)|536870912),a||r&&nr(t)?(!(t.modifierFlagsCache&268435456)&&t.parent&&(t.modifierFlagsCache|=eQe(t)|268435456),tQe(t.modifierFlagsCache)):l6t(t.modifierFlagsCache))}function jh(t){return rDe(t,!0)}function sDe(t){return rDe(t,!0,!0)}function _S(t){return rDe(t,!1)}function eQe(t){let r=0;return t.parent&&!$s(t)&&(nr(t)&&(p2e(t)&&(r|=8388608),m2e(t)&&(r|=16777216),g2e(t)&&(r|=33554432),y2e(t)&&(r|=67108864),v2e(t)&&(r|=134217728)),b2e(t)&&(r|=65536)),r}function l6t(t){return t&65535}function tQe(t){return t&131071|(t&260046848)>>>23}function u6t(t){return tQe(eQe(t))}function oDe(t){return hce(t)|u6t(t)}function hce(t){let r=Fg(t)?M1(t.modifiers):0;return(t.flags&8||t.kind===80&&t.flags&4096)&&(r|=32),r}function M1(t){let r=0;if(t)for(const a of t)r|=CP(a.kind);return r}function CP(t){switch(t){case 126:return 256;case 125:return 1;case 124:return 4;case 123:return 2;case 128:return 64;case 129:return 512;case 95:return 32;case 138:return 128;case 87:return 4096;case 90:return 2048;case 134:return 1024;case 148:return 8;case 164:return 16;case 103:return 8192;case 147:return 16384;case 170:return 32768}return 0}function BV(t){return t===57||t===56}function aDe(t){return BV(t)||t===54}function U8(t){return t===76||t===77||t===78}function _ce(t){return ur(t)&&U8(t.operatorToken.kind)}function CK(t){return BV(t)||t===61}function WV(t){return ur(t)&&CK(t.operatorToken.kind)}function Gb(t){return t>=64&&t<=79}function pce(t){const r=mce(t);return r&&!r.isImplements?r.class:void 0}function mce(t){if(Yb(t)){if(Tp(t.parent)&&ss(t.parent.parent))return{class:t.parent.parent,isImplements:t.parent.token===119};if(KP(t.parent)){const r=Gk(t.parent);if(r&&ss(r))return{class:r,isImplements:!1}}}}function lf(t,r){return ur(t)&&(r?t.operatorToken.kind===64:Gb(t.operatorToken.kind))&&N_(t.left)}function pS(t){if(lf(t,!0)){const r=t.left.kind;return r===210||r===209}return!1}function SK(t){return pce(t)!==void 0}function pl(t){return t.kind===80||HV(t)}function K_(t){switch(t.kind){case 80:return t;case 166:do t=t.left;while(t.kind!==80);return t;case 211:do t=t.expression;while(t.kind!==80);return t}}function VV(t){return t.kind===80||t.kind===110||t.kind===108||t.kind===236||t.kind===211&&VV(t.expression)||t.kind===217&&VV(t.expression)}function HV(t){return Nr(t)&&ot(t.name)&&pl(t.expression)}function jV(t){if(Nr(t)){const r=jV(t.expression);if(r!==void 0)return r+"."+bp(t.name)}else if(wl(t)){const r=jV(t.expression);if(r!==void 0&&id(t.argumentExpression))return r+"."+CI(t.argumentExpression)}else{if(ot(t))return Ws(t.escapedText);if(j0(t))return d7(t)}}function rx(t){return jT(t)&&$b(t)==="prototype"}function q8(t){return t.parent.kind===166&&t.parent.right===t||t.parent.kind===211&&t.parent.name===t||t.parent.kind===236&&t.parent.name===t}function gce(t){return!!t.parent&&(Nr(t.parent)&&t.parent.name===t||wl(t.parent)&&t.parent.argumentExpression===t)}function cDe(t){return o_(t.parent)&&t.parent.right===t||Nr(t.parent)&&t.parent.name===t||a2(t.parent)&&t.parent.right===t}function wK(t){return ur(t)&&t.operatorToken.kind===104}function lDe(t){return wK(t.parent)&&t===t.parent.right}function yce(t){return t.kind===210&&t.properties.length===0}function uDe(t){return t.kind===209&&t.elements.length===0}function $8(t){if(!(!d6t(t)||!t.declarations)){for(const r of t.declarations)if(r.localSymbol)return r.localSymbol}}function d6t(t){return t&&P(t.declarations)>0&&Zr(t.declarations[0],2048)}function xK(t){return Fe(W6t,r=>Xl(t,r))}function f6t(t){const r=[],a=t.length;for(let u=0;u<a;u++){const h=t.charCodeAt(u);h<128?r.push(h):h<2048?(r.push(h>>6|192),r.push(h&63|128)):h<65536?(r.push(h>>12|224),r.push(h>>6&63|128),r.push(h&63|128)):h<131072?(r.push(h>>18|240),r.push(h>>12&63|128),r.push(h>>6&63|128),r.push(h&63|128)):j.assert(!1,"Unexpected code point")}return r}var SP="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=";function dDe(t){let r="";const a=f6t(t);let u=0;const h=a.length;let p,g,C,b;for(;u<h;)p=a[u]>>2,g=(a[u]&3)<<4|a[u+1]>>4,C=(a[u+1]&15)<<2|a[u+2]>>6,b=a[u+2]&63,u+1>=h?C=b=64:u+2>=h&&(b=64),r+=SP.charAt(p)+SP.charAt(g)+SP.charAt(C)+SP.charAt(b),u+=3;return r}function h6t(t){let r="",a=0;const u=t.length;for(;a<u;){const h=t[a];if(h<128)r+=String.fromCharCode(h),a++;else if((h&192)===192){let p=h&63;a++;let g=t[a];for(;(g&192)===128;)p=p<<6|g&63,a++,g=t[a];r+=String.fromCharCode(p)}else r+=String.fromCharCode(h),a++}return r}function fDe(t,r){return t&&t.base64encode?t.base64encode(r):dDe(r)}function hDe(t,r){if(t&&t.base64decode)return t.base64decode(r);const a=r.length,u=[];let h=0;for(;h<a&&r.charCodeAt(h)!==SP.charCodeAt(64);){const p=SP.indexOf(r[h]),g=SP.indexOf(r[h+1]),C=SP.indexOf(r[h+2]),b=SP.indexOf(r[h+3]),T=(p&63)<<2|g>>4&3,E=(g&15)<<4|C>>2&15,N=(C&3)<<6|b&63;E===0&&C!==0?u.push(T):N===0&&b!==0?u.push(T,E):u.push(T,E,N),h+=4}return h6t(u)}function vce(t,r){const a=Oo(r)?r:r.readFile(t);if(!a)return;const u=tue(t,a);return u.error?void 0:u.config}function J8(t,r){return vce(t,r)||{}}function kK(t){try{return JSON.parse(t)}catch{return}}function B0(t,r){return!r.directoryExists||r.directoryExists(t)}var _6t=`\r
+`,p6t=`
+`;function mS(t){switch(t.newLine){case 0:return _6t;case 1:case void 0:return p6t}}function Um(t,r=t){return j.assert(r>=t||r===-1),{pos:t,end:r}}function TK(t,r){return Um(t.pos,r)}function Zk(t,r){return Um(r,t.end)}function Kb(t){const r=Fg(t)?rt(t.modifiers,Fd):void 0;return r&&!W0(r.end)?Zk(t,r.end):t}function R1(t){if(Lo(t)||Nu(t))return Zk(t,t.name.pos);const r=Fg(t)?rl(t.modifiers):void 0;return r&&!W0(r.end)?Zk(t,r.end):Kb(t)}function bce(t,r){return Um(t,t+xa(r).length)}function wI(t,r){return pDe(t,t,r)}function DK(t,r,a){return qm(G8(t,a,!1),G8(r,a,!1),a)}function _De(t,r,a){return qm(t.end,r.end,a)}function pDe(t,r,a){return qm(G8(t,a,!1),r.end,a)}function zV(t,r,a){return qm(t.end,G8(r,a,!1),a)}function Cce(t,r,a,u){const h=G8(r,a,u);return c8(a,t.end,h)}function iQe(t,r,a){return c8(a,t.end,r.end)}function mDe(t,r){return!qm(t.pos,t.end,r)}function qm(t,r,a){return c8(a,t,r)===0}function G8(t,r,a){return W0(t.pos)?-1:Va(r.text,t.pos,!1,a)}function gDe(t,r,a,u){const h=Va(a.text,t,!1,u),p=m6t(h,r,a);return c8(a,p??r,h)}function yDe(t,r,a,u){const h=Va(a.text,t,!1,u);return c8(a,t,Math.min(r,h))}function m6t(t,r=0,a){for(;t-- >r;)if(!Pv(a.text.charCodeAt(t)))return t}function Sce(t){const r=Mo(t);if(r)switch(r.parent.kind){case 266:case 267:return r===r.parent.name}return!1}function K8(t){return $t(t.declarations,UV)}function UV(t){return _s(t)&&t.initializer!==void 0}function wce(t){return t.watch&&Li(t,"watch")}function d0(t){t.close()}function Iu(t){return t.flags&33554432?t.links.checkFlags:0}function $m(t,r=!1){if(t.valueDeclaration){const a=r&&t.declarations&&Fe(t.declarations,kp)||t.flags&32768&&Fe(t.declarations,um)||t.valueDeclaration,u=Rk(a);return t.parent&&t.parent.flags&32?u:u&-8}if(Iu(t)&6){const a=t.links.checkFlags,u=a&1024?2:a&256?1:4,h=a&2048?256:0;return u|h}return t.flags&4194304?257:0}function Vf(t,r){return t.flags&2097152?r.getAliasedSymbol(t):t}function l3(t){return t.exportSymbol?t.exportSymbol.flags|t.flags:t.flags}function EK(t){return X8(t)===1}function wP(t){return X8(t)!==0}function X8(t){const{parent:r}=t;switch(r==null?void 0:r.kind){case 217:return X8(r);case 225:case 224:const{operator:a}=r;return a===46||a===47?2:0;case 226:const{left:u,operatorToken:h}=r;return u===t&&Gb(h.kind)?h.kind===64?1:2:0;case 211:return r.name!==t?0:X8(r);case 303:{const p=X8(r.parent);return t===r.name?g6t(p):p}case 304:return t===r.objectAssignmentInitializer?0:X8(r.parent);case 209:return X8(r);default:return 0}}function g6t(t){switch(t){case 0:return 1;case 1:return 0;case 2:return 2;default:return j.assertNever(t)}}function xce(t,r){if(!t||!r||Object.keys(t).length!==Object.keys(r).length)return!1;for(const a in t)if(typeof t[a]=="object"){if(!xce(t[a],r[a]))return!1}else if(typeof t[a]!="function"&&t[a]!==r[a])return!1;return!0}function X_(t,r){t.forEach(r),t.clear()}function Hv(t,r,a){const{onDeleteValue:u,onExistingValue:h}=a;t.forEach((p,g)=>{var C;r!=null&&r.has(g)?h&&h(p,(C=r.get)==null?void 0:C.call(r,g),g):(t.delete(g),u(p,g))})}function Q8(t,r,a){Hv(t,r,a);const{createNewValue:u}=a;r==null||r.forEach((h,p)=>{t.has(p)||t.set(p,u(p,h))})}function vDe(t){if(t.flags&32){const r=Xb(t);return!!r&&Zr(r,64)}return!1}function Xb(t){var r;return(r=t.declarations)==null?void 0:r.find(ss)}function Or(t){return t.flags&3899393?t.objectFlags:0}function IK(t){return!!t&&!!t.declarations&&!!t.declarations[0]&&pH(t.declarations[0])}function bDe({moduleSpecifier:t}){return Ha(t)?t.text:uu(t)}function kce(t){let r;return Uo(t,a=>{Dg(a)&&(r=a)},a=>{for(let u=a.length-1;u>=0;u--)if(Dg(a[u])){r=a[u];break}}),r}function Pg(t,r,a=!0){return t.has(r)?!1:(t.set(r,a),!0)}function xP(t){return ss(t)||zf(t)||a_(t)}function Tce(t){return t>=182&&t<=205||t===133||t===159||t===150||t===163||t===151||t===136||t===154||t===155||t===116||t===157||t===146||t===141||t===233||t===312||t===313||t===314||t===315||t===316||t===317||t===318}function Sl(t){return t.kind===211||t.kind===212}function Dce(t){return t.kind===211?t.name:(j.assert(t.kind===212),t.argumentExpression)}function NK(t){return t.kind===275||t.kind===279}function u3(t){for(;Sl(t);)t=t.expression;return t}function CDe(t,r){if(Sl(t.parent)&&gce(t))return a(t.parent);function a(u){if(u.kind===211){const h=r(u.name);if(h!==void 0)return h}else if(u.kind===212)if(ot(u.argumentExpression)||Bc(u.argumentExpression)){const h=r(u.argumentExpression);if(h!==void 0)return h}else return;if(Sl(u.expression))return a(u.expression);if(ot(u.expression))return r(u.expression)}}function d3(t,r){for(;;){switch(t.kind){case 225:t=t.operand;continue;case 226:t=t.left;continue;case 227:t=t.condition;continue;case 215:t=t.tag;continue;case 213:if(r)return t;case 234:case 212:case 211:case 235:case 354:case 238:t=t.expression;continue}return t}}function y6t(t,r){this.flags=t,this.escapedName=r,this.declarations=void 0,this.valueDeclaration=void 0,this.id=0,this.mergeId=0,this.parent=void 0,this.members=void 0,this.exports=void 0,this.exportSymbol=void 0,this.constEnumOnlyModule=void 0,this.isReferenced=void 0,this.lastAssignmentPos=void 0,this.links=void 0}function v6t(t,r){this.flags=r,(j.isDebugging||Zn)&&(this.checker=t)}function b6t(t,r){this.flags=r,j.isDebugging&&(this.checker=t)}function SDe(t,r,a){this.pos=r,this.end=a,this.kind=t,this.id=0,this.flags=0,this.modifierFlagsCache=0,this.transformFlags=0,this.parent=void 0,this.original=void 0,this.emitNode=void 0}function C6t(t,r,a){this.pos=r,this.end=a,this.kind=t,this.id=0,this.flags=0,this.transformFlags=0,this.parent=void 0,this.emitNode=void 0}function S6t(t,r,a){this.pos=r,this.end=a,this.kind=t,this.id=0,this.flags=0,this.transformFlags=0,this.parent=void 0,this.original=void 0,this.emitNode=void 0}function w6t(t,r,a){this.fileName=t,this.text=r,this.skipTrivia=a||(u=>u)}var Hf={getNodeConstructor:()=>SDe,getTokenConstructor:()=>C6t,getIdentifierConstructor:()=>S6t,getPrivateIdentifierConstructor:()=>SDe,getSourceFileConstructor:()=>SDe,getSymbolConstructor:()=>y6t,getTypeConstructor:()=>v6t,getSignatureConstructor:()=>b6t,getSourceMapSourceConstructor:()=>w6t},nQe=[];function rQe(t){nQe.push(t),t(Hf)}function wDe(t){Object.assign(Hf,t),O(nQe,r=>r(Hf))}function jv(t,r){return t.replace(/\{(\d+)\}/g,(a,u)=>""+j.checkDefined(r[+u]))}var LK;function xDe(t){LK=t}function kDe(t){!LK&&t&&(LK=t())}function Bo(t){return LK&&LK[t.key]||t.message}function kP(t,r,a,u,h,...p){a+u>r.length&&(u=r.length-a),oTe(r,a,u);let g=Bo(h);return Ft(p)&&(g=jv(g,p)),{file:void 0,start:a,length:u,messageText:g,category:h.category,code:h.code,reportsUnnecessary:h.reportsUnnecessary,fileName:t}}function x6t(t){return t.file===void 0&&t.start!==void 0&&t.length!==void 0&&typeof t.fileName=="string"}function sQe(t,r){const a=r.fileName||"",u=r.text.length;j.assertEqual(t.fileName,a),j.assertLessThanOrEqual(t.start,u),j.assertLessThanOrEqual(t.start+t.length,u);const h={file:r,start:t.start,length:t.length,messageText:t.messageText,category:t.category,code:t.code,reportsUnnecessary:t.reportsUnnecessary};if(t.relatedInformation){h.relatedInformation=[];for(const p of t.relatedInformation)x6t(p)&&p.fileName===a?(j.assertLessThanOrEqual(p.start,u),j.assertLessThanOrEqual(p.start+p.length,u),h.relatedInformation.push(sQe(p,r))):h.relatedInformation.push(p)}return h}function TP(t,r){const a=[];for(const u of t)a.push(sQe(u,r));return a}function Md(t,r,a,u,...h){oTe(t.text,r,a);let p=Bo(u);return Ft(h)&&(p=jv(p,h)),{file:t,start:r,length:a,messageText:p,category:u.category,code:u.code,reportsUnnecessary:u.reportsUnnecessary,reportsDeprecated:u.reportsDeprecated}}function DP(t,...r){let a=Bo(t);return Ft(r)&&(a=jv(a,r)),a}function Zl(t,...r){let a=Bo(t);return Ft(r)&&(a=jv(a,r)),{file:void 0,start:void 0,length:void 0,messageText:a,category:t.category,code:t.code,reportsUnnecessary:t.reportsUnnecessary,reportsDeprecated:t.reportsDeprecated}}function PK(t,r){return{file:void 0,start:void 0,length:void 0,code:t.code,category:t.category,messageText:t.next?t:t.messageText,relatedInformation:r}}function jo(t,r,...a){let u=Bo(r);return Ft(a)&&(u=jv(u,a)),{messageText:u,category:r.category,code:r.code,next:t===void 0||Array.isArray(t)?t:[t]}}function TDe(t,r){let a=t;for(;a.next;)a=a.next[0];a.next=[r]}function Ece(t){return t.file?t.file.path:void 0}function Z8(t,r){return DDe(t,r)||k6t(t,r)||0}function DDe(t,r){const a=Ice(t),u=Ice(r);return sh(Ece(t),Ece(r))||_l(t.start,r.start)||_l(t.length,r.length)||_l(a,u)||T6t(t,r)||0}function k6t(t,r){return!t.relatedInformation&&!r.relatedInformation?0:t.relatedInformation&&r.relatedInformation?_l(r.relatedInformation.length,t.relatedInformation.length)||O(t.relatedInformation,(a,u)=>{const h=r.relatedInformation[u];return Z8(a,h)})||0:t.relatedInformation?-1:1}function T6t(t,r){let a=Nce(t),u=Nce(r);typeof a!="string"&&(a=a.messageText),typeof u!="string"&&(u=u.messageText);const h=typeof t.messageText!="string"?t.messageText.next:void 0,p=typeof r.messageText!="string"?r.messageText.next:void 0;let g=sh(a,u);return g||(g=D6t(h,p),g)?g:t.canonicalHead&&!r.canonicalHead?-1:r.canonicalHead&&!t.canonicalHead?1:0}function D6t(t,r){return t===void 0&&r===void 0?0:t===void 0?1:r===void 0?-1:oQe(t,r)||aQe(t,r)}function oQe(t,r){if(t===void 0&&r===void 0)return 0;if(t===void 0)return 1;if(r===void 0)return-1;let a=_l(r.length,t.length);if(a)return a;for(let u=0;u<r.length;u++)if(a=oQe(t[u].next,r[u].next),a)return a;return 0}function aQe(t,r){let a;for(let u=0;u<r.length;u++){if(a=sh(t[u].messageText,r[u].messageText),a)return a;if(t[u].next!==void 0&&(a=aQe(t[u].next,r[u].next),a))return a}return 0}function AK(t,r){const a=Ice(t),u=Ice(r),h=Nce(t),p=Nce(r);return sh(Ece(t),Ece(r))===0&&_l(t.start,r.start)===0&&_l(t.length,r.length)===0&&_l(a,u)===0&&E6t(h,p)}function Ice(t){var r;return((r=t.canonicalHead)==null?void 0:r.code)||t.code}function Nce(t){var r;return((r=t.canonicalHead)==null?void 0:r.messageText)||t.messageText}function E6t(t,r){const a=typeof t=="string"?t:t.messageText,u=typeof r=="string"?r:r.messageText;return sh(a,u)===0}function qV(t){return t===4||t===2||t===1||t===6?1:0}function cQe(t){if(t.transformFlags&2)return oh(t)||aD(t)?t:Uo(t,cQe)}function I6t(t){return t.isDeclarationFile?void 0:cQe(t)}function N6t(t,r){return(jI(t,r)===99||Ru(t.fileName,[".cjs",".cts",".mjs",".mts"]))&&!t.isDeclarationFile?!0:void 0}function $V(t){switch(EDe(t)){case 3:return h=>{h.externalModuleIndicator=kH(h)||!h.isDeclarationFile||void 0};case 1:return h=>{h.externalModuleIndicator=kH(h)};case 2:const r=[kH];(t.jsx===4||t.jsx===5)&&r.push(I6t),r.push(N6t);const a=om(...r);return h=>void(h.externalModuleIndicator=a(h,t))}}function Lce(t){const r=yh(t);return 3<=r&&r<=99||JV(t)||OK(t)}function J8i(t){return t}var Cd={target:{dependencies:["module"],computeValue:t=>(t.target===0?void 0:t.target)??(t.module===100&&9||t.module===199&&99||1)},module:{dependencies:["target"],computeValue:t=>typeof t.module=="number"?t.module:Cd.target.computeValue(t)>=2?5:1},moduleResolution:{dependencies:["module","target"],computeValue:t=>{let r=t.moduleResolution;if(r===void 0)switch(Cd.module.computeValue(t)){case 1:r=2;break;case 100:r=3;break;case 199:r=99;break;case 200:r=100;break;default:r=1;break}return r}},moduleDetection:{dependencies:["module","target"],computeValue:t=>t.moduleDetection||(Cd.module.computeValue(t)===100||Cd.module.computeValue(t)===199?3:2)},isolatedModules:{dependencies:["verbatimModuleSyntax"],computeValue:t=>!!(t.isolatedModules||t.verbatimModuleSyntax)},esModuleInterop:{dependencies:["module","target"],computeValue:t=>{if(t.esModuleInterop!==void 0)return t.esModuleInterop;switch(Cd.module.computeValue(t)){case 100:case 199:case 200:return!0}return!1}},allowSyntheticDefaultImports:{dependencies:["module","target","moduleResolution"],computeValue:t=>t.allowSyntheticDefaultImports!==void 0?t.allowSyntheticDefaultImports:Cd.esModuleInterop.computeValue(t)||Cd.module.computeValue(t)===4||Cd.moduleResolution.computeValue(t)===100},resolvePackageJsonExports:{dependencies:["moduleResolution"],computeValue:t=>{const r=Cd.moduleResolution.computeValue(t);if(!f3(r))return!1;if(t.resolvePackageJsonExports!==void 0)return t.resolvePackageJsonExports;switch(r){case 3:case 99:case 100:return!0}return!1}},resolvePackageJsonImports:{dependencies:["moduleResolution","resolvePackageJsonExports"],computeValue:t=>{const r=Cd.moduleResolution.computeValue(t);if(!f3(r))return!1;if(t.resolvePackageJsonExports!==void 0)return t.resolvePackageJsonExports;switch(r){case 3:case 99:case 100:return!0}return!1}},resolveJsonModule:{dependencies:["moduleResolution","module","target"],computeValue:t=>t.resolveJsonModule!==void 0?t.resolveJsonModule:Cd.moduleResolution.computeValue(t)===100},declaration:{dependencies:["composite"],computeValue:t=>!!(t.declaration||t.composite)},preserveConstEnums:{dependencies:["isolatedModules","verbatimModuleSyntax"],computeValue:t=>!!(t.preserveConstEnums||Cd.isolatedModules.computeValue(t))},incremental:{dependencies:["composite"],computeValue:t=>!!(t.incremental||t.composite)},declarationMap:{dependencies:["declaration","composite"],computeValue:t=>!!(t.declarationMap&&Cd.declaration.computeValue(t))},allowJs:{dependencies:["checkJs"],computeValue:t=>t.allowJs===void 0?!!t.checkJs:t.allowJs},useDefineForClassFields:{dependencies:["target","module"],computeValue:t=>t.useDefineForClassFields===void 0?Cd.target.computeValue(t)>=9:t.useDefineForClassFields},noImplicitAny:{dependencies:["strict"],computeValue:t=>ah(t,"noImplicitAny")},noImplicitThis:{dependencies:["strict"],computeValue:t=>ah(t,"noImplicitThis")},strictNullChecks:{dependencies:["strict"],computeValue:t=>ah(t,"strictNullChecks")},strictFunctionTypes:{dependencies:["strict"],computeValue:t=>ah(t,"strictFunctionTypes")},strictBindCallApply:{dependencies:["strict"],computeValue:t=>ah(t,"strictBindCallApply")},strictPropertyInitialization:{dependencies:["strict"],computeValue:t=>ah(t,"strictPropertyInitialization")},strictBuiltinIteratorReturn:{dependencies:["strict"],computeValue:t=>ah(t,"strictBuiltinIteratorReturn")},alwaysStrict:{dependencies:["strict"],computeValue:t=>ah(t,"alwaysStrict")},useUnknownInCatchVariables:{dependencies:["strict"],computeValue:t=>ah(t,"useUnknownInCatchVariables")}},Ja=Cd.target.computeValue,zh=Cd.module.computeValue,yh=Cd.moduleResolution.computeValue,EDe=Cd.moduleDetection.computeValue,Ag=Cd.isolatedModules.computeValue,zv=Cd.esModuleInterop.computeValue,EP=Cd.allowSyntheticDefaultImports.computeValue,JV=Cd.resolvePackageJsonExports.computeValue,OK=Cd.resolvePackageJsonImports.computeValue,XT=Cd.resolveJsonModule.computeValue,Q_=Cd.declaration.computeValue,sx=Cd.preserveConstEnums.computeValue,QT=Cd.incremental.computeValue,MK=Cd.declarationMap.computeValue,ox=Cd.allowJs.computeValue,GV=Cd.useDefineForClassFields.computeValue;function KV(t){return t>=5&&t<=99}function RK(t){switch(zh(t)){case 0:case 4:case 3:return!1}return!0}function IDe(t){return t.allowUnreachableCode===!1}function NDe(t){return t.allowUnusedLabels===!1}function f3(t){return t>=3&&t<=99||t===100}function ah(t,r){return t[r]===void 0?!!t.strict:!!t[r]}function FK(t){return cf(Gle.type,(r,a)=>r===t?a:void 0)}function Pce(t){return t.useDefineForClassFields!==!1&&Ja(t)>=9}function LDe(t,r){return j6(r,t,DIe)}function PDe(t,r){return j6(r,t,EIe)}function ADe(t,r){return j6(r,t,IIe)}function BK(t,r){return r.strictFlag?ah(t,r.name):r.allowJsFlag?ox(t):t[r.name]}function WK(t){const r=t.jsx;return r===2||r===4||r===5}function VK(t,r){const a=r==null?void 0:r.pragmas.get("jsximportsource"),u=Ao(a)?a[a.length-1]:a,h=r==null?void 0:r.pragmas.get("jsxruntime"),p=Ao(h)?h[h.length-1]:h;if((p==null?void 0:p.arguments.factory)!=="classic")return t.jsx===4||t.jsx===5||t.jsxImportSource||u||(p==null?void 0:p.arguments.factory)==="automatic"?(u==null?void 0:u.arguments.factory)||t.jsxImportSource||"react":void 0}function HK(t,r){return t?`${t}/${r.jsx===5?"jsx-dev-runtime":"jsx-runtime"}`:void 0}function Ace(t){let r=!1;for(let a=0;a<t.length;a++)if(t.charCodeAt(a)===42)if(!r)r=!0;else return!1;return!0}function Oce(t,r){let a,u,h,p=!1;return{getSymlinkedFiles:()=>h,getSymlinkedDirectories:()=>a,getSymlinkedDirectoriesByRealpath:()=>u,setSymlinkedFile:(b,T)=>(h||(h=new Map)).set(b,T),setSymlinkedDirectory:(b,T)=>{let E=ol(b,t,r);a7(E)||(E=Ad(E),T!==!1&&!(a!=null&&a.has(E))&&(u||(u=Of())).add(T.realPath,b),(a||(a=new Map)).set(E,T))},setSymlinksFromResolutions(b,T,E){j.assert(!p),p=!0,b(N=>C(this,N.resolvedModule)),T(N=>C(this,N.resolvedTypeReferenceDirective)),E.forEach(N=>C(this,N.resolvedTypeReferenceDirective))},hasProcessedResolutions:()=>p,setSymlinksFromResolution(b){C(this,b)},hasAnySymlinks:g};function g(){return!!(h!=null&&h.size)||!!a&&!!cf(a,b=>!!b)}function C(b,T){if(!T||!T.originalPath||!T.resolvedFileName)return;const{resolvedFileName:E,originalPath:N}=T;b.setSymlinkedFile(ol(N,t,r),E);const[R,F]=L6t(E,N,t,r)||w;R&&F&&b.setSymlinkedDirectory(F,{real:Ad(R),realPath:Ad(ol(R,t,r))})}}function L6t(t,r,a,u){const h=af(wo(t,a)),p=af(wo(r,a));let g=!1;for(;h.length>=2&&p.length>=2&&!lQe(h[h.length-2],u)&&!lQe(p[p.length-2],u)&&u(h[h.length-1])===u(p[p.length-1]);)h.pop(),p.pop(),g=!0;return g?[sS(h),sS(p)]:void 0}function lQe(t,r){return t!==void 0&&(r(t)==="node_modules"||so(t,"@"))}function P6t(t){return Ioe(t.charCodeAt(0))?t.slice(1):void 0}function Mce(t,r,a){const u=noe(t,r,a);return u===void 0?void 0:P6t(u)}var ODe=/[^\w\s/]/g;function uQe(t){return t.replace(ODe,A6t)}function A6t(t){return"\\"+t}var O6t=[42,63],M6t=["node_modules","bower_components","jspm_packages"],MDe=`(?!(${M6t.join("|")})(/|$))`,dQe={singleAsteriskRegexFragment:"([^./]|(\\.(?!min\\.js$))?)*",doubleAsteriskRegexFragment:`(/${MDe}[^/.][^/]*)*?`,replaceWildcardCharacter:t=>BDe(t,dQe.singleAsteriskRegexFragment)},fQe={singleAsteriskRegexFragment:"[^/]*",doubleAsteriskRegexFragment:`(/${MDe}[^/.][^/]*)*?`,replaceWildcardCharacter:t=>BDe(t,fQe.singleAsteriskRegexFragment)},hQe={singleAsteriskRegexFragment:"[^/]*",doubleAsteriskRegexFragment:"(/.+?)?",replaceWildcardCharacter:t=>BDe(t,hQe.singleAsteriskRegexFragment)},RDe={files:dQe,directories:fQe,exclude:hQe};function Y8(t,r,a){const u=jK(t,r,a);return!u||!u.length?void 0:`^(${u.map(g=>`(${g})`).join("|")})${a==="exclude"?"($|/)":"$"}`}function jK(t,r,a){if(!(t===void 0||t.length===0))return Rr(t,u=>u&&zK(u,r,a,RDe[a]))}function Rce(t){return!/[.*?]/.test(t)}function FDe(t,r,a){const u=t&&zK(t,r,a,RDe[a]);return u&&`^(${u})${a==="exclude"?"($|/)":"$"}`}function zK(t,r,a,{singleAsteriskRegexFragment:u,doubleAsteriskRegexFragment:h,replaceWildcardCharacter:p}=RDe[a]){let g="",C=!1;const b=SW(t,r),T=va(b);if(a!=="exclude"&&T==="**")return;b[0]=Mk(b[0]),Rce(T)&&b.push("**","*");let E=0;for(let N of b){if(N==="**")g+=h;else if(a==="directories"&&(g+="(",E++),C&&(g+=Kl),a!=="exclude"){let R="";N.charCodeAt(0)===42?(R+="([^./]"+u+")?",N=N.substr(1)):N.charCodeAt(0)===63&&(R+="[^./]",N=N.substr(1)),R+=N.replace(ODe,p),R!==N&&(g+=MDe),g+=R}else g+=N.replace(ODe,p);C=!0}for(;E>0;)g+=")?",E--;return g}function BDe(t,r){return t==="*"?r:t==="?"?"[^/]":"\\"+t}function UK(t,r,a,u,h){t=ca(t),h=ca(h);const p=zr(h,t);return{includeFilePatterns:qt(jK(a,p,"files"),g=>`^${g}$`),includeFilePattern:Y8(a,p,"files"),includeDirectoryPattern:Y8(a,p,"directories"),excludePattern:Y8(r,p,"exclude"),basePaths:R6t(t,a,u)}}function ax(t,r){return new RegExp(t,r?"":"i")}function Fce(t,r,a,u,h,p,g,C,b){t=ca(t),p=ca(p);const T=UK(t,a,u,h,p),E=T.includeFilePatterns&&T.includeFilePatterns.map(X=>ax(X,h)),N=T.includeDirectoryPattern&&ax(T.includeDirectoryPattern,h),R=T.excludePattern&&ax(T.excludePattern,h),F=E?E.map(()=>[]):[[]],H=new Map,U=Mf(h);for(const X of T.basePaths)q(X,zr(p,X),g);return Dr(F);function q(X,Z,Q){const re=U(b(Z));if(H.has(re))return;H.set(re,!0);const{files:oe,directories:pe}=C(X);for(const de of Mu(oe,sh)){const ue=zr(X,de),Ie=zr(Z,de);if(!(r&&!Ru(ue,r))&&!(R&&R.test(Ie)))if(!E)F[0].push(ue);else{const we=ct(E,he=>he.test(Ie));we!==-1&&F[we].push(ue)}}if(!(Q!==void 0&&(Q--,Q===0)))for(const de of Mu(pe,sh)){const ue=zr(X,de),Ie=zr(Z,de);(!N||N.test(Ie))&&(!R||!R.test(Ie))&&q(ue,Ie,Q)}}}function R6t(t,r,a){const u=[t];if(r){const h=[];for(const p of r){const g=yp(p)?p:ca(zr(t,p));h.push(F6t(g))}h.sort(E6(!a));for(const p of h)Ce(u,g=>!Vm(g,p,t,!a))&&u.push(p)}return u}function F6t(t){const r=Bi(t,O6t);return r<0?N6(t)?Mk(ts(t)):t:t.substring(0,t.lastIndexOf(Kl,r))}function qK(t,r){return r||$K(t)||3}function $K(t){switch(t.substr(t.lastIndexOf(".")).toLowerCase()){case".js":case".cjs":case".mjs":return 1;case".jsx":return 2;case".ts":case".cts":case".mts":return 3;case".tsx":return 4;case".json":return 6;default:return 0}}var JK=[[".ts",".tsx",".d.ts"],[".cts",".d.cts"],[".mts",".d.mts"]],Bce=Dr(JK),B6t=[...JK,[".json"]],W6t=[".d.ts",".d.cts",".d.mts",".cts",".mts",".ts",".tsx"],V6t=[[".js",".jsx"],[".mjs"],[".cjs"]],h3=Dr(V6t),Wce=[[".ts",".tsx",".d.ts",".js",".jsx"],[".cts",".d.cts",".cjs"],[".mts",".d.mts",".mjs"]],H6t=[...Wce,[".json"]],GK=[".d.ts",".d.cts",".d.mts"],XV=[".ts",".cts",".mts",".tsx"],KK=[".mts",".d.mts",".mjs",".cts",".d.cts",".cjs"];function e7(t,r){const a=t&&ox(t);if(!r||r.length===0)return a?Wce:JK;const u=a?Wce:JK,h=Dr(u);return[...u,...es(r,g=>g.scriptKind===7||a&&j6t(g.scriptKind)&&!h.includes(g.extension)?[g.extension]:void 0)]}function QV(t,r){return!t||!XT(t)?r:r===Wce?H6t:r===JK?B6t:[...r,[".json"]]}function j6t(t){return t===1||t===2}function Uv(t){return Ft(h3,r=>Xl(t,r))}function IP(t){return Ft(Bce,r=>Xl(t,r))}function WDe(t){return Ft(XV,r=>Xl(t,r))&&!df(t)}var VDe=(t=>(t[t.Minimal=0]="Minimal",t[t.Index=1]="Index",t[t.JsExtension=2]="JsExtension",t[t.TsExtension=3]="TsExtension",t))(VDe||{});function z6t({imports:t},r=om(Uv,IP)){return J(t,({text:a})=>am(a)&&!Ru(a,KK)?r(a):void 0)||!1}function HDe(t,r,a,u){const h=yh(a),p=3<=h&&h<=99;if(t==="js"||r===99&&p)return W3(a)&&g()!==2?3:2;if(t==="minimal")return 0;if(t==="index")return 1;if(!W3(a))return u&&z6t(u)?2:0;return g();function g(){let C=!1;const b=u!=null&&u.imports.length?u.imports:u&&r_(u)?U6t(u).map(T=>T.arguments[0]):w;for(const T of b)if(am(T.text)){if(p&&r===1&&pde(u,T,a)===99||Ru(T.text,KK))continue;if(IP(T.text))return 3;Uv(T.text)&&(C=!0)}return C?2:0}}function U6t(t){let r=0,a;for(const u of t.statements){if(r>3)break;hV(u)?a=Js(a,u.declarationList.declarations.map(h=>h.initializer)):uf(u)&&L_(u.expression,!0)?a=fn(a,u.expression):r++}return a||w}function jDe(t,r,a){if(!t)return!1;const u=e7(r,a);for(const h of Dr(QV(r,u)))if(Xl(t,h))return!0;return!1}function _Qe(t){const r=t.match(/\//g);return r?r.length:0}function ZV(t,r){return _l(_Qe(t),_Qe(r))}var zDe=[".d.ts",".d.mts",".d.cts",".mjs",".mts",".cjs",".cts",".ts",".js",".tsx",".jsx",".json"];function s_(t){for(const r of zDe){const a=UDe(t,r);if(a!==void 0)return a}return t}function UDe(t,r){return Xl(t,r)?YV(t,r):void 0}function YV(t,r){return t.substring(0,t.length-r.length)}function cx(t,r){return wW(t,r,zDe,!1)}function _3(t){const r=t.indexOf("*");return r===-1?t:t.indexOf("*",r+1)!==-1?void 0:{prefix:t.substr(0,r),suffix:t.substr(r+1)}}function XK(t){return es(wr(t),r=>_3(r))}function W0(t){return!(t>=0)}function QK(t){return t===".ts"||t===".tsx"||t===".d.ts"||t===".cts"||t===".mts"||t===".d.mts"||t===".d.cts"||so(t,".d.")&&su(t,".ts")}function t7(t){return QK(t)||t===".json"}function i7(t){const r=qv(t);return r!==void 0?r:j.fail(`File ${t} has unknown extension.`)}function pQe(t){return qv(t)!==void 0}function qv(t){return Fe(zDe,r=>Xl(t,r))}function n7(t,r){return t.checkJsDirective?t.checkJsDirective.enabled:r.checkJs}var Vce={files:w,directories:w};function Hce(t,r){const a=[];for(const u of t){if(u===r)return r;Oo(u)||a.push(u)}return ioe(a,u=>u,r)}function jce(t,r){const a=t.indexOf(r);return j.assert(a!==-1),t.slice(a)}function da(t,...r){return r.length&&(t.relatedInformation||(t.relatedInformation=[]),j.assert(t.relatedInformation!==w,"Diagnostic had empty array singleton for related info, but is still being constructed!"),t.relatedInformation.push(...r)),t}function qDe(t,r){j.assert(t.length!==0);let a=r(t[0]),u=a;for(let h=1;h<t.length;h++){const p=r(t[h]);p<a?a=p:p>u&&(u=p)}return{min:a,max:u}}function zce(t){return{pos:ex(t),end:t.end}}function Uce(t,r){const a=r.pos-1,u=Math.min(t.text.length,Va(t.text,r.end)+1);return{pos:a,end:u}}function p3(t,r,a){return mQe(t,r,a,!1)}function $De(t,r,a){return mQe(t,r,a,!0)}function mQe(t,r,a,u){return r.skipLibCheck&&t.isDeclarationFile||r.skipDefaultLibCheck&&t.hasNoDefaultLib||!u&&r.noCheck||a.isSourceOfProjectReferenceRedirect(t.fileName)||!r7(t,r)}function r7(t,r){if(t.checkJsDirective&&t.checkJsDirective.enabled===!1)return!1;if(t.scriptKind===3||t.scriptKind===4||t.scriptKind===5)return!0;const u=(t.scriptKind===1||t.scriptKind===2)&&n7(t,r);return k8(t,r.checkJs)||u||t.scriptKind===7}function ZK(t,r){return t===r||typeof t=="object"&&t!==null&&typeof r=="object"&&r!==null&&Af(t,r,ZK)}function s7(t){let r;switch(t.charCodeAt(1)){case 98:case 66:r=1;break;case 111:case 79:r=3;break;case 120:case 88:r=4;break;default:const T=t.length-1;let E=0;for(;t.charCodeAt(E)===48;)E++;return t.slice(E,T)||"0"}const a=2,u=t.length-1,h=(u-a)*r,p=new Uint16Array((h>>>4)+(h&15?1:0));for(let T=u-1,E=0;T>=a;T--,E+=r){const N=E>>>4,R=t.charCodeAt(T),H=(R<=57?R-48:10+R-(R<=70?65:97))<<(E&15);p[N]|=H;const U=H>>>16;U&&(p[N+1]|=U)}let g="",C=p.length-1,b=!0;for(;b;){let T=0;b=!1;for(let E=C;E>=0;E--){const N=T<<16|p[E],R=N/10|0;p[E]=R,T=N-R*10,R&&!b&&(C=E,b=!0)}g=T+g}return g}function ZT({negative:t,base10Value:r}){return(t&&r!=="0"?"-":"")+r}function JDe(t){if(YK(t,!1))return qce(t)}function qce(t){const r=t.startsWith("-"),a=s7(`${r?t.slice(1):t}n`);return{negative:r,base10Value:a}}function YK(t,r){if(t==="")return!1;const a=Ov(99,!1);let u=!0;a.setOnError(()=>u=!1),a.setText(t+"n");let h=a.scan();const p=h===41;p&&(h=a.scan());const g=a.getTokenFlags();return u&&h===10&&a.getTokenEnd()===t.length+1&&!(g&512)&&(!r||t===ZT({negative:p,base10Value:s7(a.getTokenValue())}))}function Yk(t){return!!(t.flags&33554432)||QG(t)||J6t(t)||$6t(t)||!(F0(t)||q6t(t))}function q6t(t){return ot(t)&&lh(t.parent)&&t.parent.name===t}function $6t(t){for(;t.kind===80||t.kind===211;)t=t.parent;if(t.kind!==167)return!1;if(Zr(t.parent,64))return!0;const r=t.parent.parent.kind;return r===264||r===187}function J6t(t){if(t.kind!==80)return!1;const r=Qi(t.parent,a=>{switch(a.kind){case 298:return!0;case 211:case 233:return!1;default:return"quit"}});return(r==null?void 0:r.token)===119||(r==null?void 0:r.parent.kind)===264}function GDe(t){return qp(t)&&ot(t.typeName)}function KDe(t,r=Hw){if(t.length<2)return!0;const a=t[0];for(let u=1,h=t.length;u<h;u++){const p=t[u];if(!r(a,p))return!1}return!0}function o7(t,r){return t.pos=r,t}function m3(t,r){return t.end=r,t}function V0(t,r,a){return m3(o7(t,r),a)}function $ce(t,r,a){return V0(t,r,r+a)}function XDe(t,r){return t&&(t.flags=r),t}function _c(t,r){return t&&r&&(t.parent=r),t}function Qb(t,r){if(!t)return t;return QP(t,W6(t)?a:h),t;function a(p,g){if(r&&p.parent===g)return"skip";_c(p,g)}function u(p){if(jp(p))for(const g of p.jsDoc)a(g,p),QP(g,a)}function h(p,g){return a(p,g)||u(p)}}function G6t(t){return!Bd(t)}function Jce(t){return jf(t)&&Ce(t.elements,G6t)}function QDe(t){for(j.assertIsDefined(t.parent);;){const r=t.parent;if(c_(r)){t=r;continue}if(uf(r)||UP(r)||s2(r)&&(r.initializer===t||r.incrementor===t))return!0;if(C7(r)){if(t!==va(r.elements))return!0;t=r;continue}if(ur(r)&&r.operatorToken.kind===28){if(t===r.left)return!0;t=r;continue}return!1}}function a7(t){return Ft(XJ,r=>t.includes(r))}function ZDe(t){if(!t.parent)return;switch(t.kind){case 168:const{parent:a}=t;return a.kind===195?void 0:a.typeParameters;case 169:return t.parent.parameters;case 204:return t.parent.templateSpans;case 239:return t.parent.templateSpans;case 170:{const{parent:u}=t;return uD(u)?u.modifiers:void 0}case 298:return t.parent.heritageClauses}const{parent:r}=t;if(V6(t))return OI(t.parent)?void 0:t.parent.tags;switch(r.kind){case 187:case 264:return OT(t)?r.members:void 0;case 192:case 193:return r.types;case 189:case 209:case 355:case 275:case 279:return r.elements;case 210:case 292:return r.properties;case 213:case 214:return ws(t)?r.typeArguments:r.expression===t?void 0:r.arguments;case 284:case 288:return JW(t)?r.children:void 0;case 286:case 285:return ws(t)?r.typeArguments:void 0;case 241:case 296:case 297:case 268:return r.statements;case 269:return r.clauses;case 263:case 231:return Od(t)?r.members:void 0;case 266:return SS(t)?r.members:void 0;case 307:return r.statements}}function eX(t){if(!t.typeParameters){if(Ft(t.parameters,r=>!dd(r)))return!0;if(t.kind!==219){const r=Ra(t.parameters);if(!(r&&JT(r)))return!0}}return!1}function c7(t){return t==="Infinity"||t==="-Infinity"||t==="NaN"}function YDe(t){return t.kind===260&&t.parent.kind===299}function lx(t){return t.kind===218||t.kind===219}function YT(t){return t.replace(/\$/g,()=>"\\$")}function $v(t){return(+t).toString()===t}function tX(t,r,a,u,h){const p=h&&t==="new";return!p&&J_(t,r)?G.createIdentifier(t):!u&&!p&&$v(t)&&+t>=0?G.createNumericLiteral(+t):G.createStringLiteral(t,!!a)}function l7(t){return!!(t.flags&262144&&t.isThisType)}function iX(t){let r=0,a=0,u=0,h=0,p;(T=>{T[T.BeforeNodeModules=0]="BeforeNodeModules",T[T.NodeModules=1]="NodeModules",T[T.Scope=2]="Scope",T[T.PackageContent=3]="PackageContent"})(p||(p={}));let g=0,C=0,b=0;for(;C>=0;)switch(g=C,C=t.indexOf("/",g+1),b){case 0:t.indexOf(Xv,g)===g&&(r=g,a=C,b=1);break;case 1:case 2:b===1&&t.charAt(g+1)==="@"?b=2:(u=C,b=3);break;case 3:t.indexOf(Xv,g)===g?b=1:b=3;break}return h=g,b>1?{topLevelNodeModulesIndex:r,topLevelPackageNameIndex:a,packageRootIndex:u,fileNameIndex:h}:void 0}function NP(t){switch(t.kind){case 168:case 263:case 264:case 265:case 266:case 346:case 338:case 340:return!0;case 273:return t.isTypeOnly;case 276:case 281:return t.parent.parent.isTypeOnly;default:return!1}}function eH(t){return sD(t)||Lu(t)||Wu(t)||hd(t)||zf(t)||NP(t)||rd(t)&&!BT(t)&&!Ry(t)}function tH(t){if(!g8(t))return!1;const{isBracketed:r,typeExpression:a}=t;return r||!!a&&a.type.kind===316}function Gce(t,r){if(t.length===0)return!1;const a=t.charCodeAt(0);return a===35?t.length>1&&Py(t.charCodeAt(1),r):Py(a,r)}function eEe(t){var r;return((r=rle(t))==null?void 0:r.kind)===0}function nX(t){return nr(t)&&(t.type&&t.type.kind===316||M6(t).some(tH))}function g3(t){switch(t.kind){case 172:case 171:return!!t.questionToken;case 169:return!!t.questionToken||nX(t);case 348:case 341:return tH(t);default:return!1}}function tEe(t){const r=t.kind;return(r===211||r===212)&&$P(t.expression)}function Kce(t){return nr(t)&&c_(t)&&jp(t)&&!!Goe(t)}function Xce(t){return j.checkDefined(rX(t))}function rX(t){const r=Goe(t);return r&&r.typeExpression&&r.typeExpression.type}function u7(t){return ot(t)?t.escapedText:LP(t)}function iH(t){return ot(t)?Fr(t):d7(t)}function iEe(t){const r=t.kind;return r===80||r===295}function LP(t){return`${t.namespace.escapedText}:${Fr(t.name)}`}function d7(t){return`${Fr(t.namespace)}:${Fr(t.name)}`}function Qce(t){return ot(t)?Fr(t):d7(t)}function Jm(t){return!!(t.flags&8576)}function Gm(t){return t.flags&8192?t.escapedName:t.flags&384?cu(""+t.value):j.fail()}function PP(t){return!!t&&(Nr(t)||wl(t)||ur(t))}function nEe(t){return t===void 0?!1:!!z3(t.attributes)}var K6t=String.prototype.replace;function xI(t,r){return K6t.call(t,"*",r)}function sX(t){return ot(t.name)?t.name.escapedText:cu(t.name.text)}function rEe(t){switch(t.kind){case 168:case 169:case 172:case 171:case 185:case 184:case 179:case 180:case 181:case 174:case 173:case 175:case 176:case 177:case 178:case 183:case 182:case 186:case 187:case 188:case 189:case 192:case 193:case 196:case 190:case 191:case 197:case 198:case 194:case 195:case 203:case 205:case 202:case 328:case 329:case 346:case 338:case 340:case 345:case 344:case 324:case 325:case 326:case 341:case 348:case 317:case 315:case 314:case 312:case 313:case 322:case 318:case 309:case 333:case 335:case 334:case 350:case 343:case 199:case 200:case 262:case 241:case 268:case 243:case 244:case 245:case 246:case 247:case 248:case 249:case 250:case 251:case 252:case 253:case 254:case 255:case 256:case 257:case 258:case 260:case 208:case 263:case 264:case 265:case 266:case 267:case 272:case 271:case 278:case 277:case 242:case 259:case 282:return!0}return!1}function Rd(t,r=!1,a=!1,u=!1){return{value:t,isSyntacticallyString:r,resolvedOtherFiles:a,hasExternalReferences:u}}function sEe({evaluateElementAccessExpression:t,evaluateEntityNameExpression:r}){function a(h,p){let g=!1,C=!1,b=!1;switch(h=Dc(h),h.kind){case 224:const T=a(h.operand,p);if(C=T.resolvedOtherFiles,b=T.hasExternalReferences,typeof T.value=="number")switch(h.operator){case 40:return Rd(T.value,g,C,b);case 41:return Rd(-T.value,g,C,b);case 55:return Rd(~T.value,g,C,b)}break;case 226:{const E=a(h.left,p),N=a(h.right,p);if(g=(E.isSyntacticallyString||N.isSyntacticallyString)&&h.operatorToken.kind===40,C=E.resolvedOtherFiles||N.resolvedOtherFiles,b=E.hasExternalReferences||N.hasExternalReferences,typeof E.value=="number"&&typeof N.value=="number")switch(h.operatorToken.kind){case 52:return Rd(E.value|N.value,g,C,b);case 51:return Rd(E.value&N.value,g,C,b);case 49:return Rd(E.value>>N.value,g,C,b);case 50:return Rd(E.value>>>N.value,g,C,b);case 48:return Rd(E.value<<N.value,g,C,b);case 53:return Rd(E.value^N.value,g,C,b);case 42:return Rd(E.value*N.value,g,C,b);case 44:return Rd(E.value/N.value,g,C,b);case 40:return Rd(E.value+N.value,g,C,b);case 41:return Rd(E.value-N.value,g,C,b);case 45:return Rd(E.value%N.value,g,C,b);case 43:return Rd(E.value**N.value,g,C,b)}else if((typeof E.value=="string"||typeof E.value=="number")&&(typeof N.value=="string"||typeof N.value=="number")&&h.operatorToken.kind===40)return Rd(""+E.value+N.value,g,C,b);break}case 11:case 15:return Rd(h.text,!0);case 228:return u(h,p);case 9:return Rd(+h.text);case 80:return r(h,p);case 211:if(pl(h))return r(h,p);break;case 212:return t(h,p)}return Rd(void 0,g,C,b)}function u(h,p){let g=h.head.text,C=!1,b=!1;for(const T of h.templateSpans){const E=a(T.expression,p);if(E.value===void 0)return Rd(void 0,!0);g+=E.value,g+=T.literal.text,C||(C=E.resolvedOtherFiles),b||(b=E.hasExternalReferences)}return Rd(g,!0,C,b)}return a}function Zce(t){return Hk(t)&&O0(t.type)||D7(t)&&O0(t.typeExpression)}function nH(t){const r=t.members;for(const a of r)if(a.kind===176&&Dg(a.body))return a}function Yce({compilerOptions:t,requireSymbol:r,argumentsSymbol:a,error:u,getSymbolOfDeclaration:h,globals:p,lookup:g,setRequiresScopeChangeCache:C=IT,getRequiresScopeChangeCache:b=IT,onPropertyWithInvalidInitializer:T=w1,onFailedToResolveSymbol:E=IT,onSuccessfullyResolvedSymbol:N=IT}){var R=t.verbatimModuleSyntax?"verbatimModuleSyntax":"isolatedModules",F=Pce(t),H=_a();return U;function U(re,oe,pe,de,ue,Ie){var we,he,Ae;const ke=re;let Te,De,qe,se,Ne,Oe=!1,He;const Pe=Oo(oe)?oe:oe.escapedText;e:for(;re;){if(Pe==="const"&&Zce(re))return;if(qW(re)&&De&&re.name===De&&(De=re,re=re.parent),Ay(re)&&re.locals&&!cS(re)&&(Te=g(re.locals,Pe,pe))){let We=!0;if(Ho(re)&&De&&De!==re.body?(pe&Te.flags&788968&&De.kind!==320&&(We=Te.flags&262144?!!(De.flags&16)||De===re.type||De.kind===169||De.kind===341||De.kind===342||De.kind===168:!1),pe&Te.flags&3&&(q(Te,re,De)?We=!1:Te.flags&1&&(We=De.kind===169||!!(De.flags&16)||De===re.type&&!!Qi(Te.valueDeclaration,$s)))):re.kind===194&&(We=De===re.trueType),We)break e;Te=void 0}switch(Oe=Oe||X(re,De),re.kind){case 307:if(!Cp(re))break;case 267:const We=((we=h(re))==null?void 0:we.exports)||H;if(re.kind===307||rd(re)&&re.flags&33554432&&!Ry(re)){if(Te=We.get("default")){const $e=$8(Te);if($e&&Te.flags&pe&&$e.escapedName===Pe)break e;Te=void 0}const Nt=We.get(Pe);if(Nt&&Nt.flags===2097152&&(ql(Nt,281)||ql(Nt,280)))break}if(Pe!=="default"&&(Te=g(We,Pe,pe&2623475)))if(Os(re)&&re.commonJsModuleIndicator&&!((he=Te.declarations)!=null&&he.some(Ng)))Te=void 0;else break e;break;case 266:if(Te=g(((Ae=h(re))==null?void 0:Ae.exports)||H,Pe,pe&8)){de&&Ag(t)&&!(re.flags&33554432)&&_n(re)!==_n(Te.valueDeclaration)&&u(ke,k.Cannot_access_0_from_another_file_without_qualification_when_1_is_enabled_Use_2_instead,Ws(Pe),R,`${Ws(h(re).escapedName)}.${Ws(Pe)}`);break e}break;case 172:if(!pa(re)){const Nt=nH(re.parent);Nt&&Nt.locals&&g(Nt.locals,Pe,pe&111551)&&(j.assertNode(re,Lo),se=re)}break;case 263:case 231:case 264:if(Te=g(h(re).members||H,Pe,pe&788968)){if(!Q(Te,re)){Te=void 0;break}if(De&&pa(De)){de&&u(ke,k.Static_members_cannot_reference_class_type_parameters);return}break e}if(fd(re)&&pe&32){const Nt=re.name;if(Nt&&Pe===Nt.escapedText){Te=re.symbol;break e}}break;case 233:if(De===re.expression&&re.parent.token===96){const Nt=re.parent.parent;if(ss(Nt)&&(Te=g(h(Nt).members,Pe,pe&788968))){de&&u(ke,k.Base_class_expressions_cannot_reference_class_type_parameters);return}}break;case 167:if(He=re.parent.parent,(ss(He)||He.kind===264)&&(Te=g(h(He).members,Pe,pe&788968))){de&&u(ke,k.A_computed_property_name_cannot_reference_a_type_parameter_from_its_containing_type);return}break;case 219:if(Ja(t)>=2)break;case 174:case 176:case 177:case 178:case 262:if(pe&3&&Pe==="arguments"){Te=a;break e}break;case 218:if(pe&3&&Pe==="arguments"){Te=a;break e}if(pe&16){const Nt=re.name;if(Nt&&Pe===Nt.escapedText){Te=re.symbol;break e}}break;case 170:re.parent&&re.parent.kind===169&&(re=re.parent),re.parent&&(Od(re.parent)||re.parent.kind===263)&&(re=re.parent);break;case 346:case 338:case 340:case 351:const ze=t3(re);ze&&(re=ze.parent);break;case 169:De&&(De===re.initializer||De===re.name&&ta(De))&&(Ne||(Ne=re));break;case 208:De&&(De===re.initializer||De===re.name&&ta(De))&&Qk(re)&&!Ne&&(Ne=re);break;case 195:if(pe&262144){const Nt=re.typeParameter.name;if(Nt&&Pe===Nt.escapedText){Te=re.typeParameter.symbol;break e}}break;case 281:De&&De===re.propertyName&&re.parent.parent.moduleSpecifier&&(re=re.parent.parent.parent);break}Z(re,De)&&(qe=re),De=re,re=Rg(re)?rK(re)||re.parent:(Qm(re)||IX(re))&&Jk(re)||re.parent}if(ue&&Te&&(!qe||Te!==qe.symbol)&&(Te.isReferenced|=pe),!Te){if(De&&(j.assertNode(De,Os),De.commonJsModuleIndicator&&Pe==="exports"&&pe&De.symbol.flags))return De.symbol;Ie||(Te=g(p,Pe,pe))}if(!Te&&ke&&nr(ke)&&ke.parent&&L_(ke.parent,!1))return r;if(de){if(se&&T(ke,Pe,se,Te))return;Te?N(ke,Te,pe,De,Ne,Oe):E(ke,oe,pe,de)}return Te}function q(re,oe,pe){const de=Ja(t),ue=oe;if($s(pe)&&ue.body&&re.valueDeclaration&&re.valueDeclaration.pos>=ue.body.pos&&re.valueDeclaration.end<=ue.body.end&&de>=2){let he=b(ue);return he===void 0&&(he=O(ue.parameters,Ie)||!1,C(ue,he)),!he}return!1;function Ie(he){return we(he.name)||!!he.initializer&&we(he.initializer)}function we(he){switch(he.kind){case 219:case 218:case 262:case 176:return!1;case 174:case 177:case 178:case 303:return we(he.name);case 172:return bd(he)?!F:we(he.name);default:return Xoe(he)||gh(he)?de<7:ec(he)&&he.dotDotDotToken&&dm(he.parent)?de<4:ws(he)?!1:Uo(he,we)||!1}}}function X(re,oe){return re.kind!==219&&re.kind!==218?tD(re)||(Qc(re)||re.kind===172&&!pa(re))&&(!oe||oe!==re.name):oe&&oe===re.name?!1:re.asteriskToken||Zr(re,1024)?!0:!VT(re)}function Z(re,oe){switch(re.kind){case 169:return!!oe&&oe===re.name;case 262:case 263:case 264:case 266:case 265:case 267:return!0;default:return!1}}function Q(re,oe){if(re.declarations){for(const pe of re.declarations)if(pe.kind===168&&(Rg(pe.parent)?zT(pe.parent):pe.parent)===oe)return!(Rg(pe.parent)&&Fe(pe.parent.parent.tags,Ng))}return!1}}function oX(t,r=!0){switch(j.type(t),t.kind){case 112:case 97:case 9:case 11:case 15:return!0;case 10:return r;case 224:return t.operator===41?A_(t.operand)||r&&p7(t.operand):t.operator===40?A_(t.operand):!1;default:return!1}}function oEe(t){for(;t.kind===217;)t=t.expression;return t}function aEe(t){switch(j.type(t),t.kind){case 169:case 171:case 172:case 208:case 211:case 212:case 226:case 260:case 277:case 303:return!0;default:return!1}}function ele(t){const r=Qi(t,du);return!!r&&!r.importClause}function cEe(){let t,r,a,u,h;return{createBaseSourceFileNode:p,createBaseIdentifierNode:g,createBasePrivateIdentifierNode:C,createBaseTokenNode:b,createBaseNode:T};function p(E){return new(h||(h=Hf.getSourceFileConstructor()))(E,-1,-1)}function g(E){return new(a||(a=Hf.getIdentifierConstructor()))(E,-1,-1)}function C(E){return new(u||(u=Hf.getPrivateIdentifierConstructor()))(E,-1,-1)}function b(E){return new(r||(r=Hf.getTokenConstructor()))(E,-1,-1)}function T(E){return new(t||(t=Hf.getNodeConstructor()))(E,-1,-1)}}function lEe(t){let r,a;return{getParenthesizeLeftSideOfBinaryForOperator:u,getParenthesizeRightSideOfBinaryForOperator:h,parenthesizeLeftSideOfBinary:T,parenthesizeRightSideOfBinary:E,parenthesizeExpressionOfComputedPropertyName:N,parenthesizeConditionOfConditionalExpression:R,parenthesizeBranchOfConditionalExpression:F,parenthesizeExpressionOfExportDefault:H,parenthesizeExpressionOfNew:U,parenthesizeLeftSideOfAccess:q,parenthesizeOperandOfPostfixUnary:X,parenthesizeOperandOfPrefixUnary:Z,parenthesizeExpressionsOfCommaDelimitedList:Q,parenthesizeExpressionForDisallowedComma:re,parenthesizeExpressionOfExpressionStatement:oe,parenthesizeConciseBodyOfArrowFunction:pe,parenthesizeCheckTypeOfConditionalType:de,parenthesizeExtendsTypeOfConditionalType:ue,parenthesizeConstituentTypesOfUnionType:we,parenthesizeConstituentTypeOfUnionType:Ie,parenthesizeConstituentTypesOfIntersectionType:Ae,parenthesizeConstituentTypeOfIntersectionType:he,parenthesizeOperandOfTypeOperator:ke,parenthesizeOperandOfReadonlyTypeOperator:Te,parenthesizeNonArrayTypeOfPostfixType:De,parenthesizeElementTypesOfTupleType:qe,parenthesizeElementTypeOfTupleType:se,parenthesizeTypeOfOptionalType:Oe,parenthesizeTypeArguments:We,parenthesizeLeadingTypeArgument:He};function u(ze){r||(r=new Map);let Nt=r.get(ze);return Nt||(Nt=$e=>T(ze,$e),r.set(ze,Nt)),Nt}function h(ze){a||(a=new Map);let Nt=a.get(ze);return Nt||(Nt=$e=>E(ze,void 0,$e),a.set(ze,Nt)),Nt}function p(ze,Nt,$e,et){const Me=PV(226,ze),ut=ice(226,ze),wt=l0(Nt);if(!$e&&Nt.kind===219&&Me>3)return!0;const Et=H8(wt);switch(_l(Et,Me)){case-1:return!(!$e&&ut===1&&Nt.kind===229);case 1:return!1;case 0:if($e)return ut===1;if(ur(wt)&&wt.operatorToken.kind===ze){if(g(ze))return!1;if(ze===40){const zt=et?C(et):0;if(y8(zt)&&zt===C(wt))return!1}}return tce(wt)===0}}function g(ze){return ze===42||ze===52||ze===51||ze===53||ze===28}function C(ze){if(ze=l0(ze),y8(ze.kind))return ze.kind;if(ze.kind===226&&ze.operatorToken.kind===40){if(ze.cachedLiteralKind!==void 0)return ze.cachedLiteralKind;const Nt=C(ze.left),$e=y8(Nt)&&Nt===C(ze.right)?Nt:0;return ze.cachedLiteralKind=$e,$e}return 0}function b(ze,Nt,$e,et){return l0(Nt).kind===217?Nt:p(ze,Nt,$e,et)?t.createParenthesizedExpression(Nt):Nt}function T(ze,Nt){return b(ze,Nt,!0)}function E(ze,Nt,$e){return b(ze,$e,!1,Nt)}function N(ze){return I7(ze)?t.createParenthesizedExpression(ze):ze}function R(ze){const Nt=PV(227,58),$e=l0(ze),et=H8($e);return _l(et,Nt)!==1?t.createParenthesizedExpression(ze):ze}function F(ze){const Nt=l0(ze);return I7(Nt)?t.createParenthesizedExpression(ze):ze}function H(ze){const Nt=l0(ze);let $e=I7(Nt);if(!$e)switch(d3(Nt,!1).kind){case 231:case 218:$e=!0}return $e?t.createParenthesizedExpression(ze):ze}function U(ze){const Nt=d3(ze,!0);switch(Nt.kind){case 213:return t.createParenthesizedExpression(ze);case 214:return Nt.arguments?ze:t.createParenthesizedExpression(ze)}return q(ze)}function q(ze,Nt){const $e=l0(ze);return N_($e)&&($e.kind!==214||$e.arguments)&&(Nt||!gh($e))?ze:Ht(t.createParenthesizedExpression(ze),ze)}function X(ze){return N_(ze)?ze:Ht(t.createParenthesizedExpression(ze),ze)}function Z(ze){return oae(ze)?ze:Ht(t.createParenthesizedExpression(ze),ze)}function Q(ze){const Nt=Wr(ze,re);return Ht(t.createNodeArray(Nt,ze.hasTrailingComma),ze)}function re(ze){const Nt=l0(ze),$e=H8(Nt),et=PV(226,28);return $e>et?ze:Ht(t.createParenthesizedExpression(ze),ze)}function oe(ze){const Nt=l0(ze);if(la(Nt)){const et=Nt.expression,Me=l0(et).kind;if(Me===218||Me===219){const ut=t.updateCallExpression(Nt,Ht(t.createParenthesizedExpression(et),et),Nt.typeArguments,Nt.arguments);return t.restoreOuterExpressions(ze,ut,8)}}const $e=d3(Nt,!1).kind;return $e===210||$e===218?Ht(t.createParenthesizedExpression(ze),ze):ze}function pe(ze){return!Xo(ze)&&(I7(ze)||d3(ze,!1).kind===210)?Ht(t.createParenthesizedExpression(ze),ze):ze}function de(ze){switch(ze.kind){case 184:case 185:case 194:return t.createParenthesizedType(ze)}return ze}function ue(ze){switch(ze.kind){case 194:return t.createParenthesizedType(ze)}return ze}function Ie(ze){switch(ze.kind){case 192:case 193:return t.createParenthesizedType(ze)}return de(ze)}function we(ze){return t.createNodeArray(Wr(ze,Ie))}function he(ze){switch(ze.kind){case 192:case 193:return t.createParenthesizedType(ze)}return Ie(ze)}function Ae(ze){return t.createNodeArray(Wr(ze,he))}function ke(ze){switch(ze.kind){case 193:return t.createParenthesizedType(ze)}return he(ze)}function Te(ze){switch(ze.kind){case 198:return t.createParenthesizedType(ze)}return ke(ze)}function De(ze){switch(ze.kind){case 195:case 198:case 186:return t.createParenthesizedType(ze)}return ke(ze)}function qe(ze){return t.createNodeArray(Wr(ze,se))}function se(ze){return Ne(ze)?t.createParenthesizedType(ze):ze}function Ne(ze){return k3(ze)?ze.postfix:b3(ze)||Hy(ze)||v3(ze)||t2(ze)?Ne(ze.type):iD(ze)?Ne(ze.falseType):fx(ze)||zP(ze)?Ne(va(ze.types)):DI(ze)?!!ze.typeParameter.constraint&&Ne(ze.typeParameter.constraint):!1}function Oe(ze){return Ne(ze)?t.createParenthesizedType(ze):De(ze)}function He(ze){return T2e(ze)&&ze.typeParameters?t.createParenthesizedType(ze):ze}function Pe(ze,Nt){return Nt===0?He(ze):ze}function We(ze){if(Ft(ze))return t.createNodeArray(Wr(ze,Pe))}}var uEe={getParenthesizeLeftSideOfBinaryForOperator:t=>hl,getParenthesizeRightSideOfBinaryForOperator:t=>hl,parenthesizeLeftSideOfBinary:(t,r)=>r,parenthesizeRightSideOfBinary:(t,r,a)=>a,parenthesizeExpressionOfComputedPropertyName:hl,parenthesizeConditionOfConditionalExpression:hl,parenthesizeBranchOfConditionalExpression:hl,parenthesizeExpressionOfExportDefault:hl,parenthesizeExpressionOfNew:t=>ha(t,N_),parenthesizeLeftSideOfAccess:t=>ha(t,N_),parenthesizeOperandOfPostfixUnary:t=>ha(t,N_),parenthesizeOperandOfPrefixUnary:t=>ha(t,oae),parenthesizeExpressionsOfCommaDelimitedList:t=>ha(t,AT),parenthesizeExpressionForDisallowedComma:hl,parenthesizeExpressionOfExpressionStatement:hl,parenthesizeConciseBodyOfArrowFunction:hl,parenthesizeCheckTypeOfConditionalType:hl,parenthesizeExtendsTypeOfConditionalType:hl,parenthesizeConstituentTypesOfUnionType:t=>ha(t,AT),parenthesizeConstituentTypeOfUnionType:hl,parenthesizeConstituentTypesOfIntersectionType:t=>ha(t,AT),parenthesizeConstituentTypeOfIntersectionType:hl,parenthesizeOperandOfTypeOperator:hl,parenthesizeOperandOfReadonlyTypeOperator:hl,parenthesizeNonArrayTypeOfPostfixType:hl,parenthesizeElementTypesOfTupleType:t=>ha(t,AT),parenthesizeElementTypeOfTupleType:hl,parenthesizeTypeOfOptionalType:hl,parenthesizeTypeArguments:t=>t&&ha(t,AT),parenthesizeLeadingTypeArgument:hl};function dEe(t){return{convertToFunctionBlock:r,convertToFunctionExpression:a,convertToClassExpression:u,convertToArrayAssignmentElement:h,convertToObjectAssignmentElement:p,convertToAssignmentPattern:g,convertToObjectAssignmentPattern:C,convertToArrayAssignmentPattern:b,convertToAssignmentElementTarget:T};function r(E,N){if(Xo(E))return E;const R=t.createReturnStatement(E);Ht(R,E);const F=t.createBlock([R],N);return Ht(F,E),F}function a(E){var N;if(!E.body)return j.fail("Cannot convert a FunctionDeclaration without a body");const R=t.createFunctionExpression((N=PT(E))==null?void 0:N.filter(F=>!BP(F)&&!yX(F)),E.asteriskToken,E.name,E.typeParameters,E.parameters,E.type,E.body);return Ir(R,E),Ht(R,E),f7(E)&&lX(R,!0),R}function u(E){var N;const R=t.createClassExpression((N=E.modifiers)==null?void 0:N.filter(F=>!BP(F)&&!yX(F)),E.name,E.typeParameters,E.heritageClauses,E.members);return Ir(R,E),Ht(R,E),f7(E)&&lX(R,!0),R}function h(E){if(ec(E)){if(E.dotDotDotToken)return j.assertNode(E.name,ot),Ir(Ht(t.createSpreadElement(E.name),E),E);const N=T(E.name);return E.initializer?Ir(Ht(t.createAssignment(N,E.initializer),E),E):N}return ha(E,jt)}function p(E){if(ec(E)){if(E.dotDotDotToken)return j.assertNode(E.name,ot),Ir(Ht(t.createSpreadAssignment(E.name),E),E);if(E.propertyName){const N=T(E.name);return Ir(Ht(t.createPropertyAssignment(E.propertyName,E.initializer?t.createAssignment(N,E.initializer):N),E),E)}return j.assertNode(E.name,ot),Ir(Ht(t.createShorthandPropertyAssignment(E.name,E.initializer),E),E)}return ha(E,Ub)}function g(E){switch(E.kind){case 207:case 209:return b(E);case 206:case 210:return C(E)}}function C(E){return dm(E)?Ir(Ht(t.createObjectLiteralExpression(qt(E.elements,p)),E),E):ha(E,Aa)}function b(E){return CS(E)?Ir(Ht(t.createArrayLiteralExpression(qt(E.elements,h)),E),E):ha(E,jf)}function T(E){return ta(E)?g(E):ha(E,jt)}}var fEe={convertToFunctionBlock:wa,convertToFunctionExpression:wa,convertToClassExpression:wa,convertToArrayAssignmentElement:wa,convertToObjectAssignmentElement:wa,convertToAssignmentPattern:wa,convertToObjectAssignmentPattern:wa,convertToArrayAssignmentPattern:wa,convertToAssignmentElementTarget:wa},tle=0,hEe=(t=>(t[t.None=0]="None",t[t.NoParenthesizerRules=1]="NoParenthesizerRules",t[t.NoNodeConverters=2]="NoNodeConverters",t[t.NoIndentationOnFreshPropertyAccess=4]="NoIndentationOnFreshPropertyAccess",t[t.NoOriginalNode=8]="NoOriginalNode",t))(hEe||{}),gQe=[];function yQe(t){gQe.push(t)}function rH(t,r){const a=t&8?hl:Ir,u=Bh(()=>t&1?uEe:lEe(X)),h=Bh(()=>t&2?fEe:dEe(X)),p=x1(A=>($,ie)=>Wn($,A,ie)),g=x1(A=>$=>Ot(A,$)),C=x1(A=>$=>Ci($,A)),b=x1(A=>()=>Vo(A)),T=x1(A=>$=>NA(A,$)),E=x1(A=>($,ie)=>rr(A,$,ie)),N=x1(A=>($,ie)=>HS(A,$,ie)),R=x1(A=>($,ie)=>P2(A,$,ie)),F=x1(A=>($,ie)=>Eh(A,$,ie)),H=x1(A=>($,ie,Ee)=>rp(A,$,ie,Ee)),U=x1(A=>($,ie,Ee)=>LA(A,$,ie,Ee)),q=x1(A=>($,ie,Ee,ht)=>ey(A,$,ie,Ee,ht)),X={get parenthesizer(){return u()},get converters(){return h()},baseFactory:r,flags:t,createNodeArray:Z,createNumericLiteral:pe,createBigIntLiteral:de,createStringLiteral:Ie,createStringLiteralFromNode:we,createRegularExpressionLiteral:he,createLiteralLikeNode:Ae,createIdentifier:De,createTempVariable:qe,createLoopVariable:se,createUniqueName:Ne,getGeneratedNameForNode:Oe,createPrivateIdentifier:Pe,createUniquePrivateName:ze,getGeneratedPrivateNameForNode:Nt,createToken:et,createSuper:Me,createThis:ut,createNull:wt,createTrue:Et,createFalse:dt,createModifier:zt,createModifiersFromModifierFlags:Ei,createQualifiedName:tn,updateQualifiedName:xn,createComputedPropertyName:Pn,updateComputedPropertyName:_i,createTypeParameterDeclaration:Fi,updateTypeParameterDeclaration:On,createParameterDeclaration:pn,updateParameterDeclaration:qr,createDecorator:Fs,updateDecorator:ko,createPropertySignature:ls,updatePropertySignature:Qs,createPropertyDeclaration:Zs,updatePropertyDeclaration:at,createMethodSignature:yi,updateMethodSignature:Sn,createMethodDeclaration:dr,updateMethodDeclaration:us,createConstructorDeclaration:Ji,updateConstructorDeclaration:ac,createGetAccessorDeclaration:ri,updateGetAccessorDeclaration:xe,createSetAccessorDeclaration:Se,updateSetAccessorDeclaration:Ze,createCallSignature:Dt,updateCallSignature:Je,createConstructSignature:Rt,updateConstructSignature:Ct,createIndexSignature:ii,updateIndexSignature:vt,createClassStaticBlockDeclaration:Gs,updateClassStaticBlockDeclaration:ma,createTemplateLiteralTypeSpan:Ni,updateTemplateLiteralTypeSpan:ji,createKeywordTypeNode:gr,createTypePredicateNode:te,updateTypePredicateNode:tt,createTypeReferenceNode:Gt,updateTypeReferenceNode:le,createFunctionTypeNode:Ue,updateFunctionTypeNode:be,createConstructorTypeNode:lt,updateConstructorTypeNode:vn,createTypeQueryNode:Pt,updateTypeQueryNode:Bt,createTypeLiteralNode:fi,updateTypeLiteralNode:wi,createArrayTypeNode:Ri,updateArrayTypeNode:Ai,createTupleTypeNode:Xn,updateTupleTypeNode:Di,createNamedTupleMember:on,updateNamedTupleMember:zi,createOptionalTypeNode:cn,updateOptionalTypeNode:vi,createRestTypeNode:qo,updateRestTypeNode:Wo,createUnionTypeNode:vu,updateUnionTypeNode:Gu,createIntersectionTypeNode:Zc,updateIntersectionTypeNode:Ls,createConditionalTypeNode:ja,updateConditionalTypeNode:wf,createInferTypeNode:Jo,updateInferTypeNode:kh,createImportTypeNode:pc,updateImportTypeNode:mc,createParenthesizedType:oo,updateParenthesizedType:tc,createThisTypeNode:ki,createTypeOperatorNode:Gc,updateTypeOperatorNode:uh,createIndexedAccessTypeNode:sd,updateIndexedAccessTypeNode:Pp,createMappedTypeNode:Nl,updateMappedTypeNode:Ve,createLiteralTypeNode:_e,updateLiteralTypeNode:Lt,createTemplateLiteralType:md,updateTemplateLiteralType:go,createObjectBindingPattern:ci,updateObjectBindingPattern:Mi,createArrayBindingPattern:Rn,updateArrayBindingPattern:Yr,createBindingElement:Xi,updateBindingElement:Mr,createArrayLiteralExpression:ds,updateArrayLiteralExpression:Ks,createObjectLiteralExpression:Ic,updateObjectLiteralExpression:Gl,createPropertyAccessExpression:t&4?(A,$)=>tr(Ku(A,$),262144):Ku,updatePropertyAccessExpression:Xu,createPropertyAccessChain:t&4?(A,$,ie)=>tr(Wl(A,$,ie),262144):Wl,updatePropertyAccessChain:Cu,createElementAccessExpression:Qu,updateElementAccessExpression:kd,createElementAccessChain:eg,updateElementAccessChain:Ye,createCallExpression:En,updateCallExpression:po,createCallChain:ic,updateCallChain:sc,createNewExpression:Hu,updateNewExpression:Hd,createTaggedTemplateExpression:Yc,updateTaggedTemplateExpression:Pc,createTypeAssertion:od,updateTypeAssertion:Ap,createParenthesizedExpression:Vg,updateParenthesizedExpression:Y_,createFunctionExpression:Jp,updateFunctionExpression:ym,createArrowFunction:tg,updateArrowFunction:Zv,createDeleteExpression:Hg,updateDeleteExpression:F_,createTypeOfExpression:jd,updateTypeOfExpression:lC,createVoidExpression:h_,updateVoidExpression:Yv,createAwaitExpression:vm,updateAwaitExpression:ve,createPrefixUnaryExpression:Ot,updatePrefixUnaryExpression:mi,createPostfixUnaryExpression:Ci,updatePostfixUnaryExpression:hn,createBinaryExpression:Wn,updateBinaryExpression:ps,createConditionalExpression:ee,updateConditionalExpression:Xe,createTemplateExpression:Zt,updateTemplateExpression:Nn,createTemplateHead:Xa,createTemplateMiddle:Yl,createTemplateTail:ig,createNoSubstitutionTemplateLiteral:h0,createTemplateLiteralLikeNode:uc,createYieldExpression:K0,updateYieldExpression:eb,createSpreadElement:PS,updateSpreadElement:tb,createClassExpression:ng,updateClassExpression:rg,createOmittedExpression:Vl,createExpressionWithTypeArguments:Gp,updateExpressionWithTypeArguments:ep,createAsExpression:X0,updateAsExpression:ib,createNonNullExpression:jg,updateNonNullExpression:$y,createSatisfiesExpression:uC,updateSatisfiesExpression:AS,createNonNullChain:zg,updateNonNullChain:x2,createMetaProperty:bm,updateMetaProperty:Ug,createTemplateSpan:dC,updateTemplateSpan:k2,createSemicolonClassElement:Jy,createBlock:nb,updateBlock:T2,createVariableStatement:xx,updateVariableStatement:it,createEmptyStatement:yt,createExpressionStatement:ei,updateExpressionStatement:Pi,createIfStatement:nn,updateIfStatement:Vn,createDoStatement:mn,updateDoStatement:Pr,createWhileStatement:ks,updateWhileStatement:ar,createForStatement:Ns,updateForStatement:cr,createForInStatement:Go,updateForInStatement:ll,createForOfStatement:qh,updateForOfStatement:qg,createContinueStatement:$h,updateContinueStatement:$g,createBreakStatement:Jh,updateBreakStatement:DD,createReturnStatement:kx,updateReturnStatement:rb,createWithStatement:fC,updateWithStatement:OS,createSwitchStatement:_0,updateSwitchStatement:MS,createLabeledStatement:Tx,updateLabeledStatement:Dx,createThrowStatement:Ex,updateThrowStatement:ZI,createTryStatement:D2,updateTryStatement:lc,createDebuggerStatement:ED,createVariableDeclaration:YI,updateVariableDeclaration:E2,createVariableDeclarationList:sb,updateVariableDeclarationList:ob,createFunctionDeclaration:Cm,updateFunctionDeclaration:Op,createClassDeclaration:tp,updateClassDeclaration:Ix,createInterfaceDeclaration:vO,updateInterfaceDeclaration:Xd,createTypeAliasDeclaration:Q0,updateTypeAliasDeclaration:RS,createEnumDeclaration:Gy,updateEnumDeclaration:kA,createModuleDeclaration:dh,updateModuleDeclaration:hC,createModuleBlock:ip,updateModuleBlock:I2,createCaseBlock:Q1,updateCaseBlock:ft,createNamespaceExportDeclaration:Z0,updateNamespaceExportDeclaration:Z1,createImportEqualsDeclaration:TA,updateImportEqualsDeclaration:_C,createImportDeclaration:FS,updateImportDeclaration:pC,createImportClause:DA,updateImportClause:$a,createAssertClause:p0,updateAssertClause:BS,createAssertEntry:L2,updateAssertEntry:Kp,createImportTypeAssertionContainer:ab,updateImportTypeAssertionContainer:Y0,createImportAttributes:Ky,updateImportAttributes:EA,createImportAttribute:Nx,updateImportAttribute:Jg,createNamespaceImport:IA,updateNamespaceImport:WS,createNamespaceExport:Ys,updateNamespaceExport:yr,createNamedImports:ff,updateNamedImports:mC,createImportSpecifier:VS,updateImportSpecifier:eN,createExportAssignment:Lx,updateExportAssignment:tN,createExportDeclaration:Tl,updateExportDeclaration:gC,createNamedExports:sg,updateNamedExports:ND,createExportSpecifier:iN,updateExportSpecifier:bO,createMissingDeclaration:CO,createExternalModuleReference:vr,updateExternalModuleReference:fh,get createJSDocAllType(){return b(312)},get createJSDocUnknownType(){return b(313)},get createJSDocNonNullableType(){return N(315)},get updateJSDocNonNullableType(){return R(315)},get createJSDocNullableType(){return N(314)},get updateJSDocNullableType(){return R(314)},get createJSDocOptionalType(){return T(316)},get updateJSDocOptionalType(){return E(316)},get createJSDocVariadicType(){return T(318)},get updateJSDocVariadicType(){return E(318)},get createJSDocNamepathType(){return T(319)},get updateJSDocNamepathType(){return E(319)},createJSDocFunctionType:SO,updateJSDocFunctionType:nN,createJSDocTypeLiteral:Th,updateJSDocTypeLiteral:Dh,createJSDocTypeExpression:Xp,updateJSDocTypeExpression:A2,createJSDocSignature:rN,updateJSDocSignature:cb,createJSDocTemplateTag:jS,updateJSDocTemplateTag:zS,createJSDocTypedefTag:LD,updateJSDocTypedefTag:sN,createJSDocParameterTag:Px,updateJSDocParameterTag:oN,createJSDocPropertyTag:aN,updateJSDocPropertyTag:cN,createJSDocCallbackTag:e1,updateJSDocCallbackTag:wO,createJSDocOverloadTag:O2,updateJSDocOverloadTag:Ax,createJSDocAugmentsTag:lb,updateJSDocAugmentsTag:yC,createJSDocImplementsTag:m0,updateJSDocImplementsTag:xO,createJSDocSeeTag:US,updateJSDocSeeTag:lN,createJSDocImportTag:nu,updateJSDocImportTag:kO,createJSDocNameReference:Qd,updateJSDocNameReference:uN,createJSDocMemberName:Xy,updateJSDocMemberName:dN,createJSDocLink:M2,updateJSDocLink:vC,createJSDocLinkCode:Ox,updateJSDocLinkCode:PD,createJSDocLinkPlain:Zu,updateJSDocLinkPlain:$f,get createJSDocTypeTag(){return U(344)},get updateJSDocTypeTag(){return q(344)},get createJSDocReturnTag(){return U(342)},get updateJSDocReturnTag(){return q(342)},get createJSDocThisTag(){return U(343)},get updateJSDocThisTag(){return q(343)},get createJSDocAuthorTag(){return F(330)},get updateJSDocAuthorTag(){return H(330)},get createJSDocClassTag(){return F(332)},get updateJSDocClassTag(){return H(332)},get createJSDocPublicTag(){return F(333)},get updateJSDocPublicTag(){return H(333)},get createJSDocPrivateTag(){return F(334)},get updateJSDocPrivateTag(){return H(334)},get createJSDocProtectedTag(){return F(335)},get updateJSDocProtectedTag(){return H(335)},get createJSDocReadonlyTag(){return F(336)},get updateJSDocReadonlyTag(){return H(336)},get createJSDocOverrideTag(){return F(337)},get updateJSDocOverrideTag(){return H(337)},get createJSDocDeprecatedTag(){return F(331)},get updateJSDocDeprecatedTag(){return H(331)},get createJSDocThrowsTag(){return U(349)},get updateJSDocThrowsTag(){return q(349)},get createJSDocSatisfiesTag(){return U(350)},get updateJSDocSatisfiesTag(){return q(350)},createJSDocEnumTag:fN,updateJSDocEnumTag:L5,createJSDocUnknownTag:PA,updateJSDocUnknownTag:qS,createJSDocText:AA,updateJSDocText:Mx,createJSDocComment:hN,updateJSDocComment:B_,createJsxElement:AD,updateJsxElement:OA,createJsxSelfClosingElement:OD,updateJsxSelfClosingElement:sp,createJsxOpeningElement:MA,updateJsxOpeningElement:Rx,createJsxClosingElement:wm,updateJsxClosingElement:_N,createJsxFragment:Fx,createJsxText:MD,updateJsxText:pN,createJsxOpeningFragment:RA,createJsxJsxClosingFragment:$S,updateJsxFragment:P5,createJsxAttribute:__,updateJsxAttribute:ub,createJsxAttributes:db,updateJsxAttributes:TO,createJsxSpreadAttribute:wc,updateJsxSpreadAttribute:xr,createJsxExpression:p_,updateJsxExpression:Qp,createJsxNamespacedName:RD,updateJsxNamespacedName:DO,createCaseClause:FA,updateCaseClause:R2,createDefaultClause:FD,updateDefaultClause:BD,createHeritageClause:Gg,updateHeritageClause:Rp,createCatchClause:Fp,updateCatchClause:t1,createPropertyAssignment:Kg,updatePropertyAssignment:mN,createShorthandPropertyAssignment:BA,updateShorthandPropertyAssignment:V,createSpreadAssignment:Ut,updateSpreadAssignment:Wi,createEnumMember:gs,updateEnumMember:ao,createSourceFile:Co,updateSourceFile:JS,createRedirectedSourceFile:co,createBundle:Bp,updateBundle:WD,createSyntheticExpression:F2,createSyntaxList:VD,createNotEmittedStatement:WA,createPartiallyEmittedExpression:gN,updatePartiallyEmittedExpression:n1,createCommaListExpression:GS,updateCommaListExpression:VA,createSyntheticReferenceExpression:Bx,updateSyntheticReferenceExpression:A5,cloneNode:Hn,get createComma(){return p(28)},get createAssignment(){return p(64)},get createLogicalOr(){return p(57)},get createLogicalAnd(){return p(56)},get createBitwiseOr(){return p(52)},get createBitwiseXor(){return p(53)},get createBitwiseAnd(){return p(51)},get createStrictEquality(){return p(37)},get createStrictInequality(){return p(38)},get createEquality(){return p(35)},get createInequality(){return p(36)},get createLessThan(){return p(30)},get createLessThanEquals(){return p(33)},get createGreaterThan(){return p(32)},get createGreaterThanEquals(){return p(34)},get createLeftShift(){return p(48)},get createRightShift(){return p(49)},get createUnsignedRightShift(){return p(50)},get createAdd(){return p(40)},get createSubtract(){return p(41)},get createMultiply(){return p(42)},get createDivide(){return p(44)},get createModulo(){return p(45)},get createExponent(){return p(43)},get createPrefixPlus(){return g(40)},get createPrefixMinus(){return g(41)},get createPrefixIncrement(){return g(46)},get createPrefixDecrement(){return g(47)},get createBitwiseNot(){return g(55)},get createLogicalNot(){return g(54)},get createPostfixIncrement(){return C(46)},get createPostfixDecrement(){return C(47)},createImmediatelyInvokedFunctionExpression:O5,createImmediatelyInvokedArrowFunction:EO,createVoidZero:Wx,createExportDefault:IO,createExternalModuleExport:vz,createTypeCheck:M5,createIsNotTypeCheck:JY,createMethodCall:ty,createGlobalMethodCall:yN,createFunctionBindCall:GY,createFunctionCallCall:R5,createFunctionApplyCall:KY,createArraySliceCall:vN,createArrayConcatCall:bz,createObjectDefinePropertyCall:Vx,createObjectGetOwnPropertyDescriptorCall:g0,createReflectGetCall:NO,createReflectSetCall:Qy,createPropertyDescriptor:Cz,createCallBinding:Nc,createAssignmentTargetWrapper:fe,inlineExpressions:Ke,getInternalName:Xt,getLocalName:Si,getExportName:an,getDeclarationName:jr,getNamespaceMemberName:Hs,getExternalModuleOrNamespaceExportName:Cs,restoreOuterExpressions:Sz,restoreEnclosingLabel:Yu,createUseStrictPrologue:$c,copyPrologue:Ss,copyStandardPrologue:Jc,copyCustomPrologue:dc,ensureUseStrict:og,liftToBlock:Dd,mergeLexicalEnvironment:bC,replaceModifiers:hb,replaceDecoratorsAndModifiers:jx,replacePropertyName:XY};return O(gQe,A=>A(X)),X;function Z(A,$){if(A===void 0||A===w)A=[];else if(AT(A)){if($===void 0||A.hasTrailingComma===$)return A.transformFlags===void 0&&bQe(A),j.attachNodeArrayDebugInfo(A),A;const ht=A.slice();return ht.pos=A.pos,ht.end=A.end,ht.hasTrailingComma=$,ht.transformFlags=A.transformFlags,j.attachNodeArrayDebugInfo(ht),ht}const ie=A.length,Ee=ie>=1&&ie<=4?A.slice():A;return Ee.pos=-1,Ee.end=-1,Ee.hasTrailingComma=!!$,Ee.transformFlags=0,bQe(Ee),j.attachNodeArrayDebugInfo(Ee),Ee}function Q(A){return r.createBaseNode(A)}function re(A){const $=Q(A);return $.symbol=void 0,$.localSymbol=void 0,$}function oe(A,$){return A!==$&&(A.typeArguments=$.typeArguments),ir(A,$)}function pe(A,$=0){const ie=typeof A=="number"?A+"":A;j.assert(ie.charCodeAt(0)!==45,"Negative numbers should be created in combination with createPrefixUnaryExpression");const Ee=re(9);return Ee.text=ie,Ee.numericLiteralFlags=$,$&384&&(Ee.transformFlags|=1024),Ee}function de(A){const $=$e(10);return $.text=typeof A=="string"?A:ZT(A)+"n",$.transformFlags|=32,$}function ue(A,$){const ie=re(11);return ie.text=A,ie.singleQuote=$,ie}function Ie(A,$,ie){const Ee=ue(A,$);return Ee.hasExtendedUnicodeEscape=ie,ie&&(Ee.transformFlags|=1024),Ee}function we(A){const $=ue(Lg(A),void 0);return $.textSourceNode=A,$}function he(A){const $=$e(14);return $.text=A,$}function Ae(A,$){switch(A){case 9:return pe($,0);case 10:return de($);case 11:return Ie($,void 0);case 12:return MD($,!1);case 13:return MD($,!0);case 14:return he($);case 15:return uc(A,$,void 0,0)}}function ke(A){const $=r.createBaseIdentifierNode(80);return $.escapedText=A,$.jsDoc=void 0,$.flowNode=void 0,$.symbol=void 0,$}function Te(A,$,ie,Ee){const ht=ke(cu(A));return lH(ht,{flags:$,id:tle,prefix:ie,suffix:Ee}),tle++,ht}function De(A,$,ie){$===void 0&&A&&($=oI(A)),$===80&&($=void 0);const Ee=ke(cu(A));return ie&&(Ee.flags|=256),Ee.escapedText==="await"&&(Ee.transformFlags|=67108864),Ee.flags&256&&(Ee.transformFlags|=1024),Ee}function qe(A,$,ie,Ee){let ht=1;$&&(ht|=8);const bi=Te("",ht,ie,Ee);return A&&A(bi),bi}function se(A){let $=2;return A&&($|=8),Te("",$,void 0,void 0)}function Ne(A,$=0,ie,Ee){return j.assert(!($&7),"Argument out of range: flags"),j.assert(($&48)!==32,"GeneratedIdentifierFlags.FileLevel cannot be set without also setting GeneratedIdentifierFlags.Optimistic"),Te(A,3|$,ie,Ee)}function Oe(A,$=0,ie,Ee){j.assert(!($&7),"Argument out of range: flags");const ht=A?Mv(A)?c2(!1,ie,A,Ee,Fr):`generated@${Sc(A)}`:"";(ie||Ee)&&($|=16);const bi=Te(ht,4|$,ie,Ee);return bi.original=A,bi}function He(A){const $=r.createBasePrivateIdentifierNode(81);return $.escapedText=A,$.transformFlags|=16777216,$}function Pe(A){return so(A,"#")||j.fail("First character of private identifier must be #: "+A),He(cu(A))}function We(A,$,ie,Ee){const ht=He(cu(A));return lH(ht,{flags:$,id:tle,prefix:ie,suffix:Ee}),tle++,ht}function ze(A,$,ie){A&&!so(A,"#")&&j.fail("First character of private identifier must be #: "+A);const Ee=8|(A?3:1);return We(A??"",Ee,$,ie)}function Nt(A,$,ie){const Ee=Mv(A)?c2(!0,$,A,ie,Fr):`#generated@${Sc(A)}`,bi=We(Ee,4|($||ie?16:0),$,ie);return bi.original=A,bi}function $e(A){return r.createBaseTokenNode(A)}function et(A){j.assert(A>=0&&A<=165,"Invalid token"),j.assert(A<=15||A>=18,"Invalid token. Use 'createTemplateLiteralLikeNode' to create template literals."),j.assert(A<=9||A>=15,"Invalid token. Use 'createLiteralLikeNode' to create literals."),j.assert(A!==80,"Invalid token. Use 'createIdentifier' to create identifiers");const $=$e(A);let ie=0;switch(A){case 134:ie=384;break;case 160:ie=4;break;case 125:case 123:case 124:case 148:case 128:case 138:case 87:case 133:case 150:case 163:case 146:case 151:case 103:case 147:case 164:case 154:case 136:case 155:case 116:case 159:case 157:ie=1;break;case 108:ie=134218752,$.flowNode=void 0;break;case 126:ie=1024;break;case 129:ie=16777216;break;case 110:ie=16384,$.flowNode=void 0;break}return ie&&($.transformFlags|=ie),$}function Me(){return et(108)}function ut(){return et(110)}function wt(){return et(106)}function Et(){return et(112)}function dt(){return et(97)}function zt(A){return et(A)}function Ei(A){const $=[];return A&32&&$.push(zt(95)),A&128&&$.push(zt(138)),A&2048&&$.push(zt(90)),A&4096&&$.push(zt(87)),A&1&&$.push(zt(125)),A&2&&$.push(zt(123)),A&4&&$.push(zt(124)),A&64&&$.push(zt(128)),A&256&&$.push(zt(126)),A&16&&$.push(zt(164)),A&8&&$.push(zt(148)),A&512&&$.push(zt(129)),A&1024&&$.push(zt(134)),A&8192&&$.push(zt(103)),A&16384&&$.push(zt(147)),$.length?$:void 0}function tn(A,$){const ie=Q(166);return ie.left=A,ie.right=gd($),ie.transformFlags|=mr(ie.left)|sH(ie.right),ie.flowNode=void 0,ie}function xn(A,$,ie){return A.left!==$||A.right!==ie?ir(tn($,ie),A):A}function Pn(A){const $=Q(167);return $.expression=u().parenthesizeExpressionOfComputedPropertyName(A),$.transformFlags|=mr($.expression)|1024|131072,$}function _i(A,$){return A.expression!==$?ir(Pn($),A):A}function Fi(A,$,ie,Ee){const ht=re(168);return ht.modifiers=gc(A),ht.name=gd($),ht.constraint=ie,ht.default=Ee,ht.transformFlags=1,ht.expression=void 0,ht.jsDoc=void 0,ht}function On(A,$,ie,Ee,ht){return A.modifiers!==$||A.name!==ie||A.constraint!==Ee||A.default!==ht?ir(Fi($,ie,Ee,ht),A):A}function pn(A,$,ie,Ee,ht,bi){const lr=re(169);return lr.modifiers=gc(A),lr.dotDotDotToken=$,lr.name=gd(ie),lr.questionToken=Ee,lr.type=ht,lr.initializer=HD(bi),ix(lr.name)?lr.transformFlags=1:lr.transformFlags=oc(lr.modifiers)|mr(lr.dotDotDotToken)|ux(lr.name)|mr(lr.questionToken)|mr(lr.initializer)|(lr.questionToken??lr.type?1:0)|(lr.dotDotDotToken??lr.initializer?1024:0)|(M1(lr.modifiers)&31?8192:0),lr.jsDoc=void 0,lr}function qr(A,$,ie,Ee,ht,bi,lr){return A.modifiers!==$||A.dotDotDotToken!==ie||A.name!==Ee||A.questionToken!==ht||A.type!==bi||A.initializer!==lr?ir(pn($,ie,Ee,ht,bi,lr),A):A}function Fs(A){const $=Q(170);return $.expression=u().parenthesizeLeftSideOfAccess(A,!1),$.transformFlags|=mr($.expression)|1|8192|33554432,$}function ko(A,$){return A.expression!==$?ir(Fs($),A):A}function ls(A,$,ie,Ee){const ht=re(171);return ht.modifiers=gc(A),ht.name=gd($),ht.type=Ee,ht.questionToken=ie,ht.transformFlags=1,ht.initializer=void 0,ht.jsDoc=void 0,ht}function Qs(A,$,ie,Ee,ht){return A.modifiers!==$||A.name!==ie||A.questionToken!==Ee||A.type!==ht?Mn(ls($,ie,Ee,ht),A):A}function Mn(A,$){return A!==$&&(A.initializer=$.initializer),ir(A,$)}function Zs(A,$,ie,Ee,ht){const bi=re(172);bi.modifiers=gc(A),bi.name=gd($),bi.questionToken=ie&&dx(ie)?ie:void 0,bi.exclamationToken=ie&&dH(ie)?ie:void 0,bi.type=Ee,bi.initializer=HD(ht);const lr=bi.flags&33554432||M1(bi.modifiers)&128;return bi.transformFlags=oc(bi.modifiers)|ux(bi.name)|mr(bi.initializer)|(lr||bi.questionToken||bi.exclamationToken||bi.type?1:0)|(Ka(bi.name)||M1(bi.modifiers)&256&&bi.initializer?8192:0)|16777216,bi.jsDoc=void 0,bi}function at(A,$,ie,Ee,ht,bi){return A.modifiers!==$||A.name!==ie||A.questionToken!==(Ee!==void 0&&dx(Ee)?Ee:void 0)||A.exclamationToken!==(Ee!==void 0&&dH(Ee)?Ee:void 0)||A.type!==ht||A.initializer!==bi?ir(Zs($,ie,Ee,ht,bi),A):A}function yi(A,$,ie,Ee,ht,bi){const lr=re(173);return lr.modifiers=gc(A),lr.name=gd($),lr.questionToken=ie,lr.typeParameters=gc(Ee),lr.parameters=gc(ht),lr.type=bi,lr.transformFlags=1,lr.jsDoc=void 0,lr.locals=void 0,lr.nextContainer=void 0,lr.typeArguments=void 0,lr}function Sn(A,$,ie,Ee,ht,bi,lr){return A.modifiers!==$||A.name!==ie||A.questionToken!==Ee||A.typeParameters!==ht||A.parameters!==bi||A.type!==lr?oe(yi($,ie,Ee,ht,bi,lr),A):A}function dr(A,$,ie,Ee,ht,bi,lr,xo){const el=re(174);if(el.modifiers=gc(A),el.asteriskToken=$,el.name=gd(ie),el.questionToken=Ee,el.exclamationToken=void 0,el.typeParameters=gc(ht),el.parameters=Z(bi),el.type=lr,el.body=xo,!el.body)el.transformFlags=1;else{const ag=M1(el.modifiers)&1024,Yy=!!el.asteriskToken,km=ag&&Yy;el.transformFlags=oc(el.modifiers)|mr(el.asteriskToken)|ux(el.name)|mr(el.questionToken)|oc(el.typeParameters)|oc(el.parameters)|mr(el.type)|mr(el.body)&-67108865|(km?128:ag?256:Yy?2048:0)|(el.questionToken||el.typeParameters||el.type?1:0)|1024}return el.typeArguments=void 0,el.jsDoc=void 0,el.locals=void 0,el.nextContainer=void 0,el.flowNode=void 0,el.endFlowNode=void 0,el.returnFlowNode=void 0,el}function us(A,$,ie,Ee,ht,bi,lr,xo,el){return A.modifiers!==$||A.asteriskToken!==ie||A.name!==Ee||A.questionToken!==ht||A.typeParameters!==bi||A.parameters!==lr||A.type!==xo||A.body!==el?Xr(dr($,ie,Ee,ht,bi,lr,xo,el),A):A}function Xr(A,$){return A!==$&&(A.exclamationToken=$.exclamationToken),ir(A,$)}function Gs(A){const $=re(175);return $.body=A,$.transformFlags=mr(A)|16777216,$.modifiers=void 0,$.jsDoc=void 0,$.locals=void 0,$.nextContainer=void 0,$.endFlowNode=void 0,$.returnFlowNode=void 0,$}function ma(A,$){return A.body!==$?ka(Gs($),A):A}function ka(A,$){return A!==$&&(A.modifiers=$.modifiers),ir(A,$)}function Ji(A,$,ie){const Ee=re(176);return Ee.modifiers=gc(A),Ee.parameters=Z($),Ee.body=ie,Ee.body?Ee.transformFlags=oc(Ee.modifiers)|oc(Ee.parameters)|mr(Ee.body)&-67108865|1024:Ee.transformFlags=1,Ee.typeParameters=void 0,Ee.type=void 0,Ee.typeArguments=void 0,Ee.jsDoc=void 0,Ee.locals=void 0,Ee.nextContainer=void 0,Ee.endFlowNode=void 0,Ee.returnFlowNode=void 0,Ee}function ac(A,$,ie,Ee){return A.modifiers!==$||A.parameters!==ie||A.body!==Ee?St(Ji($,ie,Ee),A):A}function St(A,$){return A!==$&&(A.typeParameters=$.typeParameters,A.type=$.type),oe(A,$)}function ri(A,$,ie,Ee,ht){const bi=re(177);return bi.modifiers=gc(A),bi.name=gd($),bi.parameters=Z(ie),bi.type=Ee,bi.body=ht,bi.body?bi.transformFlags=oc(bi.modifiers)|ux(bi.name)|oc(bi.parameters)|mr(bi.type)|mr(bi.body)&-67108865|(bi.type?1:0):bi.transformFlags=1,bi.typeArguments=void 0,bi.typeParameters=void 0,bi.jsDoc=void 0,bi.locals=void 0,bi.nextContainer=void 0,bi.flowNode=void 0,bi.endFlowNode=void 0,bi.returnFlowNode=void 0,bi}function xe(A,$,ie,Ee,ht,bi){return A.modifiers!==$||A.name!==ie||A.parameters!==Ee||A.type!==ht||A.body!==bi?pt(ri($,ie,Ee,ht,bi),A):A}function pt(A,$){return A!==$&&(A.typeParameters=$.typeParameters),oe(A,$)}function Se(A,$,ie,Ee){const ht=re(178);return ht.modifiers=gc(A),ht.name=gd($),ht.parameters=Z(ie),ht.body=Ee,ht.body?ht.transformFlags=oc(ht.modifiers)|ux(ht.name)|oc(ht.parameters)|mr(ht.body)&-67108865|(ht.type?1:0):ht.transformFlags=1,ht.typeArguments=void 0,ht.typeParameters=void 0,ht.type=void 0,ht.jsDoc=void 0,ht.locals=void 0,ht.nextContainer=void 0,ht.flowNode=void 0,ht.endFlowNode=void 0,ht.returnFlowNode=void 0,ht}function Ze(A,$,ie,Ee,ht){return A.modifiers!==$||A.name!==ie||A.parameters!==Ee||A.body!==ht?gt(Se($,ie,Ee,ht),A):A}function gt(A,$){return A!==$&&(A.typeParameters=$.typeParameters,A.type=$.type),oe(A,$)}function Dt(A,$,ie){const Ee=re(179);return Ee.typeParameters=gc(A),Ee.parameters=gc($),Ee.type=ie,Ee.transformFlags=1,Ee.jsDoc=void 0,Ee.locals=void 0,Ee.nextContainer=void 0,Ee.typeArguments=void 0,Ee}function Je(A,$,ie,Ee){return A.typeParameters!==$||A.parameters!==ie||A.type!==Ee?oe(Dt($,ie,Ee),A):A}function Rt(A,$,ie){const Ee=re(180);return Ee.typeParameters=gc(A),Ee.parameters=gc($),Ee.type=ie,Ee.transformFlags=1,Ee.jsDoc=void 0,Ee.locals=void 0,Ee.nextContainer=void 0,Ee.typeArguments=void 0,Ee}function Ct(A,$,ie,Ee){return A.typeParameters!==$||A.parameters!==ie||A.type!==Ee?oe(Rt($,ie,Ee),A):A}function ii(A,$,ie){const Ee=re(181);return Ee.modifiers=gc(A),Ee.parameters=gc($),Ee.type=ie,Ee.transformFlags=1,Ee.jsDoc=void 0,Ee.locals=void 0,Ee.nextContainer=void 0,Ee.typeArguments=void 0,Ee}function vt(A,$,ie,Ee){return A.parameters!==ie||A.type!==Ee||A.modifiers!==$?oe(ii($,ie,Ee),A):A}function Ni(A,$){const ie=Q(204);return ie.type=A,ie.literal=$,ie.transformFlags=1,ie}function ji(A,$,ie){return A.type!==$||A.literal!==ie?ir(Ni($,ie),A):A}function gr(A){return et(A)}function te(A,$,ie){const Ee=Q(182);return Ee.assertsModifier=A,Ee.parameterName=gd($),Ee.type=ie,Ee.transformFlags=1,Ee}function tt(A,$,ie,Ee){return A.assertsModifier!==$||A.parameterName!==ie||A.type!==Ee?ir(te($,ie,Ee),A):A}function Gt(A,$){const ie=Q(183);return ie.typeName=gd(A),ie.typeArguments=$&&u().parenthesizeTypeArguments(Z($)),ie.transformFlags=1,ie}function le(A,$,ie){return A.typeName!==$||A.typeArguments!==ie?ir(Gt($,ie),A):A}function Ue(A,$,ie){const Ee=re(184);return Ee.typeParameters=gc(A),Ee.parameters=gc($),Ee.type=ie,Ee.transformFlags=1,Ee.modifiers=void 0,Ee.jsDoc=void 0,Ee.locals=void 0,Ee.nextContainer=void 0,Ee.typeArguments=void 0,Ee}function be(A,$,ie,Ee){return A.typeParameters!==$||A.parameters!==ie||A.type!==Ee?Qt(Ue($,ie,Ee),A):A}function Qt(A,$){return A!==$&&(A.modifiers=$.modifiers),oe(A,$)}function lt(...A){return A.length===4?st(...A):A.length===3?hi(...A):j.fail("Incorrect number of arguments specified.")}function st(A,$,ie,Ee){const ht=re(185);return ht.modifiers=gc(A),ht.typeParameters=gc($),ht.parameters=gc(ie),ht.type=Ee,ht.transformFlags=1,ht.jsDoc=void 0,ht.locals=void 0,ht.nextContainer=void 0,ht.typeArguments=void 0,ht}function hi(A,$,ie){return st(void 0,A,$,ie)}function vn(...A){return A.length===5?ln(...A):A.length===4?Un(...A):j.fail("Incorrect number of arguments specified.")}function ln(A,$,ie,Ee,ht){return A.modifiers!==$||A.typeParameters!==ie||A.parameters!==Ee||A.type!==ht?oe(lt($,ie,Ee,ht),A):A}function Un(A,$,ie,Ee){return ln(A,A.modifiers,$,ie,Ee)}function Pt(A,$){const ie=Q(186);return ie.exprName=A,ie.typeArguments=$&&u().parenthesizeTypeArguments($),ie.transformFlags=1,ie}function Bt(A,$,ie){return A.exprName!==$||A.typeArguments!==ie?ir(Pt($,ie),A):A}function fi(A){const $=re(187);return $.members=Z(A),$.transformFlags=1,$}function wi(A,$){return A.members!==$?ir(fi($),A):A}function Ri(A){const $=Q(188);return $.elementType=u().parenthesizeNonArrayTypeOfPostfixType(A),$.transformFlags=1,$}function Ai(A,$){return A.elementType!==$?ir(Ri($),A):A}function Xn(A){const $=Q(189);return $.elements=Z(u().parenthesizeElementTypesOfTupleType(A)),$.transformFlags=1,$}function Di(A,$){return A.elements!==$?ir(Xn($),A):A}function on(A,$,ie,Ee){const ht=re(202);return ht.dotDotDotToken=A,ht.name=$,ht.questionToken=ie,ht.type=Ee,ht.transformFlags=1,ht.jsDoc=void 0,ht}function zi(A,$,ie,Ee,ht){return A.dotDotDotToken!==$||A.name!==ie||A.questionToken!==Ee||A.type!==ht?ir(on($,ie,Ee,ht),A):A}function cn(A){const $=Q(190);return $.type=u().parenthesizeTypeOfOptionalType(A),$.transformFlags=1,$}function vi(A,$){return A.type!==$?ir(cn($),A):A}function qo(A){const $=Q(191);return $.type=A,$.transformFlags=1,$}function Wo(A,$){return A.type!==$?ir(qo($),A):A}function $o(A,$,ie){const Ee=Q(A);return Ee.types=X.createNodeArray(ie($)),Ee.transformFlags=1,Ee}function Lc(A,$,ie){return A.types!==$?ir($o(A.kind,$,ie),A):A}function vu(A){return $o(192,A,u().parenthesizeConstituentTypesOfUnionType)}function Gu(A,$){return Lc(A,$,u().parenthesizeConstituentTypesOfUnionType)}function Zc(A){return $o(193,A,u().parenthesizeConstituentTypesOfIntersectionType)}function Ls(A,$){return Lc(A,$,u().parenthesizeConstituentTypesOfIntersectionType)}function ja(A,$,ie,Ee){const ht=Q(194);return ht.checkType=u().parenthesizeCheckTypeOfConditionalType(A),ht.extendsType=u().parenthesizeExtendsTypeOfConditionalType($),ht.trueType=ie,ht.falseType=Ee,ht.transformFlags=1,ht.locals=void 0,ht.nextContainer=void 0,ht}function wf(A,$,ie,Ee,ht){return A.checkType!==$||A.extendsType!==ie||A.trueType!==Ee||A.falseType!==ht?ir(ja($,ie,Ee,ht),A):A}function Jo(A){const $=Q(195);return $.typeParameter=A,$.transformFlags=1,$}function kh(A,$){return A.typeParameter!==$?ir(Jo($),A):A}function md(A,$){const ie=Q(203);return ie.head=A,ie.templateSpans=Z($),ie.transformFlags=1,ie}function go(A,$,ie){return A.head!==$||A.templateSpans!==ie?ir(md($,ie),A):A}function pc(A,$,ie,Ee,ht=!1){const bi=Q(205);return bi.argument=A,bi.attributes=$,bi.assertions&&bi.assertions.assertClause&&bi.attributes&&(bi.assertions.assertClause=bi.attributes),bi.qualifier=ie,bi.typeArguments=Ee&&u().parenthesizeTypeArguments(Ee),bi.isTypeOf=ht,bi.transformFlags=1,bi}function mc(A,$,ie,Ee,ht,bi=A.isTypeOf){return A.argument!==$||A.attributes!==ie||A.qualifier!==Ee||A.typeArguments!==ht||A.isTypeOf!==bi?ir(pc($,ie,Ee,ht,bi),A):A}function oo(A){const $=Q(196);return $.type=A,$.transformFlags=1,$}function tc(A,$){return A.type!==$?ir(oo($),A):A}function ki(){const A=Q(197);return A.transformFlags=1,A}function Gc(A,$){const ie=Q(198);return ie.operator=A,ie.type=A===148?u().parenthesizeOperandOfReadonlyTypeOperator($):u().parenthesizeOperandOfTypeOperator($),ie.transformFlags=1,ie}function uh(A,$){return A.type!==$?ir(Gc(A.operator,$),A):A}function sd(A,$){const ie=Q(199);return ie.objectType=u().parenthesizeNonArrayTypeOfPostfixType(A),ie.indexType=$,ie.transformFlags=1,ie}function Pp(A,$,ie){return A.objectType!==$||A.indexType!==ie?ir(sd($,ie),A):A}function Nl(A,$,ie,Ee,ht,bi){const lr=re(200);return lr.readonlyToken=A,lr.typeParameter=$,lr.nameType=ie,lr.questionToken=Ee,lr.type=ht,lr.members=bi&&Z(bi),lr.transformFlags=1,lr.locals=void 0,lr.nextContainer=void 0,lr}function Ve(A,$,ie,Ee,ht,bi,lr){return A.readonlyToken!==$||A.typeParameter!==ie||A.nameType!==Ee||A.questionToken!==ht||A.type!==bi||A.members!==lr?ir(Nl($,ie,Ee,ht,bi,lr),A):A}function _e(A){const $=Q(201);return $.literal=A,$.transformFlags=1,$}function Lt(A,$){return A.literal!==$?ir(_e($),A):A}function ci(A){const $=Q(206);return $.elements=Z(A),$.transformFlags|=oc($.elements)|1024|524288,$.transformFlags&32768&&($.transformFlags|=65664),$}function Mi(A,$){return A.elements!==$?ir(ci($),A):A}function Rn(A){const $=Q(207);return $.elements=Z(A),$.transformFlags|=oc($.elements)|1024|524288,$}function Yr(A,$){return A.elements!==$?ir(Rn($),A):A}function Xi(A,$,ie,Ee){const ht=re(208);return ht.dotDotDotToken=A,ht.propertyName=gd($),ht.name=gd(ie),ht.initializer=HD(Ee),ht.transformFlags|=mr(ht.dotDotDotToken)|ux(ht.propertyName)|ux(ht.name)|mr(ht.initializer)|(ht.dotDotDotToken?32768:0)|1024,ht.flowNode=void 0,ht}function Mr(A,$,ie,Ee,ht){return A.propertyName!==ie||A.dotDotDotToken!==$||A.name!==Ee||A.initializer!==ht?ir(Xi($,ie,Ee,ht),A):A}function ds(A,$){const ie=Q(209),Ee=A&&rl(A),ht=Z(A,Ee&&Bd(Ee)?!0:void 0);return ie.elements=u().parenthesizeExpressionsOfCommaDelimitedList(ht),ie.multiLine=$,ie.transformFlags|=oc(ie.elements),ie}function Ks(A,$){return A.elements!==$?ir(ds($,A.multiLine),A):A}function Ic(A,$){const ie=re(210);return ie.properties=Z(A),ie.multiLine=$,ie.transformFlags|=oc(ie.properties),ie.jsDoc=void 0,ie}function Gl(A,$){return A.properties!==$?ir(Ic($,A.multiLine),A):A}function Pu(A,$,ie){const Ee=re(211);return Ee.expression=A,Ee.questionDotToken=$,Ee.name=ie,Ee.transformFlags=mr(Ee.expression)|mr(Ee.questionDotToken)|(ot(Ee.name)?sH(Ee.name):mr(Ee.name)|536870912),Ee.jsDoc=void 0,Ee.flowNode=void 0,Ee}function Ku(A,$){const ie=Pu(u().parenthesizeLeftSideOfAccess(A,!1),void 0,gd($));return g7(A)&&(ie.transformFlags|=384),ie}function Xu(A,$,ie){return dG(A)?Cu(A,$,A.questionDotToken,ha(ie,ot)):A.expression!==$||A.name!==ie?ir(Ku($,ie),A):A}function Wl(A,$,ie){const Ee=Pu(u().parenthesizeLeftSideOfAccess(A,!0),$,gd(ie));return Ee.flags|=64,Ee.transformFlags|=32,Ee}function Cu(A,$,ie,Ee){return j.assert(!!(A.flags&64),"Cannot update a PropertyAccessExpression using updatePropertyAccessChain. Use updatePropertyAccess instead."),A.expression!==$||A.questionDotToken!==ie||A.name!==Ee?ir(Wl($,ie,Ee),A):A}function gm(A,$,ie){const Ee=re(212);return Ee.expression=A,Ee.questionDotToken=$,Ee.argumentExpression=ie,Ee.transformFlags|=mr(Ee.expression)|mr(Ee.questionDotToken)|mr(Ee.argumentExpression),Ee.jsDoc=void 0,Ee.flowNode=void 0,Ee}function Qu(A,$){const ie=gm(u().parenthesizeLeftSideOfAccess(A,!1),void 0,CC($));return g7(A)&&(ie.transformFlags|=384),ie}function kd(A,$,ie){return Koe(A)?Ye(A,$,A.questionDotToken,ie):A.expression!==$||A.argumentExpression!==ie?ir(Qu($,ie),A):A}function eg(A,$,ie){const Ee=gm(u().parenthesizeLeftSideOfAccess(A,!0),$,CC(ie));return Ee.flags|=64,Ee.transformFlags|=32,Ee}function Ye(A,$,ie,Ee){return j.assert(!!(A.flags&64),"Cannot update a ElementAccessExpression using updateElementAccessChain. Use updateElementAccess instead."),A.expression!==$||A.questionDotToken!==ie||A.argumentExpression!==Ee?ir(eg($,ie,Ee),A):A}function li(A,$,ie,Ee){const ht=re(213);return ht.expression=A,ht.questionDotToken=$,ht.typeArguments=ie,ht.arguments=Ee,ht.transformFlags|=mr(ht.expression)|mr(ht.questionDotToken)|oc(ht.typeArguments)|oc(ht.arguments),ht.typeArguments&&(ht.transformFlags|=1),G_(ht.expression)&&(ht.transformFlags|=16384),ht}function En(A,$,ie){const Ee=li(u().parenthesizeLeftSideOfAccess(A,!1),void 0,gc($),u().parenthesizeExpressionsOfCommaDelimitedList(Z(ie)));return y7(Ee.expression)&&(Ee.transformFlags|=8388608),Ee}function po(A,$,ie,Ee){return cI(A)?sc(A,$,A.questionDotToken,ie,Ee):A.expression!==$||A.typeArguments!==ie||A.arguments!==Ee?ir(En($,ie,Ee),A):A}function ic(A,$,ie,Ee){const ht=li(u().parenthesizeLeftSideOfAccess(A,!0),$,gc(ie),u().parenthesizeExpressionsOfCommaDelimitedList(Z(Ee)));return ht.flags|=64,ht.transformFlags|=32,ht}function sc(A,$,ie,Ee,ht){return j.assert(!!(A.flags&64),"Cannot update a CallExpression using updateCallChain. Use updateCall instead."),A.expression!==$||A.questionDotToken!==ie||A.typeArguments!==Ee||A.arguments!==ht?ir(ic($,ie,Ee,ht),A):A}function Hu(A,$,ie){const Ee=re(214);return Ee.expression=u().parenthesizeExpressionOfNew(A),Ee.typeArguments=gc($),Ee.arguments=ie?u().parenthesizeExpressionsOfCommaDelimitedList(ie):void 0,Ee.transformFlags|=mr(Ee.expression)|oc(Ee.typeArguments)|oc(Ee.arguments)|32,Ee.typeArguments&&(Ee.transformFlags|=1),Ee}function Hd(A,$,ie,Ee){return A.expression!==$||A.typeArguments!==ie||A.arguments!==Ee?ir(Hu($,ie,Ee),A):A}function Yc(A,$,ie){const Ee=Q(215);return Ee.tag=u().parenthesizeLeftSideOfAccess(A,!1),Ee.typeArguments=gc($),Ee.template=ie,Ee.transformFlags|=mr(Ee.tag)|oc(Ee.typeArguments)|mr(Ee.template)|1024,Ee.typeArguments&&(Ee.transformFlags|=1),rce(Ee.template)&&(Ee.transformFlags|=128),Ee}function Pc(A,$,ie,Ee){return A.tag!==$||A.typeArguments!==ie||A.template!==Ee?ir(Yc($,ie,Ee),A):A}function od(A,$){const ie=Q(216);return ie.expression=u().parenthesizeOperandOfPrefixUnary($),ie.type=A,ie.transformFlags|=mr(ie.expression)|mr(ie.type)|1,ie}function Ap(A,$,ie){return A.type!==$||A.expression!==ie?ir(od($,ie),A):A}function Vg(A){const $=Q(217);return $.expression=A,$.transformFlags=mr($.expression),$.jsDoc=void 0,$}function Y_(A,$){return A.expression!==$?ir(Vg($),A):A}function Jp(A,$,ie,Ee,ht,bi,lr){const xo=re(218);xo.modifiers=gc(A),xo.asteriskToken=$,xo.name=gd(ie),xo.typeParameters=gc(Ee),xo.parameters=Z(ht),xo.type=bi,xo.body=lr;const el=M1(xo.modifiers)&1024,ag=!!xo.asteriskToken,Yy=el&&ag;return xo.transformFlags=oc(xo.modifiers)|mr(xo.asteriskToken)|ux(xo.name)|oc(xo.typeParameters)|oc(xo.parameters)|mr(xo.type)|mr(xo.body)&-67108865|(Yy?128:el?256:ag?2048:0)|(xo.typeParameters||xo.type?1:0)|4194304,xo.typeArguments=void 0,xo.jsDoc=void 0,xo.locals=void 0,xo.nextContainer=void 0,xo.flowNode=void 0,xo.endFlowNode=void 0,xo.returnFlowNode=void 0,xo}function ym(A,$,ie,Ee,ht,bi,lr,xo){return A.name!==Ee||A.modifiers!==$||A.asteriskToken!==ie||A.typeParameters!==ht||A.parameters!==bi||A.type!==lr||A.body!==xo?oe(Jp($,ie,Ee,ht,bi,lr,xo),A):A}function tg(A,$,ie,Ee,ht,bi){const lr=re(219);lr.modifiers=gc(A),lr.typeParameters=gc($),lr.parameters=Z(ie),lr.type=Ee,lr.equalsGreaterThanToken=ht??et(39),lr.body=u().parenthesizeConciseBodyOfArrowFunction(bi);const xo=M1(lr.modifiers)&1024;return lr.transformFlags=oc(lr.modifiers)|oc(lr.typeParameters)|oc(lr.parameters)|mr(lr.type)|mr(lr.equalsGreaterThanToken)|mr(lr.body)&-67108865|(lr.typeParameters||lr.type?1:0)|(xo?16640:0)|1024,lr.typeArguments=void 0,lr.jsDoc=void 0,lr.locals=void 0,lr.nextContainer=void 0,lr.flowNode=void 0,lr.endFlowNode=void 0,lr.returnFlowNode=void 0,lr}function Zv(A,$,ie,Ee,ht,bi,lr){return A.modifiers!==$||A.typeParameters!==ie||A.parameters!==Ee||A.type!==ht||A.equalsGreaterThanToken!==bi||A.body!==lr?oe(tg($,ie,Ee,ht,bi,lr),A):A}function Hg(A){const $=Q(220);return $.expression=u().parenthesizeOperandOfPrefixUnary(A),$.transformFlags|=mr($.expression),$}function F_(A,$){return A.expression!==$?ir(Hg($),A):A}function jd(A){const $=Q(221);return $.expression=u().parenthesizeOperandOfPrefixUnary(A),$.transformFlags|=mr($.expression),$}function lC(A,$){return A.expression!==$?ir(jd($),A):A}function h_(A){const $=Q(222);return $.expression=u().parenthesizeOperandOfPrefixUnary(A),$.transformFlags|=mr($.expression),$}function Yv(A,$){return A.expression!==$?ir(h_($),A):A}function vm(A){const $=Q(223);return $.expression=u().parenthesizeOperandOfPrefixUnary(A),$.transformFlags|=mr($.expression)|256|128|2097152,$}function ve(A,$){return A.expression!==$?ir(vm($),A):A}function Ot(A,$){const ie=Q(224);return ie.operator=A,ie.operand=u().parenthesizeOperandOfPrefixUnary($),ie.transformFlags|=mr(ie.operand),(A===46||A===47)&&ot(ie.operand)&&!zl(ie.operand)&&!iC(ie.operand)&&(ie.transformFlags|=268435456),ie}function mi(A,$){return A.operand!==$?ir(Ot(A.operator,$),A):A}function Ci(A,$){const ie=Q(225);return ie.operator=$,ie.operand=u().parenthesizeOperandOfPostfixUnary(A),ie.transformFlags|=mr(ie.operand),ot(ie.operand)&&!zl(ie.operand)&&!iC(ie.operand)&&(ie.transformFlags|=268435456),ie}function hn(A,$){return A.operand!==$?ir(Ci($,A.operator),A):A}function Wn(A,$,ie){const Ee=re(226),ht=F5($),bi=ht.kind;return Ee.left=u().parenthesizeLeftSideOfBinary(bi,A),Ee.operatorToken=ht,Ee.right=u().parenthesizeRightSideOfBinary(bi,Ee.left,ie),Ee.transformFlags|=mr(Ee.left)|mr(Ee.operatorToken)|mr(Ee.right),bi===61?Ee.transformFlags|=32:bi===64?Aa(Ee.left)?Ee.transformFlags|=5248|Lr(Ee.left):jf(Ee.left)&&(Ee.transformFlags|=5120|Lr(Ee.left)):bi===43||bi===68?Ee.transformFlags|=512:U8(bi)&&(Ee.transformFlags|=16),bi===103&&Vs(Ee.left)&&(Ee.transformFlags|=536870912),Ee.jsDoc=void 0,Ee}function Lr(A){return xH(A)?65536:0}function ps(A,$,ie,Ee){return A.left!==$||A.operatorToken!==ie||A.right!==Ee?ir(Wn($,ie,Ee),A):A}function ee(A,$,ie,Ee,ht){const bi=Q(227);return bi.condition=u().parenthesizeConditionOfConditionalExpression(A),bi.questionToken=$??et(58),bi.whenTrue=u().parenthesizeBranchOfConditionalExpression(ie),bi.colonToken=Ee??et(59),bi.whenFalse=u().parenthesizeBranchOfConditionalExpression(ht),bi.transformFlags|=mr(bi.condition)|mr(bi.questionToken)|mr(bi.whenTrue)|mr(bi.colonToken)|mr(bi.whenFalse),bi}function Xe(A,$,ie,Ee,ht,bi){return A.condition!==$||A.questionToken!==ie||A.whenTrue!==Ee||A.colonToken!==ht||A.whenFalse!==bi?ir(ee($,ie,Ee,ht,bi),A):A}function Zt(A,$){const ie=Q(228);return ie.head=A,ie.templateSpans=Z($),ie.transformFlags|=mr(ie.head)|oc(ie.templateSpans)|1024,ie}function Nn(A,$,ie){return A.head!==$||A.templateSpans!==ie?ir(Zt($,ie),A):A}function Is(A,$,ie,Ee=0){j.assert(!(Ee&-7177),"Unsupported template flags.");let ht;if(ie!==void 0&&ie!==$&&(ht=X6t(A,ie),typeof ht=="object"))return j.fail("Invalid raw text");if($===void 0){if(ht===void 0)return j.fail("Arguments 'text' and 'rawText' may not both be undefined.");$=ht}else ht!==void 0&&j.assert($===ht,"Expected argument 'text' to be the normalized (i.e. 'cooked') version of argument 'rawText'.");return $}function Qo(A){let $=1024;return A&&($|=128),$}function To(A,$,ie,Ee){const ht=$e(A);return ht.text=$,ht.rawText=ie,ht.templateFlags=Ee&7176,ht.transformFlags=Qo(ht.templateFlags),ht}function Zo(A,$,ie,Ee){const ht=re(A);return ht.text=$,ht.rawText=ie,ht.templateFlags=Ee&7176,ht.transformFlags=Qo(ht.templateFlags),ht}function uc(A,$,ie,Ee){return A===15?Zo(A,$,ie,Ee):To(A,$,ie,Ee)}function Xa(A,$,ie){return A=Is(16,A,$,ie),uc(16,A,$,ie)}function Yl(A,$,ie){return A=Is(16,A,$,ie),uc(17,A,$,ie)}function ig(A,$,ie){return A=Is(16,A,$,ie),uc(18,A,$,ie)}function h0(A,$,ie){return A=Is(16,A,$,ie),Zo(15,A,$,ie)}function K0(A,$){j.assert(!A||!!$,"A `YieldExpression` with an asteriskToken must have an expression.");const ie=Q(229);return ie.expression=$&&u().parenthesizeExpressionForDisallowedComma($),ie.asteriskToken=A,ie.transformFlags|=mr(ie.expression)|mr(ie.asteriskToken)|1024|128|1048576,ie}function eb(A,$,ie){return A.expression!==ie||A.asteriskToken!==$?ir(K0($,ie),A):A}function PS(A){const $=Q(230);return $.expression=u().parenthesizeExpressionForDisallowedComma(A),$.transformFlags|=mr($.expression)|1024|32768,$}function tb(A,$){return A.expression!==$?ir(PS($),A):A}function ng(A,$,ie,Ee,ht){const bi=re(231);return bi.modifiers=gc(A),bi.name=gd($),bi.typeParameters=gc(ie),bi.heritageClauses=gc(Ee),bi.members=Z(ht),bi.transformFlags|=oc(bi.modifiers)|ux(bi.name)|oc(bi.typeParameters)|oc(bi.heritageClauses)|oc(bi.members)|(bi.typeParameters?1:0)|1024,bi.jsDoc=void 0,bi}function rg(A,$,ie,Ee,ht,bi){return A.modifiers!==$||A.name!==ie||A.typeParameters!==Ee||A.heritageClauses!==ht||A.members!==bi?ir(ng($,ie,Ee,ht,bi),A):A}function Vl(){return Q(232)}function Gp(A,$){const ie=Q(233);return ie.expression=u().parenthesizeLeftSideOfAccess(A,!1),ie.typeArguments=$&&u().parenthesizeTypeArguments($),ie.transformFlags|=mr(ie.expression)|oc(ie.typeArguments)|1024,ie}function ep(A,$,ie){return A.expression!==$||A.typeArguments!==ie?ir(Gp($,ie),A):A}function X0(A,$){const ie=Q(234);return ie.expression=A,ie.type=$,ie.transformFlags|=mr(ie.expression)|mr(ie.type)|1,ie}function ib(A,$,ie){return A.expression!==$||A.type!==ie?ir(X0($,ie),A):A}function jg(A){const $=Q(235);return $.expression=u().parenthesizeLeftSideOfAccess(A,!1),$.transformFlags|=mr($.expression)|1,$}function $y(A,$){return hG(A)?x2(A,$):A.expression!==$?ir(jg($),A):A}function uC(A,$){const ie=Q(238);return ie.expression=A,ie.type=$,ie.transformFlags|=mr(ie.expression)|mr(ie.type)|1,ie}function AS(A,$,ie){return A.expression!==$||A.type!==ie?ir(uC($,ie),A):A}function zg(A){const $=Q(235);return $.flags|=64,$.expression=u().parenthesizeLeftSideOfAccess(A,!0),$.transformFlags|=mr($.expression)|1,$}function x2(A,$){return j.assert(!!(A.flags&64),"Cannot update a NonNullExpression using updateNonNullChain. Use updateNonNullExpression instead."),A.expression!==$?ir(zg($),A):A}function bm(A,$){const ie=Q(236);switch(ie.keywordToken=A,ie.name=$,ie.transformFlags|=mr(ie.name),A){case 105:ie.transformFlags|=1024;break;case 102:ie.transformFlags|=32;break;default:return j.assertNever(A)}return ie.flowNode=void 0,ie}function Ug(A,$){return A.name!==$?ir(bm(A.keywordToken,$),A):A}function dC(A,$){const ie=Q(239);return ie.expression=A,ie.literal=$,ie.transformFlags|=mr(ie.expression)|mr(ie.literal)|1024,ie}function k2(A,$,ie){return A.expression!==$||A.literal!==ie?ir(dC($,ie),A):A}function Jy(){const A=Q(240);return A.transformFlags|=1024,A}function nb(A,$){const ie=Q(241);return ie.statements=Z(A),ie.multiLine=$,ie.transformFlags|=oc(ie.statements),ie.jsDoc=void 0,ie.locals=void 0,ie.nextContainer=void 0,ie}function T2(A,$){return A.statements!==$?ir(nb($,A.multiLine),A):A}function xx(A,$){const ie=Q(243);return ie.modifiers=gc(A),ie.declarationList=Ao($)?sb($):$,ie.transformFlags|=oc(ie.modifiers)|mr(ie.declarationList),M1(ie.modifiers)&128&&(ie.transformFlags=1),ie.jsDoc=void 0,ie.flowNode=void 0,ie}function it(A,$,ie){return A.modifiers!==$||A.declarationList!==ie?ir(xx($,ie),A):A}function yt(){const A=Q(242);return A.jsDoc=void 0,A}function ei(A){const $=Q(244);return $.expression=u().parenthesizeExpressionOfExpressionStatement(A),$.transformFlags|=mr($.expression),$.jsDoc=void 0,$.flowNode=void 0,$}function Pi(A,$){return A.expression!==$?ir(ei($),A):A}function nn(A,$,ie){const Ee=Q(245);return Ee.expression=A,Ee.thenStatement=Zp($),Ee.elseStatement=Zp(ie),Ee.transformFlags|=mr(Ee.expression)|mr(Ee.thenStatement)|mr(Ee.elseStatement),Ee.jsDoc=void 0,Ee.flowNode=void 0,Ee}function Vn(A,$,ie,Ee){return A.expression!==$||A.thenStatement!==ie||A.elseStatement!==Ee?ir(nn($,ie,Ee),A):A}function mn(A,$){const ie=Q(246);return ie.statement=Zp(A),ie.expression=$,ie.transformFlags|=mr(ie.statement)|mr(ie.expression),ie.jsDoc=void 0,ie.flowNode=void 0,ie}function Pr(A,$,ie){return A.statement!==$||A.expression!==ie?ir(mn($,ie),A):A}function ks(A,$){const ie=Q(247);return ie.expression=A,ie.statement=Zp($),ie.transformFlags|=mr(ie.expression)|mr(ie.statement),ie.jsDoc=void 0,ie.flowNode=void 0,ie}function ar(A,$,ie){return A.expression!==$||A.statement!==ie?ir(ks($,ie),A):A}function Ns(A,$,ie,Ee){const ht=Q(248);return ht.initializer=A,ht.condition=$,ht.incrementor=ie,ht.statement=Zp(Ee),ht.transformFlags|=mr(ht.initializer)|mr(ht.condition)|mr(ht.incrementor)|mr(ht.statement),ht.jsDoc=void 0,ht.locals=void 0,ht.nextContainer=void 0,ht.flowNode=void 0,ht}function cr(A,$,ie,Ee,ht){return A.initializer!==$||A.condition!==ie||A.incrementor!==Ee||A.statement!==ht?ir(Ns($,ie,Ee,ht),A):A}function Go(A,$,ie){const Ee=Q(249);return Ee.initializer=A,Ee.expression=$,Ee.statement=Zp(ie),Ee.transformFlags|=mr(Ee.initializer)|mr(Ee.expression)|mr(Ee.statement),Ee.jsDoc=void 0,Ee.locals=void 0,Ee.nextContainer=void 0,Ee.flowNode=void 0,Ee}function ll(A,$,ie,Ee){return A.initializer!==$||A.expression!==ie||A.statement!==Ee?ir(Go($,ie,Ee),A):A}function qh(A,$,ie,Ee){const ht=Q(250);return ht.awaitModifier=A,ht.initializer=$,ht.expression=u().parenthesizeExpressionForDisallowedComma(ie),ht.statement=Zp(Ee),ht.transformFlags|=mr(ht.awaitModifier)|mr(ht.initializer)|mr(ht.expression)|mr(ht.statement)|1024,A&&(ht.transformFlags|=128),ht.jsDoc=void 0,ht.locals=void 0,ht.nextContainer=void 0,ht.flowNode=void 0,ht}function qg(A,$,ie,Ee,ht){return A.awaitModifier!==$||A.initializer!==ie||A.expression!==Ee||A.statement!==ht?ir(qh($,ie,Ee,ht),A):A}function $h(A){const $=Q(251);return $.label=gd(A),$.transformFlags|=mr($.label)|4194304,$.jsDoc=void 0,$.flowNode=void 0,$}function $g(A,$){return A.label!==$?ir($h($),A):A}function Jh(A){const $=Q(252);return $.label=gd(A),$.transformFlags|=mr($.label)|4194304,$.jsDoc=void 0,$.flowNode=void 0,$}function DD(A,$){return A.label!==$?ir(Jh($),A):A}function kx(A){const $=Q(253);return $.expression=A,$.transformFlags|=mr($.expression)|128|4194304,$.jsDoc=void 0,$.flowNode=void 0,$}function rb(A,$){return A.expression!==$?ir(kx($),A):A}function fC(A,$){const ie=Q(254);return ie.expression=A,ie.statement=Zp($),ie.transformFlags|=mr(ie.expression)|mr(ie.statement),ie.jsDoc=void 0,ie.flowNode=void 0,ie}function OS(A,$,ie){return A.expression!==$||A.statement!==ie?ir(fC($,ie),A):A}function _0(A,$){const ie=Q(255);return ie.expression=u().parenthesizeExpressionForDisallowedComma(A),ie.caseBlock=$,ie.transformFlags|=mr(ie.expression)|mr(ie.caseBlock),ie.jsDoc=void 0,ie.flowNode=void 0,ie.possiblyExhaustive=!1,ie}function MS(A,$,ie){return A.expression!==$||A.caseBlock!==ie?ir(_0($,ie),A):A}function Tx(A,$){const ie=Q(256);return ie.label=gd(A),ie.statement=Zp($),ie.transformFlags|=mr(ie.label)|mr(ie.statement),ie.jsDoc=void 0,ie.flowNode=void 0,ie}function Dx(A,$,ie){return A.label!==$||A.statement!==ie?ir(Tx($,ie),A):A}function Ex(A){const $=Q(257);return $.expression=A,$.transformFlags|=mr($.expression),$.jsDoc=void 0,$.flowNode=void 0,$}function ZI(A,$){return A.expression!==$?ir(Ex($),A):A}function D2(A,$,ie){const Ee=Q(258);return Ee.tryBlock=A,Ee.catchClause=$,Ee.finallyBlock=ie,Ee.transformFlags|=mr(Ee.tryBlock)|mr(Ee.catchClause)|mr(Ee.finallyBlock),Ee.jsDoc=void 0,Ee.flowNode=void 0,Ee}function lc(A,$,ie,Ee){return A.tryBlock!==$||A.catchClause!==ie||A.finallyBlock!==Ee?ir(D2($,ie,Ee),A):A}function ED(){const A=Q(259);return A.jsDoc=void 0,A.flowNode=void 0,A}function YI(A,$,ie,Ee){const ht=re(260);return ht.name=gd(A),ht.exclamationToken=$,ht.type=ie,ht.initializer=HD(Ee),ht.transformFlags|=ux(ht.name)|mr(ht.initializer)|(ht.exclamationToken??ht.type?1:0),ht.jsDoc=void 0,ht}function E2(A,$,ie,Ee,ht){return A.name!==$||A.type!==Ee||A.exclamationToken!==ie||A.initializer!==ht?ir(YI($,ie,Ee,ht),A):A}function sb(A,$=0){const ie=Q(261);return ie.flags|=$&7,ie.declarations=Z(A),ie.transformFlags|=oc(ie.declarations)|4194304,$&7&&(ie.transformFlags|=263168),$&4&&(ie.transformFlags|=4),ie}function ob(A,$){return A.declarations!==$?ir(sb($,A.flags),A):A}function Cm(A,$,ie,Ee,ht,bi,lr){const xo=re(262);if(xo.modifiers=gc(A),xo.asteriskToken=$,xo.name=gd(ie),xo.typeParameters=gc(Ee),xo.parameters=Z(ht),xo.type=bi,xo.body=lr,!xo.body||M1(xo.modifiers)&128)xo.transformFlags=1;else{const el=M1(xo.modifiers)&1024,ag=!!xo.asteriskToken,Yy=el&&ag;xo.transformFlags=oc(xo.modifiers)|mr(xo.asteriskToken)|ux(xo.name)|oc(xo.typeParameters)|oc(xo.parameters)|mr(xo.type)|mr(xo.body)&-67108865|(Yy?128:el?256:ag?2048:0)|(xo.typeParameters||xo.type?1:0)|4194304}return xo.typeArguments=void 0,xo.jsDoc=void 0,xo.locals=void 0,xo.nextContainer=void 0,xo.endFlowNode=void 0,xo.returnFlowNode=void 0,xo}function Op(A,$,ie,Ee,ht,bi,lr,xo){return A.modifiers!==$||A.asteriskToken!==ie||A.name!==Ee||A.typeParameters!==ht||A.parameters!==bi||A.type!==lr||A.body!==xo?Mp(Cm($,ie,Ee,ht,bi,lr,xo),A):A}function Mp(A,$){return A!==$&&A.modifiers===$.modifiers&&(A.modifiers=$.modifiers),oe(A,$)}function tp(A,$,ie,Ee,ht){const bi=re(263);return bi.modifiers=gc(A),bi.name=gd($),bi.typeParameters=gc(ie),bi.heritageClauses=gc(Ee),bi.members=Z(ht),M1(bi.modifiers)&128?bi.transformFlags=1:(bi.transformFlags|=oc(bi.modifiers)|ux(bi.name)|oc(bi.typeParameters)|oc(bi.heritageClauses)|oc(bi.members)|(bi.typeParameters?1:0)|1024,bi.transformFlags&8192&&(bi.transformFlags|=1)),bi.jsDoc=void 0,bi}function Ix(A,$,ie,Ee,ht,bi){return A.modifiers!==$||A.name!==ie||A.typeParameters!==Ee||A.heritageClauses!==ht||A.members!==bi?ir(tp($,ie,Ee,ht,bi),A):A}function vO(A,$,ie,Ee,ht){const bi=re(264);return bi.modifiers=gc(A),bi.name=gd($),bi.typeParameters=gc(ie),bi.heritageClauses=gc(Ee),bi.members=Z(ht),bi.transformFlags=1,bi.jsDoc=void 0,bi}function Xd(A,$,ie,Ee,ht,bi){return A.modifiers!==$||A.name!==ie||A.typeParameters!==Ee||A.heritageClauses!==ht||A.members!==bi?ir(vO($,ie,Ee,ht,bi),A):A}function Q0(A,$,ie,Ee){const ht=re(265);return ht.modifiers=gc(A),ht.name=gd($),ht.typeParameters=gc(ie),ht.type=Ee,ht.transformFlags=1,ht.jsDoc=void 0,ht.locals=void 0,ht.nextContainer=void 0,ht}function RS(A,$,ie,Ee,ht){return A.modifiers!==$||A.name!==ie||A.typeParameters!==Ee||A.type!==ht?ir(Q0($,ie,Ee,ht),A):A}function Gy(A,$,ie){const Ee=re(266);return Ee.modifiers=gc(A),Ee.name=gd($),Ee.members=Z(ie),Ee.transformFlags|=oc(Ee.modifiers)|mr(Ee.name)|oc(Ee.members)|1,Ee.transformFlags&=-67108865,Ee.jsDoc=void 0,Ee}function kA(A,$,ie,Ee){return A.modifiers!==$||A.name!==ie||A.members!==Ee?ir(Gy($,ie,Ee),A):A}function dh(A,$,ie,Ee=0){const ht=re(267);return ht.modifiers=gc(A),ht.flags|=Ee&2088,ht.name=$,ht.body=ie,M1(ht.modifiers)&128?ht.transformFlags=1:ht.transformFlags|=oc(ht.modifiers)|mr(ht.name)|mr(ht.body)|1,ht.transformFlags&=-67108865,ht.jsDoc=void 0,ht.locals=void 0,ht.nextContainer=void 0,ht}function hC(A,$,ie,Ee){return A.modifiers!==$||A.name!==ie||A.body!==Ee?ir(dh($,ie,Ee,A.flags),A):A}function ip(A){const $=Q(268);return $.statements=Z(A),$.transformFlags|=oc($.statements),$.jsDoc=void 0,$}function I2(A,$){return A.statements!==$?ir(ip($),A):A}function Q1(A){const $=Q(269);return $.clauses=Z(A),$.transformFlags|=oc($.clauses),$.locals=void 0,$.nextContainer=void 0,$}function ft(A,$){return A.clauses!==$?ir(Q1($),A):A}function Z0(A){const $=re(270);return $.name=gd(A),$.transformFlags|=sH($.name)|1,$.modifiers=void 0,$.jsDoc=void 0,$}function Z1(A,$){return A.name!==$?N2(Z0($),A):A}function N2(A,$){return A!==$&&(A.modifiers=$.modifiers),ir(A,$)}function TA(A,$,ie,Ee){const ht=re(271);return ht.modifiers=gc(A),ht.name=gd(ie),ht.isTypeOnly=$,ht.moduleReference=Ee,ht.transformFlags|=oc(ht.modifiers)|sH(ht.name)|mr(ht.moduleReference),eC(ht.moduleReference)||(ht.transformFlags|=1),ht.transformFlags&=-67108865,ht.jsDoc=void 0,ht}function _C(A,$,ie,Ee,ht){return A.modifiers!==$||A.isTypeOnly!==ie||A.name!==Ee||A.moduleReference!==ht?ir(TA($,ie,Ee,ht),A):A}function FS(A,$,ie,Ee){const ht=Q(272);return ht.modifiers=gc(A),ht.importClause=$,ht.moduleSpecifier=ie,ht.attributes=ht.assertClause=Ee,ht.transformFlags|=mr(ht.importClause)|mr(ht.moduleSpecifier),ht.transformFlags&=-67108865,ht.jsDoc=void 0,ht}function pC(A,$,ie,Ee,ht){return A.modifiers!==$||A.importClause!==ie||A.moduleSpecifier!==Ee||A.attributes!==ht?ir(FS($,ie,Ee,ht),A):A}function DA(A,$,ie){const Ee=re(273);return Ee.isTypeOnly=A,Ee.name=$,Ee.namedBindings=ie,Ee.transformFlags|=mr(Ee.name)|mr(Ee.namedBindings),A&&(Ee.transformFlags|=1),Ee.transformFlags&=-67108865,Ee}function $a(A,$,ie,Ee){return A.isTypeOnly!==$||A.name!==ie||A.namedBindings!==Ee?ir(DA($,ie,Ee),A):A}function p0(A,$){const ie=Q(300);return ie.elements=Z(A),ie.multiLine=$,ie.token=132,ie.transformFlags|=4,ie}function BS(A,$,ie){return A.elements!==$||A.multiLine!==ie?ir(p0($,ie),A):A}function L2(A,$){const ie=Q(301);return ie.name=A,ie.value=$,ie.transformFlags|=4,ie}function Kp(A,$,ie){return A.name!==$||A.value!==ie?ir(L2($,ie),A):A}function ab(A,$){const ie=Q(302);return ie.assertClause=A,ie.multiLine=$,ie}function Y0(A,$,ie){return A.assertClause!==$||A.multiLine!==ie?ir(ab($,ie),A):A}function Ky(A,$,ie){const Ee=Q(300);return Ee.token=ie??118,Ee.elements=Z(A),Ee.multiLine=$,Ee.transformFlags|=4,Ee}function EA(A,$,ie){return A.elements!==$||A.multiLine!==ie?ir(Ky($,ie,A.token),A):A}function Nx(A,$){const ie=Q(301);return ie.name=A,ie.value=$,ie.transformFlags|=4,ie}function Jg(A,$,ie){return A.name!==$||A.value!==ie?ir(Nx($,ie),A):A}function IA(A){const $=re(274);return $.name=A,$.transformFlags|=mr($.name),$.transformFlags&=-67108865,$}function WS(A,$){return A.name!==$?ir(IA($),A):A}function Ys(A){const $=re(280);return $.name=A,$.transformFlags|=mr($.name)|32,$.transformFlags&=-67108865,$}function yr(A,$){return A.name!==$?ir(Ys($),A):A}function ff(A){const $=Q(275);return $.elements=Z(A),$.transformFlags|=oc($.elements),$.transformFlags&=-67108865,$}function mC(A,$){return A.elements!==$?ir(ff($),A):A}function VS(A,$,ie){const Ee=re(276);return Ee.isTypeOnly=A,Ee.propertyName=$,Ee.name=ie,Ee.transformFlags|=mr(Ee.propertyName)|mr(Ee.name),Ee.transformFlags&=-67108865,Ee}function eN(A,$,ie,Ee){return A.isTypeOnly!==$||A.propertyName!==ie||A.name!==Ee?ir(VS($,ie,Ee),A):A}function Lx(A,$,ie){const Ee=re(277);return Ee.modifiers=gc(A),Ee.isExportEquals=$,Ee.expression=$?u().parenthesizeRightSideOfBinary(64,void 0,ie):u().parenthesizeExpressionOfExportDefault(ie),Ee.transformFlags|=oc(Ee.modifiers)|mr(Ee.expression),Ee.transformFlags&=-67108865,Ee.jsDoc=void 0,Ee}function tN(A,$,ie){return A.modifiers!==$||A.expression!==ie?ir(Lx($,A.isExportEquals,ie),A):A}function Tl(A,$,ie,Ee,ht){const bi=re(278);return bi.modifiers=gc(A),bi.isTypeOnly=$,bi.exportClause=ie,bi.moduleSpecifier=Ee,bi.attributes=bi.assertClause=ht,bi.transformFlags|=oc(bi.modifiers)|mr(bi.exportClause)|mr(bi.moduleSpecifier),bi.transformFlags&=-67108865,bi.jsDoc=void 0,bi}function gC(A,$,ie,Ee,ht,bi){return A.modifiers!==$||A.isTypeOnly!==ie||A.exportClause!==Ee||A.moduleSpecifier!==ht||A.attributes!==bi?ID(Tl($,ie,Ee,ht,bi),A):A}function ID(A,$){return A!==$&&A.modifiers===$.modifiers&&(A.modifiers=$.modifiers),ir(A,$)}function sg(A){const $=Q(279);return $.elements=Z(A),$.transformFlags|=oc($.elements),$.transformFlags&=-67108865,$}function ND(A,$){return A.elements!==$?ir(sg($),A):A}function iN(A,$,ie){const Ee=Q(281);return Ee.isTypeOnly=A,Ee.propertyName=gd($),Ee.name=gd(ie),Ee.transformFlags|=mr(Ee.propertyName)|mr(Ee.name),Ee.transformFlags&=-67108865,Ee.jsDoc=void 0,Ee}function bO(A,$,ie,Ee){return A.isTypeOnly!==$||A.propertyName!==ie||A.name!==Ee?ir(iN($,ie,Ee),A):A}function CO(){const A=re(282);return A.jsDoc=void 0,A}function vr(A){const $=Q(283);return $.expression=A,$.transformFlags|=mr($.expression),$.transformFlags&=-67108865,$}function fh(A,$){return A.expression!==$?ir(vr($),A):A}function Vo(A){return Q(A)}function HS(A,$,ie=!1){const Ee=NA(A,ie?$&&u().parenthesizeNonArrayTypeOfPostfixType($):$);return Ee.postfix=ie,Ee}function NA(A,$){const ie=Q(A);return ie.type=$,ie}function P2(A,$,ie){return $.type!==ie?ir(HS(A,ie,$.postfix),$):$}function rr(A,$,ie){return $.type!==ie?ir(NA(A,ie),$):$}function SO(A,$){const ie=re(317);return ie.parameters=gc(A),ie.type=$,ie.transformFlags=oc(ie.parameters)|(ie.type?1:0),ie.jsDoc=void 0,ie.locals=void 0,ie.nextContainer=void 0,ie.typeArguments=void 0,ie}function nN(A,$,ie){return A.parameters!==$||A.type!==ie?ir(SO($,ie),A):A}function Th(A,$=!1){const ie=re(322);return ie.jsDocPropertyTags=gc(A),ie.isArrayType=$,ie}function Dh(A,$,ie){return A.jsDocPropertyTags!==$||A.isArrayType!==ie?ir(Th($,ie),A):A}function Xp(A){const $=Q(309);return $.type=A,$}function A2(A,$){return A.type!==$?ir(Xp($),A):A}function rN(A,$,ie){const Ee=re(323);return Ee.typeParameters=gc(A),Ee.parameters=Z($),Ee.type=ie,Ee.jsDoc=void 0,Ee.locals=void 0,Ee.nextContainer=void 0,Ee}function cb(A,$,ie,Ee){return A.typeParameters!==$||A.parameters!==ie||A.type!==Ee?ir(rN($,ie,Ee),A):A}function np(A){const $=ile(A.kind);return A.tagName.escapedText===cu($)?A.tagName:De($)}function Y1(A,$,ie){const Ee=Q(A);return Ee.tagName=$,Ee.comment=ie,Ee}function Sm(A,$,ie){const Ee=re(A);return Ee.tagName=$,Ee.comment=ie,Ee}function jS(A,$,ie,Ee){const ht=Y1(345,A??De("template"),Ee);return ht.constraint=$,ht.typeParameters=Z(ie),ht}function zS(A,$=np(A),ie,Ee,ht){return A.tagName!==$||A.constraint!==ie||A.typeParameters!==Ee||A.comment!==ht?ir(jS($,ie,Ee,ht),A):A}function LD(A,$,ie,Ee){const ht=Sm(346,A??De("typedef"),Ee);return ht.typeExpression=$,ht.fullName=ie,ht.name=Rle(ie),ht.locals=void 0,ht.nextContainer=void 0,ht}function sN(A,$=np(A),ie,Ee,ht){return A.tagName!==$||A.typeExpression!==ie||A.fullName!==Ee||A.comment!==ht?ir(LD($,ie,Ee,ht),A):A}function Px(A,$,ie,Ee,ht,bi){const lr=Sm(341,A??De("param"),bi);return lr.typeExpression=Ee,lr.name=$,lr.isNameFirst=!!ht,lr.isBracketed=ie,lr}function oN(A,$=np(A),ie,Ee,ht,bi,lr){return A.tagName!==$||A.name!==ie||A.isBracketed!==Ee||A.typeExpression!==ht||A.isNameFirst!==bi||A.comment!==lr?ir(Px($,ie,Ee,ht,bi,lr),A):A}function aN(A,$,ie,Ee,ht,bi){const lr=Sm(348,A??De("prop"),bi);return lr.typeExpression=Ee,lr.name=$,lr.isNameFirst=!!ht,lr.isBracketed=ie,lr}function cN(A,$=np(A),ie,Ee,ht,bi,lr){return A.tagName!==$||A.name!==ie||A.isBracketed!==Ee||A.typeExpression!==ht||A.isNameFirst!==bi||A.comment!==lr?ir(aN($,ie,Ee,ht,bi,lr),A):A}function e1(A,$,ie,Ee){const ht=Sm(338,A??De("callback"),Ee);return ht.typeExpression=$,ht.fullName=ie,ht.name=Rle(ie),ht.locals=void 0,ht.nextContainer=void 0,ht}function wO(A,$=np(A),ie,Ee,ht){return A.tagName!==$||A.typeExpression!==ie||A.fullName!==Ee||A.comment!==ht?ir(e1($,ie,Ee,ht),A):A}function O2(A,$,ie){const Ee=Y1(339,A??De("overload"),ie);return Ee.typeExpression=$,Ee}function Ax(A,$=np(A),ie,Ee){return A.tagName!==$||A.typeExpression!==ie||A.comment!==Ee?ir(O2($,ie,Ee),A):A}function lb(A,$,ie){const Ee=Y1(328,A??De("augments"),ie);return Ee.class=$,Ee}function yC(A,$=np(A),ie,Ee){return A.tagName!==$||A.class!==ie||A.comment!==Ee?ir(lb($,ie,Ee),A):A}function m0(A,$,ie){const Ee=Y1(329,A??De("implements"),ie);return Ee.class=$,Ee}function US(A,$,ie){const Ee=Y1(347,A??De("see"),ie);return Ee.name=$,Ee}function lN(A,$,ie,Ee){return A.tagName!==$||A.name!==ie||A.comment!==Ee?ir(US($,ie,Ee),A):A}function Qd(A){const $=Q(310);return $.name=A,$}function uN(A,$){return A.name!==$?ir(Qd($),A):A}function Xy(A,$){const ie=Q(311);return ie.left=A,ie.right=$,ie.transformFlags|=mr(ie.left)|mr(ie.right),ie}function dN(A,$,ie){return A.left!==$||A.right!==ie?ir(Xy($,ie),A):A}function M2(A,$){const ie=Q(324);return ie.name=A,ie.text=$,ie}function vC(A,$,ie){return A.name!==$?ir(M2($,ie),A):A}function Ox(A,$){const ie=Q(325);return ie.name=A,ie.text=$,ie}function PD(A,$,ie){return A.name!==$?ir(Ox($,ie),A):A}function Zu(A,$){const ie=Q(326);return ie.name=A,ie.text=$,ie}function $f(A,$,ie){return A.name!==$?ir(Zu($,ie),A):A}function xO(A,$=np(A),ie,Ee){return A.tagName!==$||A.class!==ie||A.comment!==Ee?ir(m0($,ie,Ee),A):A}function Eh(A,$,ie){return Y1(A,$??De(ile(A)),ie)}function rp(A,$,ie=np($),Ee){return $.tagName!==ie||$.comment!==Ee?ir(Eh(A,ie,Ee),$):$}function LA(A,$,ie,Ee){const ht=Y1(A,$??De(ile(A)),Ee);return ht.typeExpression=ie,ht}function ey(A,$,ie=np($),Ee,ht){return $.tagName!==ie||$.typeExpression!==Ee||$.comment!==ht?ir(LA(A,ie,Ee,ht),$):$}function PA(A,$){return Y1(327,A,$)}function qS(A,$,ie){return A.tagName!==$||A.comment!==ie?ir(PA($,ie),A):A}function fN(A,$,ie){const Ee=Sm(340,A??De(ile(340)),ie);return Ee.typeExpression=$,Ee.locals=void 0,Ee.nextContainer=void 0,Ee}function L5(A,$=np(A),ie,Ee){return A.tagName!==$||A.typeExpression!==ie||A.comment!==Ee?ir(fN($,ie,Ee),A):A}function nu(A,$,ie,Ee,ht){const bi=Y1(351,A??De("import"),ht);return bi.importClause=$,bi.moduleSpecifier=ie,bi.attributes=Ee,bi.comment=ht,bi}function kO(A,$,ie,Ee,ht,bi){return A.tagName!==$||A.comment!==bi||A.importClause!==ie||A.moduleSpecifier!==Ee||A.attributes!==ht?ir(nu($,ie,Ee,ht,bi),A):A}function AA(A){const $=Q(321);return $.text=A,$}function Mx(A,$){return A.text!==$?ir(AA($),A):A}function hN(A,$){const ie=Q(320);return ie.comment=A,ie.tags=gc($),ie}function B_(A,$,ie){return A.comment!==$||A.tags!==ie?ir(hN($,ie),A):A}function AD(A,$,ie){const Ee=Q(284);return Ee.openingElement=A,Ee.children=Z($),Ee.closingElement=ie,Ee.transformFlags|=mr(Ee.openingElement)|oc(Ee.children)|mr(Ee.closingElement)|2,Ee}function OA(A,$,ie,Ee){return A.openingElement!==$||A.children!==ie||A.closingElement!==Ee?ir(AD($,ie,Ee),A):A}function OD(A,$,ie){const Ee=Q(285);return Ee.tagName=A,Ee.typeArguments=gc($),Ee.attributes=ie,Ee.transformFlags|=mr(Ee.tagName)|oc(Ee.typeArguments)|mr(Ee.attributes)|2,Ee.typeArguments&&(Ee.transformFlags|=1),Ee}function sp(A,$,ie,Ee){return A.tagName!==$||A.typeArguments!==ie||A.attributes!==Ee?ir(OD($,ie,Ee),A):A}function MA(A,$,ie){const Ee=Q(286);return Ee.tagName=A,Ee.typeArguments=gc($),Ee.attributes=ie,Ee.transformFlags|=mr(Ee.tagName)|oc(Ee.typeArguments)|mr(Ee.attributes)|2,$&&(Ee.transformFlags|=1),Ee}function Rx(A,$,ie,Ee){return A.tagName!==$||A.typeArguments!==ie||A.attributes!==Ee?ir(MA($,ie,Ee),A):A}function wm(A){const $=Q(287);return $.tagName=A,$.transformFlags|=mr($.tagName)|2,$}function _N(A,$){return A.tagName!==$?ir(wm($),A):A}function Fx(A,$,ie){const Ee=Q(288);return Ee.openingFragment=A,Ee.children=Z($),Ee.closingFragment=ie,Ee.transformFlags|=mr(Ee.openingFragment)|oc(Ee.children)|mr(Ee.closingFragment)|2,Ee}function P5(A,$,ie,Ee){return A.openingFragment!==$||A.children!==ie||A.closingFragment!==Ee?ir(Fx($,ie,Ee),A):A}function MD(A,$){const ie=Q(12);return ie.text=A,ie.containsOnlyTriviaWhiteSpaces=!!$,ie.transformFlags|=2,ie}function pN(A,$,ie){return A.text!==$||A.containsOnlyTriviaWhiteSpaces!==ie?ir(MD($,ie),A):A}function RA(){const A=Q(289);return A.transformFlags|=2,A}function $S(){const A=Q(290);return A.transformFlags|=2,A}function __(A,$){const ie=re(291);return ie.name=A,ie.initializer=$,ie.transformFlags|=mr(ie.name)|mr(ie.initializer)|2,ie}function ub(A,$,ie){return A.name!==$||A.initializer!==ie?ir(__($,ie),A):A}function db(A){const $=re(292);return $.properties=Z(A),$.transformFlags|=oc($.properties)|2,$}function TO(A,$){return A.properties!==$?ir(db($),A):A}function wc(A){const $=Q(293);return $.expression=A,$.transformFlags|=mr($.expression)|2,$}function xr(A,$){return A.expression!==$?ir(wc($),A):A}function p_(A,$){const ie=Q(294);return ie.dotDotDotToken=A,ie.expression=$,ie.transformFlags|=mr(ie.dotDotDotToken)|mr(ie.expression)|2,ie}function Qp(A,$){return A.expression!==$?ir(p_(A.dotDotDotToken,$),A):A}function RD(A,$){const ie=Q(295);return ie.namespace=A,ie.name=$,ie.transformFlags|=mr(ie.namespace)|mr(ie.name)|2,ie}function DO(A,$,ie){return A.namespace!==$||A.name!==ie?ir(RD($,ie),A):A}function FA(A,$){const ie=Q(296);return ie.expression=u().parenthesizeExpressionForDisallowedComma(A),ie.statements=Z($),ie.transformFlags|=mr(ie.expression)|oc(ie.statements),ie.jsDoc=void 0,ie}function R2(A,$,ie){return A.expression!==$||A.statements!==ie?ir(FA($,ie),A):A}function FD(A){const $=Q(297);return $.statements=Z(A),$.transformFlags=oc($.statements),$}function BD(A,$){return A.statements!==$?ir(FD($),A):A}function Gg(A,$){const ie=Q(298);switch(ie.token=A,ie.types=Z($),ie.transformFlags|=oc(ie.types),A){case 96:ie.transformFlags|=1024;break;case 119:ie.transformFlags|=1;break;default:return j.assertNever(A)}return ie}function Rp(A,$){return A.types!==$?ir(Gg(A.token,$),A):A}function Fp(A,$){const ie=Q(299);return ie.variableDeclaration=W2(A),ie.block=$,ie.transformFlags|=mr(ie.variableDeclaration)|mr(ie.block)|(A?0:64),ie.locals=void 0,ie.nextContainer=void 0,ie}function t1(A,$,ie){return A.variableDeclaration!==$||A.block!==ie?ir(Fp($,ie),A):A}function Kg(A,$){const ie=re(303);return ie.name=gd(A),ie.initializer=u().parenthesizeExpressionForDisallowedComma($),ie.transformFlags|=ux(ie.name)|mr(ie.initializer),ie.modifiers=void 0,ie.questionToken=void 0,ie.exclamationToken=void 0,ie.jsDoc=void 0,ie}function mN(A,$,ie){return A.name!==$||A.initializer!==ie?Td(Kg($,ie),A):A}function Td(A,$){return A!==$&&(A.modifiers=$.modifiers,A.questionToken=$.questionToken,A.exclamationToken=$.exclamationToken),ir(A,$)}function BA(A,$){const ie=re(304);return ie.name=gd(A),ie.objectAssignmentInitializer=$&&u().parenthesizeExpressionForDisallowedComma($),ie.transformFlags|=sH(ie.name)|mr(ie.objectAssignmentInitializer)|1024,ie.equalsToken=void 0,ie.modifiers=void 0,ie.questionToken=void 0,ie.exclamationToken=void 0,ie.jsDoc=void 0,ie}function V(A,$,ie){return A.name!==$||A.objectAssignmentInitializer!==ie?Le(BA($,ie),A):A}function Le(A,$){return A!==$&&(A.modifiers=$.modifiers,A.questionToken=$.questionToken,A.exclamationToken=$.exclamationToken,A.equalsToken=$.equalsToken),ir(A,$)}function Ut(A){const $=re(305);return $.expression=u().parenthesizeExpressionForDisallowedComma(A),$.transformFlags|=mr($.expression)|128|65536,$.jsDoc=void 0,$}function Wi(A,$){return A.expression!==$?ir(Ut($),A):A}function gs(A,$){const ie=re(306);return ie.name=gd(A),ie.initializer=$&&u().parenthesizeExpressionForDisallowedComma($),ie.transformFlags|=mr(ie.name)|mr(ie.initializer)|1,ie.jsDoc=void 0,ie}function ao(A,$,ie){return A.name!==$||A.initializer!==ie?ir(gs($,ie),A):A}function Co(A,$,ie){const Ee=r.createBaseSourceFileNode(307);return Ee.statements=Z(A),Ee.endOfFileToken=$,Ee.flags|=ie,Ee.text="",Ee.fileName="",Ee.path="",Ee.resolvedPath="",Ee.originalFileName="",Ee.languageVersion=1,Ee.languageVariant=0,Ee.scriptKind=0,Ee.isDeclarationFile=!1,Ee.hasNoDefaultLib=!1,Ee.transformFlags|=oc(Ee.statements)|mr(Ee.endOfFileToken),Ee.locals=void 0,Ee.nextContainer=void 0,Ee.endFlowNode=void 0,Ee.nodeCount=0,Ee.identifierCount=0,Ee.symbolCount=0,Ee.parseDiagnostics=void 0,Ee.bindDiagnostics=void 0,Ee.bindSuggestionDiagnostics=void 0,Ee.lineMap=void 0,Ee.externalModuleIndicator=void 0,Ee.setExternalModuleIndicator=void 0,Ee.pragmas=void 0,Ee.checkJsDirective=void 0,Ee.referencedFiles=void 0,Ee.typeReferenceDirectives=void 0,Ee.libReferenceDirectives=void 0,Ee.amdDependencies=void 0,Ee.commentDirectives=void 0,Ee.identifiers=void 0,Ee.packageJsonLocations=void 0,Ee.packageJsonScope=void 0,Ee.imports=void 0,Ee.moduleAugmentations=void 0,Ee.ambientModuleNames=void 0,Ee.classifiableNames=void 0,Ee.impliedNodeFormat=void 0,Ee}function co(A){const $=Object.create(A.redirectTarget);return Object.defineProperties($,{id:{get(){return this.redirectInfo.redirectTarget.id},set(ie){this.redirectInfo.redirectTarget.id=ie}},symbol:{get(){return this.redirectInfo.redirectTarget.symbol},set(ie){this.redirectInfo.redirectTarget.symbol=ie}}}),$.redirectInfo=A,$}function Xs(A){const $=co(A.redirectInfo);return $.flags|=A.flags&-17,$.fileName=A.fileName,$.path=A.path,$.resolvedPath=A.resolvedPath,$.originalFileName=A.originalFileName,$.packageJsonLocations=A.packageJsonLocations,$.packageJsonScope=A.packageJsonScope,$.emitNode=void 0,$}function Gh(A){const $=r.createBaseSourceFileNode(307);$.flags|=A.flags&-17;for(const ie in A)if(!(Li($,ie)||!Li(A,ie))){if(ie==="emitNode"){$.emitNode=void 0;continue}$[ie]=A[ie]}return $}function xf(A){const $=A.redirectInfo?Xs(A):Gh(A);return a($,A),$}function i1(A,$,ie,Ee,ht,bi,lr){const xo=xf(A);return xo.statements=Z($),xo.isDeclarationFile=ie,xo.referencedFiles=Ee,xo.typeReferenceDirectives=ht,xo.hasNoDefaultLib=bi,xo.libReferenceDirectives=lr,xo.transformFlags=oc(xo.statements)|mr(xo.endOfFileToken),xo}function JS(A,$,ie=A.isDeclarationFile,Ee=A.referencedFiles,ht=A.typeReferenceDirectives,bi=A.hasNoDefaultLib,lr=A.libReferenceDirectives){return A.statements!==$||A.isDeclarationFile!==ie||A.referencedFiles!==Ee||A.typeReferenceDirectives!==ht||A.hasNoDefaultLib!==bi||A.libReferenceDirectives!==lr?ir(i1(A,$,ie,Ee,ht,bi,lr),A):A}function Bp(A){const $=Q(308);return $.sourceFiles=A,$.syntheticFileReferences=void 0,$.syntheticTypeReferences=void 0,$.syntheticLibReferences=void 0,$.hasNoDefaultLib=void 0,$}function WD(A,$){return A.sourceFiles!==$?ir(Bp($),A):A}function F2(A,$=!1,ie){const Ee=Q(237);return Ee.type=A,Ee.isSpread=$,Ee.tupleNameSource=ie,Ee}function VD(A){const $=Q(352);return $._children=A,$}function WA(A){const $=Q(353);return $.original=A,Ht($,A),$}function gN(A,$){const ie=Q(354);return ie.expression=A,ie.original=$,ie.transformFlags|=mr(ie.expression)|1,Ht(ie,$),ie}function n1(A,$){return A.expression!==$?ir(gN($,A.original),A):A}function fb(A){if(cl(A)&&!h8(A)&&!A.original&&!A.emitNode&&!A.id){if(C7(A))return A.elements;if(ur(A)&&DEe(A.operatorToken))return[A.left,A.right]}return A}function GS(A){const $=Q(355);return $.elements=Z(Ca(A,fb)),$.transformFlags|=oc($.elements),$}function VA(A,$){return A.elements!==$?ir(GS($),A):A}function Bx(A,$){const ie=Q(356);return ie.expression=A,ie.thisArg=$,ie.transformFlags|=mr(ie.expression)|mr(ie.thisArg),ie}function A5(A,$,ie){return A.expression!==$||A.thisArg!==ie?ir(Bx($,ie),A):A}function gz(A){const $=ke(A.escapedText);return $.flags|=A.flags&-17,$.transformFlags=A.transformFlags,a($,A),lH($,{...A.emitNode.autoGenerate}),$}function yz(A){const $=ke(A.escapedText);$.flags|=A.flags&-17,$.jsDoc=A.jsDoc,$.flowNode=A.flowNode,$.symbol=A.symbol,$.transformFlags=A.transformFlags,a($,A);const ie=kI(A);return ie&&vS($,ie),$}function eo(A){const $=He(A.escapedText);return $.flags|=A.flags&-17,$.transformFlags=A.transformFlags,a($,A),lH($,{...A.emitNode.autoGenerate}),$}function B2(A){const $=He(A.escapedText);return $.flags|=A.flags&-17,$.transformFlags=A.transformFlags,a($,A),$}function Hn(A){if(A===void 0)return A;if(Os(A))return xf(A);if(zl(A))return gz(A);if(ot(A))return yz(A);if(uI(A))return eo(A);if(Vs(A))return B2(A);const $=_G(A.kind)?r.createBaseNode(A.kind):r.createBaseTokenNode(A.kind);$.flags|=A.flags&-17,$.transformFlags=A.transformFlags,a($,A);for(const ie in A)Li($,ie)||!Li(A,ie)||($[ie]=A[ie]);return $}function O5(A,$,ie){return En(Jp(void 0,void 0,void 0,void 0,$?[$]:[],void 0,nb(A,!0)),void 0,ie?[ie]:[])}function EO(A,$,ie){return En(tg(void 0,void 0,$?[$]:[],void 0,void 0,nb(A,!0)),void 0,ie?[ie]:[])}function Wx(){return h_(pe("0"))}function IO(A){return Lx(void 0,!1,A)}function vz(A){return Tl(void 0,!1,sg([iN(!1,void 0,A)]))}function M5(A,$){return $==="null"?X.createStrictEquality(A,wt()):$==="undefined"?X.createStrictEquality(A,Wx()):X.createStrictEquality(jd(A),Ie($))}function JY(A,$){return $==="null"?X.createStrictInequality(A,wt()):$==="undefined"?X.createStrictInequality(A,Wx()):X.createStrictInequality(jd(A),Ie($))}function ty(A,$,ie){return cI(A)?ic(Wl(A,void 0,$),void 0,void 0,ie):En(Ku(A,$),void 0,ie)}function GY(A,$,ie){return ty(A,"bind",[$,...ie])}function R5(A,$,ie){return ty(A,"call",[$,...ie])}function KY(A,$,ie){return ty(A,"apply",[$,ie])}function yN(A,$,ie){return ty(De(A),$,ie)}function vN(A,$){return ty(A,"slice",$===void 0?[]:[CC($)])}function bz(A,$){return ty(A,"concat",$)}function Vx(A,$,ie){return yN("Object","defineProperty",[A,CC($),ie])}function g0(A,$){return yN("Object","getOwnPropertyDescriptor",[A,CC($)])}function NO(A,$,ie){return yN("Reflect","get",ie?[A,$,ie]:[A,$])}function Qy(A,$,ie,Ee){return yN("Reflect","set",Ee?[A,$,ie,Ee]:[A,$,ie])}function Hx(A,$,ie){return ie?(A.push(Kg($,ie)),!0):!1}function Cz(A,$){const ie=[];Hx(ie,"enumerable",CC(A.enumerable)),Hx(ie,"configurable",CC(A.configurable));let Ee=Hx(ie,"writable",CC(A.writable));Ee=Hx(ie,"value",A.value)||Ee;let ht=Hx(ie,"get",A.get);return ht=Hx(ie,"set",A.set)||ht,j.assert(!(Ee&&ht),"A PropertyDescriptor may not be both an accessor descriptor and a data descriptor."),Ic(ie,!$)}function Zy(A,$){switch(A.kind){case 217:return Y_(A,$);case 216:return Ap(A,A.type,$);case 234:return ib(A,$,A.type);case 238:return AS(A,$,A.type);case 235:return $y(A,$);case 233:return ep(A,$,A.typeArguments);case 354:return n1(A,$)}}function bN(A){return c_(A)&&cl(A)&&cl(yS(A))&&cl(B1(A))&&!Ft(y3(A))&&!Ft(cH(A))}function Sz(A,$,ie=31){return A&&OX(A,ie)&&!bN(A)?Zy(A,Sz(A.expression,$)):$}function Yu(A,$,ie){if(!$)return A;const Ee=Dx($,$.label,_x($.statement)?Yu(A,$.statement):A);return ie&&ie($),Ee}function HA(A,$){const ie=Dc(A);switch(ie.kind){case 80:return $;case 110:case 9:case 10:case 11:return!1;case 209:return ie.elements.length!==0;case 210:return ie.properties.length>0;default:return!0}}function Nc(A,$,ie,Ee=!1){const ht=Vu(A,31);let bi,lr;return G_(ht)?(bi=ut(),lr=ht):g7(ht)?(bi=ut(),lr=ie!==void 0&&ie<2?Ht(De("_super"),ht):ht):Ya(ht)&8192?(bi=Wx(),lr=u().parenthesizeLeftSideOfAccess(ht,!1)):Nr(ht)?HA(ht.expression,Ee)?(bi=qe($),lr=Ku(Ht(X.createAssignment(bi,ht.expression),ht.expression),ht.name),Ht(lr,ht)):(bi=ht.expression,lr=ht):wl(ht)?HA(ht.expression,Ee)?(bi=qe($),lr=Qu(Ht(X.createAssignment(bi,ht.expression),ht.expression),ht.argumentExpression),Ht(lr,ht)):(bi=ht.expression,lr=ht):(bi=Wx(),lr=u().parenthesizeLeftSideOfAccess(A,!1)),{target:lr,thisArg:bi}}function fe(A,$){return Ku(Vg(Ic([Se(void 0,"value",[pn(void 0,void 0,A,void 0,void 0,void 0)],nb([ei($)]))])),"value")}function Ke(A){return A.length>10?GS(A):oi(A,X.createComma)}function mt(A,$,ie,Ee=0,ht){const bi=ht?A&&oG(A):No(A);if(bi&&ot(bi)&&!zl(bi)){const lr=_c(Ht(Hn(bi),bi),bi.parent);return Ee|=Ya(bi),ie||(Ee|=96),$||(Ee|=3072),Ee&&tr(lr,Ee),lr}return Oe(A)}function Xt(A,$,ie){return mt(A,$,ie,98304)}function Si(A,$,ie,Ee){return mt(A,$,ie,32768,Ee)}function an(A,$,ie){return mt(A,$,ie,16384)}function jr(A,$,ie){return mt(A,$,ie)}function Hs(A,$,ie,Ee){const ht=Ku(A,cl($)?$:Hn($));Ht(ht,$);let bi=0;return Ee||(bi|=96),ie||(bi|=3072),bi&&tr(ht,bi),ht}function Cs(A,$,ie,Ee){return A&&Zr($,32)?Hs(A,mt($),ie,Ee):an($,ie,Ee)}function Ss(A,$,ie,Ee){const ht=Jc(A,$,0,ie);return dc(A,$,ht,Ee)}function no(A){return Ha(A.expression)&&A.expression.text==="use strict"}function $c(){return bh(ei(Ie("use strict")))}function Jc(A,$,ie=0,Ee){j.assert($.length===0,"Prologue directives should be at the first statement in the target statements array");let ht=!1;const bi=A.length;for(;ie<bi;){const lr=A[ie];if(I1(lr))no(lr)&&(ht=!0),$.push(lr);else break;ie++}return Ee&&!ht&&$.push($c()),ie}function dc(A,$,ie,Ee,ht=Pk){const bi=A.length;for(;ie!==void 0&&ie<bi;){const lr=A[ie];if(Ya(lr)&2097152&&ht(lr))fn($,Ee?bt(lr,Ee,Ps):lr);else break;ie++}return ie}function og(A){return Ale(A)?A:Ht(Z([$c(),...A]),A)}function Dd(A){return j.assert(Ce(A,R2e),"Cannot lift nodes to a Block."),Bm(A)||nb(A)}function xm(A,$,ie){let Ee=ie;for(;Ee<A.length&&$(A[Ee]);)Ee++;return Ee}function bC(A,$){if(!Ft($))return A;const ie=xm(A,I1,0),Ee=xm(A,VG,ie),ht=xm(A,HG,Ee),bi=xm($,I1,0),lr=xm($,VG,bi),xo=xm($,HG,lr),el=xm($,rV,xo);j.assert(el===$.length,"Expected declarations to be valid standard or custom prologues");const ag=AT(A)?A.slice():A;if(el>xo&&ag.splice(ht,0,...$.slice(xo,el)),xo>lr&&ag.splice(Ee,0,...$.slice(lr,xo)),lr>bi&&ag.splice(ie,0,...$.slice(bi,lr)),bi>0)if(ie===0)ag.splice(0,0,...$.slice(0,bi));else{const Yy=new Map;for(let km=0;km<ie;km++){const LO=A[km];Yy.set(LO.expression.text,!0)}for(let km=bi-1;km>=0;km--){const LO=$[km];Yy.has(LO.expression.text)||ag.unshift(LO)}}return AT(A)?Ht(Z(ag,A.hasTrailingComma),A):A}function hb(A,$){let ie;return typeof $=="number"?ie=Ei($):ie=$,$l(A)?On(A,ie,A.name,A.constraint,A.default):$s(A)?qr(A,ie,A.dotDotDotToken,A.name,A.questionToken,A.type,A.initializer):v3(A)?ln(A,ie,A.typeParameters,A.parameters,A.type):O_(A)?Qs(A,ie,A.name,A.questionToken,A.type):Lo(A)?at(A,ie,A.name,A.questionToken??A.exclamationToken,A.type,A.initializer):W1(A)?Sn(A,ie,A.name,A.questionToken,A.typeParameters,A.parameters,A.type):Nu(A)?us(A,ie,A.asteriskToken,A.name,A.questionToken,A.typeParameters,A.parameters,A.type,A.body):iu(A)?ac(A,ie,A.parameters,A.body):um(A)?xe(A,ie,A.name,A.parameters,A.type,A.body):kp(A)?Ze(A,ie,A.name,A.parameters,A.body):eD(A)?vt(A,ie,A.parameters,A.type):ml(A)?ym(A,ie,A.asteriskToken,A.name,A.typeParameters,A.parameters,A.type,A.body):xl(A)?Zv(A,ie,A.typeParameters,A.parameters,A.type,A.equalsGreaterThanToken,A.body):fd(A)?rg(A,ie,A.name,A.typeParameters,A.heritageClauses,A.members):Lu(A)?it(A,ie,A.declarationList):Wu(A)?Op(A,ie,A.asteriskToken,A.name,A.typeParameters,A.parameters,A.type,A.body):hd(A)?Ix(A,ie,A.name,A.typeParameters,A.heritageClauses,A.members):zf(A)?Xd(A,ie,A.name,A.typeParameters,A.heritageClauses,A.members):Mg(A)?RS(A,ie,A.name,A.typeParameters,A.type):sD(A)?kA(A,ie,A.name,A.members):rd(A)?hC(A,ie,A.name,A.body):Wd(A)?_C(A,ie,A.isTypeOnly,A.name,A.moduleReference):du(A)?pC(A,ie,A.importClause,A.moduleSpecifier,A.attributes):Il(A)?tN(A,ie,A.expression):Ju(A)?gC(A,ie,A.isTypeOnly,A.exportClause,A.moduleSpecifier,A.attributes):j.assertNever(A)}function jx(A,$){return $s(A)?qr(A,$,A.dotDotDotToken,A.name,A.questionToken,A.type,A.initializer):Lo(A)?at(A,$,A.name,A.questionToken??A.exclamationToken,A.type,A.initializer):Nu(A)?us(A,$,A.asteriskToken,A.name,A.questionToken,A.typeParameters,A.parameters,A.type,A.body):um(A)?xe(A,$,A.name,A.parameters,A.type,A.body):kp(A)?Ze(A,$,A.name,A.parameters,A.body):fd(A)?rg(A,$,A.name,A.typeParameters,A.heritageClauses,A.members):hd(A)?Ix(A,$,A.name,A.typeParameters,A.heritageClauses,A.members):j.assertNever(A)}function XY(A,$){switch(A.kind){case 177:return xe(A,A.modifiers,$,A.parameters,A.type,A.body);case 178:return Ze(A,A.modifiers,$,A.parameters,A.body);case 174:return us(A,A.modifiers,A.asteriskToken,$,A.questionToken,A.typeParameters,A.parameters,A.type,A.body);case 173:return Sn(A,A.modifiers,$,A.questionToken,A.typeParameters,A.parameters,A.type);case 172:return at(A,A.modifiers,$,A.questionToken??A.exclamationToken,A.type,A.initializer);case 171:return Qs(A,A.modifiers,$,A.questionToken,A.type);case 303:return mN(A,$,A.initializer)}}function gc(A){return A?Z(A):void 0}function gd(A){return typeof A=="string"?De(A):A}function CC(A){return typeof A=="string"?Ie(A):typeof A=="number"?pe(A):typeof A=="boolean"?A?Et():dt():A}function HD(A){return A&&u().parenthesizeExpressionForDisallowedComma(A)}function F5(A){return typeof A=="number"?et(A):A}function Zp(A){return A&&jEe(A)?Ht(a(yt(),A),A):A}function W2(A){return typeof A=="string"||A&&!_s(A)?YI(A,void 0,void 0,void 0):A}function ir(A,$){return A!==$&&(a(A,$),Ht(A,$)),A}}function ile(t){switch(t){case 344:return"type";case 342:return"returns";case 343:return"this";case 340:return"enum";case 330:return"author";case 332:return"class";case 333:return"public";case 334:return"private";case 335:return"protected";case 336:return"readonly";case 337:return"override";case 345:return"template";case 346:return"typedef";case 341:return"param";case 348:return"prop";case 338:return"callback";case 339:return"overload";case 328:return"augments";case 329:return"implements";case 351:return"import";default:return j.fail(`Unsupported kind: ${j.formatSyntaxKind(t)}`)}}var gS,vQe={};function X6t(t,r){switch(gS||(gS=Ov(99,!1,0)),t){case 15:gS.setText("`"+r+"`");break;case 16:gS.setText("`"+r+"${");break;case 17:gS.setText("}"+r+"${");break;case 18:gS.setText("}"+r+"`");break}let a=gS.scan();if(a===20&&(a=gS.reScanTemplateToken(!1)),gS.isUnterminated())return gS.setText(void 0),vQe;let u;switch(a){case 15:case 16:case 17:case 18:u=gS.getTokenValue();break}return u===void 0||gS.scan()!==1?(gS.setText(void 0),vQe):(gS.setText(void 0),u)}function ux(t){return t&&ot(t)?sH(t):mr(t)}function sH(t){return mr(t)&-67108865}function Q6t(t,r){return r|t.transformFlags&134234112}function mr(t){if(!t)return 0;const r=t.transformFlags&~Z6t(t.kind);return Bf(t)&&id(t.name)?Q6t(t.name,r):r}function oc(t){return t?t.transformFlags:0}function bQe(t){let r=0;for(const a of t)r|=mr(a);t.transformFlags=r}function Z6t(t){if(t>=182&&t<=205)return-2;switch(t){case 213:case 214:case 209:return-2147450880;case 267:return-1941676032;case 169:return-2147483648;case 219:return-2072174592;case 218:case 262:return-1937940480;case 261:return-2146893824;case 263:case 231:return-2147344384;case 176:return-1937948672;case 172:return-2013249536;case 174:case 177:case 178:return-2005057536;case 133:case 150:case 163:case 146:case 154:case 151:case 136:case 155:case 116:case 168:case 171:case 173:case 179:case 180:case 181:case 264:case 265:return-2;case 210:return-2147278848;case 299:return-2147418112;case 206:case 207:return-2147450880;case 216:case 238:case 234:case 354:case 217:case 108:return-2147483648;case 211:case 212:return-2147483648;default:return-2147483648}}var aX=cEe();function cX(t){return t.flags|=16,t}var Y6t={createBaseSourceFileNode:t=>cX(aX.createBaseSourceFileNode(t)),createBaseIdentifierNode:t=>cX(aX.createBaseIdentifierNode(t)),createBasePrivateIdentifierNode:t=>cX(aX.createBasePrivateIdentifierNode(t)),createBaseTokenNode:t=>cX(aX.createBaseTokenNode(t)),createBaseNode:t=>cX(aX.createBaseNode(t))},G=rH(4,Y6t),CQe;function SQe(t,r,a){return new(CQe||(CQe=Hf.getSourceMapSourceConstructor()))(t,r,a)}function Ir(t,r){if(t.original!==r&&(t.original=r,r)){const a=r.emitNode;a&&(t.emitNode=e3t(a,t.emitNode))}return t}function e3t(t,r){const{flags:a,internalFlags:u,leadingComments:h,trailingComments:p,commentRange:g,sourceMapRange:C,tokenSourceMapRanges:b,constantValue:T,helpers:E,startsOnNewLine:N,snippetElement:R,classThis:F,assignedName:H}=t;if(r||(r={}),a&&(r.flags=a),u&&(r.internalFlags=u&-9),h&&(r.leadingComments=Sr(h.slice(),r.leadingComments)),p&&(r.trailingComments=Sr(p.slice(),r.trailingComments)),g&&(r.commentRange=g),C&&(r.sourceMapRange=C),b&&(r.tokenSourceMapRanges=t3t(b,r.tokenSourceMapRanges)),T!==void 0&&(r.constantValue=T),E)for(const U of E)r.helpers=n_(r.helpers,U);return N!==void 0&&(r.startsOnNewLine=N),R!==void 0&&(r.snippetElement=R),F&&(r.classThis=F),H&&(r.assignedName=H),r}function t3t(t,r){r||(r=[]);for(const a in t)r[a]=t[a];return r}function ch(t){if(t.emitNode)j.assert(!(t.emitNode.internalFlags&8),"Invalid attempt to mutate an immutable node.");else{if(h8(t)){if(t.kind===307)return t.emitNode={annotatedNodes:[t]};const r=_n(Mo(_n(t)))??j.fail("Could not determine parsed source file.");ch(r).annotatedNodes.push(t)}t.emitNode={}}return t.emitNode}function nle(t){var r,a;const u=(a=(r=_n(Mo(t)))==null?void 0:r.emitNode)==null?void 0:a.annotatedNodes;if(u)for(const h of u)h.emitNode=void 0}function oH(t){const r=ch(t);return r.flags|=3072,r.leadingComments=void 0,r.trailingComments=void 0,t}function tr(t,r){return ch(t).flags=r,t}function F1(t,r){const a=ch(t);return a.flags=a.flags|r,t}function aH(t,r){return ch(t).internalFlags=r,t}function AP(t,r){const a=ch(t);return a.internalFlags=a.internalFlags|r,t}function yS(t){var r;return((r=t.emitNode)==null?void 0:r.sourceMapRange)??t}function Ga(t,r){return ch(t).sourceMapRange=r,t}function wQe(t,r){var a,u;return(u=(a=t.emitNode)==null?void 0:a.tokenSourceMapRanges)==null?void 0:u[r]}function _Ee(t,r,a){const u=ch(t),h=u.tokenSourceMapRanges??(u.tokenSourceMapRanges=[]);return h[r]=a,t}function f7(t){var r;return(r=t.emitNode)==null?void 0:r.startsOnNewLine}function lX(t,r){return ch(t).startsOnNewLine=r,t}function B1(t){var r;return((r=t.emitNode)==null?void 0:r.commentRange)??t}function Sd(t,r){return ch(t).commentRange=r,t}function y3(t){var r;return(r=t.emitNode)==null?void 0:r.leadingComments}function e2(t,r){return ch(t).leadingComments=r,t}function h7(t,r,a,u){return e2(t,fn(y3(t),{kind:r,pos:-1,end:-1,hasTrailingNewLine:u,text:a}))}function cH(t){var r;return(r=t.emitNode)==null?void 0:r.trailingComments}function OP(t,r){return ch(t).trailingComments=r,t}function uX(t,r,a,u){return OP(t,fn(cH(t),{kind:r,pos:-1,end:-1,hasTrailingNewLine:u,text:a}))}function pEe(t,r){e2(t,y3(r)),OP(t,cH(r));const a=ch(r);return a.leadingComments=void 0,a.trailingComments=void 0,t}function mEe(t){var r;return(r=t.emitNode)==null?void 0:r.constantValue}function gEe(t,r){const a=ch(t);return a.constantValue=r,t}function MP(t,r){const a=ch(t);return a.helpers=fn(a.helpers,r),t}function Zb(t,r){if(Ft(r)){const a=ch(t);for(const u of r)a.helpers=n_(a.helpers,u)}return t}function xQe(t,r){var a;const u=(a=t.emitNode)==null?void 0:a.helpers;return u?GR(u,r):!1}function dX(t){var r;return(r=t.emitNode)==null?void 0:r.helpers}function yEe(t,r,a){const u=t.emitNode,h=u&&u.helpers;if(!Ft(h))return;const p=ch(r);let g=0;for(let C=0;C<h.length;C++){const b=h[C];a(b)?(g++,p.helpers=n_(p.helpers,b)):g>0&&(h[C-g]=b)}g>0&&(h.length-=g)}function rle(t){var r;return(r=t.emitNode)==null?void 0:r.snippetElement}function sle(t,r){const a=ch(t);return a.snippetElement=r,t}function ole(t){return ch(t).internalFlags|=4,t}function vEe(t,r){const a=ch(t);return a.typeNode=r,t}function bEe(t){var r;return(r=t.emitNode)==null?void 0:r.typeNode}function vS(t,r){return ch(t).identifierTypeArguments=r,t}function kI(t){var r;return(r=t.emitNode)==null?void 0:r.identifierTypeArguments}function lH(t,r){return ch(t).autoGenerate=r,t}function kQe(t){var r;return(r=t.emitNode)==null?void 0:r.autoGenerate}function CEe(t,r){return ch(t).generatedImportReference=r,t}function SEe(t){var r;return(r=t.emitNode)==null?void 0:r.generatedImportReference}var wEe=(t=>(t.Field="f",t.Method="m",t.Accessor="a",t))(wEe||{});function xEe(t){const r=t.factory,a=Bh(()=>aH(r.createTrue(),8)),u=Bh(()=>aH(r.createFalse(),8));return{getUnscopedHelperName:h,createDecorateHelper:p,createMetadataHelper:g,createParamHelper:C,createESDecorateHelper:U,createRunInitializersHelper:q,createAssignHelper:X,createAwaitHelper:Z,createAsyncGeneratorHelper:Q,createAsyncDelegatorHelper:re,createAsyncValuesHelper:oe,createRestHelper:pe,createAwaiterHelper:de,createExtendsHelper:ue,createTemplateObjectHelper:Ie,createSpreadArrayHelper:we,createPropKeyHelper:he,createSetFunctionNameHelper:Ae,createValuesHelper:ke,createReadHelper:Te,createGeneratorHelper:De,createImportStarHelper:qe,createImportStarCallbackHelper:se,createImportDefaultHelper:Ne,createExportStarHelper:Oe,createClassPrivateFieldGetHelper:He,createClassPrivateFieldSetHelper:Pe,createClassPrivateFieldInHelper:We,createAddDisposableResourceHelper:ze,createDisposeResourcesHelper:Nt};function h($e){return tr(r.createIdentifier($e),8196)}function p($e,et,Me,ut){t.requestEmitHelper(i3t);const wt=[];return wt.push(r.createArrayLiteralExpression($e,!0)),wt.push(et),Me&&(wt.push(Me),ut&&wt.push(ut)),r.createCallExpression(h("__decorate"),void 0,wt)}function g($e,et){return t.requestEmitHelper(n3t),r.createCallExpression(h("__metadata"),void 0,[r.createStringLiteral($e),et])}function C($e,et,Me){return t.requestEmitHelper(r3t),Ht(r.createCallExpression(h("__param"),void 0,[r.createNumericLiteral(et+""),$e]),Me)}function b($e){const et=[r.createPropertyAssignment(r.createIdentifier("kind"),r.createStringLiteral("class")),r.createPropertyAssignment(r.createIdentifier("name"),$e.name),r.createPropertyAssignment(r.createIdentifier("metadata"),$e.metadata)];return r.createObjectLiteralExpression(et)}function T($e){const et=$e.computed?r.createElementAccessExpression(r.createIdentifier("obj"),$e.name):r.createPropertyAccessExpression(r.createIdentifier("obj"),$e.name);return r.createPropertyAssignment("get",r.createArrowFunction(void 0,void 0,[r.createParameterDeclaration(void 0,void 0,r.createIdentifier("obj"))],void 0,void 0,et))}function E($e){const et=$e.computed?r.createElementAccessExpression(r.createIdentifier("obj"),$e.name):r.createPropertyAccessExpression(r.createIdentifier("obj"),$e.name);return r.createPropertyAssignment("set",r.createArrowFunction(void 0,void 0,[r.createParameterDeclaration(void 0,void 0,r.createIdentifier("obj")),r.createParameterDeclaration(void 0,void 0,r.createIdentifier("value"))],void 0,void 0,r.createBlock([r.createExpressionStatement(r.createAssignment(et,r.createIdentifier("value")))])))}function N($e){const et=$e.computed?$e.name:ot($e.name)?r.createStringLiteralFromNode($e.name):$e.name;return r.createPropertyAssignment("has",r.createArrowFunction(void 0,void 0,[r.createParameterDeclaration(void 0,void 0,r.createIdentifier("obj"))],void 0,void 0,r.createBinaryExpression(et,103,r.createIdentifier("obj"))))}function R($e,et){const Me=[];return Me.push(N($e)),et.get&&Me.push(T($e)),et.set&&Me.push(E($e)),r.createObjectLiteralExpression(Me)}function F($e){const et=[r.createPropertyAssignment(r.createIdentifier("kind"),r.createStringLiteral($e.kind)),r.createPropertyAssignment(r.createIdentifier("name"),$e.name.computed?$e.name.name:r.createStringLiteralFromNode($e.name.name)),r.createPropertyAssignment(r.createIdentifier("static"),$e.static?r.createTrue():r.createFalse()),r.createPropertyAssignment(r.createIdentifier("private"),$e.private?r.createTrue():r.createFalse()),r.createPropertyAssignment(r.createIdentifier("access"),R($e.name,$e.access)),r.createPropertyAssignment(r.createIdentifier("metadata"),$e.metadata)];return r.createObjectLiteralExpression(et)}function H($e){return $e.kind==="class"?b($e):F($e)}function U($e,et,Me,ut,wt,Et){return t.requestEmitHelper(s3t),r.createCallExpression(h("__esDecorate"),void 0,[$e??r.createNull(),et??r.createNull(),Me,H(ut),wt,Et])}function q($e,et,Me){return t.requestEmitHelper(o3t),r.createCallExpression(h("__runInitializers"),void 0,Me?[$e,et,Me]:[$e,et])}function X($e){return Ja(t.getCompilerOptions())>=2?r.createCallExpression(r.createPropertyAccessExpression(r.createIdentifier("Object"),"assign"),void 0,$e):(t.requestEmitHelper(a3t),r.createCallExpression(h("__assign"),void 0,$e))}function Z($e){return t.requestEmitHelper(fX),r.createCallExpression(h("__await"),void 0,[$e])}function Q($e,et){return t.requestEmitHelper(fX),t.requestEmitHelper(c3t),($e.emitNode||($e.emitNode={})).flags|=1572864,r.createCallExpression(h("__asyncGenerator"),void 0,[et?r.createThis():r.createVoidZero(),r.createIdentifier("arguments"),$e])}function re($e){return t.requestEmitHelper(fX),t.requestEmitHelper(l3t),r.createCallExpression(h("__asyncDelegator"),void 0,[$e])}function oe($e){return t.requestEmitHelper(u3t),r.createCallExpression(h("__asyncValues"),void 0,[$e])}function pe($e,et,Me,ut){t.requestEmitHelper(d3t);const wt=[];let Et=0;for(let dt=0;dt<et.length-1;dt++){const zt=Mle(et[dt]);if(zt)if(Ka(zt)){j.assertIsDefined(Me,"Encountered computed property name but 'computedTempVariables' argument was not provided.");const Ei=Me[Et];Et++,wt.push(r.createConditionalExpression(r.createTypeCheck(Ei,"symbol"),void 0,Ei,void 0,r.createAdd(Ei,r.createStringLiteral(""))))}else wt.push(r.createStringLiteralFromNode(zt))}return r.createCallExpression(h("__rest"),void 0,[$e,Ht(r.createArrayLiteralExpression(wt),ut)])}function de($e,et,Me,ut,wt){t.requestEmitHelper(f3t);const Et=r.createFunctionExpression(void 0,r.createToken(42),void 0,void 0,ut??[],void 0,wt);return(Et.emitNode||(Et.emitNode={})).flags|=1572864,r.createCallExpression(h("__awaiter"),void 0,[$e?r.createThis():r.createVoidZero(),et??r.createVoidZero(),Me?yH(r,Me):r.createVoidZero(),Et])}function ue($e){return t.requestEmitHelper(h3t),r.createCallExpression(h("__extends"),void 0,[$e,r.createUniqueName("_super",48)])}function Ie($e,et){return t.requestEmitHelper(_3t),r.createCallExpression(h("__makeTemplateObject"),void 0,[$e,et])}function we($e,et,Me){return t.requestEmitHelper(m3t),r.createCallExpression(h("__spreadArray"),void 0,[$e,et,Me?a():u()])}function he($e){return t.requestEmitHelper(g3t),r.createCallExpression(h("__propKey"),void 0,[$e])}function Ae($e,et,Me){return t.requestEmitHelper(y3t),t.factory.createCallExpression(h("__setFunctionName"),void 0,Me?[$e,et,t.factory.createStringLiteral(Me)]:[$e,et])}function ke($e){return t.requestEmitHelper(v3t),r.createCallExpression(h("__values"),void 0,[$e])}function Te($e,et){return t.requestEmitHelper(p3t),r.createCallExpression(h("__read"),void 0,et!==void 0?[$e,r.createNumericLiteral(et+"")]:[$e])}function De($e){return t.requestEmitHelper(b3t),r.createCallExpression(h("__generator"),void 0,[r.createThis(),$e])}function qe($e){return t.requestEmitHelper(DQe),r.createCallExpression(h("__importStar"),void 0,[$e])}function se(){return t.requestEmitHelper(DQe),h("__importStar")}function Ne($e){return t.requestEmitHelper(S3t),r.createCallExpression(h("__importDefault"),void 0,[$e])}function Oe($e,et=r.createIdentifier("exports")){return t.requestEmitHelper(w3t),t.requestEmitHelper(TEe),r.createCallExpression(h("__exportStar"),void 0,[$e,et])}function He($e,et,Me,ut){t.requestEmitHelper(x3t);let wt;return ut?wt=[$e,et,r.createStringLiteral(Me),ut]:wt=[$e,et,r.createStringLiteral(Me)],r.createCallExpression(h("__classPrivateFieldGet"),void 0,wt)}function Pe($e,et,Me,ut,wt){t.requestEmitHelper(k3t);let Et;return wt?Et=[$e,et,Me,r.createStringLiteral(ut),wt]:Et=[$e,et,Me,r.createStringLiteral(ut)],r.createCallExpression(h("__classPrivateFieldSet"),void 0,Et)}function We($e,et){return t.requestEmitHelper(T3t),r.createCallExpression(h("__classPrivateFieldIn"),void 0,[$e,et])}function ze($e,et,Me){return t.requestEmitHelper(D3t),r.createCallExpression(h("__addDisposableResource"),void 0,[$e,et,Me?r.createTrue():r.createFalse()])}function Nt($e){return t.requestEmitHelper(E3t),r.createCallExpression(h("__disposeResources"),void 0,[$e])}}function kEe(t,r){return t===r||t.priority===r.priority?0:t.priority===void 0?1:r.priority===void 0?-1:_l(t.priority,r.priority)}function TQe(t,...r){return a=>{let u="";for(let h=0;h<r.length;h++)u+=t[h],u+=a(r[h]);return u+=t[t.length-1],u}}var i3t={name:"typescript:decorate",importName:"__decorate",scoped:!1,priority:2,text:`
+ var __decorate = (this && this.__decorate) || function (decorators, target, key, desc) {
+ var c = arguments.length, r = c < 3 ? target : desc === null ? desc = Object.getOwnPropertyDescriptor(target, key) : desc, d;
+ if (typeof Reflect === "object" && typeof Reflect.decorate === "function") r = Reflect.decorate(decorators, target, key, desc);
+ else for (var i = decorators.length - 1; i >= 0; i--) if (d = decorators[i]) r = (c < 3 ? d(r) : c > 3 ? d(target, key, r) : d(target, key)) || r;
+ return c > 3 && r && Object.defineProperty(target, key, r), r;
+ };`},n3t={name:"typescript:metadata",importName:"__metadata",scoped:!1,priority:3,text:`
+ var __metadata = (this && this.__metadata) || function (k, v) {
+ if (typeof Reflect === "object" && typeof Reflect.metadata === "function") return Reflect.metadata(k, v);
+ };`},r3t={name:"typescript:param",importName:"__param",scoped:!1,priority:4,text:`
+ var __param = (this && this.__param) || function (paramIndex, decorator) {
+ return function (target, key) { decorator(target, key, paramIndex); }
+ };`},s3t={name:"typescript:esDecorate",importName:"__esDecorate",scoped:!1,priority:2,text:`
+ var __esDecorate = (this && this.__esDecorate) || function (ctor, descriptorIn, decorators, contextIn, initializers, extraInitializers) {
+ function accept(f) { if (f !== void 0 && typeof f !== "function") throw new TypeError("Function expected"); return f; }
+ var kind = contextIn.kind, key = kind === "getter" ? "get" : kind === "setter" ? "set" : "value";
+ var target = !descriptorIn && ctor ? contextIn["static"] ? ctor : ctor.prototype : null;
+ var descriptor = descriptorIn || (target ? Object.getOwnPropertyDescriptor(target, contextIn.name) : {});
+ var _, done = false;
+ for (var i = decorators.length - 1; i >= 0; i--) {
+ var context = {};
+ for (var p in contextIn) context[p] = p === "access" ? {} : contextIn[p];
+ for (var p in contextIn.access) context.access[p] = contextIn.access[p];
+ context.addInitializer = function (f) { if (done) throw new TypeError("Cannot add initializers after decoration has completed"); extraInitializers.push(accept(f || null)); };
+ var result = (0, decorators[i])(kind === "accessor" ? { get: descriptor.get, set: descriptor.set } : descriptor[key], context);
+ if (kind === "accessor") {
+ if (result === void 0) continue;
+ if (result === null || typeof result !== "object") throw new TypeError("Object expected");
+ if (_ = accept(result.get)) descriptor.get = _;
+ if (_ = accept(result.set)) descriptor.set = _;
+ if (_ = accept(result.init)) initializers.unshift(_);
+ }
+ else if (_ = accept(result)) {
+ if (kind === "field") initializers.unshift(_);
+ else descriptor[key] = _;
+ }
+ }
+ if (target) Object.defineProperty(target, contextIn.name, descriptor);
+ done = true;
+ };`},o3t={name:"typescript:runInitializers",importName:"__runInitializers",scoped:!1,priority:2,text:`
+ var __runInitializers = (this && this.__runInitializers) || function (thisArg, initializers, value) {
+ var useValue = arguments.length > 2;
+ for (var i = 0; i < initializers.length; i++) {
+ value = useValue ? initializers[i].call(thisArg, value) : initializers[i].call(thisArg);
+ }
+ return useValue ? value : void 0;
+ };`},a3t={name:"typescript:assign",importName:"__assign",scoped:!1,priority:1,text:`
+ var __assign = (this && this.__assign) || function () {
+ __assign = Object.assign || function(t) {
+ for (var s, i = 1, n = arguments.length; i < n; i++) {
+ s = arguments[i];
+ for (var p in s) if (Object.prototype.hasOwnProperty.call(s, p))
+ t[p] = s[p];
+ }
+ return t;
+ };
+ return __assign.apply(this, arguments);
+ };`},fX={name:"typescript:await",importName:"__await",scoped:!1,text:`
+ var __await = (this && this.__await) || function (v) { return this instanceof __await ? (this.v = v, this) : new __await(v); }`},c3t={name:"typescript:asyncGenerator",importName:"__asyncGenerator",scoped:!1,dependencies:[fX],text:`
+ var __asyncGenerator = (this && this.__asyncGenerator) || function (thisArg, _arguments, generator) {
+ if (!Symbol.asyncIterator) throw new TypeError("Symbol.asyncIterator is not defined.");
+ var g = generator.apply(thisArg, _arguments || []), i, q = [];
+ return i = Object.create((typeof AsyncIterator === "function" ? AsyncIterator : Object).prototype), verb("next"), verb("throw"), verb("return", awaitReturn), i[Symbol.asyncIterator] = function () { return this; }, i;
+ function awaitReturn(f) { return function (v) { return Promise.resolve(v).then(f, reject); }; }
+ function verb(n, f) { if (g[n]) { i[n] = function (v) { return new Promise(function (a, b) { q.push([n, v, a, b]) > 1 || resume(n, v); }); }; if (f) i[n] = f(i[n]); } }
+ function resume(n, v) { try { step(g[n](v)); } catch (e) { settle(q[0][3], e); } }
+ function step(r) { r.value instanceof __await ? Promise.resolve(r.value.v).then(fulfill, reject) : settle(q[0][2], r); }
+ function fulfill(value) { resume("next", value); }
+ function reject(value) { resume("throw", value); }
+ function settle(f, v) { if (f(v), q.shift(), q.length) resume(q[0][0], q[0][1]); }
+ };`},l3t={name:"typescript:asyncDelegator",importName:"__asyncDelegator",scoped:!1,dependencies:[fX],text:`
+ var __asyncDelegator = (this && this.__asyncDelegator) || function (o) {
+ var i, p;
+ return i = {}, verb("next"), verb("throw", function (e) { throw e; }), verb("return"), i[Symbol.iterator] = function () { return this; }, i;
+ function verb(n, f) { i[n] = o[n] ? function (v) { return (p = !p) ? { value: __await(o[n](v)), done: false } : f ? f(v) : v; } : f; }
+ };`},u3t={name:"typescript:asyncValues",importName:"__asyncValues",scoped:!1,text:`
+ var __asyncValues = (this && this.__asyncValues) || function (o) {
+ if (!Symbol.asyncIterator) throw new TypeError("Symbol.asyncIterator is not defined.");
+ var m = o[Symbol.asyncIterator], i;
+ return m ? m.call(o) : (o = typeof __values === "function" ? __values(o) : o[Symbol.iterator](), i = {}, verb("next"), verb("throw"), verb("return"), i[Symbol.asyncIterator] = function () { return this; }, i);
+ function verb(n) { i[n] = o[n] && function (v) { return new Promise(function (resolve, reject) { v = o[n](v), settle(resolve, reject, v.done, v.value); }); }; }
+ function settle(resolve, reject, d, v) { Promise.resolve(v).then(function(v) { resolve({ value: v, done: d }); }, reject); }
+ };`},d3t={name:"typescript:rest",importName:"__rest",scoped:!1,text:`
+ var __rest = (this && this.__rest) || function (s, e) {
+ var t = {};
+ for (var p in s) if (Object.prototype.hasOwnProperty.call(s, p) && e.indexOf(p) < 0)
+ t[p] = s[p];
+ if (s != null && typeof Object.getOwnPropertySymbols === "function")
+ for (var i = 0, p = Object.getOwnPropertySymbols(s); i < p.length; i++) {
+ if (e.indexOf(p[i]) < 0 && Object.prototype.propertyIsEnumerable.call(s, p[i]))
+ t[p[i]] = s[p[i]];
+ }
+ return t;
+ };`},f3t={name:"typescript:awaiter",importName:"__awaiter",scoped:!1,priority:5,text:`
+ var __awaiter = (this && this.__awaiter) || function (thisArg, _arguments, P, generator) {
+ function adopt(value) { return value instanceof P ? value : new P(function (resolve) { resolve(value); }); }
+ return new (P || (P = Promise))(function (resolve, reject) {
+ function fulfilled(value) { try { step(generator.next(value)); } catch (e) { reject(e); } }
+ function rejected(value) { try { step(generator["throw"](value)); } catch (e) { reject(e); } }
+ function step(result) { result.done ? resolve(result.value) : adopt(result.value).then(fulfilled, rejected); }
+ step((generator = generator.apply(thisArg, _arguments || [])).next());
+ });
+ };`},h3t={name:"typescript:extends",importName:"__extends",scoped:!1,priority:0,text:`
+ var __extends = (this && this.__extends) || (function () {
+ var extendStatics = function (d, b) {
+ extendStatics = Object.setPrototypeOf ||
+ ({ __proto__: [] } instanceof Array && function (d, b) { d.__proto__ = b; }) ||
+ function (d, b) { for (var p in b) if (Object.prototype.hasOwnProperty.call(b, p)) d[p] = b[p]; };
+ return extendStatics(d, b);
+ };
+
+ return function (d, b) {
+ if (typeof b !== "function" && b !== null)
+ throw new TypeError("Class extends value " + String(b) + " is not a constructor or null");
+ extendStatics(d, b);
+ function __() { this.constructor = d; }
+ d.prototype = b === null ? Object.create(b) : (__.prototype = b.prototype, new __());
+ };
+ })();`},_3t={name:"typescript:makeTemplateObject",importName:"__makeTemplateObject",scoped:!1,priority:0,text:`
+ var __makeTemplateObject = (this && this.__makeTemplateObject) || function (cooked, raw) {
+ if (Object.defineProperty) { Object.defineProperty(cooked, "raw", { value: raw }); } else { cooked.raw = raw; }
+ return cooked;
+ };`},p3t={name:"typescript:read",importName:"__read",scoped:!1,text:`
+ var __read = (this && this.__read) || function (o, n) {
+ var m = typeof Symbol === "function" && o[Symbol.iterator];
+ if (!m) return o;
+ var i = m.call(o), r, ar = [], e;
+ try {
+ while ((n === void 0 || n-- > 0) && !(r = i.next()).done) ar.push(r.value);
+ }
+ catch (error) { e = { error: error }; }
+ finally {
+ try {
+ if (r && !r.done && (m = i["return"])) m.call(i);
+ }
+ finally { if (e) throw e.error; }
+ }
+ return ar;
+ };`},m3t={name:"typescript:spreadArray",importName:"__spreadArray",scoped:!1,text:`
+ var __spreadArray = (this && this.__spreadArray) || function (to, from, pack) {
+ if (pack || arguments.length === 2) for (var i = 0, l = from.length, ar; i < l; i++) {
+ if (ar || !(i in from)) {
+ if (!ar) ar = Array.prototype.slice.call(from, 0, i);
+ ar[i] = from[i];
+ }
+ }
+ return to.concat(ar || Array.prototype.slice.call(from));
+ };`},g3t={name:"typescript:propKey",importName:"__propKey",scoped:!1,text:`
+ var __propKey = (this && this.__propKey) || function (x) {
+ return typeof x === "symbol" ? x : "".concat(x);
+ };`},y3t={name:"typescript:setFunctionName",importName:"__setFunctionName",scoped:!1,text:`
+ var __setFunctionName = (this && this.__setFunctionName) || function (f, name, prefix) {
+ if (typeof name === "symbol") name = name.description ? "[".concat(name.description, "]") : "";
+ return Object.defineProperty(f, "name", { configurable: true, value: prefix ? "".concat(prefix, " ", name) : name });
+ };`},v3t={name:"typescript:values",importName:"__values",scoped:!1,text:`
+ var __values = (this && this.__values) || function(o) {
+ var s = typeof Symbol === "function" && Symbol.iterator, m = s && o[s], i = 0;
+ if (m) return m.call(o);
+ if (o && typeof o.length === "number") return {
+ next: function () {
+ if (o && i >= o.length) o = void 0;
+ return { value: o && o[i++], done: !o };
+ }
+ };
+ throw new TypeError(s ? "Object is not iterable." : "Symbol.iterator is not defined.");
+ };`},b3t={name:"typescript:generator",importName:"__generator",scoped:!1,priority:6,text:`
+ var __generator = (this && this.__generator) || function (thisArg, body) {
+ var _ = { label: 0, sent: function() { if (t[0] & 1) throw t[1]; return t[1]; }, trys: [], ops: [] }, f, y, t, g = Object.create((typeof Iterator === "function" ? Iterator : Object).prototype);
+ return g.next = verb(0), g["throw"] = verb(1), g["return"] = verb(2), typeof Symbol === "function" && (g[Symbol.iterator] = function() { return this; }), g;
+ function verb(n) { return function (v) { return step([n, v]); }; }
+ function step(op) {
+ if (f) throw new TypeError("Generator is already executing.");
+ while (g && (g = 0, op[0] && (_ = 0)), _) try {
+ if (f = 1, y && (t = op[0] & 2 ? y["return"] : op[0] ? y["throw"] || ((t = y["return"]) && t.call(y), 0) : y.next) && !(t = t.call(y, op[1])).done) return t;
+ if (y = 0, t) op = [op[0] & 2, t.value];
+ switch (op[0]) {
+ case 0: case 1: t = op; break;
+ case 4: _.label++; return { value: op[1], done: false };
+ case 5: _.label++; y = op[1]; op = [0]; continue;
+ case 7: op = _.ops.pop(); _.trys.pop(); continue;
+ default:
+ if (!(t = _.trys, t = t.length > 0 && t[t.length - 1]) && (op[0] === 6 || op[0] === 2)) { _ = 0; continue; }
+ if (op[0] === 3 && (!t || (op[1] > t[0] && op[1] < t[3]))) { _.label = op[1]; break; }
+ if (op[0] === 6 && _.label < t[1]) { _.label = t[1]; t = op; break; }
+ if (t && _.label < t[2]) { _.label = t[2]; _.ops.push(op); break; }
+ if (t[2]) _.ops.pop();
+ _.trys.pop(); continue;
+ }
+ op = body.call(thisArg, _);
+ } catch (e) { op = [6, e]; y = 0; } finally { f = t = 0; }
+ if (op[0] & 5) throw op[1]; return { value: op[0] ? op[1] : void 0, done: true };
+ }
+ };`},TEe={name:"typescript:commonjscreatebinding",importName:"__createBinding",scoped:!1,priority:1,text:`
+ var __createBinding = (this && this.__createBinding) || (Object.create ? (function(o, m, k, k2) {
+ if (k2 === undefined) k2 = k;
+ var desc = Object.getOwnPropertyDescriptor(m, k);
+ if (!desc || ("get" in desc ? !m.__esModule : desc.writable || desc.configurable)) {
+ desc = { enumerable: true, get: function() { return m[k]; } };
+ }
+ Object.defineProperty(o, k2, desc);
+ }) : (function(o, m, k, k2) {
+ if (k2 === undefined) k2 = k;
+ o[k2] = m[k];
+ }));`},C3t={name:"typescript:commonjscreatevalue",importName:"__setModuleDefault",scoped:!1,priority:1,text:`
+ var __setModuleDefault = (this && this.__setModuleDefault) || (Object.create ? (function(o, v) {
+ Object.defineProperty(o, "default", { enumerable: true, value: v });
+ }) : function(o, v) {
+ o["default"] = v;
+ });`},DQe={name:"typescript:commonjsimportstar",importName:"__importStar",scoped:!1,dependencies:[TEe,C3t],priority:2,text:`
+ var __importStar = (this && this.__importStar) || function (mod) {
+ if (mod && mod.__esModule) return mod;
+ var result = {};
+ if (mod != null) for (var k in mod) if (k !== "default" && Object.prototype.hasOwnProperty.call(mod, k)) __createBinding(result, mod, k);
+ __setModuleDefault(result, mod);
+ return result;
+ };`},S3t={name:"typescript:commonjsimportdefault",importName:"__importDefault",scoped:!1,text:`
+ var __importDefault = (this && this.__importDefault) || function (mod) {
+ return (mod && mod.__esModule) ? mod : { "default": mod };
+ };`},w3t={name:"typescript:export-star",importName:"__exportStar",scoped:!1,dependencies:[TEe],priority:2,text:`
+ var __exportStar = (this && this.__exportStar) || function(m, exports) {
+ for (var p in m) if (p !== "default" && !Object.prototype.hasOwnProperty.call(exports, p)) __createBinding(exports, m, p);
+ };`},x3t={name:"typescript:classPrivateFieldGet",importName:"__classPrivateFieldGet",scoped:!1,text:`
+ var __classPrivateFieldGet = (this && this.__classPrivateFieldGet) || function (receiver, state, kind, f) {
+ if (kind === "a" && !f) throw new TypeError("Private accessor was defined without a getter");
+ if (typeof state === "function" ? receiver !== state || !f : !state.has(receiver)) throw new TypeError("Cannot read private member from an object whose class did not declare it");
+ return kind === "m" ? f : kind === "a" ? f.call(receiver) : f ? f.value : state.get(receiver);
+ };`},k3t={name:"typescript:classPrivateFieldSet",importName:"__classPrivateFieldSet",scoped:!1,text:`
+ var __classPrivateFieldSet = (this && this.__classPrivateFieldSet) || function (receiver, state, value, kind, f) {
+ if (kind === "m") throw new TypeError("Private method is not writable");
+ if (kind === "a" && !f) throw new TypeError("Private accessor was defined without a setter");
+ if (typeof state === "function" ? receiver !== state || !f : !state.has(receiver)) throw new TypeError("Cannot write private member to an object whose class did not declare it");
+ return (kind === "a" ? f.call(receiver, value) : f ? f.value = value : state.set(receiver, value)), value;
+ };`},T3t={name:"typescript:classPrivateFieldIn",importName:"__classPrivateFieldIn",scoped:!1,text:`
+ var __classPrivateFieldIn = (this && this.__classPrivateFieldIn) || function(state, receiver) {
+ if (receiver === null || (typeof receiver !== "object" && typeof receiver !== "function")) throw new TypeError("Cannot use 'in' operator on non-object");
+ return typeof state === "function" ? receiver === state : state.has(receiver);
+ };`},D3t={name:"typescript:addDisposableResource",importName:"__addDisposableResource",scoped:!1,text:`
+ var __addDisposableResource = (this && this.__addDisposableResource) || function (env, value, async) {
+ if (value !== null && value !== void 0) {
+ if (typeof value !== "object" && typeof value !== "function") throw new TypeError("Object expected.");
+ var dispose, inner;
+ if (async) {
+ if (!Symbol.asyncDispose) throw new TypeError("Symbol.asyncDispose is not defined.");
+ dispose = value[Symbol.asyncDispose];
+ }
+ if (dispose === void 0) {
+ if (!Symbol.dispose) throw new TypeError("Symbol.dispose is not defined.");
+ dispose = value[Symbol.dispose];
+ if (async) inner = dispose;
+ }
+ if (typeof dispose !== "function") throw new TypeError("Object not disposable.");
+ if (inner) dispose = function() { try { inner.call(this); } catch (e) { return Promise.reject(e); } };
+ env.stack.push({ value: value, dispose: dispose, async: async });
+ }
+ else if (async) {
+ env.stack.push({ async: true });
+ }
+ return value;
+ };`},E3t={name:"typescript:disposeResources",importName:"__disposeResources",scoped:!1,text:`
+ var __disposeResources = (this && this.__disposeResources) || (function (SuppressedError) {
+ return function (env) {
+ function fail(e) {
+ env.error = env.hasError ? new SuppressedError(e, env.error, "An error was suppressed during disposal.") : e;
+ env.hasError = true;
+ }
+ var r, s = 0;
+ function next() {
+ while (r = env.stack.pop()) {
+ try {
+ if (!r.async && s === 1) return s = 0, env.stack.push(r), Promise.resolve().then(next);
+ if (r.dispose) {
+ var result = r.dispose.call(r.value);
+ if (r.async) return s |= 2, Promise.resolve(result).then(next, function(e) { fail(e); return next(); });
+ }
+ else s |= 1;
+ }
+ catch (e) {
+ fail(e);
+ }
+ }
+ if (s === 1) return env.hasError ? Promise.reject(env.error) : Promise.resolve();
+ if (env.hasError) throw env.error;
+ }
+ return next();
+ };
+ })(typeof SuppressedError === "function" ? SuppressedError : function (error, suppressed, message) {
+ var e = new Error(message);
+ return e.name = "SuppressedError", e.error = error, e.suppressed = suppressed, e;
+ });`},hX={name:"typescript:async-super",scoped:!0,text:TQe`
+ const ${"_superIndex"} = name => super[name];`},_X={name:"typescript:advanced-async-super",scoped:!0,text:TQe`
+ const ${"_superIndex"} = (function (geti, seti) {
+ const cache = Object.create(null);
+ return name => cache[name] || (cache[name] = { get value() { return geti(name); }, set value(v) { seti(name, v); } });
+ })(name => super[name], (name, value) => super[name] = value);`};function _7(t,r){return la(t)&&ot(t.expression)&&(Ya(t.expression)&8192)!==0&&t.expression.escapedText===r}function A_(t){return t.kind===9}function p7(t){return t.kind===10}function Ha(t){return t.kind===11}function RP(t){return t.kind===12}function ale(t){return t.kind===14}function TI(t){return t.kind===15}function FP(t){return t.kind===16}function cle(t){return t.kind===17}function pX(t){return t.kind===18}function mX(t){return t.kind===26}function DEe(t){return t.kind===28}function lle(t){return t.kind===40}function ule(t){return t.kind===41}function uH(t){return t.kind===42}function dH(t){return t.kind===54}function dx(t){return t.kind===58}function EEe(t){return t.kind===59}function gX(t){return t.kind===29}function IEe(t){return t.kind===39}function ot(t){return t.kind===80}function Vs(t){return t.kind===81}function BP(t){return t.kind===95}function yX(t){return t.kind===90}function m7(t){return t.kind===134}function NEe(t){return t.kind===131}function dle(t){return t.kind===135}function LEe(t){return t.kind===148}function WP(t){return t.kind===126}function PEe(t){return t.kind===128}function AEe(t){return t.kind===164}function OEe(t){return t.kind===129}function g7(t){return t.kind===108}function y7(t){return t.kind===102}function MEe(t){return t.kind===84}function o_(t){return t.kind===166}function Ka(t){return t.kind===167}function $l(t){return t.kind===168}function $s(t){return t.kind===169}function Fd(t){return t.kind===170}function O_(t){return t.kind===171}function Lo(t){return t.kind===172}function W1(t){return t.kind===173}function Nu(t){return t.kind===174}function gu(t){return t.kind===175}function iu(t){return t.kind===176}function um(t){return t.kind===177}function kp(t){return t.kind===178}function VP(t){return t.kind===179}function fH(t){return t.kind===180}function eD(t){return t.kind===181}function HP(t){return t.kind===182}function qp(t){return t.kind===183}function Hy(t){return t.kind===184}function v3(t){return t.kind===185}function tD(t){return t.kind===186}function a_(t){return t.kind===187}function hH(t){return t.kind===188}function jP(t){return t.kind===189}function b3(t){return t.kind===202}function vX(t){return t.kind===190}function bX(t){return t.kind===191}function fx(t){return t.kind===192}function zP(t){return t.kind===193}function iD(t){return t.kind===194}function DI(t){return t.kind===195}function EI(t){return t.kind===196}function C3(t){return t.kind===197}function t2(t){return t.kind===198}function nD(t){return t.kind===199}function II(t){return t.kind===200}function bS(t){return t.kind===201}function V1(t){return t.kind===205}function fle(t){return t.kind===204}function REe(t){return t.kind===203}function dm(t){return t.kind===206}function CS(t){return t.kind===207}function ec(t){return t.kind===208}function jf(t){return t.kind===209}function Aa(t){return t.kind===210}function Nr(t){return t.kind===211}function wl(t){return t.kind===212}function la(t){return t.kind===213}function rD(t){return t.kind===214}function i2(t){return t.kind===215}function hle(t){return t.kind===216}function c_(t){return t.kind===217}function ml(t){return t.kind===218}function xl(t){return t.kind===219}function FEe(t){return t.kind===220}function S3(t){return t.kind===221}function UP(t){return t.kind===222}function hx(t){return t.kind===223}function n2(t){return t.kind===224}function _le(t){return t.kind===225}function ur(t){return t.kind===226}function qP(t){return t.kind===227}function CX(t){return t.kind===228}function SX(t){return t.kind===229}function Km(t){return t.kind===230}function fd(t){return t.kind===231}function Bd(t){return t.kind===232}function Yb(t){return t.kind===233}function v7(t){return t.kind===234}function wX(t){return t.kind===238}function $P(t){return t.kind===235}function b7(t){return t.kind===236}function EQe(t){return t.kind===237}function ple(t){return t.kind===354}function C7(t){return t.kind===355}function w3(t){return t.kind===239}function BEe(t){return t.kind===240}function Xo(t){return t.kind===241}function Lu(t){return t.kind===243}function mle(t){return t.kind===242}function uf(t){return t.kind===244}function r2(t){return t.kind===245}function IQe(t){return t.kind===246}function gle(t){return t.kind===247}function s2(t){return t.kind===248}function xX(t){return t.kind===249}function _H(t){return t.kind===250}function NQe(t){return t.kind===251}function LQe(t){return t.kind===252}function Og(t){return t.kind===253}function WEe(t){return t.kind===254}function S7(t){return t.kind===255}function _x(t){return t.kind===256}function yle(t){return t.kind===257}function NI(t){return t.kind===258}function PQe(t){return t.kind===259}function _s(t){return t.kind===260}function Sf(t){return t.kind===261}function Wu(t){return t.kind===262}function hd(t){return t.kind===263}function zf(t){return t.kind===264}function Mg(t){return t.kind===265}function sD(t){return t.kind===266}function rd(t){return t.kind===267}function H1(t){return t.kind===268}function w7(t){return t.kind===269}function pH(t){return t.kind===270}function Wd(t){return t.kind===271}function du(t){return t.kind===272}function H0(t){return t.kind===273}function AQe(t){return t.kind===302}function VEe(t){return t.kind===300}function OQe(t){return t.kind===301}function LI(t){return t.kind===300}function HEe(t){return t.kind===301}function Jv(t){return t.kind===274}function jy(t){return t.kind===280}function j1(t){return t.kind===275}function l_(t){return t.kind===276}function Il(t){return t.kind===277}function Ju(t){return t.kind===278}function Xm(t){return t.kind===279}function vh(t){return t.kind===281}function kX(t){return t.kind===80||t.kind===11}function MQe(t){return t.kind===282}function jEe(t){return t.kind===353}function JP(t){return t.kind===356}function eC(t){return t.kind===283}function Gv(t){return t.kind===284}function PI(t){return t.kind===285}function z1(t){return t.kind===286}function oD(t){return t.kind===287}function aD(t){return t.kind===288}function AI(t){return t.kind===289}function zEe(t){return t.kind===290}function U1(t){return t.kind===291}function cD(t){return t.kind===292}function GP(t){return t.kind===293}function x7(t){return t.kind===294}function j0(t){return t.kind===295}function x3(t){return t.kind===296}function k7(t){return t.kind===297}function Tp(t){return t.kind===298}function lD(t){return t.kind===299}function _d(t){return t.kind===303}function lh(t){return t.kind===304}function Kv(t){return t.kind===305}function SS(t){return t.kind===306}function Os(t){return t.kind===307}function UEe(t){return t.kind===308}function o2(t){return t.kind===309}function T7(t){return t.kind===310}function a2(t){return t.kind===311}function qEe(t){return t.kind===324}function $Ee(t){return t.kind===325}function RQe(t){return t.kind===326}function JEe(t){return t.kind===312}function GEe(t){return t.kind===313}function k3(t){return t.kind===314}function TX(t){return t.kind===315}function vle(t){return t.kind===316}function T3(t){return t.kind===317}function DX(t){return t.kind===318}function FQe(t){return t.kind===319}function z0(t){return t.kind===320}function OI(t){return t.kind===322}function tC(t){return t.kind===323}function KP(t){return t.kind===328}function BQe(t){return t.kind===330}function KEe(t){return t.kind===332}function ble(t){return t.kind===338}function Cle(t){return t.kind===333}function Sle(t){return t.kind===334}function wle(t){return t.kind===335}function xle(t){return t.kind===336}function EX(t){return t.kind===337}function D3(t){return t.kind===339}function kle(t){return t.kind===331}function WQe(t){return t.kind===347}function mH(t){return t.kind===340}function Qm(t){return t.kind===341}function IX(t){return t.kind===342}function Tle(t){return t.kind===343}function D7(t){return t.kind===344}function Rg(t){return t.kind===345}function MI(t){return t.kind===346}function VQe(t){return t.kind===327}function XEe(t){return t.kind===348}function NX(t){return t.kind===329}function LX(t){return t.kind===350}function HQe(t){return t.kind===349}function q1(t){return t.kind===351}function E3(t){return t.kind===352}var E7=new WeakMap;function Dle(t,r){var a;const u=t.kind;return _G(u)?u===352?t._children:(a=E7.get(r))==null?void 0:a.get(t):w}function QEe(t,r,a){t.kind===352&&j.fail("Should not need to re-set the children of a SyntaxList.");let u=E7.get(r);return u===void 0&&(u=new WeakMap,E7.set(r,u)),u.set(t,a),a}function Ele(t,r){var a;t.kind===352&&j.fail("Did not expect to unset the children of a SyntaxList."),(a=E7.get(r))==null||a.delete(t)}function ZEe(t,r){const a=E7.get(t);a!==void 0&&(E7.delete(t),E7.set(r,a))}function gH(t){return t.createExportDeclaration(void 0,!1,t.createNamedExports([]),void 0)}function RI(t,r,a,u){if(Ka(a))return Ht(t.createElementAccessExpression(r,a.expression),u);{const h=Ht(Mv(a)?t.createPropertyAccessExpression(r,a):t.createElementAccessExpression(r,a),a);return F1(h,128),h}}function YEe(t,r){const a=l2.createIdentifier(t||"React");return _c(a,Mo(r)),a}function eIe(t,r,a){if(o_(r)){const u=eIe(t,r.left,a),h=t.createIdentifier(Fr(r.right));return h.escapedText=r.right.escapedText,t.createPropertyAccessExpression(u,h)}else return YEe(Fr(r),a)}function Ile(t,r,a,u){return r?eIe(t,r,u):t.createPropertyAccessExpression(YEe(a,u),"createElement")}function I3t(t,r,a,u){return r?eIe(t,r,u):t.createPropertyAccessExpression(YEe(a,u),"Fragment")}function tIe(t,r,a,u,h,p){const g=[a];if(u&&g.push(u),h&&h.length>0)if(u||g.push(t.createNull()),h.length>1)for(const C of h)bh(C),g.push(C);else g.push(h[0]);return Ht(t.createCallExpression(r,void 0,g),p)}function iIe(t,r,a,u,h,p,g){const b=[I3t(t,a,u,p),t.createNull()];if(h&&h.length>0)if(h.length>1)for(const T of h)bh(T),b.push(T);else b.push(h[0]);return Ht(t.createCallExpression(Ile(t,r,u,p),void 0,b),g)}function Nle(t,r,a){if(Sf(r)){const u=ya(r.declarations),h=t.updateVariableDeclaration(u,u.name,void 0,void 0,a);return Ht(t.createVariableStatement(void 0,t.updateVariableDeclarationList(r,[h])),r)}else{const u=Ht(t.createAssignment(r,a),r);return Ht(t.createExpressionStatement(u),r)}}function yH(t,r){if(o_(r)){const a=yH(t,r.left),u=_c(Ht(t.cloneNode(r.right),r.right),r.right.parent);return Ht(t.createPropertyAccessExpression(a,u),r)}else return _c(Ht(t.cloneNode(r),r),r.parent)}function Lle(t,r){return ot(r)?t.createStringLiteralFromNode(r):Ka(r)?_c(Ht(t.cloneNode(r.expression),r.expression),r.expression.parent):_c(Ht(t.cloneNode(r),r),r.parent)}function N3t(t,r,a,u,h){const{firstAccessor:p,getAccessor:g,setAccessor:C}=nx(r,a);if(a===p)return Ht(t.createObjectDefinePropertyCall(u,Lle(t,a.name),t.createPropertyDescriptor({enumerable:t.createFalse(),configurable:!0,get:g&&Ht(Ir(t.createFunctionExpression(PT(g),void 0,void 0,void 0,g.parameters,void 0,g.body),g),g),set:C&&Ht(Ir(t.createFunctionExpression(PT(C),void 0,void 0,void 0,C.parameters,void 0,C.body),C),C)},!h)),p)}function L3t(t,r,a){return Ir(Ht(t.createAssignment(RI(t,a,r.name,r.name),r.initializer),r),r)}function P3t(t,r,a){return Ir(Ht(t.createAssignment(RI(t,a,r.name,r.name),t.cloneNode(r.name)),r),r)}function A3t(t,r,a){return Ir(Ht(t.createAssignment(RI(t,a,r.name,r.name),Ir(Ht(t.createFunctionExpression(PT(r),r.asteriskToken,void 0,void 0,r.parameters,void 0,r.body),r),r)),r),r)}function nIe(t,r,a,u){switch(a.name&&Vs(a.name)&&j.failBadSyntaxKind(a.name,"Private identifiers are not allowed in object literals."),a.kind){case 177:case 178:return N3t(t,r.properties,a,u,!!r.multiLine);case 303:return L3t(t,a,u);case 304:return P3t(t,a,u);case 174:return A3t(t,a,u)}}function PX(t,r,a,u,h){const p=r.operator;j.assert(p===46||p===47,"Expected 'node' to be a pre- or post-increment or pre- or post-decrement expression");const g=t.createTempVariable(u);a=t.createAssignment(g,a),Ht(a,r.operand);let C=n2(r)?t.createPrefixUnaryExpression(p,g):t.createPostfixUnaryExpression(g,p);return Ht(C,r),h&&(C=t.createAssignment(h,C),Ht(C,r)),a=t.createComma(a,C),Ht(a,r),_le(r)&&(a=t.createComma(a,g),Ht(a,r)),a}function Ple(t){return(Ya(t)&65536)!==0}function iC(t){return(Ya(t)&32768)!==0}function AX(t){return(Ya(t)&16384)!==0}function jQe(t){return Ha(t.expression)&&t.expression.text==="use strict"}function Ale(t){for(const r of t)if(I1(r)){if(jQe(r))return r}else break}function rIe(t){const r=Ra(t);return r!==void 0&&I1(r)&&jQe(r)}function vH(t){return t.kind===226&&t.operatorToken.kind===28}function I7(t){return vH(t)||C7(t)}function FI(t){return c_(t)&&nr(t)&&!!Fk(t)}function N7(t){const r=Bk(t);return j.assertIsDefined(r),r}function OX(t,r=31){switch(t.kind){case 217:return r&-2147483648&&FI(t)?!1:(r&1)!==0;case 216:case 234:case 238:return(r&2)!==0;case 233:return(r&16)!==0;case 235:return(r&4)!==0;case 354:return(r&8)!==0}return!1}function Vu(t,r=31){for(;OX(t,r);)t=t.expression;return t}function sIe(t,r=31){let a=t.parent;for(;OX(a,r);)a=a.parent,j.assert(a);return a}function bh(t){return lX(t,!0)}function MX(t){const r=Ql(t,Os),a=r&&r.emitNode;return a&&a.externalHelpersModuleName}function oIe(t){const r=Ql(t,Os),a=r&&r.emitNode;return!!a&&(!!a.externalHelpersModuleName||!!a.externalHelpers)}function Ole(t,r,a,u,h,p,g){if(u.importHelpers&&aP(a,u)){let C;const b=zh(u);if(b>=5&&b<=99||jI(a,u)===99){const T=dX(a);if(T){const E=[];for(const N of T)if(!N.scoped){const R=N.importName;R&&mu(E,R)}if(Ft(E)){E.sort(sh),C=t.createNamedImports(qt(E,F=>PG(a,F)?t.createImportSpecifier(!1,void 0,t.createIdentifier(F)):t.createImportSpecifier(!1,t.createIdentifier(F),r.getUnscopedHelperName(F))));const N=Ql(a,Os),R=ch(N);R.externalHelpers=!0}}}else{const T=O3t(t,a,u,h,p||g);T&&(C=t.createNamespaceImport(T))}if(C){const T=t.createImportDeclaration(void 0,t.createImportClause(!1,void 0,C),t.createStringLiteral(jk),void 0);return AP(T,2),T}}}function O3t(t,r,a,u,h){if(a.importHelpers&&aP(r,a)){const p=MX(r);if(p)return p;let g=(u||zv(a)&&h)&&e5(r,a)<4;if(!g){const C=dX(r);if(C){for(const b of C)if(!b.scoped){g=!0;break}}}if(g){const C=Ql(r,Os),b=ch(C);return b.externalHelpersModuleName||(b.externalHelpersModuleName=t.createUniqueName(jk))}}}function I3(t,r,a){const u=Y6(r);if(u&&!hP(r)&&!OG(r)){const h=u.name;return h.kind===11?t.getGeneratedNameForNode(r):zl(h)?h:t.createIdentifier(RT(a,h)||Fr(h))}if(r.kind===272&&r.importClause||r.kind===278&&r.moduleSpecifier)return t.getGeneratedNameForNode(r)}function XP(t,r,a,u,h,p){const g=fP(r);if(g&&Ha(g))return R3t(r,u,t,h,p)||M3t(t,g,a)||t.cloneNode(g)}function M3t(t,r,a){const u=a.renamedDependencies&&a.renamedDependencies.get(r.text);return u?t.createStringLiteral(u):void 0}function bH(t,r,a,u){if(r){if(r.moduleName)return t.createStringLiteral(r.moduleName);if(!r.isDeclarationFile&&u.outFile)return t.createStringLiteral(cce(a,r.fileName))}}function R3t(t,r,a,u,h){return bH(a,u.getExternalModuleFileFromDeclaration(t),r,h)}function CH(t){if(WW(t))return t.initializer;if(_d(t)){const r=t.initializer;return lf(r,!0)?r.right:void 0}if(lh(t))return t.objectAssignmentInitializer;if(lf(t,!0))return t.right;if(Km(t))return CH(t.expression)}function px(t){if(WW(t))return t.name;if(Ub(t)){switch(t.kind){case 303:return px(t.initializer);case 304:return t.name;case 305:return px(t.expression)}return}return lf(t,!0)?px(t.left):Km(t)?px(t.expression):t}function RX(t){switch(t.kind){case 169:case 208:return t.dotDotDotToken;case 230:case 305:return t}}function Mle(t){const r=FX(t);return j.assert(!!r||Kv(t),"Invalid property name for binding element."),r}function FX(t){switch(t.kind){case 208:if(t.propertyName){const a=t.propertyName;return Vs(a)?j.failBadSyntaxKind(a):Ka(a)&&zQe(a.expression)?a.expression:a}break;case 303:if(t.name){const a=t.name;return Vs(a)?j.failBadSyntaxKind(a):Ka(a)&&zQe(a.expression)?a.expression:a}break;case 305:return t.name&&Vs(t.name)?j.failBadSyntaxKind(t.name):t.name}const r=px(t);if(r&&id(r))return r}function zQe(t){const r=t.kind;return r===11||r===9}function N3(t){switch(t.kind){case 206:case 207:case 209:return t.elements;case 210:return t.properties}}function Rle(t){if(t){let r=t;for(;;){if(ot(r)||!r.body)return ot(r)?r:r.name;r=r.body}}}function UQe(t){const r=t.kind;return r===176||r===178}function aIe(t){const r=t.kind;return r===176||r===177||r===178}function Fle(t){const r=t.kind;return r===303||r===304||r===262||r===176||r===181||r===175||r===282||r===243||r===264||r===265||r===266||r===267||r===271||r===272||r===270||r===278||r===277}function cIe(t){const r=t.kind;return r===175||r===303||r===304||r===282||r===270}function lIe(t){return dx(t)||dH(t)}function uIe(t){return ot(t)||C3(t)}function dIe(t){return LEe(t)||lle(t)||ule(t)}function fIe(t){return dx(t)||lle(t)||ule(t)}function hIe(t){return ot(t)||Ha(t)}function F3t(t){return t===43}function B3t(t){return t===42||t===44||t===45}function W3t(t){return F3t(t)||B3t(t)}function V3t(t){return t===40||t===41}function H3t(t){return V3t(t)||W3t(t)}function j3t(t){return t===48||t===49||t===50}function Ble(t){return j3t(t)||H3t(t)}function z3t(t){return t===30||t===33||t===32||t===34||t===104||t===103}function U3t(t){return z3t(t)||Ble(t)}function q3t(t){return t===35||t===37||t===36||t===38}function $3t(t){return q3t(t)||U3t(t)}function J3t(t){return t===51||t===52||t===53}function G3t(t){return J3t(t)||$3t(t)}function K3t(t){return t===56||t===57}function X3t(t){return K3t(t)||G3t(t)}function Q3t(t){return t===61||X3t(t)||Gb(t)}function Z3t(t){return Q3t(t)||t===28}function _Ie(t){return Z3t(t.kind)}var Wle;(t=>{function r(E,N,R,F,H,U,q){const X=N>0?H[N-1]:void 0;return j.assertEqual(R[N],r),H[N]=E.onEnter(F[N],X,q),R[N]=C(E,r),N}t.enter=r;function a(E,N,R,F,H,U,q){j.assertEqual(R[N],a),j.assertIsDefined(E.onLeft),R[N]=C(E,a);const X=E.onLeft(F[N].left,H[N],F[N]);return X?(T(N,F,X),b(N,R,F,H,X)):N}t.left=a;function u(E,N,R,F,H,U,q){return j.assertEqual(R[N],u),j.assertIsDefined(E.onOperator),R[N]=C(E,u),E.onOperator(F[N].operatorToken,H[N],F[N]),N}t.operator=u;function h(E,N,R,F,H,U,q){j.assertEqual(R[N],h),j.assertIsDefined(E.onRight),R[N]=C(E,h);const X=E.onRight(F[N].right,H[N],F[N]);return X?(T(N,F,X),b(N,R,F,H,X)):N}t.right=h;function p(E,N,R,F,H,U,q){j.assertEqual(R[N],p),R[N]=C(E,p);const X=E.onExit(F[N],H[N]);if(N>0){if(N--,E.foldState){const Z=R[N]===p?"right":"left";H[N]=E.foldState(H[N],X,Z)}}else U.value=X;return N}t.exit=p;function g(E,N,R,F,H,U,q){return j.assertEqual(R[N],g),N}t.done=g;function C(E,N){switch(N){case r:if(E.onLeft)return a;case a:if(E.onOperator)return u;case u:if(E.onRight)return h;case h:return p;case p:return g;case g:return g;default:j.fail("Invalid state")}}t.nextState=C;function b(E,N,R,F,H){return E++,N[E]=r,R[E]=H,F[E]=void 0,E}function T(E,N,R){if(j.shouldAssert(2))for(;E>=0;)j.assert(N[E]!==R,"Circular traversal detected."),E--}})(Wle||(Wle={}));var Y3t=class{constructor(t,r,a,u,h,p){this.onEnter=t,this.onLeft=r,this.onOperator=a,this.onRight=u,this.onExit=h,this.foldState=p}};function BX(t,r,a,u,h,p){const g=new Y3t(t,r,a,u,h,p);return C;function C(b,T){const E={value:void 0},N=[Wle.enter],R=[b],F=[void 0];let H=0;for(;N[H]!==Wle.done;)H=N[H](g,H,N,R,F,E,T);return j.assertEqual(H,0),E.value}}function eOt(t){return t===95||t===90}function SH(t){const r=t.kind;return eOt(r)}function pIe(t,r){if(r!==void 0)return r.length===0?r:Ht(t.createNodeArray([],r.hasTrailingComma),r)}function wH(t){var r;const a=t.emitNode.autoGenerate;if(a.flags&4){const u=a.id;let h=t,p=h.original;for(;p;){h=p;const g=(r=h.emitNode)==null?void 0:r.autoGenerate;if(Mv(h)&&(g===void 0||g.flags&4&&g.id!==u))break;p=h.original}return h}return t}function L3(t,r){return typeof t=="object"?c2(!1,t.prefix,t.node,t.suffix,r):typeof t=="string"?t.length>0&&t.charCodeAt(0)===35?t.slice(1):t:""}function tOt(t,r){return typeof t=="string"?t:iOt(t,j.checkDefined(r))}function iOt(t,r){return uI(t)?r(t).slice(1):zl(t)?r(t):Vs(t)?t.escapedText.slice(1):Fr(t)}function c2(t,r,a,u,h){return r=L3(r,h),u=L3(u,h),a=tOt(a,h),`${t?"#":""}${r}${a}${u}`}function Vle(t,r,a,u){return t.updatePropertyDeclaration(r,a,t.getGeneratedPrivateNameForNode(r.name,void 0,"_accessor_storage"),void 0,void 0,u)}function mIe(t,r,a,u,h=t.createThis()){return t.createGetAccessorDeclaration(a,u,[],void 0,t.createBlock([t.createReturnStatement(t.createPropertyAccessExpression(h,t.getGeneratedPrivateNameForNode(r.name,void 0,"_accessor_storage")))]))}function gIe(t,r,a,u,h=t.createThis()){return t.createSetAccessorDeclaration(a,u,[t.createParameterDeclaration(void 0,void 0,"value")],t.createBlock([t.createExpressionStatement(t.createAssignment(t.createPropertyAccessExpression(h,t.getGeneratedPrivateNameForNode(r.name,void 0,"_accessor_storage")),t.createIdentifier("value")))]))}function WX(t){let r=t.expression;for(;;){if(r=Vu(r),C7(r)){r=va(r.elements);continue}if(vH(r)){r=r.right;continue}if(lf(r,!0)&&zl(r.left))return r;break}}function nOt(t){return c_(t)&&cl(t)&&!t.emitNode}function VX(t,r){if(nOt(t))VX(t.expression,r);else if(vH(t))VX(t.left,r),VX(t.right,r);else if(C7(t))for(const a of t.elements)VX(a,r);else r.push(t)}function yIe(t){const r=[];return VX(t,r),r}function xH(t){if(t.transformFlags&65536)return!0;if(t.transformFlags&128)for(const r of N3(t)){const a=px(r);if(a&&S8(a)&&(a.transformFlags&65536||a.transformFlags&128&&xH(a)))return!0}return!1}function Ht(t,r){return r?V0(t,r.pos,r.end):t}function Fg(t){const r=t.kind;return r===168||r===169||r===171||r===172||r===173||r===174||r===176||r===177||r===178||r===181||r===185||r===218||r===219||r===231||r===243||r===262||r===263||r===264||r===265||r===266||r===267||r===271||r===272||r===277||r===278}function uD(t){const r=t.kind;return r===169||r===172||r===174||r===177||r===178||r===231||r===263}var qQe,$Qe,JQe,GQe,KQe,vIe={createBaseSourceFileNode:t=>new(KQe||(KQe=Hf.getSourceFileConstructor()))(t,-1,-1),createBaseIdentifierNode:t=>new(JQe||(JQe=Hf.getIdentifierConstructor()))(t,-1,-1),createBasePrivateIdentifierNode:t=>new(GQe||(GQe=Hf.getPrivateIdentifierConstructor()))(t,-1,-1),createBaseTokenNode:t=>new($Qe||($Qe=Hf.getTokenConstructor()))(t,-1,-1),createBaseNode:t=>new(qQe||(qQe=Hf.getNodeConstructor()))(t,-1,-1)},l2=rH(1,vIe);function Ti(t,r){return r&&t(r)}function Ms(t,r,a){if(a){if(r)return r(a);for(const u of a){const h=t(u);if(h)return h}}}function Hle(t,r){return t.charCodeAt(r+1)===42&&t.charCodeAt(r+2)===42&&t.charCodeAt(r+3)!==47}function kH(t){return O(t.statements,rOt)||sOt(t)}function rOt(t){return Fg(t)&&oOt(t,95)||Wd(t)&&eC(t.moduleReference)||du(t)||Il(t)||Ju(t)?t:void 0}function sOt(t){return t.flags&8388608?XQe(t):void 0}function XQe(t){return aOt(t)?t:Uo(t,XQe)}function oOt(t,r){return Ft(t.modifiers,a=>a.kind===r)}function aOt(t){return b7(t)&&t.keywordToken===102&&t.name.escapedText==="meta"}var cOt={166:function(r,a,u){return Ti(a,r.left)||Ti(a,r.right)},168:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ti(a,r.constraint)||Ti(a,r.default)||Ti(a,r.expression)},304:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ti(a,r.questionToken)||Ti(a,r.exclamationToken)||Ti(a,r.equalsToken)||Ti(a,r.objectAssignmentInitializer)},305:function(r,a,u){return Ti(a,r.expression)},169:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.dotDotDotToken)||Ti(a,r.name)||Ti(a,r.questionToken)||Ti(a,r.type)||Ti(a,r.initializer)},172:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ti(a,r.questionToken)||Ti(a,r.exclamationToken)||Ti(a,r.type)||Ti(a,r.initializer)},171:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ti(a,r.questionToken)||Ti(a,r.type)||Ti(a,r.initializer)},303:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ti(a,r.questionToken)||Ti(a,r.exclamationToken)||Ti(a,r.initializer)},260:function(r,a,u){return Ti(a,r.name)||Ti(a,r.exclamationToken)||Ti(a,r.type)||Ti(a,r.initializer)},208:function(r,a,u){return Ti(a,r.dotDotDotToken)||Ti(a,r.propertyName)||Ti(a,r.name)||Ti(a,r.initializer)},181:function(r,a,u){return Ms(a,u,r.modifiers)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)},185:function(r,a,u){return Ms(a,u,r.modifiers)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)},184:function(r,a,u){return Ms(a,u,r.modifiers)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)},179:QQe,180:QQe,174:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.asteriskToken)||Ti(a,r.name)||Ti(a,r.questionToken)||Ti(a,r.exclamationToken)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)||Ti(a,r.body)},173:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ti(a,r.questionToken)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)},176:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)||Ti(a,r.body)},177:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)||Ti(a,r.body)},178:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)||Ti(a,r.body)},262:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.asteriskToken)||Ti(a,r.name)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)||Ti(a,r.body)},218:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.asteriskToken)||Ti(a,r.name)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)||Ti(a,r.body)},219:function(r,a,u){return Ms(a,u,r.modifiers)||Ms(a,u,r.typeParameters)||Ms(a,u,r.parameters)||Ti(a,r.type)||Ti(a,r.equalsGreaterThanToken)||Ti(a,r.body)},175:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.body)},183:function(r,a,u){return Ti(a,r.typeName)||Ms(a,u,r.typeArguments)},182:function(r,a,u){return Ti(a,r.assertsModifier)||Ti(a,r.parameterName)||Ti(a,r.type)},186:function(r,a,u){return Ti(a,r.exprName)||Ms(a,u,r.typeArguments)},187:function(r,a,u){return Ms(a,u,r.members)},188:function(r,a,u){return Ti(a,r.elementType)},189:function(r,a,u){return Ms(a,u,r.elements)},192:ZQe,193:ZQe,194:function(r,a,u){return Ti(a,r.checkType)||Ti(a,r.extendsType)||Ti(a,r.trueType)||Ti(a,r.falseType)},195:function(r,a,u){return Ti(a,r.typeParameter)},205:function(r,a,u){return Ti(a,r.argument)||Ti(a,r.attributes)||Ti(a,r.qualifier)||Ms(a,u,r.typeArguments)},302:function(r,a,u){return Ti(a,r.assertClause)},196:YQe,198:YQe,199:function(r,a,u){return Ti(a,r.objectType)||Ti(a,r.indexType)},200:function(r,a,u){return Ti(a,r.readonlyToken)||Ti(a,r.typeParameter)||Ti(a,r.nameType)||Ti(a,r.questionToken)||Ti(a,r.type)||Ms(a,u,r.members)},201:function(r,a,u){return Ti(a,r.literal)},202:function(r,a,u){return Ti(a,r.dotDotDotToken)||Ti(a,r.name)||Ti(a,r.questionToken)||Ti(a,r.type)},206:eZe,207:eZe,209:function(r,a,u){return Ms(a,u,r.elements)},210:function(r,a,u){return Ms(a,u,r.properties)},211:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.questionDotToken)||Ti(a,r.name)},212:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.questionDotToken)||Ti(a,r.argumentExpression)},213:tZe,214:tZe,215:function(r,a,u){return Ti(a,r.tag)||Ti(a,r.questionDotToken)||Ms(a,u,r.typeArguments)||Ti(a,r.template)},216:function(r,a,u){return Ti(a,r.type)||Ti(a,r.expression)},217:function(r,a,u){return Ti(a,r.expression)},220:function(r,a,u){return Ti(a,r.expression)},221:function(r,a,u){return Ti(a,r.expression)},222:function(r,a,u){return Ti(a,r.expression)},224:function(r,a,u){return Ti(a,r.operand)},229:function(r,a,u){return Ti(a,r.asteriskToken)||Ti(a,r.expression)},223:function(r,a,u){return Ti(a,r.expression)},225:function(r,a,u){return Ti(a,r.operand)},226:function(r,a,u){return Ti(a,r.left)||Ti(a,r.operatorToken)||Ti(a,r.right)},234:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.type)},235:function(r,a,u){return Ti(a,r.expression)},238:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.type)},236:function(r,a,u){return Ti(a,r.name)},227:function(r,a,u){return Ti(a,r.condition)||Ti(a,r.questionToken)||Ti(a,r.whenTrue)||Ti(a,r.colonToken)||Ti(a,r.whenFalse)},230:function(r,a,u){return Ti(a,r.expression)},241:iZe,268:iZe,307:function(r,a,u){return Ms(a,u,r.statements)||Ti(a,r.endOfFileToken)},243:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.declarationList)},261:function(r,a,u){return Ms(a,u,r.declarations)},244:function(r,a,u){return Ti(a,r.expression)},245:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.thenStatement)||Ti(a,r.elseStatement)},246:function(r,a,u){return Ti(a,r.statement)||Ti(a,r.expression)},247:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.statement)},248:function(r,a,u){return Ti(a,r.initializer)||Ti(a,r.condition)||Ti(a,r.incrementor)||Ti(a,r.statement)},249:function(r,a,u){return Ti(a,r.initializer)||Ti(a,r.expression)||Ti(a,r.statement)},250:function(r,a,u){return Ti(a,r.awaitModifier)||Ti(a,r.initializer)||Ti(a,r.expression)||Ti(a,r.statement)},251:nZe,252:nZe,253:function(r,a,u){return Ti(a,r.expression)},254:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.statement)},255:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.caseBlock)},269:function(r,a,u){return Ms(a,u,r.clauses)},296:function(r,a,u){return Ti(a,r.expression)||Ms(a,u,r.statements)},297:function(r,a,u){return Ms(a,u,r.statements)},256:function(r,a,u){return Ti(a,r.label)||Ti(a,r.statement)},257:function(r,a,u){return Ti(a,r.expression)},258:function(r,a,u){return Ti(a,r.tryBlock)||Ti(a,r.catchClause)||Ti(a,r.finallyBlock)},299:function(r,a,u){return Ti(a,r.variableDeclaration)||Ti(a,r.block)},170:function(r,a,u){return Ti(a,r.expression)},263:rZe,231:rZe,264:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ms(a,u,r.typeParameters)||Ms(a,u,r.heritageClauses)||Ms(a,u,r.members)},265:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ms(a,u,r.typeParameters)||Ti(a,r.type)},266:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ms(a,u,r.members)},306:function(r,a,u){return Ti(a,r.name)||Ti(a,r.initializer)},267:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ti(a,r.body)},271:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)||Ti(a,r.moduleReference)},272:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.importClause)||Ti(a,r.moduleSpecifier)||Ti(a,r.attributes)},273:function(r,a,u){return Ti(a,r.name)||Ti(a,r.namedBindings)},300:function(r,a,u){return Ms(a,u,r.elements)},301:function(r,a,u){return Ti(a,r.name)||Ti(a,r.value)},270:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.name)},274:function(r,a,u){return Ti(a,r.name)},280:function(r,a,u){return Ti(a,r.name)},275:sZe,279:sZe,278:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.exportClause)||Ti(a,r.moduleSpecifier)||Ti(a,r.attributes)},276:oZe,281:oZe,277:function(r,a,u){return Ms(a,u,r.modifiers)||Ti(a,r.expression)},228:function(r,a,u){return Ti(a,r.head)||Ms(a,u,r.templateSpans)},239:function(r,a,u){return Ti(a,r.expression)||Ti(a,r.literal)},203:function(r,a,u){return Ti(a,r.head)||Ms(a,u,r.templateSpans)},204:function(r,a,u){return Ti(a,r.type)||Ti(a,r.literal)},167:function(r,a,u){return Ti(a,r.expression)},298:function(r,a,u){return Ms(a,u,r.types)},233:function(r,a,u){return Ti(a,r.expression)||Ms(a,u,r.typeArguments)},283:function(r,a,u){return Ti(a,r.expression)},282:function(r,a,u){return Ms(a,u,r.modifiers)},355:function(r,a,u){return Ms(a,u,r.elements)},284:function(r,a,u){return Ti(a,r.openingElement)||Ms(a,u,r.children)||Ti(a,r.closingElement)},288:function(r,a,u){return Ti(a,r.openingFragment)||Ms(a,u,r.children)||Ti(a,r.closingFragment)},285:aZe,286:aZe,292:function(r,a,u){return Ms(a,u,r.properties)},291:function(r,a,u){return Ti(a,r.name)||Ti(a,r.initializer)},293:function(r,a,u){return Ti(a,r.expression)},294:function(r,a,u){return Ti(a,r.dotDotDotToken)||Ti(a,r.expression)},287:function(r,a,u){return Ti(a,r.tagName)},295:function(r,a,u){return Ti(a,r.namespace)||Ti(a,r.name)},190:L7,191:L7,309:L7,315:L7,314:L7,316:L7,318:L7,317:function(r,a,u){return Ms(a,u,r.parameters)||Ti(a,r.type)},320:function(r,a,u){return(typeof r.comment=="string"?void 0:Ms(a,u,r.comment))||Ms(a,u,r.tags)},347:function(r,a,u){return Ti(a,r.tagName)||Ti(a,r.name)||(typeof r.comment=="string"?void 0:Ms(a,u,r.comment))},310:function(r,a,u){return Ti(a,r.name)},311:function(r,a,u){return Ti(a,r.left)||Ti(a,r.right)},341:cZe,348:cZe,330:function(r,a,u){return Ti(a,r.tagName)||(typeof r.comment=="string"?void 0:Ms(a,u,r.comment))},329:function(r,a,u){return Ti(a,r.tagName)||Ti(a,r.class)||(typeof r.comment=="string"?void 0:Ms(a,u,r.comment))},328:function(r,a,u){return Ti(a,r.tagName)||Ti(a,r.class)||(typeof r.comment=="string"?void 0:Ms(a,u,r.comment))},345:function(r,a,u){return Ti(a,r.tagName)||Ti(a,r.constraint)||Ms(a,u,r.typeParameters)||(typeof r.comment=="string"?void 0:Ms(a,u,r.comment))},346:function(r,a,u){return Ti(a,r.tagName)||(r.typeExpression&&r.typeExpression.kind===309?Ti(a,r.typeExpression)||Ti(a,r.fullName)||(typeof r.comment=="string"?void 0:Ms(a,u,r.comment)):Ti(a,r.fullName)||Ti(a,r.typeExpression)||(typeof r.comment=="string"?void 0:Ms(a,u,r.comment)))},338:function(r,a,u){return Ti(a,r.tagName)||Ti(a,r.fullName)||Ti(a,r.typeExpression)||(typeof r.comment=="string"?void 0:Ms(a,u,r.comment))},342:P7,344:P7,343:P7,340:P7,350:P7,349:P7,339:P7,323:function(r,a,u){return O(r.typeParameters,a)||O(r.parameters,a)||Ti(a,r.type)},324:bIe,325:bIe,326:bIe,322:function(r,a,u){return O(r.jsDocPropertyTags,a)},327:P3,332:P3,333:P3,334:P3,335:P3,336:P3,331:P3,337:P3,351:lOt,354:uOt};function QQe(t,r,a){return Ms(r,a,t.typeParameters)||Ms(r,a,t.parameters)||Ti(r,t.type)}function ZQe(t,r,a){return Ms(r,a,t.types)}function YQe(t,r,a){return Ti(r,t.type)}function eZe(t,r,a){return Ms(r,a,t.elements)}function tZe(t,r,a){return Ti(r,t.expression)||Ti(r,t.questionDotToken)||Ms(r,a,t.typeArguments)||Ms(r,a,t.arguments)}function iZe(t,r,a){return Ms(r,a,t.statements)}function nZe(t,r,a){return Ti(r,t.label)}function rZe(t,r,a){return Ms(r,a,t.modifiers)||Ti(r,t.name)||Ms(r,a,t.typeParameters)||Ms(r,a,t.heritageClauses)||Ms(r,a,t.members)}function sZe(t,r,a){return Ms(r,a,t.elements)}function oZe(t,r,a){return Ti(r,t.propertyName)||Ti(r,t.name)}function aZe(t,r,a){return Ti(r,t.tagName)||Ms(r,a,t.typeArguments)||Ti(r,t.attributes)}function L7(t,r,a){return Ti(r,t.type)}function cZe(t,r,a){return Ti(r,t.tagName)||(t.isNameFirst?Ti(r,t.name)||Ti(r,t.typeExpression):Ti(r,t.typeExpression)||Ti(r,t.name))||(typeof t.comment=="string"?void 0:Ms(r,a,t.comment))}function P7(t,r,a){return Ti(r,t.tagName)||Ti(r,t.typeExpression)||(typeof t.comment=="string"?void 0:Ms(r,a,t.comment))}function bIe(t,r,a){return Ti(r,t.name)}function P3(t,r,a){return Ti(r,t.tagName)||(typeof t.comment=="string"?void 0:Ms(r,a,t.comment))}function lOt(t,r,a){return Ti(r,t.tagName)||Ti(r,t.importClause)||Ti(r,t.moduleSpecifier)||Ti(r,t.attributes)||(typeof t.comment=="string"?void 0:Ms(r,a,t.comment))}function uOt(t,r,a){return Ti(r,t.expression)}function Uo(t,r,a){if(t===void 0||t.kind<=165)return;const u=cOt[t.kind];return u===void 0?void 0:u(t,r,a)}function QP(t,r,a){const u=lZe(t),h=[];for(;h.length<u.length;)h.push(t);for(;u.length!==0;){const p=u.pop(),g=h.pop();if(Ao(p)){if(a){const C=a(p,g);if(C){if(C==="skip")continue;return C}}for(let C=p.length-1;C>=0;--C)u.push(p[C]),h.push(g)}else{const C=r(p,g);if(C){if(C==="skip")continue;return C}if(p.kind>=166)for(const b of lZe(p))u.push(b),h.push(p)}}}function lZe(t){const r=[];return Uo(t,a,a),r;function a(u){r.unshift(u)}}function uZe(t){t.externalModuleIndicator=kH(t)}function ZP(t,r,a,u=!1,h){var p,g;(p=Zn)==null||p.push(Zn.Phase.Parse,"createSourceFile",{path:t},!0),ou("beforeParse");let C;const{languageVersion:b,setExternalModuleIndicator:T,impliedNodeFormat:E,jsDocParsingMode:N}=typeof a=="object"?a:{languageVersion:a};if(b===100)C=u2.parseSourceFile(t,r,b,void 0,u,6,Tc,N);else{const R=E===void 0?T:F=>(F.impliedNodeFormat=E,(T||uZe)(F));C=u2.parseSourceFile(t,r,b,void 0,u,h,R,N)}return ou("afterParse"),Wm("Parse","beforeParse","afterParse"),(g=Zn)==null||g.pop(),C}function YP(t,r){return u2.parseIsolatedEntityName(t,r)}function TH(t,r){return u2.parseJsonText(t,r)}function wd(t){return t.externalModuleIndicator!==void 0}function jle(t,r,a,u=!1){const h=zle.updateSourceFile(t,r,a,u);return h.flags|=t.flags&12582912,h}function CIe(t,r,a){const u=u2.JSDocParser.parseIsolatedJSDocComment(t,r,a);return u&&u.jsDoc&&u2.fixupParentReferences(u.jsDoc),u}function dZe(t,r,a){return u2.JSDocParser.parseJSDocTypeExpressionForTests(t,r,a)}var u2;(t=>{var r=Ov(99,!0),a=40960,u,h,p,g,C;function b(fe){return dt++,fe}var T={createBaseSourceFileNode:fe=>b(new C(fe,0,0)),createBaseIdentifierNode:fe=>b(new p(fe,0,0)),createBasePrivateIdentifierNode:fe=>b(new g(fe,0,0)),createBaseTokenNode:fe=>b(new h(fe,0,0)),createBaseNode:fe=>b(new u(fe,0,0))},E=rH(11,T),{createNodeArray:N,createNumericLiteral:R,createStringLiteral:F,createLiteralLikeNode:H,createIdentifier:U,createPrivateIdentifier:q,createToken:X,createArrayLiteralExpression:Z,createObjectLiteralExpression:Q,createPropertyAccessExpression:re,createPropertyAccessChain:oe,createElementAccessExpression:pe,createElementAccessChain:de,createCallExpression:ue,createCallChain:Ie,createNewExpression:we,createParenthesizedExpression:he,createBlock:Ae,createVariableStatement:ke,createExpressionStatement:Te,createIfStatement:De,createWhileStatement:qe,createForStatement:se,createForOfStatement:Ne,createVariableDeclaration:Oe,createVariableDeclarationList:He}=E,Pe,We,ze,Nt,$e,et,Me,ut,wt,Et,dt,zt,Ei,tn,xn,Pn,_i=!0,Fi=!1;function On(fe,Ke,mt,Xt,Si=!1,an,jr,Hs=0){var Cs;if(an=qK(fe,an),an===6){const no=qr(fe,Ke,mt,Xt,Si);return LH(no,(Cs=no.statements[0])==null?void 0:Cs.expression,no.parseDiagnostics,!1,void 0),no.referencedFiles=w,no.typeReferenceDirectives=w,no.libReferenceDirectives=w,no.amdDependencies=w,no.hasNoDefaultLib=!1,no.pragmas=I,no}Fs(fe,Ke,mt,Xt,an,Hs);const Ss=ls(mt,Si,an,jr||uZe,Hs);return ko(),Ss}t.parseSourceFile=On;function pn(fe,Ke){Fs("",fe,Ke,void 0,1,0),st();const mt=ve(!0),Xt=be()===1&&!Me.length;return ko(),Xt?mt:void 0}t.parseIsolatedEntityName=pn;function qr(fe,Ke,mt=2,Xt,Si=!1){Fs(fe,Ke,mt,Xt,6,0),We=Pn,st();const an=le();let jr,Hs;if(be()===1)jr=tc([],an,an),Hs=md();else{let no;for(;be()!==1;){let dc;switch(be()){case 23:dc=e1();break;case 112:case 97:case 106:dc=md();break;case 41:Di(()=>st()===9&&st()!==59)?dc=Nx():dc=O2();break;case 9:case 11:if(Di(()=>st()!==59)){dc=Zt();break}default:dc=O2();break}no&&Ao(no)?no.push(dc):no?no=[no,dc]:(no=dc,be()!==1&&ji(k.Unexpected_token))}const $c=Ao(no)?ki(Z(no),an):j.checkDefined(no),Jc=Te($c);ki(Jc,an),jr=tc([Jc],an),Hs=Jo(1,k.Unexpected_token)}const Cs=yi(fe,2,6,!1,jr,Hs,We,Tc);Si&&at(Cs),Cs.nodeCount=dt,Cs.identifierCount=Ei,Cs.identifiers=zt,Cs.parseDiagnostics=TP(Me,Cs),ut&&(Cs.jsDocDiagnostics=TP(ut,Cs));const Ss=Cs;return ko(),Ss}t.parseJsonText=qr;function Fs(fe,Ke,mt,Xt,Si,an){switch(u=Hf.getNodeConstructor(),h=Hf.getTokenConstructor(),p=Hf.getIdentifierConstructor(),g=Hf.getPrivateIdentifierConstructor(),C=Hf.getSourceFileConstructor(),Pe=ca(fe),ze=Ke,Nt=mt,wt=Xt,$e=Si,et=qV(Si),Me=[],tn=0,zt=new Map,Ei=0,dt=0,We=0,_i=!0,$e){case 1:case 2:Pn=524288;break;case 6:Pn=134742016;break;default:Pn=0;break}Fi=!1,r.setText(ze),r.setOnError(Gt),r.setScriptTarget(Nt),r.setLanguageVariant(et),r.setScriptKind($e),r.setJSDocParsingMode(an)}function ko(){r.clearCommentDirectives(),r.setText(""),r.setOnError(void 0),r.setScriptKind(0),r.setJSDocParsingMode(0),ze=void 0,Nt=void 0,wt=void 0,$e=void 0,et=void 0,We=0,Me=void 0,ut=void 0,tn=0,zt=void 0,xn=void 0,_i=!0}function ls(fe,Ke,mt,Xt,Si){const an=df(Pe);an&&(Pn|=33554432),We=Pn,st();const jr=sc(0,wm);j.assert(be()===1);const Hs=Ue(),Cs=Mn(md(),Hs),Ss=yi(Pe,fe,mt,an,jr,Cs,We,Xt);return qle(Ss,ze),$le(Ss,no),Ss.commentDirectives=r.getCommentDirectives(),Ss.nodeCount=dt,Ss.identifierCount=Ei,Ss.identifiers=zt,Ss.parseDiagnostics=TP(Me,Ss),Ss.jsDocParsingMode=Si,ut&&(Ss.jsDocDiagnostics=TP(ut,Ss)),Ke&&at(Ss),Ss;function no($c,Jc,dc){Me.push(kP(Pe,ze,$c,Jc,dc))}}let Qs=!1;function Mn(fe,Ke){if(!Ke)return fe;j.assert(!fe.jsDoc);const mt=es(Pae(fe,ze),Xt=>Nc.parseJSDocComment(fe,Xt.pos,Xt.end-Xt.pos));return mt.length&&(fe.jsDoc=mt),Qs&&(Qs=!1,fe.flags|=536870912),fe}function Zs(fe){const Ke=wt,mt=zle.createSyntaxCursor(fe);wt={currentNode:no};const Xt=[],Si=Me;Me=[];let an=0,jr=Cs(fe.statements,0);for(;jr!==-1;){const $c=fe.statements[an],Jc=fe.statements[jr];Sr(Xt,fe.statements,an,jr),an=Ss(fe.statements,jr);const dc=ct(Si,Dd=>Dd.start>=$c.pos),og=dc>=0?ct(Si,Dd=>Dd.start>=Jc.pos,dc):-1;dc>=0&&Sr(Me,Si,dc,og>=0?og:void 0),Xn(()=>{const Dd=Pn;for(Pn|=65536,r.resetTokenState(Jc.pos),st();be()!==1;){const xm=r.getTokenFullStart(),bC=Hu(0,wm);if(Xt.push(bC),xm===r.getTokenFullStart()&&st(),an>=0){const hb=fe.statements[an];if(bC.end===hb.pos)break;bC.end>hb.pos&&(an=Ss(fe.statements,an+1))}}Pn=Dd},2),jr=an>=0?Cs(fe.statements,an):-1}if(an>=0){const $c=fe.statements[an];Sr(Xt,fe.statements,an);const Jc=ct(Si,dc=>dc.start>=$c.pos);Jc>=0&&Sr(Me,Si,Jc)}return wt=Ke,E.updateSourceFile(fe,Ht(N(Xt),fe.statements));function Hs($c){return!($c.flags&65536)&&!!($c.transformFlags&67108864)}function Cs($c,Jc){for(let dc=Jc;dc<$c.length;dc++)if(Hs($c[dc]))return dc;return-1}function Ss($c,Jc){for(let dc=Jc;dc<$c.length;dc++)if(!Hs($c[dc]))return dc;return-1}function no($c){const Jc=mt.currentNode($c);return _i&&Jc&&Hs(Jc)&&SIe(Jc),Jc}}function at(fe){Qb(fe,!0)}t.fixupParentReferences=at;function yi(fe,Ke,mt,Xt,Si,an,jr,Hs){let Cs=E.createSourceFile(Si,an,jr);if($ce(Cs,0,ze.length),Ss(Cs),!Xt&&wd(Cs)&&Cs.transformFlags&67108864){const no=Cs;Cs=Zs(Cs),no!==Cs&&Ss(Cs)}return Cs;function Ss(no){no.text=ze,no.bindDiagnostics=[],no.bindSuggestionDiagnostics=void 0,no.languageVersion=Ke,no.fileName=fe,no.languageVariant=qV(mt),no.isDeclarationFile=Xt,no.scriptKind=mt,Hs(no),no.setExternalModuleIndicator=Hs}}function Sn(fe,Ke){fe?Pn|=Ke:Pn&=~Ke}function dr(fe){Sn(fe,8192)}function us(fe){Sn(fe,16384)}function Xr(fe){Sn(fe,32768)}function Gs(fe){Sn(fe,65536)}function ma(fe,Ke){const mt=fe&Pn;if(mt){Sn(!1,mt);const Xt=Ke();return Sn(!0,mt),Xt}return Ke()}function ka(fe,Ke){const mt=fe&~Pn;if(mt){Sn(!0,mt);const Xt=Ke();return Sn(!1,mt),Xt}return Ke()}function Ji(fe){return ma(8192,fe)}function ac(fe){return ka(8192,fe)}function St(fe){return ma(131072,fe)}function ri(fe){return ka(131072,fe)}function xe(fe){return ka(16384,fe)}function pt(fe){return ka(32768,fe)}function Se(fe){return ka(65536,fe)}function Ze(fe){return ma(65536,fe)}function gt(fe){return ka(81920,fe)}function Dt(fe){return ma(81920,fe)}function Je(fe){return(Pn&fe)!==0}function Rt(){return Je(16384)}function Ct(){return Je(8192)}function ii(){return Je(131072)}function vt(){return Je(32768)}function Ni(){return Je(65536)}function ji(fe,...Ke){return te(r.getTokenStart(),r.getTokenEnd(),fe,...Ke)}function gr(fe,Ke,mt,...Xt){const Si=rl(Me);let an;return(!Si||fe!==Si.start)&&(an=kP(Pe,ze,fe,Ke,mt,...Xt),Me.push(an)),Fi=!0,an}function te(fe,Ke,mt,...Xt){return gr(fe,Ke-fe,mt,...Xt)}function tt(fe,Ke,...mt){te(fe.pos,fe.end,Ke,...mt)}function Gt(fe,Ke,mt){gr(r.getTokenEnd(),Ke,fe,mt)}function le(){return r.getTokenFullStart()}function Ue(){return r.hasPrecedingJSDocComment()}function be(){return Et}function Qt(){return Et=r.scan()}function lt(fe){return st(),fe()}function st(){return P_(Et)&&(r.hasUnicodeEscape()||r.hasExtendedUnicodeEscape())&&te(r.getTokenStart(),r.getTokenEnd(),k.Keywords_cannot_contain_escape_characters),Qt()}function hi(){return Et=r.scanJsDocToken()}function vn(fe){return Et=r.scanJSDocCommentTextToken(fe)}function ln(){return Et=r.reScanGreaterToken()}function Un(){return Et=r.reScanSlashToken()}function Pt(fe){return Et=r.reScanTemplateToken(fe)}function Bt(){return Et=r.reScanLessThanToken()}function fi(){return Et=r.reScanHashToken()}function wi(){return Et=r.scanJsxIdentifier()}function Ri(){return Et=r.scanJsxToken()}function Ai(){return Et=r.scanJsxAttributeValue()}function Xn(fe,Ke){const mt=Et,Xt=Me.length,Si=Fi,an=Pn,jr=Ke!==0?r.lookAhead(fe):r.tryScan(fe);return j.assert(an===Pn),(!jr||Ke!==0)&&(Et=mt,Ke!==2&&(Me.length=Xt),Fi=Si),jr}function Di(fe){return Xn(fe,1)}function on(fe){return Xn(fe,0)}function zi(){return be()===80?!0:be()>118}function cn(){return be()===80?!0:be()===127&&Rt()||be()===135&&Ni()?!1:be()>118}function vi(fe,Ke,mt=!0){return be()===fe?(mt&&st(),!0):(Ke?ji(Ke):ji(k._0_expected,xa(fe)),!1)}const qo=Object.keys(tG).filter(fe=>fe.length>2);function Wo(fe){if(i2(fe)){te(Va(ze,fe.template.pos),fe.template.end,k.Module_declaration_names_may_only_use_or_quoted_strings);return}const Ke=ot(fe)?Fr(fe):void 0;if(!Ke||!J_(Ke,Nt)){ji(k._0_expected,xa(27));return}const mt=Va(ze,fe.pos);switch(Ke){case"const":case"let":case"var":te(mt,fe.end,k.Variable_declaration_not_allowed_at_this_location);return;case"declare":return;case"interface":$o(k.Interface_name_cannot_be_0,k.Interface_must_be_given_a_name,19);return;case"is":te(mt,r.getTokenStart(),k.A_type_predicate_is_only_allowed_in_return_type_position_for_functions_and_methods);return;case"module":case"namespace":$o(k.Namespace_name_cannot_be_0,k.Namespace_must_be_given_a_name,19);return;case"type":$o(k.Type_alias_name_cannot_be_0,k.Type_alias_must_be_given_a_name,64);return}const Xt=LT(Ke,qo,hl)??Lc(Ke);if(Xt){te(mt,fe.end,k.Unknown_keyword_or_identifier_Did_you_mean_0,Xt);return}be()!==0&&te(mt,fe.end,k.Unexpected_keyword_or_identifier)}function $o(fe,Ke,mt){be()===mt?ji(Ke):ji(fe,r.getTokenValue())}function Lc(fe){for(const Ke of qo)if(fe.length>Ke.length+2&&so(fe,Ke))return`${Ke} ${fe.slice(Ke.length)}`}function vu(fe,Ke,mt){if(be()===60&&!r.hasPrecedingLineBreak()){ji(k.Decorators_must_precede_the_name_and_all_keywords_of_property_declarations);return}if(be()===21){ji(k.Cannot_start_a_function_call_in_a_type_annotation),st();return}if(Ke&&!pc()){mt?ji(k._0_expected,xa(27)):ji(k.Expected_for_property_initializer);return}if(!mc()){if(mt){ji(k._0_expected,xa(27));return}Wo(fe)}}function Gu(fe){return be()===fe?(hi(),!0):(j.assert(oK(fe)),ji(k._0_expected,xa(fe)),!1)}function Zc(fe,Ke,mt,Xt){if(be()===Ke){st();return}const Si=ji(k._0_expected,xa(Ke));mt&&Si&&da(Si,kP(Pe,ze,Xt,1,k.The_parser_expected_to_find_a_1_to_match_the_0_token_here,xa(fe),xa(Ke)))}function Ls(fe){return be()===fe?(st(),!0):!1}function ja(fe){if(be()===fe)return md()}function wf(fe){if(be()===fe)return go()}function Jo(fe,Ke,mt){return ja(fe)||Gc(fe,!1,Ke||k._0_expected,mt||xa(fe))}function kh(fe){const Ke=wf(fe);return Ke||(j.assert(oK(fe)),Gc(fe,!1,k._0_expected,xa(fe)))}function md(){const fe=le(),Ke=be();return st(),ki(X(Ke),fe)}function go(){const fe=le(),Ke=be();return hi(),ki(X(Ke),fe)}function pc(){return be()===27?!0:be()===20||be()===1||r.hasPrecedingLineBreak()}function mc(){return pc()?(be()===27&&st(),!0):!1}function oo(){return mc()||vi(27)}function tc(fe,Ke,mt,Xt){const Si=N(fe,Xt);return V0(Si,Ke,mt??r.getTokenFullStart()),Si}function ki(fe,Ke,mt){return V0(fe,Ke,mt??r.getTokenFullStart()),Pn&&(fe.flags|=Pn),Fi&&(Fi=!1,fe.flags|=262144),fe}function Gc(fe,Ke,mt,...Xt){Ke?gr(r.getTokenFullStart(),0,mt,...Xt):mt&&ji(mt,...Xt);const Si=le(),an=fe===80?U("",void 0):Gw(fe)?E.createTemplateLiteralLikeNode(fe,"","",void 0):fe===9?R("",void 0):fe===11?F("",void 0):fe===282?E.createMissingDeclaration():X(fe);return ki(an,Si)}function uh(fe){let Ke=zt.get(fe);return Ke===void 0&&zt.set(fe,Ke=fe),Ke}function sd(fe,Ke,mt){if(fe){Ei++;const Hs=r.hasPrecedingJSDocLeadingAsterisks()?r.getTokenStart():le(),Cs=be(),Ss=uh(r.getTokenValue()),no=r.hasExtendedUnicodeEscape();return Qt(),ki(U(Ss,Cs,no),Hs)}if(be()===81)return ji(mt||k.Private_identifiers_are_not_allowed_outside_class_bodies),sd(!0);if(be()===0&&r.tryScan(()=>r.reScanInvalidIdentifier()===80))return sd(!0);Ei++;const Xt=be()===1,Si=r.isReservedWord(),an=r.getTokenText(),jr=Si?k.Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here:k.Identifier_expected;return Gc(80,Xt,Ke||jr,an)}function Pp(fe){return sd(zi(),void 0,fe)}function Nl(fe,Ke){return sd(cn(),fe,Ke)}function Ve(fe){return sd(D_(be()),fe)}function _e(){return(r.hasUnicodeEscape()||r.hasExtendedUnicodeEscape())&&ji(k.Unicode_escape_sequence_cannot_appear_here),sd(D_(be()))}function Lt(){return D_(be())||be()===11||be()===9||be()===10}function ci(){return D_(be())||be()===11}function Mi(fe){if(be()===11||be()===9||be()===10){const Ke=Zt();return Ke.text=uh(Ke.text),Ke}return be()===23?Yr():be()===81?Xi():Ve()}function Rn(){return Mi()}function Yr(){const fe=le();vi(23);const Ke=Ji(dh);return vi(24),ki(E.createComputedPropertyName(Ke),fe)}function Xi(){const fe=le(),Ke=q(uh(r.getTokenValue()));return st(),ki(Ke,fe)}function Mr(fe){return be()===fe&&on(Ks)}function ds(){return st(),r.hasPrecedingLineBreak()?!1:Ku()}function Ks(){switch(be()){case 87:return st()===94;case 95:return st(),be()===90?Di(Xu):be()===156?Di(Gl):Ic();case 90:return Xu();case 126:case 139:case 153:return st(),Ku();default:return ds()}}function Ic(){return be()===60||be()!==42&&be()!==130&&be()!==19&&Ku()}function Gl(){return st(),Ic()}function Pu(){return Xw(be())&&on(Ks)}function Ku(){return be()===23||be()===19||be()===42||be()===26||Lt()}function Xu(){return st(),be()===86||be()===100||be()===120||be()===60||be()===128&&Di(L5)||be()===134&&Di(nu)}function Wl(fe,Ke){if(Hd(fe))return!0;switch(fe){case 0:case 1:case 3:return!(be()===27&&Ke)&&hN();case 2:return be()===84||be()===90;case 4:return Di(it);case 5:return Di(mN)||be()===27&&!Ke;case 6:return be()===23||Lt();case 12:switch(be()){case 23:case 42:case 26:case 25:return!0;default:return Lt()}case 18:return Lt();case 9:return be()===23||be()===26||Lt();case 24:return ci();case 7:return be()===19?Di(Cu):Ke?cn()&&!eg():RS()&&!eg();case 8:return xr();case 10:return be()===28||be()===26||xr();case 19:return be()===103||be()===87||cn();case 15:switch(be()){case 28:case 25:return!0}case 11:return be()===26||Gy();case 16:return X0(!1);case 17:return X0(!0);case 20:case 21:return be()===28||_0();case 22:return VD();case 23:return be()===161&&Di(pN)?!1:be()===11?!0:D_(be());case 13:return D_(be())||be()===19;case 14:return!0;case 25:return!0;case 26:return j.fail("ParsingContext.Count used as a context");default:j.assertNever(fe,"Non-exhaustive case in 'isListElement'.")}}function Cu(){if(j.assert(be()===19),st()===20){const fe=st();return fe===28||fe===19||fe===96||fe===119}return!0}function gm(){return st(),cn()}function Qu(){return st(),D_(be())}function kd(){return st(),Vke(be())}function eg(){return be()===119||be()===96?Di(Ye):!1}function Ye(){return st(),Gy()}function li(){return st(),_0()}function En(fe){if(be()===1)return!0;switch(fe){case 1:case 2:case 4:case 5:case 6:case 12:case 9:case 23:case 24:return be()===20;case 3:return be()===20||be()===84||be()===90;case 7:return be()===19||be()===96||be()===119;case 8:return po();case 19:return be()===32||be()===21||be()===19||be()===96||be()===119;case 11:return be()===22||be()===27;case 15:case 21:case 10:return be()===24;case 17:case 16:case 18:return be()===22||be()===24;case 20:return be()!==28;case 22:return be()===19||be()===20;case 13:return be()===32||be()===44;case 14:return be()===30&&Di(Hn);default:return!1}}function po(){return!!(pc()||L2(be())||be()===39)}function ic(){j.assert(tn,"Missing parsing context");for(let fe=0;fe<26;fe++)if(tn&1<<fe&&(Wl(fe,!0)||En(fe)))return!0;return!1}function sc(fe,Ke){const mt=tn;tn|=1<<fe;const Xt=[],Si=le();for(;!En(fe);){if(Wl(fe,!1)){Xt.push(Hu(fe,Ke));continue}if(Hg(fe))break}return tn=mt,tc(Xt,Si)}function Hu(fe,Ke){const mt=Hd(fe);return mt?Yc(mt):Ke()}function Hd(fe,Ke){var mt;if(!wt||!Pc(fe)||Fi)return;const Xt=wt.currentNode(Ke??r.getTokenFullStart());if(!(lu(Xt)||fOt(Xt)||oP(Xt)||(Xt.flags&101441536)!==Pn)&&od(Xt,fe))return xV(Xt)&&((mt=Xt.jsDoc)!=null&&mt.jsDocCache)&&(Xt.jsDoc.jsDocCache=void 0),Xt}function Yc(fe){return r.resetTokenState(fe.end),st(),fe}function Pc(fe){switch(fe){case 5:case 2:case 0:case 1:case 3:case 6:case 4:case 8:case 17:case 16:return!0}return!1}function od(fe,Ke){switch(Ke){case 5:return Ap(fe);case 2:return Vg(fe);case 0:case 1:case 3:return Y_(fe);case 6:return Jp(fe);case 4:return ym(fe);case 8:return tg(fe);case 17:case 16:return Zv(fe)}return!1}function Ap(fe){if(fe)switch(fe.kind){case 176:case 181:case 177:case 178:case 172:case 240:return!0;case 174:const Ke=fe;return!(Ke.name.kind===80&&Ke.name.escapedText==="constructor")}return!1}function Vg(fe){if(fe)switch(fe.kind){case 296:case 297:return!0}return!1}function Y_(fe){if(fe)switch(fe.kind){case 262:case 243:case 241:case 245:case 244:case 257:case 253:case 255:case 252:case 251:case 249:case 250:case 248:case 247:case 254:case 242:case 258:case 256:case 246:case 259:case 272:case 271:case 278:case 277:case 267:case 263:case 264:case 266:case 265:return!0}return!1}function Jp(fe){return fe.kind===306}function ym(fe){if(fe)switch(fe.kind){case 180:case 173:case 181:case 171:case 179:return!0}return!1}function tg(fe){return fe.kind!==260?!1:fe.initializer===void 0}function Zv(fe){return fe.kind!==169?!1:fe.initializer===void 0}function Hg(fe){return F_(fe),ic()?!0:(st(),!1)}function F_(fe){switch(fe){case 0:return be()===90?ji(k._0_expected,xa(95)):ji(k.Declaration_or_statement_expected);case 1:return ji(k.Declaration_or_statement_expected);case 2:return ji(k.case_or_default_expected);case 3:return ji(k.Statement_expected);case 18:case 4:return ji(k.Property_or_signature_expected);case 5:return ji(k.Unexpected_token_A_constructor_method_accessor_or_property_was_expected);case 6:return ji(k.Enum_member_expected);case 7:return ji(k.Expression_expected);case 8:return P_(be())?ji(k._0_is_not_allowed_as_a_variable_declaration_name,xa(be())):ji(k.Variable_declaration_expected);case 9:return ji(k.Property_destructuring_pattern_expected);case 10:return ji(k.Array_element_destructuring_pattern_expected);case 11:return ji(k.Argument_expression_expected);case 12:return ji(k.Property_assignment_expected);case 15:return ji(k.Expression_or_comma_expected);case 17:return ji(k.Parameter_declaration_expected);case 16:return P_(be())?ji(k._0_is_not_allowed_as_a_parameter_name,xa(be())):ji(k.Parameter_declaration_expected);case 19:return ji(k.Type_parameter_declaration_expected);case 20:return ji(k.Type_argument_expected);case 21:return ji(k.Type_expected);case 22:return ji(k.Unexpected_token_expected);case 23:return be()===161?ji(k._0_expected,"}"):ji(k.Identifier_expected);case 13:return ji(k.Identifier_expected);case 14:return ji(k.Identifier_expected);case 24:return ji(k.Identifier_or_string_literal_expected);case 25:return ji(k.Identifier_expected);case 26:return j.fail("ParsingContext.Count used as a context");default:j.assertNever(fe)}}function jd(fe,Ke,mt){const Xt=tn;tn|=1<<fe;const Si=[],an=le();let jr=-1;for(;;){if(Wl(fe,!1)){const Hs=r.getTokenFullStart(),Cs=Hu(fe,Ke);if(!Cs){tn=Xt;return}if(Si.push(Cs),jr=r.getTokenStart(),Ls(28))continue;if(jr=-1,En(fe))break;vi(28,lC(fe)),mt&&be()===27&&!r.hasPrecedingLineBreak()&&st(),Hs===r.getTokenFullStart()&&st();continue}if(En(fe)||Hg(fe))break}return tn=Xt,tc(Si,an,void 0,jr>=0)}function lC(fe){return fe===6?k.An_enum_member_name_must_be_followed_by_a_or:void 0}function h_(){const fe=tc([],le());return fe.isMissingList=!0,fe}function Yv(fe){return!!fe.isMissingList}function vm(fe,Ke,mt,Xt){if(vi(mt)){const Si=jd(fe,Ke);return vi(Xt),Si}return h_()}function ve(fe,Ke){const mt=le();let Xt=fe?Ve(Ke):Nl(Ke);for(;Ls(25)&&be()!==30;)Xt=ki(E.createQualifiedName(Xt,mi(fe,!1,!0)),mt);return Xt}function Ot(fe,Ke){return ki(E.createQualifiedName(fe,Ke),fe.pos)}function mi(fe,Ke,mt){if(r.hasPrecedingLineBreak()&&D_(be())&&Di(fN))return Gc(80,!0,k.Identifier_expected);if(be()===81){const Xt=Xi();return Ke?Xt:Gc(80,!0,k.Identifier_expected)}return fe?mt?Ve():_e():Nl()}function Ci(fe){const Ke=le(),mt=[];let Xt;do Xt=Xe(fe),mt.push(Xt);while(Xt.literal.kind===17);return tc(mt,Ke)}function hn(fe){const Ke=le();return ki(E.createTemplateExpression(Nn(fe),Ci(fe)),Ke)}function Wn(){const fe=le();return ki(E.createTemplateLiteralType(Nn(!1),Lr()),fe)}function Lr(){const fe=le(),Ke=[];let mt;do mt=ps(),Ke.push(mt);while(mt.literal.kind===17);return tc(Ke,fe)}function ps(){const fe=le();return ki(E.createTemplateLiteralTypeSpan(Xd(),ee(!1)),fe)}function ee(fe){return be()===20?(Pt(fe),Is()):Jo(18,k._0_expected,xa(20))}function Xe(fe){const Ke=le();return ki(E.createTemplateSpan(Ji(dh),ee(fe)),Ke)}function Zt(){return To(be())}function Nn(fe){!fe&&r.getTokenFlags()&26656&&Pt(!1);const Ke=To(be());return j.assert(Ke.kind===16,"Template head has wrong token kind"),Ke}function Is(){const fe=To(be());return j.assert(fe.kind===17||fe.kind===18,"Template fragment has wrong token kind"),fe}function Qo(fe){const Ke=fe===15||fe===18,mt=r.getTokenText();return mt.substring(1,mt.length-(r.isUnterminated()?0:Ke?1:2))}function To(fe){const Ke=le(),mt=Gw(fe)?E.createTemplateLiteralLikeNode(fe,r.getTokenValue(),Qo(fe),r.getTokenFlags()&7176):fe===9?R(r.getTokenValue(),r.getNumericLiteralFlags()):fe===11?F(r.getTokenValue(),void 0,r.hasExtendedUnicodeEscape()):y8(fe)?H(fe,r.getTokenValue()):j.fail();return r.hasExtendedUnicodeEscape()&&(mt.hasExtendedUnicodeEscape=!0),r.isUnterminated()&&(mt.isUnterminated=!0),st(),ki(mt,Ke)}function Zo(){return ve(!0,k.Type_expected)}function uc(){if(!r.hasPrecedingLineBreak()&&Bt()===30)return vm(20,Xd,30,32)}function Xa(){const fe=le();return ki(E.createTypeReferenceNode(Zo(),uc()),fe)}function Yl(fe){switch(fe.kind){case 183:return lu(fe.typeName);case 184:case 185:{const{parameters:Ke,type:mt}=fe;return Yv(Ke)||Yl(mt)}case 196:return Yl(fe.type);default:return!1}}function ig(fe){return st(),ki(E.createTypePredicateNode(void 0,fe,Xd()),fe.pos)}function h0(){const fe=le();return st(),ki(E.createThisTypeNode(),fe)}function K0(){const fe=le();return st(),ki(E.createJSDocAllType(),fe)}function eb(){const fe=le();return st(),ki(E.createJSDocNonNullableType(OS(),!1),fe)}function PS(){const fe=le();return st(),be()===28||be()===20||be()===22||be()===32||be()===64||be()===52?ki(E.createJSDocUnknownType(),fe):ki(E.createJSDocNullableType(Xd(),!1),fe)}function tb(){const fe=le(),Ke=Ue();if(on(eo)){const mt=Ug(36),Xt=zg(59,!1);return Mn(ki(E.createJSDocFunctionType(mt,Xt),fe),Ke)}return ki(E.createTypeReferenceNode(Ve(),void 0),fe)}function ng(){const fe=le();let Ke;return(be()===110||be()===105)&&(Ke=Ve(),vi(59)),ki(E.createParameterDeclaration(void 0,void 0,Ke,void 0,rg(),void 0),fe)}function rg(){r.setSkipJsDocLeadingAsterisks(!0);const fe=le();if(Ls(144)){const Xt=E.createJSDocNamepathType(void 0);e:for(;;)switch(be()){case 20:case 1:case 28:case 5:break e;default:hi()}return r.setSkipJsDocLeadingAsterisks(!1),ki(Xt,fe)}const Ke=Ls(26);let mt=tp();return r.setSkipJsDocLeadingAsterisks(!1),Ke&&(mt=ki(E.createJSDocVariadicType(mt),fe)),be()===64?(st(),ki(E.createJSDocOptionalType(mt),fe)):mt}function Vl(){const fe=le();vi(114);const Ke=ve(!0),mt=r.hasPrecedingLineBreak()?void 0:F2();return ki(E.createTypeQueryNode(Ke,mt),fe)}function Gp(){const fe=le(),Ke=Wi(!1,!0),mt=Nl();let Xt,Si;Ls(96)&&(_0()||!Gy()?Xt=Xd():Si=ff());const an=Ls(64)?Xd():void 0,jr=E.createTypeParameterDeclaration(Ke,mt,Xt,an);return jr.expression=Si,ki(jr,fe)}function ep(){if(be()===30)return vm(19,Gp,30,32)}function X0(fe){return be()===26||xr()||Xw(be())||be()===60||_0(!fe)}function ib(fe){const Ke=p_(k.Private_identifiers_cannot_be_used_as_parameters);return GW(Ke)===0&&!Ft(fe)&&Xw(be())&&st(),Ke}function jg(){return zi()||be()===23||be()===19}function $y(fe){return AS(fe)}function uC(fe){return AS(fe,!1)}function AS(fe,Ke=!0){const mt=le(),Xt=Ue(),Si=fe?Se(()=>Wi(!0)):Ze(()=>Wi(!0));if(be()===110){const Cs=E.createParameterDeclaration(Si,void 0,sd(!0),void 0,Q0(),void 0),Ss=Ra(Si);return Ss&&tt(Ss,k.Neither_decorators_nor_modifiers_may_be_applied_to_this_parameters),Mn(ki(Cs,mt),Xt)}const an=_i;_i=!1;const jr=ja(26);if(!Ke&&!jg())return;const Hs=Mn(ki(E.createParameterDeclaration(Si,jr,ib(Si),ja(58),Q0(),hC()),mt),Xt);return _i=an,Hs}function zg(fe,Ke){if(x2(fe,Ke))return St(tp)}function x2(fe,Ke){return fe===39?(vi(fe),!0):Ls(59)?!0:Ke&&be()===39?(ji(k._0_expected,xa(59)),st(),!0):!1}function bm(fe,Ke){const mt=Rt(),Xt=Ni();us(!!(fe&1)),Gs(!!(fe&2));const Si=fe&32?jd(17,ng):jd(16,()=>Ke?$y(Xt):uC(Xt));return us(mt),Gs(Xt),Si}function Ug(fe){if(!vi(21))return h_();const Ke=bm(fe,!0);return vi(22),Ke}function dC(){Ls(28)||oo()}function k2(fe){const Ke=le(),mt=Ue();fe===180&&vi(105);const Xt=ep(),Si=Ug(4),an=zg(59,!0);dC();const jr=fe===179?E.createCallSignature(Xt,Si,an):E.createConstructSignature(Xt,Si,an);return Mn(ki(jr,Ke),mt)}function Jy(){return be()===23&&Di(nb)}function nb(){if(st(),be()===26||be()===24)return!0;if(Xw(be())){if(st(),cn())return!0}else if(cn())st();else return!1;return be()===59||be()===28?!0:be()!==58?!1:(st(),be()===59||be()===28||be()===24)}function T2(fe,Ke,mt){const Xt=vm(16,()=>$y(!1),23,24),Si=Q0();dC();const an=E.createIndexSignature(mt,Xt,Si);return Mn(ki(an,fe),Ke)}function xx(fe,Ke,mt){const Xt=Rn(),Si=ja(58);let an;if(be()===21||be()===30){const jr=ep(),Hs=Ug(4),Cs=zg(59,!0);an=E.createMethodSignature(mt,Xt,Si,jr,Hs,Cs)}else{const jr=Q0();an=E.createPropertySignature(mt,Xt,Si,jr),be()===64&&(an.initializer=hC())}return dC(),Mn(ki(an,fe),Ke)}function it(){if(be()===21||be()===30||be()===139||be()===153)return!0;let fe=!1;for(;Xw(be());)fe=!0,st();return be()===23?!0:(Lt()&&(fe=!0,st()),fe?be()===21||be()===30||be()===58||be()===59||be()===28||pc():!1)}function yt(){if(be()===21||be()===30)return k2(179);if(be()===105&&Di(ei))return k2(180);const fe=le(),Ke=Ue(),mt=Wi(!1);return Mr(139)?Kg(fe,Ke,mt,177,4):Mr(153)?Kg(fe,Ke,mt,178,4):Jy()?T2(fe,Ke,mt):xx(fe,Ke,mt)}function ei(){return st(),be()===21||be()===30}function Pi(){return st()===25}function nn(){switch(st()){case 21:case 30:case 25:return!0}return!1}function Vn(){const fe=le();return ki(E.createTypeLiteralNode(mn()),fe)}function mn(){let fe;return vi(19)?(fe=sc(4,yt),vi(20)):fe=h_(),fe}function Pr(){return st(),be()===40||be()===41?st()===148:(be()===148&&st(),be()===23&&gm()&&st()===103)}function ks(){const fe=le(),Ke=Ve();vi(103);const mt=Xd();return ki(E.createTypeParameterDeclaration(void 0,Ke,mt,void 0),fe)}function ar(){const fe=le();vi(19);let Ke;(be()===148||be()===40||be()===41)&&(Ke=md(),Ke.kind!==148&&vi(148)),vi(23);const mt=ks(),Xt=Ls(130)?Xd():void 0;vi(24);let Si;(be()===58||be()===40||be()===41)&&(Si=md(),Si.kind!==58&&vi(58));const an=Q0();oo();const jr=sc(4,yt);return vi(20),ki(E.createMappedTypeNode(Ke,mt,Xt,Si,an,jr),fe)}function Ns(){const fe=le();if(Ls(26))return ki(E.createRestTypeNode(Xd()),fe);const Ke=Xd();if(k3(Ke)&&Ke.pos===Ke.type.pos){const mt=E.createOptionalTypeNode(Ke.type);return Ht(mt,Ke),mt.flags=Ke.flags,mt}return Ke}function cr(){return st()===59||be()===58&&st()===59}function Go(){return be()===26?D_(st())&&cr():D_(be())&&cr()}function ll(){if(Di(Go)){const fe=le(),Ke=Ue(),mt=ja(26),Xt=Ve(),Si=ja(58);vi(59);const an=Ns(),jr=E.createNamedTupleMember(mt,Xt,Si,an);return Mn(ki(jr,fe),Ke)}return Ns()}function qh(){const fe=le();return ki(E.createTupleTypeNode(vm(21,ll,23,24)),fe)}function qg(){const fe=le();vi(21);const Ke=Xd();return vi(22),ki(E.createParenthesizedType(Ke),fe)}function $h(){let fe;if(be()===128){const Ke=le();st();const mt=ki(X(128),Ke);fe=tc([mt],Ke)}return fe}function $g(){const fe=le(),Ke=Ue(),mt=$h(),Xt=Ls(105);j.assert(!mt||Xt,"Per isStartOfFunctionOrConstructorType, a function type cannot have modifiers.");const Si=ep(),an=Ug(4),jr=zg(39,!1),Hs=Xt?E.createConstructorTypeNode(mt,Si,an,jr):E.createFunctionTypeNode(Si,an,jr);return Mn(ki(Hs,fe),Ke)}function Jh(){const fe=md();return be()===25?void 0:fe}function DD(fe){const Ke=le();fe&&st();let mt=be()===112||be()===97||be()===106?md():To(be());return fe&&(mt=ki(E.createPrefixUnaryExpression(41,mt),Ke)),ki(E.createLiteralTypeNode(mt),Ke)}function kx(){return st(),be()===102}function rb(){We|=4194304;const fe=le(),Ke=Ls(114);vi(102),vi(21);const mt=Xd();let Xt;if(Ls(28)){const jr=r.getTokenStart();vi(19);const Hs=be();if(Hs===118||Hs===132?st():ji(k._0_expected,xa(118)),vi(59),Xt=M5(Hs,!0),!vi(20)){const Cs=rl(Me);Cs&&Cs.code===k._0_expected.code&&da(Cs,kP(Pe,ze,jr,1,k.The_parser_expected_to_find_a_1_to_match_the_0_token_here,"{","}"))}}vi(22);const Si=Ls(25)?Zo():void 0,an=uc();return ki(E.createImportTypeNode(mt,Xt,Si,an,Ke),fe)}function fC(){return st(),be()===9||be()===10}function OS(){switch(be()){case 133:case 159:case 154:case 150:case 163:case 155:case 136:case 157:case 146:case 151:return on(Jh)||Xa();case 67:r.reScanAsteriskEqualsToken();case 42:return K0();case 61:r.reScanQuestionToken();case 58:return PS();case 100:return tb();case 54:return eb();case 15:case 11:case 9:case 10:case 112:case 97:case 106:return DD();case 41:return Di(fC)?DD(!0):Xa();case 116:return md();case 110:{const fe=h0();return be()===142&&!r.hasPrecedingLineBreak()?ig(fe):fe}case 114:return Di(kx)?rb():Vl();case 19:return Di(Pr)?ar():Vn();case 23:return qh();case 21:return qg();case 102:return rb();case 131:return Di(fN)?vO():Xa();case 16:return Wn();default:return Xa()}}function _0(fe){switch(be()){case 133:case 159:case 154:case 150:case 163:case 136:case 148:case 155:case 158:case 116:case 157:case 106:case 110:case 114:case 146:case 19:case 23:case 30:case 52:case 51:case 105:case 11:case 9:case 10:case 112:case 97:case 151:case 42:case 58:case 54:case 26:case 140:case 102:case 131:case 15:case 16:return!0;case 100:return!fe;case 41:return!fe&&Di(fC);case 21:return!fe&&Di(MS);default:return cn()}}function MS(){return st(),be()===22||X0(!1)||_0()}function Tx(){const fe=le();let Ke=OS();for(;!r.hasPrecedingLineBreak();)switch(be()){case 54:st(),Ke=ki(E.createJSDocNonNullableType(Ke,!0),fe);break;case 58:if(Di(li))return Ke;st(),Ke=ki(E.createJSDocNullableType(Ke,!0),fe);break;case 23:if(vi(23),_0()){const mt=Xd();vi(24),Ke=ki(E.createIndexedAccessTypeNode(Ke,mt),fe)}else vi(24),Ke=ki(E.createArrayTypeNode(Ke),fe);break;default:return Ke}return Ke}function Dx(fe){const Ke=le();return vi(fe),ki(E.createTypeOperatorNode(fe,lc()),Ke)}function Ex(){if(Ls(96)){const fe=ri(Xd);if(ii()||be()!==58)return fe}}function ZI(){const fe=le(),Ke=Nl(),mt=on(Ex),Xt=E.createTypeParameterDeclaration(void 0,Ke,mt);return ki(Xt,fe)}function D2(){const fe=le();return vi(140),ki(E.createInferTypeNode(ZI()),fe)}function lc(){const fe=be();switch(fe){case 143:case 158:case 148:return Dx(fe);case 140:return D2()}return St(Tx)}function ED(fe){if(Cm()){const Ke=$g();let mt;return Hy(Ke)?mt=fe?k.Function_type_notation_must_be_parenthesized_when_used_in_a_union_type:k.Function_type_notation_must_be_parenthesized_when_used_in_an_intersection_type:mt=fe?k.Constructor_type_notation_must_be_parenthesized_when_used_in_a_union_type:k.Constructor_type_notation_must_be_parenthesized_when_used_in_an_intersection_type,tt(Ke,mt),Ke}}function YI(fe,Ke,mt){const Xt=le(),Si=fe===52,an=Ls(fe);let jr=an&&ED(Si)||Ke();if(be()===fe||an){const Hs=[jr];for(;Ls(fe);)Hs.push(ED(Si)||Ke());jr=ki(mt(tc(Hs,Xt)),Xt)}return jr}function E2(){return YI(51,lc,E.createIntersectionTypeNode)}function sb(){return YI(52,E2,E.createUnionTypeNode)}function ob(){return st(),be()===105}function Cm(){return be()===30||be()===21&&Di(Mp)?!0:be()===105||be()===128&&Di(ob)}function Op(){if(Xw(be())&&Wi(!1),cn()||be()===110)return st(),!0;if(be()===23||be()===19){const fe=Me.length;return p_(),fe===Me.length}return!1}function Mp(){return st(),!!(be()===22||be()===26||Op()&&(be()===59||be()===28||be()===58||be()===64||be()===22&&(st(),be()===39)))}function tp(){const fe=le(),Ke=cn()&&on(Ix),mt=Xd();return Ke?ki(E.createTypePredicateNode(void 0,Ke,mt),fe):mt}function Ix(){const fe=Nl();if(be()===142&&!r.hasPrecedingLineBreak())return st(),fe}function vO(){const fe=le(),Ke=Jo(131),mt=be()===110?h0():Nl(),Xt=Ls(142)?Xd():void 0;return ki(E.createTypePredicateNode(Ke,mt,Xt),fe)}function Xd(){if(Pn&81920)return ma(81920,Xd);if(Cm())return $g();const fe=le(),Ke=sb();if(!ii()&&!r.hasPrecedingLineBreak()&&Ls(96)){const mt=ri(Xd);vi(58);const Xt=St(Xd);vi(59);const Si=St(Xd);return ki(E.createConditionalTypeNode(Ke,mt,Xt,Si),fe)}return Ke}function Q0(){return Ls(59)?Xd():void 0}function RS(){switch(be()){case 110:case 108:case 106:case 112:case 97:case 9:case 10:case 11:case 15:case 16:case 21:case 23:case 19:case 100:case 86:case 105:case 44:case 69:case 80:return!0;case 102:return Di(nn);default:return cn()}}function Gy(){if(RS())return!0;switch(be()){case 40:case 41:case 55:case 54:case 91:case 114:case 116:case 46:case 47:case 30:case 135:case 127:case 81:case 60:return!0;default:return ab()?!0:cn()}}function kA(){return be()!==19&&be()!==100&&be()!==86&&be()!==60&&Gy()}function dh(){const fe=vt();fe&&Xr(!1);const Ke=le();let mt=ip(!0),Xt;for(;Xt=ja(28);)mt=Ky(mt,Xt,ip(!0),Ke);return fe&&Xr(!0),mt}function hC(){return Ls(64)?ip(!0):void 0}function ip(fe){if(I2())return ft();const Ke=Z1(fe)||FS(fe);if(Ke)return Ke;const mt=le(),Xt=Ue(),Si=BS(0);return Si.kind===80&&be()===39?Z0(mt,Si,fe,Xt,void 0):N_(Si)&&Gb(ln())?Ky(Si,md(),ip(fe),mt):p0(Si,mt,fe)}function I2(){return be()===127?Rt()?!0:Di(kO):!1}function Q1(){return st(),!r.hasPrecedingLineBreak()&&cn()}function ft(){const fe=le();return st(),!r.hasPrecedingLineBreak()&&(be()===42||Gy())?ki(E.createYieldExpression(ja(42),ip(!0)),fe):ki(E.createYieldExpression(void 0,void 0),fe)}function Z0(fe,Ke,mt,Xt,Si){j.assert(be()===39,"parseSimpleArrowFunctionExpression should only have been called if we had a =>");const an=E.createParameterDeclaration(void 0,void 0,Ke,void 0,void 0,void 0);ki(an,Ke.pos);const jr=tc([an],an.pos,an.end),Hs=Jo(39),Cs=$a(!!Si,mt),Ss=E.createArrowFunction(Si,void 0,jr,void 0,Hs,Cs);return Mn(ki(Ss,fe),Xt)}function Z1(fe){const Ke=N2();if(Ke!==0)return Ke===1?DA(!0,!0):on(()=>_C(fe))}function N2(){return be()===21||be()===30||be()===134?Di(TA):be()===39?1:0}function TA(){if(be()===134&&(st(),r.hasPrecedingLineBreak()||be()!==21&&be()!==30))return 0;const fe=be(),Ke=st();if(fe===21){if(Ke===22)switch(st()){case 39:case 59:case 19:return 1;default:return 0}if(Ke===23||Ke===19)return 2;if(Ke===26)return 1;if(Xw(Ke)&&Ke!==134&&Di(gm))return st()===130?0:1;if(!cn()&&Ke!==110)return 0;switch(st()){case 59:return 1;case 58:return st(),be()===59||be()===28||be()===64||be()===22?1:0;case 28:case 64:case 22:return 2}return 0}else return j.assert(fe===30),!cn()&&be()!==87?0:et===1?Di(()=>{Ls(87);const Xt=st();if(Xt===96)switch(st()){case 64:case 32:case 44:return!1;default:return!0}else if(Xt===28||Xt===64)return!0;return!1})?1:0:2}function _C(fe){const Ke=r.getTokenStart();if(xn!=null&&xn.has(Ke))return;const mt=DA(!1,fe);return mt||(xn||(xn=new Set)).add(Ke),mt}function FS(fe){if(be()===134&&Di(pC)===1){const Ke=le(),mt=Ue(),Xt=gs(),Si=BS(0);return Z0(Ke,Si,fe,mt,Xt)}}function pC(){if(be()===134){if(st(),r.hasPrecedingLineBreak()||be()===39)return 0;const fe=BS(0);if(!r.hasPrecedingLineBreak()&&fe.kind===80&&be()===39)return 1}return 0}function DA(fe,Ke){const mt=le(),Xt=Ue(),Si=gs(),an=Ft(Si,m7)?2:0,jr=ep();let Hs;if(vi(21)){if(fe)Hs=bm(an,fe);else{const xm=bm(an,fe);if(!xm)return;Hs=xm}if(!vi(22)&&!fe)return}else{if(!fe)return;Hs=h_()}const Cs=be()===59,Ss=zg(59,!1);if(Ss&&!fe&&Yl(Ss))return;let no=Ss;for(;(no==null?void 0:no.kind)===196;)no=no.type;const $c=no&&T3(no);if(!fe&&be()!==39&&($c||be()!==19))return;const Jc=be(),dc=Jo(39),og=Jc===39||Jc===19?$a(Ft(Si,m7),Ke):Nl();if(!Ke&&Cs&&be()!==59)return;const Dd=E.createArrowFunction(Si,jr,Hs,Ss,dc,og);return Mn(ki(Dd,mt),Xt)}function $a(fe,Ke){if(be()===19)return US(fe?2:0);if(be()!==27&&be()!==100&&be()!==86&&hN()&&!kA())return US(16|(fe?2:0));const mt=_i;_i=!1;const Xt=fe?Se(()=>ip(Ke)):Ze(()=>ip(Ke));return _i=mt,Xt}function p0(fe,Ke,mt){const Xt=ja(58);if(!Xt)return fe;let Si;return ki(E.createConditionalExpression(fe,Xt,ma(a,()=>ip(!1)),Si=Jo(59),Dg(Si)?ip(mt):Gc(80,!1,k._0_expected,xa(59))),Ke)}function BS(fe){const Ke=le(),mt=ff();return Kp(fe,mt,Ke)}function L2(fe){return fe===103||fe===165}function Kp(fe,Ke,mt){for(;;){ln();const Xt=AV(be());if(!(be()===43?Xt>=fe:Xt>fe)||be()===103&&Ct())break;if(be()===130||be()===152){if(r.hasPrecedingLineBreak())break;{const an=be();st(),Ke=an===152?Y0(Ke,Xd()):EA(Ke,Xd())}}else Ke=Ky(Ke,md(),BS(Xt),mt)}return Ke}function ab(){return Ct()&&be()===103?!1:AV(be())>0}function Y0(fe,Ke){return ki(E.createSatisfiesExpression(fe,Ke),fe.pos)}function Ky(fe,Ke,mt,Xt){return ki(E.createBinaryExpression(fe,Ke,mt),Xt)}function EA(fe,Ke){return ki(E.createAsExpression(fe,Ke),fe.pos)}function Nx(){const fe=le();return ki(E.createPrefixUnaryExpression(be(),lt(mC)),fe)}function Jg(){const fe=le();return ki(E.createDeleteExpression(lt(mC)),fe)}function IA(){const fe=le();return ki(E.createTypeOfExpression(lt(mC)),fe)}function WS(){const fe=le();return ki(E.createVoidExpression(lt(mC)),fe)}function Ys(){return be()===135?Ni()?!0:Di(kO):!1}function yr(){const fe=le();return ki(E.createAwaitExpression(lt(mC)),fe)}function ff(){if(VS()){const mt=le(),Xt=eN();return be()===43?Kp(AV(be()),Xt,mt):Xt}const fe=be(),Ke=mC();if(be()===43){const mt=Va(ze,Ke.pos),{end:Xt}=Ke;Ke.kind===216?te(mt,Xt,k.A_type_assertion_expression_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Consider_enclosing_the_expression_in_parentheses):(j.assert(oK(fe)),te(mt,Xt,k.An_unary_expression_with_the_0_operator_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Consider_enclosing_the_expression_in_parentheses,xa(fe)))}return Ke}function mC(){switch(be()){case 40:case 41:case 55:case 54:return Nx();case 91:return Jg();case 114:return IA();case 116:return WS();case 30:return et===1?gC(!0,void 0,void 0,!0):nN();case 135:if(Ys())return yr();default:return eN()}}function VS(){switch(be()){case 40:case 41:case 55:case 54:case 91:case 114:case 116:case 135:return!1;case 30:if(et!==1)return!1;default:return!0}}function eN(){if(be()===46||be()===47){const Ke=le();return ki(E.createPrefixUnaryExpression(be(),lt(Lx)),Ke)}else if(et===1&&be()===30&&Di(kd))return gC(!0);const fe=Lx();if(j.assert(N_(fe)),(be()===46||be()===47)&&!r.hasPrecedingLineBreak()){const Ke=be();return st(),ki(E.createPostfixUnaryExpression(fe,Ke),fe.pos)}return fe}function Lx(){const fe=le();let Ke;return be()===102?Di(ei)?(We|=4194304,Ke=md()):Di(Pi)?(st(),st(),Ke=ki(E.createMetaProperty(102,Ve()),fe),We|=8388608):Ke=tN():Ke=be()===108?Tl():tN(),Sm(fe,Ke)}function tN(){const fe=le(),Ke=sN();return cb(fe,Ke,!0)}function Tl(){const fe=le();let Ke=md();if(be()===30){const mt=le(),Xt=on(zS);Xt!==void 0&&(te(mt,le(),k.super_may_not_use_type_arguments),np()||(Ke=E.createExpressionWithTypeArguments(Ke,Xt)))}return be()===21||be()===25||be()===23?Ke:(Jo(25,k.super_must_be_followed_by_an_argument_list_or_member_access),ki(re(Ke,mi(!0,!0,!0)),fe))}function gC(fe,Ke,mt,Xt=!1){const Si=le(),an=bO(fe);let jr;if(an.kind===286){let Hs=ND(an),Cs;const Ss=Hs[Hs.length-1];if((Ss==null?void 0:Ss.kind)===284&&!d2(Ss.openingElement.tagName,Ss.closingElement.tagName)&&d2(an.tagName,Ss.closingElement.tagName)){const no=Ss.children.end,$c=ki(E.createJsxElement(Ss.openingElement,Ss.children,ki(E.createJsxClosingElement(ki(U(""),no,no)),no,no)),Ss.openingElement.pos,no);Hs=tc([...Hs.slice(0,Hs.length-1),$c],Hs.pos,no),Cs=Ss.closingElement}else Cs=rr(an,fe),d2(an.tagName,Cs.tagName)||(mt&&z1(mt)&&d2(Cs.tagName,mt.tagName)?tt(an.tagName,k.JSX_element_0_has_no_corresponding_closing_tag,T8(ze,an.tagName)):tt(Cs.tagName,k.Expected_corresponding_JSX_closing_tag_for_0,T8(ze,an.tagName)));jr=ki(E.createJsxElement(an,Hs,Cs),Si)}else an.kind===289?jr=ki(E.createJsxFragment(an,ND(an),SO(fe)),Si):(j.assert(an.kind===285),jr=an);if(!Xt&&fe&&be()===30){const Hs=typeof Ke>"u"?jr.pos:Ke,Cs=on(()=>gC(!0,Hs));if(Cs){const Ss=Gc(28,!1);return $ce(Ss,Cs.pos,0),te(Va(ze,Hs),Cs.end,k.JSX_expressions_must_have_one_parent_element),ki(E.createBinaryExpression(jr,Ss,Cs),Si)}}return jr}function ID(){const fe=le(),Ke=E.createJsxText(r.getTokenValue(),Et===13);return Et=r.scanJsxToken(),ki(Ke,fe)}function sg(fe,Ke){switch(Ke){case 1:if(AI(fe))tt(fe,k.JSX_fragment_has_no_corresponding_closing_tag);else{const mt=fe.tagName,Xt=Math.min(Va(ze,mt.pos),mt.end);te(Xt,mt.end,k.JSX_element_0_has_no_corresponding_closing_tag,T8(ze,fe.tagName))}return;case 31:case 7:return;case 12:case 13:return ID();case 19:return fh(!1);case 30:return gC(!1,void 0,fe);default:return j.assertNever(Ke)}}function ND(fe){const Ke=[],mt=le(),Xt=tn;for(tn|=16384;;){const Si=sg(fe,Et=r.reScanJsxToken());if(!Si||(Ke.push(Si),z1(fe)&&(Si==null?void 0:Si.kind)===284&&!d2(Si.openingElement.tagName,Si.closingElement.tagName)&&d2(fe.tagName,Si.closingElement.tagName)))break}return tn=Xt,tc(Ke,mt)}function iN(){const fe=le();return ki(E.createJsxAttributes(sc(13,Vo)),fe)}function bO(fe){const Ke=le();if(vi(30),be()===32)return Ri(),ki(E.createJsxOpeningFragment(),Ke);const mt=CO(),Xt=Pn&524288?void 0:F2(),Si=iN();let an;return be()===32?(Ri(),an=E.createJsxOpeningElement(mt,Xt,Si)):(vi(44),vi(32,void 0,!1)&&(fe?st():Ri()),an=E.createJsxSelfClosingElement(mt,Xt,Si)),ki(an,Ke)}function CO(){const fe=le(),Ke=vr();if(j0(Ke))return Ke;let mt=Ke;for(;Ls(25);)mt=ki(re(mt,mi(!0,!1,!1)),fe);return mt}function vr(){const fe=le();wi();const Ke=be()===110,mt=_e();return Ls(59)?(wi(),ki(E.createJsxNamespacedName(mt,_e()),fe)):Ke?ki(E.createToken(110),fe):mt}function fh(fe){const Ke=le();if(!vi(19))return;let mt,Xt;return be()!==20&&(fe||(mt=ja(26)),Xt=dh()),fe?vi(20):vi(20,void 0,!1)&&Ri(),ki(E.createJsxExpression(mt,Xt),Ke)}function Vo(){if(be()===19)return P2();const fe=le();return ki(E.createJsxAttribute(NA(),HS()),fe)}function HS(){if(be()===64){if(Ai()===11)return Zt();if(be()===19)return fh(!0);if(be()===30)return gC(!0);ji(k.or_JSX_element_expected)}}function NA(){const fe=le();wi();const Ke=_e();return Ls(59)?(wi(),ki(E.createJsxNamespacedName(Ke,_e()),fe)):Ke}function P2(){const fe=le();vi(19),vi(26);const Ke=dh();return vi(20),ki(E.createJsxSpreadAttribute(Ke),fe)}function rr(fe,Ke){const mt=le();vi(31);const Xt=CO();return vi(32,void 0,!1)&&(Ke||!d2(fe.tagName,Xt)?st():Ri()),ki(E.createJsxClosingElement(Xt),mt)}function SO(fe){const Ke=le();return vi(31),vi(32,k.Expected_corresponding_closing_tag_for_JSX_fragment,!1)&&(fe?st():Ri()),ki(E.createJsxJsxClosingFragment(),Ke)}function nN(){j.assert(et!==1,"Type assertions should never be parsed in JSX; they should be parsed as comparisons or JSX elements/fragments.");const fe=le();vi(30);const Ke=Xd();vi(32);const mt=mC();return ki(E.createTypeAssertion(Ke,mt),fe)}function Th(){return st(),D_(be())||be()===23||np()}function Dh(){return be()===29&&Di(Th)}function Xp(fe){if(fe.flags&64)return!0;if($P(fe)){let Ke=fe.expression;for(;$P(Ke)&&!(Ke.flags&64);)Ke=Ke.expression;if(Ke.flags&64){for(;$P(fe);)fe.flags|=64,fe=fe.expression;return!0}}return!1}function A2(fe,Ke,mt){const Xt=mi(!0,!0,!0),Si=mt||Xp(Ke),an=Si?oe(Ke,mt,Xt):re(Ke,Xt);if(Si&&Vs(an.name)&&tt(an.name,k.An_optional_chain_cannot_contain_private_identifiers),Yb(Ke)&&Ke.typeArguments){const jr=Ke.typeArguments.pos-1,Hs=Va(ze,Ke.typeArguments.end)+1;te(jr,Hs,k.An_instantiation_expression_cannot_be_followed_by_a_property_access)}return ki(an,fe)}function rN(fe,Ke,mt){let Xt;if(be()===24)Xt=Gc(80,!0,k.An_element_access_expression_should_take_an_argument);else{const an=Ji(dh);cm(an)&&(an.text=uh(an.text)),Xt=an}vi(24);const Si=mt||Xp(Ke)?de(Ke,mt,Xt):pe(Ke,Xt);return ki(Si,fe)}function cb(fe,Ke,mt){for(;;){let Xt,Si=!1;if(mt&&Dh()?(Xt=Jo(29),Si=D_(be())):Si=Ls(25),Si){Ke=A2(fe,Ke,Xt);continue}if((Xt||!vt())&&Ls(23)){Ke=rN(fe,Ke,Xt);continue}if(np()){Ke=!Xt&&Ke.kind===233?Y1(fe,Ke.expression,Xt,Ke.typeArguments):Y1(fe,Ke,Xt,void 0);continue}if(!Xt){if(be()===54&&!r.hasPrecedingLineBreak()){st(),Ke=ki(E.createNonNullExpression(Ke),fe);continue}const an=on(zS);if(an){Ke=ki(E.createExpressionWithTypeArguments(Ke,an),fe);continue}}return Ke}}function np(){return be()===15||be()===16}function Y1(fe,Ke,mt,Xt){const Si=E.createTaggedTemplateExpression(Ke,Xt,be()===15?(Pt(!0),Zt()):hn(!0));return(mt||Ke.flags&64)&&(Si.flags|=64),Si.questionDotToken=mt,ki(Si,fe)}function Sm(fe,Ke){for(;;){Ke=cb(fe,Ke,!0);let mt;const Xt=ja(29);if(Xt&&(mt=on(zS),np())){Ke=Y1(fe,Ke,Xt,mt);continue}if(mt||be()===21){!Xt&&Ke.kind===233&&(mt=Ke.typeArguments,Ke=Ke.expression);const Si=jS(),an=Xt||Xp(Ke)?Ie(Ke,Xt,mt,Si):ue(Ke,mt,Si);Ke=ki(an,fe);continue}if(Xt){const Si=Gc(80,!1,k.Identifier_expected);Ke=ki(oe(Ke,Xt,Si),fe)}break}return Ke}function jS(){vi(21);const fe=jd(11,cN);return vi(22),fe}function zS(){if(Pn&524288||Bt()!==30)return;st();const fe=jd(20,Xd);if(ln()===32)return st(),fe&&LD()?fe:void 0}function LD(){switch(be()){case 21:case 15:case 16:return!0;case 30:case 32:case 40:case 41:return!1}return r.hasPrecedingLineBreak()||ab()||!Gy()}function sN(){switch(be()){case 15:r.getTokenFlags()&26656&&Pt(!1);case 9:case 10:case 11:return Zt();case 110:case 108:case 106:case 112:case 97:return md();case 21:return Px();case 23:return e1();case 19:return O2();case 134:if(!Di(nu))break;return Ax();case 60:return Co();case 86:return co();case 100:return Ax();case 105:return yC();case 44:case 69:if(Un()===14)return Zt();break;case 16:return hn(!1);case 81:return Xi()}return Nl(k.Expression_expected)}function Px(){const fe=le(),Ke=Ue();vi(21);const mt=Ji(dh);return vi(22),Mn(ki(he(mt),fe),Ke)}function oN(){const fe=le();vi(26);const Ke=ip(!0);return ki(E.createSpreadElement(Ke),fe)}function aN(){return be()===26?oN():be()===28?ki(E.createOmittedExpression(),le()):ip(!0)}function cN(){return ma(a,aN)}function e1(){const fe=le(),Ke=r.getTokenStart(),mt=vi(23),Xt=r.hasPrecedingLineBreak(),Si=jd(15,aN);return Zc(23,24,mt,Ke),ki(Z(Si,Xt),fe)}function wO(){const fe=le(),Ke=Ue();if(ja(26)){const no=ip(!0);return Mn(ki(E.createSpreadAssignment(no),fe),Ke)}const mt=Wi(!0);if(Mr(139))return Kg(fe,Ke,mt,177,0);if(Mr(153))return Kg(fe,Ke,mt,178,0);const Xt=ja(42),Si=cn(),an=Rn(),jr=ja(58),Hs=ja(54);if(Xt||be()===21||be()===30)return Rp(fe,Ke,mt,Xt,an,jr,Hs);let Cs;if(Si&&be()!==59){const no=ja(64),$c=no?Ji(()=>ip(!0)):void 0;Cs=E.createShorthandPropertyAssignment(an,$c),Cs.equalsToken=no}else{vi(59);const no=Ji(()=>ip(!0));Cs=E.createPropertyAssignment(an,no)}return Cs.modifiers=mt,Cs.questionToken=jr,Cs.exclamationToken=Hs,Mn(ki(Cs,fe),Ke)}function O2(){const fe=le(),Ke=r.getTokenStart(),mt=vi(19),Xt=r.hasPrecedingLineBreak(),Si=jd(12,wO,!0);return Zc(19,20,mt,Ke),ki(Q(Si,Xt),fe)}function Ax(){const fe=vt();Xr(!1);const Ke=le(),mt=Ue(),Xt=Wi(!1);vi(100);const Si=ja(42),an=Si?1:0,jr=Ft(Xt,m7)?2:0,Hs=an&&jr?gt(lb):an?xe(lb):jr?Se(lb):lb(),Cs=ep(),Ss=Ug(an|jr),no=zg(59,!1),$c=US(an|jr);Xr(fe);const Jc=E.createFunctionExpression(Xt,Si,Hs,Cs,Ss,no,$c);return Mn(ki(Jc,Ke),mt)}function lb(){return zi()?Pp():void 0}function yC(){const fe=le();if(vi(105),Ls(25)){const an=Ve();return ki(E.createMetaProperty(105,an),fe)}const Ke=le();let mt=cb(Ke,sN(),!1),Xt;mt.kind===233&&(Xt=mt.typeArguments,mt=mt.expression),be()===29&&ji(k.Invalid_optional_chain_from_new_expression_Did_you_mean_to_call_0,T8(ze,mt));const Si=be()===21?jS():void 0;return ki(we(mt,Xt,Si),fe)}function m0(fe,Ke){const mt=le(),Xt=Ue(),Si=r.getTokenStart(),an=vi(19,Ke);if(an||fe){const jr=r.hasPrecedingLineBreak(),Hs=sc(1,wm);Zc(19,20,an,Si);const Cs=Mn(ki(Ae(Hs,jr),mt),Xt);return be()===64&&(ji(k.Declaration_or_statement_expected_This_follows_a_block_of_statements_so_if_you_intended_to_write_a_destructuring_assignment_you_might_need_to_wrap_the_whole_assignment_in_parentheses),st()),Cs}else{const jr=h_();return Mn(ki(Ae(jr,void 0),mt),Xt)}}function US(fe,Ke){const mt=Rt();us(!!(fe&1));const Xt=Ni();Gs(!!(fe&2));const Si=_i;_i=!1;const an=vt();an&&Xr(!1);const jr=m0(!!(fe&16),Ke);return an&&Xr(!0),_i=Si,us(mt),Gs(Xt),jr}function lN(){const fe=le(),Ke=Ue();return vi(27),Mn(ki(E.createEmptyStatement(),fe),Ke)}function Qd(){const fe=le(),Ke=Ue();vi(101);const mt=r.getTokenStart(),Xt=vi(21),Si=Ji(dh);Zc(21,22,Xt,mt);const an=wm(),jr=Ls(93)?wm():void 0;return Mn(ki(De(Si,an,jr),fe),Ke)}function uN(){const fe=le(),Ke=Ue();vi(92);const mt=wm();vi(117);const Xt=r.getTokenStart(),Si=vi(21),an=Ji(dh);return Zc(21,22,Si,Xt),Ls(27),Mn(ki(E.createDoStatement(mt,an),fe),Ke)}function Xy(){const fe=le(),Ke=Ue();vi(117);const mt=r.getTokenStart(),Xt=vi(21),Si=Ji(dh);Zc(21,22,Xt,mt);const an=wm();return Mn(ki(qe(Si,an),fe),Ke)}function dN(){const fe=le(),Ke=Ue();vi(99);const mt=ja(135);vi(21);let Xt;be()!==27&&(be()===115||be()===121||be()===87||be()===160&&Di(OA)||be()===135&&Di(MA)?Xt=DO(!0):Xt=ac(dh));let Si;if(mt?vi(165):Ls(165)){const an=Ji(()=>ip(!0));vi(22),Si=Ne(mt,Xt,an,wm())}else if(Ls(103)){const an=Ji(dh);vi(22),Si=E.createForInStatement(Xt,an,wm())}else{vi(27);const an=be()!==27&&be()!==22?Ji(dh):void 0;vi(27);const jr=be()!==22?Ji(dh):void 0;vi(22),Si=se(Xt,an,jr,wm())}return Mn(ki(Si,fe),Ke)}function M2(fe){const Ke=le(),mt=Ue();vi(fe===252?83:88);const Xt=pc()?void 0:Nl();oo();const Si=fe===252?E.createBreakStatement(Xt):E.createContinueStatement(Xt);return Mn(ki(Si,Ke),mt)}function vC(){const fe=le(),Ke=Ue();vi(107);const mt=pc()?void 0:Ji(dh);return oo(),Mn(ki(E.createReturnStatement(mt),fe),Ke)}function Ox(){const fe=le(),Ke=Ue();vi(118);const mt=r.getTokenStart(),Xt=vi(21),Si=Ji(dh);Zc(21,22,Xt,mt);const an=ka(67108864,wm);return Mn(ki(E.createWithStatement(Si,an),fe),Ke)}function PD(){const fe=le(),Ke=Ue();vi(84);const mt=Ji(dh);vi(59);const Xt=sc(3,wm);return Mn(ki(E.createCaseClause(mt,Xt),fe),Ke)}function Zu(){const fe=le();vi(90),vi(59);const Ke=sc(3,wm);return ki(E.createDefaultClause(Ke),fe)}function $f(){return be()===84?PD():Zu()}function xO(){const fe=le();vi(19);const Ke=sc(2,$f);return vi(20),ki(E.createCaseBlock(Ke),fe)}function Eh(){const fe=le(),Ke=Ue();vi(109),vi(21);const mt=Ji(dh);vi(22);const Xt=xO();return Mn(ki(E.createSwitchStatement(mt,Xt),fe),Ke)}function rp(){const fe=le(),Ke=Ue();vi(111);let mt=r.hasPrecedingLineBreak()?void 0:Ji(dh);return mt===void 0&&(Ei++,mt=ki(U(""),le())),mc()||Wo(mt),Mn(ki(E.createThrowStatement(mt),fe),Ke)}function LA(){const fe=le(),Ke=Ue();vi(113);const mt=m0(!1),Xt=be()===85?ey():void 0;let Si;return(!Xt||be()===98)&&(vi(98,k.catch_or_finally_expected),Si=m0(!1)),Mn(ki(E.createTryStatement(mt,Xt,Si),fe),Ke)}function ey(){const fe=le();vi(85);let Ke;Ls(21)?(Ke=RD(),vi(22)):Ke=void 0;const mt=m0(!1);return ki(E.createCatchClause(Ke,mt),fe)}function PA(){const fe=le(),Ke=Ue();return vi(89),oo(),Mn(ki(E.createDebuggerStatement(),fe),Ke)}function qS(){const fe=le();let Ke=Ue(),mt;const Xt=be()===21,Si=Ji(dh);return ot(Si)&&Ls(59)?mt=E.createLabeledStatement(Si,wm()):(mc()||Wo(Si),mt=Te(Si),Xt&&(Ke=!1)),Mn(ki(mt,fe),Ke)}function fN(){return st(),D_(be())&&!r.hasPrecedingLineBreak()}function L5(){return st(),be()===86&&!r.hasPrecedingLineBreak()}function nu(){return st(),be()===100&&!r.hasPrecedingLineBreak()}function kO(){return st(),(D_(be())||be()===9||be()===10||be()===11)&&!r.hasPrecedingLineBreak()}function AA(){for(;;)switch(be()){case 115:case 121:case 87:case 100:case 86:case 94:return!0;case 160:return sp();case 135:return Rx();case 120:case 156:return Q1();case 144:case 145:return $S();case 128:case 129:case 134:case 138:case 123:case 124:case 125:case 148:const fe=be();if(st(),r.hasPrecedingLineBreak())return!1;if(fe===138&&be()===156)return!0;continue;case 162:return st(),be()===19||be()===80||be()===95;case 102:return st(),be()===11||be()===42||be()===19||D_(be());case 95:let Ke=st();if(Ke===156&&(Ke=Di(st)),Ke===64||Ke===42||Ke===19||Ke===90||Ke===130||Ke===60)return!0;continue;case 126:st();continue;default:return!1}}function Mx(){return Di(AA)}function hN(){switch(be()){case 60:case 27:case 19:case 115:case 121:case 160:case 100:case 86:case 94:case 101:case 92:case 117:case 99:case 88:case 83:case 107:case 118:case 109:case 111:case 113:case 89:case 85:case 98:return!0;case 102:return Mx()||Di(nn);case 87:case 95:return Mx();case 134:case 138:case 120:case 144:case 145:case 156:case 162:return!0;case 129:case 125:case 123:case 124:case 126:case 148:return Mx()||!Di(fN);default:return Gy()}}function B_(){return st(),zi()||be()===19||be()===23}function AD(){return Di(B_)}function OA(){return OD(!0)}function OD(fe){return st(),fe&&be()===165?!1:(zi()||be()===19)&&!r.hasPrecedingLineBreak()}function sp(){return Di(OD)}function MA(fe){return st()===160?OD(fe):!1}function Rx(){return Di(MA)}function wm(){switch(be()){case 27:return lN();case 19:return m0(!1);case 115:return R2(le(),Ue(),void 0);case 121:if(AD())return R2(le(),Ue(),void 0);break;case 135:if(Rx())return R2(le(),Ue(),void 0);break;case 160:if(sp())return R2(le(),Ue(),void 0);break;case 100:return FD(le(),Ue(),void 0);case 86:return Xs(le(),Ue(),void 0);case 101:return Qd();case 92:return uN();case 117:return Xy();case 99:return dN();case 88:return M2(251);case 83:return M2(252);case 107:return vC();case 118:return Ox();case 109:return Eh();case 111:return rp();case 113:case 85:case 98:return LA();case 89:return PA();case 60:return Fx();case 134:case 120:case 156:case 144:case 145:case 138:case 87:case 94:case 95:case 102:case 123:case 124:case 125:case 128:case 129:case 126:case 148:case 162:if(Mx())return Fx();break}return qS()}function _N(fe){return fe.kind===138}function Fx(){const fe=le(),Ke=Ue(),mt=Wi(!0);if(Ft(mt,_N)){const Si=P5(fe);if(Si)return Si;for(const an of mt)an.flags|=33554432;return ka(33554432,()=>MD(fe,Ke,mt))}else return MD(fe,Ke,mt)}function P5(fe){return ka(33554432,()=>{const Ke=Hd(tn,fe);if(Ke)return Yc(Ke)})}function MD(fe,Ke,mt){switch(be()){case 115:case 121:case 87:case 160:case 135:return R2(fe,Ke,mt);case 100:return FD(fe,Ke,mt);case 86:return Xs(fe,Ke,mt);case 120:return gN(fe,Ke,mt);case 156:return n1(fe,Ke,mt);case 94:return GS(fe,Ke,mt);case 162:case 144:case 145:return gz(fe,Ke,mt);case 102:return EO(fe,Ke,mt);case 95:switch(st(),be()){case 90:case 64:return Sz(fe,Ke,mt);case 130:return O5(fe,Ke,mt);default:return bN(fe,Ke,mt)}default:if(mt){const Xt=Gc(282,!0,k.Declaration_expected);return o7(Xt,fe),Xt.modifiers=mt,Xt}return}}function pN(){return st()===11}function RA(){return st(),be()===161||be()===64}function $S(){return st(),!r.hasPrecedingLineBreak()&&(cn()||be()===11)}function __(fe,Ke){if(be()!==19){if(fe&4){dC();return}if(pc()){oo();return}}return US(fe,Ke)}function ub(){const fe=le();if(be()===28)return ki(E.createOmittedExpression(),fe);const Ke=ja(26),mt=p_(),Xt=hC();return ki(E.createBindingElement(Ke,void 0,mt,Xt),fe)}function db(){const fe=le(),Ke=ja(26),mt=zi();let Xt=Rn(),Si;mt&&be()!==59?(Si=Xt,Xt=void 0):(vi(59),Si=p_());const an=hC();return ki(E.createBindingElement(Ke,Xt,Si,an),fe)}function TO(){const fe=le();vi(19);const Ke=Ji(()=>jd(9,db));return vi(20),ki(E.createObjectBindingPattern(Ke),fe)}function wc(){const fe=le();vi(23);const Ke=Ji(()=>jd(10,ub));return vi(24),ki(E.createArrayBindingPattern(Ke),fe)}function xr(){return be()===19||be()===23||be()===81||zi()}function p_(fe){return be()===23?wc():be()===19?TO():Pp(fe)}function Qp(){return RD(!0)}function RD(fe){const Ke=le(),mt=Ue(),Xt=p_(k.Private_identifiers_are_not_allowed_in_variable_declarations);let Si;fe&&Xt.kind===80&&be()===54&&!r.hasPrecedingLineBreak()&&(Si=md());const an=Q0(),jr=L2(be())?void 0:hC(),Hs=Oe(Xt,Si,an,jr);return Mn(ki(Hs,Ke),mt)}function DO(fe){const Ke=le();let mt=0;switch(be()){case 115:break;case 121:mt|=1;break;case 87:mt|=2;break;case 160:mt|=4;break;case 135:j.assert(Rx()),mt|=6,st();break;default:j.fail()}st();let Xt;if(be()===165&&Di(FA))Xt=h_();else{const Si=Ct();dr(fe),Xt=jd(8,fe?RD:Qp),dr(Si)}return ki(He(Xt,mt),Ke)}function FA(){return gm()&&st()===22}function R2(fe,Ke,mt){const Xt=DO(!1);oo();const Si=ke(mt,Xt);return Mn(ki(Si,fe),Ke)}function FD(fe,Ke,mt){const Xt=Ni(),Si=M1(mt);vi(100);const an=ja(42),jr=Si&2048?lb():Pp(),Hs=an?1:0,Cs=Si&1024?2:0,Ss=ep();Si&32&&Gs(!0);const no=Ug(Hs|Cs),$c=zg(59,!1),Jc=__(Hs|Cs,k.or_expected);Gs(Xt);const dc=E.createFunctionDeclaration(mt,an,jr,Ss,no,$c,Jc);return Mn(ki(dc,fe),Ke)}function BD(){if(be()===137)return vi(137);if(be()===11&&Di(st)===21)return on(()=>{const fe=Zt();return fe.text==="constructor"?fe:void 0})}function Gg(fe,Ke,mt){return on(()=>{if(BD()){const Xt=ep(),Si=Ug(0),an=zg(59,!1),jr=__(0,k.or_expected),Hs=E.createConstructorDeclaration(mt,Si,jr);return Hs.typeParameters=Xt,Hs.type=an,Mn(ki(Hs,fe),Ke)}})}function Rp(fe,Ke,mt,Xt,Si,an,jr,Hs){const Cs=Xt?1:0,Ss=Ft(mt,m7)?2:0,no=ep(),$c=Ug(Cs|Ss),Jc=zg(59,!1),dc=__(Cs|Ss,Hs),og=E.createMethodDeclaration(mt,Xt,Si,an,no,$c,Jc,dc);return og.exclamationToken=jr,Mn(ki(og,fe),Ke)}function Fp(fe,Ke,mt,Xt,Si){const an=!Si&&!r.hasPrecedingLineBreak()?ja(54):void 0,jr=Q0(),Hs=ma(90112,hC);vu(Xt,jr,Hs);const Cs=E.createPropertyDeclaration(mt,Xt,Si||an,jr,Hs);return Mn(ki(Cs,fe),Ke)}function t1(fe,Ke,mt){const Xt=ja(42),Si=Rn(),an=ja(58);return Xt||be()===21||be()===30?Rp(fe,Ke,mt,Xt,Si,an,void 0,k.or_expected):Fp(fe,Ke,mt,Si,an)}function Kg(fe,Ke,mt,Xt,Si){const an=Rn(),jr=ep(),Hs=Ug(0),Cs=zg(59,!1),Ss=__(Si),no=Xt===177?E.createGetAccessorDeclaration(mt,an,Hs,Cs,Ss):E.createSetAccessorDeclaration(mt,an,Hs,Ss);return no.typeParameters=jr,kp(no)&&(no.type=Cs),Mn(ki(no,fe),Ke)}function mN(){let fe;if(be()===60)return!0;for(;Xw(be());){if(fe=be(),tae(fe))return!0;st()}if(be()===42||(Lt()&&(fe=be(),st()),be()===23))return!0;if(fe!==void 0){if(!P_(fe)||fe===153||fe===139)return!0;switch(be()){case 21:case 30:case 54:case 59:case 64:case 58:return!0;default:return pc()}}return!1}function Td(fe,Ke,mt){Jo(126);const Xt=BA(),Si=Mn(ki(E.createClassStaticBlockDeclaration(Xt),fe),Ke);return Si.modifiers=mt,Si}function BA(){const fe=Rt(),Ke=Ni();us(!1),Gs(!0);const mt=m0(!1);return us(fe),Gs(Ke),mt}function V(){if(Ni()&&be()===135){const fe=le(),Ke=Nl(k.Expression_expected);st();const mt=cb(fe,Ke,!0);return Sm(fe,mt)}return Lx()}function Le(){const fe=le();if(!Ls(60))return;const Ke=pt(V);return ki(E.createDecorator(Ke),fe)}function Ut(fe,Ke,mt){const Xt=le(),Si=be();if(be()===87&&Ke){if(!on(ds))return}else{if(mt&&be()===126&&Di(B2))return;if(fe&&be()===126)return;if(!Pu())return}return ki(X(Si),Xt)}function Wi(fe,Ke,mt){const Xt=le();let Si,an,jr,Hs=!1,Cs=!1,Ss=!1;if(fe&&be()===60)for(;an=Le();)Si=fn(Si,an);for(;jr=Ut(Hs,Ke,mt);)jr.kind===126&&(Hs=!0),Si=fn(Si,jr),Cs=!0;if(Cs&&fe&&be()===60)for(;an=Le();)Si=fn(Si,an),Ss=!0;if(Ss)for(;jr=Ut(Hs,Ke,mt);)jr.kind===126&&(Hs=!0),Si=fn(Si,jr);return Si&&tc(Si,Xt)}function gs(){let fe;if(be()===134){const Ke=le();st();const mt=ki(X(134),Ke);fe=tc([mt],Ke)}return fe}function ao(){const fe=le(),Ke=Ue();if(be()===27)return st(),Mn(ki(E.createSemicolonClassElement(),fe),Ke);const mt=Wi(!0,!0,!0);if(be()===126&&Di(B2))return Td(fe,Ke,mt);if(Mr(139))return Kg(fe,Ke,mt,177,0);if(Mr(153))return Kg(fe,Ke,mt,178,0);if(be()===137||be()===11){const Xt=Gg(fe,Ke,mt);if(Xt)return Xt}if(Jy())return T2(fe,Ke,mt);if(D_(be())||be()===11||be()===9||be()===10||be()===42||be()===23)if(Ft(mt,_N)){for(const Si of mt)Si.flags|=33554432;return ka(33554432,()=>t1(fe,Ke,mt))}else return t1(fe,Ke,mt);if(mt){const Xt=Gc(80,!0,k.Declaration_expected);return Fp(fe,Ke,mt,Xt,void 0)}return j.fail("Should not have attempted to parse class member declaration.")}function Co(){const fe=le(),Ke=Ue(),mt=Wi(!0);if(be()===86)return Gh(fe,Ke,mt,231);const Xt=Gc(282,!0,k.Expression_expected);return o7(Xt,fe),Xt.modifiers=mt,Xt}function co(){return Gh(le(),Ue(),void 0,231)}function Xs(fe,Ke,mt){return Gh(fe,Ke,mt,263)}function Gh(fe,Ke,mt,Xt){const Si=Ni();vi(86);const an=xf(),jr=ep();Ft(mt,BP)&&Gs(!0);const Hs=JS();let Cs;vi(19)?(Cs=WA(),vi(20)):Cs=h_(),Gs(Si);const Ss=Xt===263?E.createClassDeclaration(mt,an,jr,Hs,Cs):E.createClassExpression(mt,an,jr,Hs,Cs);return Mn(ki(Ss,fe),Ke)}function xf(){return zi()&&!i1()?sd(zi()):void 0}function i1(){return be()===119&&Di(Qu)}function JS(){if(VD())return sc(22,Bp)}function Bp(){const fe=le(),Ke=be();j.assert(Ke===96||Ke===119),st();const mt=jd(7,WD);return ki(E.createHeritageClause(Ke,mt),fe)}function WD(){const fe=le(),Ke=Lx();if(Ke.kind===233)return Ke;const mt=F2();return ki(E.createExpressionWithTypeArguments(Ke,mt),fe)}function F2(){return be()===30?vm(20,Xd,30,32):void 0}function VD(){return be()===96||be()===119}function WA(){return sc(5,ao)}function gN(fe,Ke,mt){vi(120);const Xt=Nl(),Si=ep(),an=JS(),jr=mn(),Hs=E.createInterfaceDeclaration(mt,Xt,Si,an,jr);return Mn(ki(Hs,fe),Ke)}function n1(fe,Ke,mt){vi(156),r.hasPrecedingLineBreak()&&ji(k.Line_break_not_permitted_here);const Xt=Nl(),Si=ep();vi(64);const an=be()===141&&on(Jh)||Xd();oo();const jr=E.createTypeAliasDeclaration(mt,Xt,Si,an);return Mn(ki(jr,fe),Ke)}function fb(){const fe=le(),Ke=Ue(),mt=Rn(),Xt=Ji(hC);return Mn(ki(E.createEnumMember(mt,Xt),fe),Ke)}function GS(fe,Ke,mt){vi(94);const Xt=Nl();let Si;vi(19)?(Si=Dt(()=>jd(6,fb)),vi(20)):Si=h_();const an=E.createEnumDeclaration(mt,Xt,Si);return Mn(ki(an,fe),Ke)}function VA(){const fe=le();let Ke;return vi(19)?(Ke=sc(1,wm),vi(20)):Ke=h_(),ki(E.createModuleBlock(Ke),fe)}function Bx(fe,Ke,mt,Xt){const Si=Xt&32,an=Xt&8?Ve():Nl(),jr=Ls(25)?Bx(le(),!1,void 0,8|Si):VA(),Hs=E.createModuleDeclaration(mt,an,jr,Xt);return Mn(ki(Hs,fe),Ke)}function A5(fe,Ke,mt){let Xt=0,Si;be()===162?(Si=Nl(),Xt|=2048):(Si=Zt(),Si.text=uh(Si.text));let an;be()===19?an=VA():oo();const jr=E.createModuleDeclaration(mt,Si,an,Xt);return Mn(ki(jr,fe),Ke)}function gz(fe,Ke,mt){let Xt=0;if(be()===162)return A5(fe,Ke,mt);if(Ls(145))Xt|=32;else if(vi(144),be()===11)return A5(fe,Ke,mt);return Bx(fe,Ke,mt,Xt)}function yz(){return be()===149&&Di(eo)}function eo(){return st()===21}function B2(){return st()===19}function Hn(){return st()===44}function O5(fe,Ke,mt){vi(130),vi(145);const Xt=Nl();oo();const Si=E.createNamespaceExportDeclaration(Xt);return Si.modifiers=mt,Mn(ki(Si,fe),Ke)}function EO(fe,Ke,mt){vi(102);const Xt=r.getTokenFullStart();let Si;cn()&&(Si=Nl());let an=!1;if((Si==null?void 0:Si.escapedText)==="type"&&(be()!==161||cn()&&Di(RA))&&(cn()||JY())&&(an=!0,Si=cn()?Nl():void 0),Si&&!ty())return GY(fe,Ke,mt,Si,an);const jr=Wx(Si,Xt,an),Hs=vN(),Cs=IO();oo();const Ss=E.createImportDeclaration(mt,jr,Hs,Cs);return Mn(ki(Ss,fe),Ke)}function Wx(fe,Ke,mt,Xt=!1){let Si;return(fe||be()===42||be()===19)&&(Si=R5(fe,Ke,mt,Xt),vi(161)),Si}function IO(){const fe=be();if((fe===118||fe===132)&&!r.hasPrecedingLineBreak())return M5(fe)}function vz(){const fe=le(),Ke=D_(be())?Ve():To(11);vi(59);const mt=ip(!0);return ki(E.createImportAttribute(Ke,mt),fe)}function M5(fe,Ke){const mt=le();Ke||vi(fe);const Xt=r.getTokenStart();if(vi(19)){const Si=r.hasPrecedingLineBreak(),an=jd(24,vz,!0);if(!vi(20)){const jr=rl(Me);jr&&jr.code===k._0_expected.code&&da(jr,kP(Pe,ze,Xt,1,k.The_parser_expected_to_find_a_1_to_match_the_0_token_here,"{","}"))}return ki(E.createImportAttributes(an,Si,fe),mt)}else{const Si=tc([],le(),void 0,!1);return ki(E.createImportAttributes(Si,!1,fe),mt)}}function JY(){return be()===42||be()===19}function ty(){return be()===28||be()===161}function GY(fe,Ke,mt,Xt,Si){vi(64);const an=KY();oo();const jr=E.createImportEqualsDeclaration(mt,Si,Xt,an);return Mn(ki(jr,fe),Ke)}function R5(fe,Ke,mt,Xt){let Si;return(!fe||Ls(28))&&(Xt&&r.setSkipJsDocLeadingAsterisks(!0),Si=be()===42?bz():NO(275),Xt&&r.setSkipJsDocLeadingAsterisks(!1)),ki(E.createImportClause(mt,fe,Si),Ke)}function KY(){return yz()?yN():ve(!1)}function yN(){const fe=le();vi(149),vi(21);const Ke=vN();return vi(22),ki(E.createExternalModuleReference(Ke),fe)}function vN(){if(be()===11){const fe=Zt();return fe.text=uh(fe.text),fe}else return dh()}function bz(){const fe=le();vi(42),vi(130);const Ke=Nl();return ki(E.createNamespaceImport(Ke),fe)}function Vx(){return D_(be())||be()===11}function g0(fe){return be()===11?Zt():fe()}function NO(fe){const Ke=le(),mt=fe===275?E.createNamedImports(vm(23,Hx,19,20)):E.createNamedExports(vm(23,Qy,19,20));return ki(mt,Ke)}function Qy(){const fe=Ue();return Mn(Cz(281),fe)}function Hx(){return Cz(276)}function Cz(fe){const Ke=le();let mt=P_(be())&&!cn(),Xt=r.getTokenStart(),Si=r.getTokenEnd(),an=!1,jr,Hs=!0,Cs=g0(Ve);if(Cs.kind===80&&Cs.escapedText==="type")if(be()===130){const $c=Ve();if(be()===130){const Jc=Ve();Vx()?(an=!0,jr=$c,Cs=g0(no),Hs=!1):(jr=Cs,Cs=Jc,Hs=!1)}else Vx()?(jr=Cs,Hs=!1,Cs=g0(no)):(an=!0,Cs=$c)}else Vx()&&(an=!0,Cs=g0(no));Hs&&be()===130&&(jr=Cs,vi(130),Cs=g0(no)),fe===276&&(Cs.kind!==80?(te(Va(ze,Cs.pos),Cs.end,k.Identifier_expected),Cs=V0(Gc(80,!1),Cs.pos,Cs.pos)):mt&&te(Xt,Si,k.Identifier_expected));const Ss=fe===276?E.createImportSpecifier(an,jr,Cs):E.createExportSpecifier(an,jr,Cs);return ki(Ss,Ke);function no(){return mt=P_(be())&&!cn(),Xt=r.getTokenStart(),Si=r.getTokenEnd(),Ve()}}function Zy(fe){return ki(E.createNamespaceExport(g0(Ve)),fe)}function bN(fe,Ke,mt){const Xt=Ni();Gs(!0);let Si,an,jr;const Hs=Ls(156),Cs=le();Ls(42)?(Ls(130)&&(Si=Zy(Cs)),vi(161),an=vN()):(Si=NO(279),(be()===161||be()===11&&!r.hasPrecedingLineBreak())&&(vi(161),an=vN()));const Ss=be();an&&(Ss===118||Ss===132)&&!r.hasPrecedingLineBreak()&&(jr=M5(Ss)),oo(),Gs(Xt);const no=E.createExportDeclaration(mt,Hs,Si,an,jr);return Mn(ki(no,fe),Ke)}function Sz(fe,Ke,mt){const Xt=Ni();Gs(!0);let Si;Ls(64)?Si=!0:vi(90);const an=ip(!0);oo(),Gs(Xt);const jr=E.createExportAssignment(mt,Si,an);return Mn(ki(jr,fe),Ke)}let Yu;(fe=>{fe[fe.SourceElements=0]="SourceElements",fe[fe.BlockStatements=1]="BlockStatements",fe[fe.SwitchClauses=2]="SwitchClauses",fe[fe.SwitchClauseStatements=3]="SwitchClauseStatements",fe[fe.TypeMembers=4]="TypeMembers",fe[fe.ClassMembers=5]="ClassMembers",fe[fe.EnumMembers=6]="EnumMembers",fe[fe.HeritageClauseElement=7]="HeritageClauseElement",fe[fe.VariableDeclarations=8]="VariableDeclarations",fe[fe.ObjectBindingElements=9]="ObjectBindingElements",fe[fe.ArrayBindingElements=10]="ArrayBindingElements",fe[fe.ArgumentExpressions=11]="ArgumentExpressions",fe[fe.ObjectLiteralMembers=12]="ObjectLiteralMembers",fe[fe.JsxAttributes=13]="JsxAttributes",fe[fe.JsxChildren=14]="JsxChildren",fe[fe.ArrayLiteralMembers=15]="ArrayLiteralMembers",fe[fe.Parameters=16]="Parameters",fe[fe.JSDocParameters=17]="JSDocParameters",fe[fe.RestProperties=18]="RestProperties",fe[fe.TypeParameters=19]="TypeParameters",fe[fe.TypeArguments=20]="TypeArguments",fe[fe.TupleElementTypes=21]="TupleElementTypes",fe[fe.HeritageClauses=22]="HeritageClauses",fe[fe.ImportOrExportSpecifiers=23]="ImportOrExportSpecifiers",fe[fe.ImportAttributes=24]="ImportAttributes",fe[fe.JSDocComment=25]="JSDocComment",fe[fe.Count=26]="Count"})(Yu||(Yu={}));let HA;(fe=>{fe[fe.False=0]="False",fe[fe.True=1]="True",fe[fe.Unknown=2]="Unknown"})(HA||(HA={}));let Nc;(fe=>{function Ke(Ss,no,$c){Fs("file.js",Ss,99,void 0,1,0),r.setText(Ss,no,$c),Et=r.scan();const Jc=mt(),dc=yi("file.js",99,1,!1,[],X(1),0,Tc),og=TP(Me,dc);return ut&&(dc.jsDocDiagnostics=TP(ut,dc)),ko(),Jc?{jsDocTypeExpression:Jc,diagnostics:og}:void 0}fe.parseJSDocTypeExpressionForTests=Ke;function mt(Ss){const no=le(),$c=(Ss?Ls:vi)(19),Jc=ka(16777216,rg);(!Ss||$c)&&Gu(20);const dc=E.createJSDocTypeExpression(Jc);return at(dc),ki(dc,no)}fe.parseJSDocTypeExpression=mt;function Xt(){const Ss=le(),no=Ls(19),$c=le();let Jc=ve(!1);for(;be()===81;)fi(),hi(),Jc=ki(E.createJSDocMemberName(Jc,Nl()),$c);no&&Gu(20);const dc=E.createJSDocNameReference(Jc);return at(dc),ki(dc,Ss)}fe.parseJSDocNameReference=Xt;function Si(Ss,no,$c){Fs("",Ss,99,void 0,1,0);const Jc=ka(16777216,()=>Cs(no,$c)),og=TP(Me,{languageVariant:0,text:Ss});return ko(),Jc?{jsDoc:Jc,diagnostics:og}:void 0}fe.parseIsolatedJSDocComment=Si;function an(Ss,no,$c){const Jc=Et,dc=Me.length,og=Fi,Dd=ka(16777216,()=>Cs(no,$c));return _c(Dd,Ss),Pn&524288&&(ut||(ut=[]),Sr(ut,Me,dc)),Et=Jc,Me.length=dc,Fi=og,Dd}fe.parseJSDocComment=an;let jr;(Ss=>{Ss[Ss.BeginningOfLine=0]="BeginningOfLine",Ss[Ss.SawAsterisk=1]="SawAsterisk",Ss[Ss.SavingComments=2]="SavingComments",Ss[Ss.SavingBackticks=3]="SavingBackticks"})(jr||(jr={}));let Hs;(Ss=>{Ss[Ss.Property=1]="Property",Ss[Ss.Parameter=2]="Parameter",Ss[Ss.CallbackParameter=4]="CallbackParameter"})(Hs||(Hs={}));function Cs(Ss=0,no){const $c=ze,Jc=no===void 0?$c.length:Ss+no;if(no=Jc-Ss,j.assert(Ss>=0),j.assert(Ss<=Jc),j.assert(Jc<=$c.length),!Hle($c,Ss))return;let dc,og,Dd,xm,bC,hb=[];const jx=[],XY=tn;tn|=1<<25;const gc=r.scanRange(Ss+3,no-5,gd);return tn=XY,gc;function gd(){let gn=1,Ar,Vr=Ss-($c.lastIndexOf(`
+`,Ss)+1)+4;function Ds(tl){Ar||(Ar=Vr),hb.push(tl),Vr+=tl.length}for(hi();y0(5););y0(4)&&(gn=0,Vr=0);e:for(;;){switch(be()){case 60:HD(hb),bC||(bC=le()),xo(ir(Vr)),gn=0,Ar=void 0;break;case 4:hb.push(r.getTokenText()),gn=0,Vr=0;break;case 42:const tl=r.getTokenText();gn===1?(gn=2,Ds(tl)):(j.assert(gn===0),gn=1,Vr+=tl.length);break;case 5:j.assert(gn!==2,"whitespace shouldn't come from the scanner while saving top-level comment text");const Ll=r.getTokenText();Ar!==void 0&&Vr+Ll.length>Ar&&hb.push(Ll.slice(Ar-Vr)),Vr+=Ll.length;break;case 1:break e;case 82:gn=2,Ds(r.getTokenValue());break;case 19:gn=2;const ev=r.getTokenFullStart(),ny=r.getTokenEnd()-1,r1=ie(ny);if(r1){xm||CC(hb),jx.push(ki(E.createJSDocText(hb.join("")),xm??Ss,ev)),jx.push(r1),hb=[],xm=r.getTokenEnd();break}default:gn=2,Ds(r.getTokenText());break}gn===2?vn(!1):hi()}const ys=hb.join("").trimEnd();jx.length&&ys.length&&jx.push(ki(E.createJSDocText(ys),xm??Ss,bC)),jx.length&&dc&&j.assertIsDefined(bC,"having parsed tags implies that the end of the comment span should be set");const yc=dc&&tc(dc,og,Dd);return ki(E.createJSDocComment(jx.length?tc(jx,Ss,bC):ys.length?ys:void 0,yc),Ss,Jc)}function CC(gn){for(;gn.length&&(gn[0]===`
+`||gn[0]==="\r");)gn.shift()}function HD(gn){for(;gn.length;){const Ar=gn[gn.length-1].trimEnd();if(Ar==="")gn.pop();else if(Ar.length<gn[gn.length-1].length){gn[gn.length-1]=Ar;break}else break}}function F5(){for(;;){if(hi(),be()===1)return!0;if(!(be()===5||be()===4))return!1}}function Zp(){if(!((be()===5||be()===4)&&Di(F5)))for(;be()===5||be()===4;)hi()}function W2(){if((be()===5||be()===4)&&Di(F5))return"";let gn=r.hasPrecedingLineBreak(),Ar=!1,Vr="";for(;gn&&be()===42||be()===5||be()===4;)Vr+=r.getTokenText(),be()===4?(gn=!0,Ar=!0,Vr=""):be()===42&&(gn=!1),hi();return Ar?Vr:""}function ir(gn){j.assert(be()===60);const Ar=r.getTokenStart();hi();const Vr=V2(void 0),Ds=W2();let ys;switch(Vr.escapedText){case"author":ys=fRe(Ar,Vr,gn,Ds);break;case"implements":ys=wz(Ar,Vr,gn,Ds);break;case"augments":case"extends":ys=Hpe(Ar,Vr,gn,Ds);break;case"class":case"constructor":ys=jA(Ar,E.createJSDocClassTag,Vr,gn,Ds);break;case"public":ys=jA(Ar,E.createJSDocPublicTag,Vr,gn,Ds);break;case"private":ys=jA(Ar,E.createJSDocPrivateTag,Vr,gn,Ds);break;case"protected":ys=jA(Ar,E.createJSDocProtectedTag,Vr,gn,Ds);break;case"readonly":ys=jA(Ar,E.createJSDocReadonlyTag,Vr,gn,Ds);break;case"override":ys=jA(Ar,E.createJSDocOverrideTag,Vr,gn,Ds);break;case"deprecated":Qs=!0,ys=jA(Ar,E.createJSDocDeprecatedTag,Vr,gn,Ds);break;case"this":ys=qpe(Ar,Vr,gn,Ds);break;case"enum":ys=_Re(Ar,Vr,gn,Ds);break;case"arg":case"argument":case"param":return km(Ar,Vr,2,gn);case"return":case"returns":ys=dRe(Ar,Vr,gn,Ds);break;case"template":ys=ZY(Ar,Vr,gn,Ds);break;case"type":ys=Vpe(Ar,Vr,gn,Ds);break;case"typedef":ys=PO(Ar,Vr,gn,Ds);break;case"callback":ys=Yn(Ar,Vr,gn,Ds);break;case"overload":ys=Ux(Ar,Vr,gn,Ds);break;case"satisfies":ys=jpe(Ar,Vr,gn,Ds);break;case"see":ys=CN(Ar,Vr,gn,Ds);break;case"exception":case"throws":ys=B5(Ar,Vr,gn,Ds);break;case"import":ys=W5(Ar,Vr,gn,Ds);break;default:ys=lr(Ar,Vr,gn,Ds);break}return ys}function A(gn,Ar,Vr,Ds){return Ds||(Vr+=Ar-gn),$(Vr,Ds.slice(Vr))}function $(gn,Ar){const Vr=le();let Ds=[];const ys=[];let yc,tl=0,Ll;function ev(ry){Ll||(Ll=gn),Ds.push(ry),gn+=ry.length}Ar!==void 0&&(Ar!==""&&ev(Ar),tl=1);let ny=be();e:for(;;){switch(ny){case 4:tl=0,Ds.push(r.getTokenText()),gn=0;break;case 60:r.resetTokenState(r.getTokenEnd()-1);break e;case 1:break e;case 5:j.assert(tl!==2&&tl!==3,"whitespace shouldn't come from the scanner while saving comment text");const ry=r.getTokenText();Ll!==void 0&&gn+ry.length>Ll&&(Ds.push(ry.slice(Ll-gn)),tl=2),gn+=ry.length;break;case 19:tl=2;const kz=r.getTokenFullStart(),MO=r.getTokenEnd()-1,W_=ie(MO);W_?(ys.push(ki(E.createJSDocText(Ds.join("")),yc??Vr,kz)),ys.push(W_),Ds=[],yc=r.getTokenEnd()):ev(r.getTokenText());break;case 62:tl===3?tl=2:tl=3,ev(r.getTokenText());break;case 82:tl!==3&&(tl=2),ev(r.getTokenValue());break;case 42:if(tl===0){tl=1,gn+=1;break}default:tl!==3&&(tl=2),ev(r.getTokenText());break}tl===2||tl===3?ny=vn(tl===3):ny=hi()}CC(Ds);const r1=Ds.join("").trimEnd();if(ys.length)return r1.length&&ys.push(ki(E.createJSDocText(r1),yc??Vr)),tc(ys,Vr,r.getTokenEnd());if(r1.length)return r1}function ie(gn){const Ar=on(ht);if(!Ar)return;hi(),Zp();const Vr=Ee(),Ds=[];for(;be()!==20&&be()!==4&&be()!==1;)Ds.push(r.getTokenText()),hi();const ys=Ar==="link"?E.createJSDocLink:Ar==="linkcode"?E.createJSDocLinkCode:E.createJSDocLinkPlain;return ki(ys(Vr,Ds.join("")),gn,r.getTokenEnd())}function Ee(){if(D_(be())){const gn=le();let Ar=Ve();for(;Ls(25);)Ar=ki(E.createQualifiedName(Ar,be()===81?Gc(80,!1):Ve()),gn);for(;be()===81;)fi(),hi(),Ar=ki(E.createJSDocMemberName(Ar,Nl()),gn);return Ar}}function ht(){if(W2(),be()===19&&hi()===60&&D_(hi())){const gn=r.getTokenValue();if(bi(gn))return gn}}function bi(gn){return gn==="link"||gn==="linkcode"||gn==="linkplain"}function lr(gn,Ar,Vr,Ds){return ki(E.createJSDocUnknownTag(Ar,A(gn,le(),Vr,Ds)),gn)}function xo(gn){gn&&(dc?dc.push(gn):(dc=[gn],og=gn.pos),Dd=gn.end)}function el(){return W2(),be()===19?mt():void 0}function ag(){const gn=y0(23);gn&&Zp();const Ar=y0(62),Vr=mRe();return Ar&&kh(62),gn&&(Zp(),ja(64)&&dh(),vi(24)),{name:Vr,isBracketed:gn}}function Yy(gn){switch(gn.kind){case 151:return!0;case 188:return Yy(gn.elementType);default:return qp(gn)&&ot(gn.typeName)&&gn.typeName.escapedText==="Object"&&!gn.typeArguments}}function km(gn,Ar,Vr,Ds){let ys=el(),yc=!ys;W2();const{name:tl,isBracketed:Ll}=ag(),ev=W2();yc&&!Di(ht)&&(ys=el());const ny=A(gn,le(),Ds,ev),r1=LO(ys,tl,Vr,Ds);r1&&(ys=r1,yc=!0);const ry=Vr===1?E.createJSDocPropertyTag(Ar,tl,Ll,ys,yc,ny):E.createJSDocParameterTag(Ar,tl,Ll,ys,yc,ny);return ki(ry,gn)}function LO(gn,Ar,Vr,Ds){if(gn&&Yy(gn.type)){const ys=le();let yc,tl;for(;yc=on(()=>SN(Vr,Ds,Ar));)yc.kind===341||yc.kind===348?tl=fn(tl,yc):yc.kind===345&&tt(yc.tagName,k.A_JSDoc_template_tag_may_not_follow_a_typedef_callback_or_overload_tag);if(tl){const Ll=ki(E.createJSDocTypeLiteral(tl,gn.type.kind===188),ys);return ki(E.createJSDocTypeExpression(Ll),ys)}}}function dRe(gn,Ar,Vr,Ds){Ft(dc,IX)&&te(Ar.pos,r.getTokenStart(),k._0_tag_already_specified,Ws(Ar.escapedText));const ys=el();return ki(E.createJSDocReturnTag(Ar,ys,A(gn,le(),Vr,Ds)),gn)}function Vpe(gn,Ar,Vr,Ds){Ft(dc,D7)&&te(Ar.pos,r.getTokenStart(),k._0_tag_already_specified,Ws(Ar.escapedText));const ys=mt(!0),yc=Vr!==void 0&&Ds!==void 0?A(gn,le(),Vr,Ds):void 0;return ki(E.createJSDocTypeTag(Ar,ys,yc),gn)}function CN(gn,Ar,Vr,Ds){const yc=be()===23||Di(()=>hi()===60&&D_(hi())&&bi(r.getTokenValue()))?void 0:Xt(),tl=Vr!==void 0&&Ds!==void 0?A(gn,le(),Vr,Ds):void 0;return ki(E.createJSDocSeeTag(Ar,yc,tl),gn)}function B5(gn,Ar,Vr,Ds){const ys=el(),yc=A(gn,le(),Vr,Ds);return ki(E.createJSDocThrowsTag(Ar,ys,yc),gn)}function fRe(gn,Ar,Vr,Ds){const ys=le(),yc=hRe();let tl=r.getTokenFullStart();const Ll=A(gn,tl,Vr,Ds);Ll||(tl=r.getTokenFullStart());const ev=typeof Ll!="string"?tc(Js([ki(yc,ys,tl)],Ll),ys):yc.text+Ll;return ki(E.createJSDocAuthorTag(Ar,ev),gn)}function hRe(){const gn=[];let Ar=!1,Vr=r.getToken();for(;Vr!==1&&Vr!==4;){if(Vr===30)Ar=!0;else{if(Vr===60&&!Ar)break;if(Vr===32&&Ar){gn.push(r.getTokenText()),r.resetTokenState(r.getTokenEnd());break}}gn.push(r.getTokenText()),Vr=hi()}return E.createJSDocText(gn.join(""))}function wz(gn,Ar,Vr,Ds){const ys=zpe();return ki(E.createJSDocImplementsTag(Ar,ys,A(gn,le(),Vr,Ds)),gn)}function Hpe(gn,Ar,Vr,Ds){const ys=zpe();return ki(E.createJSDocAugmentsTag(Ar,ys,A(gn,le(),Vr,Ds)),gn)}function jpe(gn,Ar,Vr,Ds){const ys=mt(!1),yc=Vr!==void 0&&Ds!==void 0?A(gn,le(),Vr,Ds):void 0;return ki(E.createJSDocSatisfiesTag(Ar,ys,yc),gn)}function W5(gn,Ar,Vr,Ds){const ys=r.getTokenFullStart();let yc;cn()&&(yc=Nl());const tl=Wx(yc,ys,!0,!0),Ll=vN(),ev=IO(),ny=Vr!==void 0&&Ds!==void 0?A(gn,le(),Vr,Ds):void 0;return ki(E.createJSDocImportTag(Ar,tl,Ll,ev,ny),gn)}function zpe(){const gn=Ls(19),Ar=le(),Vr=Upe();r.setSkipJsDocLeadingAsterisks(!0);const Ds=F2();r.setSkipJsDocLeadingAsterisks(!1);const ys=E.createExpressionWithTypeArguments(Vr,Ds),yc=ki(ys,Ar);return gn&&vi(20),yc}function Upe(){const gn=le();let Ar=V2();for(;Ls(25);){const Vr=V2();Ar=ki(re(Ar,Vr),gn)}return Ar}function jA(gn,Ar,Vr,Ds,ys){return ki(Ar(Vr,A(gn,le(),Ds,ys)),gn)}function qpe(gn,Ar,Vr,Ds){const ys=mt(!0);return Zp(),ki(E.createJSDocThisTag(Ar,ys,A(gn,le(),Vr,Ds)),gn)}function _Re(gn,Ar,Vr,Ds){const ys=mt(!0);return Zp(),ki(E.createJSDocEnumTag(Ar,ys,A(gn,le(),Vr,Ds)),gn)}function PO(gn,Ar,Vr,Ds){let ys=el();W2();const yc=xz();Zp();let tl=$(Vr),Ll;if(!ys||Yy(ys.type)){let ny,r1,ry,kz=!1;for(;(ny=on(()=>iy(Vr)))&&ny.kind!==345;)if(kz=!0,ny.kind===344)if(r1){const MO=ji(k.A_JSDoc_typedef_comment_may_not_contain_multiple_type_tags);MO&&da(MO,kP(Pe,ze,0,0,k.The_tag_was_first_specified_here));break}else r1=ny;else ry=fn(ry,ny);if(kz){const MO=ys&&ys.type.kind===188,W_=E.createJSDocTypeLiteral(ry,MO);ys=r1&&r1.typeExpression&&!Yy(r1.typeExpression.type)?r1.typeExpression:ki(W_,gn),Ll=ys.end}}Ll=Ll||tl!==void 0?le():(yc??ys??Ar).end,tl||(tl=A(gn,Ll,Vr,Ds));const ev=E.createJSDocTypedefTag(Ar,ys,yc,tl);return ki(ev,gn,Ll)}function xz(gn){const Ar=r.getTokenStart();if(!D_(be()))return;const Vr=V2();if(Ls(25)){const Ds=xz(!0),ys=E.createModuleDeclaration(void 0,Vr,Ds,gn?8:void 0);return ki(ys,Ar)}return gn&&(Vr.flags|=4096),Vr}function pRe(gn){const Ar=le();let Vr,Ds;for(;Vr=on(()=>SN(4,gn));){if(Vr.kind===345){tt(Vr.tagName,k.A_JSDoc_template_tag_may_not_follow_a_typedef_callback_or_overload_tag);break}Ds=fn(Ds,Vr)}return tc(Ds||[],Ar)}function zx(gn,Ar){const Vr=pRe(Ar),Ds=on(()=>{if(y0(60)){const ys=ir(Ar);if(ys&&ys.kind===342)return ys}});return ki(E.createJSDocSignature(void 0,Vr,Ds),gn)}function Yn(gn,Ar,Vr,Ds){const ys=xz();Zp();let yc=$(Vr);const tl=zx(gn,Vr);yc||(yc=A(gn,le(),Vr,Ds));const Ll=yc!==void 0?le():tl.end;return ki(E.createJSDocCallbackTag(Ar,tl,ys,yc),gn,Ll)}function Ux(gn,Ar,Vr,Ds){Zp();let ys=$(Vr);const yc=zx(gn,Vr);ys||(ys=A(gn,le(),Vr,Ds));const tl=ys!==void 0?le():yc.end;return ki(E.createJSDocOverloadTag(Ar,yc,ys),gn,tl)}function $pe(gn,Ar){for(;!ot(gn)||!ot(Ar);)if(!ot(gn)&&!ot(Ar)&&gn.right.escapedText===Ar.right.escapedText)gn=gn.left,Ar=Ar.left;else return!1;return gn.escapedText===Ar.escapedText}function iy(gn){return SN(1,gn)}function SN(gn,Ar,Vr){let Ds=!0,ys=!1;for(;;)switch(hi()){case 60:if(Ds){const yc=AO(gn,Ar);return yc&&(yc.kind===341||yc.kind===348)&&Vr&&(ot(yc.name)||!$pe(Vr,yc.name.left))?!1:yc}ys=!1;break;case 4:Ds=!0,ys=!1;break;case 42:ys&&(Ds=!1),ys=!0;break;case 80:Ds=!1;break;case 1:return!1}}function AO(gn,Ar){j.assert(be()===60);const Vr=r.getTokenFullStart();hi();const Ds=V2(),ys=W2();let yc;switch(Ds.escapedText){case"type":return gn===1&&Vpe(Vr,Ds);case"prop":case"property":yc=1;break;case"arg":case"argument":case"param":yc=6;break;case"template":return ZY(Vr,Ds,Ar,ys);case"this":return qpe(Vr,Ds,Ar,ys);default:return!1}return gn&yc?km(Vr,Ds,gn,Ar):!1}function QY(){const gn=le(),Ar=y0(23);Ar&&Zp();const Vr=Wi(!1,!0),Ds=V2(k.Unexpected_token_A_type_parameter_name_was_expected_without_curly_braces);let ys;if(Ar&&(Zp(),vi(64),ys=ka(16777216,rg),vi(24)),!lu(Ds))return ki(E.createTypeParameterDeclaration(Vr,Ds,void 0,ys),gn)}function OO(){const gn=le(),Ar=[];do{Zp();const Vr=QY();Vr!==void 0&&Ar.push(Vr),W2()}while(y0(28));return tc(Ar,gn)}function ZY(gn,Ar,Vr,Ds){const ys=be()===19?mt():void 0,yc=OO();return ki(E.createJSDocTemplateTag(Ar,ys,yc,A(gn,le(),Vr,Ds)),gn)}function y0(gn){return be()===gn?(hi(),!0):!1}function mRe(){let gn=V2();for(Ls(23)&&vi(24);Ls(25);){const Ar=V2();Ls(23)&&vi(24),gn=Ot(gn,Ar)}return gn}function V2(gn){if(!D_(be()))return Gc(80,!gn,gn||k.Identifier_expected);Ei++;const Ar=r.getTokenStart(),Vr=r.getTokenEnd(),Ds=be(),ys=uh(r.getTokenValue()),yc=ki(U(ys,Ds),Ar,Vr);return hi(),yc}}})(Nc=t.JSDocParser||(t.JSDocParser={}))})(u2||(u2={}));var fZe=new WeakSet;function dOt(t){fZe.has(t)&&j.fail("Source file has already been incrementally parsed"),fZe.add(t)}var hZe=new WeakSet;function fOt(t){return hZe.has(t)}function SIe(t){hZe.add(t)}var zle;(t=>{function r(F,H,U,q){if(q=q||j.shouldAssert(2),E(F,H,U,q),i2e(U))return F;if(F.statements.length===0)return u2.parseSourceFile(F.fileName,H,F.languageVersion,void 0,!0,F.scriptKind,F.setExternalModuleIndicator,F.jsDocParsingMode);dOt(F),u2.fixupParentReferences(F);const X=F.text,Z=N(F),Q=b(F,U);E(F,H,Q,q),j.assert(Q.span.start<=U.span.start),j.assert(au(Q.span)===au(U.span)),j.assert(au(f8(Q))===au(f8(U)));const re=f8(Q).length-Q.span.length;C(F,Q.span.start,au(Q.span),au(f8(Q)),re,X,H,q);const oe=u2.parseSourceFile(F.fileName,H,F.languageVersion,Z,!0,F.scriptKind,F.setExternalModuleIndicator,F.jsDocParsingMode);return oe.commentDirectives=a(F.commentDirectives,oe.commentDirectives,Q.span.start,au(Q.span),re,X,H,q),oe.impliedNodeFormat=F.impliedNodeFormat,ZEe(F,oe),oe}t.updateSourceFile=r;function a(F,H,U,q,X,Z,Q,re){if(!F)return H;let oe,pe=!1;for(const ue of F){const{range:Ie,type:we}=ue;if(Ie.end<U)oe=fn(oe,ue);else if(Ie.pos>q){de();const he={range:{pos:Ie.pos+X,end:Ie.end+X},type:we};oe=fn(oe,he),re&&j.assert(Z.substring(Ie.pos,Ie.end)===Q.substring(he.range.pos,he.range.end))}}return de(),oe;function de(){pe||(pe=!0,oe?H&&oe.push(...H):oe=H)}}function u(F,H,U,q,X,Z,Q){U?oe(F):re(F);return;function re(pe){let de="";if(Q&&h(pe)&&(de=X.substring(pe.pos,pe.end)),Ele(pe,H),V0(pe,pe.pos+q,pe.end+q),Q&&h(pe)&&j.assert(de===Z.substring(pe.pos,pe.end)),Uo(pe,re,oe),jp(pe))for(const ue of pe.jsDoc)re(ue);g(pe,Q)}function oe(pe){V0(pe,pe.pos+q,pe.end+q);for(const de of pe)re(de)}}function h(F){switch(F.kind){case 11:case 9:case 80:return!0}return!1}function p(F,H,U,q,X){j.assert(F.end>=H,"Adjusting an element that was entirely before the change range"),j.assert(F.pos<=U,"Adjusting an element that was entirely after the change range"),j.assert(F.pos<=F.end);const Z=Math.min(F.pos,q),Q=F.end>=U?F.end+X:Math.min(F.end,q);if(j.assert(Z<=Q),F.parent){const re=F.parent;j.assertGreaterThanOrEqual(Z,re.pos),j.assertLessThanOrEqual(Q,re.end)}V0(F,Z,Q)}function g(F,H){if(H){let U=F.pos;const q=X=>{j.assert(X.pos>=U),U=X.end};if(jp(F))for(const X of F.jsDoc)q(X);Uo(F,q),j.assert(U<=F.end)}}function C(F,H,U,q,X,Z,Q,re){oe(F);return;function oe(de){if(j.assert(de.pos<=de.end),de.pos>U){u(de,F,!1,X,Z,Q,re);return}const ue=de.end;if(ue>=H){if(SIe(de),Ele(de,F),p(de,H,U,q,X),Uo(de,oe,pe),jp(de))for(const Ie of de.jsDoc)oe(Ie);g(de,re);return}j.assert(ue<H)}function pe(de){if(j.assert(de.pos<=de.end),de.pos>U){u(de,F,!0,X,Z,Q,re);return}const ue=de.end;if(ue>=H){SIe(de),p(de,H,U,q,X);for(const Ie of de)oe(Ie);return}j.assert(ue<H)}}function b(F,H){let q=H.span.start;for(let Q=0;q>0&&Q<=1;Q++){const re=T(F,q);j.assert(re.pos<=q);const oe=re.pos;q=Math.max(0,oe-1)}const X=Fu(q,au(H.span)),Z=H.newLength+(H.span.start-q);return AW(X,Z)}function T(F,H){let U=F,q;if(Uo(F,Z),q){const Q=X(q);Q.pos>U.pos&&(U=Q)}return U;function X(Q){for(;;){const re=kce(Q);if(re)Q=re;else return Q}}function Z(Q){if(!lu(Q))if(Q.pos<=H){if(Q.pos>=U.pos&&(U=Q),H<Q.end)return Uo(Q,Z),!0;j.assert(Q.end<=H),q=Q}else return j.assert(Q.pos>H),!0}}function E(F,H,U,q){const X=F.text;if(U&&(j.assert(X.length-U.span.length+U.newLength===H.length),q||j.shouldAssert(3))){const Z=X.substr(0,U.span.start),Q=H.substr(0,U.span.start);j.assert(Z===Q);const re=X.substring(au(U.span),X.length),oe=H.substring(au(f8(U)),H.length);j.assert(re===oe)}}function N(F){let H=F.statements,U=0;j.assert(U<H.length);let q=H[U],X=-1;return{currentNode(Q){return Q!==X&&(q&&q.end===Q&&U<H.length-1&&(U++,q=H[U]),(!q||q.pos!==Q)&&Z(Q)),X=Q,j.assert(!q||q.pos===Q),q}};function Z(Q){H=void 0,U=-1,q=void 0,Uo(F,re,oe);return;function re(pe){return Q>=pe.pos&&Q<pe.end?(Uo(pe,re,oe),!0):!1}function oe(pe){if(Q>=pe.pos&&Q<pe.end)for(let de=0;de<pe.length;de++){const ue=pe[de];if(ue){if(ue.pos===Q)return H=pe,U=de,q=ue,!0;if(ue.pos<Q&&Q<ue.end)return Uo(ue,re,oe),!0}}return!1}}}t.createSyntaxCursor=N;let R;(F=>{F[F.Value=-1]="Value"})(R||(R={}))})(zle||(zle={}));function df(t){return Ule(t)!==void 0}function Ule(t){const r=L6(t,GK,!1);if(r)return r;if(Xl(t,".ts")){const a=ud(t).lastIndexOf(".d.");if(a>=0)return t.substring(a)}}function hOt(t,r,a,u){if(t){if(t==="import")return 99;if(t==="require")return 1;u(r,a-r,k.resolution_mode_should_be_either_require_or_import)}}function qle(t,r){const a=[];for(const u of Av(r,0)||w){const h=r.substring(u.pos,u.end);gOt(a,u,h)}t.pragmas=new Map;for(const u of a){if(t.pragmas.has(u.name)){const h=t.pragmas.get(u.name);h instanceof Array?h.push(u.args):t.pragmas.set(u.name,[h,u.args]);continue}t.pragmas.set(u.name,u.args)}}function $le(t,r){t.checkJsDirective=void 0,t.referencedFiles=[],t.typeReferenceDirectives=[],t.libReferenceDirectives=[],t.amdDependencies=[],t.hasNoDefaultLib=!1,t.pragmas.forEach((a,u)=>{switch(u){case"reference":{const h=t.referencedFiles,p=t.typeReferenceDirectives,g=t.libReferenceDirectives;O(JL(a),C=>{const{types:b,lib:T,path:E,["resolution-mode"]:N,preserve:R}=C.arguments,F=R==="true"?!0:void 0;if(C.arguments["no-default-lib"]==="true")t.hasNoDefaultLib=!0;else if(b){const H=hOt(N,b.pos,b.end,r);p.push({pos:b.pos,end:b.end,fileName:b.value,...H?{resolutionMode:H}:{},...F?{preserve:F}:{}})}else T?g.push({pos:T.pos,end:T.end,fileName:T.value,...F?{preserve:F}:{}}):E?h.push({pos:E.pos,end:E.end,fileName:E.value,...F?{preserve:F}:{}}):r(C.range.pos,C.range.end-C.range.pos,k.Invalid_reference_directive_syntax)});break}case"amd-dependency":{t.amdDependencies=qt(JL(a),h=>({name:h.arguments.name,path:h.arguments.path}));break}case"amd-module":{if(a instanceof Array)for(const h of a)t.moduleName&&r(h.range.pos,h.range.end-h.range.pos,k.An_AMD_module_cannot_have_multiple_name_assignments),t.moduleName=h.arguments.name;else t.moduleName=a.arguments.name;break}case"ts-nocheck":case"ts-check":{O(JL(a),h=>{(!t.checkJsDirective||h.range.pos>t.checkJsDirective.pos)&&(t.checkJsDirective={enabled:u==="ts-check",end:h.range.end,pos:h.range.pos})});break}case"jsx":case"jsxfrag":case"jsximportsource":case"jsxruntime":return;default:j.fail("Unhandled pragma kind")}})}var wIe=new Map;function _Ot(t){if(wIe.has(t))return wIe.get(t);const r=new RegExp(`(\\s${t}\\s*=\\s*)(?:(?:'([^']*)')|(?:"([^"]*)"))`,"im");return wIe.set(t,r),r}var pOt=/^\/\/\/\s*<(\S+)\s.*?\/>/m,mOt=/^\/\/\/?\s*@([^\s:]+)((?:[^\S\r\n]|:).*)?$/m;function gOt(t,r,a){const u=r.kind===2&&pOt.exec(a);if(u){const p=u[1].toLowerCase(),g=GJ[p];if(!g||!(g.kind&1))return;if(g.args){const C={};for(const b of g.args){const E=_Ot(b.name).exec(a);if(!E&&!b.optional)return;if(E){const N=E[2]||E[3];if(b.captureSpan){const R=r.pos+E.index+E[1].length+1;C[b.name]={value:N,pos:R,end:R+N.length}}else C[b.name]=N}}t.push({name:p,args:{arguments:C,range:r}})}else t.push({name:p,args:{arguments:{},range:r}});return}const h=r.kind===2&&mOt.exec(a);if(h)return _Ze(t,r,2,h);if(r.kind===3){const p=/@(\S+)(\s+(?:\S.*)?)?$/gm;let g;for(;g=p.exec(a);)_Ze(t,r,4,g)}}function _Ze(t,r,a,u){if(!u)return;const h=u[1].toLowerCase(),p=GJ[h];if(!p||!(p.kind&a))return;const g=u[2],C=yOt(p,g);C!=="fail"&&t.push({name:h,args:{arguments:C,range:r}})}function yOt(t,r){if(!r)return{};if(!t.args)return{};const a=r.trim().split(/\s+/),u={};for(let h=0;h<t.args.length;h++){const p=t.args[h];if(!a[h]&&!p.optional)return"fail";if(p.captureSpan)return j.fail("Capture spans not yet implemented for non-xml pragmas");u[p.name]=a[h]}return u}function d2(t,r){return t.kind!==r.kind?!1:t.kind===80?t.escapedText===r.escapedText:t.kind===110?!0:t.kind===295?t.namespace.escapedText===r.namespace.escapedText&&t.name.escapedText===r.name.escapedText:t.name.escapedText===r.name.escapedText&&d2(t.expression,r.expression)}var xIe={name:"compileOnSave",type:"boolean",defaultValueDescription:!1},pZe=new Map(Object.entries({preserve:1,"react-native":3,react:2,"react-jsx":4,"react-jsxdev":5})),DH=new Map(ms(pZe.entries(),([t,r])=>[""+r,t])),mZe=[["es5","lib.es5.d.ts"],["es6","lib.es2015.d.ts"],["es2015","lib.es2015.d.ts"],["es7","lib.es2016.d.ts"],["es2016","lib.es2016.d.ts"],["es2017","lib.es2017.d.ts"],["es2018","lib.es2018.d.ts"],["es2019","lib.es2019.d.ts"],["es2020","lib.es2020.d.ts"],["es2021","lib.es2021.d.ts"],["es2022","lib.es2022.d.ts"],["es2023","lib.es2023.d.ts"],["esnext","lib.esnext.d.ts"],["dom","lib.dom.d.ts"],["dom.iterable","lib.dom.iterable.d.ts"],["dom.asynciterable","lib.dom.asynciterable.d.ts"],["webworker","lib.webworker.d.ts"],["webworker.importscripts","lib.webworker.importscripts.d.ts"],["webworker.iterable","lib.webworker.iterable.d.ts"],["webworker.asynciterable","lib.webworker.asynciterable.d.ts"],["scripthost","lib.scripthost.d.ts"],["es2015.core","lib.es2015.core.d.ts"],["es2015.collection","lib.es2015.collection.d.ts"],["es2015.generator","lib.es2015.generator.d.ts"],["es2015.iterable","lib.es2015.iterable.d.ts"],["es2015.promise","lib.es2015.promise.d.ts"],["es2015.proxy","lib.es2015.proxy.d.ts"],["es2015.reflect","lib.es2015.reflect.d.ts"],["es2015.symbol","lib.es2015.symbol.d.ts"],["es2015.symbol.wellknown","lib.es2015.symbol.wellknown.d.ts"],["es2016.array.include","lib.es2016.array.include.d.ts"],["es2016.intl","lib.es2016.intl.d.ts"],["es2017.date","lib.es2017.date.d.ts"],["es2017.object","lib.es2017.object.d.ts"],["es2017.sharedmemory","lib.es2017.sharedmemory.d.ts"],["es2017.string","lib.es2017.string.d.ts"],["es2017.intl","lib.es2017.intl.d.ts"],["es2017.typedarrays","lib.es2017.typedarrays.d.ts"],["es2018.asyncgenerator","lib.es2018.asyncgenerator.d.ts"],["es2018.asynciterable","lib.es2018.asynciterable.d.ts"],["es2018.intl","lib.es2018.intl.d.ts"],["es2018.promise","lib.es2018.promise.d.ts"],["es2018.regexp","lib.es2018.regexp.d.ts"],["es2019.array","lib.es2019.array.d.ts"],["es2019.object","lib.es2019.object.d.ts"],["es2019.string","lib.es2019.string.d.ts"],["es2019.symbol","lib.es2019.symbol.d.ts"],["es2019.intl","lib.es2019.intl.d.ts"],["es2020.bigint","lib.es2020.bigint.d.ts"],["es2020.date","lib.es2020.date.d.ts"],["es2020.promise","lib.es2020.promise.d.ts"],["es2020.sharedmemory","lib.es2020.sharedmemory.d.ts"],["es2020.string","lib.es2020.string.d.ts"],["es2020.symbol.wellknown","lib.es2020.symbol.wellknown.d.ts"],["es2020.intl","lib.es2020.intl.d.ts"],["es2020.number","lib.es2020.number.d.ts"],["es2021.promise","lib.es2021.promise.d.ts"],["es2021.string","lib.es2021.string.d.ts"],["es2021.weakref","lib.es2021.weakref.d.ts"],["es2021.intl","lib.es2021.intl.d.ts"],["es2022.array","lib.es2022.array.d.ts"],["es2022.error","lib.es2022.error.d.ts"],["es2022.intl","lib.es2022.intl.d.ts"],["es2022.object","lib.es2022.object.d.ts"],["es2022.sharedmemory","lib.es2022.sharedmemory.d.ts"],["es2022.string","lib.es2022.string.d.ts"],["es2022.regexp","lib.es2022.regexp.d.ts"],["es2023.array","lib.es2023.array.d.ts"],["es2023.collection","lib.es2023.collection.d.ts"],["es2023.intl","lib.es2023.intl.d.ts"],["esnext.array","lib.es2023.array.d.ts"],["esnext.collection","lib.esnext.collection.d.ts"],["esnext.symbol","lib.es2019.symbol.d.ts"],["esnext.asynciterable","lib.es2018.asynciterable.d.ts"],["esnext.intl","lib.esnext.intl.d.ts"],["esnext.disposable","lib.esnext.disposable.d.ts"],["esnext.bigint","lib.es2020.bigint.d.ts"],["esnext.string","lib.es2022.string.d.ts"],["esnext.promise","lib.esnext.promise.d.ts"],["esnext.weakref","lib.es2021.weakref.d.ts"],["esnext.decorators","lib.esnext.decorators.d.ts"],["esnext.object","lib.esnext.object.d.ts"],["esnext.array","lib.esnext.array.d.ts"],["esnext.regexp","lib.esnext.regexp.d.ts"],["esnext.string","lib.esnext.string.d.ts"],["esnext.iterator","lib.esnext.iterator.d.ts"],["decorators","lib.decorators.d.ts"],["decorators.legacy","lib.decorators.legacy.d.ts"]],HX=mZe.map(t=>t[0]),Jle=new Map(mZe),eA=[{name:"watchFile",type:new Map(Object.entries({fixedpollinginterval:0,prioritypollinginterval:1,dynamicprioritypolling:2,fixedchunksizepolling:3,usefsevents:4,usefseventsonparentdirectory:5})),category:k.Watch_and_Build_Modes,description:k.Specify_how_the_TypeScript_watch_mode_works,defaultValueDescription:4},{name:"watchDirectory",type:new Map(Object.entries({usefsevents:0,fixedpollinginterval:1,dynamicprioritypolling:2,fixedchunksizepolling:3})),category:k.Watch_and_Build_Modes,description:k.Specify_how_directories_are_watched_on_systems_that_lack_recursive_file_watching_functionality,defaultValueDescription:0},{name:"fallbackPolling",type:new Map(Object.entries({fixedinterval:0,priorityinterval:1,dynamicpriority:2,fixedchunksize:3})),category:k.Watch_and_Build_Modes,description:k.Specify_what_approach_the_watcher_should_use_if_the_system_runs_out_of_native_file_watchers,defaultValueDescription:1},{name:"synchronousWatchDirectory",type:"boolean",category:k.Watch_and_Build_Modes,description:k.Synchronously_call_callbacks_and_update_the_state_of_directory_watchers_on_platforms_that_don_t_support_recursive_watching_natively,defaultValueDescription:!1},{name:"excludeDirectories",type:"list",element:{name:"excludeDirectory",type:"string",isFilePath:!0,extraValidation:$Ie},allowConfigDirTemplateSubstitution:!0,category:k.Watch_and_Build_Modes,description:k.Remove_a_list_of_directories_from_the_watch_process},{name:"excludeFiles",type:"list",element:{name:"excludeFile",type:"string",isFilePath:!0,extraValidation:$Ie},allowConfigDirTemplateSubstitution:!0,category:k.Watch_and_Build_Modes,description:k.Remove_a_list_of_files_from_the_watch_mode_s_processing}],jX=[{name:"help",shortName:"h",type:"boolean",showInSimplifiedHelpView:!0,isCommandLineOnly:!0,category:k.Command_line_Options,description:k.Print_this_message,defaultValueDescription:!1},{name:"help",shortName:"?",type:"boolean",isCommandLineOnly:!0,category:k.Command_line_Options,defaultValueDescription:!1},{name:"watch",shortName:"w",type:"boolean",showInSimplifiedHelpView:!0,isCommandLineOnly:!0,category:k.Command_line_Options,description:k.Watch_input_files,defaultValueDescription:!1},{name:"preserveWatchOutput",type:"boolean",showInSimplifiedHelpView:!1,category:k.Output_Formatting,description:k.Disable_wiping_the_console_in_watch_mode,defaultValueDescription:!1},{name:"listFiles",type:"boolean",category:k.Compiler_Diagnostics,description:k.Print_all_of_the_files_read_during_the_compilation,defaultValueDescription:!1},{name:"explainFiles",type:"boolean",category:k.Compiler_Diagnostics,description:k.Print_files_read_during_the_compilation_including_why_it_was_included,defaultValueDescription:!1},{name:"listEmittedFiles",type:"boolean",category:k.Compiler_Diagnostics,description:k.Print_the_names_of_emitted_files_after_a_compilation,defaultValueDescription:!1},{name:"pretty",type:"boolean",showInSimplifiedHelpView:!0,category:k.Output_Formatting,description:k.Enable_color_and_formatting_in_TypeScript_s_output_to_make_compiler_errors_easier_to_read,defaultValueDescription:!0},{name:"traceResolution",type:"boolean",category:k.Compiler_Diagnostics,description:k.Log_paths_used_during_the_moduleResolution_process,defaultValueDescription:!1},{name:"diagnostics",type:"boolean",category:k.Compiler_Diagnostics,description:k.Output_compiler_performance_information_after_building,defaultValueDescription:!1},{name:"extendedDiagnostics",type:"boolean",category:k.Compiler_Diagnostics,description:k.Output_more_detailed_compiler_performance_information_after_building,defaultValueDescription:!1},{name:"generateCpuProfile",type:"string",isFilePath:!0,paramType:k.FILE_OR_DIRECTORY,category:k.Compiler_Diagnostics,description:k.Emit_a_v8_CPU_profile_of_the_compiler_run_for_debugging,defaultValueDescription:"profile.cpuprofile"},{name:"generateTrace",type:"string",isFilePath:!0,paramType:k.DIRECTORY,category:k.Compiler_Diagnostics,description:k.Generates_an_event_trace_and_a_list_of_types},{name:"incremental",shortName:"i",type:"boolean",category:k.Projects,description:k.Save_tsbuildinfo_files_to_allow_for_incremental_compilation_of_projects,transpileOptionValue:void 0,defaultValueDescription:k.false_unless_composite_is_set},{name:"declaration",shortName:"d",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.Emit,transpileOptionValue:void 0,description:k.Generate_d_ts_files_from_TypeScript_and_JavaScript_files_in_your_project,defaultValueDescription:k.false_unless_composite_is_set},{name:"declarationMap",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.Emit,defaultValueDescription:!1,description:k.Create_sourcemaps_for_d_ts_files},{name:"emitDeclarationOnly",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.Emit,description:k.Only_output_d_ts_files_and_not_JavaScript_files,transpileOptionValue:void 0,defaultValueDescription:!1},{name:"sourceMap",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.Emit,defaultValueDescription:!1,description:k.Create_source_map_files_for_emitted_JavaScript_files},{name:"inlineSourceMap",type:"boolean",affectsBuildInfo:!0,category:k.Emit,description:k.Include_sourcemap_files_inside_the_emitted_JavaScript,defaultValueDescription:!1},{name:"noCheck",type:"boolean",showInSimplifiedHelpView:!1,category:k.Compiler_Diagnostics,description:k.Disable_full_type_checking_only_critical_parse_and_emit_errors_will_be_reported,transpileOptionValue:!0,defaultValueDescription:!1},{name:"noEmit",type:"boolean",showInSimplifiedHelpView:!0,category:k.Emit,description:k.Disable_emitting_files_from_a_compilation,transpileOptionValue:void 0,defaultValueDescription:!1},{name:"assumeChangesOnlyAffectDirectDependencies",type:"boolean",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,category:k.Watch_and_Build_Modes,description:k.Have_recompiles_in_projects_that_use_incremental_and_watch_mode_assume_that_changes_within_a_file_will_only_affect_files_directly_depending_on_it,defaultValueDescription:!1},{name:"locale",type:"string",category:k.Command_line_Options,isCommandLineOnly:!0,description:k.Set_the_language_of_the_messaging_from_TypeScript_This_does_not_affect_emit,defaultValueDescription:k.Platform_specific}],Gle={name:"target",shortName:"t",type:new Map(Object.entries({es3:0,es5:1,es6:2,es2015:2,es2016:3,es2017:4,es2018:5,es2019:6,es2020:7,es2021:8,es2022:9,es2023:10,esnext:99})),affectsSourceFile:!0,affectsModuleResolution:!0,affectsEmit:!0,affectsBuildInfo:!0,deprecatedKeys:new Set(["es3"]),paramType:k.VERSION,showInSimplifiedHelpView:!0,category:k.Language_and_Environment,description:k.Set_the_JavaScript_language_version_for_emitted_JavaScript_and_include_compatible_library_declarations,defaultValueDescription:1},kIe={name:"module",shortName:"m",type:new Map(Object.entries({none:0,commonjs:1,amd:2,system:4,umd:3,es6:5,es2015:5,es2020:6,es2022:7,esnext:99,node16:100,nodenext:199,preserve:200})),affectsSourceFile:!0,affectsModuleResolution:!0,affectsEmit:!0,affectsBuildInfo:!0,paramType:k.KIND,showInSimplifiedHelpView:!0,category:k.Modules,description:k.Specify_what_module_code_is_generated,defaultValueDescription:void 0},TIe=[{name:"all",type:"boolean",showInSimplifiedHelpView:!0,category:k.Command_line_Options,description:k.Show_all_compiler_options,defaultValueDescription:!1},{name:"version",shortName:"v",type:"boolean",showInSimplifiedHelpView:!0,category:k.Command_line_Options,description:k.Print_the_compiler_s_version,defaultValueDescription:!1},{name:"init",type:"boolean",showInSimplifiedHelpView:!0,category:k.Command_line_Options,description:k.Initializes_a_TypeScript_project_and_creates_a_tsconfig_json_file,defaultValueDescription:!1},{name:"project",shortName:"p",type:"string",isFilePath:!0,showInSimplifiedHelpView:!0,category:k.Command_line_Options,paramType:k.FILE_OR_DIRECTORY,description:k.Compile_the_project_given_the_path_to_its_configuration_file_or_to_a_folder_with_a_tsconfig_json},{name:"build",type:"boolean",shortName:"b",showInSimplifiedHelpView:!0,category:k.Command_line_Options,description:k.Build_one_or_more_projects_and_their_dependencies_if_out_of_date,defaultValueDescription:!1},{name:"showConfig",type:"boolean",showInSimplifiedHelpView:!0,category:k.Command_line_Options,isCommandLineOnly:!0,description:k.Print_the_final_configuration_instead_of_building,defaultValueDescription:!1},{name:"listFilesOnly",type:"boolean",category:k.Command_line_Options,isCommandLineOnly:!0,description:k.Print_names_of_files_that_are_part_of_the_compilation_and_then_stop_processing,defaultValueDescription:!1},Gle,kIe,{name:"lib",type:"list",element:{name:"lib",type:Jle,defaultValueDescription:void 0},affectsProgramStructure:!0,showInSimplifiedHelpView:!0,category:k.Language_and_Environment,description:k.Specify_a_set_of_bundled_library_declaration_files_that_describe_the_target_runtime_environment,transpileOptionValue:void 0},{name:"allowJs",type:"boolean",allowJsFlag:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.JavaScript_Support,description:k.Allow_JavaScript_files_to_be_a_part_of_your_program_Use_the_checkJS_option_to_get_errors_from_these_files,defaultValueDescription:!1},{name:"checkJs",type:"boolean",affectsModuleResolution:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.JavaScript_Support,description:k.Enable_error_reporting_in_type_checked_JavaScript_files,defaultValueDescription:!1},{name:"jsx",type:pZe,affectsSourceFile:!0,affectsEmit:!0,affectsBuildInfo:!0,affectsModuleResolution:!0,affectsSemanticDiagnostics:!0,paramType:k.KIND,showInSimplifiedHelpView:!0,category:k.Language_and_Environment,description:k.Specify_what_JSX_code_is_generated,defaultValueDescription:void 0},{name:"outFile",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!0,paramType:k.FILE,showInSimplifiedHelpView:!0,category:k.Emit,description:k.Specify_a_file_that_bundles_all_outputs_into_one_JavaScript_file_If_declaration_is_true_also_designates_a_file_that_bundles_all_d_ts_output,transpileOptionValue:void 0},{name:"outDir",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!0,paramType:k.DIRECTORY,showInSimplifiedHelpView:!0,category:k.Emit,description:k.Specify_an_output_folder_for_all_emitted_files},{name:"rootDir",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!0,paramType:k.LOCATION,category:k.Modules,description:k.Specify_the_root_folder_within_your_source_files,defaultValueDescription:k.Computed_from_the_list_of_input_files},{name:"composite",type:"boolean",affectsBuildInfo:!0,isTSConfigOnly:!0,category:k.Projects,transpileOptionValue:void 0,defaultValueDescription:!1,description:k.Enable_constraints_that_allow_a_TypeScript_project_to_be_used_with_project_references},{name:"tsBuildInfoFile",type:"string",affectsEmit:!0,affectsBuildInfo:!0,isFilePath:!0,paramType:k.FILE,category:k.Projects,transpileOptionValue:void 0,defaultValueDescription:".tsbuildinfo",description:k.Specify_the_path_to_tsbuildinfo_incremental_compilation_file},{name:"removeComments",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.Emit,defaultValueDescription:!1,description:k.Disable_emitting_comments},{name:"importHelpers",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,affectsSourceFile:!0,category:k.Emit,description:k.Allow_importing_helper_functions_from_tslib_once_per_project_instead_of_including_them_per_file,defaultValueDescription:!1},{name:"importsNotUsedAsValues",type:new Map(Object.entries({remove:0,preserve:1,error:2})),affectsEmit:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Backwards_Compatibility,description:k.Specify_emit_Slashchecking_behavior_for_imports_that_are_only_used_for_types,defaultValueDescription:0},{name:"downlevelIteration",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:k.Emit,description:k.Emit_more_compliant_but_verbose_and_less_performant_JavaScript_for_iteration,defaultValueDescription:!1},{name:"isolatedModules",type:"boolean",category:k.Interop_Constraints,description:k.Ensure_that_each_file_can_be_safely_transpiled_without_relying_on_other_imports,transpileOptionValue:!0,defaultValueDescription:!1},{name:"verbatimModuleSyntax",type:"boolean",affectsEmit:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Interop_Constraints,description:k.Do_not_transform_or_elide_any_imports_or_exports_not_marked_as_type_only_ensuring_they_are_written_in_the_output_file_s_format_based_on_the_module_setting,defaultValueDescription:!1},{name:"isolatedDeclarations",type:"boolean",category:k.Interop_Constraints,description:k.Require_sufficient_annotation_on_exports_so_other_tools_can_trivially_generate_declaration_files,defaultValueDescription:!1,affectsBuildInfo:!0,affectsSemanticDiagnostics:!0},{name:"strict",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.Type_Checking,description:k.Enable_all_strict_type_checking_options,defaultValueDescription:!1},{name:"noImplicitAny",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.Enable_error_reporting_for_expressions_and_declarations_with_an_implied_any_type,defaultValueDescription:k.false_unless_strict_is_set},{name:"strictNullChecks",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.When_type_checking_take_into_account_null_and_undefined,defaultValueDescription:k.false_unless_strict_is_set},{name:"strictFunctionTypes",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.When_assigning_functions_check_to_ensure_parameters_and_the_return_values_are_subtype_compatible,defaultValueDescription:k.false_unless_strict_is_set},{name:"strictBindCallApply",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.Check_that_the_arguments_for_bind_call_and_apply_methods_match_the_original_function,defaultValueDescription:k.false_unless_strict_is_set},{name:"strictPropertyInitialization",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.Check_for_class_properties_that_are_declared_but_not_set_in_the_constructor,defaultValueDescription:k.false_unless_strict_is_set},{name:"strictBuiltinIteratorReturn",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.Built_in_iterators_are_instantiated_with_a_TReturn_type_of_undefined_instead_of_any,defaultValueDescription:k.false_unless_strict_is_set},{name:"noImplicitThis",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.Enable_error_reporting_when_this_is_given_the_type_any,defaultValueDescription:k.false_unless_strict_is_set},{name:"useUnknownInCatchVariables",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.Default_catch_clause_variables_as_unknown_instead_of_any,defaultValueDescription:k.false_unless_strict_is_set},{name:"alwaysStrict",type:"boolean",affectsSourceFile:!0,affectsEmit:!0,affectsBuildInfo:!0,strictFlag:!0,category:k.Type_Checking,description:k.Ensure_use_strict_is_always_emitted,defaultValueDescription:k.false_unless_strict_is_set},{name:"noUnusedLocals",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Enable_error_reporting_when_local_variables_aren_t_read,defaultValueDescription:!1},{name:"noUnusedParameters",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Raise_an_error_when_a_function_parameter_isn_t_read,defaultValueDescription:!1},{name:"exactOptionalPropertyTypes",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Interpret_optional_property_types_as_written_rather_than_adding_undefined,defaultValueDescription:!1},{name:"noImplicitReturns",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Enable_error_reporting_for_codepaths_that_do_not_explicitly_return_in_a_function,defaultValueDescription:!1},{name:"noFallthroughCasesInSwitch",type:"boolean",affectsBindDiagnostics:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Enable_error_reporting_for_fallthrough_cases_in_switch_statements,defaultValueDescription:!1},{name:"noUncheckedIndexedAccess",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Add_undefined_to_a_type_when_accessed_using_an_index,defaultValueDescription:!1},{name:"noImplicitOverride",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Ensure_overriding_members_in_derived_classes_are_marked_with_an_override_modifier,defaultValueDescription:!1},{name:"noPropertyAccessFromIndexSignature",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!1,category:k.Type_Checking,description:k.Enforces_using_indexed_accessors_for_keys_declared_using_an_indexed_type,defaultValueDescription:!1},{name:"moduleResolution",type:new Map(Object.entries({node10:2,node:2,classic:1,node16:3,nodenext:99,bundler:100})),deprecatedKeys:new Set(["node"]),affectsSourceFile:!0,affectsModuleResolution:!0,paramType:k.STRATEGY,category:k.Modules,description:k.Specify_how_TypeScript_looks_up_a_file_from_a_given_module_specifier,defaultValueDescription:k.module_AMD_or_UMD_or_System_or_ES6_then_Classic_Otherwise_Node},{name:"baseUrl",type:"string",affectsModuleResolution:!0,isFilePath:!0,category:k.Modules,description:k.Specify_the_base_directory_to_resolve_non_relative_module_names},{name:"paths",type:"object",affectsModuleResolution:!0,allowConfigDirTemplateSubstitution:!0,isTSConfigOnly:!0,category:k.Modules,description:k.Specify_a_set_of_entries_that_re_map_imports_to_additional_lookup_locations,transpileOptionValue:void 0},{name:"rootDirs",type:"list",isTSConfigOnly:!0,element:{name:"rootDirs",type:"string",isFilePath:!0},affectsModuleResolution:!0,allowConfigDirTemplateSubstitution:!0,category:k.Modules,description:k.Allow_multiple_folders_to_be_treated_as_one_when_resolving_modules,transpileOptionValue:void 0,defaultValueDescription:k.Computed_from_the_list_of_input_files},{name:"typeRoots",type:"list",element:{name:"typeRoots",type:"string",isFilePath:!0},affectsModuleResolution:!0,allowConfigDirTemplateSubstitution:!0,category:k.Modules,description:k.Specify_multiple_folders_that_act_like_Slashnode_modules_Slash_types},{name:"types",type:"list",element:{name:"types",type:"string"},affectsProgramStructure:!0,showInSimplifiedHelpView:!0,category:k.Modules,description:k.Specify_type_package_names_to_be_included_without_being_referenced_in_a_source_file,transpileOptionValue:void 0},{name:"allowSyntheticDefaultImports",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Interop_Constraints,description:k.Allow_import_x_from_y_when_a_module_doesn_t_have_a_default_export,defaultValueDescription:k.module_system_or_esModuleInterop},{name:"esModuleInterop",type:"boolean",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:k.Interop_Constraints,description:k.Emit_additional_JavaScript_to_ease_support_for_importing_CommonJS_modules_This_enables_allowSyntheticDefaultImports_for_type_compatibility,defaultValueDescription:!1},{name:"preserveSymlinks",type:"boolean",category:k.Interop_Constraints,description:k.Disable_resolving_symlinks_to_their_realpath_This_correlates_to_the_same_flag_in_node,defaultValueDescription:!1},{name:"allowUmdGlobalAccess",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Modules,description:k.Allow_accessing_UMD_globals_from_modules,defaultValueDescription:!1},{name:"moduleSuffixes",type:"list",element:{name:"suffix",type:"string"},listPreserveFalsyValues:!0,affectsModuleResolution:!0,category:k.Modules,description:k.List_of_file_name_suffixes_to_search_when_resolving_a_module},{name:"allowImportingTsExtensions",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Modules,description:k.Allow_imports_to_include_TypeScript_file_extensions_Requires_moduleResolution_bundler_and_either_noEmit_or_emitDeclarationOnly_to_be_set,defaultValueDescription:!1,transpileOptionValue:void 0},{name:"resolvePackageJsonExports",type:"boolean",affectsModuleResolution:!0,category:k.Modules,description:k.Use_the_package_json_exports_field_when_resolving_package_imports,defaultValueDescription:k.true_when_moduleResolution_is_node16_nodenext_or_bundler_otherwise_false},{name:"resolvePackageJsonImports",type:"boolean",affectsModuleResolution:!0,category:k.Modules,description:k.Use_the_package_json_imports_field_when_resolving_imports,defaultValueDescription:k.true_when_moduleResolution_is_node16_nodenext_or_bundler_otherwise_false},{name:"customConditions",type:"list",element:{name:"condition",type:"string"},affectsModuleResolution:!0,category:k.Modules,description:k.Conditions_to_set_in_addition_to_the_resolver_specific_defaults_when_resolving_imports},{name:"noUncheckedSideEffectImports",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Modules,description:k.Check_side_effect_imports,defaultValueDescription:!1},{name:"sourceRoot",type:"string",affectsEmit:!0,affectsBuildInfo:!0,paramType:k.LOCATION,category:k.Emit,description:k.Specify_the_root_path_for_debuggers_to_find_the_reference_source_code},{name:"mapRoot",type:"string",affectsEmit:!0,affectsBuildInfo:!0,paramType:k.LOCATION,category:k.Emit,description:k.Specify_the_location_where_debugger_should_locate_map_files_instead_of_generated_locations},{name:"inlineSources",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:k.Emit,description:k.Include_source_code_in_the_sourcemaps_inside_the_emitted_JavaScript,defaultValueDescription:!1},{name:"experimentalDecorators",type:"boolean",affectsEmit:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Language_and_Environment,description:k.Enable_experimental_support_for_legacy_experimental_decorators,defaultValueDescription:!1},{name:"emitDecoratorMetadata",type:"boolean",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,category:k.Language_and_Environment,description:k.Emit_design_type_metadata_for_decorated_declarations_in_source_files,defaultValueDescription:!1},{name:"jsxFactory",type:"string",category:k.Language_and_Environment,description:k.Specify_the_JSX_factory_function_used_when_targeting_React_JSX_emit_e_g_React_createElement_or_h,defaultValueDescription:"`React.createElement`"},{name:"jsxFragmentFactory",type:"string",category:k.Language_and_Environment,description:k.Specify_the_JSX_Fragment_reference_used_for_fragments_when_targeting_React_JSX_emit_e_g_React_Fragment_or_Fragment,defaultValueDescription:"React.Fragment"},{name:"jsxImportSource",type:"string",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,affectsModuleResolution:!0,affectsSourceFile:!0,category:k.Language_and_Environment,description:k.Specify_module_specifier_used_to_import_the_JSX_factory_functions_when_using_jsx_Colon_react_jsx_Asterisk,defaultValueDescription:"react"},{name:"resolveJsonModule",type:"boolean",affectsModuleResolution:!0,category:k.Modules,description:k.Enable_importing_json_files,defaultValueDescription:!1},{name:"allowArbitraryExtensions",type:"boolean",affectsProgramStructure:!0,category:k.Modules,description:k.Enable_importing_files_with_any_extension_provided_a_declaration_file_is_present,defaultValueDescription:!1},{name:"out",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!1,category:k.Backwards_Compatibility,paramType:k.FILE,transpileOptionValue:void 0,description:k.Deprecated_setting_Use_outFile_instead},{name:"reactNamespace",type:"string",affectsEmit:!0,affectsBuildInfo:!0,category:k.Language_and_Environment,description:k.Specify_the_object_invoked_for_createElement_This_only_applies_when_targeting_react_JSX_emit,defaultValueDescription:"`React`"},{name:"skipDefaultLibCheck",type:"boolean",affectsBuildInfo:!0,category:k.Completeness,description:k.Skip_type_checking_d_ts_files_that_are_included_with_TypeScript,defaultValueDescription:!1},{name:"charset",type:"string",category:k.Backwards_Compatibility,description:k.No_longer_supported_In_early_versions_manually_set_the_text_encoding_for_reading_files,defaultValueDescription:"utf8"},{name:"emitBOM",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:k.Emit,description:k.Emit_a_UTF_8_Byte_Order_Mark_BOM_in_the_beginning_of_output_files,defaultValueDescription:!1},{name:"newLine",type:new Map(Object.entries({crlf:0,lf:1})),affectsEmit:!0,affectsBuildInfo:!0,paramType:k.NEWLINE,category:k.Emit,description:k.Set_the_newline_character_for_emitting_files,defaultValueDescription:"lf"},{name:"noErrorTruncation",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Output_Formatting,description:k.Disable_truncating_types_in_error_messages,defaultValueDescription:!1},{name:"noLib",type:"boolean",category:k.Language_and_Environment,affectsProgramStructure:!0,description:k.Disable_including_any_library_files_including_the_default_lib_d_ts,transpileOptionValue:!0,defaultValueDescription:!1},{name:"noResolve",type:"boolean",affectsModuleResolution:!0,category:k.Modules,description:k.Disallow_import_s_require_s_or_reference_s_from_expanding_the_number_of_files_TypeScript_should_add_to_a_project,transpileOptionValue:!0,defaultValueDescription:!1},{name:"stripInternal",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:k.Emit,description:k.Disable_emitting_declarations_that_have_internal_in_their_JSDoc_comments,defaultValueDescription:!1},{name:"disableSizeLimit",type:"boolean",affectsProgramStructure:!0,category:k.Editor_Support,description:k.Remove_the_20mb_cap_on_total_source_code_size_for_JavaScript_files_in_the_TypeScript_language_server,defaultValueDescription:!1},{name:"disableSourceOfProjectReferenceRedirect",type:"boolean",isTSConfigOnly:!0,category:k.Projects,description:k.Disable_preferring_source_files_instead_of_declaration_files_when_referencing_composite_projects,defaultValueDescription:!1},{name:"disableSolutionSearching",type:"boolean",isTSConfigOnly:!0,category:k.Projects,description:k.Opt_a_project_out_of_multi_project_reference_checking_when_editing,defaultValueDescription:!1},{name:"disableReferencedProjectLoad",type:"boolean",isTSConfigOnly:!0,category:k.Projects,description:k.Reduce_the_number_of_projects_loaded_automatically_by_TypeScript,defaultValueDescription:!1},{name:"noImplicitUseStrict",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Backwards_Compatibility,description:k.Disable_adding_use_strict_directives_in_emitted_JavaScript_files,defaultValueDescription:!1},{name:"noEmitHelpers",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:k.Emit,description:k.Disable_generating_custom_helper_functions_like_extends_in_compiled_output,defaultValueDescription:!1},{name:"noEmitOnError",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:k.Emit,transpileOptionValue:void 0,description:k.Disable_emitting_files_if_any_type_checking_errors_are_reported,defaultValueDescription:!1},{name:"preserveConstEnums",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:k.Emit,description:k.Disable_erasing_const_enum_declarations_in_generated_code,defaultValueDescription:!1},{name:"declarationDir",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!0,paramType:k.DIRECTORY,category:k.Emit,transpileOptionValue:void 0,description:k.Specify_the_output_directory_for_generated_declaration_files},{name:"skipLibCheck",type:"boolean",affectsBuildInfo:!0,category:k.Completeness,description:k.Skip_type_checking_all_d_ts_files,defaultValueDescription:!1},{name:"allowUnusedLabels",type:"boolean",affectsBindDiagnostics:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Disable_error_reporting_for_unused_labels,defaultValueDescription:void 0},{name:"allowUnreachableCode",type:"boolean",affectsBindDiagnostics:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Type_Checking,description:k.Disable_error_reporting_for_unreachable_code,defaultValueDescription:void 0},{name:"suppressExcessPropertyErrors",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Backwards_Compatibility,description:k.Disable_reporting_of_excess_property_errors_during_the_creation_of_object_literals,defaultValueDescription:!1},{name:"suppressImplicitAnyIndexErrors",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Backwards_Compatibility,description:k.Suppress_noImplicitAny_errors_when_indexing_objects_that_lack_index_signatures,defaultValueDescription:!1},{name:"forceConsistentCasingInFileNames",type:"boolean",affectsModuleResolution:!0,category:k.Interop_Constraints,description:k.Ensure_that_casing_is_correct_in_imports,defaultValueDescription:!0},{name:"maxNodeModuleJsDepth",type:"number",affectsModuleResolution:!0,category:k.JavaScript_Support,description:k.Specify_the_maximum_folder_depth_used_for_checking_JavaScript_files_from_node_modules_Only_applicable_with_allowJs,defaultValueDescription:0},{name:"noStrictGenericChecks",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:k.Backwards_Compatibility,description:k.Disable_strict_checking_of_generic_signatures_in_function_types,defaultValueDescription:!1},{name:"useDefineForClassFields",type:"boolean",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,category:k.Language_and_Environment,description:k.Emit_ECMAScript_standard_compliant_class_fields,defaultValueDescription:k.true_for_ES2022_and_above_including_ESNext},{name:"preserveValueImports",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:k.Backwards_Compatibility,description:k.Preserve_unused_imported_values_in_the_JavaScript_output_that_would_otherwise_be_removed,defaultValueDescription:!1},{name:"keyofStringsOnly",type:"boolean",category:k.Backwards_Compatibility,description:k.Make_keyof_only_return_strings_instead_of_string_numbers_or_symbols_Legacy_option,defaultValueDescription:!1},{name:"plugins",type:"list",isTSConfigOnly:!0,element:{name:"plugin",type:"object"},description:k.Specify_a_list_of_language_service_plugins_to_include,category:k.Editor_Support},{name:"moduleDetection",type:new Map(Object.entries({auto:2,legacy:1,force:3})),affectsSourceFile:!0,affectsModuleResolution:!0,description:k.Control_what_method_is_used_to_detect_module_format_JS_files,category:k.Language_and_Environment,defaultValueDescription:k.auto_Colon_Treat_files_with_imports_exports_import_meta_jsx_with_jsx_Colon_react_jsx_or_esm_format_with_module_Colon_node16_as_modules},{name:"ignoreDeprecations",type:"string",defaultValueDescription:void 0}],U0=[...jX,...TIe],DIe=U0.filter(t=>!!t.affectsSemanticDiagnostics),EIe=U0.filter(t=>!!t.affectsEmit),IIe=U0.filter(t=>!!t.affectsDeclarationPath),Kle=U0.filter(t=>!!t.affectsModuleResolution),Xle=U0.filter(t=>!!t.affectsSourceFile||!!t.affectsBindDiagnostics),NIe=U0.filter(t=>!!t.affectsProgramStructure),LIe=U0.filter(t=>Li(t,"transpileOptionValue")),vOt=U0.filter(t=>t.allowConfigDirTemplateSubstitution||!t.isCommandLineOnly&&t.isFilePath),bOt=eA.filter(t=>t.allowConfigDirTemplateSubstitution||!t.isCommandLineOnly&&t.isFilePath),PIe=U0.filter(COt);function COt(t){return!Oo(t.type)}var Qle=[{name:"verbose",shortName:"v",category:k.Command_line_Options,description:k.Enable_verbose_logging,type:"boolean",defaultValueDescription:!1},{name:"dry",shortName:"d",category:k.Command_line_Options,description:k.Show_what_would_be_built_or_deleted_if_specified_with_clean,type:"boolean",defaultValueDescription:!1},{name:"force",shortName:"f",category:k.Command_line_Options,description:k.Build_all_projects_including_those_that_appear_to_be_up_to_date,type:"boolean",defaultValueDescription:!1},{name:"clean",category:k.Command_line_Options,description:k.Delete_the_outputs_of_all_projects,type:"boolean",defaultValueDescription:!1},{name:"stopBuildOnErrors",category:k.Command_line_Options,description:k.Skip_building_downstream_projects_on_error_in_upstream_project,type:"boolean",defaultValueDescription:!1}],EH=[...jX,...Qle],zX=[{name:"enable",type:"boolean",defaultValueDescription:!1},{name:"include",type:"list",element:{name:"include",type:"string"}},{name:"exclude",type:"list",element:{name:"exclude",type:"string"}},{name:"disableFilenameBasedTypeAcquisition",type:"boolean",defaultValueDescription:!1}];function UX(t){const r=new Map,a=new Map;return O(t,u=>{r.set(u.name.toLowerCase(),u),u.shortName&&a.set(u.shortName,u.name)}),{optionsNameMap:r,shortOptionNames:a}}var gZe;function A3(){return gZe||(gZe=UX(U0))}var SOt={diagnostic:k.Compiler_option_0_may_only_be_used_with_build,getOptionsNameMap:SZe},Zle={module:1,target:3,strict:!0,esModuleInterop:!0,forceConsistentCasingInFileNames:!0,skipLibCheck:!0};function AIe(t){return yZe(t,Zl)}function yZe(t,r){const a=as(t.type.keys()),u=(t.deprecatedKeys?a.filter(h=>!t.deprecatedKeys.has(h)):a).map(h=>`'${h}'`).join(", ");return r(k.Argument_for_0_option_must_be_Colon_1,`--${t.name}`,u)}function qX(t,r,a){return nYe(t,(r??"").trim(),a)}function OIe(t,r="",a){if(r=r.trim(),so(r,"-"))return;if(t.type==="listOrElement"&&!r.includes(","))return tA(t,r,a);if(r==="")return[];const u=r.split(",");switch(t.element.type){case"number":return es(u,h=>tA(t.element,parseInt(h),a));case"string":return es(u,h=>tA(t.element,h||"",a));case"boolean":case"object":return j.fail(`List of ${t.element.type} is not yet supported.`);default:return es(u,h=>qX(t.element,h,a))}}function vZe(t){return t.name}function MIe(t,r,a,u,h){var p;if((p=r.alternateMode)!=null&&p.getOptionsNameMap().optionsNameMap.has(t.toLowerCase()))return f2(h,u,r.alternateMode.diagnostic,t);const g=LT(t,r.optionDeclarations,vZe);return g?f2(h,u,r.unknownDidYouMeanDiagnostic,a||t,g.name):f2(h,u,r.unknownOptionDiagnostic,a||t)}function Yle(t,r,a){const u={};let h;const p=[],g=[];return C(r),{options:u,watchOptions:h,fileNames:p,errors:g};function C(T){let E=0;for(;E<T.length;){const N=T[E];if(E++,N.charCodeAt(0)===64)b(N.slice(1));else if(N.charCodeAt(0)===45){const R=N.slice(N.charCodeAt(1)===45?2:1),F=FIe(t.getOptionsNameMap,R,!0);if(F)E=bZe(T,E,t,F,u,g);else{const H=FIe(nue.getOptionsNameMap,R,!0);H?E=bZe(T,E,nue,H,h||(h={}),g):g.push(MIe(R,t,N))}}else p.push(N)}}function b(T){const E=A7(T,a||(F=>Pd.readFile(F)));if(!Oo(E)){g.push(E);return}const N=[];let R=0;for(;;){for(;R<E.length&&E.charCodeAt(R)<=32;)R++;if(R>=E.length)break;const F=R;if(E.charCodeAt(F)===34){for(R++;R<E.length&&E.charCodeAt(R)!==34;)R++;R<E.length?(N.push(E.substring(F+1,R)),R++):g.push(Zl(k.Unterminated_quoted_string_in_response_file_0,T))}else{for(;E.charCodeAt(R)>32;)R++;N.push(E.substring(F,R))}}C(N)}}function bZe(t,r,a,u,h,p){if(u.isTSConfigOnly){const g=t[r];g==="null"?(h[u.name]=void 0,r++):u.type==="boolean"?g==="false"?(h[u.name]=tA(u,!1,p),r++):(g==="true"&&r++,p.push(Zl(k.Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_false_or_null_on_command_line,u.name))):(p.push(Zl(k.Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_null_on_command_line,u.name)),g&&!so(g,"-")&&r++)}else if(!t[r]&&u.type!=="boolean"&&p.push(Zl(a.optionTypeMismatchDiagnostic,u.name,rue(u))),t[r]!=="null")switch(u.type){case"number":h[u.name]=tA(u,parseInt(t[r]),p),r++;break;case"boolean":const g=t[r];h[u.name]=tA(u,g!=="false",p),(g==="false"||g==="true")&&r++;break;case"string":h[u.name]=tA(u,t[r]||"",p),r++;break;case"list":const C=OIe(u,t[r],p);h[u.name]=C||[],C&&r++;break;case"listOrElement":j.fail("listOrElement not supported here");break;default:h[u.name]=qX(u,t[r],p),r++;break}else h[u.name]=void 0,r++;return r}var $X={alternateMode:SOt,getOptionsNameMap:A3,optionDeclarations:U0,unknownOptionDiagnostic:k.Unknown_compiler_option_0,unknownDidYouMeanDiagnostic:k.Unknown_compiler_option_0_Did_you_mean_1,optionTypeMismatchDiagnostic:k.Compiler_option_0_expects_an_argument};function RIe(t,r){return Yle($X,t,r)}function eue(t,r){return FIe(A3,t,r)}function FIe(t,r,a=!1){r=r.toLowerCase();const{optionsNameMap:u,shortOptionNames:h}=t();if(a){const p=h.get(r);p!==void 0&&(r=p)}return u.get(r)}var CZe;function SZe(){return CZe||(CZe=UX(EH))}var wOt={diagnostic:k.Compiler_option_0_may_not_be_used_with_build,getOptionsNameMap:A3},xOt={alternateMode:wOt,getOptionsNameMap:SZe,optionDeclarations:EH,unknownOptionDiagnostic:k.Unknown_build_option_0,unknownDidYouMeanDiagnostic:k.Unknown_build_option_0_Did_you_mean_1,optionTypeMismatchDiagnostic:k.Build_option_0_requires_a_value_of_type_1};function BIe(t){const{options:r,watchOptions:a,fileNames:u,errors:h}=Yle(xOt,t),p=r;return u.length===0&&u.push("."),p.clean&&p.force&&h.push(Zl(k.Options_0_and_1_cannot_be_combined,"clean","force")),p.clean&&p.verbose&&h.push(Zl(k.Options_0_and_1_cannot_be_combined,"clean","verbose")),p.clean&&p.watch&&h.push(Zl(k.Options_0_and_1_cannot_be_combined,"clean","watch")),p.watch&&p.dry&&h.push(Zl(k.Options_0_and_1_cannot_be_combined,"watch","dry")),{buildOptions:p,watchOptions:a,projects:u,errors:h}}function M_(t,...r){return ha(Zl(t,...r).messageText,Oo)}function IH(t,r,a,u,h,p){const g=A7(t,T=>a.readFile(T));if(!Oo(g)){a.onUnRecoverableConfigFileDiagnostic(g);return}const C=TH(t,g),b=a.getCurrentDirectory();return C.path=ol(t,b,Mf(a.useCaseSensitiveFileNames)),C.resolvedPath=C.path,C.originalFileName=C.fileName,PH(C,a,wo(ts(t),b),r,wo(t,b),void 0,p,u,h)}function NH(t,r){const a=A7(t,r);return Oo(a)?tue(t,a):{config:{},error:a}}function tue(t,r){const a=TH(t,r);return{config:MZe(a,a.parseDiagnostics,void 0),error:a.parseDiagnostics.length?a.parseDiagnostics[0]:void 0}}function WIe(t,r){const a=A7(t,r);return Oo(a)?TH(t,a):{fileName:t,parseDiagnostics:[a]}}function A7(t,r){let a;try{a=r(t)}catch(u){return Zl(k.Cannot_read_file_0_Colon_1,t,u.message)}return a===void 0?Zl(k.Cannot_read_file_0,t):a}function iue(t){return Ld(t,vZe)}var wZe={optionDeclarations:zX,unknownOptionDiagnostic:k.Unknown_type_acquisition_option_0,unknownDidYouMeanDiagnostic:k.Unknown_type_acquisition_option_0_Did_you_mean_1},xZe;function kZe(){return xZe||(xZe=UX(eA))}var nue={getOptionsNameMap:kZe,optionDeclarations:eA,unknownOptionDiagnostic:k.Unknown_watch_option_0,unknownDidYouMeanDiagnostic:k.Unknown_watch_option_0_Did_you_mean_1,optionTypeMismatchDiagnostic:k.Watch_option_0_requires_a_value_of_type_1},TZe;function DZe(){return TZe||(TZe=iue(U0))}var EZe;function IZe(){return EZe||(EZe=iue(eA))}var NZe;function LZe(){return NZe||(NZe=iue(zX))}var JX={name:"extends",type:"listOrElement",element:{name:"extends",type:"string"},category:k.File_Management,disallowNullOrUndefined:!0},PZe={name:"compilerOptions",type:"object",elementOptions:DZe(),extraKeyDiagnostics:$X},AZe={name:"watchOptions",type:"object",elementOptions:IZe(),extraKeyDiagnostics:nue},OZe={name:"typeAcquisition",type:"object",elementOptions:LZe(),extraKeyDiagnostics:wZe},VIe;function kOt(){return VIe===void 0&&(VIe={name:void 0,type:"object",elementOptions:iue([PZe,AZe,OZe,JX,{name:"references",type:"list",element:{name:"references",type:"object"},category:k.Projects},{name:"files",type:"list",element:{name:"files",type:"string"},category:k.File_Management},{name:"include",type:"list",element:{name:"include",type:"string"},category:k.File_Management,defaultValueDescription:k.if_files_is_specified_otherwise_Asterisk_Asterisk_Slash_Asterisk},{name:"exclude",type:"list",element:{name:"exclude",type:"string"},category:k.File_Management,defaultValueDescription:k.node_modules_bower_components_jspm_packages_plus_the_value_of_outDir_if_one_is_specified},xIe])}),VIe}function MZe(t,r,a){var u;const h=(u=t.statements[0])==null?void 0:u.expression;if(h&&h.kind!==210){if(r.push(jm(t,h,k.The_root_value_of_a_0_file_must_be_an_object,ud(t.fileName)==="jsconfig.json"?"jsconfig.json":"tsconfig.json")),jf(h)){const p=Fe(h.elements,Aa);if(p)return LH(t,p,r,!0,a)}return{}}return LH(t,h,r,!0,a)}function HIe(t,r){var a;return LH(t,(a=t.statements[0])==null?void 0:a.expression,r,!0,void 0)}function LH(t,r,a,u,h){if(!r)return u?{}:void 0;return C(r,h==null?void 0:h.rootOptions);function p(T,E){var N;const R=u?{}:void 0;for(const F of T.properties){if(F.kind!==303){a.push(jm(t,F,k.Property_assignment_expected));continue}F.questionToken&&a.push(jm(t,F.questionToken,k.The_0_modifier_can_only_be_used_in_TypeScript_files,"?")),b(F.name)||a.push(jm(t,F.name,k.String_literal_with_double_quotes_expected));const H=YW(F.name)?void 0:lP(F.name),U=H&&Ws(H),q=U?(N=E==null?void 0:E.elementOptions)==null?void 0:N.get(U):void 0,X=C(F.initializer,q);typeof U<"u"&&(u&&(R[U]=X),h==null||h.onPropertySet(U,X,F,E,q))}return R}function g(T,E){if(!u){T.forEach(N=>C(N,E));return}return $t(T.map(N=>C(N,E)),N=>N!==void 0)}function C(T,E){switch(T.kind){case 112:return!0;case 97:return!1;case 106:return null;case 11:return b(T)||a.push(jm(t,T,k.String_literal_with_double_quotes_expected)),T.text;case 9:return Number(T.text);case 224:if(T.operator!==41||T.operand.kind!==9)break;return-Number(T.operand.text);case 210:return p(T,E);case 209:return g(T.elements,E&&E.element)}E?a.push(jm(t,T,k.Compiler_option_0_requires_a_value_of_type_1,E.name,rue(E))):a.push(jm(t,T,k.Property_value_can_only_be_string_literal_numeric_literal_true_false_null_object_literal_or_array_literal))}function b(T){return Ha(T)&&tK(T,t)}}function rue(t){return t.type==="listOrElement"?`${rue(t.element)} or Array`:t.type==="list"?"Array":Oo(t.type)?t.type:"string"}function RZe(t,r){if(t){if(AH(r))return!t.disallowNullOrUndefined;if(t.type==="list")return Ao(r);if(t.type==="listOrElement")return Ao(r)||RZe(t.element,r);const a=Oo(t.type)?t.type:"string";return typeof r===a}return!1}function sue(t,r,a){var u,h,p;const g=Mf(a.useCaseSensitiveFileNames),C=qt($t(t.fileNames,(h=(u=t.options.configFile)==null?void 0:u.configFileSpecs)!=null&&h.validatedIncludeSpecs?DOt(r,t.options.configFile.configFileSpecs.validatedIncludeSpecs,t.options.configFile.configFileSpecs.validatedExcludeSpecs,a):Pk),H=>o8(wo(r,a.getCurrentDirectory()),wo(H,a.getCurrentDirectory()),g)),b={configFilePath:wo(r,a.getCurrentDirectory()),useCaseSensitiveFileNames:a.useCaseSensitiveFileNames},T=KX(t.options,b),E=t.watchOptions&&EOt(t.watchOptions),N={compilerOptions:{...GX(T),showConfig:void 0,configFile:void 0,configFilePath:void 0,help:void 0,init:void 0,listFiles:void 0,listEmittedFiles:void 0,project:void 0,build:void 0,version:void 0},watchOptions:E&&GX(E),references:qt(t.projectReferences,H=>({...H,path:H.originalPath?H.originalPath:"",originalPath:void 0})),files:P(C)?C:void 0,...(p=t.options.configFile)!=null&&p.configFileSpecs?{include:TOt(t.options.configFile.configFileSpecs.validatedIncludeSpecs),exclude:t.options.configFile.configFileSpecs.validatedExcludeSpecs}:{},compileOnSave:t.compileOnSave?!0:void 0},R=new Set(T.keys()),F={};for(const H in Cd)if(!R.has(H)&&Ft(Cd[H].dependencies,U=>R.has(U))){const U=Cd[H].computeValue(t.options),q=Cd[H].computeValue({});U!==q&&(F[H]=Cd[H].computeValue(t.options))}return $u(N.compilerOptions,GX(KX(F,b))),N}function GX(t){return Object.fromEntries(t)}function TOt(t){if(P(t)){if(P(t)!==1)return t;if(t[0]!==jZe)return t}}function DOt(t,r,a,u){if(!r)return Pk;const h=UK(t,a,r,u.useCaseSensitiveFileNames,u.getCurrentDirectory()),p=h.excludePattern&&ax(h.excludePattern,u.useCaseSensitiveFileNames),g=h.includeFilePattern&&ax(h.includeFilePattern,u.useCaseSensitiveFileNames);return g?p?C=>!(g.test(C)&&!p.test(C)):C=>!g.test(C):p?C=>p.test(C):Pk}function FZe(t){switch(t.type){case"string":case"number":case"boolean":case"object":return;case"list":case"listOrElement":return FZe(t.element);default:return t.type}}function oue(t,r){return cf(r,(a,u)=>{if(a===t)return u})}function KX(t,r){return BZe(t,A3(),r)}function EOt(t){return BZe(t,kZe())}function BZe(t,{optionsNameMap:r},a){const u=new Map,h=a&&Mf(a.useCaseSensitiveFileNames);for(const p in t)if(Li(t,p)){if(r.has(p)&&(r.get(p).category===k.Command_line_Options||r.get(p).category===k.Output_Formatting))continue;const g=t[p],C=r.get(p.toLowerCase());if(C){j.assert(C.type!=="listOrElement");const b=FZe(C);b?C.type==="list"?u.set(p,g.map(T=>oue(T,b))):u.set(p,oue(g,b)):a&&C.isFilePath?u.set(p,o8(a.configFilePath,wo(g,ts(a.configFilePath)),h)):a&&C.type==="list"&&C.element.isFilePath?u.set(p,g.map(T=>o8(a.configFilePath,wo(T,ts(a.configFilePath)),h))):u.set(p,g)}}return u}function jIe(t,r){const a=WZe(t);return h();function u(p){return Array(p+1).join(" ")}function h(){const p=[],g=u(2);return TIe.forEach(C=>{if(!a.has(C.name))return;const b=a.get(C.name),T=XIe(C);b!==T?p.push(`${g}${C.name}: ${b}`):Li(Zle,C.name)&&p.push(`${g}${C.name}: ${T}`)}),p.join(r)+r}}function WZe(t){const r=T_(t,Zle);return KX(r)}function zIe(t,r,a){const u=WZe(t);return g();function h(C){return Array(C+1).join(" ")}function p({category:C,name:b,isCommandLineOnly:T}){const E=[k.Command_line_Options,k.Editor_Support,k.Compiler_Diagnostics,k.Backwards_Compatibility,k.Watch_and_Build_Modes,k.Output_Formatting];return!T&&C!==void 0&&(!E.includes(C)||u.has(b))}function g(){const C=new Map;C.set(k.Projects,[]),C.set(k.Language_and_Environment,[]),C.set(k.Modules,[]),C.set(k.JavaScript_Support,[]),C.set(k.Emit,[]),C.set(k.Interop_Constraints,[]),C.set(k.Type_Checking,[]),C.set(k.Completeness,[]);for(const F of U0)if(p(F)){let H=C.get(F.category);H||C.set(F.category,H=[]),H.push(F)}let b=0,T=0;const E=[];C.forEach((F,H)=>{E.length!==0&&E.push({value:""}),E.push({value:`/* ${Bo(H)} */`});for(const U of F){let q;u.has(U.name)?q=`"${U.name}": ${JSON.stringify(u.get(U.name))}${(T+=1)===u.size?"":","}`:q=`// "${U.name}": ${JSON.stringify(XIe(U))},`,E.push({value:q,description:`/* ${U.description&&Bo(U.description)||U.name} */`}),b=Math.max(q.length,b)}});const N=h(2),R=[];R.push("{"),R.push(`${N}"compilerOptions": {`),R.push(`${N}${N}/* ${Bo(k.Visit_https_Colon_Slash_Slashaka_ms_Slashtsconfig_to_read_more_about_this_file)} */`),R.push("");for(const F of E){const{value:H,description:U=""}=F;R.push(H&&`${N}${N}${H}${U&&h(b-H.length+2)+U}`)}if(r.length){R.push(`${N}},`),R.push(`${N}"files": [`);for(let F=0;F<r.length;F++)R.push(`${N}${N}${JSON.stringify(r[F])}${F===r.length-1?"":","}`);R.push(`${N}]`)}else R.push(`${N}}`);return R.push("}"),R.join(a)+a}}function XX(t,r){const a={},u=A3().optionsNameMap;for(const h in t)Li(t,h)&&(a[h]=IOt(u.get(h.toLowerCase()),t[h],r));return a.configFilePath&&(a.configFilePath=r(a.configFilePath)),a}function IOt(t,r,a){if(t&&!AH(r)){if(t.type==="list"){const u=r;if(t.element.isFilePath&&u.length)return u.map(a)}else if(t.isFilePath)return a(r);j.assert(t.type!=="listOrElement")}return r}function VZe(t,r,a,u,h,p,g,C,b){return zZe(t,void 0,r,a,u,b,h,p,g,C)}function PH(t,r,a,u,h,p,g,C,b){var T,E;(T=Zn)==null||T.push(Zn.Phase.Parse,"parseJsonSourceFileConfigFileContent",{path:t.fileName});const N=zZe(void 0,t,r,a,u,b,h,p,g,C);return(E=Zn)==null||E.pop(),N}function aue(t,r){r&&Object.defineProperty(t,"configFile",{enumerable:!1,writable:!1,value:r})}function AH(t){return t==null}function HZe(t,r){return ts(wo(t,r))}var jZe="**/*";function zZe(t,r,a,u,h={},p,g,C=[],b=[],T){j.assert(t===void 0&&r!==void 0||t!==void 0&&r===void 0);const E=[],N=KZe(t,r,a,u,g,C,E,T),{raw:R}=N,F=UZe(T_(h,N.options||{}),vOt,u),H=QX(p&&N.watchOptions?T_(p,N.watchOptions):N.watchOptions||p,u);F.configFilePath=g&&Rf(g);const U=ca(g?HZe(g,u):u),q=X();return r&&(r.configFileSpecs=q),aue(F,r),{options:F,watchOptions:H,fileNames:Z(U),projectReferences:Q(U),typeAcquisition:N.typeAcquisition||lue(),raw:R,errors:E,wildcardDirectories:HOt(q,U,a.useCaseSensitiveFileNames),compileOnSave:!!R.compileOnSave};function X(){const ue=pe("references",He=>typeof He=="object","object"),Ie=re(oe("files"));if(Ie){const He=ue==="no-prop"||Ao(ue)&&ue.length===0,Pe=Li(R,"extends");if(Ie.length===0&&He&&!Pe)if(r){const We=g||"tsconfig.json",ze=k.The_files_list_in_config_file_0_is_empty,Nt=sV(r,"files",et=>et.initializer),$e=f2(r,Nt,ze,We);E.push($e)}else de(k.The_files_list_in_config_file_0_is_empty,g||"tsconfig.json")}let we=re(oe("include"));const he=oe("exclude");let Ae=!1,ke=re(he);if(he==="no-prop"){const He=F.outDir,Pe=F.declarationDir;(He||Pe)&&(ke=$t([He,Pe],We=>!!We))}Ie===void 0&&we===void 0&&(we=[jZe],Ae=!0);let Te,De,qe,se;we&&(Te=aYe(we,E,!0,r,"include"),qe=ZX(Te,U)||Te),ke&&(De=aYe(ke,E,!1,r,"exclude"),se=ZX(De,U)||De);const Ne=$t(Ie,Oo),Oe=ZX(Ne,U)||Ne;return{filesSpecs:Ie,includeSpecs:we,excludeSpecs:ke,validatedFilesSpec:Oe,validatedIncludeSpecs:qe,validatedExcludeSpecs:se,validatedFilesSpecBeforeSubstitution:Ne,validatedIncludeSpecsBeforeSubstitution:Te,validatedExcludeSpecsBeforeSubstitution:De,pathPatterns:void 0,isDefaultIncludeSpec:Ae}}function Z(ue){const Ie=M7(q,ue,F,a,b);return GZe(Ie,O7(R),C)&&E.push(JZe(q,g)),Ie}function Q(ue){let Ie;const we=pe("references",he=>typeof he=="object","object");if(Ao(we))for(const he of we)typeof he.path!="string"?de(k.Compiler_option_0_requires_a_value_of_type_1,"reference.path","string"):(Ie||(Ie=[])).push({path:wo(he.path,ue),originalPath:he.path,prepend:he.prepend,circular:he.circular});return Ie}function re(ue){return Ao(ue)?ue:void 0}function oe(ue){return pe(ue,Oo,"string")}function pe(ue,Ie,we){if(Li(R,ue)&&!AH(R[ue]))if(Ao(R[ue])){const he=R[ue];return!r&&!Ce(he,Ie)&&E.push(Zl(k.Compiler_option_0_requires_a_value_of_type_1,ue,we)),he}else return de(k.Compiler_option_0_requires_a_value_of_type_1,ue,"Array"),"not-array";return"no-prop"}function de(ue,...Ie){r||E.push(Zl(ue,...Ie))}}function QX(t,r){return UZe(t,bOt,r)}function UZe(t,r,a){if(!t)return t;let u;for(const p of r)if(t[p.name]!==void 0){const g=t[p.name];switch(p.type){case"string":j.assert(p.isFilePath),cue(g)&&h(p,$Ze(g,a));break;case"list":j.assert(p.element.isFilePath);const C=ZX(g,a);C&&h(p,C);break;case"object":j.assert(p.name==="paths");const b=NOt(g,a);b&&h(p,b);break;default:j.fail("option type not supported")}}return u||t;function h(p,g){(u??(u=$u({},t)))[p.name]=g}}var qZe="${configDir}";function cue(t){return Oo(t)&&so(t,qZe,!0)}function $Ze(t,r){return wo(t.replace(qZe,"./"),r)}function ZX(t,r){if(!t)return t;let a;return t.forEach((u,h)=>{cue(u)&&((a??(a=t.slice()))[h]=$Ze(u,r))}),a}function NOt(t,r){let a;return wr(t).forEach(h=>{if(!Ao(t[h]))return;const p=ZX(t[h],r);p&&((a??(a=$u({},t)))[h]=p)}),a}function LOt(t){return t.code===k.No_inputs_were_found_in_config_file_0_Specified_include_paths_were_1_and_exclude_paths_were_2.code}function JZe({includeSpecs:t,excludeSpecs:r},a){return Zl(k.No_inputs_were_found_in_config_file_0_Specified_include_paths_were_1_and_exclude_paths_were_2,a||"tsconfig.json",JSON.stringify(t||[]),JSON.stringify(r||[]))}function GZe(t,r,a){return t.length===0&&r&&(!a||a.length===0)}function O7(t){return!Li(t,"files")&&!Li(t,"references")}function YX(t,r,a,u,h){const p=u.length;return GZe(t,h)?u.push(JZe(a,r)):Hi(u,g=>!LOt(g)),p!==u.length}function POt(t){return!!t.options}function KZe(t,r,a,u,h,p,g,C){var b;u=Rf(u);const T=wo(h||"",u);if(p.includes(T))return g.push(Zl(k.Circularity_detected_while_resolving_configuration_Colon_0,[...p,T].join(" -> "))),{raw:t||HIe(r,g)};const E=t?AOt(t,a,u,h,g):OOt(r,a,u,h,g);if((b=E.options)!=null&&b.paths&&(E.options.pathsBasePath=u),E.extendedConfigPath){p=p.concat([T]);const R={options:{}};Oo(E.extendedConfigPath)?N(R,E.extendedConfigPath):E.extendedConfigPath.forEach(F=>N(R,F)),R.include&&(E.raw.include=R.include),R.exclude&&(E.raw.exclude=R.exclude),R.files&&(E.raw.files=R.files),E.raw.compileOnSave===void 0&&R.compileOnSave&&(E.raw.compileOnSave=R.compileOnSave),r&&R.extendedSourceFiles&&(r.extendedSourceFiles=as(R.extendedSourceFiles.keys())),E.options=$u(R.options,E.options),E.watchOptions=E.watchOptions&&R.watchOptions?$u(R.watchOptions,E.watchOptions):E.watchOptions||R.watchOptions}return E;function N(R,F){const H=MOt(r,F,a,p,g,C,R);if(H&&POt(H)){const U=H.raw;let q;const X=Z=>{E.raw[Z]||U[Z]&&(R[Z]=qt(U[Z],Q=>cue(Q)||yp(Q)?Q:zr(q||(q=s8(ts(F),u,Mf(a.useCaseSensitiveFileNames))),Q)))};X("include"),X("exclude"),X("files"),U.compileOnSave!==void 0&&(R.compileOnSave=U.compileOnSave),$u(R.options,H.options),R.watchOptions=R.watchOptions&&H.watchOptions?$u({},R.watchOptions,H.watchOptions):R.watchOptions||H.watchOptions}}}function AOt(t,r,a,u,h){Li(t,"excludes")&&h.push(Zl(k.Unknown_option_excludes_Did_you_mean_exclude));const p=tYe(t.compilerOptions,a,h,u),g=iYe(t.typeAcquisition,a,h,u),C=FOt(t.watchOptions,a,h);t.compileOnSave=ROt(t,a,h);const b=t.extends||t.extends===""?XZe(t.extends,r,a,u,h):void 0;return{raw:t,options:p,watchOptions:C,typeAcquisition:g,extendedConfigPath:b}}function XZe(t,r,a,u,h,p,g,C){let b;const T=u?HZe(u,a):a;if(Oo(t))b=QZe(t,r,T,h,g,C);else if(Ao(t)){b=[];for(let E=0;E<t.length;E++){const N=t[E];Oo(N)?b=fn(b,QZe(N,r,T,h,g==null?void 0:g.elements[E],C)):BI(JX.element,t,a,h,p,g==null?void 0:g.elements[E],C)}}else BI(JX,t,a,h,p,g,C);return b}function OOt(t,r,a,u,h){const p=eYe(u);let g,C,b,T;const E=kOt(),N=MZe(t,h,{rootOptions:E,onPropertySet:R});return g||(g=lue(u)),T&&N&&N.compilerOptions===void 0&&h.push(jm(t,T[0],k._0_should_be_set_inside_the_compilerOptions_object_of_the_config_json_file,lP(T[0]))),{raw:N,options:p,watchOptions:C,typeAcquisition:g,extendedConfigPath:b};function R(F,H,U,q,X){if(X&&X!==JX&&(H=BI(X,H,a,h,U,U.initializer,t)),q!=null&&q.name)if(X){let Z;q===PZe?Z=p:q===AZe?Z=C??(C={}):q===OZe?Z=g??(g=lue(u)):j.fail("Unknown option"),Z[X.name]=H}else F&&(q!=null&&q.extraKeyDiagnostics)&&(q.elementOptions?h.push(MIe(F,q.extraKeyDiagnostics,void 0,U.name,t)):h.push(jm(t,U.name,q.extraKeyDiagnostics.unknownOptionDiagnostic,F)));else q===E&&(X===JX?b=XZe(H,r,a,u,h,U,U.initializer,t):X||(F==="excludes"&&h.push(jm(t,U.name,k.Unknown_option_excludes_Did_you_mean_exclude)),Fe(TIe,Z=>Z.name===F)&&(T=fn(T,U.name))))}}function QZe(t,r,a,u,h,p){if(t=Rf(t),yp(t)||so(t,"./")||so(t,"../")){let C=wo(t,a);if(!r.fileExists(C)&&!su(C,".json")&&(C=`${C}.json`,!r.fileExists(C))){u.push(f2(p,h,k.File_0_not_found,t));return}return C}const g=lNe(t,zr(a,"tsconfig.json"),r);if(g.resolvedModule)return g.resolvedModule.resolvedFileName;t===""?u.push(f2(p,h,k.Compiler_option_0_cannot_be_given_an_empty_string,"extends")):u.push(f2(p,h,k.File_0_not_found,t))}function MOt(t,r,a,u,h,p,g){const C=a.useCaseSensitiveFileNames?r:Vw(r);let b,T,E;if(p&&(b=p.get(C))?{extendedResult:T,extendedConfig:E}=b:(T=WIe(r,N=>a.readFile(N)),T.parseDiagnostics.length||(E=KZe(void 0,T,a,ts(r),ud(r),u,h,p)),p&&p.set(C,{extendedResult:T,extendedConfig:E})),t&&((g.extendedSourceFiles??(g.extendedSourceFiles=new Set)).add(T.fileName),T.extendedSourceFiles))for(const N of T.extendedSourceFiles)g.extendedSourceFiles.add(N);if(T.parseDiagnostics.length){h.push(...T.parseDiagnostics);return}return E}function ROt(t,r,a){if(!Li(t,xIe.name))return!1;const u=BI(xIe,t.compileOnSave,r,a);return typeof u=="boolean"&&u}function ZZe(t,r,a){const u=[];return{options:tYe(t,r,u,a),errors:u}}function YZe(t,r,a){const u=[];return{options:iYe(t,r,u,a),errors:u}}function eYe(t){return t&&ud(t)==="jsconfig.json"?{allowJs:!0,maxNodeModuleJsDepth:2,allowSyntheticDefaultImports:!0,skipLibCheck:!0,noEmit:!0}:{}}function tYe(t,r,a,u){const h=eYe(u);return UIe(DZe(),t,r,h,$X,a),u&&(h.configFilePath=Rf(u)),h}function lue(t){return{enable:!!t&&ud(t)==="jsconfig.json",include:[],exclude:[]}}function iYe(t,r,a,u){const h=lue(u);return UIe(LZe(),t,r,h,wZe,a),h}function FOt(t,r,a){return UIe(IZe(),t,r,void 0,nue,a)}function UIe(t,r,a,u,h,p){if(r){for(const g in r){const C=t.get(g);C?(u||(u={}))[C.name]=BI(C,r[g],a,p):p.push(MIe(g,h))}return u}}function f2(t,r,a,...u){return t&&r?jm(t,r,a,...u):Zl(a,...u)}function BI(t,r,a,u,h,p,g){if(t.isCommandLineOnly){u.push(f2(g,h==null?void 0:h.name,k.Option_0_can_only_be_specified_on_command_line,t.name));return}if(RZe(t,r)){const C=t.type;if(C==="list"&&Ao(r))return rYe(t,r,a,u,h,p,g);if(C==="listOrElement")return Ao(r)?rYe(t,r,a,u,h,p,g):BI(t.element,r,a,u,h,p,g);if(!Oo(t.type))return nYe(t,r,u,p,g);const b=tA(t,r,u,p,g);return AH(b)?b:BOt(t,a,b)}else u.push(f2(g,p,k.Compiler_option_0_requires_a_value_of_type_1,t.name,rue(t)))}function BOt(t,r,a){return t.isFilePath&&(a=Rf(a),a=cue(a)?a:wo(a,r),a===""&&(a=".")),a}function tA(t,r,a,u,h){var p;if(AH(r))return;const g=(p=t.extraValidation)==null?void 0:p.call(t,r);if(!g)return r;a.push(f2(h,u,...g))}function nYe(t,r,a,u,h){if(AH(r))return;const p=r.toLowerCase(),g=t.type.get(p);if(g!==void 0)return tA(t,g,a,u,h);a.push(yZe(t,(C,...b)=>f2(h,u,C,...b)))}function rYe(t,r,a,u,h,p,g){return $t(qt(r,(C,b)=>BI(t.element,C,a,u,h,p==null?void 0:p.elements[b],g)),C=>t.listPreserveFalsyValues?!0:!!C)}var WOt=/(?:^|\/)\*\*\/?$/,VOt=/^[^*?]*(?=\/[^/]*[*?])/;function M7(t,r,a,u,h=w){r=ca(r);const p=Mf(u.useCaseSensitiveFileNames),g=new Map,C=new Map,b=new Map,{validatedFilesSpec:T,validatedIncludeSpecs:E,validatedExcludeSpecs:N}=t,R=e7(a,h),F=QV(a,R);if(T)for(const X of T){const Z=wo(X,r);g.set(p(Z),Z)}let H;if(E&&E.length>0)for(const X of u.readDirectory(r,Dr(F),N,E,void 0)){if(Xl(X,".json")){if(!H){const re=E.filter(pe=>su(pe,".json")),oe=qt(jK(re,r,"files"),pe=>`^${pe}$`);H=oe?oe.map(pe=>ax(pe,u.useCaseSensitiveFileNames)):w}if(ct(H,re=>re.test(X))!==-1){const re=p(X);!g.has(re)&&!b.has(re)&&b.set(re,X)}continue}if(zOt(X,g,C,R,p))continue;UOt(X,C,R,p);const Z=p(X);!g.has(Z)&&!C.has(Z)&&C.set(Z,X)}const U=as(g.values()),q=as(C.values());return U.concat(q,as(b.values()))}function qIe(t,r,a,u,h){const{validatedFilesSpec:p,validatedIncludeSpecs:g,validatedExcludeSpecs:C}=r;if(!P(g)||!P(C))return!1;a=ca(a);const b=Mf(u);if(p){for(const T of p)if(b(wo(T,a))===t)return!1}return oYe(t,C,u,h,a)}function sYe(t){const r=so(t,"**/")?0:t.indexOf("/**/");return r===-1?!1:(su(t,"/..")?t.length:t.lastIndexOf("/../"))>r}function eQ(t,r,a,u){return oYe(t,$t(r,h=>!sYe(h)),a,u)}function oYe(t,r,a,u,h){const p=Y8(r,zr(ca(u),h),"exclude"),g=p&&ax(p,a);return g?g.test(t)?!0:!N6(t)&&g.test(Ad(t)):!1}function aYe(t,r,a,u,h){return t.filter(g=>{if(!Oo(g))return!1;const C=$Ie(g,a);return C!==void 0&&r.push(p(...C)),C===void 0});function p(g,C){const b=UG(u,h,C);return f2(u,b,g,C)}}function $Ie(t,r){if(j.assert(typeof t=="string"),r&&WOt.test(t))return[k.File_specification_cannot_end_in_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0,t];if(sYe(t))return[k.File_specification_cannot_contain_a_parent_directory_that_appears_after_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0,t]}function HOt({validatedIncludeSpecs:t,validatedExcludeSpecs:r},a,u){const h=Y8(r,a,"exclude"),p=h&&new RegExp(h,u?"":"i"),g={},C=new Map;if(t!==void 0){const b=[];for(const T of t){const E=ca(zr(a,T));if(p&&p.test(E))continue;const N=jOt(E,u);if(N){const{key:R,path:F,flags:H}=N,U=C.get(R),q=U!==void 0?g[U]:void 0;(q===void 0||q<H)&&(g[U!==void 0?U:F]=H,U===void 0&&C.set(R,F),H===1&&b.push(R))}}for(const T in g)if(Li(g,T))for(const E of b){const N=JIe(T,u);N!==E&&Vm(E,N,a,!u)&&delete g[T]}}return g}function JIe(t,r){return r?t:Vw(t)}function jOt(t,r){const a=VOt.exec(t);if(a){const u=t.indexOf("?"),h=t.indexOf("*"),p=t.lastIndexOf(Kl);return{key:JIe(a[0],r),path:a[0],flags:u!==-1&&u<p||h!==-1&&h<p?1:0}}if(Rce(t.substring(t.lastIndexOf(Kl)+1))){const u=Mk(t);return{key:JIe(u,r),path:u,flags:1}}}function zOt(t,r,a,u,h){const p=O(u,g=>Ru(t,g)?g:void 0);if(!p)return!1;for(const g of p){if(Xl(t,g)&&(g!==".ts"||!Xl(t,".d.ts")))return!1;const C=h(cx(t,g));if(r.has(C)||a.has(C)){if(g===".d.ts"&&(Xl(t,".js")||Xl(t,".jsx")))continue;return!0}}return!1}function UOt(t,r,a,u){const h=O(a,p=>Ru(t,p)?p:void 0);if(h)for(let p=h.length-1;p>=0;p--){const g=h[p];if(Xl(t,g))return;const C=u(cx(t,g));r.delete(C)}}function GIe(t){const r={};for(const a in t)if(Li(t,a)){const u=eue(a);u!==void 0&&(r[a]=KIe(t[a],u))}return r}function KIe(t,r){if(t===void 0)return t;switch(r.type){case"object":return"";case"string":return"";case"number":return typeof t=="number"?t:"";case"boolean":return typeof t=="boolean"?t:"";case"listOrElement":if(!Ao(t))return KIe(t,r.element);case"list":const a=r.element;return Ao(t)?es(t,u=>KIe(u,a)):"";default:return cf(r.type,(u,h)=>{if(u===t)return h})}}function XIe(t){switch(t.type){case"number":return 1;case"boolean":return!0;case"string":const r=t.defaultValueDescription;return t.isFilePath?`./${r&&typeof r=="string"?r:""}`:"";case"list":return[];case"listOrElement":return XIe(t.element);case"object":return{};default:const a=k_(t.type.keys());return a!==void 0?a:j.fail("Expected 'option.type' to have entries.")}}function bo(t,r,...a){t.trace(DP(r,...a))}function mx(t,r){return!!t.traceResolution&&r.trace!==void 0}function iA(t,r,a){let u;if(r&&t){const h=t.contents.packageJsonContent;typeof h.name=="string"&&typeof h.version=="string"&&(u={name:h.name,subModuleName:r.path.slice(t.packageDirectory.length+Kl.length),version:h.version,peerDependencies:fMt(t,a)})}return r&&{path:r.path,extension:r.ext,packageId:u,resolvedUsingTsExtension:r.resolvedUsingTsExtension}}function uue(t){return iA(void 0,t,void 0)}function cYe(t){if(t)return j.assert(t.packageId===void 0),{path:t.path,ext:t.extension,resolvedUsingTsExtension:t.resolvedUsingTsExtension}}function tQ(t){const r=[];return t&1&&r.push("TypeScript"),t&2&&r.push("JavaScript"),t&4&&r.push("Declaration"),t&8&&r.push("JSON"),r.join(", ")}function qOt(t){const r=[];return t&1&&r.push(...XV),t&2&&r.push(...h3),t&4&&r.push(...GK),t&8&&r.push(".json"),r}function QIe(t){if(t)return j.assert(QK(t.extension)),{fileName:t.path,packageId:t.packageId}}function lYe(t,r,a,u,h,p,g,C,b){if(!g.resultFromCache&&!g.compilerOptions.preserveSymlinks&&r&&a&&!r.originalPath&&!Gd(t)){const{resolvedFileName:T,originalPath:E}=dYe(r.path,g.host,g.traceEnabled);E&&(r={...r,path:T,originalPath:E})}return uYe(r,a,u,h,p,g.resultFromCache,C,b)}function uYe(t,r,a,u,h,p,g,C){return p?g!=null&&g.isReadonly?{...p,failedLookupLocations:ZIe(p.failedLookupLocations,a),affectingLocations:ZIe(p.affectingLocations,u),resolutionDiagnostics:ZIe(p.resolutionDiagnostics,h)}:(p.failedLookupLocations=O3(p.failedLookupLocations,a),p.affectingLocations=O3(p.affectingLocations,u),p.resolutionDiagnostics=O3(p.resolutionDiagnostics,h),p):{resolvedModule:t&&{resolvedFileName:t.path,originalPath:t.originalPath===!0?void 0:t.originalPath,extension:t.extension,isExternalLibraryImport:r,packageId:t.packageId,resolvedUsingTsExtension:!!t.resolvedUsingTsExtension},failedLookupLocations:R7(a),affectingLocations:R7(u),resolutionDiagnostics:R7(h),alternateResult:C}}function R7(t){return t.length?t:void 0}function O3(t,r){return r!=null&&r.length?t!=null&&t.length?(t.push(...r),t):r:t}function ZIe(t,r){return t!=null&&t.length?r.length?[...t,...r]:t.slice():R7(r)}function YIe(t,r,a,u){if(!Li(t,r)){u.traceEnabled&&bo(u.host,k.package_json_does_not_have_a_0_field,r);return}const h=t[r];if(typeof h!==a||h===null){u.traceEnabled&&bo(u.host,k.Expected_type_of_0_field_in_package_json_to_be_1_got_2,r,a,h===null?"null":typeof h);return}return h}function due(t,r,a,u){const h=YIe(t,r,"string",u);if(h===void 0)return;if(!h){u.traceEnabled&&bo(u.host,k.package_json_had_a_falsy_0_field,r);return}const p=ca(zr(a,h));return u.traceEnabled&&bo(u.host,k.package_json_has_0_field_1_that_references_2,r,h,p),p}function $Ot(t,r,a){return due(t,"typings",r,a)||due(t,"types",r,a)}function JOt(t,r,a){return due(t,"tsconfig",r,a)}function GOt(t,r,a){return due(t,"main",r,a)}function KOt(t,r){const a=YIe(t,"typesVersions","object",r);if(a!==void 0)return r.traceEnabled&&bo(r.host,k.package_json_has_a_typesVersions_field_with_version_specific_path_mappings),a}function XOt(t,r){const a=KOt(t,r);if(a===void 0)return;if(r.traceEnabled)for(const g in a)Li(a,g)&&!qJ.tryParse(g)&&bo(r.host,k.package_json_has_a_typesVersions_entry_0_that_is_not_a_valid_semver_range,g);const u=iQ(a);if(!u){r.traceEnabled&&bo(r.host,k.package_json_does_not_have_a_typesVersions_entry_that_matches_version_0,m);return}const{version:h,paths:p}=u;if(typeof p!="object"){r.traceEnabled&&bo(r.host,k.Expected_type_of_0_field_in_package_json_to_be_1_got_2,`typesVersions['${h}']`,"object",typeof p);return}return u}var eNe;function iQ(t){eNe||(eNe=new P0(v));for(const r in t){if(!Li(t,r))continue;const a=qJ.tryParse(r);if(a!==void 0&&a.test(eNe))return{version:r,paths:t[r]}}}function F7(t,r){if(t.typeRoots)return t.typeRoots;let a;if(t.configFilePath?a=ts(t.configFilePath):r.getCurrentDirectory&&(a=r.getCurrentDirectory()),a!==void 0)return QOt(a)}function QOt(t){let r;return kg(ca(t),a=>{const u=zr(a,ZOt);(r??(r=[])).push(u)}),r}var ZOt=zr("node_modules","@types");function YOt(t,r,a){const u=typeof a.useCaseSensitiveFileNames=="function"?a.useCaseSensitiveFileNames():a.useCaseSensitiveFileNames;return Hb(t,r,!u)===0}function dYe(t,r,a){const u=bYe(t,r,a),h=YOt(t,u,r);return{resolvedFileName:h?t:u,originalPath:h?void 0:t}}function fYe(t,r,a){const u=su(t,"/node_modules/@types")||su(t,"/node_modules/@types/")?PYe(r,a):r;return zr(t,u)}function tNe(t,r,a,u,h,p,g){j.assert(typeof t=="string","Non-string value passed to `ts.resolveTypeReferenceDirective`, likely by a wrapping package working with an outdated `resolveTypeReferenceDirectives` signature. This is probably not a problem in TS itself.");const C=mx(a,u);h&&(a=h.commandLine.options);const b=r?ts(r):void 0;let T=b?p==null?void 0:p.getFromDirectoryCache(t,g,b,h):void 0;if(!T&&b&&!Gd(t)&&(T=p==null?void 0:p.getFromNonRelativeNameCache(t,g,b,h)),T)return C&&(bo(u,k.Resolving_type_reference_directive_0_containing_file_1,t,r),h&&bo(u,k.Using_compiler_options_of_project_reference_redirect_0,h.sourceFile.fileName),bo(u,k.Resolution_for_type_reference_directive_0_was_found_in_cache_from_location_1,t,b),oe(T)),T;const E=F7(a,u);C&&(r===void 0?E===void 0?bo(u,k.Resolving_type_reference_directive_0_containing_file_not_set_root_directory_not_set,t):bo(u,k.Resolving_type_reference_directive_0_containing_file_not_set_root_directory_1,t,E):E===void 0?bo(u,k.Resolving_type_reference_directive_0_containing_file_1_root_directory_not_set,t,r):bo(u,k.Resolving_type_reference_directive_0_containing_file_1_root_directory_2,t,r,E),h&&bo(u,k.Using_compiler_options_of_project_reference_redirect_0,h.sourceFile.fileName));const N=[],R=[];let F=iNe(a);g!==void 0&&(F|=30);const H=yh(a);g===99&&3<=H&&H<=99&&(F|=32);const U=F&8?gx(a,g):[],q=[],X={compilerOptions:a,host:u,traceEnabled:C,failedLookupLocations:N,affectingLocations:R,packageJsonInfoCache:p,features:F,conditions:U,requestContainingDirectory:b,reportDiagnostic:ue=>void q.push(ue),isConfigLookup:!1,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1};let Z=pe(),Q=!0;Z||(Z=de(),Q=!1);let re;if(Z){const{fileName:ue,packageId:Ie}=Z;let we=ue,he;a.preserveSymlinks||({resolvedFileName:we,originalPath:he}=dYe(ue,u,C)),re={primary:Q,resolvedFileName:we,originalPath:he,packageId:Ie,isExternalLibraryImport:yx(ue)}}return T={resolvedTypeReferenceDirective:re,failedLookupLocations:R7(N),affectingLocations:R7(R),resolutionDiagnostics:R7(q)},b&&p&&!p.isReadonly&&(p.getOrCreateCacheForDirectory(b,h).set(t,g,T),Gd(t)||p.getOrCreateCacheForNonRelativeName(t,g,h).set(b,T)),C&&oe(T),T;function oe(ue){var Ie;(Ie=ue.resolvedTypeReferenceDirective)!=null&&Ie.resolvedFileName?ue.resolvedTypeReferenceDirective.packageId?bo(u,k.Type_reference_directive_0_was_successfully_resolved_to_1_with_Package_ID_2_primary_Colon_3,t,ue.resolvedTypeReferenceDirective.resolvedFileName,zk(ue.resolvedTypeReferenceDirective.packageId),ue.resolvedTypeReferenceDirective.primary):bo(u,k.Type_reference_directive_0_was_successfully_resolved_to_1_primary_Colon_2,t,ue.resolvedTypeReferenceDirective.resolvedFileName,ue.resolvedTypeReferenceDirective.primary):bo(u,k.Type_reference_directive_0_was_not_resolved,t)}function pe(){if(E&&E.length)return C&&bo(u,k.Resolving_with_primary_search_path_0,E.join(", ")),J(E,ue=>{const Ie=fYe(ue,t,X),we=B0(ue,u);if(!we&&C&&bo(u,k.Directory_0_does_not_exist_skipping_all_lookups_in_it,ue),a.typeRoots){const he=F3(4,Ie,!we,X);if(he){const Ae=MH(he.path),ke=Ae?WI(Ae,!1,X):void 0;return QIe(iA(ke,he,X))}}return QIe(dNe(4,Ie,!we,X))});C&&bo(u,k.Root_directory_cannot_be_determined_skipping_primary_search_paths)}function de(){const ue=r&&ts(r);if(ue!==void 0){let Ie;if(!a.typeRoots||!su(r,Z7))if(C&&bo(u,k.Looking_up_in_node_modules_folder_initial_location_0,ue),Gd(t)){const{path:we}=vYe(ue,t);Ie=pue(4,we,!1,X,!0)}else{const we=EYe(4,t,ue,X,void 0,void 0);Ie=we&&we.value}else C&&bo(u,k.Resolving_type_reference_directive_for_program_that_specifies_custom_typeRoots_skipping_lookup_in_node_modules_folder);return QIe(Ie)}else C&&bo(u,k.Containing_file_is_not_specified_and_root_directory_cannot_be_determined_skipping_lookup_in_node_modules_folder)}}function iNe(t){let r=0;switch(yh(t)){case 3:r=30;break;case 99:r=30;break;case 100:r=30;break}return t.resolvePackageJsonExports?r|=8:t.resolvePackageJsonExports===!1&&(r&=-9),t.resolvePackageJsonImports?r|=2:t.resolvePackageJsonImports===!1&&(r&=-3),r}function gx(t,r){const a=yh(t);if(r===void 0){if(a===100)r=99;else if(a===2)return[]}const u=r===99?["import"]:["require"];return t.noDtsResolution||u.push("types"),a!==100&&u.push("node"),Js(u,t.customConditions)}function fue(t,r,a,u,h){const p=W7(h==null?void 0:h.getPackageJsonInfoCache(),u,a);return kg(r,g=>{if(ud(g)!=="node_modules"){const C=zr(g,"node_modules"),b=zr(C,t);return WI(b,!1,p)}})}function nQ(t,r){if(t.types)return t.types;const a=[];if(r.directoryExists&&r.getDirectories){const u=F7(t,r);if(u){for(const h of u)if(r.directoryExists(h))for(const p of r.getDirectories(h)){const g=ca(p),C=zr(h,g,"package.json");if(!(r.fileExists(C)&&J8(C,r).typings===null)){const T=ud(g);T.charCodeAt(0)!==46&&a.push(T)}}}}return a}function rQ(t){return!!(t!=null&&t.contents)}function nNe(t){return!!t&&!t.contents}function rNe(t){var r;if(t===null||typeof t!="object")return""+t;if(Ao(t))return`[${(r=t.map(u=>rNe(u)))==null?void 0:r.join(",")}]`;let a="{";for(const u in t)Li(t,u)&&(a+=`${u}: ${rNe(t[u])}`);return a+"}"}function hue(t,r){return r.map(a=>rNe(BK(t,a))).join("|")+`|${t.pathsBasePath}`}function hYe(t,r){const a=new Map,u=new Map;let h=new Map;return t&&a.set(t,h),{getMapOfCacheRedirects:p,getOrCreateMapOfCacheRedirects:g,update:C,clear:T,getOwnMap:()=>h};function p(N){return N?b(N.commandLine.options,!1):h}function g(N){return N?b(N.commandLine.options,!0):h}function C(N){t!==N&&(t?h=b(N,!0):a.set(N,h),t=N)}function b(N,R){let F=a.get(N);if(F)return F;const H=E(N);if(F=u.get(H),!F){if(t){const U=E(t);U===H?F=h:u.has(U)||u.set(U,h)}R&&(F??(F=new Map)),F&&u.set(H,F)}return F&&a.set(N,F),F}function T(){const N=t&&r.get(t);h.clear(),a.clear(),r.clear(),u.clear(),t&&(N&&r.set(t,N),a.set(t,h))}function E(N){let R=r.get(N);return R||r.set(N,R=hue(N,Kle)),R}}function eMt(t,r){let a;return{getPackageJsonInfo:u,setPackageJsonInfo:h,clear:p,getInternalMap:g};function u(C){return a==null?void 0:a.get(ol(C,t,r))}function h(C,b){(a||(a=new Map)).set(ol(C,t,r),b)}function p(){a=void 0}function g(){return a}}function _Ye(t,r,a,u){const h=t.getOrCreateMapOfCacheRedirects(r);let p=h.get(a);return p||(p=u(),h.set(a,p)),p}function tMt(t,r,a,u){const h=hYe(a,u);return{getFromDirectoryCache:b,getOrCreateCacheForDirectory:C,clear:p,update:g,directoryToModuleNameMap:h};function p(){h.clear()}function g(T){h.update(T)}function C(T,E){const N=ol(T,t,r);return _Ye(h,E,N,()=>M3())}function b(T,E,N,R){var F,H;const U=ol(N,t,r);return(H=(F=h.getMapOfCacheRedirects(R))==null?void 0:F.get(U))==null?void 0:H.get(T,E)}}function B7(t,r){return r===void 0?t:`${r}|${t}`}function M3(){const t=new Map,r=new Map,a={get(h,p){return t.get(u(h,p))},set(h,p,g){return t.set(u(h,p),g),a},delete(h,p){return t.delete(u(h,p)),a},has(h,p){return t.has(u(h,p))},forEach(h){return t.forEach((p,g)=>{const[C,b]=r.get(g);return h(p,C,b)})},size(){return t.size}};return a;function u(h,p){const g=B7(h,p);return r.set(g,[h,p]),g}}function iMt(t){return t.resolvedModule&&(t.resolvedModule.originalPath||t.resolvedModule.resolvedFileName)}function nMt(t){return t.resolvedTypeReferenceDirective&&(t.resolvedTypeReferenceDirective.originalPath||t.resolvedTypeReferenceDirective.resolvedFileName)}function rMt(t,r,a,u,h){const p=hYe(a,h);return{getFromNonRelativeNameCache:b,getOrCreateCacheForNonRelativeName:T,clear:g,update:C};function g(){p.clear()}function C(N){p.update(N)}function b(N,R,F,H){var U,q;return j.assert(!Gd(N)),(q=(U=p.getMapOfCacheRedirects(H))==null?void 0:U.get(B7(N,R)))==null?void 0:q.get(F)}function T(N,R,F){return j.assert(!Gd(N)),_Ye(p,F,B7(N,R),E)}function E(){const N=new Map;return{get:R,set:F};function R(U){return N.get(ol(U,t,r))}function F(U,q){const X=ol(U,t,r);if(N.has(X))return;N.set(X,q);const Z=u(q),Q=Z&&H(X,Z);let re=X;for(;re!==Q;){const oe=ts(re);if(oe===re||N.has(oe))break;N.set(oe,q),re=oe}}function H(U,q){const X=ol(ts(q),t,r);let Z=0;const Q=Math.min(U.length,X.length);for(;Z<Q&&U.charCodeAt(Z)===X.charCodeAt(Z);)Z++;if(Z===U.length&&(X.length===Z||X[Z]===Kl))return U;const re=Ly(U);if(Z<re)return;const oe=U.lastIndexOf(Kl,Z-1);if(oe!==-1)return U.substr(0,Math.max(oe,re))}}}function pYe(t,r,a,u,h,p){p??(p=new Map);const g=tMt(t,r,a,p),C=rMt(t,r,a,h,p);return u??(u=eMt(t,r)),{...u,...g,...C,clear:b,update:E,getPackageJsonInfoCache:()=>u,clearAllExceptPackageJsonInfoCache:T,optionsToRedirectsKey:p};function b(){T(),u.clear()}function T(){g.clear(),C.clear()}function E(N){g.update(N),C.update(N)}}function R3(t,r,a,u,h){const p=pYe(t,r,a,u,iMt,h);return p.getOrCreateCacheForModuleName=(g,C,b)=>p.getOrCreateCacheForNonRelativeName(g,C,b),p}function sQ(t,r,a,u,h){return pYe(t,r,a,u,nMt,h)}function _ue(t){return{moduleResolution:2,traceResolution:t.traceResolution}}function oQ(t,r,a,u,h){return nA(t,r,_ue(a),u,h)}function mYe(t,r,a,u){const h=ts(r);return a.getFromDirectoryCache(t,u,h,void 0)}function nA(t,r,a,u,h,p,g){const C=mx(a,u);p&&(a=p.commandLine.options),C&&(bo(u,k.Resolving_module_0_from_1,t,r),p&&bo(u,k.Using_compiler_options_of_project_reference_redirect_0,p.sourceFile.fileName));const b=ts(r);let T=h==null?void 0:h.getFromDirectoryCache(t,g,b,p);if(T)C&&bo(u,k.Resolution_for_module_0_was_found_in_cache_from_location_1,t,b);else{let E=a.moduleResolution;switch(E===void 0?(E=yh(a),C&&bo(u,k.Module_resolution_kind_is_not_specified_using_0,i8[E])):C&&bo(u,k.Explicitly_specified_module_resolution_kind_Colon_0,i8[E]),E){case 3:T=cMt(t,r,a,u,h,p,g);break;case 99:T=lMt(t,r,a,u,h,p,g);break;case 2:T=cNe(t,r,a,u,h,p,g?gx(a,g):void 0);break;case 1:T=_Ne(t,r,a,u,h,p);break;case 100:T=aNe(t,r,a,u,h,p,g?gx(a,g):void 0);break;default:return j.fail(`Unexpected moduleResolution: ${E}`)}h&&!h.isReadonly&&(h.getOrCreateCacheForDirectory(b,p).set(t,g,T),Gd(t)||h.getOrCreateCacheForNonRelativeName(t,g,p).set(b,T))}return C&&(T.resolvedModule?T.resolvedModule.packageId?bo(u,k.Module_name_0_was_successfully_resolved_to_1_with_Package_ID_2,t,T.resolvedModule.resolvedFileName,zk(T.resolvedModule.packageId)):bo(u,k.Module_name_0_was_successfully_resolved_to_1,t,T.resolvedModule.resolvedFileName):bo(u,k.Module_name_0_was_not_resolved,t)),T}function gYe(t,r,a,u,h){const p=sMt(t,r,u,h);return p?p.value:Gd(r)?oMt(t,r,a,u,h):aMt(t,r,u,h)}function sMt(t,r,a,u){var h;const{baseUrl:p,paths:g,configFile:C}=u.compilerOptions;if(g&&!am(r)){u.traceEnabled&&(p&&bo(u.host,k.baseUrl_option_is_set_to_0_using_this_value_to_resolve_non_relative_module_name_1,p,r),bo(u.host,k.paths_option_is_specified_looking_for_a_pattern_to_match_module_name_0,r));const b=pK(u.compilerOptions,u.host),T=C!=null&&C.configFileSpecs?(h=C.configFileSpecs).pathPatterns||(h.pathPatterns=XK(g)):void 0;return fNe(t,r,b,g,T,a,!1,u)}}function oMt(t,r,a,u,h){if(!h.compilerOptions.rootDirs)return;h.traceEnabled&&bo(h.host,k.rootDirs_option_is_set_using_it_to_resolve_relative_module_name_0,r);const p=ca(zr(a,r));let g,C;for(const b of h.compilerOptions.rootDirs){let T=ca(b);su(T,Kl)||(T+=Kl);const E=so(p,T)&&(C===void 0||C.length<T.length);h.traceEnabled&&bo(h.host,k.Checking_if_0_is_the_longest_matching_prefix_for_1_2,T,p,E),E&&(C=T,g=b)}if(C){h.traceEnabled&&bo(h.host,k.Longest_matching_prefix_for_0_is_1,p,C);const b=p.substr(C.length);h.traceEnabled&&bo(h.host,k.Loading_0_from_the_root_dir_1_candidate_location_2,b,C,p);const T=u(t,p,!B0(a,h.host),h);if(T)return T;h.traceEnabled&&bo(h.host,k.Trying_other_entries_in_rootDirs);for(const E of h.compilerOptions.rootDirs){if(E===g)continue;const N=zr(ca(E),b);h.traceEnabled&&bo(h.host,k.Loading_0_from_the_root_dir_1_candidate_location_2,b,E,N);const R=ts(N),F=u(t,N,!B0(R,h.host),h);if(F)return F}h.traceEnabled&&bo(h.host,k.Module_resolution_using_rootDirs_has_failed)}}function aMt(t,r,a,u){const{baseUrl:h}=u.compilerOptions;if(!h)return;u.traceEnabled&&bo(u.host,k.baseUrl_option_is_set_to_0_using_this_value_to_resolve_non_relative_module_name_1,h,r);const p=ca(zr(h,r));return u.traceEnabled&&bo(u.host,k.Resolving_module_name_0_relative_to_base_url_1_2,r,h,p),a(t,p,!B0(ts(p),u.host),u)}function sNe(t,r,a){const{resolvedModule:u,failedLookupLocations:h}=uMt(t,r,a);if(!u)throw new Error(`Could not resolve JS module '${t}' starting at '${r}'. Looked in: ${h==null?void 0:h.join(", ")}`);return u.resolvedFileName}var oNe=(t=>(t[t.None=0]="None",t[t.Imports=2]="Imports",t[t.SelfName=4]="SelfName",t[t.Exports=8]="Exports",t[t.ExportsPatternTrailers=16]="ExportsPatternTrailers",t[t.AllFeatures=30]="AllFeatures",t[t.Node16Default=30]="Node16Default",t[t.NodeNextDefault=30]="NodeNextDefault",t[t.BundlerDefault=30]="BundlerDefault",t[t.EsmMode=32]="EsmMode",t))(oNe||{});function cMt(t,r,a,u,h,p,g){return yYe(30,t,r,a,u,h,p,g)}function lMt(t,r,a,u,h,p,g){return yYe(30,t,r,a,u,h,p,g)}function yYe(t,r,a,u,h,p,g,C,b){const T=ts(a),E=C===99?32:0;let N=u.noDtsResolution?3:7;return XT(u)&&(N|=8),OH(t|E,r,T,u,h,p,N,!1,g,b)}function uMt(t,r,a){return OH(0,t,r,{moduleResolution:2,allowJs:!0},a,void 0,2,!1,void 0,void 0)}function aNe(t,r,a,u,h,p,g){const C=ts(r);let b=a.noDtsResolution?3:7;return XT(a)&&(b|=8),OH(iNe(a),t,C,a,u,h,b,!1,p,g)}function cNe(t,r,a,u,h,p,g,C){let b;return C?b=8:a.noDtsResolution?(b=3,XT(a)&&(b|=8)):b=XT(a)?15:7,OH(g?30:0,t,ts(r),a,u,h,b,!!C,p,g)}function lNe(t,r,a){return OH(30,t,ts(r),{moduleResolution:99},a,void 0,8,!0,void 0,void 0)}function OH(t,r,a,u,h,p,g,C,b,T){var E,N,R,F,H;const U=mx(u,h),q=[],X=[],Z=yh(u);T??(T=gx(u,Z===100||Z===2?void 0:t&32?99:1));const Q=[],re={compilerOptions:u,host:h,traceEnabled:U,failedLookupLocations:q,affectingLocations:X,packageJsonInfoCache:p,features:t,conditions:T??w,requestContainingDirectory:a,reportDiagnostic:ue=>void Q.push(ue),isConfigLookup:C,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1};U&&f3(Z)&&bo(h,k.Resolving_in_0_mode_with_conditions_1,t&32?"ESM":"CJS",re.conditions.map(ue=>`'${ue}'`).join(", "));let oe;if(Z===2){const ue=g&5,Ie=g&-6;oe=ue&&de(ue,re)||Ie&&de(Ie,re)||void 0}else oe=de(g,re);let pe;if(re.resolvedPackageDirectory&&!C&&!Gd(r)){const ue=(oe==null?void 0:oe.value)&&g&5&&!kYe(5,oe.value.resolved.extension);if((E=oe==null?void 0:oe.value)!=null&&E.isExternalLibraryImport&&ue&&t&8&&(T!=null&&T.includes("import"))){vx(re,k.Resolution_of_non_relative_name_failed_trying_with_modern_Node_resolution_features_disabled_to_see_if_npm_library_needs_configuration_update);const Ie={...re,features:re.features&-9,reportDiagnostic:Tc},we=de(g&5,Ie);(N=we==null?void 0:we.value)!=null&&N.isExternalLibraryImport&&(pe=we.value.resolved.path)}else if((!(oe!=null&&oe.value)||ue)&&Z===2){vx(re,k.Resolution_of_non_relative_name_failed_trying_with_moduleResolution_bundler_to_see_if_project_may_need_configuration_update);const Ie={...re.compilerOptions,moduleResolution:100},we={...re,compilerOptions:Ie,features:30,conditions:gx(Ie),reportDiagnostic:Tc},he=de(g&5,we);(R=he==null?void 0:he.value)!=null&&R.isExternalLibraryImport&&(pe=he.value.resolved.path)}}return lYe(r,(F=oe==null?void 0:oe.value)==null?void 0:F.resolved,(H=oe==null?void 0:oe.value)==null?void 0:H.isExternalLibraryImport,q,X,Q,re,p,pe);function de(ue,Ie){const he=gYe(ue,r,a,(Ae,ke,Te,De)=>pue(Ae,ke,Te,De,!0),Ie);if(he)return fm({resolved:he,isExternalLibraryImport:yx(he.path)});if(Gd(r)){const{path:Ae,parts:ke}=vYe(a,r),Te=pue(ue,Ae,!1,Ie,!0);return Te&&fm({resolved:Te,isExternalLibraryImport:Yt(ke,"node_modules")})}else{let Ae;if(t&2&&so(r,"#")&&(Ae=mMt(ue,r,a,Ie,p,b)),!Ae&&t&4&&(Ae=pMt(ue,r,a,Ie,p,b)),!Ae){if(r.includes(":")){U&&bo(h,k.Skipping_module_0_that_looks_like_an_absolute_URI_target_file_types_Colon_1,r,tQ(ue));return}U&&bo(h,k.Loading_module_0_from_node_modules_folder_target_file_types_Colon_1,r,tQ(ue)),Ae=EYe(ue,r,a,Ie,p,b)}return ue&4&&(Ae??(Ae=OYe(r,Ie))),Ae&&{value:Ae.value&&{resolved:Ae.value,isExternalLibraryImport:!0}}}}}function vYe(t,r){const a=zr(t,r),u=af(a),h=rl(u);return{path:h==="."||h===".."?Ad(ca(a)):ca(a),parts:u}}function bYe(t,r,a){if(!r.realpath)return t;const u=ca(r.realpath(t));return a&&bo(r,k.Resolving_real_path_for_0_result_1,t,u),u}function pue(t,r,a,u,h){if(u.traceEnabled&&bo(u.host,k.Loading_module_as_file_Slash_folder_candidate_module_location_0_target_file_types_Colon_1,r,tQ(t)),!Uw(r)){if(!a){const g=ts(r);B0(g,u.host)||(u.traceEnabled&&bo(u.host,k.Directory_0_does_not_exist_skipping_all_lookups_in_it,g),a=!0)}const p=F3(t,r,a,u);if(p){const g=h?MH(p.path):void 0,C=g?WI(g,!1,u):void 0;return iA(C,p,u)}}if(a||B0(r,u.host)||(u.traceEnabled&&bo(u.host,k.Directory_0_does_not_exist_skipping_all_lookups_in_it,r),a=!0),!(u.features&32))return dNe(t,r,a,u,h)}var Xv="/node_modules/";function yx(t){return t.includes(Xv)}function MH(t,r){const a=ca(t),u=a.lastIndexOf(Xv);if(u===-1)return;const h=u+Xv.length;let p=CYe(a,h,r);return a.charCodeAt(h)===64&&(p=CYe(a,p,r)),a.slice(0,p)}function CYe(t,r,a){const u=t.indexOf(Kl,r+1);return u===-1?a?t.length:r:u}function uNe(t,r,a,u){return uue(F3(t,r,a,u))}function F3(t,r,a,u){const h=SYe(t,r,a,u);if(h)return h;if(!(u.features&32)){const p=wYe(r,t,"",a,u);if(p)return p}}function SYe(t,r,a,u){if(!ud(r).includes("."))return;let p=s_(r);p===r&&(p=r.substring(0,r.lastIndexOf(".")));const g=r.substring(p.length);return u.traceEnabled&&bo(u.host,k.File_name_0_has_a_1_extension_stripping_it,r,g),wYe(p,t,g,a,u)}function mue(t,r,a,u){return t&1&&Ru(r,XV)||t&4&&Ru(r,GK)?gue(r,a,u)!==void 0?{path:r,ext:xK(r),resolvedUsingTsExtension:void 0}:void 0:u.isConfigLookup&&t===8&&Xl(r,".json")?gue(r,a,u)!==void 0?{path:r,ext:".json",resolvedUsingTsExtension:void 0}:void 0:SYe(t,r,a,u)}function wYe(t,r,a,u,h){if(!u){const g=ts(t);g&&(u=!B0(g,h.host))}switch(a){case".mjs":case".mts":case".d.mts":return r&1&&p(".mts",a===".mts"||a===".d.mts")||r&4&&p(".d.mts",a===".mts"||a===".d.mts")||r&2&&p(".mjs")||void 0;case".cjs":case".cts":case".d.cts":return r&1&&p(".cts",a===".cts"||a===".d.cts")||r&4&&p(".d.cts",a===".cts"||a===".d.cts")||r&2&&p(".cjs")||void 0;case".json":return r&4&&p(".d.json.ts")||r&8&&p(".json")||void 0;case".tsx":case".jsx":return r&1&&(p(".tsx",a===".tsx")||p(".ts",a===".tsx"))||r&4&&p(".d.ts",a===".tsx")||r&2&&(p(".jsx")||p(".js"))||void 0;case".ts":case".d.ts":case".js":case"":return r&1&&(p(".ts",a===".ts"||a===".d.ts")||p(".tsx",a===".ts"||a===".d.ts"))||r&4&&p(".d.ts",a===".ts"||a===".d.ts")||r&2&&(p(".js")||p(".jsx"))||h.isConfigLookup&&p(".json")||void 0;default:return r&4&&!df(t+a)&&p(`.d${a}.ts`)||void 0}function p(g,C){const b=gue(t+g,u,h);return b===void 0?void 0:{path:b,ext:g,resolvedUsingTsExtension:!h.candidateIsFromPackageJsonField&&C}}}function gue(t,r,a){var u;if(!((u=a.compilerOptions.moduleSuffixes)!=null&&u.length))return xYe(t,r,a);const h=qv(t)??"",p=h?YV(t,h):t;return O(a.compilerOptions.moduleSuffixes,g=>xYe(p+g+h,r,a))}function xYe(t,r,a){var u;if(!r){if(a.host.fileExists(t))return a.traceEnabled&&bo(a.host,k.File_0_exists_use_it_as_a_name_resolution_result,t),t;a.traceEnabled&&bo(a.host,k.File_0_does_not_exist,t)}(u=a.failedLookupLocations)==null||u.push(t)}function dNe(t,r,a,u,h=!0){const p=h?WI(r,a,u):void 0,g=p&&p.contents.packageJsonContent,C=p&&aQ(p,u);return iA(p,vue(t,r,a,u,g,C),u)}function yue(t,r,a,u,h){if(!h&&t.contents.resolvedEntrypoints!==void 0)return t.contents.resolvedEntrypoints;let p;const g=5|(h?2:0),C=iNe(r),b=W7(u==null?void 0:u.getPackageJsonInfoCache(),a,r);b.conditions=gx(r),b.requestContainingDirectory=t.packageDirectory;const T=vue(g,t.packageDirectory,!1,b,t.contents.packageJsonContent,aQ(t,b));if(p=fn(p,T==null?void 0:T.path),C&8&&t.contents.packageJsonContent.exports){const E=Wb([gx(r,99),gx(r,1)],i_);for(const N of E){const R={...b,failedLookupLocations:[],conditions:N,host:a},F=dMt(t,t.contents.packageJsonContent.exports,R,g);if(F)for(const H of F)p=n_(p,H.path)}}return t.contents.resolvedEntrypoints=p||!1}function dMt(t,r,a,u){let h;if(Ao(r))for(const g of r)p(g);else if(typeof r=="object"&&r!==null&&lQ(r))for(const g in r)p(r[g]);else p(r);return h;function p(g){var C,b;if(typeof g=="string"&&so(g,"./"))if(g.includes("*")&&a.host.readDirectory){if(g.indexOf("*")!==g.lastIndexOf("*"))return!1;a.host.readDirectory(t.packageDirectory,qOt(u),void 0,[YJ(xI(g,"**/*"),".*")]).forEach(T=>{h=n_(h,{path:T,ext:L6(T),resolvedUsingTsExtension:void 0})})}else{const T=af(g).slice(2);if(T.includes("..")||T.includes(".")||T.includes("node_modules"))return!1;const E=zr(t.packageDirectory,g),N=wo(E,(b=(C=a.host).getCurrentDirectory)==null?void 0:b.call(C)),R=mue(u,N,!1,a);if(R)return h=n_(h,R,(F,H)=>F.path===H.path),!0}else if(Array.isArray(g)){for(const T of g)if(p(T))return!0}else if(typeof g=="object"&&g!==null)return O(wr(g),T=>{if(T==="default"||Yt(a.conditions,T)||RH(a.conditions,T))return p(g[T]),!0})}}function W7(t,r,a){return{host:r,compilerOptions:a,traceEnabled:mx(a,r),failedLookupLocations:void 0,affectingLocations:void 0,packageJsonInfoCache:t,features:0,conditions:w,requestContainingDirectory:void 0,reportDiagnostic:Tc,isConfigLookup:!1,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1}}function V7(t,r){return kg(t,a=>WI(a,!1,r))}function aQ(t,r){return t.contents.versionPaths===void 0&&(t.contents.versionPaths=XOt(t.contents.packageJsonContent,r)||!1),t.contents.versionPaths||void 0}function fMt(t,r){return t.contents.peerDependencies===void 0&&(t.contents.peerDependencies=hMt(t,r)||!1),t.contents.peerDependencies||void 0}function hMt(t,r){const a=YIe(t.contents.packageJsonContent,"peerDependencies","object",r);if(a===void 0)return;r.traceEnabled&&bo(r.host,k.package_json_has_a_peerDependencies_field);const u=bYe(t.packageDirectory,r.host,r.traceEnabled),h=u.substring(0,u.lastIndexOf("node_modules")+12)+Kl;let p="";for(const g in a)if(Li(a,g)){const C=WI(h+g,!1,r);if(C){const b=C.contents.packageJsonContent.version;p+=`+${g}@${b}`,r.traceEnabled&&bo(r.host,k.Found_peerDependency_0_with_1_version,g,b)}else r.traceEnabled&&bo(r.host,k.Failed_to_find_peerDependency_0,g)}return p}function WI(t,r,a){var u,h,p,g,C,b;const{host:T,traceEnabled:E}=a,N=zr(t,"package.json");if(r){(u=a.failedLookupLocations)==null||u.push(N);return}const R=(h=a.packageJsonInfoCache)==null?void 0:h.getPackageJsonInfo(N);if(R!==void 0){if(rQ(R))return E&&bo(T,k.File_0_exists_according_to_earlier_cached_lookups,N),(p=a.affectingLocations)==null||p.push(N),R.packageDirectory===t?R:{packageDirectory:t,contents:R.contents};R.directoryExists&&E&&bo(T,k.File_0_does_not_exist_according_to_earlier_cached_lookups,N),(g=a.failedLookupLocations)==null||g.push(N);return}const F=B0(t,T);if(F&&T.fileExists(N)){const H=J8(N,T);E&&bo(T,k.Found_package_json_at_0,N);const U={packageDirectory:t,contents:{packageJsonContent:H,versionPaths:void 0,resolvedEntrypoints:void 0,peerDependencies:void 0}};return a.packageJsonInfoCache&&!a.packageJsonInfoCache.isReadonly&&a.packageJsonInfoCache.setPackageJsonInfo(N,U),(C=a.affectingLocations)==null||C.push(N),U}else F&&E&&bo(T,k.File_0_does_not_exist,N),a.packageJsonInfoCache&&!a.packageJsonInfoCache.isReadonly&&a.packageJsonInfoCache.setPackageJsonInfo(N,{packageDirectory:t,directoryExists:F}),(b=a.failedLookupLocations)==null||b.push(N)}function vue(t,r,a,u,h,p){let g;h&&(u.isConfigLookup?g=JOt(h,r,u):g=t&4&&$Ot(h,r,u)||t&7&&GOt(h,r,u)||void 0);const C=(R,F,H,U)=>{const q=mue(R,F,H,U);if(q)return uue(q);const X=R===4?5:R,Z=U.features,Q=U.candidateIsFromPackageJsonField;U.candidateIsFromPackageJsonField=!0,(h==null?void 0:h.type)!=="module"&&(U.features&=-33);const re=pue(X,F,H,U,!1);return U.features=Z,U.candidateIsFromPackageJsonField=Q,re},b=g?!B0(ts(g),u.host):void 0,T=a||!B0(r,u.host),E=zr(r,u.isConfigLookup?"tsconfig":"index");if(p&&(!g||Vm(r,g))){const R=c0(r,g||E,!1);u.traceEnabled&&bo(u.host,k.package_json_has_a_typesVersions_entry_0_that_matches_compiler_version_1_looking_for_a_pattern_to_match_module_name_2,p.version,v,R);const F=fNe(t,R,r,p.paths,void 0,C,b||T,u);if(F)return cYe(F.value)}const N=g&&cYe(C(t,g,b,u));if(N)return N;if(!(u.features&32))return F3(t,E,T,u)}function kYe(t,r){return t&2&&(r===".js"||r===".jsx"||r===".mjs"||r===".cjs")||t&1&&(r===".ts"||r===".tsx"||r===".mts"||r===".cts")||t&4&&(r===".d.ts"||r===".d.mts"||r===".d.cts")||t&8&&r===".json"||!1}function cQ(t){let r=t.indexOf(Kl);return t[0]==="@"&&(r=t.indexOf(Kl,r+1)),r===-1?{packageName:t,rest:""}:{packageName:t.slice(0,r),rest:t.slice(r+1)}}function lQ(t){return Ce(wr(t),r=>so(r,"."))}function _Mt(t){return!Ft(wr(t),r=>so(r,"."))}function pMt(t,r,a,u,h,p){var g,C;const b=wo(a,(C=(g=u.host).getCurrentDirectory)==null?void 0:C.call(g)),T=V7(b,u);if(!T||!T.contents.packageJsonContent.exports||typeof T.contents.packageJsonContent.name!="string")return;const E=af(r),N=af(T.contents.packageJsonContent.name);if(!Ce(N,(q,X)=>E[X]===q))return;const R=E.slice(N.length),F=P(R)?`.${Kl}${R.join(Kl)}`:".";if(ox(u.compilerOptions)&&!yx(a))return bue(T,t,F,u,h,p);const H=t&5,U=t&-6;return bue(T,H,F,u,h,p)||bue(T,U,F,u,h,p)}function bue(t,r,a,u,h,p){if(t.contents.packageJsonContent.exports){if(a==="."){let g;if(typeof t.contents.packageJsonContent.exports=="string"||Array.isArray(t.contents.packageJsonContent.exports)||typeof t.contents.packageJsonContent.exports=="object"&&_Mt(t.contents.packageJsonContent.exports)?g=t.contents.packageJsonContent.exports:Li(t.contents.packageJsonContent.exports,".")&&(g=t.contents.packageJsonContent.exports["."]),g)return DYe(r,u,h,p,a,t,!1)(g,"",!1,".")}else if(lQ(t.contents.packageJsonContent.exports)){if(typeof t.contents.packageJsonContent.exports!="object")return u.traceEnabled&&bo(u.host,k.Export_specifier_0_does_not_exist_in_package_json_scope_at_path_1,a,t.packageDirectory),fm(void 0);const g=TYe(r,u,h,p,a,t.contents.packageJsonContent.exports,t,!1);if(g)return g}return u.traceEnabled&&bo(u.host,k.Export_specifier_0_does_not_exist_in_package_json_scope_at_path_1,a,t.packageDirectory),fm(void 0)}}function mMt(t,r,a,u,h,p){var g,C;if(r==="#"||so(r,"#/"))return u.traceEnabled&&bo(u.host,k.Invalid_import_specifier_0_has_no_possible_resolutions,r),fm(void 0);const b=wo(a,(C=(g=u.host).getCurrentDirectory)==null?void 0:C.call(g)),T=V7(b,u);if(!T)return u.traceEnabled&&bo(u.host,k.Directory_0_has_no_containing_package_json_scope_Imports_will_not_resolve,b),fm(void 0);if(!T.contents.packageJsonContent.imports)return u.traceEnabled&&bo(u.host,k.package_json_scope_0_has_no_imports_defined,T.packageDirectory),fm(void 0);const E=TYe(t,u,h,p,r,T.contents.packageJsonContent.imports,T,!0);return E||(u.traceEnabled&&bo(u.host,k.Import_specifier_0_does_not_exist_in_package_json_scope_at_path_1,r,T.packageDirectory),fm(void 0))}function Cue(t,r){const a=t.indexOf("*"),u=r.indexOf("*"),h=a===-1?t.length:a+1,p=u===-1?r.length:u+1;return h>p?-1:p>h||a===-1?1:u===-1||t.length>r.length?-1:r.length>t.length?1:0}function TYe(t,r,a,u,h,p,g,C){const b=DYe(t,r,a,u,h,g,C);if(!su(h,Kl)&&!h.includes("*")&&Li(p,h)){const N=p[h];return b(N,"",!1,h)}const T=Mu($t(wr(p),N=>gMt(N)||su(N,"/")),Cue);for(const N of T)if(r.features&16&&E(N,h)){const R=p[N],F=N.indexOf("*"),H=h.substring(N.substring(0,F).length,h.length-(N.length-1-F));return b(R,H,!0,N)}else if(su(N,"*")&&so(h,N.substring(0,N.length-1))){const R=p[N],F=h.substring(N.length-1);return b(R,F,!0,N)}else if(so(h,N)){const R=p[N],F=h.substring(N.length);return b(R,F,!1,N)}function E(N,R){if(su(N,"*"))return!1;const F=N.indexOf("*");return F===-1?!1:so(R,N.substring(0,F))&&su(R,N.substring(F+1))}}function gMt(t){const r=t.indexOf("*");return r!==-1&&r===t.lastIndexOf("*")}function DYe(t,r,a,u,h,p,g){return C;function C(b,T,E,N){if(typeof b=="string"){if(!E&&T.length>0&&!su(b,"/"))return r.traceEnabled&&bo(r.host,k.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,p.packageDirectory,h),fm(void 0);if(!so(b,"./")){if(g&&!so(b,"../")&&!so(b,"/")&&!yp(b)){const oe=E?b.replace(/\*/g,T):b+T;vx(r,k.Using_0_subpath_1_with_target_2,"imports",N,oe),vx(r,k.Resolving_module_0_from_1,oe,p.packageDirectory+"/");const pe=OH(r.features,oe,p.packageDirectory+"/",r.compilerOptions,r.host,a,t,!1,u,r.conditions);return fm(pe.resolvedModule?{path:pe.resolvedModule.resolvedFileName,extension:pe.resolvedModule.extension,packageId:pe.resolvedModule.packageId,originalPath:pe.resolvedModule.originalPath,resolvedUsingTsExtension:pe.resolvedModule.resolvedUsingTsExtension}:void 0)}return r.traceEnabled&&bo(r.host,k.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,p.packageDirectory,h),fm(void 0)}const q=(am(b)?af(b).slice(1):af(b)).slice(1);if(q.includes("..")||q.includes(".")||q.includes("node_modules"))return r.traceEnabled&&bo(r.host,k.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,p.packageDirectory,h),fm(void 0);const X=zr(p.packageDirectory,b),Z=af(T);if(Z.includes("..")||Z.includes(".")||Z.includes("node_modules"))return r.traceEnabled&&bo(r.host,k.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,p.packageDirectory,h),fm(void 0);r.traceEnabled&&bo(r.host,k.Using_0_subpath_1_with_target_2,g?"imports":"exports",N,E?b.replace(/\*/g,T):b+T);const Q=R(E?X.replace(/\*/g,T):X+T),re=H(Q,T,zr(p.packageDirectory,"package.json"),g);return re||fm(iA(p,mue(t,Q,!1,r),r))}else if(typeof b=="object"&&b!==null)if(Array.isArray(b)){if(!P(b))return r.traceEnabled&&bo(r.host,k.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,p.packageDirectory,h),fm(void 0);for(const U of b){const q=C(U,T,E,N);if(q)return q}}else{vx(r,k.Entering_conditional_exports);for(const U of wr(b))if(U==="default"||r.conditions.includes(U)||RH(r.conditions,U)){vx(r,k.Matched_0_condition_1,g?"imports":"exports",U);const q=b[U],X=C(q,T,E,N);if(X)return vx(r,k.Resolved_under_condition_0,U),vx(r,k.Exiting_conditional_exports),X;vx(r,k.Failed_to_resolve_under_condition_0,U)}else vx(r,k.Saw_non_matching_condition_0,U);vx(r,k.Exiting_conditional_exports);return}else if(b===null)return r.traceEnabled&&bo(r.host,k.package_json_scope_0_explicitly_maps_specifier_1_to_null,p.packageDirectory,h),fm(void 0);return r.traceEnabled&&bo(r.host,k.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,p.packageDirectory,h),fm(void 0);function R(U){var q,X;return U===void 0?U:wo(U,(X=(q=r.host).getCurrentDirectory)==null?void 0:X.call(q))}function F(U,q){return Ad(zr(U,q))}function H(U,q,X,Z){var Q,re,oe,pe;if(!r.isConfigLookup&&(r.compilerOptions.declarationDir||r.compilerOptions.outDir)&&!U.includes("/node_modules/")&&(!r.compilerOptions.configFile||Vm(p.packageDirectory,R(r.compilerOptions.configFile.fileName),!Sue(r)))){const ue=hS({useCaseSensitiveFileNames:()=>Sue(r)}),Ie=[];if(r.compilerOptions.rootDir||r.compilerOptions.composite&&r.compilerOptions.configFilePath){const we=R(X7(r.compilerOptions,()=>[],((re=(Q=r.host).getCurrentDirectory)==null?void 0:re.call(Q))||"",ue));Ie.push(we)}else if(r.requestContainingDirectory){const we=R(zr(r.requestContainingDirectory,"index.ts")),he=R(X7(r.compilerOptions,()=>[we,R(X)],((pe=(oe=r.host).getCurrentDirectory)==null?void 0:pe.call(oe))||"",ue));Ie.push(he);let Ae=Ad(he);for(;Ae&&Ae.length>1;){const ke=af(Ae);ke.pop();const Te=sS(ke);Ie.unshift(Te),Ae=Ad(Te)}}Ie.length>1&&r.reportDiagnostic(Zl(Z?k.The_project_root_is_ambiguous_but_is_required_to_resolve_import_map_entry_0_in_file_1_Supply_the_rootDir_compiler_option_to_disambiguate:k.The_project_root_is_ambiguous_but_is_required_to_resolve_export_map_entry_0_in_file_1_Supply_the_rootDir_compiler_option_to_disambiguate,q===""?".":q,X));for(const we of Ie){const he=de(we);for(const Ae of he)if(Vm(Ae,U,!Sue(r))){const ke=U.slice(Ae.length+1),Te=zr(we,ke),De=[".mjs",".cjs",".js",".json",".d.mts",".d.cts",".d.ts"];for(const qe of De)if(Xl(Te,qe)){const se=KTe(Te);for(const Ne of se){if(!kYe(t,Ne))continue;const Oe=wW(Te,Ne,qe,!Sue(r));if(r.host.fileExists(Oe))return fm(iA(p,mue(t,Oe,!1,r),r))}}}}}return;function de(ue){var Ie,we;const he=r.compilerOptions.configFile?((we=(Ie=r.host).getCurrentDirectory)==null?void 0:we.call(Ie))||"":ue,Ae=[];return r.compilerOptions.declarationDir&&Ae.push(R(F(he,r.compilerOptions.declarationDir))),r.compilerOptions.outDir&&r.compilerOptions.outDir!==r.compilerOptions.declarationDir&&Ae.push(R(F(he,r.compilerOptions.outDir))),Ae}}}}function RH(t,r){if(!t.includes("types")||!so(r,"types@"))return!1;const a=qJ.tryParse(r.substring(6));return a?a.test(v):!1}function EYe(t,r,a,u,h,p){return IYe(t,r,a,u,!1,h,p)}function yMt(t,r,a){return IYe(4,t,r,a,!0,void 0,void 0)}function IYe(t,r,a,u,h,p,g){const C=u.features===0?void 0:u.features&32?99:1,b=t&5,T=t&-6;if(b){vx(u,k.Searching_all_ancestor_node_modules_directories_for_preferred_extensions_Colon_0,tQ(b));const N=E(b);if(N)return N}if(T&&!h)return vx(u,k.Searching_all_ancestor_node_modules_directories_for_fallback_extensions_Colon_0,tQ(T)),E(T);function E(N){return kg(Rf(a),R=>{if(ud(R)!=="node_modules"){const F=AYe(p,r,C,R,g,u);return F||fm(NYe(N,r,R,u,h,p,g))}})}}function NYe(t,r,a,u,h,p,g){const C=zr(a,"node_modules"),b=B0(C,u.host);if(!b&&u.traceEnabled&&bo(u.host,k.Directory_0_does_not_exist_skipping_all_lookups_in_it,C),!h){const T=LYe(t,r,C,b,u,p,g);if(T)return T}if(t&4){const T=zr(C,"@types");let E=b;return b&&!B0(T,u.host)&&(u.traceEnabled&&bo(u.host,k.Directory_0_does_not_exist_skipping_all_lookups_in_it,T),E=!1),LYe(4,PYe(r,u),T,E,u,p,g)}}function LYe(t,r,a,u,h,p,g){var C,b;const T=ca(zr(a,r)),{packageName:E,rest:N}=cQ(r),R=zr(a,E);let F,H=WI(T,!u,h);if(N!==""&&H&&(!(h.features&8)||!Li(((C=F=WI(R,!u,h))==null?void 0:C.contents.packageJsonContent)??w,"exports"))){const X=F3(t,T,!u,h);if(X)return uue(X);const Z=vue(t,T,!u,h,H.contents.packageJsonContent,aQ(H,h));return iA(H,Z,h)}const U=(X,Z,Q,re)=>{let oe=(N||!(re.features&32))&&F3(X,Z,Q,re)||vue(X,Z,Q,re,H&&H.contents.packageJsonContent,H&&aQ(H,re));return!oe&&H&&(H.contents.packageJsonContent.exports===void 0||H.contents.packageJsonContent.exports===null)&&re.features&32&&(oe=F3(X,zr(Z,"index.js"),Q,re)),iA(H,oe,re)};if(N!==""&&(H=F??WI(R,!u,h)),H&&(h.resolvedPackageDirectory=!0),H&&H.contents.packageJsonContent.exports&&h.features&8)return(b=bue(H,t,zr(".",N),h,p,g))==null?void 0:b.value;const q=N!==""&&H?aQ(H,h):void 0;if(q){h.traceEnabled&&bo(h.host,k.package_json_has_a_typesVersions_entry_0_that_matches_compiler_version_1_looking_for_a_pattern_to_match_module_name_2,q.version,v,N);const X=u&&B0(R,h.host),Z=fNe(t,N,R,q.paths,void 0,U,!X,h);if(Z)return Z.value}return U(t,T,!u,h)}function fNe(t,r,a,u,h,p,g,C){h||(h=XK(u));const b=Hce(h,r);if(b){const T=Oo(b)?void 0:yxe(b,r),E=Oo(b)?b:gxe(b);return C.traceEnabled&&bo(C.host,k.Module_name_0_matched_pattern_1,r,E),{value:O(u[E],R=>{const F=T?xI(R,T):R,H=ca(zr(a,F));C.traceEnabled&&bo(C.host,k.Trying_substitution_0_candidate_module_location_Colon_1,R,F);const U=qv(R);if(U!==void 0){const q=gue(H,g,C);if(q!==void 0)return uue({path:q,ext:U,resolvedUsingTsExtension:void 0})}return p(t,H,g||!B0(ts(H),C.host),C)})}}}var hNe="__";function PYe(t,r){const a=B3(t);return r.traceEnabled&&a!==t&&bo(r.host,k.Scoped_package_detected_looking_in_0,a),a}function uQ(t){return`@types/${B3(t)}`}function B3(t){if(so(t,"@")){const r=t.replace(Kl,hNe);if(r!==t)return r.slice(1)}return t}function H7(t){const r=KR(t,"@types/");return r!==t?FH(r):t}function FH(t){return t.includes(hNe)?"@"+t.replace(hNe,Kl):t}function AYe(t,r,a,u,h,p){const g=t&&t.getFromNonRelativeNameCache(r,a,u,h);if(g)return p.traceEnabled&&bo(p.host,k.Resolution_for_module_0_was_found_in_cache_from_location_1,r,u),p.resultFromCache=g,{value:g.resolvedModule&&{path:g.resolvedModule.resolvedFileName,originalPath:g.resolvedModule.originalPath||!0,extension:g.resolvedModule.extension,packageId:g.resolvedModule.packageId,resolvedUsingTsExtension:g.resolvedModule.resolvedUsingTsExtension}}}function _Ne(t,r,a,u,h,p){const g=mx(a,u),C=[],b=[],T=ts(r),E=[],N={compilerOptions:a,host:u,traceEnabled:g,failedLookupLocations:C,affectingLocations:b,packageJsonInfoCache:h,features:0,conditions:[],requestContainingDirectory:T,reportDiagnostic:H=>void E.push(H),isConfigLookup:!1,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1},R=F(5)||F(2|(a.resolveJsonModule?8:0));return lYe(t,R&&R.value,(R==null?void 0:R.value)&&yx(R.value.path),C,b,E,N,h);function F(H){const U=gYe(H,t,T,uNe,N);if(U)return{value:U};if(Gd(t)){const q=ca(zr(T,t));return fm(uNe(H,q,!1,N))}else{const q=kg(T,X=>{const Z=AYe(h,t,void 0,X,p,N);if(Z)return Z;const Q=ca(zr(X,t));return fm(uNe(H,Q,!1,N))});if(q)return q;if(H&5){let X=yMt(t,T,N);return H&4&&(X??(X=OYe(t,N))),X}}}}function OYe(t,r){if(r.compilerOptions.typeRoots)for(const a of r.compilerOptions.typeRoots){const u=fYe(a,t,r),h=B0(a,r.host);!h&&r.traceEnabled&&bo(r.host,k.Directory_0_does_not_exist_skipping_all_lookups_in_it,a);const p=F3(4,u,!h,r);if(p){const C=MH(p.path),b=C?WI(C,!1,r):void 0;return fm(iA(b,p,r))}const g=dNe(4,u,!h,r);if(g)return fm(g)}}function W3(t,r){return!!t.allowImportingTsExtensions||r&&df(r)}function pNe(t,r,a,u,h,p){const g=mx(a,u);g&&bo(u,k.Auto_discovery_for_typings_is_enabled_in_project_0_Running_extra_resolution_pass_for_module_1_using_cache_location_2,r,t,h);const C=[],b=[],T=[],E={compilerOptions:a,host:u,traceEnabled:g,failedLookupLocations:C,affectingLocations:b,packageJsonInfoCache:p,features:0,conditions:[],requestContainingDirectory:void 0,reportDiagnostic:R=>void T.push(R),isConfigLookup:!1,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1},N=NYe(4,t,h,E,!1,void 0,void 0);return uYe(N,!0,C,b,T,E.resultFromCache,void 0)}function fm(t){return t!==void 0?{value:t}:void 0}function vx(t,r,...a){t.traceEnabled&&bo(t.host,r,...a)}function Sue(t){return t.host.useCaseSensitiveFileNames?typeof t.host.useCaseSensitiveFileNames=="boolean"?t.host.useCaseSensitiveFileNames:t.host.useCaseSensitiveFileNames():!0}var mNe=(t=>(t[t.NonInstantiated=0]="NonInstantiated",t[t.Instantiated=1]="Instantiated",t[t.ConstEnumOnly=2]="ConstEnumOnly",t))(mNe||{});function nC(t,r){return t.body&&!t.body.parent&&(_c(t.body,t),Qb(t.body,!1)),t.body?gNe(t.body,r):1}function gNe(t,r=new Map){const a=Sc(t);if(r.has(a))return r.get(a)||0;r.set(a,void 0);const u=vMt(t,r);return r.set(a,u),u}function vMt(t,r){switch(t.kind){case 264:case 265:return 0;case 266:if(Uk(t))return 2;break;case 272:case 271:if(!Zr(t,32))return 0;break;case 278:const a=t;if(!a.moduleSpecifier&&a.exportClause&&a.exportClause.kind===279){let u=0;for(const h of a.exportClause.elements){const p=bMt(h,r);if(p>u&&(u=p),u===1)return u}return u}break;case 268:{let u=0;return Uo(t,h=>{const p=gNe(h,r);switch(p){case 0:return;case 2:u=2;return;case 1:return u=1,!0;default:j.assertNever(p)}}),u}case 267:return nC(t,r);case 80:if(t.flags&4096)return 0}return 1}function bMt(t,r){const a=t.propertyName||t.name;if(a.kind!==80)return 1;let u=t.parent;for(;u;){if(Xo(u)||H1(u)||Os(u)){const h=u.statements;let p;for(const g of h)if(OW(g,a)){g.parent||(_c(g,u),Qb(g,!1));const C=gNe(g,r);if((p===void 0||C>p)&&(p=C),p===1)return p;g.kind===271&&(p=1)}if(p!==void 0)return p}u=u.parent}return 1}var yNe=(t=>(t[t.None=0]="None",t[t.IsContainer=1]="IsContainer",t[t.IsBlockScopedContainer=2]="IsBlockScopedContainer",t[t.IsControlFlowContainer=4]="IsControlFlowContainer",t[t.IsFunctionLike=8]="IsFunctionLike",t[t.IsFunctionExpression=16]="IsFunctionExpression",t[t.HasLocals=32]="HasLocals",t[t.IsInterface=64]="IsInterface",t[t.IsObjectLiteralOrClassExpressionMethodOrAccessor=128]="IsObjectLiteralOrClassExpressionMethodOrAccessor",t))(yNe||{});function zy(t,r,a){return j.attachFlowNodeDebugInfo({flags:t,id:0,node:r,antecedent:a})}var CMt=SMt();function vNe(t,r){ou("beforeBind"),CMt(t,r),ou("afterBind"),Wm("Bind","beforeBind","afterBind")}function SMt(){var t,r,a,u,h,p,g,C,b,T,E,N,R,F,H,U,q,X,Z,Q,re,oe,pe,de,ue=!1,Ie=0,we,he,Ae=zy(1,void 0,void 0),ke=zy(1,void 0,void 0),Te=Gt();return qe;function De(ee,Xe,...Zt){return jm(_n(ee)||t,ee,Xe,...Zt)}function qe(ee,Xe){var Zt,Nn;t=ee,r=Xe,a=Ja(r),de=se(t,Xe),he=new Set,Ie=0,we=Hf.getSymbolConstructor(),j.attachFlowNodeDebugInfo(Ae),j.attachFlowNodeDebugInfo(ke),t.locals||((Zt=Zn)==null||Zt.push(Zn.Phase.Bind,"bindSourceFile",{path:t.path},!0),Xi(t),(Nn=Zn)==null||Nn.pop(),t.symbolCount=Ie,t.classifiableNames=he,wf(),Jo()),t=void 0,r=void 0,a=void 0,u=void 0,h=void 0,p=void 0,g=void 0,C=void 0,b=void 0,E=void 0,T=!1,N=void 0,R=void 0,F=void 0,H=void 0,U=void 0,q=void 0,X=void 0,Q=void 0,re=!1,oe=!1,ue=!1,pe=0}function se(ee,Xe){return ah(Xe,"alwaysStrict")&&!ee.isDeclarationFile?!0:!!ee.externalModuleIndicator}function Ne(ee,Xe){return Ie++,new we(ee,Xe)}function Oe(ee,Xe,Zt){ee.flags|=Zt,Xe.symbol=ee,ee.declarations=n_(ee.declarations,Xe),Zt&1955&&!ee.exports&&(ee.exports=_a()),Zt&6240&&!ee.members&&(ee.members=_a()),ee.constEnumOnlyModule&&ee.flags&304&&(ee.constEnumOnlyModule=!1),Zt&111551&&bV(ee,Xe)}function He(ee){if(ee.kind===277)return ee.isExportEquals?"export=":"default";const Xe=No(ee);if(Xe){if(Vh(ee)){const Zt=Lg(Xe);return Ry(ee)?"__global":`"${Zt}"`}if(Xe.kind===167){const Zt=Xe.expression;if(cm(Zt))return cu(Zt.text);if(cK(Zt))return xa(Zt.operator)+Zt.operand.text;j.fail("Only computed properties with literal names have declaration names")}if(Vs(Xe)){const Zt=Cf(ee);if(!Zt)return;const Nn=Zt.symbol;return NV(Nn,Xe.escapedText)}return j0(Xe)?LP(Xe):P1(Xe)?V8(Xe):void 0}switch(ee.kind){case 176:return"__constructor";case 184:case 179:case 323:return"__call";case 185:case 180:return"__new";case 181:return"__index";case 278:return"__export";case 307:return"export=";case 226:if(Bu(ee)===2)return"export=";j.fail("Unknown binary declaration kind");break;case 317:return e3(ee)?"__new":"__call";case 169:return j.assert(ee.parent.kind===317,"Impossible parameter parent kind",()=>`parent is: ${j.formatSyntaxKind(ee.parent.kind)}, expected JSDocFunctionType`),"arg"+ee.parent.parameters.indexOf(ee)}}function Pe(ee){return Bf(ee)?al(ee.name):Ws(j.checkDefined(He(ee)))}function We(ee,Xe,Zt,Nn,Is,Qo,To){j.assert(To||!Jb(Zt));const Zo=Zr(Zt,2048)||vh(Zt)&&My(Zt.name),uc=To?"__computed":Zo&&Xe?"default":He(Zt);let Xa;if(uc===void 0)Xa=Ne(0,"__missing");else if(Xa=ee.get(uc),Nn&2885600&&he.add(uc),!Xa)ee.set(uc,Xa=Ne(0,uc)),Qo&&(Xa.isReplaceableByMethod=!0);else{if(Qo&&!Xa.isReplaceableByMethod)return Xa;if(Xa.flags&Is){if(Xa.isReplaceableByMethod)ee.set(uc,Xa=Ne(0,uc));else if(!(Nn&3&&Xa.flags&67108864)){Bf(Zt)&&_c(Zt.name,Zt);let Yl=Xa.flags&2?k.Cannot_redeclare_block_scoped_variable_0:k.Duplicate_identifier_0,ig=!0;(Xa.flags&384||Nn&384)&&(Yl=k.Enum_declarations_can_only_merge_with_namespace_or_other_enum_declarations,ig=!1);let h0=!1;P(Xa.declarations)&&(Zo||Xa.declarations&&Xa.declarations.length&&Zt.kind===277&&!Zt.isExportEquals)&&(Yl=k.A_module_cannot_have_multiple_default_exports,ig=!1,h0=!0);const K0=[];Mg(Zt)&&lu(Zt.type)&&Zr(Zt,32)&&Xa.flags&2887656&&K0.push(De(Zt,k.Did_you_mean_0,`export type { ${Ws(Zt.name.escapedText)} }`));const eb=No(Zt)||Zt;O(Xa.declarations,(tb,ng)=>{const rg=No(tb)||tb,Vl=ig?De(rg,Yl,Pe(tb)):De(rg,Yl);t.bindDiagnostics.push(h0?da(Vl,De(eb,ng===0?k.Another_export_default_is_here:k.and_here)):Vl),h0&&K0.push(De(rg,k.The_first_export_default_is_here))});const PS=ig?De(eb,Yl,Pe(Zt)):De(eb,Yl);t.bindDiagnostics.push(da(PS,...K0)),Xa=Ne(0,uc)}}}return Oe(Xa,Zt,Nn),Xa.parent?j.assert(Xa.parent===Xe,"Existing symbol parent should match new one"):Xa.parent=Xe,Xa}function ze(ee,Xe,Zt){const Nn=!!(Rk(ee)&32)||Nt(ee);if(Xe&2097152)return ee.kind===281||ee.kind===271&&Nn?We(h.symbol.exports,h.symbol,ee,Xe,Zt):(j.assertNode(h,Ay),We(h.locals,void 0,ee,Xe,Zt));if(Ng(ee)&&j.assert(nr(ee)),!Vh(ee)&&(Nn||h.flags&128)){if(!Ay(h)||!h.locals||Zr(ee,2048)&&!He(ee))return We(h.symbol.exports,h.symbol,ee,Xe,Zt);const Is=Xe&111551?1048576:0,Qo=We(h.locals,void 0,ee,Is,Zt);return Qo.exportSymbol=We(h.symbol.exports,h.symbol,ee,Xe,Zt),ee.localSymbol=Qo,Qo}else return j.assertNode(h,Ay),We(h.locals,void 0,ee,Xe,Zt)}function Nt(ee){if(ee.parent&&rd(ee)&&(ee=ee.parent),!Ng(ee))return!1;if(!mH(ee)&&ee.fullName)return!0;const Xe=No(ee);return Xe?!!(HV(Xe.parent)&&ym(Xe.parent)||Wf(Xe.parent)&&Rk(Xe.parent)&32):!1}function $e(ee,Xe){const Zt=h,Nn=p,Is=g;if(Xe&1?(ee.kind!==219&&(p=h),h=g=ee,Xe&32&&(h.locals=_a(),Di(h))):Xe&2&&(g=ee,Xe&32&&(g.locals=void 0)),Xe&4){const Qo=N,To=R,Zo=F,uc=H,Xa=X,Yl=Q,ig=re,h0=Xe&16&&!Zr(ee,1024)&&!ee.asteriskToken&&!!VT(ee)||ee.kind===175;h0||(N=zy(2,void 0,void 0),Xe&144&&(N.node=ee)),H=h0||ee.kind===176||nr(ee)&&(ee.kind===262||ee.kind===218)?_i():void 0,X=void 0,R=void 0,F=void 0,Q=void 0,re=!1,wt(ee),ee.flags&=-5633,!(N.flags&1)&&Xe&8&&Dg(ee.body)&&(ee.flags|=512,re&&(ee.flags|=1024),ee.endFlowNode=N),ee.kind===307&&(ee.flags|=pe,ee.endFlowNode=N),H&&(qr(H,N),N=Mn(H),(ee.kind===176||ee.kind===175||nr(ee)&&(ee.kind===262||ee.kind===218))&&(ee.returnFlowNode=N)),h0||(N=Qo),R=To,F=Zo,H=uc,X=Xa,Q=Yl,re=ig}else Xe&64?(T=!1,wt(ee),j.assertNotNode(ee,ot),ee.flags=T?ee.flags|256:ee.flags&-257):wt(ee);h=Zt,p=Nn,g=Is}function et(ee){Me(ee,Xe=>Xe.kind===262?Xi(Xe):void 0),Me(ee,Xe=>Xe.kind!==262?Xi(Xe):void 0)}function Me(ee,Xe=Xi){ee!==void 0&&O(ee,Xe)}function ut(ee){Uo(ee,Xi,Me)}function wt(ee){const Xe=ue;if(ue=!1,ps(ee)){ut(ee),Mr(ee),ue=Xe;return}switch(ee.kind>=243&&ee.kind<=259&&(!r.allowUnreachableCode||ee.kind===253)&&(ee.flowNode=N),ee.kind){case 247:ma(ee);break;case 246:ka(ee);break;case 248:Ji(ee);break;case 249:case 250:ac(ee);break;case 245:St(ee);break;case 253:case 257:ri(ee);break;case 252:case 251:Se(ee);break;case 258:Ze(ee);break;case 255:gt(ee);break;case 269:Dt(ee);break;case 296:Je(ee);break;case 244:Rt(ee);break;case 256:ii(ee);break;case 224:gr(ee);break;case 225:te(ee);break;case 226:if(pS(ee)){ue=Xe,tt(ee);return}Te(ee);break;case 220:le(ee);break;case 227:Ue(ee);break;case 260:Qt(ee);break;case 211:case 212:Ai(ee);break;case 213:Xn(ee);break;case 235:Ri(ee);break;case 346:case 338:case 340:vn(ee);break;case 351:Un(ee);break;case 307:{et(ee.statements),Xi(ee.endOfFileToken);break}case 241:case 268:et(ee.statements);break;case 208:lt(ee);break;case 169:st(ee);break;case 210:case 209:case 303:case 230:ue=Xe;default:ut(ee);break}Mr(ee),ue=Xe}function Et(ee){switch(ee.kind){case 80:case 110:return!0;case 211:case 212:return zt(ee);case 213:return Ei(ee);case 217:if(FI(ee))return!1;case 235:return Et(ee.expression);case 226:return xn(ee);case 224:return ee.operator===54&&Et(ee.operand);case 221:return Et(ee.expression)}return!1}function dt(ee){switch(ee.kind){case 80:case 110:case 108:case 236:return!0;case 211:case 217:case 235:return dt(ee.expression);case 212:return(cm(ee.argumentExpression)||pl(ee.argumentExpression))&&dt(ee.expression);case 226:return ee.operatorToken.kind===28&&dt(ee.right)||Gb(ee.operatorToken.kind)&&N_(ee.left)}return!1}function zt(ee){return dt(ee)||gh(ee)&&zt(ee.expression)}function Ei(ee){if(ee.arguments){for(const Xe of ee.arguments)if(zt(Xe))return!0}return!!(ee.expression.kind===211&&zt(ee.expression.expression))}function tn(ee,Xe){return S3(ee)&&Pn(ee.expression)&&Bc(Xe)}function xn(ee){switch(ee.operatorToken.kind){case 64:case 76:case 77:case 78:return zt(ee.left);case 35:case 36:case 37:case 38:return Pn(ee.left)||Pn(ee.right)||tn(ee.right,ee.left)||tn(ee.left,ee.right)||C8(ee.right)&&Et(ee.left)||C8(ee.left)&&Et(ee.right);case 104:return Pn(ee.left);case 103:return Et(ee.right);case 28:return Et(ee.right)}return!1}function Pn(ee){switch(ee.kind){case 217:return Pn(ee.expression);case 226:switch(ee.operatorToken.kind){case 64:return Pn(ee.left);case 28:return Pn(ee.right)}}return zt(ee)}function _i(){return zy(4,void 0,void 0)}function Fi(){return zy(8,void 0,void 0)}function On(ee,Xe,Zt){return zy(1024,{target:ee,antecedents:Xe},Zt)}function pn(ee){ee.flags|=ee.flags&2048?4096:2048}function qr(ee,Xe){!(Xe.flags&1)&&!Yt(ee.antecedent,Xe)&&((ee.antecedent||(ee.antecedent=[])).push(Xe),pn(Xe))}function Fs(ee,Xe,Zt){return Xe.flags&1?Xe:Zt?(Zt.kind===112&&ee&64||Zt.kind===97&&ee&32)&&!fG(Zt)&&!Xoe(Zt.parent)?Ae:Et(Zt)?(pn(Xe),zy(ee,Zt,Xe)):Xe:ee&32?Xe:Ae}function ko(ee,Xe,Zt,Nn){return pn(ee),zy(128,{switchStatement:Xe,clauseStart:Zt,clauseEnd:Nn},ee)}function ls(ee,Xe,Zt){pn(Xe),oe=!0;const Nn=zy(ee,Zt,Xe);return X&&qr(X,Nn),Nn}function Qs(ee,Xe){return pn(ee),oe=!0,zy(512,Xe,ee)}function Mn(ee){const Xe=ee.antecedent;return Xe?Xe.length===1?Xe[0]:ee:Ae}function Zs(ee){const Xe=ee.parent;switch(Xe.kind){case 245:case 247:case 246:return Xe.expression===ee;case 248:case 227:return Xe.condition===ee}return!1}function at(ee){for(;;)if(ee.kind===217)ee=ee.expression;else if(ee.kind===224&&ee.operator===54)ee=ee.operand;else return WV(ee)}function yi(ee){return _ce(Dc(ee))}function Sn(ee){for(;c_(ee.parent)||n2(ee.parent)&&ee.parent.operator===54;)ee=ee.parent;return!Zs(ee)&&!at(ee.parent)&&!(gh(ee.parent)&&ee.parent.expression===ee)}function dr(ee,Xe,Zt,Nn){const Is=U,Qo=q;U=Zt,q=Nn,ee(Xe),U=Is,q=Qo}function us(ee,Xe,Zt){dr(Xi,ee,Xe,Zt),(!ee||!yi(ee)&&!at(ee)&&!(gh(ee)&&p8(ee)))&&(qr(Xe,Fs(32,N,ee)),qr(Zt,Fs(64,N,ee)))}function Xr(ee,Xe,Zt){const Nn=R,Is=F;R=Xe,F=Zt,Xi(ee),R=Nn,F=Is}function Gs(ee,Xe){let Zt=Q;for(;Zt&&ee.parent.kind===256;)Zt.continueTarget=Xe,Zt=Zt.next,ee=ee.parent;return Xe}function ma(ee){const Xe=Gs(ee,Fi()),Zt=_i(),Nn=_i();qr(Xe,N),N=Xe,us(ee.expression,Zt,Nn),N=Mn(Zt),Xr(ee.statement,Nn,Xe),qr(Xe,N),N=Mn(Nn)}function ka(ee){const Xe=Fi(),Zt=Gs(ee,_i()),Nn=_i();qr(Xe,N),N=Xe,Xr(ee.statement,Nn,Zt),qr(Zt,N),N=Mn(Zt),us(ee.expression,Xe,Nn),N=Mn(Nn)}function Ji(ee){const Xe=Gs(ee,Fi()),Zt=_i(),Nn=_i();Xi(ee.initializer),qr(Xe,N),N=Xe,us(ee.condition,Zt,Nn),N=Mn(Zt),Xr(ee.statement,Nn,Xe),Xi(ee.incrementor),qr(Xe,N),N=Mn(Nn)}function ac(ee){const Xe=Gs(ee,Fi()),Zt=_i();Xi(ee.expression),qr(Xe,N),N=Xe,ee.kind===250&&Xi(ee.awaitModifier),qr(Zt,N),Xi(ee.initializer),ee.initializer.kind!==261&&Ni(ee.initializer),Xr(ee.statement,Zt,Xe),qr(Xe,N),N=Mn(Zt)}function St(ee){const Xe=_i(),Zt=_i(),Nn=_i();us(ee.expression,Xe,Zt),N=Mn(Xe),Xi(ee.thenStatement),qr(Nn,N),N=Mn(Zt),Xi(ee.elseStatement),qr(Nn,N),N=Mn(Nn)}function ri(ee){Xi(ee.expression),ee.kind===253&&(re=!0,H&&qr(H,N)),N=Ae,oe=!0}function xe(ee){for(let Xe=Q;Xe;Xe=Xe.next)if(Xe.name===ee)return Xe}function pt(ee,Xe,Zt){const Nn=ee.kind===252?Xe:Zt;Nn&&(qr(Nn,N),N=Ae,oe=!0)}function Se(ee){if(Xi(ee.label),ee.label){const Xe=xe(ee.label.escapedText);Xe&&(Xe.referenced=!0,pt(ee,Xe.breakTarget,Xe.continueTarget))}else pt(ee,R,F)}function Ze(ee){const Xe=H,Zt=X,Nn=_i(),Is=_i();let Qo=_i();if(ee.finallyBlock&&(H=Is),qr(Qo,N),X=Qo,Xi(ee.tryBlock),qr(Nn,N),ee.catchClause&&(N=Mn(Qo),Qo=_i(),qr(Qo,N),X=Qo,Xi(ee.catchClause),qr(Nn,N)),H=Xe,X=Zt,ee.finallyBlock){const To=_i();To.antecedent=Js(Js(Nn.antecedent,Qo.antecedent),Is.antecedent),N=To,Xi(ee.finallyBlock),N.flags&1?N=Ae:(H&&Is.antecedent&&qr(H,On(To,Is.antecedent,N)),X&&Qo.antecedent&&qr(X,On(To,Qo.antecedent,N)),N=Nn.antecedent?On(To,Nn.antecedent,N):Ae)}else N=Mn(Nn)}function gt(ee){const Xe=_i();Xi(ee.expression);const Zt=R,Nn=Z;R=Xe,Z=N,Xi(ee.caseBlock),qr(Xe,N);const Is=O(ee.caseBlock.clauses,Qo=>Qo.kind===297);ee.possiblyExhaustive=!Is&&!Xe.antecedent,Is||qr(Xe,ko(Z,ee,0,0)),R=Zt,Z=Nn,N=Mn(Xe)}function Dt(ee){const Xe=ee.clauses,Zt=ee.parent.expression.kind===112||Et(ee.parent.expression);let Nn=Ae;for(let Is=0;Is<Xe.length;Is++){const Qo=Is;for(;!Xe[Is].statements.length&&Is+1<Xe.length;)Nn===Ae&&(N=Z),Xi(Xe[Is]),Is++;const To=_i();qr(To,Zt?ko(Z,ee.parent,Qo,Is+1):Z),qr(To,Nn),N=Mn(To);const Zo=Xe[Is];Xi(Zo),Nn=N,!(N.flags&1)&&Is!==Xe.length-1&&r.noFallthroughCasesInSwitch&&(Zo.fallthroughFlowNode=N)}}function Je(ee){const Xe=N;N=Z,Xi(ee.expression),N=Xe,Me(ee.statements)}function Rt(ee){Xi(ee.expression),Ct(ee.expression)}function Ct(ee){if(ee.kind===213){const Xe=ee;Xe.expression.kind!==108&&VV(Xe.expression)&&(N=Qs(N,Xe))}}function ii(ee){const Xe=_i();Q={next:Q,name:ee.label.escapedText,breakTarget:Xe,continueTarget:void 0,referenced:!1},Xi(ee.label),Xi(ee.statement),!Q.referenced&&!r.allowUnusedLabels&&Mi(NDe(r),ee.label,k.Unused_label),Q=Q.next,qr(Xe,N),N=Mn(Xe)}function vt(ee){ee.kind===226&&ee.operatorToken.kind===64?Ni(ee.left):Ni(ee)}function Ni(ee){if(dt(ee))N=ls(16,N,ee);else if(ee.kind===209)for(const Xe of ee.elements)Xe.kind===230?Ni(Xe.expression):vt(Xe);else if(ee.kind===210)for(const Xe of ee.properties)Xe.kind===303?vt(Xe.initializer):Xe.kind===304?Ni(Xe.name):Xe.kind===305&&Ni(Xe.expression)}function ji(ee,Xe,Zt){const Nn=_i();ee.operatorToken.kind===56||ee.operatorToken.kind===77?us(ee.left,Nn,Zt):us(ee.left,Xe,Nn),N=Mn(Nn),Xi(ee.operatorToken),U8(ee.operatorToken.kind)?(dr(Xi,ee.right,Xe,Zt),Ni(ee.left),qr(Xe,Fs(32,N,ee)),qr(Zt,Fs(64,N,ee))):us(ee.right,Xe,Zt)}function gr(ee){if(ee.operator===54){const Xe=U;U=q,q=Xe,ut(ee),q=U,U=Xe}else ut(ee),(ee.operator===46||ee.operator===47)&&Ni(ee.operand)}function te(ee){ut(ee),(ee.operator===46||ee.operator===47)&&Ni(ee.operand)}function tt(ee){ue?(ue=!1,Xi(ee.operatorToken),Xi(ee.right),ue=!0,Xi(ee.left)):(ue=!0,Xi(ee.left),ue=!1,Xi(ee.operatorToken),Xi(ee.right)),Ni(ee.left)}function Gt(){return BX(ee,Xe,Zt,Nn,Is,void 0);function ee(To,Zo){if(Zo){Zo.stackIndex++,_c(To,u);const Xa=de;Ic(To);const Yl=u;u=To,Zo.skip=!1,Zo.inStrictModeStack[Zo.stackIndex]=Xa,Zo.parentStack[Zo.stackIndex]=Yl}else Zo={stackIndex:0,skip:!1,inStrictModeStack:[void 0],parentStack:[void 0]};const uc=To.operatorToken.kind;if(CK(uc)||U8(uc)){if(Sn(To)){const Xa=_i(),Yl=N,ig=oe;oe=!1,ji(To,Xa,Xa),N=oe?Mn(Xa):Yl,oe||(oe=ig)}else ji(To,U,q);Zo.skip=!0}return Zo}function Xe(To,Zo,uc){if(!Zo.skip){const Xa=Qo(To);return uc.operatorToken.kind===28&&Ct(To),Xa}}function Zt(To,Zo,uc){Zo.skip||Xi(To)}function Nn(To,Zo,uc){if(!Zo.skip){const Xa=Qo(To);return uc.operatorToken.kind===28&&Ct(To),Xa}}function Is(To,Zo){if(!Zo.skip){const Yl=To.operatorToken.kind;if(Gb(Yl)&&!fS(To)&&(Ni(To.left),Yl===64&&To.left.kind===212)){const ig=To.left;Pn(ig.expression)&&(N=ls(256,N,To))}}const uc=Zo.inStrictModeStack[Zo.stackIndex],Xa=Zo.parentStack[Zo.stackIndex];uc!==void 0&&(de=uc),Xa!==void 0&&(u=Xa),Zo.skip=!1,Zo.stackIndex--}function Qo(To){if(To&&ur(To)&&!pS(To))return To;Xi(To)}}function le(ee){ut(ee),ee.expression.kind===211&&Ni(ee.expression)}function Ue(ee){const Xe=_i(),Zt=_i(),Nn=_i(),Is=N,Qo=oe;oe=!1,us(ee.condition,Xe,Zt),N=Mn(Xe),Xi(ee.questionToken),Xi(ee.whenTrue),qr(Nn,N),N=Mn(Zt),Xi(ee.colonToken),Xi(ee.whenFalse),qr(Nn,N),N=oe?Mn(Nn):Is,oe||(oe=Qo)}function be(ee){const Xe=Bd(ee)?void 0:ee.name;if(ta(Xe))for(const Zt of Xe.elements)be(Zt);else N=ls(16,N,ee)}function Qt(ee){ut(ee),(ee.initializer||fI(ee.parent.parent))&&be(ee)}function lt(ee){Xi(ee.dotDotDotToken),Xi(ee.propertyName),hi(ee.initializer),Xi(ee.name)}function st(ee){Me(ee.modifiers),Xi(ee.dotDotDotToken),Xi(ee.questionToken),Xi(ee.type),hi(ee.initializer),Xi(ee.name)}function hi(ee){if(!ee)return;const Xe=N;if(Xi(ee),Xe===Ae||Xe===N)return;const Zt=_i();qr(Zt,Xe),qr(Zt,N),N=Mn(Zt)}function vn(ee){Xi(ee.tagName),ee.kind!==340&&ee.fullName&&(_c(ee.fullName,ee),Qb(ee.fullName,!1)),typeof ee.comment!="string"&&Me(ee.comment)}function ln(ee){ut(ee);const Xe=Jk(ee);Xe&&Xe.kind!==174&&Oe(Xe.symbol,Xe,32)}function Un(ee){Xi(ee.tagName),Xi(ee.moduleSpecifier),Xi(ee.attributes),typeof ee.comment!="string"&&Me(ee.comment)}function Pt(ee,Xe,Zt){dr(Xi,ee,Xe,Zt),(!gh(ee)||p8(ee))&&(qr(Xe,Fs(32,N,ee)),qr(Zt,Fs(64,N,ee)))}function Bt(ee){switch(ee.kind){case 211:Xi(ee.questionDotToken),Xi(ee.name);break;case 212:Xi(ee.questionDotToken),Xi(ee.argumentExpression);break;case 213:Xi(ee.questionDotToken),Me(ee.typeArguments),Me(ee.arguments);break}}function fi(ee,Xe,Zt){const Nn=_8(ee)?_i():void 0;Pt(ee.expression,Nn||Xe,Zt),Nn&&(N=Mn(Nn)),dr(Bt,ee,Xe,Zt),p8(ee)&&(qr(Xe,Fs(32,N,ee)),qr(Zt,Fs(64,N,ee)))}function wi(ee){if(Sn(ee)){const Xe=_i(),Zt=N,Nn=oe;fi(ee,Xe,Xe),N=oe?Mn(Xe):Zt,oe||(oe=Nn)}else fi(ee,U,q)}function Ri(ee){gh(ee)?wi(ee):ut(ee)}function Ai(ee){gh(ee)?wi(ee):ut(ee)}function Xn(ee){if(gh(ee))wi(ee);else{const Xe=Dc(ee.expression);Xe.kind===218||Xe.kind===219?(Me(ee.typeArguments),Me(ee.arguments),Xi(ee.expression)):(ut(ee),ee.expression.kind===108&&(N=Qs(N,ee)))}if(ee.expression.kind===211){const Xe=ee.expression;ot(Xe.name)&&Pn(Xe.expression)&&Yae(Xe.name)&&(N=ls(256,N,ee))}}function Di(ee){C&&(C.nextContainer=ee),C=ee}function on(ee,Xe,Zt){switch(h.kind){case 267:return ze(ee,Xe,Zt);case 307:return cn(ee,Xe,Zt);case 231:case 263:return zi(ee,Xe,Zt);case 266:return We(h.symbol.exports,h.symbol,ee,Xe,Zt);case 187:case 322:case 210:case 264:case 292:return We(h.symbol.members,h.symbol,ee,Xe,Zt);case 184:case 185:case 179:case 180:case 323:case 181:case 174:case 173:case 176:case 177:case 178:case 262:case 218:case 219:case 317:case 175:case 265:case 200:return h.locals&&j.assertNode(h,Ay),We(h.locals,void 0,ee,Xe,Zt)}}function zi(ee,Xe,Zt){return pa(ee)?We(h.symbol.exports,h.symbol,ee,Xe,Zt):We(h.symbol.members,h.symbol,ee,Xe,Zt)}function cn(ee,Xe,Zt){return wd(t)?ze(ee,Xe,Zt):We(t.locals,void 0,ee,Xe,Zt)}function vi(ee){const Xe=Os(ee)?ee:Jr(ee.body,H1);return!!Xe&&Xe.statements.some(Zt=>Ju(Zt)||Il(Zt))}function qo(ee){ee.flags&33554432&&!vi(ee)?ee.flags|=128:ee.flags&=-129}function Wo(ee){if(qo(ee),Vh(ee))if(Zr(ee,32)&&ci(ee,k.export_modifier_cannot_be_applied_to_ambient_modules_and_module_augmentations_since_they_are_always_visible),wae(ee))$o(ee);else{let Xe;if(ee.name.kind===11){const{text:Nn}=ee.name;Xe=_3(Nn),Xe===void 0&&ci(ee.name,k.Pattern_0_can_have_at_most_one_Asterisk_character,Nn)}const Zt=on(ee,512,110735);t.patternAmbientModules=fn(t.patternAmbientModules,Xe&&!Oo(Xe)?{pattern:Xe,symbol:Zt}:void 0)}else{const Xe=$o(ee);if(Xe!==0){const{symbol:Zt}=ee;Zt.constEnumOnlyModule=!(Zt.flags&304)&&Xe===2&&Zt.constEnumOnlyModule!==!1}}}function $o(ee){const Xe=nC(ee),Zt=Xe!==0;return on(ee,Zt?512:1024,Zt?110735:0),Xe}function Lc(ee){const Xe=Ne(131072,He(ee));Oe(Xe,ee,131072);const Zt=Ne(2048,"__type");Oe(Zt,ee,2048),Zt.members=_a(),Zt.members.set(Xe.escapedName,Xe)}function vu(ee){return Ls(ee,4096,"__object")}function Gu(ee){return Ls(ee,4096,"__jsxAttributes")}function Zc(ee,Xe,Zt){return on(ee,Xe,Zt)}function Ls(ee,Xe,Zt){const Nn=Ne(Xe,Zt);return Xe&106508&&(Nn.parent=h.symbol),Oe(Nn,ee,Xe),Nn}function ja(ee,Xe,Zt){switch(g.kind){case 267:ze(ee,Xe,Zt);break;case 307:if(Cp(h)){ze(ee,Xe,Zt);break}default:j.assertNode(g,Ay),g.locals||(g.locals=_a(),Di(g)),We(g.locals,void 0,ee,Xe,Zt)}}function wf(){if(!b)return;const ee=h,Xe=C,Zt=g,Nn=u,Is=N;for(const Qo of b){const To=Qo.parent.parent;h=FG(To)||t,g=R0(To)||t,N=zy(2,void 0,void 0),u=Qo,Xi(Qo.typeExpression);const Zo=No(Qo);if((mH(Qo)||!Qo.fullName)&&Zo&&HV(Zo.parent)){const uc=ym(Zo.parent);if(uc){Y_(t.symbol,Zo.parent,uc,!!Qi(Zo,Yl=>Nr(Yl)&&Yl.name.escapedText==="prototype"),!1);const Xa=h;switch(yV(Zo.parent)){case 1:case 2:Cp(t)?h=t:h=void 0;break;case 4:h=Zo.parent.expression;break;case 3:h=Zo.parent.expression.name;break;case 5:h=dD(t,Zo.parent.expression)?t:Nr(Zo.parent.expression)?Zo.parent.expression.name:Zo.parent.expression;break;case 0:return j.fail("Shouldn't have detected typedef or enum on non-assignment declaration")}h&&ze(Qo,524288,788968),h=Xa}}else mH(Qo)||!Qo.fullName||Qo.fullName.kind===80?(u=Qo.parent,ja(Qo,524288,788968)):Xi(Qo.fullName)}h=ee,C=Xe,g=Zt,u=Nn,N=Is}function Jo(){if(E===void 0)return;const ee=h,Xe=C,Zt=g,Nn=u,Is=N;for(const Qo of E){const To=zT(Qo),Zo=To?FG(To):void 0,uc=To?R0(To):void 0;h=Zo||t,g=uc||t,N=zy(2,void 0,void 0),u=Qo,Xi(Qo.importClause)}h=ee,C=Xe,g=Zt,u=Nn,N=Is}function kh(ee){if(!t.parseDiagnostics.length&&!(ee.flags&33554432)&&!(ee.flags&16777216)&&!WTe(ee)){const Xe=aI(ee);if(Xe===void 0)return;de&&Xe>=119&&Xe<=127?t.bindDiagnostics.push(De(ee,md(ee),al(ee))):Xe===135?wd(t)&&JG(ee)?t.bindDiagnostics.push(De(ee,k.Identifier_expected_0_is_a_reserved_word_at_the_top_level_of_a_module,al(ee))):ee.flags&65536&&t.bindDiagnostics.push(De(ee,k.Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here,al(ee))):Xe===127&&ee.flags&16384&&t.bindDiagnostics.push(De(ee,k.Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here,al(ee)))}}function md(ee){return Cf(ee)?k.Identifier_expected_0_is_a_reserved_word_in_strict_mode_Class_definitions_are_automatically_in_strict_mode:t.externalModuleIndicator?k.Identifier_expected_0_is_a_reserved_word_in_strict_mode_Modules_are_automatically_in_strict_mode:k.Identifier_expected_0_is_a_reserved_word_in_strict_mode}function go(ee){ee.escapedText==="#constructor"&&(t.parseDiagnostics.length||t.bindDiagnostics.push(De(ee,k.constructor_is_a_reserved_word,al(ee))))}function pc(ee){de&&N_(ee.left)&&Gb(ee.operatorToken.kind)&&ki(ee,ee.left)}function mc(ee){de&&ee.variableDeclaration&&ki(ee,ee.variableDeclaration.name)}function oo(ee){if(de&&ee.expression.kind===80){const Xe=pI(t,ee.expression);t.bindDiagnostics.push(Md(t,Xe.start,Xe.length,k.delete_cannot_be_called_on_an_identifier_in_strict_mode))}}function tc(ee){return ot(ee)&&(ee.escapedText==="eval"||ee.escapedText==="arguments")}function ki(ee,Xe){if(Xe&&Xe.kind===80){const Zt=Xe;if(tc(Zt)){const Nn=pI(t,Xe);t.bindDiagnostics.push(Md(t,Nn.start,Nn.length,Gc(ee),Fr(Zt)))}}}function Gc(ee){return Cf(ee)?k.Code_contained_in_a_class_is_evaluated_in_JavaScript_s_strict_mode_which_does_not_allow_this_use_of_0_For_more_information_see_https_Colon_Slash_Slashdeveloper_mozilla_org_Slashen_US_Slashdocs_SlashWeb_SlashJavaScript_SlashReference_SlashStrict_mode:t.externalModuleIndicator?k.Invalid_use_of_0_Modules_are_automatically_in_strict_mode:k.Invalid_use_of_0_in_strict_mode}function uh(ee){de&&!(ee.flags&33554432)&&ki(ee,ee.name)}function sd(ee){return Cf(ee)?k.Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5_Class_definitions_are_automatically_in_strict_mode:t.externalModuleIndicator?k.Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5_Modules_are_automatically_in_strict_mode:k.Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES5}function Pp(ee){if(a<2&&g.kind!==307&&g.kind!==267&&!B6(g)){const Xe=pI(t,ee);t.bindDiagnostics.push(Md(t,Xe.start,Xe.length,sd(ee)))}}function Nl(ee){de&&ki(ee,ee.operand)}function Ve(ee){de&&(ee.operator===46||ee.operator===47)&&ki(ee,ee.operand)}function _e(ee){de&&ci(ee,k.with_statements_are_not_allowed_in_strict_mode)}function Lt(ee){de&&Ja(r)>=2&&(M2e(ee.statement)||Lu(ee.statement))&&ci(ee.label,k.A_label_is_not_allowed_here)}function ci(ee,Xe,...Zt){const Nn=E1(t,ee.pos);t.bindDiagnostics.push(Md(t,Nn.start,Nn.length,Xe,...Zt))}function Mi(ee,Xe,Zt){Rn(ee,Xe,Xe,Zt)}function Rn(ee,Xe,Zt,Nn){Yr(ee,{pos:ex(Xe,t),end:Zt.end},Nn)}function Yr(ee,Xe,Zt){const Nn=Md(t,Xe.pos,Xe.end-Xe.pos,Zt);ee?t.bindDiagnostics.push(Nn):t.bindSuggestionDiagnostics=fn(t.bindSuggestionDiagnostics,{...Nn,category:2})}function Xi(ee){if(!ee)return;_c(ee,u),Zn&&(ee.tracingPath=t.path);const Xe=de;if(Ic(ee),ee.kind>165){const Zt=u;u=ee;const Nn=wue(ee);Nn===0?wt(ee):$e(ee,Nn),u=Zt}else{const Zt=u;ee.kind===1&&(u=ee),Mr(ee),u=Zt}de=Xe}function Mr(ee){if(jp(ee))if(nr(ee))for(const Xe of ee.jsDoc)Xi(Xe);else for(const Xe of ee.jsDoc)_c(Xe,ee),Qb(Xe,!1)}function ds(ee){if(!de)for(const Xe of ee){if(!I1(Xe))return;if(Ks(Xe)){de=!0;return}}}function Ks(ee){const Xe=RT(t,ee.expression);return Xe==='"use strict"'||Xe==="'use strict'"}function Ic(ee){switch(ee.kind){case 80:if(ee.flags&4096){let To=ee.parent;for(;To&&!Ng(To);)To=To.parent;ja(To,524288,788968);break}case 110:return N&&(jt(ee)||u.kind===304)&&(ee.flowNode=N),kh(ee);case 166:N&&QG(ee)&&(ee.flowNode=N);break;case 236:case 108:ee.flowNode=N;break;case 81:return go(ee);case 211:case 212:const Xe=ee;N&&dt(Xe)&&(Xe.flowNode=N),NTe(Xe)&&Hu(Xe),nr(Xe)&&t.commonJsModuleIndicator&&Wv(Xe)&&!dQ(g,"module")&&We(t.locals,void 0,Xe.expression,134217729,111550);break;case 226:switch(Bu(ee)){case 1:Ye(ee);break;case 2:li(ee);break;case 3:Pc(ee.left,ee);break;case 6:Hd(ee);break;case 4:po(ee);break;case 5:const To=ee.left.expression;if(nr(ee)&&ot(To)){const Zo=dQ(g,To.escapedText);if(GG(Zo==null?void 0:Zo.valueDeclaration)){po(ee);break}}Ap(ee);break;case 0:break;default:j.fail("Unknown binary expression special property assignment kind")}return pc(ee);case 299:return mc(ee);case 220:return oo(ee);case 225:return Nl(ee);case 224:return Ve(ee);case 254:return _e(ee);case 256:return Lt(ee);case 197:T=!0;return;case 182:break;case 168:return Wn(ee);case 169:return ve(ee);case 260:return vm(ee);case 208:return ee.flowNode=N,vm(ee);case 172:case 171:return Gl(ee);case 303:case 304:return Ci(ee,4,0);case 306:return Ci(ee,8,900095);case 179:case 180:case 181:return on(ee,131072,0);case 174:case 173:return Ci(ee,8192|(ee.questionToken?16777216:0),Ig(ee)?0:103359);case 262:return Ot(ee);case 176:return on(ee,16384,0);case 177:return Ci(ee,32768,46015);case 178:return Ci(ee,65536,78783);case 184:case 317:case 323:case 185:return Lc(ee);case 187:case 322:case 200:return Pu(ee);case 332:return ln(ee);case 210:return vu(ee);case 218:case 219:return mi(ee);case 213:switch(Bu(ee)){case 7:return od(ee);case 8:return eg(ee);case 9:return Yc(ee);case 0:break;default:return j.fail("Unknown call expression assignment declaration kind")}nr(ee)&&lC(ee);break;case 231:case 263:return de=!0,h_(ee);case 264:return ja(ee,64,788872);case 265:return ja(ee,524288,788968);case 266:return Yv(ee);case 267:return Wo(ee);case 292:return Gu(ee);case 291:return Zc(ee,4,0);case 271:case 274:case 276:case 281:return on(ee,2097152,2097152);case 270:return Cu(ee);case 273:return Qu(ee);case 278:return gm(ee);case 277:return Wl(ee);case 307:return ds(ee.statements),Ku();case 241:if(!B6(ee.parent))return;case 268:return ds(ee.statements);case 341:if(ee.parent.kind===323)return ve(ee);if(ee.parent.kind!==322)break;case 348:const Is=ee,Qo=Is.isBracketed||Is.typeExpression&&Is.typeExpression.type.kind===316?16777220:4;return on(Is,Qo,0);case 346:case 338:case 340:return(b||(b=[])).push(ee);case 339:return Xi(ee.typeExpression);case 351:return(E||(E=[])).push(ee)}}function Gl(ee){const Xe=I_(ee),Zt=Xe?98304:4,Nn=Xe?13247:0;return Ci(ee,Zt|(ee.questionToken?16777216:0),Nn)}function Pu(ee){return Ls(ee,2048,"__type")}function Ku(){if(qo(t),wd(t))Xu();else if(Eg(t)){Xu();const ee=t.symbol;We(t.symbol.exports,t.symbol,t,4,-1),t.symbol=ee}}function Xu(){Ls(t,512,`"${s_(t.fileName)}"`)}function Wl(ee){if(!h.symbol||!h.symbol.exports)Ls(ee,111551,He(ee));else{const Xe=EV(ee)?2097152:4,Zt=We(h.symbol.exports,h.symbol,ee,Xe,-1);ee.isExportEquals&&bV(Zt,ee)}}function Cu(ee){Ft(ee.modifiers)&&t.bindDiagnostics.push(De(ee,k.Modifiers_cannot_appear_here));const Xe=Os(ee.parent)?wd(ee.parent)?ee.parent.isDeclarationFile?void 0:k.Global_module_exports_may_only_appear_in_declaration_files:k.Global_module_exports_may_only_appear_in_module_files:k.Global_module_exports_may_only_appear_at_top_level;Xe?t.bindDiagnostics.push(De(ee,Xe)):(t.symbol.globalExports=t.symbol.globalExports||_a(),We(t.symbol.globalExports,t.symbol,ee,2097152,2097152))}function gm(ee){!h.symbol||!h.symbol.exports?Ls(ee,8388608,He(ee)):ee.exportClause?jy(ee.exportClause)&&(_c(ee.exportClause,ee),We(h.symbol.exports,h.symbol,ee.exportClause,2097152,2097152)):We(h.symbol.exports,h.symbol,ee,8388608,0)}function Qu(ee){ee.name&&on(ee,2097152,2097152)}function kd(ee){return t.externalModuleIndicator&&t.externalModuleIndicator!==!0?!1:(t.commonJsModuleIndicator||(t.commonJsModuleIndicator=ee,t.externalModuleIndicator||Xu()),!0)}function eg(ee){if(!kd(ee))return;const Xe=jd(ee.arguments[0],void 0,(Zt,Nn)=>(Nn&&Oe(Nn,Zt,67110400),Nn));Xe&&We(Xe.exports,Xe,ee,1048580,0)}function Ye(ee){if(!kd(ee))return;const Xe=jd(ee.left.expression,void 0,(Zt,Nn)=>(Nn&&Oe(Nn,Zt,67110400),Nn));if(Xe){const Nn=sK(ee.right)&&(yI(ee.left.expression)||Wv(ee.left.expression))?2097152:1048580;_c(ee.left,ee),We(Xe.exports,Xe,ee.left,Nn,0)}}function li(ee){if(!kd(ee))return;const Xe=mV(ee.right);if(yce(Xe)||h===t&&dD(t,Xe))return;if(Aa(Xe)&&Ce(Xe.properties,lh)){O(Xe.properties,En);return}const Zt=EV(ee)?2097152:1049092,Nn=We(t.symbol.exports,t.symbol,ee,Zt|67108864,0);bV(Nn,ee)}function En(ee){We(t.symbol.exports,t.symbol,ee,69206016,0)}function po(ee){if(j.assert(nr(ee)),ur(ee)&&Nr(ee.left)&&Vs(ee.left.name)||Nr(ee)&&Vs(ee.name))return;const Zt=Hh(ee,!1,!1);switch(Zt.kind){case 262:case 218:let Nn=Zt.symbol;if(ur(Zt.parent)&&Zt.parent.operatorToken.kind===64){const To=Zt.parent.left;jT(To)&&rx(To.expression)&&(Nn=F_(To.expression.expression,p))}Nn&&Nn.valueDeclaration&&(Nn.members=Nn.members||_a(),Jb(ee)?ic(ee,Nn,Nn.members):We(Nn.members,Nn,ee,67108868,0),Oe(Nn,Nn.valueDeclaration,32));break;case 176:case 172:case 174:case 177:case 178:case 175:const Is=Zt.parent,Qo=pa(Zt)?Is.symbol.exports:Is.symbol.members;Jb(ee)?ic(ee,Is.symbol,Qo):We(Qo,Is.symbol,ee,67108868,0,!0);break;case 307:if(Jb(ee))break;Zt.commonJsModuleIndicator?We(Zt.symbol.exports,Zt.symbol,ee,1048580,0):on(ee,1,111550);break;case 267:break;default:j.failBadSyntaxKind(Zt)}}function ic(ee,Xe,Zt){We(Zt,Xe,ee,4,0,!0,!0),sc(ee,Xe)}function sc(ee,Xe){Xe&&(Xe.assignmentDeclarationMembers||(Xe.assignmentDeclarationMembers=new Map)).set(Sc(ee),ee)}function Hu(ee){ee.expression.kind===110?po(ee):jT(ee)&&ee.parent.parent.kind===307&&(rx(ee.expression)?Pc(ee,ee.parent):Vg(ee))}function Hd(ee){_c(ee.left,ee),_c(ee.right,ee),tg(ee.left.expression,ee.left,!1,!0)}function Yc(ee){const Xe=F_(ee.arguments[0].expression);Xe&&Xe.valueDeclaration&&Oe(Xe,Xe.valueDeclaration,32),Jp(ee,Xe,!0)}function Pc(ee,Xe){const Zt=ee.expression,Nn=Zt.expression;_c(Nn,Zt),_c(Zt,ee),_c(ee,Xe),tg(Nn,ee,!0,!0)}function od(ee){let Xe=F_(ee.arguments[0]);const Zt=ee.parent.parent.kind===307;Xe=Y_(Xe,ee.arguments[0],Zt,!1,!1),Jp(ee,Xe,!1)}function Ap(ee){var Xe;const Zt=F_(ee.left.expression,g)||F_(ee.left.expression,h);if(!nr(ee)&&!LTe(Zt))return;const Nn=u3(ee.left);if(!(ot(Nn)&&((Xe=dQ(h,Nn.escapedText))==null?void 0:Xe.flags)&2097152))if(_c(ee.left,ee),_c(ee.right,ee),ot(ee.left.expression)&&h===t&&dD(t,ee.left.expression))Ye(ee);else if(Jb(ee)){Ls(ee,67108868,"__computed");const Is=Y_(Zt,ee.left.expression,ym(ee.left),!1,!1);sc(ee,Is)}else Vg(ha(ee.left,bI))}function Vg(ee){j.assert(!ot(ee)),_c(ee.expression,ee),tg(ee.expression,ee,!1,!1)}function Y_(ee,Xe,Zt,Nn,Is){return(ee==null?void 0:ee.flags)&2097152||(Zt&&!Nn&&(ee=jd(Xe,ee,(Zo,uc,Xa)=>{if(uc)return Oe(uc,Zo,67110400),uc;{const Yl=Xa?Xa.exports:t.jsGlobalAugmentations||(t.jsGlobalAugmentations=_a());return We(Yl,Xa,Zo,67110400,110735)}})),Is&&ee&&ee.valueDeclaration&&Oe(ee,ee.valueDeclaration,32)),ee}function Jp(ee,Xe,Zt){if(!Xe||!Zv(Xe))return;const Nn=Zt?Xe.members||(Xe.members=_a()):Xe.exports||(Xe.exports=_a());let Is=0,Qo=0;Qc(dP(ee))?(Is=8192,Qo=103359):la(ee)&&vI(ee)&&(Ft(ee.arguments[2].properties,To=>{const Zo=No(To);return!!Zo&&ot(Zo)&&Fr(Zo)==="set"})&&(Is|=65540,Qo|=78783),Ft(ee.arguments[2].properties,To=>{const Zo=No(To);return!!Zo&&ot(Zo)&&Fr(Zo)==="get"})&&(Is|=32772,Qo|=46015)),Is===0&&(Is=4,Qo=0),We(Nn,Xe,ee,Is|67108864,Qo&-67108865)}function ym(ee){return ur(ee.parent)?Hg(ee.parent).parent.kind===307:ee.parent.parent.kind===307}function tg(ee,Xe,Zt,Nn){let Is=F_(ee,g)||F_(ee,h);const Qo=ym(Xe);Is=Y_(Is,Xe.expression,Qo,Zt,Nn),Jp(Xe,Is,Zt)}function Zv(ee){if(ee.flags&1072)return!0;const Xe=ee.valueDeclaration;if(Xe&&la(Xe))return!!dP(Xe);let Zt=Xe?_s(Xe)?Xe.initializer:ur(Xe)?Xe.right:Nr(Xe)&&ur(Xe.parent)?Xe.parent.right:void 0:void 0;if(Zt=Zt&&mV(Zt),Zt){const Nn=rx(_s(Xe)?Xe.name:ur(Xe)?Xe.left:Xe);return!!$k(ur(Zt)&&(Zt.operatorToken.kind===57||Zt.operatorToken.kind===61)?Zt.right:Zt,Nn)}return!1}function Hg(ee){for(;ur(ee.parent);)ee=ee.parent;return ee.parent}function F_(ee,Xe=h){if(ot(ee))return dQ(Xe,ee.escapedText);{const Zt=F_(ee.expression);return Zt&&Zt.exports&&Zt.exports.get($b(ee))}}function jd(ee,Xe,Zt){if(dD(t,ee))return t.symbol;if(ot(ee))return Zt(ee,F_(ee),Xe);{const Nn=jd(ee.expression,Xe,Zt),Is=gV(ee);return Vs(Is)&&j.fail("unexpected PrivateIdentifier"),Zt(Is,Nn&&Nn.exports&&Nn.exports.get($b(ee)),Nn)}}function lC(ee){!t.commonJsModuleIndicator&&L_(ee,!1)&&kd(ee)}function h_(ee){if(ee.kind===263)ja(ee,32,899503);else{const Is=ee.name?ee.name.escapedText:"__class";Ls(ee,32,Is),ee.name&&he.add(ee.name.escapedText)}const{symbol:Xe}=ee,Zt=Ne(4194308,"prototype"),Nn=Xe.exports.get(Zt.escapedName);Nn&&(ee.name&&_c(ee.name,ee),t.bindDiagnostics.push(De(Nn.declarations[0],k.Duplicate_identifier_0,Eu(Zt)))),Xe.exports.set(Zt.escapedName,Zt),Zt.parent=Xe}function Yv(ee){return Uk(ee)?ja(ee,128,899967):ja(ee,256,899327)}function vm(ee){if(de&&ki(ee,ee.name),!ta(ee.name)){const Xe=ee.kind===260?ee:ee.parent.parent;nr(ee)&&HT(Xe)&&!Fk(ee)&&!(Rk(ee)&32)?on(ee,2097152,2097152):bae(ee)?ja(ee,2,111551):Qk(ee)?on(ee,1,111551):on(ee,1,111550)}}function ve(ee){if(!(ee.kind===341&&h.kind!==323)&&(de&&!(ee.flags&33554432)&&ki(ee,ee.name),ta(ee.name)?Ls(ee,1,"__"+ee.parent.parameters.indexOf(ee)):on(ee,1,111551),vp(ee,ee.parent))){const Xe=ee.parent.parent;We(Xe.symbol.members,Xe.symbol,ee,4|(ee.questionToken?16777216:0),0)}}function Ot(ee){!t.isDeclarationFile&&!(ee.flags&33554432)&&W8(ee)&&(pe|=4096),uh(ee),de?(Pp(ee),ja(ee,16,110991)):on(ee,16,110991)}function mi(ee){!t.isDeclarationFile&&!(ee.flags&33554432)&&W8(ee)&&(pe|=4096),N&&(ee.flowNode=N),uh(ee);const Xe=ee.name?ee.name.escapedText:"__function";return Ls(ee,16,Xe)}function Ci(ee,Xe,Zt){return!t.isDeclarationFile&&!(ee.flags&33554432)&&W8(ee)&&(pe|=4096),N&&zG(ee)&&(ee.flowNode=N),Jb(ee)?Ls(ee,Xe,"__computed"):on(ee,Xe,Zt)}function hn(ee){const Xe=Qi(ee,Zt=>Zt.parent&&iD(Zt.parent)&&Zt.parent.extendsType===Zt);return Xe&&Xe.parent}function Wn(ee){if(Rg(ee.parent)){const Xe=rK(ee.parent);Xe?(j.assertNode(Xe,Ay),Xe.locals??(Xe.locals=_a()),We(Xe.locals,void 0,ee,262144,526824)):on(ee,262144,526824)}else if(ee.parent.kind===195){const Xe=hn(ee.parent);Xe?(j.assertNode(Xe,Ay),Xe.locals??(Xe.locals=_a()),We(Xe.locals,void 0,ee,262144,526824)):Ls(ee,262144,He(ee))}else on(ee,262144,526824)}function Lr(ee){const Xe=nC(ee);return Xe===1||Xe===2&&sx(r)}function ps(ee){if(!(N.flags&1))return!1;if(N===Ae&&($W(ee)&&ee.kind!==242||ee.kind===263||MYe(ee,r)||ee.kind===267&&Lr(ee))&&(N=ke,!r.allowUnreachableCode)){const Zt=IDe(r)&&!(ee.flags&33554432)&&(!Lu(ee)||!!(zb(ee.declarationList)&7)||ee.declarationList.declarations.some(Nn=>!!Nn.initializer));wMt(ee,r,(Nn,Is)=>Rn(Zt,Nn,Is,k.Unreachable_code_detected))}return!0}}function MYe(t,r){return t.kind===266&&(!Uk(t)||sx(r))}function wMt(t,r,a){if(Ps(t)&&u(t)&&Xo(t.parent)){const{statements:p}=t.parent,g=jce(p,t);Ey(g,u,(C,b)=>a(g[C],g[b-1]))}else a(t,t);function u(p){return!Wu(p)&&!h(p)&&!(Lu(p)&&!(zb(p)&7)&&p.declarationList.declarations.some(g=>!g.initializer))}function h(p){switch(p.kind){case 264:case 265:return!0;case 267:return nC(p)!==1;case 266:return!MYe(p,r);default:return!1}}}function dD(t,r){let a=0;const u=JR();for(u.enqueue(r);!u.isEmpty()&&a<100;){if(a++,r=u.dequeue(),yI(r)||Wv(r))return!0;if(ot(r)){const h=dQ(t,r.escapedText);if(h&&h.valueDeclaration&&_s(h.valueDeclaration)&&h.valueDeclaration.initializer){const p=h.valueDeclaration.initializer;u.enqueue(p),lf(p,!0)&&(u.enqueue(p.left),u.enqueue(p.right))}}}return!1}function wue(t){switch(t.kind){case 231:case 263:case 266:case 210:case 187:case 322:case 292:return 1;case 264:return 65;case 267:case 265:case 200:case 181:return 33;case 307:return 37;case 177:case 178:case 174:if(zG(t))return 173;case 176:case 262:case 173:case 179:case 323:case 317:case 184:case 180:case 185:case 175:return 45;case 218:case 219:return 61;case 268:return 4;case 172:return t.initializer?4:0;case 299:case 248:case 249:case 250:case 269:return 34;case 241:return Ho(t.parent)||gu(t.parent)?0:34}return 0}function dQ(t,r){var a,u,h,p;const g=(u=(a=Jr(t,Ay))==null?void 0:a.locals)==null?void 0:u.get(r);if(g)return g.exportSymbol??g;if(Os(t)&&t.jsGlobalAugmentations&&t.jsGlobalAugmentations.has(r))return t.jsGlobalAugmentations.get(r);if(M0(t))return(p=(h=t.symbol)==null?void 0:h.exports)==null?void 0:p.get(r)}function bNe(t,r,a,u,h,p,g,C,b,T){return E;function E(N=()=>!0){const R=[],F=[];return{walkType:Ie=>{try{return H(Ie),{visitedTypes:So(R),visitedSymbols:So(F)}}finally{An(R),An(F)}},walkSymbol:Ie=>{try{return ue(Ie),{visitedTypes:So(R),visitedSymbols:So(F)}}finally{An(R),An(F)}}};function H(Ie){if(!(!Ie||R[Ie.id]||(R[Ie.id]=Ie,ue(Ie.symbol)))){if(Ie.flags&524288){const he=Ie,Ae=he.objectFlags;Ae&4&&U(Ie),Ae&32&&re(Ie),Ae&3&&pe(Ie),Ae&24&&de(he)}Ie.flags&262144&&q(Ie),Ie.flags&3145728&&X(Ie),Ie.flags&4194304&&Z(Ie),Ie.flags&8388608&&Q(Ie)}}function U(Ie){H(Ie.target),O(T(Ie),H)}function q(Ie){H(C(Ie))}function X(Ie){O(Ie.types,H)}function Z(Ie){H(Ie.type)}function Q(Ie){H(Ie.objectType),H(Ie.indexType),H(Ie.constraint)}function re(Ie){H(Ie.typeParameter),H(Ie.constraintType),H(Ie.templateType),H(Ie.modifiersType)}function oe(Ie){const we=r(Ie);we&&H(we.type),O(Ie.typeParameters,H);for(const he of Ie.parameters)ue(he);H(t(Ie)),H(a(Ie))}function pe(Ie){de(Ie),O(Ie.typeParameters,H),O(u(Ie),H),H(Ie.thisType)}function de(Ie){const we=h(Ie);for(const he of we.indexInfos)H(he.keyType),H(he.type);for(const he of we.callSignatures)oe(he);for(const he of we.constructSignatures)oe(he);for(const he of we.properties)ue(he)}function ue(Ie){if(!Ie)return!1;const we=Ba(Ie);if(F[we])return!1;if(F[we]=Ie,!N(Ie))return!0;const he=p(Ie);return H(he),Ie.exports&&Ie.exports.forEach(ue),O(Ie.declarations,Ae=>{if(Ae.type&&Ae.type.kind===186){const ke=Ae.type,Te=g(b(ke.exprName));ue(Te)}}),!1}}}var wS={};c(wS,{RelativePreference:()=>RYe,countPathComponents:()=>_Q,forEachFileNameOfModule:()=>jYe,getLocalModuleSpecifierBetweenFileNames:()=>IMt,getModuleSpecifier:()=>TMt,getModuleSpecifierPreferences:()=>BH,getModuleSpecifiers:()=>WYe,getModuleSpecifiersWithCacheInfo:()=>VYe,getNodeModulesPackageName:()=>DMt,tryGetJSExtensionForFile:()=>kue,tryGetModuleSpecifiersFromCache:()=>EMt,tryGetRealFileNameForNonJsDeclarationFileName:()=>JYe,updateModuleSpecifier:()=>kMt});var xMt=x1(t=>{try{let r=t.indexOf("/");if(r!==0)return new RegExp(t);const a=t.lastIndexOf("/");if(r===a)return new RegExp(t);for(;(r=t.indexOf("/",r+1))!==a;)if(t[r-1]!=="\\")return new RegExp(t);const u=t.substring(a+1).replace(/[^iu]/g,"");return t=t.substring(1,a),new RegExp(t,u)}catch{return}}),RYe=(t=>(t[t.Relative=0]="Relative",t[t.NonRelative=1]="NonRelative",t[t.Shortest=2]="Shortest",t[t.ExternalNonRelative=3]="ExternalNonRelative",t))(RYe||{});function BH({importModuleSpecifierPreference:t,importModuleSpecifierEnding:r,autoImportSpecifierExcludeRegexes:a},u,h,p,g){const C=b();return{excludeRegexes:a,relativePreference:g!==void 0?Gd(g)?0:1:t==="relative"?0:t==="non-relative"?1:t==="project-relative"?3:2,getAllowedEndingsInPreferredOrder:T=>{const E=Tue(p,u,h),N=T!==E?b(T):C,R=yh(h);if((T??E)===99&&3<=R&&R<=99)return W3(h,p.fileName)?[3,2]:[2];if(yh(h)===1)return N===2?[2,1]:[1,2];const F=W3(h,p.fileName);switch(N){case 2:return F?[2,3,0,1]:[2,0,1];case 3:return[3,0,2,1];case 1:return F?[1,0,3,2]:[1,0,2];case 0:return F?[0,1,3,2]:[0,1,2];default:j.assertNever(N)}}};function b(T){if(g!==void 0){if(Uv(g))return 2;if(su(g,"/index"))return 1}return HDe(r,T??Tue(p,u,h),h,Fy(p)?p:void 0)}}function kMt(t,r,a,u,h,p,g={}){const C=FYe(t,r,a,u,h,BH({},h,t,r,p),{},g);if(C!==p)return C}function TMt(t,r,a,u,h,p={}){return FYe(t,r,a,u,h,BH({},h,t,r),{},p)}function DMt(t,r,a,u,h,p={}){const g=hQ(r.fileName,u),C=zYe(g,a,u,h,t,p);return J(C,b=>wNe(b,g,r,u,t,h,!0,p.overrideImportMode))}function FYe(t,r,a,u,h,p,g,C={}){const b=hQ(a,h),T=zYe(b,u,h,g,t,C);return J(T,E=>wNe(E,b,r,h,t,g,void 0,C.overrideImportMode))||CNe(u,b,t,h,C.overrideImportMode||Tue(r,h,t),p)}function EMt(t,r,a,u,h={}){const p=BYe(t,r,a,u,h);return p[1]&&{kind:p[0],moduleSpecifiers:p[1],computedWithoutCache:!1}}function BYe(t,r,a,u,h={}){var p;const g=LG(t);if(!g)return w;const C=(p=a.getModuleSpecifierCache)==null?void 0:p.call(a),b=C==null?void 0:C.get(r.path,g.path,u,h);return[b==null?void 0:b.kind,b==null?void 0:b.moduleSpecifiers,g,b==null?void 0:b.modulePaths,C]}function WYe(t,r,a,u,h,p,g={}){return VYe(t,r,a,u,h,p,g,!1).moduleSpecifiers}function VYe(t,r,a,u,h,p,g={},C){let b=!1;const T=OMt(t,r);if(T)return{kind:"ambient",moduleSpecifiers:C&&fQ(T,p.autoImportSpecifierExcludeRegexes)?w:[T],computedWithoutCache:b};let[E,N,R,F,H]=BYe(t,u,h,p,g);if(N)return{kind:E,moduleSpecifiers:N,computedWithoutCache:b};if(!R)return{kind:void 0,moduleSpecifiers:w,computedWithoutCache:b};b=!0,F||(F=UYe(hQ(u.fileName,h),R.originalFileName,h,a,g));const U=NMt(F,a,u,h,p,g,C);return H==null||H.set(u.path,R.path,p,g,U.kind,F,U.moduleSpecifiers),U}function IMt(t,r,a,u,h,p={}){const g=hQ(t.fileName,u),C=p.overrideImportMode??t.impliedNodeFormat;return CNe(r,g,a,u,C,BH(h,u,a,t))}function NMt(t,r,a,u,h,p={},g){const C=hQ(a.fileName,u),b=BH(h,u,r,a),T=Fy(a)&&O(t,U=>O(u.getFileIncludeReasons().get(ol(U.path,u.getCurrentDirectory(),C.getCanonicalFileName)),q=>{if(q.kind!==3||q.file!==a.path)return;const X=u.getModeForResolutionAtIndex(a,q.index),Z=p.overrideImportMode??u.getDefaultResolutionModeForFile(a);if(X!==Z&&X!==void 0&&Z!==void 0)return;const Q=ej(a,q.index).text;return b.relativePreference!==1||!am(Q)?Q:void 0}));if(T)return{kind:void 0,moduleSpecifiers:[T],computedWithoutCache:!0};const E=Ft(t,U=>U.isInNodeModules);let N,R,F,H;for(const U of t){const q=U.isInNodeModules?wNe(U,C,a,u,r,h,void 0,p.overrideImportMode):void 0;if(q&&!(g&&fQ(q,b.excludeRegexes))&&(N=fn(N,q),U.isRedirect))return{kind:"node_modules",moduleSpecifiers:N,computedWithoutCache:!0};if(!q){const X=CNe(U.path,C,r,u,p.overrideImportMode||a.impliedNodeFormat,b,U.isRedirect);if(!X||g&&fQ(X,b.excludeRegexes))continue;U.isRedirect?F=fn(F,X):Loe(X)?yx(X)?H=fn(H,X):R=fn(R,X):(g||!E||U.isInNodeModules)&&(H=fn(H,X))}}return R!=null&&R.length?{kind:"paths",moduleSpecifiers:R,computedWithoutCache:!0}:F!=null&&F.length?{kind:"redirect",moduleSpecifiers:F,computedWithoutCache:!0}:N!=null&&N.length?{kind:"node_modules",moduleSpecifiers:N,computedWithoutCache:!0}:{kind:"relative",moduleSpecifiers:H??w,computedWithoutCache:!0}}function fQ(t,r){return Ft(r,a=>{var u;return!!((u=xMt(a))!=null&&u.test(t))})}function hQ(t,r){t=wo(t,r.getCurrentDirectory());const a=Mf(r.useCaseSensitiveFileNames?r.useCaseSensitiveFileNames():!0),u=ts(t);return{getCanonicalFileName:a,importingSourceFileName:t,sourceDirectory:u,canonicalSourceDirectory:a(u)}}function CNe(t,r,a,u,h,{getAllowedEndingsInPreferredOrder:p,relativePreference:g,excludeRegexes:C},b){const{baseUrl:T,paths:E,rootDirs:N}=a;if(b&&!E)return;const{sourceDirectory:R,canonicalSourceDirectory:F,getCanonicalFileName:H}=r,U=p(h),q=N&&FMt(N,t,R,H,U,a)||WH(sI(c0(R,t,H)),U,a);if(!T&&!E&&!OK(a)||g===0)return b?void 0:q;const X=wo(pK(a,u)||T,u.getCurrentDirectory()),Z=GYe(t,X,H);if(!Z)return b?void 0:q;const Q=b?void 0:RMt(t,R,a,u,h,WMt(U)),re=b||Q===void 0?E&&qYe(Z,E,U,u,a):void 0;if(b)return re;const oe=Q??(re===void 0&&T!==void 0?WH(Z,U,a):re);if(!oe)return q;const pe=fQ(q,C),de=fQ(oe,C);if(!pe&&de)return q;if(pe&&!de||g===1&&!am(oe))return oe;if(g===3&&!am(oe)){const ue=a.configFilePath?ol(ts(a.configFilePath),u.getCurrentDirectory(),r.getCanonicalFileName):r.getCanonicalFileName(u.getCurrentDirectory()),Ie=ol(t,ue,H),we=so(F,ue),he=so(Ie,ue);if(we&&!he||!we&&he)return oe;const Ae=SNe(u,ts(Ie)),ke=SNe(u,R),Te=!yP(u);return LMt(Ae,ke,Te)?q:oe}return KYe(oe)||_Q(q)<_Q(oe)?q:oe}function LMt(t,r,a){return t===r?!0:t===void 0||r===void 0?!1:Hb(t,r,a)===0}function _Q(t){let r=0;for(let a=so(t,"./")?2:0;a<t.length;a++)t.charCodeAt(a)===47&&r++;return r}function HYe(t,r){return Ak(r.isRedirect,t.isRedirect)||ZV(t.path,r.path)}function SNe(t,r){return t.getNearestAncestorDirectoryWithPackageJson?t.getNearestAncestorDirectoryWithPackageJson(r):kg(r,a=>t.fileExists(zr(a,"package.json"))?a:void 0)}function jYe(t,r,a,u,h){var p;const g=hS(a),C=a.getCurrentDirectory(),b=a.isSourceOfProjectReferenceRedirect(r)?a.getProjectReferenceRedirect(r):void 0,T=ol(r,C,g),E=a.redirectTargetsMap.get(T)||w,R=[...b?[b]:w,r,...E].map(X=>wo(X,C));let F=!Ce(R,a7);if(!u){const X=O(R,Z=>!(F&&a7(Z))&&h(Z,b===Z));if(X)return X}const H=(p=a.getSymlinkCache)==null?void 0:p.call(a).getSymlinkedDirectoriesByRealpath(),U=wo(r,C);return H&&kg(ts(U),X=>{const Z=H.get(Ad(ol(X,C,g)));if(Z)return Ooe(t,X,g)?!1:O(R,Q=>{if(!Ooe(Q,X,g))return;const re=c0(X,Q,g);for(const oe of Z){const pe=Ok(oe,re),de=h(pe,Q===b);if(F=!0,de)return de}})})||(u?O(R,X=>F&&a7(X)?void 0:h(X,X===b)):void 0)}function zYe(t,r,a,u,h,p={}){var g;const C=ol(t.importingSourceFileName,a.getCurrentDirectory(),hS(a)),b=ol(r,a.getCurrentDirectory(),hS(a)),T=(g=a.getModuleSpecifierCache)==null?void 0:g.call(a);if(T){const N=T.get(C,b,u,p);if(N!=null&&N.modulePaths)return N.modulePaths}const E=UYe(t,r,a,h,p);return T&&T.setModulePaths(C,b,u,p,E),E}var PMt=["dependencies","peerDependencies","optionalDependencies"];function AMt(t){let r;for(const a of PMt){const u=t[a];u&&typeof u=="object"&&(r=Js(r,wr(u)))}return r}function UYe(t,r,a,u,h){var p,g;const C=(p=a.getModuleResolutionCache)==null?void 0:p.call(a),b=(g=a.getSymlinkCache)==null?void 0:g.call(a);if(C&&b&&a.readFile&&!yx(t.importingSourceFileName)){j.type(a);const N=W7(C.getPackageJsonInfoCache(),a,{}),R=V7(ts(t.importingSourceFileName),N);if(R){const F=AMt(R.contents.packageJsonContent);for(const H of F||w){const U=nA(H,zr(R.packageDirectory,"package.json"),u,a,C,void 0,h.overrideImportMode);b.setSymlinksFromResolution(U.resolvedModule)}}}const T=new Map;jYe(t.importingSourceFileName,r,a,!0,(N,R)=>{const F=yx(N);T.set(N,{path:t.getCanonicalFileName(N),isRedirect:R,isInNodeModules:F})});const E=[];for(let N=t.canonicalSourceDirectory;T.size!==0;){const R=Ad(N);let F;T.forEach(({path:U,isRedirect:q,isInNodeModules:X},Z)=>{so(U,R)&&((F||(F=[])).push({path:Z,isRedirect:q,isInNodeModules:X}),T.delete(Z))}),F&&(F.length>1&&F.sort(HYe),E.push(...F));const H=ts(N);if(H===N)break;N=H}if(T.size){const N=as(T.entries(),([R,{isRedirect:F,isInNodeModules:H}])=>({path:R,isRedirect:F,isInNodeModules:H}));N.length>1&&N.sort(HYe),E.push(...N)}return E}function OMt(t,r){var a;const u=(a=t.declarations)==null?void 0:a.find(g=>Sae(g)&&(!BT(g)||!Gd(Lg(g.name))));if(u)return u.name.text;const p=es(t.declarations,g=>{var C,b,T,E;if(!rd(g))return;const N=U(g);if(!((C=N==null?void 0:N.parent)!=null&&C.parent&&H1(N.parent)&&Vh(N.parent.parent)&&Os(N.parent.parent.parent)))return;const R=(E=(T=(b=N.parent.parent.symbol.exports)==null?void 0:b.get("export="))==null?void 0:T.valueDeclaration)==null?void 0:E.expression;if(!R)return;const F=r.getSymbolAtLocation(R);if(!F)return;if(((F==null?void 0:F.flags)&2097152?r.getAliasedSymbol(F):F)===g.symbol)return N.parent.parent;function U(q){for(;q.flags&8;)q=q.parent;return q}})[0];if(p)return p.name.text}function qYe(t,r,a,u,h){for(const g in r)for(const C of r[g]){const b=ca(C),T=b.indexOf("*"),E=a.map(N=>({ending:N,value:WH(t,[N],h)}));if(qv(b)&&E.push({ending:void 0,value:t}),T!==-1){const N=b.substring(0,T),R=b.substring(T+1);for(const{ending:F,value:H}of E)if(H.length>=N.length+R.length&&so(H,N)&&su(H,R)&&p({ending:F,value:H})){const U=H.substring(N.length,H.length-R.length);if(!am(U))return xI(g,U)}}else if(Ft(E,N=>N.ending!==0&&b===N.value)||Ft(E,N=>N.ending===0&&b===N.value&&p(N)))return g}function p({ending:g,value:C}){return g!==0||C===WH(t,[g],h,u)}}function pQ(t,r,a,u,h,p,g,C,b,T){if(typeof p=="string"){const E=!yP(r),N=()=>r.getCommonSourceDirectory(),R=b&&Yue(a,t,E,N),F=b&&Zue(a,t,E,N),H=wo(zr(u,p),void 0),U=IP(a)?s_(a)+kue(a,t):void 0,q=T&&WDe(a);switch(C){case 0:if(U&&Hb(U,H,E)===0||Hb(a,H,E)===0||R&&Hb(R,H,E)===0||F&&Hb(F,H,E)===0)return{moduleFileToTry:h};break;case 1:if(q&&Vm(a,H,E)){const re=c0(H,a,!1);return{moduleFileToTry:wo(zr(zr(h,p),re),void 0)}}if(U&&Vm(H,U,E)){const re=c0(H,U,!1);return{moduleFileToTry:wo(zr(zr(h,p),re),void 0)}}if(!q&&Vm(H,a,E)){const re=c0(H,a,!1);return{moduleFileToTry:wo(zr(zr(h,p),re),void 0)}}if(R&&Vm(H,R,E)){const re=c0(H,R,!1);return{moduleFileToTry:zr(h,re)}}if(F&&Vm(H,F,E)){const re=YJ(c0(H,F,!1),xue(F,t));return{moduleFileToTry:zr(h,re)}}break;case 2:const X=H.indexOf("*"),Z=H.slice(0,X),Q=H.slice(X+1);if(q&&so(a,Z,E)&&su(a,Q,E)){const re=a.slice(Z.length,a.length-Q.length);return{moduleFileToTry:xI(h,re)}}if(U&&so(U,Z,E)&&su(U,Q,E)){const re=U.slice(Z.length,U.length-Q.length);return{moduleFileToTry:xI(h,re)}}if(!q&&so(a,Z,E)&&su(a,Q,E)){const re=a.slice(Z.length,a.length-Q.length);return{moduleFileToTry:xI(h,re)}}if(R&&so(R,Z,E)&&su(R,Q,E)){const re=R.slice(Z.length,R.length-Q.length);return{moduleFileToTry:xI(h,re)}}if(F&&so(F,Z,E)&&su(F,Q,E)){const re=F.slice(Z.length,F.length-Q.length),oe=xI(h,re),pe=kue(F,t);return pe?{moduleFileToTry:YJ(oe,pe)}:void 0}break}}else{if(Array.isArray(p))return O(p,E=>pQ(t,r,a,u,h,E,g,C,b,T));if(typeof p=="object"&&p!==null){for(const E of wr(p))if(E==="default"||g.indexOf(E)>=0||RH(g,E)){const N=p[E],R=pQ(t,r,a,u,h,N,g,C,b,T);if(R)return R}}}}function MMt(t,r,a,u,h,p,g){return typeof p=="object"&&p!==null&&!Array.isArray(p)&&lQ(p)?O(wr(p),C=>{const b=wo(zr(h,C),void 0),T=su(C,"/")?1:C.includes("*")?2:0;return pQ(t,r,a,u,b,p[C],g,T,!1,!1)}):pQ(t,r,a,u,h,p,g,0,!1,!1)}function RMt(t,r,a,u,h,p){var g,C,b;if(!u.readFile||!OK(a))return;const T=SNe(u,r);if(!T)return;const E=zr(T,"package.json"),N=(C=(g=u.getPackageJsonInfoCache)==null?void 0:g.call(u))==null?void 0:C.getPackageJsonInfo(E);if(nNe(N)||!u.fileExists(E))return;const R=(N==null?void 0:N.contents.packageJsonContent)||kK(u.readFile(E)),F=R==null?void 0:R.imports;if(!F)return;const H=gx(a,h);return(b=O(wr(F),U=>{if(!so(U,"#")||U==="#"||so(U,"#/"))return;const q=su(U,"/")?1:U.includes("*")?2:0;return pQ(a,u,t,T,U,F[U],H,q,!0,p)}))==null?void 0:b.moduleFileToTry}function FMt(t,r,a,u,h,p){const g=$Ye(r,t,u);if(g===void 0)return;const C=$Ye(a,t,u),b=Rr(C,E=>qt(g,N=>sI(c0(E,N,u)))),T=Zse(b,ZV);if(T)return WH(T,h,p)}function wNe({path:t,isRedirect:r},{getCanonicalFileName:a,canonicalSourceDirectory:u},h,p,g,C,b,T){if(!p.fileExists||!p.readFile)return;const E=iX(t);if(!E)return;const R=BH(C,p,g,h).getAllowedEndingsInPreferredOrder();let F=t,H=!1;if(!b){let re=E.packageRootIndex,oe;for(;;){const{moduleFileToTry:pe,packageRootPath:de,blockedByExports:ue,verbatimFromExports:Ie}=Q(re);if(yh(g)!==1){if(ue)return;if(Ie)return pe}if(de){F=de,H=!0;break}if(oe||(oe=pe),re=t.indexOf(Kl,re+1),re===-1){F=WH(oe,R,g,p);break}}}if(r&&!H)return;const U=p.getGlobalTypingsCacheLocation&&p.getGlobalTypingsCacheLocation(),q=a(F.substring(0,E.topLevelNodeModulesIndex));if(!(so(u,q)||U&&so(a(U),q)))return;const X=F.substring(E.topLevelPackageNameIndex+1),Z=H7(X);return yh(g)===1&&Z===X?void 0:Z;function Q(re){var oe,pe;const de=t.substring(0,re),ue=zr(de,"package.json");let Ie=t,we=!1;const he=(pe=(oe=p.getPackageJsonInfoCache)==null?void 0:oe.call(p))==null?void 0:pe.getPackageJsonInfo(ue);if(rQ(he)||he===void 0&&p.fileExists(ue)){const Ae=(he==null?void 0:he.contents.packageJsonContent)||kK(p.readFile(ue)),ke=T||Tue(h,p,g);if(JV(g)){const qe=de.substring(E.topLevelPackageNameIndex+1),se=H7(qe),Ne=gx(g,ke),Oe=Ae!=null&&Ae.exports?MMt(g,p,t,de,se,Ae.exports,Ne):void 0;if(Oe)return{...Oe,verbatimFromExports:!0};if(Ae!=null&&Ae.exports)return{moduleFileToTry:t,blockedByExports:!0}}const Te=Ae!=null&&Ae.typesVersions?iQ(Ae.typesVersions):void 0;if(Te){const qe=t.slice(de.length+1),se=qYe(qe,Te.paths,R,p,g);se===void 0?we=!0:Ie=zr(de,se)}const De=(Ae==null?void 0:Ae.typings)||(Ae==null?void 0:Ae.types)||(Ae==null?void 0:Ae.main)||"index.js";if(Oo(De)&&!(we&&Hce(XK(Te.paths),De))){const qe=ol(De,de,a),se=a(Ie);if(s_(qe)===s_(se))return{packageRootPath:de,moduleFileToTry:Ie};if((Ae==null?void 0:Ae.type)!=="module"&&!Ru(se,KK)&&so(se,qe)&&ts(se)===Mk(qe)&&s_(ud(se))==="index")return{packageRootPath:de,moduleFileToTry:Ie}}}else{const Ae=a(Ie.substring(E.packageRootIndex+1));if(Ae==="index.d.ts"||Ae==="index.js"||Ae==="index.ts"||Ae==="index.tsx")return{moduleFileToTry:Ie,packageRootPath:de}}return{moduleFileToTry:Ie}}}function BMt(t,r){if(!t.fileExists)return;const a=Dr(e7({allowJs:!0},[{extension:"node",isMixedContent:!1},{extension:"json",isMixedContent:!1,scriptKind:6}]));for(const u of a){const h=r+u;if(t.fileExists(h))return h}}function $Ye(t,r,a){return es(r,u=>{const h=GYe(t,u,a);return h!==void 0&&KYe(h)?void 0:h})}function WH(t,r,a,u){if(Ru(t,[".json",".mjs",".cjs"]))return t;const h=s_(t);if(t===h)return t;const p=r.indexOf(2),g=r.indexOf(3);if(Ru(t,[".mts",".cts"])&&g!==-1&&g<p)return t;if(Ru(t,[".d.mts",".mts",".d.cts",".cts"]))return h+xue(t,a);if(!Ru(t,[".d.ts"])&&Ru(t,[".ts"])&&t.includes(".d."))return JYe(t);switch(r[0]){case 0:const C=I6(h,"/index");return u&&C!==h&&BMt(u,C)?h:C;case 1:return h;case 2:return h+xue(t,a);case 3:if(df(t)){const b=r.findIndex(T=>T===0||T===1);return b!==-1&&b<p?h:h+xue(t,a)}return t;default:return j.assertNever(r[0])}}function JYe(t){const r=ud(t);if(!su(t,".ts")||!r.includes(".d.")||Ru(r,[".d.ts"]))return;const a=YV(t,".ts"),u=a.substring(a.lastIndexOf("."));return a.substring(0,a.indexOf(".d."))+u}function xue(t,r){return kue(t,r)??j.fail(`Extension ${i7(t)} is unsupported:: FileName:: ${t}`)}function kue(t,r){const a=qv(t);switch(a){case".ts":case".d.ts":return".js";case".tsx":return r.jsx===1?".jsx":".js";case".js":case".jsx":case".json":return a;case".d.mts":case".mts":case".mjs":return".mjs";case".d.cts":case".cts":case".cjs":return".cjs";default:return}}function GYe(t,r,a){const u=QL(r,t,r,a,!1);return yp(u)?void 0:u}function KYe(t){return so(t,"..")}function Tue(t,r,a){return Fy(t)?r.getDefaultResolutionModeForFile(t):WQ(t,a)}function WMt(t){const r=t.indexOf(3);return r>-1&&r<t.indexOf(2)}var xNe=/^".+"$/,Due="(anonymous)",XYe=1,QYe=1,ZYe=1,YYe=1,Eue=(t=>(t[t.None=0]="None",t[t.TypeofEQString=1]="TypeofEQString",t[t.TypeofEQNumber=2]="TypeofEQNumber",t[t.TypeofEQBigInt=4]="TypeofEQBigInt",t[t.TypeofEQBoolean=8]="TypeofEQBoolean",t[t.TypeofEQSymbol=16]="TypeofEQSymbol",t[t.TypeofEQObject=32]="TypeofEQObject",t[t.TypeofEQFunction=64]="TypeofEQFunction",t[t.TypeofEQHostObject=128]="TypeofEQHostObject",t[t.TypeofNEString=256]="TypeofNEString",t[t.TypeofNENumber=512]="TypeofNENumber",t[t.TypeofNEBigInt=1024]="TypeofNEBigInt",t[t.TypeofNEBoolean=2048]="TypeofNEBoolean",t[t.TypeofNESymbol=4096]="TypeofNESymbol",t[t.TypeofNEObject=8192]="TypeofNEObject",t[t.TypeofNEFunction=16384]="TypeofNEFunction",t[t.TypeofNEHostObject=32768]="TypeofNEHostObject",t[t.EQUndefined=65536]="EQUndefined",t[t.EQNull=131072]="EQNull",t[t.EQUndefinedOrNull=262144]="EQUndefinedOrNull",t[t.NEUndefined=524288]="NEUndefined",t[t.NENull=1048576]="NENull",t[t.NEUndefinedOrNull=2097152]="NEUndefinedOrNull",t[t.Truthy=4194304]="Truthy",t[t.Falsy=8388608]="Falsy",t[t.IsUndefined=16777216]="IsUndefined",t[t.IsNull=33554432]="IsNull",t[t.IsUndefinedOrNull=50331648]="IsUndefinedOrNull",t[t.All=134217727]="All",t[t.BaseStringStrictFacts=3735041]="BaseStringStrictFacts",t[t.BaseStringFacts=12582401]="BaseStringFacts",t[t.StringStrictFacts=16317953]="StringStrictFacts",t[t.StringFacts=16776705]="StringFacts",t[t.EmptyStringStrictFacts=12123649]="EmptyStringStrictFacts",t[t.EmptyStringFacts=12582401]="EmptyStringFacts",t[t.NonEmptyStringStrictFacts=7929345]="NonEmptyStringStrictFacts",t[t.NonEmptyStringFacts=16776705]="NonEmptyStringFacts",t[t.BaseNumberStrictFacts=3734786]="BaseNumberStrictFacts",t[t.BaseNumberFacts=12582146]="BaseNumberFacts",t[t.NumberStrictFacts=16317698]="NumberStrictFacts",t[t.NumberFacts=16776450]="NumberFacts",t[t.ZeroNumberStrictFacts=12123394]="ZeroNumberStrictFacts",t[t.ZeroNumberFacts=12582146]="ZeroNumberFacts",t[t.NonZeroNumberStrictFacts=7929090]="NonZeroNumberStrictFacts",t[t.NonZeroNumberFacts=16776450]="NonZeroNumberFacts",t[t.BaseBigIntStrictFacts=3734276]="BaseBigIntStrictFacts",t[t.BaseBigIntFacts=12581636]="BaseBigIntFacts",t[t.BigIntStrictFacts=16317188]="BigIntStrictFacts",t[t.BigIntFacts=16775940]="BigIntFacts",t[t.ZeroBigIntStrictFacts=12122884]="ZeroBigIntStrictFacts",t[t.ZeroBigIntFacts=12581636]="ZeroBigIntFacts",t[t.NonZeroBigIntStrictFacts=7928580]="NonZeroBigIntStrictFacts",t[t.NonZeroBigIntFacts=16775940]="NonZeroBigIntFacts",t[t.BaseBooleanStrictFacts=3733256]="BaseBooleanStrictFacts",t[t.BaseBooleanFacts=12580616]="BaseBooleanFacts",t[t.BooleanStrictFacts=16316168]="BooleanStrictFacts",t[t.BooleanFacts=16774920]="BooleanFacts",t[t.FalseStrictFacts=12121864]="FalseStrictFacts",t[t.FalseFacts=12580616]="FalseFacts",t[t.TrueStrictFacts=7927560]="TrueStrictFacts",t[t.TrueFacts=16774920]="TrueFacts",t[t.SymbolStrictFacts=7925520]="SymbolStrictFacts",t[t.SymbolFacts=16772880]="SymbolFacts",t[t.ObjectStrictFacts=7888800]="ObjectStrictFacts",t[t.ObjectFacts=16736160]="ObjectFacts",t[t.FunctionStrictFacts=7880640]="FunctionStrictFacts",t[t.FunctionFacts=16728e3]="FunctionFacts",t[t.VoidFacts=9830144]="VoidFacts",t[t.UndefinedFacts=26607360]="UndefinedFacts",t[t.NullFacts=42917664]="NullFacts",t[t.EmptyObjectStrictFacts=83427327]="EmptyObjectStrictFacts",t[t.EmptyObjectFacts=83886079]="EmptyObjectFacts",t[t.UnknownFacts=83886079]="UnknownFacts",t[t.AllTypeofNE=556800]="AllTypeofNE",t[t.OrFactsMask=8256]="OrFactsMask",t[t.AndFactsMask=134209471]="AndFactsMask",t))(Eue||{}),kNe=new Map(Object.entries({string:256,number:512,bigint:1024,boolean:2048,symbol:4096,undefined:524288,object:8192,function:16384})),Iue=(t=>(t[t.Normal=0]="Normal",t[t.Contextual=1]="Contextual",t[t.Inferential=2]="Inferential",t[t.SkipContextSensitive=4]="SkipContextSensitive",t[t.SkipGenericFunctions=8]="SkipGenericFunctions",t[t.IsForSignatureHelp=16]="IsForSignatureHelp",t[t.RestBindingElement=32]="RestBindingElement",t[t.TypeOnly=64]="TypeOnly",t))(Iue||{}),Nue=(t=>(t[t.None=0]="None",t[t.BivariantCallback=1]="BivariantCallback",t[t.StrictCallback=2]="StrictCallback",t[t.IgnoreReturnTypes=4]="IgnoreReturnTypes",t[t.StrictArity=8]="StrictArity",t[t.StrictTopSignature=16]="StrictTopSignature",t[t.Callback=3]="Callback",t))(Nue||{}),VMt=jJ(tet,jMt),Lue=new Map(Object.entries({Uppercase:0,Lowercase:1,Capitalize:2,Uncapitalize:3,NoInfer:4})),eet=class{};function HMt(){this.flags=0}function Sc(t){return t.id||(t.id=QYe,QYe++),t.id}function Ba(t){return t.id||(t.id=XYe,XYe++),t.id}function Pue(t,r){const a=nC(t);return a===1||r&&a===2}function TNe(t){var r=[],a=o=>{r.push(o)},u,h,p=Hf.getSymbolConstructor(),g=Hf.getTypeConstructor(),C=Hf.getSignatureConstructor(),b=0,T=0,E=0,N=0,R=0,F=0,H,U,q=!1,X=_a(),Z=[1],Q=t.getCompilerOptions(),re=Ja(Q),oe=zh(Q),pe=!!Q.experimentalDecorators,de=GV(Q),ue=Pce(Q),Ie=EP(Q),we=ah(Q,"strictNullChecks"),he=ah(Q,"strictFunctionTypes"),Ae=ah(Q,"strictBindCallApply"),ke=ah(Q,"strictPropertyInitialization"),Te=ah(Q,"strictBuiltinIteratorReturn"),De=ah(Q,"noImplicitAny"),qe=ah(Q,"noImplicitThis"),se=ah(Q,"useUnknownInCatchVariables"),Ne=Q.exactOptionalPropertyTypes,Oe=!!Q.noUncheckedSideEffectImports,He=_ii(),Pe=Xsi(),We=JY(),ze=IPe(Q,{isEntityNameVisible:yz,isExpandoFunctionDeclaration:lyt,getAllAccessorDeclarations:Ste,requiresAddingImplicitUndefined:Mge,isUndefinedIdentifierExpression(o){return j.assert(F0(o)),Zg(o)===et},isDefinitelyReferenceToGlobalSymbolObject:Xd}),Nt=sEe({evaluateElementAccessExpression:Wri,evaluateEntityNameExpression:z1t}),$e=_a(),et=$a(4,"undefined");et.declarations=[];var Me=$a(1536,"globalThis",8);Me.exports=$e,Me.declarations=[],$e.set(Me.escapedName,Me);var ut=$a(4,"arguments"),wt=$a(4,"require"),Et=Q.verbatimModuleSyntax?"verbatimModuleSyntax":"isolatedModules",dt=!Q.verbatimModuleSyntax,zt,Ei,tn=0,xn,Pn=0,_i=Yce({compilerOptions:Q,requireSymbol:wt,argumentsSymbol:ut,globals:$e,getSymbolOfDeclaration:xr,error:ft,getRequiresScopeChangeCache:eN,setRequiresScopeChangeCache:Lx,lookup:ff,onPropertyWithInvalidInitializer:tN,onFailedToResolveSymbol:Tl,onSuccessfullyResolvedSymbol:gC}),Fi=Yce({compilerOptions:Q,requireSymbol:wt,argumentsSymbol:ut,globals:$e,getSymbolOfDeclaration:xr,error:ft,getRequiresScopeChangeCache:eN,setRequiresScopeChangeCache:Lx,lookup:Mei});const On={getNodeCount:()=>oi(t.getSourceFiles(),(o,_)=>o+_.nodeCount,0),getIdentifierCount:()=>oi(t.getSourceFiles(),(o,_)=>o+_.identifierCount,0),getSymbolCount:()=>oi(t.getSourceFiles(),(o,_)=>o+_.symbolCount,T),getTypeCount:()=>b,getInstantiationCount:()=>E,getRelationCacheSizes:()=>({assignable:Op.size,identity:tp.size,subtype:ob.size,strictSubtype:Cm.size}),isUndefinedSymbol:o=>o===et,isArgumentsSymbol:o=>o===ut,isUnknownSymbol:o=>o===pt,getMergedSymbol:wc,symbolIsValue:Fp,getDiagnostics:Q1t,getGlobalDiagnostics:lsi,getRecursionIdentity:wme,getUnmatchedProperties:U8e,getTypeOfSymbolAtLocation:(o,_)=>{const y=Mo(_);return y?QZt(o,y):vt},getTypeOfSymbol:Yn,getSymbolsOfParameterPropertyDeclaration:(o,_)=>{const y=Mo(o,$s);return y===void 0?j.fail("Cannot get symbols of a synthetic parameter that cannot be resolved to a parse-tree node."):(j.assert(vp(y,y.parent)),mC(y,cu(_)))},getDeclaredTypeOfSymbol:gl,getPropertiesOfType:Ac,getPropertyOfType:(o,_)=>Ta(o,cu(_)),getPrivateIdentifierPropertyOfType:(o,_,y)=>{const S=Mo(y);if(!S)return;const D=cu(_),L=Xee(D,S);return L?nge(o,L):void 0},getTypeOfPropertyOfType:(o,_)=>Yu(o,cu(_)),getIndexInfoOfType:(o,_)=>pb(o,_===0?st:hi),getIndexInfosOfType:Ih,getIndexInfosOfIndexSymbol:BRe,getSignaturesOfType:ia,getIndexTypeOfType:(o,_)=>H2(o,_===0?st:hi),getIndexType:o=>oy(o),getBaseTypes:Ll,getBaseTypeOfLiteralType:DC,getWidenedType:lp,getWidenedLiteralType:J2,getTypeFromTypeNode:o=>{const _=Mo(o,ws);return _?Bs(_):vt},getParameterType:u1,getParameterIdentifierInfoAtPosition:Iti,getPromisedTypeOfPromise:aU,getAwaitedType:o=>r4(o),getReturnTypeOfSignature:Oc,isNullableType:Kee,getNullableType:Lee,getNonNullableType:EC,getNonOptionalType:Dme,getTypeArguments:Pl,typeToTypeNode:We.typeToTypeNode,typePredicateToTypePredicateNode:We.typePredicateToTypePredicateNode,indexInfoToIndexSignatureDeclaration:We.indexInfoToIndexSignatureDeclaration,signatureToSignatureDeclaration:We.signatureToSignatureDeclaration,symbolToEntityName:We.symbolToEntityName,symbolToExpression:We.symbolToExpression,symbolToNode:We.symbolToNode,symbolToTypeParameterDeclarations:We.symbolToTypeParameterDeclarations,symbolToParameterDeclaration:We.symbolToParameterDeclaration,typeParameterToDeclaration:We.typeParameterToDeclaration,getSymbolsInScope:(o,_)=>{const y=Mo(o);return y?usi(y,_):[]},getSymbolAtLocation:o=>{const _=Mo(o);return _?Zg(_,!0):void 0},getIndexInfosAtLocation:o=>{const _=Mo(o);return _?ysi(_):void 0},getShorthandAssignmentValueSymbol:o=>{const _=Mo(o);return _?vsi(_):void 0},getExportSpecifierLocalTargetSymbol:o=>{const _=Mo(o,vh);return _?bsi(_):void 0},getExportSymbolOfSymbol(o){return wc(o.exportSymbol||o)},getTypeAtLocation:o=>{const _=Mo(o);return _?o4(_):vt},getTypeOfAssignmentPattern:o=>{const _=Mo(o,S8);return _&&Pge(_)||vt},getPropertySymbolOfDestructuringAssignment:o=>{const _=Mo(o,ot);return _?Csi(_):void 0},signatureToString:(o,_,y,S)=>B2(o,Mo(_),y,S),typeToString:(o,_,y)=>Hn(o,Mo(_),y),symbolToString:(o,_,y,S)=>eo(o,Mo(_),y,S),typePredicateToString:(o,_,y)=>ty(o,Mo(_),y),writeSignature:(o,_,y,S,D)=>B2(o,Mo(_),y,S,D),writeType:(o,_,y,S)=>Hn(o,Mo(_),y,S),writeSymbol:(o,_,y,S,D)=>eo(o,Mo(_),y,S,D),writeTypePredicate:(o,_,y,S)=>ty(o,Mo(_),y,S),getAugmentedPropertiesOfType:M5e,getRootSymbols:ryt,getSymbolOfExpando:lge,getContextualType:(o,_)=>{const y=Mo(o,jt);if(y)return _&4?Fs(y,()=>g_(y,_)):g_(y,_)},getContextualTypeForObjectLiteralElement:o=>{const _=Mo(o,Ub);return _?g7e(_,void 0):void 0},getContextualTypeForArgumentAtIndex:(o,_)=>{const y=Mo(o,MT);return y&&m7e(y,_)},getContextualTypeForJsxAttribute:o=>{const _=Mo(o,bG);return _&&Sgt(_,void 0)},isContextSensitive:Em,getTypeOfPropertyOfContextualType:K2,getFullyQualifiedName:qS,getResolvedSignature:(o,_,y)=>ko(o,_,y,0),getCandidateSignaturesForStringLiteralCompletions:pn,getResolvedSignatureForSignatureHelp:(o,_,y)=>qr(o,()=>ko(o,_,y,16)),getExpandedParameters:u_t,hasEffectiveRestParameter:ov,containsArgumentsReference:MRe,getConstantValue:o=>{const _=Mo(o,uyt);return _?F5e(_):void 0},isValidPropertyAccess:(o,_)=>{const y=Mo(o,E2e);return!!y&&Bei(y,cu(_))},isValidPropertyAccessForCompletions:(o,_,y)=>{const S=Mo(o,Nr);return!!S&&Zgt(S,_,y)},getSignatureFromDeclaration:o=>{const _=Mo(o,Ho);return _?Dm(_):void 0},isImplementationOfOverload:o=>{const _=Mo(o,Ho);return _?cyt(_):void 0},getImmediateAliasedSymbol:Qme,getAliasedSymbol:$f,getEmitResolver:hC,requiresAddingImplicitUndefined:Mge,getExportsOfModule:Fx,getExportsAndPropertiesOfModule:P5,forEachExportAndPropertyOfModule:MD,getSymbolWalker:bNe(hKt,cg,Oc,Ll,o1,Yn,Lh,m_,K_,Pl),getAmbientModules:Boi,getJsxIntrinsicTagNamesAt:yei,isOptionalParameter:o=>{const _=Mo(o,$s);return _?cee(_):!1},tryGetMemberInModuleExports:(o,_)=>pN(cu(o),_),tryGetMemberInModuleExportsAndProperties:(o,_)=>RA(cu(o),_),tryFindAmbientModule:o=>N_t(o,!0),getApparentType:Kh,getUnionType:is,isTypeAssignableTo:Da,createAnonymousType:Xs,createSignature:_b,createSymbol:$a,createIndexInfo:nv,getAnyType:()=>Je,getStringType:()=>st,getStringLiteralType:V_,getNumberType:()=>hi,getNumberLiteralType:v0,getBigIntType:()=>vn,getBigIntLiteralType:yee,createPromiseType:ate,createArrayType:hh,getElementTypeOfArrayType:Nee,getBooleanType:()=>fi,getFalseType:o=>o?ln:Un,getTrueType:o=>o?Pt:Bt,getVoidType:()=>Ri,getUndefinedType:()=>tt,getNullType:()=>Qt,getESSymbolType:()=>wi,getNeverType:()=>Ai,getOptionalType:()=>be,getPromiseType:()=>fee(!1),getPromiseLikeType:()=>ept(!1),getAnyAsyncIterableType:()=>{const o=hee(!1);if(o!==oo)return wC(o,[Je,Je,Je])},isSymbolAccessible:n1,isArrayType:ug,isTupleType:Qa,isArrayLikeType:YS,isEmptyAnonymousObjectType:sv,isTypeInvalidDueToUnionDiscriminant:GGt,getExactOptionalProperties:TQt,getAllPossiblePropertiesOfTypes:KGt,getSuggestedSymbolForNonexistentProperty:A7e,getSuggestedSymbolForNonexistentJSXAttribute:Ggt,getSuggestedSymbolForNonexistentSymbol:(o,_,y)=>Xgt(o,cu(_),y),getSuggestedSymbolForNonexistentModule:O7e,getSuggestedSymbolForNonexistentClassMember:Jgt,getBaseConstraintOfType:Jf,getDefaultFromTypeParameter:o=>o&&o.flags&262144?qD(o):void 0,resolveName(o,_,y,S){return _i(_,cu(o),y,void 0,!1,S)},getJsxNamespace:o=>Ws(Gy(o)),getJsxFragmentFactory:o=>{const _=W5e(o);return _&&Ws(K_(_).escapedText)},getAccessibleSymbolChain:JS,getTypePredicateOfSignature:cg,resolveExternalModuleName:o=>{const _=Mo(o,jt);return _&&B_(_,_,!0)},resolveExternalModuleSymbol:sp,tryGetThisTypeAt:(o,_,y)=>{const S=Mo(o);return S&&f7e(S,_,y)},getTypeArgumentConstraint:o=>{const _=Mo(o,ws);return _&&Jii(_)},getSuggestionDiagnostics:(o,_)=>{const y=Mo(o,Os)||j.fail("Could not determine parsed source file.");if(p3(y,Q,t))return w;let S;try{return u=_,P5e(y),j.assert(!!(yr(y).flags&1)),S=Sr(S,ED.getDiagnostics(y.fileName)),p1t(X1t(y),(D,L,B)=>{!oP(D)&&!K1t(L,!!(D.flags&33554432))&&(S||(S=[])).push({...B,category:2})}),S||w}finally{u=void 0}},runWithCancellationToken:(o,_)=>{try{return u=o,_(On)}finally{u=void 0}},getLocalTypeParametersOfClassOrInterfaceOrTypeAlias:y0,isDeclarationVisible:g0,isPropertyAccessible:R7e,getTypeOnlyAliasDeclaration:ey,getMemberOverrideModifierStatus:Eri,isTypeParameterPossiblyReferenced:Cee,typeHasCallOrConstructSignatures:Age,getSymbolFlags:Eh};function pn(o,_){const y=new Set,S=[];Fs(_,()=>ko(o,S,void 0,0));for(const D of S)y.add(D);S.length=0,qr(_,()=>ko(o,S,void 0,0));for(const D of S)y.add(D);return as(y)}function qr(o,_){if(o=Qi(o,sae),o){const y=[],S=[];for(;o;){const L=yr(o);if(y.push([L,L.resolvedSignature]),L.resolvedSignature=void 0,lx(o)){const B=Ys(xr(o)),K=B.type;S.push([B,K]),B.type=void 0}o=Qi(o.parent,sae)}const D=_();for(const[L,B]of y)L.resolvedSignature=B;for(const[L,B]of S)L.type=B;return D}return _()}function Fs(o,_){const y=Qi(o,MT);if(y){let D=o;do yr(D).skipDirectInference=!0,D=D.parent;while(D&&D!==y)}q=!0;const S=qr(o,_);if(q=!1,y){let D=o;do yr(D).skipDirectInference=void 0,D=D.parent;while(D&&D!==y)}return S}function ko(o,_,y,S){const D=Mo(o,MT);zt=y;const L=D?QO(D,_,S):void 0;return zt=void 0,L}var ls=new Map,Qs=new Map,Mn=new Map,Zs=new Map,at=new Map,yi=new Map,Sn=new Map,dr=new Map,us=new Map,Xr=new Map,Gs=new Map,ma=new Map,ka=new Map,Ji=new Map,ac=new Map,St=[],ri=new Map,xe=new Set,pt=$a(4,"unknown"),Se=$a(0,"__resolving__"),Ze=new Map,gt=new Map,Dt=new Set,Je=Td(1,"any"),Rt=Td(1,"any",262144,"auto"),Ct=Td(1,"any",void 0,"wildcard"),ii=Td(1,"any",void 0,"blocked string"),vt=Td(1,"error"),Ni=Td(1,"unresolved"),ji=Td(1,"any",65536,"non-inferrable"),gr=Td(1,"intrinsic"),te=Td(2,"unknown"),tt=Td(32768,"undefined"),Gt=we?tt:Td(32768,"undefined",65536,"widening"),le=Td(32768,"undefined",void 0,"missing"),Ue=Ne?le:tt,be=Td(32768,"undefined",void 0,"optional"),Qt=Td(65536,"null"),lt=we?Qt:Td(65536,"null",65536,"widening"),st=Td(4,"string"),hi=Td(8,"number"),vn=Td(64,"bigint"),ln=Td(512,"false",void 0,"fresh"),Un=Td(512,"false"),Pt=Td(512,"true",void 0,"fresh"),Bt=Td(512,"true");Pt.regularType=Bt,Pt.freshType=Pt,Bt.regularType=Bt,Bt.freshType=Pt,ln.regularType=Un,ln.freshType=ln,Un.regularType=Un,Un.freshType=ln;var fi=is([Un,Bt]),wi=Td(4096,"symbol"),Ri=Td(16384,"void"),Ai=Td(131072,"never"),Xn=Td(131072,"never",262144,"silent"),Di=Td(131072,"never",void 0,"implicit"),on=Td(131072,"never",void 0,"unreachable"),zi=Td(67108864,"object"),cn=is([st,hi]),vi=is([st,hi,wi]),qo=is([hi,vn]),Wo=is([st,hi,fi,vn,Qt,tt]),$o=TN(["",""],[hi]),Lc=bee(o=>o.flags&262144?ZXt(o):o,()=>"(restrictive mapper)"),vu=bee(o=>o.flags&262144?Ct:o,()=>"(permissive mapper)"),Gu=Td(131072,"never",void 0,"unique literal"),Zc=bee(o=>o.flags&262144?Gu:o,()=>"(unique literal mapper)"),Ls,ja=bee(o=>(Ls&&(o===sd||o===Pp||o===Nl)&&Ls(!0),o),()=>"(unmeasurable reporter)"),wf=bee(o=>(Ls&&(o===sd||o===Pp||o===Nl)&&Ls(!1),o),()=>"(unreliable reporter)"),Jo=Xs(void 0,X,w,w,w),kh=Xs(void 0,X,w,w,w);kh.objectFlags|=2048;var md=$a(2048,"__type");md.members=_a();var go=Xs(md,X,w,w,w),pc=Xs(void 0,X,w,w,w),mc=we?is([tt,Qt,pc]):te,oo=Xs(void 0,X,w,w,w);oo.instantiations=new Map;var tc=Xs(void 0,X,w,w,w);tc.objectFlags|=262144;var ki=Xs(void 0,X,w,w,w),Gc=Xs(void 0,X,w,w,w),uh=Xs(void 0,X,w,w,w),sd=Ut(),Pp=Ut();Pp.constraint=sd;var Nl=Ut(),Ve=Ut(),_e=Ut();_e.constraint=Ve;var Lt=Iz(1,"<<unresolved>>",0,Je),ci=_b(void 0,void 0,void 0,w,Je,void 0,0,0),Mi=_b(void 0,void 0,void 0,w,vt,void 0,0,0),Rn=_b(void 0,void 0,void 0,w,Je,void 0,0,0),Yr=_b(void 0,void 0,void 0,w,Xn,void 0,0,0),Xi=nv(hi,st,!0),Mr=new Map,ds={get yieldType(){return j.fail("Not supported")},get returnType(){return j.fail("Not supported")},get nextType(){return j.fail("Not supported")}},Ks=Q2(Je,Je,Je),Ic={iterableCacheKey:"iterationTypesOfAsyncIterable",iteratorCacheKey:"iterationTypesOfAsyncIterator",iteratorSymbolName:"asyncIterator",getGlobalIteratorType:NKt,getGlobalIterableType:hee,getGlobalIterableIteratorType:tpt,getGlobalIteratorObjectType:PKt,getGlobalGeneratorType:AKt,getGlobalBuiltinIteratorTypes:LKt,resolveIterationType:(o,_)=>r4(o,_,k.Type_of_await_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member),mustHaveANextMethodDiagnostic:k.An_async_iterator_must_have_a_next_method,mustBeAMethodDiagnostic:k.The_0_property_of_an_async_iterator_must_be_a_method,mustHaveAValueDiagnostic:k.The_type_returned_by_the_0_method_of_an_async_iterator_must_be_a_promise_for_a_type_with_a_value_property},Gl={iterableCacheKey:"iterationTypesOfIterable",iteratorCacheKey:"iterationTypesOfIterator",iteratorSymbolName:"iterator",getGlobalIteratorType:OKt,getGlobalIterableType:ome,getGlobalIterableIteratorType:ipt,getGlobalIteratorObjectType:RKt,getGlobalGeneratorType:FKt,getGlobalBuiltinIteratorTypes:MKt,resolveIterationType:(o,_)=>o,mustHaveANextMethodDiagnostic:k.An_iterator_must_have_a_next_method,mustBeAMethodDiagnostic:k.The_0_property_of_an_iterator_must_be_a_method,mustHaveAValueDiagnostic:k.The_type_returned_by_the_0_method_of_an_iterator_must_have_a_value_property},Pu,Ku=new Map,Xu=new Map,Wl,Cu,gm,Qu,kd,eg,Ye,li,En,po,ic,sc,Hu,Hd,Yc,Pc,od,Ap,Vg,Y_,Jp,ym,tg,Zv,Hg,F_,jd,lC,h_,Yv,vm,ve,Ot,mi,Ci,hn,Wn,Lr,ps,ee,Xe,Zt,Nn,Is,Qo,To,Zo,uc,Xa,Yl,ig,h0,K0,eb,PS,tb,ng,rg,Vl,Gp,ep,X0=new Map,ib=0,jg=0,$y=0,uC=!1,AS=0,zg,x2,bm,Ug=[],dC=[],k2=[],Jy=0,nb=[],T2=[],xx=[],it=0,yt=V_(""),ei=v0(0),Pi=yee({negative:!1,base10Value:"0"}),nn=[],Vn=[],mn=[],Pr=0,ks=!1,ar=0,Ns=10,cr=[],Go=[],ll=[],qh=[],qg=[],$h=[],$g=[],Jh=[],DD=[],kx=[],rb=[],fC=[],OS=[],_0=[],MS=[],Tx=[],Dx=[],Ex=[],ZI=[],D2=0,lc=OV(),ED=OV(),YI=Le(),E2,sb,ob=new Map,Cm=new Map,Op=new Map,Mp=new Map,tp=new Map,Ix=new Map,vO=[[".mts",".mjs"],[".ts",".js"],[".cts",".cjs"],[".mjs",".mjs"],[".js",".js"],[".cjs",".cjs"],[".tsx",Q.jsx===1?".jsx":".js"],[".jsx",".jsx"],[".json",".json"]];return Qsi(),On;function Xd(o){return!Nr(o)||!ot(o.name)||!Nr(o.expression)&&!ot(o.expression)?!1:ot(o.expression)?Fr(o.expression)==="Symbol"&&Lh(o.expression)===(jO("Symbol",1160127,void 0)||pt):ot(o.expression.expression)?Fr(o.expression.name)==="Symbol"&&Fr(o.expression.expression)==="globalThis"&&Lh(o.expression.expression)===Me:!1}function Q0(o){return o?ac.get(o):void 0}function RS(o,_){return o&&ac.set(o,_),_}function Gy(o){if(o){const _=_n(o);if(_)if(AI(o)){if(_.localJsxFragmentNamespace)return _.localJsxFragmentNamespace;const y=_.pragmas.get("jsxfrag");if(y){const D=Ao(y)?y[0]:y;if(_.localJsxFragmentFactory=YP(D.arguments.factory,re),bt(_.localJsxFragmentFactory,dh,E_),_.localJsxFragmentFactory)return _.localJsxFragmentNamespace=K_(_.localJsxFragmentFactory).escapedText}const S=W5e(o);if(S)return _.localJsxFragmentFactory=S,_.localJsxFragmentNamespace=K_(S).escapedText}else{const y=kA(_);if(y)return _.localJsxNamespace=y}}return E2||(E2="React",Q.jsxFactory?(sb=YP(Q.jsxFactory,re),bt(sb,dh),sb&&(E2=K_(sb).escapedText)):Q.reactNamespace&&(E2=cu(Q.reactNamespace))),sb||(sb=G.createQualifiedName(G.createIdentifier(Ws(E2)),"createElement")),E2}function kA(o){if(o.localJsxNamespace)return o.localJsxNamespace;const _=o.pragmas.get("jsx");if(_){const y=Ao(_)?_[0]:_;if(o.localJsxFactory=YP(y.arguments.factory,re),bt(o.localJsxFactory,dh,E_),o.localJsxFactory)return o.localJsxNamespace=K_(o.localJsxFactory).escapedText}}function dh(o){return V0(o,-1,-1),dn(o,dh,void 0)}function hC(o,_,y){return y||Q1t(o,_),Pe}function ip(o,_,...y){const S=o?Kn(o,_,...y):Zl(_,...y),D=lc.lookup(S);return D||(lc.add(S),S)}function I2(o,_,y,...S){const D=ft(_,y,...S);return D.skippedOn=o,D}function Q1(o,_,...y){return o?Kn(o,_,...y):Zl(_,...y)}function ft(o,_,...y){const S=Q1(o,_,...y);return lc.add(S),S}function Z0(o,_){o?lc.add(_):ED.add({..._,category:2})}function Z1(o,_,y,...S){if(_.pos<0||_.end<0){if(!o)return;const D=_n(_);Z0(o,"message"in y?Md(D,0,0,y,...S):Nae(D,y));return}Z0(o,"message"in y?Kn(_,y,...S):Bv(_n(_),_,y))}function N2(o,_,y,...S){const D=ft(o,y,...S);if(_){const L=Kn(o,k.Did_you_forget_to_use_await);da(D,L)}return D}function TA(o,_){const y=Array.isArray(o)?O(o,$oe):$oe(o);return y&&da(_,Kn(y,k.The_declaration_was_marked_as_deprecated_here)),ED.add(_),_}function _C(o){const _=Qp(o);return _&&P(o.declarations)>1?_.flags&64?Ft(o.declarations,FS):Ce(o.declarations,FS):!!o.valueDeclaration&&FS(o.valueDeclaration)||P(o.declarations)&&Ce(o.declarations,FS)}function FS(o){return!!(oE(o)&536870912)}function pC(o,_,y){const S=Kn(o,k._0_is_deprecated,y);return TA(_,S)}function DA(o,_,y,S){const D=y?Kn(o,k.The_signature_0_of_1_is_deprecated,S,y):Kn(o,k._0_is_deprecated,S);return TA(_,D)}function $a(o,_,y){T++;const S=new p(o|33554432,_);return S.links=new eet,S.links.checkFlags=y||0,S}function p0(o,_){const y=$a(1,o);return y.links.type=_,y}function BS(o,_){const y=$a(4,o);return y.links.type=_,y}function L2(o){let _=0;return o&2&&(_|=111551),o&1&&(_|=111550),o&4&&(_|=0),o&8&&(_|=900095),o&16&&(_|=110991),o&32&&(_|=899503),o&64&&(_|=788872),o&256&&(_|=899327),o&128&&(_|=899967),o&512&&(_|=110735),o&8192&&(_|=103359),o&32768&&(_|=46015),o&65536&&(_|=78783),o&262144&&(_|=526824),o&524288&&(_|=788968),o&2097152&&(_|=2097152),_}function Kp(o,_){_.mergeId||(_.mergeId=ZYe,ZYe++),cr[_.mergeId]=o}function ab(o){const _=$a(o.flags,o.escapedName);return _.declarations=o.declarations?o.declarations.slice():[],_.parent=o.parent,o.valueDeclaration&&(_.valueDeclaration=o.valueDeclaration),o.constEnumOnlyModule&&(_.constEnumOnlyModule=!0),o.members&&(_.members=new Map(o.members)),o.exports&&(_.exports=new Map(o.exports)),Kp(_,o),_}function Y0(o,_,y=!1){if(!(o.flags&L2(_.flags))||(_.flags|o.flags)&67108864){if(_===o)return o;if(!(o.flags&33554432)){const L=Zu(o);if(L===pt)return _;if(!(L.flags&L2(_.flags))||(_.flags|L.flags)&67108864)o=ab(L);else return S(o,_),_}_.flags&512&&o.flags&512&&o.constEnumOnlyModule&&!_.constEnumOnlyModule&&(o.constEnumOnlyModule=!1),o.flags|=_.flags,_.valueDeclaration&&bV(o,_.valueDeclaration),Sr(o.declarations,_.declarations),_.members&&(o.members||(o.members=_a()),Jg(o.members,_.members,y)),_.exports&&(o.exports||(o.exports=_a()),Jg(o.exports,_.exports,y,o)),y||Kp(o,_)}else o.flags&1024?o!==Me&&ft(_.declarations&&No(_.declarations[0]),k.Cannot_augment_module_0_with_value_exports_because_it_resolves_to_a_non_module_entity,eo(o)):S(o,_);return o;function S(L,B){const K=!!(L.flags&384||B.flags&384),ne=!!(L.flags&2||B.flags&2),ce=K?k.Enum_declarations_can_only_merge_with_namespace_or_other_enum_declarations:ne?k.Cannot_redeclare_block_scoped_variable_0:k.Duplicate_identifier_0,ge=B.declarations&&_n(B.declarations[0]),je=L.declarations&&_n(L.declarations[0]),Be=k8(ge,Q.checkJs),kt=k8(je,Q.checkJs),Vt=eo(B);if(ge&&je&&Pu&&!K&&ge!==je){const Kt=Hb(ge.path,je.path)===-1?ge:je,Oi=Kt===ge?je:ge,Ki=mp(Pu,`${Kt.path}|${Oi.path}`,()=>({firstFile:Kt,secondFile:Oi,conflictingSymbols:new Map})),qn=mp(Ki.conflictingSymbols,Vt,()=>({isBlockScoped:ne,firstFileLocations:[],secondFileLocations:[]}));Be||D(qn.firstFileLocations,B),kt||D(qn.secondFileLocations,L)}else Be||Ky(B,ce,Vt,L),kt||Ky(L,ce,Vt,B)}function D(L,B){if(B.declarations)for(const K of B.declarations)mu(L,K)}}function Ky(o,_,y,S){O(o.declarations,D=>{EA(D,_,y,S.declarations)})}function EA(o,_,y,S){const D=($k(o,!1)?Bae(o):No(o))||o,L=ip(D,_,y);for(const B of S||w){const K=($k(B,!1)?Bae(B):No(B))||B;if(K===D)continue;L.relatedInformation=L.relatedInformation||[];const ne=Kn(K,k._0_was_also_declared_here,y),ce=Kn(K,k.and_here);P(L.relatedInformation)>=5||Ft(L.relatedInformation,ge=>Z8(ge,ce)===0||Z8(ge,ne)===0)||da(L,P(L.relatedInformation)?ce:ne)}}function Nx(o,_){if(!(o!=null&&o.size))return _;if(!(_!=null&&_.size))return o;const y=_a();return Jg(y,o),Jg(y,_),y}function Jg(o,_,y=!1,S){_.forEach((D,L)=>{const B=o.get(L),K=B?Y0(B,D,y):wc(D);S&&B&&(K.parent=S),o.set(L,K)})}function IA(o){var _,y,S;const D=o.parent;if(((_=D.symbol.declarations)==null?void 0:_[0])!==D){j.assert(D.symbol.declarations.length>1);return}if(Ry(D))Jg($e,D.symbol.exports);else{const L=o.parent.parent.flags&33554432?void 0:k.Invalid_module_name_in_augmentation_module_0_cannot_be_found;let B=AD(o,o,L,!1,!0);if(!B)return;if(B=sp(B),B.flags&1920)if(Ft(Cu,K=>B===K.symbol)){const K=Y0(D.symbol,B,!0);gm||(gm=new Map),gm.set(o.text,K)}else{if((y=B.exports)!=null&&y.get("__export")&&((S=D.symbol.exports)!=null&&S.size)){const K=yRe(B,"resolvedExports");for(const[ne,ce]of as(D.symbol.exports.entries()))K.has(ne)&&!B.exports.has(ne)&&Y0(K.get(ne),ce)}Y0(B,D.symbol)}else ft(o,k.Cannot_augment_module_0_because_it_resolves_to_a_non_module_entity,o.text)}}function WS(){const o=et.escapedName,_=$e.get(o);_?O(_.declarations,y=>{NP(y)||lc.add(Kn(y,k.Declaration_name_conflicts_with_built_in_global_identifier_0,Ws(o)))}):$e.set(o,et)}function Ys(o){if(o.flags&33554432)return o.links;const _=Ba(o);return Go[_]??(Go[_]=new eet)}function yr(o){const _=Sc(o);return ll[_]||(ll[_]=new HMt)}function ff(o,_,y){if(y){const S=wc(o.get(_));if(S&&(S.flags&y||S.flags&2097152&&Eh(S)&y))return S}}function mC(o,_){const y=o.parent,S=o.parent.parent,D=ff(y.locals,_,111551),L=ff(qx(S.symbol),_,111551);return D&&L?[D,L]:j.fail("There should exist two symbols, one as property declaration and one as parameter declaration")}function VS(o,_){const y=_n(o),S=_n(_),D=R0(o);if(y!==S){if(oe&&(y.externalModuleIndicator||S.externalModuleIndicator)||!Q.outFile||vP(_)||o.flags&33554432||B(_,o))return!0;const ne=t.getSourceFiles();return ne.indexOf(y)<=ne.indexOf(S)}if(_.flags&16777216||vP(_)||Q8e(_))return!0;if(o.pos<=_.pos&&!(Lo(o)&&aV(_.parent)&&!o.initializer&&!o.exclamationToken)){if(o.kind===208){const ne=Xk(_,208);return ne?Qi(ne,ec)!==Qi(o,ec)||o.pos<ne.pos:VS(Xk(o,260),_)}else{if(o.kind===260)return!L(o,_);if(ss(o)){const ne=Qi(_,ce=>ce===o?"quit":Ka(ce)?ce.parent.parent===o:!pe&&Fd(ce)&&(ce.parent===o||Nu(ce.parent)&&ce.parent.parent===o||FW(ce.parent)&&ce.parent.parent===o||Lo(ce.parent)&&ce.parent.parent===o||$s(ce.parent)&&ce.parent.parent.parent===o));return ne?!pe&&Fd(ne)?!!Qi(_,ce=>ce===ne?"quit":Ho(ce)&&!VT(ce)):!1:!0}else{if(Lo(o))return!K(o,_,!1);if(vp(o,o.parent))return!(ue&&Cf(o)===Cf(_)&&B(_,o))}}return!0}if(_.parent.kind===281||_.parent.kind===277&&_.parent.isExportEquals||_.kind===277&&_.isExportEquals)return!0;if(B(_,o))return ue&&Cf(o)&&(Lo(o)||vp(o,o.parent))?!K(o,_,!0):!0;return!1;function L(ne,ce){switch(ne.parent.parent.kind){case 243:case 248:case 250:if(nN(ce,ne,D))return!0;break}const ge=ne.parent.parent;return fI(ge)&&nN(ce,ge.expression,D)}function B(ne,ce){return!!Qi(ne,ge=>{if(ge===D)return"quit";if(Ho(ge))return!0;if(gu(ge))return ce.pos<ne.pos;const je=Jr(ge.parent,Lo);if(je&&je.initializer===ge){if(pa(ge.parent)){if(ce.kind===174)return!0;if(Lo(ce)&&Cf(ne)===Cf(ce)){const kt=ce.name;if(ot(kt)||Vs(kt)){const Vt=Yn(xr(ce)),Kt=$t(ce.parent.members,gu);if(Ori(kt,Vt,Kt,ce.parent.pos,ge.pos))return!0}}}else if(!(ce.kind===172&&!pa(ce))||Cf(ne)!==Cf(ce))return!0}return!1})}function K(ne,ce,ge){return ce.end>ne.end?!1:Qi(ce,Be=>{if(Be===ne)return"quit";switch(Be.kind){case 219:return!0;case 172:return ge&&(Lo(ne)&&Be.parent===ne.parent||vp(ne,ne.parent)&&Be.parent===ne.parent.parent)?"quit":!0;case 241:switch(Be.parent.kind){case 177:case 174:case 178:return!0;default:return!1}default:return!1}})===void 0}}function eN(o){return yr(o).declarationRequiresScopeChange}function Lx(o,_){yr(o).declarationRequiresScopeChange=_}function tN(o,_,y,S){return ue?!1:(o&&!S&&ND(o,_,_)||ft(o,o&&y.type&&NW(y.type,o.pos)?k.Type_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor:k.Initializer_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor,al(y.name),sg(_)),!0)}function Tl(o,_,y,S){const D=Oo(_)?_:_.escapedText;a(()=>{if(!o||o.parent.kind!==324&&!ND(o,D,_)&&!iN(o)&&!CO(o,D,y)&&!Vo(o,D)&&!rr(o,D,y)&&!HS(o,D,y)&&!vr(o,D,y)){let L,B;if(_&&(B=Aei(_),B&&ft(o,S,sg(_),B)),!B&&ar<Ns&&(L=Xgt(o,D,y),(L==null?void 0:L.valueDeclaration)&&Vh(L.valueDeclaration)&&Ry(L.valueDeclaration)&&(L=void 0),L)){const ne=eo(L),ce=L7e(o,L,!1),ge=y===1920||_&&typeof _!="string"&&cl(_)?k.Cannot_find_namespace_0_Did_you_mean_1:ce?k.Could_not_find_name_0_Did_you_mean_1:k.Cannot_find_name_0_Did_you_mean_1,je=Q1(o,ge,sg(_),ne);je.canonicalHead=lTe(S,sg(_)),Z0(!ce,je),L.valueDeclaration&&da(je,Kn(L.valueDeclaration,k._0_is_declared_here,ne))}!L&&!B&&_&&ft(o,S,sg(_)),ar++}})}function gC(o,_,y,S,D,L){a(()=>{var B;const K=_.escapedName,ne=S&&Os(S)&&Cp(S);if(o&&(y&2||(y&32||y&384)&&(y&111551)===111551)){const ce=Rp(_);(ce.flags&2||ce.flags&32||ce.flags&384)&&SO(ce,o)}if(ne&&(y&111551)===111551&&!(o.flags&16777216)){const ce=wc(_);P(ce.declarations)&&Ce(ce.declarations,ge=>pH(ge)||Os(ge)&&!!ge.symbol.globalExports)&&Z1(!Q.allowUmdGlobalAccess,o,k._0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead,Ws(K))}if(D&&!L&&(y&111551)===111551){const ce=wc(Kpe(_)),ge=A1(D);ce===xr(D)?ft(o,k.Parameter_0_cannot_reference_itself,al(D.name)):ce.valueDeclaration&&ce.valueDeclaration.pos>D.pos&&ge.parent.locals&&ff(ge.parent.locals,ce.escapedName,y)===ce&&ft(o,k.Parameter_0_cannot_reference_identifier_1_declared_after_it,al(D.name),al(o))}if(o&&y&111551&&_.flags&2097152&&!(_.flags&111551)&&!Yk(o)){const ce=ey(_,111551);if(ce){const ge=ce.kind===281||ce.kind===278||ce.kind===280?k._0_cannot_be_used_as_a_value_because_it_was_exported_using_export_type:k._0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type,je=Ws(K);ID(ft(o,ge,je),ce,je)}}if(Q.isolatedModules&&_&&ne&&(y&111551)===111551){const ge=ff($e,K,y)===_&&Os(S)&&S.locals&&ff(S.locals,K,-111552);if(ge){const je=(B=ge.declarations)==null?void 0:B.find(Be=>Be.kind===276||Be.kind===273||Be.kind===274||Be.kind===271);je&&!v8(je)&&ft(je,k.Import_0_conflicts_with_global_value_used_in_this_file_so_must_be_declared_with_a_type_only_import_when_isolatedModules_is_enabled,Ws(K))}}})}function ID(o,_,y){return _?da(o,Kn(_,_.kind===281||_.kind===278||_.kind===280?k._0_was_exported_here:k._0_was_imported_here,y)):o}function sg(o){return Oo(o)?Ws(o):al(o)}function ND(o,_,y){if(!ot(o)||o.escapedText!==_||Z1t(o)||vP(o))return!1;const S=Hh(o,!1,!1);let D=S;for(;D;){if(ss(D.parent)){const L=xr(D.parent);if(!L)break;const B=Yn(L);if(Ta(B,_))return ft(o,k.Cannot_find_name_0_Did_you_mean_the_static_member_1_0,sg(y),eo(L)),!0;if(D===S&&!pa(D)){const K=gl(L).thisType;if(Ta(K,_))return ft(o,k.Cannot_find_name_0_Did_you_mean_the_instance_member_this_0,sg(y)),!0}}D=D.parent}return!1}function iN(o){const _=bO(o);return _&&nu(_,64,!0)?(ft(o,k.Cannot_extend_an_interface_0_Did_you_mean_implements,uu(_)),!0):!1}function bO(o){switch(o.kind){case 80:case 211:return o.parent?bO(o.parent):void 0;case 233:if(pl(o.expression))return o.expression;default:return}}function CO(o,_,y){const S=1920|(nr(o)?111551:0);if(y===S){const D=Zu(_i(o,_,788968&~S,void 0,!1)),L=o.parent;if(D){if(o_(L)){j.assert(L.left===o,"Should only be resolving left side of qualified name as a namespace");const B=L.right.escapedText;if(Ta(gl(D),B))return ft(L,k.Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_property_1_in_0_with_0_1,Ws(_),Ws(B)),!0}return ft(o,k._0_only_refers_to_a_type_but_is_being_used_as_a_namespace_here,Ws(_)),!0}}return!1}function vr(o,_,y){if(y&788584){const S=Zu(_i(o,_,111127,void 0,!1));if(S&&!(S.flags&1920))return ft(o,k._0_refers_to_a_value_but_is_being_used_as_a_type_here_Did_you_mean_typeof_0,Ws(_)),!0}return!1}function fh(o){return o==="any"||o==="string"||o==="number"||o==="boolean"||o==="never"||o==="unknown"}function Vo(o,_){return fh(_)&&o.parent.kind===281?(ft(o,k.Cannot_export_0_Only_local_declarations_can_be_exported_from_a_module,_),!0):!1}function HS(o,_,y){if(y&111551){if(fh(_)){const L=o.parent.parent;if(L&&L.parent&&Tp(L)){const B=L.token,K=L.parent.kind;K===264&&B===96?ft(o,k.An_interface_cannot_extend_a_primitive_type_like_0_It_can_only_extend_other_named_object_types,Ws(_)):K===263&&B===96?ft(o,k.A_class_cannot_extend_a_primitive_type_like_0_Classes_can_only_extend_constructable_values,Ws(_)):K===263&&B===119&&ft(o,k.A_class_cannot_implement_a_primitive_type_like_0_It_can_only_implement_other_named_object_types,Ws(_))}else ft(o,k._0_only_refers_to_a_type_but_is_being_used_as_a_value_here,Ws(_));return!0}const S=Zu(_i(o,_,788544,void 0,!1)),D=S&&Eh(S);if(S&&D!==void 0&&!(D&111551)){const L=Ws(_);return P2(_)?ft(o,k._0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_es2015_or_later,L):NA(o,S)?ft(o,k._0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Did_you_mean_to_use_1_in_0,L,L==="K"?"P":"K"):ft(o,k._0_only_refers_to_a_type_but_is_being_used_as_a_value_here,L),!0}}return!1}function NA(o,_){const y=Qi(o.parent,S=>Ka(S)||O_(S)?!1:a_(S)||"quit");if(y&&y.members.length===1){const S=gl(_);return!!(S.flags&1048576)&&nU(S,384,!0)}return!1}function P2(o){switch(o){case"Promise":case"Symbol":case"Map":case"WeakMap":case"Set":case"WeakSet":return!0}return!1}function rr(o,_,y){if(y&111127){if(Zu(_i(o,_,1024,void 0,!1)))return ft(o,k.Cannot_use_namespace_0_as_a_value,Ws(_)),!0}else if(y&788544&&Zu(_i(o,_,1536,void 0,!1)))return ft(o,k.Cannot_use_namespace_0_as_a_type,Ws(_)),!0;return!1}function SO(o,_){var y;if(j.assert(!!(o.flags&2||o.flags&32||o.flags&384)),o.flags&67108881&&o.flags&32)return;const S=(y=o.declarations)==null?void 0:y.find(D=>bae(D)||ss(D)||D.kind===266);if(S===void 0)return j.fail("checkResolvedBlockScopedVariable could not find block-scoped declaration");if(!(S.flags&33554432)&&!VS(S,_)){let D;const L=al(No(S));o.flags&2?D=ft(_,k.Block_scoped_variable_0_used_before_its_declaration,L):o.flags&32?D=ft(_,k.Class_0_used_before_its_declaration,L):o.flags&256?D=ft(_,k.Enum_0_used_before_its_declaration,L):(j.assert(!!(o.flags&128)),Ag(Q)&&(D=ft(_,k.Enum_0_used_before_its_declaration,L))),D&&da(D,Kn(S,k._0_is_declared_here,L))}}function nN(o,_,y){return!!_&&!!Qi(o,S=>S===_||(S===y||Ho(S)&&(!VT(S)||nd(S)&3)?"quit":!1))}function Th(o){switch(o.kind){case 271:return o;case 273:return o.parent;case 274:return o.parent.parent;case 276:return o.parent.parent.parent;default:return}}function Dh(o){return o.declarations&&rt(o.declarations,Xp)}function Xp(o){return o.kind===271||o.kind===270||o.kind===273&&!!o.name||o.kind===274||o.kind===280||o.kind===276||o.kind===281||o.kind===277&&EV(o)||ur(o)&&Bu(o)===2&&EV(o)||Sl(o)&&ur(o.parent)&&o.parent.left===o&&o.parent.operatorToken.kind===64&&A2(o.parent.right)||o.kind===304||o.kind===303&&A2(o.initializer)||o.kind===260&&HT(o)||o.kind===208&&HT(o.parent.parent)}function A2(o){return sK(o)||ml(o)&&dy(o)}function rN(o,_){const y=Qd(o);if(y){const D=u3(y.expression).arguments[0];return ot(y.name)?Zu(Ta(L_t(D),y.name.escapedText)):void 0}if(_s(o)||o.moduleReference.kind===283){const D=B_(o,Fae(o)||L8(o)),L=sp(D);return rp(o,D,L,!1),L}const S=PA(o.moduleReference,_);return cb(o,S),S}function cb(o,_){if(rp(o,void 0,_,!1)&&!o.isTypeOnly){const y=ey(xr(o)),S=y.kind===281||y.kind===278,D=S?k.An_import_alias_cannot_reference_a_declaration_that_was_exported_using_export_type:k.An_import_alias_cannot_reference_a_declaration_that_was_imported_using_import_type,L=S?k._0_was_exported_here:k._0_was_imported_here,B=y.kind===278?"*":tx(y.name);da(ft(o.moduleReference,D),Kn(y,L,B))}}function np(o,_,y,S){const D=o.exports.get("export="),L=D?Ta(Yn(D),_,!0):o.exports.get(_),B=Zu(L,S);return rp(y,L,B,!1),B}function Y1(o){return Il(o)&&!o.isExportEquals||Zr(o,2048)||vh(o)||jy(o)}function Sm(o){return Bc(o)?t.getEmitSyntaxForUsageLocation(_n(o),o):void 0}function jS(o,_){return o===99&&_===1}function zS(o){return 100<=oe&&oe<=199?Sm(o)===99&&su(o.text,".json"):!1}function LD(o,_,y,S){const D=o&&Sm(S);if(o&&D!==void 0){const L=t.getImpliedNodeFormatForEmit(o);if(D===99&&L===1&&100<=oe&&oe<=199)return!0;if(D===99&&L===99)return!1}if(!Ie)return!1;if(!o||o.isDeclarationFile){const L=np(_,"default",void 0,!0);return!(L&&Ft(L.declarations,Y1)||np(_,cu("__esModule"),void 0,y))}return r_(o)?typeof o.externalModuleIndicator!="object"&&!np(_,cu("__esModule"),void 0,y):_N(_)}function sN(o,_){const y=B_(o,o.parent.moduleSpecifier);if(y)return Px(y,o,_)}function Px(o,_,y){var S;let D;QW(o)?D=o:D=np(o,"default",_,y);const L=(S=o.declarations)==null?void 0:S.find(Os),B=oN(_);if(!B)return D;const K=zS(B),ne=LD(L,o,y,B);if(!D&&!ne&&!K)if(_N(o)&&!Ie){const ce=oe>=5?"allowSyntheticDefaultImports":"esModuleInterop",je=o.exports.get("export=").valueDeclaration,Be=ft(_.name,k.Module_0_can_only_be_default_imported_using_the_1_flag,eo(o),ce);je&&da(Be,Kn(je,k.This_module_is_declared_with_export_and_can_only_be_used_with_a_default_import_when_using_the_0_flag,ce))}else H0(_)?aN(o,_):yC(o,o,_,Vk(_)&&_.propertyName||_.name);else if(ne||K){const ce=sp(o,y)||Zu(o,y);return rp(_,o,ce,!1),ce}return rp(_,D,void 0,!1),D}function oN(o){switch(o.kind){case 273:return o.parent.moduleSpecifier;case 271:return eC(o.moduleReference)?o.moduleReference.expression:void 0;case 274:return o.parent.parent.moduleSpecifier;case 276:return o.parent.parent.parent.moduleSpecifier;case 281:return o.parent.parent.moduleSpecifier;default:return j.assertNever(o)}}function aN(o,_){var y,S,D;if((y=o.exports)!=null&&y.has(_.symbol.escapedName))ft(_.name,k.Module_0_has_no_default_export_Did_you_mean_to_use_import_1_from_0_instead,eo(o),eo(_.symbol));else{const L=ft(_.name,k.Module_0_has_no_default_export,eo(o)),B=(S=o.exports)==null?void 0:S.get("__export");if(B){const K=(D=B.declarations)==null?void 0:D.find(ne=>{var ce,ge;return!!(Ju(ne)&&ne.moduleSpecifier&&((ge=(ce=B_(ne,ne.moduleSpecifier))==null?void 0:ce.exports)!=null&&ge.has("default")))});K&&da(L,Kn(K,k.export_Asterisk_does_not_re_export_a_default))}}}function cN(o,_){const y=o.parent.parent.moduleSpecifier,S=B_(o,y),D=Rx(S,y,_,!1);return rp(o,S,D,!1),D}function e1(o,_){const y=o.parent.moduleSpecifier,S=y&&B_(o,y),D=y&&Rx(S,y,_,!1);return rp(o,S,D,!1),D}function wO(o,_){if(o===pt&&_===pt)return pt;if(o.flags&790504)return o;const y=$a(o.flags|_.flags,o.escapedName);return j.assert(o.declarations||_.declarations),y.declarations=Wb(Js(o.declarations,_.declarations),Hw),y.parent=o.parent||_.parent,o.valueDeclaration&&(y.valueDeclaration=o.valueDeclaration),_.members&&(y.members=new Map(_.members)),o.exports&&(y.exports=new Map(o.exports)),y}function O2(o,_,y,S){var D;if(o.flags&1536){const L=__(o).get(_),B=Zu(L,S),K=(D=Ys(o).typeOnlyExportStarMap)==null?void 0:D.get(_);return rp(y,L,B,!1,K,_),B}}function Ax(o,_){if(o.flags&3){const y=o.valueDeclaration.type;if(y)return Zu(Ta(Bs(y),_))}}function lb(o,_,y=!1){var S;const D=Fae(o)||o.moduleSpecifier,L=B_(o,D),B=!Nr(_)&&_.propertyName||_.name;if(!ot(B)&&B.kind!==11)return;const K=FT(B),ce=Rx(L,D,!1,K==="default"&&Ie);if(ce&&(K||B.kind===11)){if(QW(L))return L;let ge;L&&L.exports&&L.exports.get("export=")?ge=Ta(Yn(ce),K,!0):ge=Ax(ce,K),ge=Zu(ge,y);let je=O2(ce,K,_,y);if(je===void 0&&K==="default"){const kt=(S=L.declarations)==null?void 0:S.find(Os);(zS(D)||LD(kt,L,y,D))&&(je=sp(L,y)||Zu(L,y))}const Be=je&&ge&&je!==ge?wO(ge,je):je||ge;return Be||yC(L,ce,o,B),Be}}function yC(o,_,y,S){var D;const L=qS(o,y),B=al(S),K=ot(S)?O7e(S,_):void 0;if(K!==void 0){const ne=eo(K),ce=ft(S,k._0_has_no_exported_member_named_1_Did_you_mean_2,L,B,ne);K.valueDeclaration&&da(ce,Kn(K.valueDeclaration,k._0_is_declared_here,ne))}else(D=o.exports)!=null&&D.has("default")?ft(S,k.Module_0_has_no_exported_member_1_Did_you_mean_to_use_import_1_from_0_instead,L,B):m0(y,S,B,o,L)}function m0(o,_,y,S,D){var L,B;const K=(B=(L=Jr(S.valueDeclaration,Ay))==null?void 0:L.locals)==null?void 0:B.get(FT(_)),ne=S.exports;if(K){const ce=ne==null?void 0:ne.get("export=");if(ce)Gg(ce,K)?US(o,_,y,D):ft(_,k.Module_0_has_no_exported_member_1,D,y);else{const ge=ne?Fe(ARe(ne),Be=>!!Gg(Be,K)):void 0,je=ge?ft(_,k.Module_0_declares_1_locally_but_it_is_exported_as_2,D,y,eo(ge)):ft(_,k.Module_0_declares_1_locally_but_it_is_not_exported,D,y);K.declarations&&da(je,...qt(K.declarations,(Be,kt)=>Kn(Be,kt===0?k._0_is_declared_here:k.and_here,y)))}}else ft(_,k.Module_0_has_no_exported_member_1,D,y)}function US(o,_,y,S){if(oe>=5){const D=zv(Q)?k._0_can_only_be_imported_by_using_a_default_import:k._0_can_only_be_imported_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import;ft(_,D,y)}else if(nr(o)){const D=zv(Q)?k._0_can_only_be_imported_by_using_a_require_call_or_by_using_a_default_import:k._0_can_only_be_imported_by_using_a_require_call_or_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import;ft(_,D,y)}else{const D=zv(Q)?k._0_can_only_be_imported_by_using_import_1_require_2_or_a_default_import:k._0_can_only_be_imported_by_using_import_1_require_2_or_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import;ft(_,D,y,y,S)}}function lN(o,_){if(l_(o)&&My(o.propertyName||o.name)){const B=oN(o),K=B&&B_(o,B);if(K)return Px(K,o,_)}const y=ec(o)?A1(o):o.parent.parent.parent,S=Qd(y),D=lb(y,S||o,_),L=o.propertyName||o.name;return S&&D&&ot(L)?Zu(Ta(Yn(D),L.escapedText),_):(rp(o,void 0,D,!1),D)}function Qd(o){if(_s(o)&&o.initializer&&Nr(o.initializer))return o.initializer}function uN(o,_){if(M0(o.parent)){const y=sp(o.parent.symbol,_);return rp(o,void 0,y,!1),y}}function Xy(o,_,y){const S=o.propertyName||o.name;if(My(S)){const L=oN(o),B=L&&B_(o,L);if(B)return Px(B,o,!!y)}const D=o.parent.parent.moduleSpecifier?lb(o.parent.parent,o,y):S.kind===11?void 0:nu(S,_,!1,y);return rp(o,void 0,D,!1),D}function dN(o,_){const y=Il(o)?o.expression:o.right,S=M2(y,_);return rp(o,void 0,S,!1),S}function M2(o,_){if(fd(o))return ju(o).symbol;if(!E_(o)&&!pl(o))return;const y=nu(o,901119,!0,_);return y||(ju(o),yr(o).resolvedSymbol)}function vC(o,_){if(ur(o.parent)&&o.parent.left===o&&o.parent.operatorToken.kind===64)return M2(o.parent.right,_)}function Ox(o,_=!1){switch(o.kind){case 271:case 260:return rN(o,_);case 273:return sN(o,_);case 274:return cN(o,_);case 280:return e1(o,_);case 276:case 208:return lN(o,_);case 281:return Xy(o,901119,_);case 277:case 226:return dN(o,_);case 270:return uN(o,_);case 304:return nu(o.name,901119,!0,_);case 303:return M2(o.initializer,_);case 212:case 211:return vC(o,_);default:return j.fail()}}function PD(o,_=901119){return o?(o.flags&(2097152|_))===2097152||!!(o.flags&2097152&&o.flags&67108864):!1}function Zu(o,_){return!_&&PD(o)?$f(o):o}function $f(o){j.assert((o.flags&2097152)!==0,"Should only get Alias here.");const _=Ys(o);if(_.aliasTarget)_.aliasTarget===Se&&(_.aliasTarget=pt);else{_.aliasTarget=Se;const y=Dh(o);if(!y)return j.fail();const S=Ox(y);_.aliasTarget===Se?_.aliasTarget=S||pt:ft(y,k.Circular_definition_of_import_alias_0,eo(o))}return _.aliasTarget}function xO(o){if(Ys(o).aliasTarget!==Se)return $f(o)}function Eh(o,_,y){const S=_&&ey(o),D=S&&Ju(S),L=S&&(D?B_(S.moduleSpecifier,S.moduleSpecifier,!0):$f(S.symbol)),B=D&&L?ub(L):void 0;let K=y?0:o.flags,ne;for(;o.flags&2097152;){const ce=Rp($f(o));if(!D&&ce===L||(B==null?void 0:B.get(ce.escapedName))===ce)break;if(ce===pt)return-1;if(ce===o||ne!=null&&ne.has(ce))break;ce.flags&2097152&&(ne?ne.add(ce):ne=new Set([o,ce])),K|=ce.flags,o=ce}return K}function rp(o,_,y,S,D,L){if(!o||Nr(o))return!1;const B=xr(o);if(Kw(o)){const ne=Ys(B);return ne.typeOnlyDeclaration=o,!0}if(D){const ne=Ys(B);return ne.typeOnlyDeclaration=D,B.escapedName!==L&&(ne.typeOnlyExportStarName=L),!0}const K=Ys(B);return LA(K,_,S)||LA(K,y,S)}function LA(o,_,y){var S;if(_&&(o.typeOnlyDeclaration===void 0||y&&o.typeOnlyDeclaration===!1)){const D=((S=_.exports)==null?void 0:S.get("export="))??_,L=D.declarations&&Fe(D.declarations,Kw);o.typeOnlyDeclaration=L??Ys(D).typeOnlyDeclaration??!1}return!!o.typeOnlyDeclaration}function ey(o,_){var y;if(!(o.flags&2097152))return;const S=Ys(o);if(S.typeOnlyDeclaration===void 0){S.typeOnlyDeclaration=!1;const D=Zu(o);rp((y=o.declarations)==null?void 0:y[0],Dh(o)&&Qme(o),D,!0)}if(_===void 0)return S.typeOnlyDeclaration||void 0;if(S.typeOnlyDeclaration){const D=S.typeOnlyDeclaration.kind===278?Zu(ub(S.typeOnlyDeclaration.symbol.parent).get(S.typeOnlyExportStarName||o.escapedName)):$f(S.typeOnlyDeclaration.symbol);return Eh(D)&_?S.typeOnlyDeclaration:void 0}}function PA(o,_){return o.kind===80&&q8(o)&&(o=o.parent),o.kind===80||o.parent.kind===166?nu(o,1920,!1,_):(j.assert(o.parent.kind===271),nu(o,901119,!1,_))}function qS(o,_){return o.parent?qS(o.parent,_)+"."+eo(o):eo(o,_,void 0,36)}function fN(o){for(;o_(o.parent);)o=o.parent;return o}function L5(o){let _=K_(o),y=_i(_,_,111551,void 0,!0);if(y){for(;o_(_.parent);){const S=Yn(y);if(y=Ta(S,_.parent.right.escapedText),!y)return;_=_.parent}return y}}function nu(o,_,y,S,D){if(lu(o))return;const L=1920|(nr(o)?_&111551:0);let B;if(o.kind===80){const K=_===L||cl(o)?k.Cannot_find_namespace_0:Imt(K_(o)),ne=nr(o)&&!cl(o)?kO(o,_):void 0;if(B=wc(_i(D||o,o,_,y||ne?void 0:K,!0,!1)),!B)return wc(ne)}else if(o.kind===166||o.kind===211){const K=o.kind===166?o.left:o.expression,ne=o.kind===166?o.right:o.name;let ce=nu(K,L,y,!1,D);if(!ce||lu(ne))return;if(ce===pt)return ce;if(ce.valueDeclaration&&nr(ce.valueDeclaration)&&yh(Q)!==100&&_s(ce.valueDeclaration)&&ce.valueDeclaration.initializer&&b0t(ce.valueDeclaration.initializer)){const ge=ce.valueDeclaration.initializer.arguments[0],je=B_(ge,ge);if(je){const Be=sp(je);Be&&(ce=Be)}}if(B=wc(ff(__(ce),ne.escapedText,_)),!B&&ce.flags&2097152&&(B=wc(ff(__($f(ce)),ne.escapedText,_))),!B){if(!y){const ge=qS(ce),je=al(ne),Be=O7e(ne,ce);if(Be){ft(ne,k._0_has_no_exported_member_named_1_Did_you_mean_2,ge,je,eo(Be));return}const kt=o_(o)&&fN(o);if(Qu&&_&788968&&kt&&!S3(kt.parent)&&L5(kt)){ft(kt,k._0_refers_to_a_value_but_is_being_used_as_a_type_here_Did_you_mean_typeof_0,bp(kt));return}if(_&1920&&o_(o.parent)){const Kt=wc(ff(__(ce),ne.escapedText,788968));if(Kt){ft(o.parent.right,k.Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_property_1_in_0_with_0_1,eo(Kt),Ws(o.parent.right.escapedText));return}}ft(ne,k.Namespace_0_has_no_exported_member_1,ge,je)}return}}else j.assertNever(o,"Unknown entity name kind.");return!cl(o)&&E_(o)&&(B.flags&2097152||o.parent.kind===277)&&rp(Jae(o),B,void 0,!0),B.flags&_||S?B:$f(B)}function kO(o,_){if(rme(o.parent)){const y=AA(o.parent);if(y)return _i(y,o,_,void 0,!0)}}function AA(o){if(Qi(o,D=>W6(D)||D.flags&16777216?Ng(D):"quit"))return;const y=zT(o);if(y&&uf(y)&&vV(y.expression)){const D=xr(y.expression.left);if(D)return Mx(D)}if(y&&ml(y)&&vV(y.parent)&&uf(y.parent.parent)){const D=xr(y.parent.left);if(D)return Mx(D)}if(y&&(Ig(y)||_d(y))&&ur(y.parent.parent)&&Bu(y.parent.parent)===6){const D=xr(y.parent.parent.left);if(D)return Mx(D)}const S=Gk(o);if(S&&Ho(S)){const D=xr(S);return D&&D.valueDeclaration}}function Mx(o){const _=o.parent.valueDeclaration;return _?(P8(_)?dP(_):hI(_)?A8(_):void 0)||_:void 0}function hN(o){const _=o.valueDeclaration;if(!_||!nr(_)||o.flags&524288||$k(_,!1))return;const y=_s(_)?A8(_):dP(_);if(y){const S=p_(y);if(S)return U7e(S,o)}}function B_(o,_,y){const D=yh(Q)===1?k.Cannot_find_module_0_Did_you_mean_to_set_the_moduleResolution_option_to_nodenext_or_to_add_aliases_to_the_paths_option:k.Cannot_find_module_0_or_its_corresponding_type_declarations;return AD(o,_,y?void 0:D,y)}function AD(o,_,y,S=!1,D=!1){return Bc(_)?OA(o,_.text,y,S?void 0:_,D):void 0}function OA(o,_,y,S,D=!1){var L,B,K,ne,ce,ge,je,Be,kt,Vt,Kt;if(S&&so(_,"@types/")){const Ur=k.Cannot_import_type_declaration_files_Consider_importing_0_instead_of_1,ga=KR(_,"@types/");ft(S,Ur,ga,_)}const Oi=N_t(_,!0);if(Oi)return Oi;const Ki=_n(o),qn=Bc(o)?o:((L=rd(o)?o:o.parent&&rd(o.parent)&&o.parent.name===o?o.parent:void 0)==null?void 0:L.name)||((B=lS(o)?o:void 0)==null?void 0:B.argument.literal)||(nr(o)&&q1(o)?o.moduleSpecifier:void 0)||(_s(o)&&o.initializer&&L_(o.initializer,!0)?o.initializer.arguments[0]:void 0)||((K=Qi(o,zp))==null?void 0:K.arguments[0])||((ne=Qi(o,du))==null?void 0:ne.moduleSpecifier)||((ce=Qi(o,qk))==null?void 0:ce.moduleReference.expression)||((ge=Qi(o,Ju))==null?void 0:ge.moduleSpecifier),Qn=qn&&Bc(qn)?t.getModeForUsageLocation(Ki,qn):t.getDefaultResolutionModeForFile(Ki),rn=yh(Q),Ui=(je=t.getResolvedModule(Ki,_,Qn))==null?void 0:je.resolvedModule,fr=S&&Ui&&wde(Q,Ui,Ki),Gr=Ui&&(!fr||fr===k.Module_0_was_resolved_to_1_but_jsx_is_not_set)&&t.getSourceFile(Ui.resolvedFileName);if(Gr){if(fr&&ft(S,fr,_,Ui.resolvedFileName),Ui.resolvedUsingTsExtension&&df(_)){const Ur=((Be=Qi(o,du))==null?void 0:Be.importClause)||Qi(o,om(Wd,Ju));(S&&Ur&&!Ur.isTypeOnly||Qi(o,zp))&&ft(S,k.A_declaration_file_cannot_be_imported_without_import_type_Did_you_mean_to_import_an_implementation_file_0_instead,vs(j.checkDefined(xK(_))))}else if(Ui.resolvedUsingTsExtension&&!W3(Q,Ki.fileName)){const Ur=((kt=Qi(o,du))==null?void 0:kt.importClause)||Qi(o,om(Wd,Ju));if(S&&!(Ur!=null&&Ur.isTypeOnly||Qi(o,V1))){const ga=j.checkDefined(xK(_));ft(S,k.An_import_path_can_only_end_with_a_0_extension_when_allowImportingTsExtensions_is_enabled,ga)}}if(Gr.symbol){if(S&&Ui.isExternalLibraryImport&&!t7(Ui.extension)&&OD(!1,S,Ki,Qn,Ui,_),S&&(rn===3||rn===99)){const Ur=Ki.impliedNodeFormat===1&&!Qi(o,zp)||!!Qi(o,Wd),ga=Qi(o,fa=>V1(fa)||Ju(fa)||du(fa)||q1(fa));if(Ur&&Gr.impliedNodeFormat===99&&!nEe(ga))if(Qi(o,Wd))ft(S,k.Module_0_cannot_be_imported_using_this_construct_The_specifier_only_resolves_to_an_ES_module_which_cannot_be_imported_with_require_Use_an_ECMAScript_import_instead,_);else{let fa;const Al=qv(Ki.fileName);(Al===".ts"||Al===".js"||Al===".tsx"||Al===".jsx")&&(fa=_ae(Ki)),lc.add(Bv(_n(S),S,jo(fa,k.The_current_file_is_a_CommonJS_module_whose_imports_will_produce_require_calls_however_the_referenced_file_is_an_ECMAScript_module_and_cannot_be_imported_with_require_Consider_writing_a_dynamic_import_0_call_instead,_)))}}return wc(Gr.symbol)}S&&y&&!ele(S)&&ft(S,k.File_0_is_not_a_module,Gr.fileName);return}if(Cu){const Ur=ioe(Cu,ga=>ga.pattern,_);if(Ur){const ga=gm&&gm.get(_);return wc(ga||Ur.symbol)}}if(!S)return;if(Ui&&!t7(Ui.extension)&&fr===void 0||fr===k.Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type){if(D){const Ur=k.Invalid_module_name_in_augmentation_Module_0_resolves_to_an_untyped_module_at_1_which_cannot_be_augmented;ft(S,Ur,_,Ui.resolvedFileName)}else OD(De&&!!y,S,Ki,Qn,Ui,_);return}if(y){if(Ui){const Ur=t.getProjectReferenceRedirect(Ui.resolvedFileName);if(Ur){ft(S,k.Output_file_0_has_not_been_built_from_source_file_1,Ur,Ui.resolvedFileName);return}}if(fr)ft(S,fr,_,Ui.resolvedFileName);else{const Ur=am(_)&&!N6(_),ga=rn===3||rn===99;if(!XT(Q)&&Xl(_,".json")&&rn!==1&&RK(Q))ft(S,k.Cannot_find_module_0_Consider_using_resolveJsonModule_to_import_module_with_json_extension,_);else if(Qn===99&&ga&&Ur){const fa=wo(_,ts(Ki.path)),Al=(Vt=vO.find(([Po,fu])=>t.fileExists(fa+Po)))==null?void 0:Vt[1];Al?ft(S,k.Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_node16_or_nodenext_Did_you_mean_0,_+Al):ft(S,k.Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_node16_or_nodenext_Consider_adding_an_extension_to_the_import_path)}else if((Kt=t.getResolvedModule(Ki,_,Qn))!=null&&Kt.alternateResult){const fa=IG(Ki,t,_,Qn,_);Z1(!0,S,jo(fa,y,_))}else ft(S,y,_)}}return;function vs(Ur){const ga=YV(_,Ur);if(KV(oe)||Qn===99){const fa=df(_)&&W3(Q);return ga+(Ur===".mts"||Ur===".d.mts"?fa?".mts":".mjs":Ur===".cts"||Ur===".d.mts"?fa?".cts":".cjs":fa?".ts":".js")}return ga}}function OD(o,_,y,S,{packageId:D,resolvedFileName:L},B){if(ele(_))return;let K;!Gd(B)&&D&&(K=IG(y,t,B,S,D.name)),Z1(o,_,jo(K,k.Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type,B,L))}function sp(o,_){if(o!=null&&o.exports){const y=Zu(o.exports.get("export="),_),S=MA(wc(y),wc(o));return wc(S)||o}}function MA(o,_){if(!o||o===pt||o===_||_.exports.size===1||o.flags&2097152)return o;const y=Ys(o);if(y.cjsExportMerged)return y.cjsExportMerged;const S=o.flags&33554432?o:ab(o);return S.flags=S.flags|512,S.exports===void 0&&(S.exports=_a()),_.exports.forEach((D,L)=>{L!=="export="&&S.exports.set(L,S.exports.has(L)?Y0(S.exports.get(L),D):D)}),S===o&&(Ys(S).resolvedExports=void 0,Ys(S).resolvedMembers=void 0),Ys(S).cjsExportMerged=S,y.cjsExportMerged=S}function Rx(o,_,y,S){var D;const L=sp(o,y);if(!y&&L){if(!S&&!(L.flags&1539)&&!ql(L,307)){const K=oe>=5?"allowSyntheticDefaultImports":"esModuleInterop";return ft(_,k.This_module_can_only_be_referenced_with_ECMAScript_imports_Slashexports_by_turning_on_the_0_flag_and_referencing_its_default_export,K),L}const B=_.parent;if(du(B)&&Y6(B)||zp(B)){const K=zp(B)?B.arguments[0]:B.moduleSpecifier,ne=Yn(L),ce=y0t(ne,L,o,K);if(ce)return wm(L,ce,B);const ge=(D=o==null?void 0:o.declarations)==null?void 0:D.find(Os),je=ge&&jS(Sm(K),t.getImpliedNodeFormatForEmit(ge));if(zv(Q)||je){let Be=aee(ne,0);if((!Be||!Be.length)&&(Be=aee(ne,1)),Be&&Be.length||Ta(ne,"default",!0)||je){const kt=ne.flags&3670016?v0t(ne,L,o,K):q7e(L,L.parent);return wm(L,kt,B)}}}}return L}function wm(o,_,y){const S=$a(o.flags,o.escapedName);S.declarations=o.declarations?o.declarations.slice():[],S.parent=o.parent,S.links.target=o,S.links.originatingImport=y,o.valueDeclaration&&(S.valueDeclaration=o.valueDeclaration),o.constEnumOnlyModule&&(S.constEnumOnlyModule=!0),o.members&&(S.members=new Map(o.members)),o.exports&&(S.exports=new Map(o.exports));const D=o1(_);return S.links.type=Xs(S,D.members,w,w,D.indexInfos),S}function _N(o){return o.exports.get("export=")!==void 0}function Fx(o){return ARe(ub(o))}function P5(o){const _=Fx(o),y=sp(o);if(y!==o){const S=Yn(y);$S(S)&&Sr(_,Ac(S))}return _}function MD(o,_){ub(o).forEach((D,L)=>{Wi(L)||_(D,L)});const S=sp(o);if(S!==o){const D=Yn(S);$S(D)&&JGt(D,(L,B)=>{_(L,B)})}}function pN(o,_){const y=ub(_);if(y)return y.get(o)}function RA(o,_){const y=pN(o,_);if(y)return y;const S=sp(_);if(S===_)return;const D=Yn(S);return $S(D)?Ta(D,o):void 0}function $S(o){return!(o.flags&402784252||Or(o)&1||ug(o)||Qa(o))}function __(o){return o.flags&6256?yRe(o,"resolvedExports"):o.flags&1536?ub(o):o.exports||X}function ub(o){const _=Ys(o);if(!_.resolvedExports){const{exports:y,typeOnlyExportStarMap:S}=TO(o);_.resolvedExports=y,_.typeOnlyExportStarMap=S}return _.resolvedExports}function db(o,_,y,S){_&&_.forEach((D,L)=>{if(L==="default")return;const B=o.get(L);if(!B)o.set(L,D),y&&S&&y.set(L,{specifierText:uu(S.moduleSpecifier)});else if(y&&S&&B&&Zu(B)!==Zu(D)){const K=y.get(L);K.exportsWithDuplicate?K.exportsWithDuplicate.push(S):K.exportsWithDuplicate=[S]}})}function TO(o){const _=[];let y;const S=new Set;o=sp(o);const D=L(o)||X;return y&&S.forEach(B=>y.delete(B)),{exports:D,typeOnlyExportStarMap:y};function L(B,K,ne){if(!ne&&(B!=null&&B.exports)&&B.exports.forEach((je,Be)=>S.add(Be)),!(B&&B.exports&&mu(_,B)))return;const ce=new Map(B.exports),ge=B.exports.get("__export");if(ge){const je=_a(),Be=new Map;if(ge.declarations)for(const kt of ge.declarations){const Vt=B_(kt,kt.moduleSpecifier),Kt=L(Vt,kt,ne||kt.isTypeOnly);db(je,Kt,Be,kt)}Be.forEach(({exportsWithDuplicate:kt},Vt)=>{if(!(Vt==="export="||!(kt&&kt.length)||ce.has(Vt)))for(const Kt of kt)lc.add(Kn(Kt,k.Module_0_has_already_exported_a_member_named_1_Consider_explicitly_re_exporting_to_resolve_the_ambiguity,Be.get(Vt).specifierText,Ws(Vt)))}),db(ce,je)}return K!=null&&K.isTypeOnly&&(y??(y=new Map),ce.forEach((je,Be)=>y.set(Be,K))),ce}}function wc(o){let _;return o&&o.mergeId&&(_=cr[o.mergeId])?_:o}function xr(o){return wc(o.symbol&&Kpe(o.symbol))}function p_(o){return M0(o)?xr(o):void 0}function Qp(o){return wc(o.parent&&Kpe(o.parent))}function RD(o){var _,y;return(((_=o.valueDeclaration)==null?void 0:_.kind)===219||((y=o.valueDeclaration)==null?void 0:y.kind)===218)&&p_(o.valueDeclaration.parent)||o}function DO(o,_){const y=_n(_),S=Sc(y),D=Ys(o);let L;if(D.extendedContainersByFile&&(L=D.extendedContainersByFile.get(S)))return L;if(y&&y.imports){for(const K of y.imports){if(cl(K))continue;const ne=B_(_,K,!0);!ne||!BD(ne,o)||(L=fn(L,ne))}if(P(L))return(D.extendedContainersByFile||(D.extendedContainersByFile=new Map)).set(S,L),L}if(D.extendedContainers)return D.extendedContainers;const B=t.getSourceFiles();for(const K of B){if(!wd(K))continue;const ne=xr(K);BD(ne,o)&&(L=fn(L,ne))}return D.extendedContainers=L||w}function FA(o,_,y){const S=Qp(o);if(S&&!(o.flags&262144))return ne(S);const D=es(o.declarations,ge=>{if(!Vh(ge)&&ge.parent){if(Bx(ge.parent))return xr(ge.parent);if(H1(ge.parent)&&ge.parent.parent&&sp(xr(ge.parent.parent))===o)return xr(ge.parent.parent)}if(fd(ge)&&ur(ge.parent)&&ge.parent.operatorToken.kind===64&&Sl(ge.parent.left)&&pl(ge.parent.left.expression))return Wv(ge.parent.left)||yI(ge.parent.left.expression)?xr(_n(ge)):(ju(ge.parent.left.expression),yr(ge.parent.left.expression).resolvedSymbol)});if(!P(D))return;const L=es(D,ge=>BD(ge,o)?ge:void 0);let B=[],K=[];for(const ge of L){const[je,...Be]=ne(ge);B=fn(B,je),K=Sr(K,Be)}return Js(B,K);function ne(ge){const je=es(ge.declarations,ce),Be=_&&DO(o,_),kt=R2(ge,y);if(_&&ge.flags&i1(y)&&JS(ge,_,1920,!1))return fn(Js(Js([ge],je),Be),kt);const Vt=!(ge.flags&i1(y))&&ge.flags&788968&&gl(ge).flags&524288&&y===111551?xf(_,Oi=>cf(Oi,Ki=>{if(Ki.flags&i1(y)&&Yn(Ki)===gl(ge))return Ki})):void 0;let Kt=Vt?[Vt,...je,ge]:[...je,ge];return Kt=fn(Kt,kt),Kt=Sr(Kt,Be),Kt}function ce(ge){return S&&FD(ge,S)}}function R2(o,_){const y=!!P(o.declarations)&&ya(o.declarations);if(_&111551&&y&&y.parent&&_s(y.parent)&&(Aa(y)&&y===y.parent.initializer||a_(y)&&y===y.parent.type))return xr(y.parent)}function FD(o,_){const y=GS(o),S=y&&y.exports&&y.exports.get("export=");return S&&Gg(S,_)?y:void 0}function BD(o,_){if(o===Qp(_))return _;const y=o.exports&&o.exports.get("export=");if(y&&Gg(y,_))return o;const S=__(o),D=S.get(_.escapedName);return D&&Gg(D,_)?D:cf(S,L=>{if(Gg(L,_))return L})}function Gg(o,_){if(wc(Zu(wc(o)))===wc(Zu(wc(_))))return o}function Rp(o){return wc(o&&(o.flags&1048576)!==0&&o.exportSymbol||o)}function Fp(o,_){return!!(o.flags&111551||o.flags&2097152&&Eh(o,!_)&111551)}function t1(o){var _;const y=new g(On,o);return b++,y.id=b,(_=Zn)==null||_.recordType(y),y}function Kg(o,_){const y=t1(o);return y.symbol=_,y}function mN(o){return new g(On,o)}function Td(o,_,y=0,S){BA(_,S);const D=t1(o);return D.intrinsicName=_,D.debugIntrinsicName=S,D.objectFlags=y|524288|2097152|33554432|16777216,D}function BA(o,_){const y=`${o},${_??""}`;Dt.has(y)&&j.fail(`Duplicate intrinsic type name ${o}${_?` (${_})`:""}; you may need to pass a name to createIntrinsicType.`),Dt.add(y)}function V(o,_){const y=Kg(524288,_);return y.objectFlags=o,y.members=void 0,y.properties=void 0,y.callSignatures=void 0,y.constructSignatures=void 0,y.indexInfos=void 0,y}function Le(){return is(as(kNe.keys(),V_))}function Ut(o){return Kg(262144,o)}function Wi(o){return o.charCodeAt(0)===95&&o.charCodeAt(1)===95&&o.charCodeAt(2)!==95&&o.charCodeAt(2)!==64&&o.charCodeAt(2)!==35}function gs(o){let _;return o.forEach((y,S)=>{ao(y,S)&&(_||(_=[])).push(y)}),_||w}function ao(o,_){return!Wi(_)&&Fp(o)}function Co(o){const _=gs(o),y=Ype(o);return y?Js(_,[y]):_}function co(o,_,y,S,D){const L=o;return L.members=_,L.properties=w,L.callSignatures=y,L.constructSignatures=S,L.indexInfos=D,_!==X&&(L.properties=gs(_)),L}function Xs(o,_,y,S,D){return co(V(16,o),_,y,S,D)}function Gh(o){if(o.constructSignatures.length===0)return o;if(o.objectTypeWithoutAbstractConstructSignatures)return o.objectTypeWithoutAbstractConstructSignatures;const _=$t(o.constructSignatures,S=>!(S.flags&4));if(o.constructSignatures===_)return o;const y=Xs(o.symbol,o.members,o.callSignatures,Ft(_)?_:w,o.indexInfos);return o.objectTypeWithoutAbstractConstructSignatures=y,y.objectTypeWithoutAbstractConstructSignatures=y,y}function xf(o,_){let y;for(let S=o;S;S=S.parent){if(Ay(S)&&S.locals&&!cS(S)&&(y=_(S.locals,void 0,!0,S)))return y;switch(S.kind){case 307:if(!Cp(S))break;case 267:const D=xr(S);if(y=_((D==null?void 0:D.exports)||X,void 0,!0,S))return y;break;case 263:case 231:case 264:let L;if((xr(S).members||X).forEach((B,K)=>{B.flags&788968&&(L||(L=_a())).set(K,B)}),L&&(y=_(L,void 0,!1,S)))return y;break}}return _($e,void 0,!0)}function i1(o){return o===111551?111551:1920}function JS(o,_,y,S,D=new Map){if(!(o&&!WD(o)))return;const L=Ys(o),B=L.accessibleChainCache||(L.accessibleChainCache=new Map),K=xf(_,(Ki,qn,Qn,rn)=>rn),ne=`${S?0:1}|${K&&Sc(K)}|${y}`;if(B.has(ne))return B.get(ne);const ce=Ba(o);let ge=D.get(ce);ge||D.set(ce,ge=[]);const je=xf(_,Be);return B.set(ne,je),je;function Be(Ki,qn,Qn){if(!mu(ge,Ki))return;const rn=Kt(Ki,qn,Qn);return ge.pop(),rn}function kt(Ki,qn){return!Bp(Ki,_,qn)||!!JS(Ki.parent,_,i1(qn),S,D)}function Vt(Ki,qn,Qn){return(o===(qn||Ki)||wc(o)===wc(qn||Ki))&&!Ft(Ki.declarations,Bx)&&(Qn||kt(wc(Ki),y))}function Kt(Ki,qn,Qn){return Vt(Ki.get(o.escapedName),void 0,qn)?[o]:cf(Ki,Ui=>{if(Ui.flags&2097152&&Ui.escapedName!=="export="&&Ui.escapedName!=="default"&&!(IK(Ui)&&_&&wd(_n(_)))&&(!S||Ft(Ui.declarations,qk))&&(!Qn||!Ft(Ui.declarations,TTe))&&(qn||!ql(Ui,281))){const fr=$f(Ui),Gr=Oi(Ui,fr,qn);if(Gr)return Gr}if(Ui.escapedName===o.escapedName&&Ui.exportSymbol&&Vt(wc(Ui.exportSymbol),void 0,qn))return[o]})||(Ki===$e?Oi(Me,Me,qn):void 0)}function Oi(Ki,qn,Qn){if(Vt(Ki,qn,Qn))return[Ki];const rn=__(qn),Ui=rn&&Be(rn,!0);if(Ui&&kt(Ki,i1(y)))return[Ki].concat(Ui)}}function Bp(o,_,y){let S=!1;return xf(_,D=>{let L=wc(D.get(o.escapedName));if(!L)return!1;if(L===o)return!0;const B=L.flags&2097152&&!ql(L,281);return L=B?$f(L):L,(B?Eh(L):L.flags)&y?(S=!0,!0):!1}),S}function WD(o){if(o.declarations&&o.declarations.length){for(const _ of o.declarations)switch(_.kind){case 172:case 174:case 177:case 178:continue;default:return!1}return!0}return!1}function F2(o,_){return fb(o,_,788968,!1,!0).accessibility===0}function VD(o,_){return fb(o,_,111551,!1,!0).accessibility===0}function WA(o,_,y){return fb(o,_,y,!1,!1).accessibility===0}function gN(o,_,y,S,D,L){if(!P(o))return;let B,K=!1;for(const ne of o){const ce=JS(ne,_,S,!1);if(ce){B=ne;const Be=A5(ce[0],D);if(Be)return Be}if(L&&Ft(ne.declarations,Bx)){if(D){K=!0;continue}return{accessibility:0}}const ge=FA(ne,_,S),je=gN(ge,_,y,y===ne?i1(S):S,D,L);if(je)return je}if(K)return{accessibility:0};if(B)return{accessibility:1,errorSymbolName:eo(y,_,S),errorModuleName:B!==y?eo(B,_,1920):void 0}}function n1(o,_,y,S){return fb(o,_,y,S,!0)}function fb(o,_,y,S,D){if(o&&_){const L=gN([o],_,o,y,S,D);if(L)return L;const B=O(o.declarations,GS);if(B){const K=GS(_);if(B!==K)return{accessibility:2,errorSymbolName:eo(o,_,y),errorModuleName:eo(B),errorNode:nr(_)?_:void 0}}return{accessibility:1,errorSymbolName:eo(o,_,y)}}return{accessibility:0}}function GS(o){const _=Qi(o,VA);return _&&xr(_)}function VA(o){return Vh(o)||o.kind===307&&Cp(o)}function Bx(o){return MG(o)||o.kind===307&&Cp(o)}function A5(o,_){let y;if(!Ce($t(o.declarations,L=>L.kind!==80),S))return;return{accessibility:0,aliasesToMakeVisible:y};function S(L){var B,K;if(!g0(L)){const ne=Th(L);if(ne&&!Zr(ne,32)&&g0(ne.parent))return D(L,ne);if(_s(L)&&Lu(L.parent.parent)&&!Zr(L.parent.parent,32)&&g0(L.parent.parent.parent))return D(L,L.parent.parent);if(RG(L)&&!Zr(L,32)&&g0(L.parent))return D(L,L);if(ec(L)){if(o.flags&2097152&&nr(L)&&((B=L.parent)!=null&&B.parent)&&_s(L.parent.parent)&&((K=L.parent.parent.parent)!=null&&K.parent)&&Lu(L.parent.parent.parent.parent)&&!Zr(L.parent.parent.parent.parent,32)&&L.parent.parent.parent.parent.parent&&g0(L.parent.parent.parent.parent.parent))return D(L,L.parent.parent.parent.parent);if(o.flags&2){const ce=Qi(L,Lu);return Zr(ce,32)?!0:g0(ce.parent)?D(L,ce):!1}}return!1}return!0}function D(L,B){return _&&(yr(L).isVisible=!0,y=n_(y,B)),!0}}function gz(o){let _;return o.parent.kind===186||o.parent.kind===233&&!N1(o.parent)||o.parent.kind===167||o.parent.kind===182&&o.parent.parameterName===o?_=1160127:o.kind===166||o.kind===211||o.parent.kind===271||o.parent.kind===166&&o.parent.left===o||o.parent.kind===211&&o.parent.expression===o||o.parent.kind===212&&o.parent.expression===o?_=1920:_=788968,_}function yz(o,_,y=!0){const S=gz(o),D=K_(o),L=_i(_,D.escapedText,S,void 0,!1);return L&&L.flags&262144&&S&788968?{accessibility:0}:!L&&ix(D)&&n1(xr(Hh(D,!1,!1)),D,S,!1).accessibility===0?{accessibility:0}:L?A5(L,y)||{accessibility:1,errorSymbolName:uu(D),errorNode:D}:{accessibility:3,errorSymbolName:uu(D),errorNode:D}}function eo(o,_,y,S=4,D){let L=70221824,B=0;S&2&&(L|=128),S&1&&(L|=512),S&8&&(L|=16384),S&32&&(B|=4),S&16&&(B|=1);const K=S&4?We.symbolToNode:We.symbolToEntityName;return D?ne(D).getText():z6(ne);function ne(ce){const ge=K(o,y,_,L,B),je=(_==null?void 0:_.kind)===307?SLe():_D(),Be=_&&_n(_);return je.writeNode(4,ge,Be,ce),ce}}function B2(o,_,y=0,S,D){return D?L(D).getText():z6(L);function L(B){let K;y&262144?K=S===1?185:184:K=S===1?180:179;const ne=We.signatureToSignatureDeclaration(o,K,_,IO(y)|70221824|512),ce=rde(),ge=_&&_n(_);return ce.writeNode(4,ne,ge,oce(B)),B}}function Hn(o,_,y=1064960,S=FV("")){const D=Q.noErrorTruncation||y&1,L=We.typeToTypeNode(o,_,IO(y)|70221824|(D?1:0),void 0);if(L===void 0)return j.fail("should always get typenode");const B=o!==Ni?_D():CLe(),K=_&&_n(_);B.writeNode(4,L,K,S);const ne=S.getText(),ce=D?fae*2:x8*2;return ce&&ne&&ne.length>=ce?ne.substr(0,ce-3)+"...":ne}function O5(o,_){let y=Wx(o.symbol)?Hn(o,o.symbol.valueDeclaration):Hn(o),S=Wx(_.symbol)?Hn(_,_.symbol.valueDeclaration):Hn(_);return y===S&&(y=EO(o),S=EO(_)),[y,S]}function EO(o){return Hn(o,void 0,64)}function Wx(o){return o&&!!o.valueDeclaration&&jt(o.valueDeclaration)&&!Em(o.valueDeclaration)}function IO(o=0){return o&848330095}function vz(o){return!!o.symbol&&!!(o.symbol.flags&32)&&(o===W_(o.symbol)||!!(o.flags&524288)&&!!(Or(o)&16777216))}function M5(o){return Bs(o)}function JY(){return{typeToTypeNode:(Qe,Re,Ii,un,_r)=>K(Re,Ii,un,_r,Jn=>ge(Qe,Jn)),typePredicateToTypePredicateNode:(Qe,Re,Ii,un,_r)=>K(Re,Ii,un,_r,Jn=>Al(Qe,Jn)),expressionOrTypeToTypeNode:(Qe,Re,Ii,un,_r,Jn,$n)=>K(un,_r,Jn,$n,Es=>y(Es,Qe,Re,Ii)),serializeTypeForDeclaration:(Qe,Re,Ii,un,_r,Jn,$n)=>K(un,_r,Jn,$n,Es=>Z2(Es,Qe,Re,Ii)),serializeReturnTypeForSignature:(Qe,Re,Ii,un,_r)=>K(Re,Ii,un,_r,Jn=>Zi(Jn,Qe)),indexInfoToIndexSignatureDeclaration:(Qe,Re,Ii,un,_r)=>K(Re,Ii,un,_r,Jn=>qn(Qe,Jn,void 0)),signatureToSignatureDeclaration:(Qe,Re,Ii,un,_r,Jn)=>K(Ii,un,_r,Jn,$n=>Qn(Qe,Re,$n)),symbolToEntityName:(Qe,Re,Ii,un,_r,Jn)=>K(Ii,un,_r,Jn,$n=>Ou(Qe,$n,Re,!1)),symbolToExpression:(Qe,Re,Ii,un,_r,Jn)=>K(Ii,un,_r,Jn,$n=>ul(Qe,$n,Re)),symbolToTypeParameterDeclarations:(Qe,Re,Ii,un,_r)=>K(Re,Ii,un,_r,Jn=>js(Qe,Jn)),symbolToParameterDeclaration:(Qe,Re,Ii,un,_r)=>K(Re,Ii,un,_r,Jn=>fu(Qe,Jn)),typeParameterToDeclaration:(Qe,Re,Ii,un,_r)=>K(Re,Ii,un,_r,Jn=>fa(Qe,Jn)),symbolTableToDeclarationStatements:(Qe,Re,Ii,un,_r)=>K(Re,Ii,un,_r,Jn=>yl(Qe,Jn)),symbolToNode:(Qe,Re,Ii,un,_r,Jn)=>K(Ii,un,_r,Jn,$n=>B(Qe,$n,Re))};function o(Qe,Re,Ii){const un=M5(Re);if(!Qe.mapper)return un;const _r=io(un,Qe.mapper);return Ii&&_r!==un?void 0:_r}function _(Qe,Re,Ii){if((!cl(Re)||!(Re.flags&16)||!Qe.enclosingFile||Qe.enclosingFile!==_n(Ql(Re)))&&(Re=G.cloneNode(Re)),Re===Ii||!Ii)return Re;let un=Re.original;for(;un&&un!==Ii;)un=un.original;return un||Ir(Re,Ii),Qe.enclosingFile&&Qe.enclosingFile===_n(Ql(Ii))?Ht(Re,Ii):Re}function y(Qe,Re,Ii,un){const _r=ne(Qe);Re&&!(Qe.internalFlags&2)&&ze.serializeTypeOfExpression(Re,Qe,un),Qe.internalFlags|=2;const Jn=S(Qe,Re,Ii,un);return _r(),Jn}function S(Qe,Re,Ii,un){if(Re){const _r=Hk(Re)?Re.type:FI(Re)?N7(Re):void 0;if(_r&&!O0(_r)){const Jn=D(Qe,_r,Ii,Re.parent,un);if(Jn)return Jn}}return un&&(Ii=Zx(Ii)),ge(Ii,Qe)}function D(Qe,Re,Ii,un,_r){const Jn=Ii;_r&&(Ii=Zx(Ii,!$s(un)));const $n=L(Qe,Re,Ii,un);if($n)return _r&&Zpt(Ii)&&!dg(o(Qe,Re),Es=>!!(Es.flags&32768))?G.createUnionTypeNode([$n,G.createKeywordTypeNode(157)]):$n;if(_r&&Jn!==Ii){const Es=L(Qe,Re,Jn,un);if(Es)return G.createUnionTypeNode([Es,G.createKeywordTypeNode(157)])}}function L(Qe,Re,Ii,un=Qe.enclosingDeclaration,_r=o(Qe,Re,!0)){if(_r&&di(un,Ii,_r)&&lF(Re,Ii)){const Jn=Ua(Qe,Re);if(Jn)return Jn}}function B(Qe,Re,Ii){if(Re.internalFlags&1){if(Qe.valueDeclaration){const _r=No(Qe.valueDeclaration);if(_r&&Ka(_r))return _r}const un=Ys(Qe).nameType;if(un&&un.flags&9216)return Re.enclosingDeclaration=un.symbol.valueDeclaration,G.createComputedPropertyName(ul(un.symbol,Re,Ii))}return ul(Qe,Re,Ii)}function K(Qe,Re,Ii,un,_r){const Jn=un!=null&&un.trackSymbol?un.moduleResolverHost:(Ii||0)&4?zMt(t):void 0,$n={enclosingDeclaration:Qe,enclosingFile:Qe&&_n(Qe),flags:Re||0,internalFlags:Ii||0,tracker:void 0,encounteredError:!1,reportedDiagnostic:!1,visitedTypes:void 0,symbolDepth:void 0,inferTypeParameters:void 0,approximateLength:0,trackedSymbols:void 0,bundled:!!Q.outFile&&!!Qe&&Cp(_n(Qe)),truncating:!1,usedSymbolNames:void 0,remappedSymbolNames:void 0,remappedSymbolReferences:void 0,reverseMappedStack:void 0,mustCreateTypeParameterSymbolList:!0,typeParameterSymbolList:void 0,mustCreateTypeParametersNamesLookups:!0,typeParameterNames:void 0,typeParameterNamesByText:void 0,typeParameterNamesByTextNextNameCount:void 0,mapper:void 0};$n.tracker=new DNe($n,un,Jn);const Es=_r($n);return $n.truncating&&$n.flags&1&&$n.tracker.reportTruncationError(),$n.encounteredError?void 0:Es}function ne(Qe){const Re=Qe.flags,Ii=Qe.internalFlags;return un;function un(){Qe.flags=Re,Qe.internalFlags=Ii}}function ce(Qe){return Qe.truncating?Qe.truncating:Qe.truncating=Qe.approximateLength>(Qe.flags&1?fae:x8)}function ge(Qe,Re){const Ii=ne(Re),un=je(Qe,Re);return Ii(),un}function je(Qe,Re){var Ii,un;u&&u.throwIfCancellationRequested&&u.throwIfCancellationRequested();const _r=Re.flags&8388608;if(Re.flags&=-8388609,!Qe){if(!(Re.flags&262144)){Re.encounteredError=!0;return}return Re.approximateLength+=3,G.createKeywordTypeNode(133)}if(Re.flags&536870912||(Qe=a1(Qe)),Qe.flags&1)return Qe.aliasSymbol?G.createTypeReferenceNode(Ol(Qe.aliasSymbol),Oi(Qe.aliasTypeArguments,Re)):Qe===Ni?h7(G.createKeywordTypeNode(133),3,"unresolved"):(Re.approximateLength+=3,G.createKeywordTypeNode(Qe===gr?141:133));if(Qe.flags&2)return G.createKeywordTypeNode(159);if(Qe.flags&4)return Re.approximateLength+=6,G.createKeywordTypeNode(154);if(Qe.flags&8)return Re.approximateLength+=6,G.createKeywordTypeNode(150);if(Qe.flags&64)return Re.approximateLength+=6,G.createKeywordTypeNode(163);if(Qe.flags&16&&!Qe.aliasSymbol)return Re.approximateLength+=7,G.createKeywordTypeNode(136);if(Qe.flags&1056){if(Qe.symbol.flags&8){const ni=Qp(Qe.symbol),Yi=xu(ni,Re,788968);if(gl(ni)===Qe)return Yi;const Gn=Eu(Qe.symbol);return J_(Gn,1)?At(Yi,G.createTypeReferenceNode(Gn,void 0)):V1(Yi)?(Yi.isTypeOf=!0,G.createIndexedAccessTypeNode(Yi,G.createLiteralTypeNode(G.createStringLiteral(Gn)))):qp(Yi)?G.createIndexedAccessTypeNode(G.createTypeQueryNode(Yi.typeName),G.createLiteralTypeNode(G.createStringLiteral(Gn))):j.fail("Unhandled type node kind returned from `symbolToTypeNode`.")}return xu(Qe.symbol,Re,788968)}if(Qe.flags&128)return Re.approximateLength+=Qe.value.length+2,G.createLiteralTypeNode(tr(G.createStringLiteral(Qe.value,!!(Re.flags&268435456)),16777216));if(Qe.flags&256){const ni=Qe.value;return Re.approximateLength+=(""+ni).length,G.createLiteralTypeNode(ni<0?G.createPrefixUnaryExpression(41,G.createNumericLiteral(-ni)):G.createNumericLiteral(ni))}if(Qe.flags&2048)return Re.approximateLength+=ZT(Qe.value).length+1,G.createLiteralTypeNode(G.createBigIntLiteral(Qe.value));if(Qe.flags&512)return Re.approximateLength+=Qe.intrinsicName.length,G.createLiteralTypeNode(Qe.intrinsicName==="true"?G.createTrue():G.createFalse());if(Qe.flags&8192){if(!(Re.flags&1048576)){if(VD(Qe.symbol,Re.enclosingDeclaration))return Re.approximateLength+=6,xu(Qe.symbol,Re,111551);Re.tracker.reportInaccessibleUniqueSymbolError&&Re.tracker.reportInaccessibleUniqueSymbolError()}return Re.approximateLength+=13,G.createTypeOperatorNode(158,G.createKeywordTypeNode(155))}if(Qe.flags&16384)return Re.approximateLength+=4,G.createKeywordTypeNode(116);if(Qe.flags&32768)return Re.approximateLength+=9,G.createKeywordTypeNode(157);if(Qe.flags&65536)return Re.approximateLength+=4,G.createLiteralTypeNode(G.createNull());if(Qe.flags&131072)return Re.approximateLength+=5,G.createKeywordTypeNode(146);if(Qe.flags&4096)return Re.approximateLength+=6,G.createKeywordTypeNode(155);if(Qe.flags&67108864)return Re.approximateLength+=6,G.createKeywordTypeNode(151);if(l7(Qe))return Re.flags&4194304&&(!Re.encounteredError&&!(Re.flags&32768)&&(Re.encounteredError=!0),(un=(Ii=Re.tracker).reportInaccessibleThisError)==null||un.call(Ii)),Re.approximateLength+=4,G.createThisTypeNode();if(!_r&&Qe.aliasSymbol&&(Re.flags&16384||F2(Qe.aliasSymbol,Re.enclosingDeclaration))){const ni=Oi(Qe.aliasTypeArguments,Re);return Wi(Qe.aliasSymbol.escapedName)&&!(Qe.aliasSymbol.flags&32)?G.createTypeReferenceNode(G.createIdentifier(""),ni):P(ni)===1&&Qe.aliasSymbol===li.symbol?G.createArrayTypeNode(ni[0]):xu(Qe.aliasSymbol,Re,788968,ni)}const Jn=Or(Qe);if(Jn&4)return j.assert(!!(Qe.flags&524288)),Qe.node?xt(Qe,qi):qi(Qe);if(Qe.flags&262144||Jn&3){if(Qe.flags&262144&&Yt(Re.inferTypeParameters,Qe)){Re.approximateLength+=Eu(Qe.symbol).length+6;let Yi;const Gn=m_(Qe);if(Gn){const Gi=R_t(Qe,!0);Gi&&yb(Gn,Gi)||(Re.approximateLength+=9,Yi=Gn&&ge(Gn,Re))}return G.createInferTypeNode(Ur(Qe,Re,Yi))}if(Re.flags&4&&Qe.flags&262144){const Yi=hf(Qe,Re);return Re.approximateLength+=Fr(Yi).length,G.createTypeReferenceNode(G.createIdentifier(Fr(Yi)),void 0)}if(Qe.symbol)return xu(Qe.symbol,Re,788968);const ni=(Qe===Ve||Qe===_e)&&U&&U.symbol?(Qe===_e?"sub-":"super-")+Eu(U.symbol):"?";return G.createTypeReferenceNode(G.createIdentifier(ni),void 0)}if(Qe.flags&1048576&&Qe.origin&&(Qe=Qe.origin),Qe.flags&3145728){const ni=Qe.flags&1048576?GY(Qe.types):Qe.types;if(P(ni)===1)return ge(ni[0],Re);const Yi=Oi(ni,Re,!0);if(Yi&&Yi.length>0)return Qe.flags&1048576?G.createUnionTypeNode(Yi):G.createIntersectionTypeNode(Yi);!Re.encounteredError&&!(Re.flags&262144)&&(Re.encounteredError=!0);return}if(Jn&48)return j.assert(!!(Qe.flags&524288)),_t(Qe);if(Qe.flags&4194304){const ni=Qe.type;Re.approximateLength+=6;const Yi=ge(ni,Re);return G.createTypeOperatorNode(143,Yi)}if(Qe.flags&134217728){const ni=Qe.texts,Yi=Qe.types,Gn=G.createTemplateHead(ni[0]),Gi=G.createNodeArray(qt(Yi,(zn,Ln)=>G.createTemplateLiteralTypeSpan(ge(zn,Re),(Ln<Yi.length-1?G.createTemplateMiddle:G.createTemplateTail)(ni[Ln+1]))));return Re.approximateLength+=2,G.createTemplateLiteralType(Gn,Gi)}if(Qe.flags&268435456){const ni=ge(Qe.type,Re);return xu(Qe.symbol,Re,788968,[ni])}if(Qe.flags&8388608){const ni=ge(Qe.objectType,Re),Yi=ge(Qe.indexType,Re);return Re.approximateLength+=2,G.createIndexedAccessTypeNode(ni,Yi)}if(Qe.flags&16777216)return xt(Qe,ni=>$n(ni));if(Qe.flags&33554432){const ni=ge(Qe.baseType,Re),Yi=HO(Qe)&&qRe("NoInfer",!1);return Yi?xu(Yi,Re,788968,[ni]):ni}return j.fail("Should be unreachable.");function $n(ni){const Yi=ge(ni.checkType,Re);if(Re.approximateLength+=15,Re.flags&4&&ni.root.isDistributive&&!(ni.checkType.flags&262144)){const Hr=Ut($a(262144,"T")),xs=hf(Hr,Re),ns=G.createTypeReferenceNode(xs);Re.approximateLength+=37;const Fo=NN(ni.root.checkType,Hr,ni.mapper),Kc=Re.inferTypeParameters;Re.inferTypeParameters=ni.root.inferTypeParameters;const Xf=ge(io(ni.root.extendsType,Fo),Re);Re.inferTypeParameters=Kc;const H_=Es(io(o(Re,ni.root.node.trueType),Fo)),Yg=Es(io(o(Re,ni.root.node.falseType),Fo));return G.createConditionalTypeNode(Yi,G.createInferTypeNode(G.createTypeParameterDeclaration(void 0,G.cloneNode(ns.typeName))),G.createConditionalTypeNode(G.createTypeReferenceNode(G.cloneNode(xs)),ge(ni.checkType,Re),G.createConditionalTypeNode(ns,Xf,H_,Yg),G.createKeywordTypeNode(146)),G.createKeywordTypeNode(146))}const Gn=Re.inferTypeParameters;Re.inferTypeParameters=ni.root.inferTypeParameters;const Gi=ge(ni.extendsType,Re);Re.inferTypeParameters=Gn;const zn=Es(z2(ni)),Ln=Es(U2(ni));return G.createConditionalTypeNode(Yi,Gi,zn,Ln)}function Es(ni){var Yi,Gn,Gi;return ni.flags&1048576?(Yi=Re.visitedTypes)!=null&&Yi.has(kf(ni))?(Re.flags&131072||(Re.encounteredError=!0,(Gi=(Gn=Re.tracker)==null?void 0:Gn.reportCyclicStructureError)==null||Gi.call(Gn)),Be(Re)):xt(ni,zn=>ge(zn,Re)):ge(ni,Re)}function mo(ni){return!!Rz(ni)}function Ro(ni){return!!ni.target&&mo(ni.target)&&!mo(ni)}function Yo(ni){var Yi;j.assert(!!(ni.flags&524288));const Gn=ni.declaration.readonlyToken?G.createToken(ni.declaration.readonlyToken.kind):void 0,Gi=ni.declaration.questionToken?G.createToken(ni.declaration.questionToken.kind):void 0;let zn,Ln;const Hr=!FO(ni)&&!(zD(ni).flags&2)&&Re.flags&4&&!(Tm(ni).flags&262144&&((Yi=m_(Tm(ni)))==null?void 0:Yi.flags)&4194304);if(FO(ni)){if(Ro(ni)&&Re.flags&4){const H_=Ut($a(262144,"T")),Yg=hf(H_,Re);Ln=G.createTypeReferenceNode(Yg)}zn=G.createTypeOperatorNode(143,Ln||ge(zD(ni),Re))}else if(Hr){const H_=Ut($a(262144,"T")),Yg=hf(H_,Re);Ln=G.createTypeReferenceNode(Yg),zn=Ln}else zn=ge(Tm(ni),Re);const xs=Ur(s1(ni),Re,zn),ns=ni.declaration.nameType?ge(KS(ni),Re):void 0,Fo=ge(IC(SC(ni),!!(tv(ni)&4)),Re),Kc=G.createMappedTypeNode(Gn,xs,ns,Gi,Fo,void 0);Re.approximateLength+=10;const Xf=tr(Kc,1);if(Ro(ni)&&Re.flags&4){const H_=io(m_(o(Re,ni.declaration.typeParameter.constraint.type))||te,ni.mapper);return G.createConditionalTypeNode(ge(zD(ni),Re),G.createInferTypeNode(G.createTypeParameterDeclaration(void 0,G.cloneNode(Ln.typeName),H_.flags&2?void 0:ge(H_,Re))),Xf,G.createKeywordTypeNode(146))}else if(Hr)return G.createConditionalTypeNode(ge(Tm(ni),Re),G.createInferTypeNode(G.createTypeParameterDeclaration(void 0,G.cloneNode(Ln.typeName),G.createTypeOperatorNode(143,ge(zD(ni),Re)))),Xf,G.createKeywordTypeNode(146));return Xf}function _t(ni){var Yi,Gn;const Gi=ni.id,zn=ni.symbol;if(zn){if(!!(Or(ni)&8388608)){const Fo=ni.node;if(tD(Fo)){const Kc=L(Re,Fo,ni);if(Kc)return Kc}return(Yi=Re.visitedTypes)!=null&&Yi.has(Gi)?Be(Re):xt(ni,ai)}const xs=vz(ni)?788968:111551;if(dy(zn.valueDeclaration))return xu(zn,Re,xs);if(zn.flags&32&&!jpe(zn)&&!(zn.valueDeclaration&&ss(zn.valueDeclaration)&&Re.flags&2048&&(!hd(zn.valueDeclaration)||n1(zn,Re.enclosingDeclaration,xs,!1).accessibility!==0))||zn.flags&896||Ln())return xu(zn,Re,xs);if((Gn=Re.visitedTypes)!=null&&Gn.has(Gi)){const ns=KY(ni);return ns?xu(ns,Re,788968):Be(Re)}else return xt(ni,ai)}else return ai(ni);function Ln(){var Hr;const xs=!!(zn.flags&8192)&&Ft(zn.declarations,Fo=>pa(Fo)),ns=!!(zn.flags&16)&&(zn.parent||O(zn.declarations,Fo=>Fo.parent.kind===307||Fo.parent.kind===268));if(xs||ns)return(!!(Re.flags&4096)||((Hr=Re.visitedTypes)==null?void 0:Hr.has(Gi)))&&(!(Re.flags&8)||VD(zn,Re.enclosingDeclaration))}}function xt(ni,Yi){var Gn,Gi,zn;const Ln=ni.id,Hr=Or(ni)&16&&ni.symbol&&ni.symbol.flags&32,xs=Or(ni)&4&&ni.node?"N"+Sc(ni.node):ni.flags&16777216?"N"+Sc(ni.root.node):ni.symbol?(Hr?"+":"")+Ba(ni.symbol):void 0;Re.visitedTypes||(Re.visitedTypes=new Set),xs&&!Re.symbolDepth&&(Re.symbolDepth=new Map);const ns=Re.enclosingDeclaration&&yr(Re.enclosingDeclaration),Fo=`${kf(ni)}|${Re.flags}|${Re.internalFlags}`;ns&&(ns.serializedTypes||(ns.serializedTypes=new Map));const Kc=(Gn=ns==null?void 0:ns.serializedTypes)==null?void 0:Gn.get(Fo);if(Kc)return(Gi=Kc.trackedSymbols)==null||Gi.forEach(([j_,ik,dF])=>Re.tracker.trackSymbol(j_,ik,dF)),Kc.truncating&&(Re.truncating=!0),Re.approximateLength+=Kc.addedLength,rw(Kc.node);let Xf;if(xs){if(Xf=Re.symbolDepth.get(xs)||0,Xf>10)return Be(Re);Re.symbolDepth.set(xs,Xf+1)}Re.visitedTypes.add(Ln);const H_=Re.trackedSymbols;Re.trackedSymbols=void 0;const Yg=Re.approximateLength,Y2=Yi(ni),f1=Re.approximateLength-Yg;return!Re.reportedDiagnostic&&!Re.encounteredError&&((zn=ns==null?void 0:ns.serializedTypes)==null||zn.set(Fo,{node:Y2,truncating:Re.truncating,addedLength:f1,trackedSymbols:Re.trackedSymbols})),Re.visitedTypes.delete(Ln),xs&&Re.symbolDepth.set(xs,Xf),Re.trackedSymbols=H_,Y2;function rw(j_){return!cl(j_)&&Mo(j_)===j_?j_:_(Re,G.cloneNode(dn(j_,rw,void 0,uF,rw)),j_)}function uF(j_,ik,dF,gU,yU){return j_&&j_.length===0?Ht(G.createNodeArray(void 0,j_.hasTrailingComma),j_):Dn(j_,ik,dF,gU,yU)}}function ai(ni){if(op(ni)||ni.containsError)return Yo(ni);const Yi=o1(ni);if(!Yi.properties.length&&!Yi.indexInfos.length){if(!Yi.callSignatures.length&&!Yi.constructSignatures.length)return Re.approximateLength+=2,tr(G.createTypeLiteralNode(void 0),1);if(Yi.callSignatures.length===1&&!Yi.constructSignatures.length){const Hr=Yi.callSignatures[0];return Qn(Hr,184,Re)}if(Yi.constructSignatures.length===1&&!Yi.callSignatures.length){const Hr=Yi.constructSignatures[0];return Qn(Hr,185,Re)}}const Gn=$t(Yi.constructSignatures,Hr=>!!(Hr.flags&4));if(Ft(Gn)){const Hr=qt(Gn,ns=>kN(ns));return Yi.callSignatures.length+(Yi.constructSignatures.length-Gn.length)+Yi.indexInfos.length+(Re.flags&2048?$i(Yi.properties,ns=>!(ns.flags&4194304)):P(Yi.properties))&&Hr.push(Gh(Yi)),ge(Wa(Hr),Re)}const Gi=ne(Re);Re.flags|=4194304;const zn=yn(Yi);Gi();const Ln=G.createTypeLiteralNode(zn);return Re.approximateLength+=2,tr(Ln,Re.flags&1024?0:1),Ln}function qi(ni){let Yi=Pl(ni);if(ni.target===li||ni.target===En){if(Re.flags&2){const zn=ge(Yi[0],Re);return G.createTypeReferenceNode(ni.target===li?"Array":"ReadonlyArray",[zn])}const Gn=ge(Yi[0],Re),Gi=G.createArrayTypeNode(Gn);return ni.target===li?Gi:G.createTypeOperatorNode(148,Gi)}else if(ni.target.objectFlags&8){if(Yi=Wr(Yi,(Gn,Gi)=>IC(Gn,!!(ni.target.elementFlags[Gi]&2))),Yi.length>0){const Gn=QS(ni),Gi=Oi(Yi.slice(0,Gn),Re);if(Gi){const{labeledElementDeclarations:zn}=ni.target;for(let Hr=0;Hr<Gi.length;Hr++){const xs=ni.target.elementFlags[Hr],ns=zn==null?void 0:zn[Hr];ns?Gi[Hr]=G.createNamedTupleMember(xs&12?G.createToken(26):void 0,G.createIdentifier(Ws(X7e(ns))),xs&2?G.createToken(58):void 0,xs&4?G.createArrayTypeNode(Gi[Hr]):Gi[Hr]):Gi[Hr]=xs&12?G.createRestTypeNode(xs&4?G.createArrayTypeNode(Gi[Hr]):Gi[Hr]):xs&2?G.createOptionalTypeNode(Gi[Hr]):Gi[Hr]}const Ln=tr(G.createTupleTypeNode(Gi),1);return ni.target.readonly?G.createTypeOperatorNode(148,Ln):Ln}}if(Re.encounteredError||Re.flags&524288){const Gn=tr(G.createTupleTypeNode([]),1);return ni.target.readonly?G.createTypeOperatorNode(148,Gn):Gn}Re.encounteredError=!0;return}else{if(Re.flags&2048&&ni.symbol.valueDeclaration&&ss(ni.symbol.valueDeclaration)&&!VD(ni.symbol,Re.enclosingDeclaration))return _t(ni);{const Gn=ni.target.outerTypeParameters;let Gi=0,zn;if(Gn){const ns=Gn.length;for(;Gi<ns;){const Fo=Gi,Kc=F_t(Gn[Gi]);do Gi++;while(Gi<ns&&F_t(Gn[Gi])===Kc);if(!Vb(Gn,Yi,Fo,Gi)){const Xf=Oi(Yi.slice(Fo,Gi),Re),H_=ne(Re);Re.flags|=16;const Yg=xu(Kc,Re,788968,Xf);H_(),zn=zn?At(zn,Yg):Yg}}}let Ln;if(Yi.length>0){let ns=0;if(ni.target.typeParameters&&(ns=Math.min(ni.target.typeParameters.length,Yi.length),(iy(ni,ome(!1))||iy(ni,ipt(!1))||iy(ni,hee(!1))||iy(ni,tpt(!1)))&&(!ni.node||!qp(ni.node)||!ni.node.typeArguments||ni.node.typeArguments.length<ns)))for(;ns>0;){const Fo=Yi[ns-1],Kc=ni.target.typeParameters[ns-1],Xf=qD(Kc);if(!Xf||!yb(Fo,Xf))break;ns--}Ln=Oi(Yi.slice(Gi,ns),Re)}const Hr=ne(Re);Re.flags|=16;const xs=xu(ni.symbol,Re,788968,Ln);return Hr(),zn?At(zn,xs):xs}}}function At(ni,Yi){if(V1(ni)){let Gn=ni.typeArguments,Gi=ni.qualifier;Gi&&(ot(Gi)?Gn!==kI(Gi)&&(Gi=vS(G.cloneNode(Gi),Gn)):Gn!==kI(Gi.right)&&(Gi=G.updateQualifiedName(Gi,Gi.left,vS(G.cloneNode(Gi.right),Gn)))),Gn=Yi.typeArguments;const zn=ui(Yi);for(const Ln of zn)Gi=Gi?G.createQualifiedName(Gi,Ln):Ln;return G.updateImportTypeNode(ni,ni.argument,ni.attributes,Gi,Gn,ni.isTypeOf)}else{let Gn=ni.typeArguments,Gi=ni.typeName;ot(Gi)?Gn!==kI(Gi)&&(Gi=vS(G.cloneNode(Gi),Gn)):Gn!==kI(Gi.right)&&(Gi=G.updateQualifiedName(Gi,Gi.left,vS(G.cloneNode(Gi.right),Gn))),Gn=Yi.typeArguments;const zn=ui(Yi);for(const Ln of zn)Gi=G.createQualifiedName(Gi,Ln);return G.updateTypeReferenceNode(ni,Gi,Gn)}}function ui(ni){let Yi=ni.typeName;const Gn=[];for(;!ot(Yi);)Gn.unshift(Yi.right),Yi=Yi.left;return Gn.unshift(Yi),Gn}function yn(ni){if(ce(Re))return[G.createPropertySignature(void 0,"...",void 0,void 0)];const Yi=[];for(const zn of ni.callSignatures)Yi.push(Qn(zn,179,Re));for(const zn of ni.constructSignatures)zn.flags&4||Yi.push(Qn(zn,180,Re));for(const zn of ni.indexInfos)Yi.push(qn(zn,Re,ni.objectFlags&1024?Be(Re):void 0));const Gn=ni.properties;if(!Gn)return Yi;let Gi=0;for(const zn of Gn){if(Gi++,Re.flags&2048){if(zn.flags&4194304)continue;$m(zn)&6&&Re.tracker.reportPrivateInBaseOfClassExpression&&Re.tracker.reportPrivateInBaseOfClassExpression(Ws(zn.escapedName))}if(ce(Re)&&Gi+2<Gn.length-1){Yi.push(G.createPropertySignature(void 0,`... ${Gn.length-Gi} more ...`,void 0,void 0)),Vt(Gn[Gn.length-1],Re,Yi);break}Vt(zn,Re,Yi)}return Yi.length?Yi:void 0}}function Be(Qe){return Qe.approximateLength+=3,Qe.flags&1?G.createKeywordTypeNode(133):G.createTypeReferenceNode(G.createIdentifier("..."),void 0)}function kt(Qe,Re){var Ii;return!!(Iu(Qe)&8192)&&(Yt(Re.reverseMappedStack,Qe)||((Ii=Re.reverseMappedStack)==null?void 0:Ii[0])&&!(Or(va(Re.reverseMappedStack).links.propertyType)&16)||_r());function _r(){var Jn;if((((Jn=Re.reverseMappedStack)==null?void 0:Jn.length)??0)<3)return!1;for(let $n=0;$n<3;$n++)if(Re.reverseMappedStack[Re.reverseMappedStack.length-1-$n].links.mappedType.symbol!==Qe.links.mappedType.symbol)return!1;return!0}}function Vt(Qe,Re,Ii){var un;const _r=!!(Iu(Qe)&8192),Jn=kt(Qe,Re)?Je:Ux(Qe),$n=Re.enclosingDeclaration;if(Re.enclosingDeclaration=void 0,Re.tracker.canTrackSymbol&&Tz(Qe.escapedName))if(Qe.declarations){const ai=ya(Qe.declarations);if(eee(ai))if(ur(ai)){const qi=No(ai);qi&&wl(qi)&&HV(qi.argumentExpression)&&wu(qi.argumentExpression,$n,Re)}else wu(ai.name.expression,$n,Re)}else Re.tracker.reportNonSerializableProperty(eo(Qe));Re.enclosingDeclaration=Qe.valueDeclaration||((un=Qe.declarations)==null?void 0:un[0])||$n;const Es=ed(Qe,Re);if(Re.enclosingDeclaration=$n,Re.approximateLength+=Eu(Qe).length+1,Qe.flags&98304){const ai=zx(Qe);if(Jn!==ai&&!fe(Jn)&&!fe(ai)){const qi=ql(Qe,177),At=Dm(qi);Ii.push(Kt(Re,Qn(At,177,Re,{name:Es}),qi));const ui=ql(Qe,178),yn=Dm(ui);Ii.push(Kt(Re,Qn(yn,178,Re,{name:Es}),ui));return}}const mo=Qe.flags&16777216?G.createToken(58):void 0;if(Qe.flags&8208&&!XS(Jn).length&&!d1(Qe)){const ai=ia(ad(Jn,qi=>!(qi.flags&32768)),0);for(const qi of ai){const At=Qn(qi,173,Re,{name:Es,questionToken:mo});Ii.push(xt(At))}if(ai.length||!mo)return}let Ro;kt(Qe,Re)?Ro=Be(Re):(_r&&(Re.reverseMappedStack||(Re.reverseMappedStack=[]),Re.reverseMappedStack.push(Qe)),Ro=Jn?Z2(Re,void 0,Jn,Qe):G.createKeywordTypeNode(133),_r&&Re.reverseMappedStack.pop());const Yo=d1(Qe)?[G.createToken(148)]:void 0;Yo&&(Re.approximateLength+=9);const _t=G.createPropertySignature(Yo,Es,mo,Ro);Ii.push(xt(_t));function xt(ai){var qi;const At=(qi=Qe.declarations)==null?void 0:qi.find(ui=>ui.kind===348);if(At){const ui=RW(At.comment);ui&&e2(ai,[{kind:3,text:`*
+ * `+ui.replace(/\n/g,`
+ * `)+`
+ `,pos:-1,end:-1,hasTrailingNewLine:!0}])}else Qe.valueDeclaration&&Kt(Re,ai,Qe.valueDeclaration);return ai}}function Kt(Qe,Re,Ii){return Qe.enclosingFile&&Qe.enclosingFile===_n(Ii)?Sd(Re,Ii):Re}function Oi(Qe,Re,Ii){if(Ft(Qe)){if(ce(Re))if(Ii){if(Qe.length>2)return[ge(Qe[0],Re),G.createTypeReferenceNode(`... ${Qe.length-2} more ...`,void 0),ge(Qe[Qe.length-1],Re)]}else return[G.createTypeReferenceNode("...",void 0)];const _r=!(Re.flags&64)?Of():void 0,Jn=[];let $n=0;for(const Es of Qe){if($n++,ce(Re)&&$n+2<Qe.length-1){Jn.push(G.createTypeReferenceNode(`... ${Qe.length-$n} more ...`,void 0));const Ro=ge(Qe[Qe.length-1],Re);Ro&&Jn.push(Ro);break}Re.approximateLength+=2;const mo=ge(Es,Re);mo&&(Jn.push(mo),_r&&GDe(mo)&&_r.add(mo.typeName.escapedText,[Es,Jn.length-1]))}if(_r){const Es=ne(Re);Re.flags|=64,_r.forEach(mo=>{if(!KDe(mo,([Ro],[Yo])=>Ki(Ro,Yo)))for(const[Ro,Yo]of mo)Jn[Yo]=ge(Ro,Re)}),Es()}return Jn}}function Ki(Qe,Re){return Qe===Re||!!Qe.symbol&&Qe.symbol===Re.symbol||!!Qe.aliasSymbol&&Qe.aliasSymbol===Re.aliasSymbol}function qn(Qe,Re,Ii){const un=sTe(Qe)||"x",_r=ge(Qe.keyType,Re),Jn=G.createParameterDeclaration(void 0,void 0,un,void 0,_r,void 0);return Ii||(Ii=ge(Qe.type||Je,Re)),!Qe.type&&!(Re.flags&2097152)&&(Re.encounteredError=!0),Re.approximateLength+=un.length+4,G.createIndexSignature(Qe.isReadonly?[G.createToken(148)]:void 0,[Jn],Ii)}function Qn(Qe,Re,Ii,un){var _r;let Jn,$n;const Es=u_t(Qe,!0)[0],mo=Gr(Ii,Qe.declaration,Es,Qe.typeParameters,Qe.parameters,Qe.mapper);Ii.approximateLength+=3,Ii.flags&32&&Qe.target&&Qe.mapper&&Qe.target.typeParameters?$n=Qe.target.typeParameters.map(At=>ge(io(At,Qe.mapper),Ii)):Jn=Qe.typeParameters&&Qe.typeParameters.map(At=>fa(At,Ii));const Ro=ne(Ii);Ii.flags&=-257;const Yo=(Ft(Es,At=>At!==Es[Es.length-1]&&!!(Iu(At)&32768))?Qe.parameters:Es).map(At=>fu(At,Ii,Re===176)),_t=Ii.flags&33554432?void 0:vs(Qe,Ii);_t&&Yo.unshift(_t),Ro();const xt=Zi(Ii,Qe);let ai=un==null?void 0:un.modifiers;if(Re===185&&Qe.flags&4){const At=M1(ai);ai=G.createModifiersFromModifierFlags(At|64)}const qi=Re===179?G.createCallSignature(Jn,Yo,xt):Re===180?G.createConstructSignature(Jn,Yo,xt):Re===173?G.createMethodSignature(ai,(un==null?void 0:un.name)??G.createIdentifier(""),un==null?void 0:un.questionToken,Jn,Yo,xt):Re===174?G.createMethodDeclaration(ai,void 0,(un==null?void 0:un.name)??G.createIdentifier(""),void 0,Jn,Yo,xt,void 0):Re===176?G.createConstructorDeclaration(ai,Yo,void 0):Re===177?G.createGetAccessorDeclaration(ai,(un==null?void 0:un.name)??G.createIdentifier(""),Yo,xt,void 0):Re===178?G.createSetAccessorDeclaration(ai,(un==null?void 0:un.name)??G.createIdentifier(""),Yo,void 0):Re===181?G.createIndexSignature(ai,Yo,xt):Re===317?G.createJSDocFunctionType(Yo,xt):Re===184?G.createFunctionTypeNode(Jn,Yo,xt??G.createTypeReferenceNode(G.createIdentifier(""))):Re===185?G.createConstructorTypeNode(ai,Jn,Yo,xt??G.createTypeReferenceNode(G.createIdentifier(""))):Re===262?G.createFunctionDeclaration(ai,void 0,un!=null&&un.name?ha(un.name,ot):G.createIdentifier(""),Jn,Yo,xt,void 0):Re===218?G.createFunctionExpression(ai,void 0,un!=null&&un.name?ha(un.name,ot):G.createIdentifier(""),Jn,Yo,xt,G.createBlock([])):Re===219?G.createArrowFunction(ai,Jn,Yo,xt,void 0,G.createBlock([])):j.assertNever(Re);if($n&&(qi.typeArguments=G.createNodeArray($n)),((_r=Qe.declaration)==null?void 0:_r.kind)===323&&Qe.declaration.parent.kind===339){const At=uu(Qe.declaration.parent.parent,!0).slice(2,-2).split(/\r\n|\n|\r/).map(ui=>ui.replace(/^\s+/," ")).join(`
+`);h7(qi,3,At,!0)}return mo==null||mo(),qi}function rn(Qe){return Ho(Qe)||tC(Qe)||II(Qe)}function Ui(Qe){return Ho(Qe)||tC(Qe)?Dm(Qe).typeParameters:iD(Qe)?u8e(Qe):[jD(xr(Qe.typeParameter))]}function fr(Qe){return Ho(Qe)||tC(Qe)?Dm(Qe).parameters:void 0}function Gr(Qe,Re,Ii,un,_r,Jn){const $n=tM(Qe);let Es,mo;const Ro=Qe.enclosingDeclaration,Yo=Qe.mapper;if(Jn&&(Qe.mapper=Jn),Qe.enclosingDeclaration&&Re){let _t=function(xt,ai){j.assert(Qe.enclosingDeclaration);let qi;yr(Qe.enclosingDeclaration).fakeScopeForSignatureDeclaration===xt?qi=Qe.enclosingDeclaration:Qe.enclosingDeclaration.parent&&yr(Qe.enclosingDeclaration.parent).fakeScopeForSignatureDeclaration===xt&&(qi=Qe.enclosingDeclaration.parent),j.assertOptionalNode(qi,Xo);const At=(qi==null?void 0:qi.locals)??_a();let ui,yn;if(ai((ni,Yi)=>{if(qi){const Gn=At.get(ni);Gn?yn=fn(yn,{name:ni,oldSymbol:Gn}):ui=fn(ui,ni)}At.set(ni,Yi)}),qi)return function(){O(ui,Yi=>At.delete(Yi)),O(yn,Yi=>At.set(Yi.name,Yi.oldSymbol))};{const ni=G.createBlock(w);yr(ni).fakeScopeForSignatureDeclaration=xt,ni.locals=At,_c(ni,Qe.enclosingDeclaration),Qe.enclosingDeclaration=ni}};Es=Ft(Ii)?_t("params",xt=>{if(Ii)for(let ai=0;ai<Ii.length;ai++){const qi=Ii[ai],At=_r==null?void 0:_r[ai];_r&&At!==qi?(xt(qi.escapedName,pt),At&&xt(At.escapedName,pt)):O(qi.declarations,ui=>{if($s(ui)&&ta(ui.name))return yn(ui.name),!0;return;function yn(Yi){O(Yi.elements,Gn=>{switch(Gn.kind){case 232:return;case 208:return ni(Gn);default:return j.assertNever(Gn)}})}function ni(Yi){if(ta(Yi.name))return yn(Yi.name);const Gn=xr(Yi);xt(Gn.escapedName,Gn)}})||xt(qi.escapedName,qi)}}):void 0,Qe.flags&4&&Ft(un)&&(mo=_t("typeParams",xt=>{for(const ai of un??w){const qi=hf(ai,Qe).escapedText;xt(qi,ai.symbol)}}))}return()=>{Es==null||Es(),mo==null||mo(),$n(),Qe.enclosingDeclaration=Ro,Qe.mapper=Yo}}function vs(Qe,Re){if(Qe.thisParameter)return fu(Qe.thisParameter,Re);if(Qe.declaration&&nr(Qe.declaration)){const Ii=cG(Qe.declaration);if(Ii&&Ii.typeExpression)return G.createParameterDeclaration(void 0,void 0,"this",void 0,ge(o(Re,Ii.typeExpression),Re))}}function Ur(Qe,Re,Ii){const un=ne(Re);Re.flags&=-513;const _r=G.createModifiersFromModifierFlags(I8e(Qe)),Jn=hf(Qe,Re),$n=qD(Qe),Es=$n&&ge($n,Re);return un(),G.createTypeParameterDeclaration(_r,Jn,Ii,Es)}function ga(Qe,Re,Ii){return Re&&L(Ii,Re,Qe)||ge(Qe,Ii)}function fa(Qe,Re,Ii=m_(Qe)){const un=Ii&&ga(Ii,tme(Qe),Re);return Ur(Qe,Re,un)}function Al(Qe,Re){const Ii=Qe.kind===2||Qe.kind===3?G.createToken(131):void 0,un=Qe.kind===1||Qe.kind===3?tr(G.createIdentifier(Qe.parameterName),16777216):G.createThisTypeNode(),_r=Qe.type&&ge(Qe.type,Re);return G.createTypePredicateNode(Ii,un,_r)}function Po(Qe){const Re=ql(Qe,169);if(Re)return Re;if(!Rv(Qe))return ql(Qe,341)}function fu(Qe,Re,Ii){const un=Po(Qe),_r=Yn(Qe),Jn=Z2(Re,un,_r,Qe),$n=!(Re.flags&8192)&&Ii&&un&&Fg(un)?qt(PT(un),G.cloneNode):void 0,mo=un&&Oy(un)||Iu(Qe)&32768?G.createToken(26):void 0,Ro=Ef(Qe,un,Re),_t=un&&cee(un)||Iu(Qe)&16384?G.createToken(58):void 0,xt=G.createParameterDeclaration($n,mo,Ro,_t,Jn,void 0);return Re.approximateLength+=Eu(Qe).length+3,xt}function Ef(Qe,Re,Ii){return Re&&Re.name?Re.name.kind===80?tr(G.cloneNode(Re.name),16777216):Re.name.kind===166?tr(G.cloneNode(Re.name.right),16777216):un(Re.name):Eu(Qe);function un(_r){return Jn(_r);function Jn($n){Ii.tracker.canTrackSymbol&&Ka($n)&&Gpe($n)&&wu($n.expression,Ii.enclosingDeclaration,Ii);let Es=dn($n,Jn,void 0,void 0,Jn);return ec(Es)&&(Es=G.updateBindingElement(Es,Es.dotDotDotToken,Es.propertyName,Es.name,void 0)),cl(Es)||(Es=G.cloneNode(Es)),tr(Es,16777217)}}}function wu(Qe,Re,Ii){if(!Ii.tracker.canTrackSymbol)return;const un=K_(Qe),_r=_i(un,un.escapedText,1160127,void 0,!0);_r&&Ii.tracker.trackSymbol(_r,Re,111551)}function bn(Qe,Re,Ii,un){return Re.tracker.trackSymbol(Qe,Re.enclosingDeclaration,Ii),Fn(Qe,Re,Ii,un)}function Fn(Qe,Re,Ii,un){let _r;return!(Qe.flags&262144)&&(Re.enclosingDeclaration||Re.flags&64)&&!(Re.internalFlags&4)?(_r=j.checkDefined($n(Qe,Ii,!0)),j.assert(_r&&_r.length>0)):_r=[Qe],_r;function $n(Es,mo,Ro){let Yo=JS(Es,Re.enclosingDeclaration,mo,!!(Re.flags&128)),_t;if(!Yo||Bp(Yo[0],Re.enclosingDeclaration,Yo.length===1?mo:i1(mo))){const ai=FA(Yo?Yo[0]:Es,Re.enclosingDeclaration,mo);if(P(ai)){_t=ai.map(ui=>Ft(ui.declarations,Bx)?La(ui,Re):void 0);const qi=ai.map((ui,yn)=>yn);qi.sort(xt);const At=qi.map(ui=>ai[ui]);for(const ui of At){const yn=$n(ui,i1(mo),!1);if(yn){if(ui.exports&&ui.exports.get("export=")&&Gg(ui.exports.get("export="),Es)){Yo=yn;break}Yo=yn.concat(Yo||[BD(ui,Es)||Es]);break}}}}if(Yo)return Yo;if(Ro||!(Es.flags&6144))return!Ro&&!un&&O(Es.declarations,Bx)?void 0:[Es];function xt(ai,qi){const At=_t[ai],ui=_t[qi];if(At&&ui){const yn=am(ui);return am(At)===yn?_Q(At)-_Q(ui):yn?-1:1}return 0}}}function js(Qe,Re){let Ii;return eM(Qe).flags&524384&&(Ii=G.createNodeArray(qt(y0(Qe),_r=>fa(_r,Re)))),Ii}function or(Qe,Re,Ii){var un;j.assert(Qe&&0<=Re&&Re<Qe.length);const _r=Qe[Re],Jn=Ba(_r);if((un=Ii.typeParameterSymbolList)!=null&&un.has(Jn))return;Ii.mustCreateTypeParameterSymbolList&&(Ii.mustCreateTypeParameterSymbolList=!1,Ii.typeParameterSymbolList=new Set(Ii.typeParameterSymbolList)),Ii.typeParameterSymbolList.add(Jn);let $n;if(Ii.flags&512&&Re<Qe.length-1){const Es=_r,mo=Qe[Re+1];if(Iu(mo)&1){const Ro=mRe(Es.flags&2097152?$f(Es):Es);$n=Oi(qt(Ro,Yo=>ZS(Yo,mo.links.mapper)),Ii)}else $n=js(_r,Ii)}return $n}function Do(Qe){return nD(Qe.objectType)?Do(Qe.objectType):Qe}function La(Qe,Re,Ii){let un=ql(Qe,307);if(!un){const _t=J(Qe.declarations,xt=>FD(xt,Qe));_t&&(un=ql(_t,307))}if(un&&un.moduleName!==void 0)return un.moduleName;if(!un&&xNe.test(Qe.escapedName))return Qe.escapedName.substring(1,Qe.escapedName.length-1);if(!Re.enclosingFile||!Re.tracker.moduleResolverHost)return xNe.test(Qe.escapedName)?Qe.escapedName.substring(1,Qe.escapedName.length-1):_n(xae(Qe)).fileName;const _r=Ql(Re.enclosingDeclaration),Jn=PTe(_r)?Z6(_r):void 0,$n=Re.enclosingFile,Es=Ii||Jn&&t.getModeForUsageLocation($n,Jn)||$n&&t.getDefaultResolutionModeForFile($n),mo=B7($n.path,Es),Ro=Ys(Qe);let Yo=Ro.specifierCache&&Ro.specifierCache.get(mo);if(!Yo){const _t=!!Q.outFile,{moduleResolverHost:xt}=Re.tracker,ai=_t?{...Q,baseUrl:xt.getCommonSourceDirectory()}:Q;Yo=ya(WYe(Qe,On,ai,$n,xt,{importModuleSpecifierPreference:_t?"non-relative":"project-relative",importModuleSpecifierEnding:_t?"minimal":Es===99?"js":void 0},{overrideImportMode:Ii})),Ro.specifierCache??(Ro.specifierCache=new Map),Ro.specifierCache.set(mo,Yo)}return Yo}function Ol(Qe){const Re=G.createIdentifier(Ws(Qe.escapedName));return Qe.parent?G.createQualifiedName(Ol(Qe.parent),Re):Re}function xu(Qe,Re,Ii,un){const _r=bn(Qe,Re,Ii,!(Re.flags&16384)),Jn=Ii===111551;if(Ft(_r[0].declarations,Bx)){const mo=_r.length>1?Es(_r,_r.length-1,1):void 0,Ro=un||or(_r,0,Re),Yo=_n(Ql(Re.enclosingDeclaration)),_t=LG(_r[0]);let xt,ai;if((yh(Q)===3||yh(Q)===99)&&(_t==null?void 0:_t.impliedNodeFormat)===99&&_t.impliedNodeFormat!==(Yo==null?void 0:Yo.impliedNodeFormat)&&(xt=La(_r[0],Re,99),ai=G.createImportAttributes(G.createNodeArray([G.createImportAttribute(G.createStringLiteral("resolution-mode"),G.createStringLiteral("import"))]))),xt||(xt=La(_r[0],Re)),!(Re.flags&67108864)&&yh(Q)!==1&&xt.includes("/node_modules/")){const At=xt;if(yh(Q)===3||yh(Q)===99){const ui=(Yo==null?void 0:Yo.impliedNodeFormat)===99?1:99;xt=La(_r[0],Re,ui),xt.includes("/node_modules/")?xt=At:ai=G.createImportAttributes(G.createNodeArray([G.createImportAttribute(G.createStringLiteral("resolution-mode"),G.createStringLiteral(ui===99?"import":"require"))]))}ai||(Re.encounteredError=!0,Re.tracker.reportLikelyUnsafeImportRequiredError&&Re.tracker.reportLikelyUnsafeImportRequiredError(At))}const qi=G.createLiteralTypeNode(G.createStringLiteral(xt));if(Re.approximateLength+=xt.length+10,!mo||E_(mo)){if(mo){const At=ot(mo)?mo:mo.right;vS(At,void 0)}return G.createImportTypeNode(qi,ai,mo,Ro,Jn)}else{const At=Do(mo),ui=At.objectType.typeName;return G.createIndexedAccessTypeNode(G.createImportTypeNode(qi,ai,ui,Ro,Jn),At.indexType)}}const $n=Es(_r,_r.length-1,0);if(nD($n))return $n;if(Jn)return G.createTypeQueryNode($n);{const mo=ot($n)?$n:$n.right,Ro=kI(mo);return vS(mo,void 0),G.createTypeReferenceNode($n,Ro)}function Es(mo,Ro,Yo){const _t=Ro===mo.length-1?un:or(mo,Ro,Re),xt=mo[Ro],ai=mo[Ro-1];let qi;if(Ro===0)Re.flags|=16777216,qi=Vx(xt,Re),Re.approximateLength+=(qi?qi.length:0)+1,Re.flags^=16777216;else if(ai&&__(ai)){const ui=__(ai);cf(ui,(yn,ni)=>{if(Gg(yn,xt)&&!Tz(ni)&&ni!=="export=")return qi=Ws(ni),!0})}if(qi===void 0){const ui=J(xt.declarations,No);if(ui&&Ka(ui)&&E_(ui.expression)){const yn=Es(mo,Ro-1,Yo);return E_(yn)?G.createIndexedAccessTypeNode(G.createParenthesizedType(G.createTypeQueryNode(yn)),G.createTypeQueryNode(ui.expression)):yn}qi=Vx(xt,Re)}if(Re.approximateLength+=qi.length+1,!(Re.flags&16)&&ai&&qx(ai)&&qx(ai).get(xt.escapedName)&&Gg(qx(ai).get(xt.escapedName),xt)){const ui=Es(mo,Ro-1,Yo);return nD(ui)?G.createIndexedAccessTypeNode(ui,G.createLiteralTypeNode(G.createStringLiteral(qi))):G.createIndexedAccessTypeNode(G.createTypeReferenceNode(ui,_t),G.createLiteralTypeNode(G.createStringLiteral(qi)))}const At=tr(G.createIdentifier(qi),16777216);if(_t&&vS(At,G.createNodeArray(_t)),At.symbol=xt,Ro>Yo){const ui=Es(mo,Ro-1,Yo);return E_(ui)?G.createQualifiedName(ui,At):j.fail("Impossible construct - an export of an indexed access cannot be reachable")}return At}}function nc(Qe,Re,Ii){const un=_i(Re.enclosingDeclaration,Qe,788968,void 0,!1);return un&&un.flags&262144?un!==Ii.symbol:!1}function hf(Qe,Re){var Ii,un,_r,Jn;if(Re.flags&4&&Re.typeParameterNames){const mo=Re.typeParameterNames.get(kf(Qe));if(mo)return mo}let $n=Ou(Qe.symbol,Re,788968,!0);if(!($n.kind&80))return G.createIdentifier("(Missing type parameter)");const Es=(un=(Ii=Qe.symbol)==null?void 0:Ii.declarations)==null?void 0:un[0];if(Es&&$l(Es)&&($n=_(Re,$n,Es.name)),Re.flags&4){const mo=$n.escapedText;let Ro=((_r=Re.typeParameterNamesByTextNextNameCount)==null?void 0:_r.get(mo))||0,Yo=mo;for(;(Jn=Re.typeParameterNamesByText)!=null&&Jn.has(Yo)||nc(Yo,Re,Qe);)Ro++,Yo=`${mo}_${Ro}`;if(Yo!==mo){const _t=kI($n);$n=G.createIdentifier(Yo),vS($n,_t)}Re.mustCreateTypeParametersNamesLookups&&(Re.mustCreateTypeParametersNamesLookups=!1,Re.typeParameterNames=new Map(Re.typeParameterNames),Re.typeParameterNamesByTextNextNameCount=new Map(Re.typeParameterNamesByTextNextNameCount),Re.typeParameterNamesByText=new Set(Re.typeParameterNamesByText)),Re.typeParameterNamesByTextNextNameCount.set(mo,Ro),Re.typeParameterNames.set(kf(Qe),$n),Re.typeParameterNamesByText.add(Yo)}return $n}function Ou(Qe,Re,Ii,un){const _r=bn(Qe,Re,Ii);return un&&_r.length!==1&&!Re.encounteredError&&!(Re.flags&65536)&&(Re.encounteredError=!0),Jn(_r,_r.length-1);function Jn($n,Es){const mo=or($n,Es,Re),Ro=$n[Es];Es===0&&(Re.flags|=16777216);const Yo=Vx(Ro,Re);Es===0&&(Re.flags^=16777216);const _t=tr(G.createIdentifier(Yo),16777216);return mo&&vS(_t,G.createNodeArray(mo)),_t.symbol=Ro,Es>0?G.createQualifiedName(Jn($n,Es-1),_t):_t}}function ul(Qe,Re,Ii){const un=bn(Qe,Re,Ii);return _r(un,un.length-1);function _r(Jn,$n){const Es=or(Jn,$n,Re),mo=Jn[$n];$n===0&&(Re.flags|=16777216);let Ro=Vx(mo,Re);$n===0&&(Re.flags^=16777216);let Yo=Ro.charCodeAt(0);if(_V(Yo)&&Ft(mo.declarations,Bx))return G.createStringLiteral(La(mo,Re));if($n===0||Gce(Ro,re)){const _t=tr(G.createIdentifier(Ro),16777216);return Es&&vS(_t,G.createNodeArray(Es)),_t.symbol=mo,$n>0?G.createPropertyAccessExpression(_r(Jn,$n-1),_t):_t}else{Yo===91&&(Ro=Ro.substring(1,Ro.length-1),Yo=Ro.charCodeAt(0));let _t;if(_V(Yo)&&!(mo.flags&8)?_t=G.createStringLiteral(zm(Ro).replace(/\\./g,xt=>xt.substring(1)),Yo===39):""+ +Ro===Ro&&(_t=G.createNumericLiteral(+Ro)),!_t){const xt=tr(G.createIdentifier(Ro),16777216);Es&&vS(xt,G.createNodeArray(Es)),xt.symbol=mo,_t=xt}return G.createElementAccessExpression(_r(Jn,$n-1),_t)}}}function Im(Qe){const Re=No(Qe);return Re?Ka(Re)?!!(uo(Re.expression).flags&402653316):wl(Re)?!!(uo(Re.argumentExpression).flags&402653316):Ha(Re):!1}function zu(Qe){const Re=No(Qe);return!!(Re&&Ha(Re)&&(Re.singleQuote||!cl(Re)&&so(uu(Re,!1),"'")))}function ed(Qe,Re){const Ii=!!P(Qe.declarations)&&Ce(Qe.declarations,Im),un=!!P(Qe.declarations)&&Ce(Qe.declarations,zu),_r=!!(Qe.flags&8192),Jn=nw(Qe,Re,un,Ii,_r);if(Jn)return Jn;const $n=Ws(Qe.escapedName);return tX($n,Ja(Q),un,Ii,_r)}function nw(Qe,Re,Ii,un,_r){const Jn=Ys(Qe).nameType;if(Jn){if(Jn.flags&384){const $n=""+Jn.value;return!J_($n,Ja(Q))&&(un||!$v($n))?G.createStringLiteral($n,!!Ii):$v($n)&&so($n,"-")?G.createComputedPropertyName(G.createPrefixUnaryExpression(41,G.createNumericLiteral(-$n))):tX($n,Ja(Q),Ii,un,_r)}if(Jn.flags&8192)return G.createComputedPropertyName(ul(Jn.symbol,Re,111551))}}function tM(Qe){const Re=Qe.mustCreateTypeParameterSymbolList,Ii=Qe.mustCreateTypeParametersNamesLookups;Qe.mustCreateTypeParameterSymbolList=!0,Qe.mustCreateTypeParametersNamesLookups=!0;const un=Qe.typeParameterNames,_r=Qe.typeParameterNamesByText,Jn=Qe.typeParameterNamesByTextNextNameCount,$n=Qe.typeParameterSymbolList;return()=>{Qe.typeParameterNames=un,Qe.typeParameterNamesByText=_r,Qe.typeParameterNamesByTextNextNameCount=Jn,Qe.typeParameterSymbolList=$n,Qe.mustCreateTypeParameterSymbolList=Re,Qe.mustCreateTypeParametersNamesLookups=Ii}}function cF(Qe,Re){return Qe.declarations&&Fe(Qe.declarations,Ii=>!!Rge(Ii)&&(!Re||!!Qi(Ii,un=>un===Re)))}function lF(Qe,Re){if(!(Or(Re)&4)||!qp(Qe))return!0;uee(Qe);const Ii=yr(Qe).resolvedSymbol,un=Ii&&gl(Ii);return!un||un!==Re.target?!0:P(Qe.typeArguments)>=sy(Re.target.typeParameters)}function Yp(Qe){for(;yr(Qe).fakeScopeForSignatureDeclaration;)Qe=Qe.parent;return Qe}function Z2(Qe,Re,Ii,un){var _r,Jn;const $n=Re&&($s(Re)||Qm(Re))&&Mge(Re,Qe.enclosingDeclaration),Es=Qe.enclosingDeclaration,mo=ne(Qe);if(Re&&aEe(Re)&&!(Qe.internalFlags&2)&&ze.serializeTypeOfDeclaration(Re,Qe),Qe.internalFlags|=2,Es&&(!fe(Ii)||Qe.internalFlags&8)){const xt=Re&&Rge(Re)?Re:cF(un);if(xt&&!Qc(xt)&&!um(xt)){const ai=Rge(xt),qi=$n||!!(un.flags&4&&un.flags&16777216&&g3(xt)&&((_r=un.links)!=null&&_r.mappedType)&&Zpt(Ii)),At=!HP(ai)&&D(Qe,ai,Ii,xt,qi);if(At)return mo(),At}}Ii.flags&8192&&Ii.symbol===un&&(!Qe.enclosingDeclaration||Ft(un.declarations,xt=>_n(xt)===_n(Qe.enclosingDeclaration)))&&(Qe.flags|=1048576);const Ro=Re??un.valueDeclaration??((Jn=un.declarations)==null?void 0:Jn[0]),Yo=Ro&&Wsi(Ro)?dyt(Ro):void 0,_t=y(Qe,Yo,Ii,$n);return mo(),_t}function di(Qe,Re,Ii){return Ii===Re?!0:Qe&&($s(Qe)||O_(Qe)||Lo(Qe))&&Qe.questionToken?Qg(Re,524288)===Ii:!1}function Zi(Qe,Re){const Ii=Qe.flags&256,un=ne(Qe);Ii&&(Qe.flags&=-257);let _r;const Jn=Oc(Re);return Jn&&!(Ii&&Nc(Jn))?(Re.declaration&&!(Qe.internalFlags&2)&&ze.serializeReturnTypeForSignature(Re.declaration,Qe),Qe.internalFlags|=2,_r=Tn(Qe,Re)):Ii||(_r=G.createKeywordTypeNode(133)),un(),_r}function Tn(Qe,Re){const Ii=cg(Re),un=Oc(Re);if(Qe.enclosingDeclaration&&(!fe(un)||Qe.internalFlags&8)&&Re.declaration&&!cl(Re.declaration)){const Jn=Ksi(Re.declaration);if(Jn){const $n=D(Qe,Jn,un,Qe.enclosingDeclaration);if($n)return $n}}if(Ii)return Al(Ii,Qe);const _r=Re.declaration&&dyt(Re.declaration);return y(Qe,_r,un)}function Bn(Qe,Re){let Ii=!1;const un=K_(Qe);if(nr(Qe)&&(yI(un)||Wv(un.parent)||o_(un.parent)&&Wae(un.parent.left)&&yI(un.parent.right)))return Ii=!0,{introducesError:Ii,node:Qe};const _r=gz(Qe);let Jn;if(ix(un))return Jn=xr(Hh(un,!1,!1)),n1(Jn,un,_r,!1).accessibility!==0&&(Ii=!0,Re.tracker.reportInaccessibleThisError()),{introducesError:Ii,node:$n(Qe)};if(Jn=nu(un,_r,!0,!0),Re.enclosingDeclaration&&!(Jn&&Jn.flags&262144)){Jn=Rp(Jn);const Es=nu(un,_r,!0,!0,Re.enclosingDeclaration);if(Es===pt||Es===void 0&&Jn!==void 0||Es&&Jn&&!Gg(Rp(Es),Jn))return Es!==pt&&Re.tracker.reportInferenceFallback(Qe),Ii=!0,{introducesError:Ii,node:Qe,sym:Jn};Jn=Es}if(Jn)return Jn.flags&1&&Jn.valueDeclaration&&(Qk(Jn.valueDeclaration)||Qm(Jn.valueDeclaration))?{introducesError:Ii,node:$n(Qe)}:(!(Jn.flags&262144)&&!Wy(Qe)&&n1(Jn,Re.enclosingDeclaration,_r,!1).accessibility!==0?(Re.tracker.reportInferenceFallback(Qe),Ii=!0):Re.tracker.trackSymbol(Jn,Re.enclosingDeclaration,_r),{introducesError:Ii,node:$n(Qe)});return{introducesError:Ii,node:Qe};function $n(Es){if(Es===un){const Ro=gl(Jn),Yo=Jn.flags&262144?hf(Ro,Re):G.cloneNode(Es);return Yo.symbol=Jn,_(Re,tr(Yo,16777216),Es)}const mo=dn(Es,Ro=>$n(Ro),void 0);return mo!==Es&&_(Re,mo,Es),mo}}function Er(Qe,Re,Ii,un){const _r=Ii?111551:788968,Jn=nu(Re,_r,!0);if(!Jn)return;const $n=Jn.flags&2097152?$f(Jn):Jn;if(n1(Jn,Qe.enclosingDeclaration,_r,!1).accessibility===0)return xu($n,Qe,_r,un)}function os(Qe,Re){if(nr(Re)&&lS(Re)){Opt(Re);const Ii=yr(Re).resolvedSymbol;return!Ii||!(!Re.isTypeOf&&!(Ii.flags&788968)||!(P(Re.typeArguments)>=sy(y0(Ii))))}if(C3(Re))return Qe.mapper===void 0?!0:!!o(Qe,Re,!0);if(qp(Re)){if(O0(Re))return!1;const Ii=uee(Re),un=yr(Re).resolvedSymbol;if(!un)return!1;if(un.flags&262144){const _r=gl(un);if(Qe.mapper&&ZS(_r,Qe.mapper)!==_r)return!1}if(dV(Re))return lF(Re,Ii)&&!q_t(Re)&&un.flags&788968}if(t2(Re)&&Re.operator===158&&Re.type.kind===155){const Ii=Qe.enclosingDeclaration&&Yp(Qe.enclosingDeclaration);return!!Qi(Re,un=>un===Ii)}return!0}function Oa(Qe,Re){const Ii=o(Qe,Re);return ge(Ii,Qe)}function Ua(Qe,Re){u&&u.throwIfCancellationRequested&&u.throwIfCancellationRequested();let Ii=!1;const{finalizeBoundary:un,startRecoveryScope:_r}=Es(),Jn=bt(Re,$n,ws);if(!un())return;return Qe.approximateLength+=Re.end-Re.pos,Jn;function $n(At){if(Ii)return At;const ui=_r(),yn=rn(At)?mo(At):void 0,ni=qi(At);return yn==null||yn(),Ii?ws(At)&&!HP(At)?(ui(),Oa(Qe,At)):At:ni?_(Qe,ni,At):void 0}function Es(){let At,ui;const yn=Qe.tracker,ni=Qe.trackedSymbols;Qe.trackedSymbols=void 0;const Yi=Qe.encounteredError;return Qe.tracker=new DNe(Qe,{...yn.inner,reportCyclicStructureError(){Gn(()=>yn.reportCyclicStructureError())},reportInaccessibleThisError(){Gn(()=>yn.reportInaccessibleThisError())},reportInaccessibleUniqueSymbolError(){Gn(()=>yn.reportInaccessibleUniqueSymbolError())},reportLikelyUnsafeImportRequiredError(Ln){Gn(()=>yn.reportLikelyUnsafeImportRequiredError(Ln))},reportNonSerializableProperty(Ln){Gn(()=>yn.reportNonSerializableProperty(Ln))},trackSymbol(Ln,Hr,xs){return(At??(At=[])).push([Ln,Hr,xs]),!1},moduleResolverHost:Qe.tracker.moduleResolverHost},Qe.tracker.moduleResolverHost),{startRecoveryScope:Gi,finalizeBoundary:zn};function Gn(Ln){Ii=!0,(ui??(ui=[])).push(Ln)}function Gi(){const Ln=(At==null?void 0:At.length)??0,Hr=(ui==null?void 0:ui.length)??0;return()=>{Ii=!1,At&&(At.length=Ln),ui&&(ui.length=Hr)}}function zn(){return Qe.tracker=yn,Qe.trackedSymbols=ni,Qe.encounteredError=Yi,ui==null||ui.forEach(Ln=>Ln()),Ii?!1:(At==null||At.forEach(([Ln,Hr,xs])=>Qe.tracker.trackSymbol(Ln,Hr,xs)),!0)}}function mo(At){return Gr(Qe,At,fr(At),Ui(At))}function Ro(At){const ui=M8(At);switch(ui.kind){case 183:return ai(ui);case 186:return xt(ui);case 199:return Yo(ui);case 198:const yn=ui;if(yn.operator===143)return _t(yn)}return bt(At,$n,ws)}function Yo(At){const ui=Ro(At.objectType);if(ui!==void 0)return G.updateIndexedAccessTypeNode(At,ui,bt(At.indexType,$n,ws))}function _t(At){j.assertEqual(At.operator,143);const ui=Ro(At.type);if(ui!==void 0)return G.updateTypeOperatorNode(At,ui)}function xt(At){const{introducesError:ui,node:yn}=Bn(At.exprName,Qe);if(!ui)return G.updateTypeQueryNode(At,yn,Dn(At.typeArguments,$n,ws));const ni=Er(Qe,At.exprName,!0);if(ni)return _(Qe,ni,At.exprName)}function ai(At){if(os(Qe,At)){const{introducesError:ui,node:yn}=Bn(At.typeName,Qe),ni=Dn(At.typeArguments,$n,ws);if(ui){const Yi=Er(Qe,At.typeName,!1,ni);if(Yi)return _(Qe,Yi,At.typeName)}else{const Yi=G.updateTypeReferenceNode(At,yn,ni);return _(Qe,Yi,At)}}}function qi(At){if(o2(At))return bt(At.type,$n,ws);if(JEe(At)||At.kind===319)return G.createKeywordTypeNode(133);if(GEe(At))return G.createKeywordTypeNode(159);if(k3(At))return G.createUnionTypeNode([bt(At.type,$n,ws),G.createLiteralTypeNode(G.createNull())]);if(vle(At))return G.createUnionTypeNode([bt(At.type,$n,ws),G.createKeywordTypeNode(157)]);if(TX(At))return bt(At.type,$n);if(DX(At))return G.createArrayTypeNode(bt(At.type,$n,ws));if(OI(At))return G.createTypeLiteralNode(qt(At.jsDocPropertyTags,Gi=>{const zn=bt(ot(Gi.name)?Gi.name:Gi.name.right,$n,ot),Ln=Yu(o(Qe,At),zn.escapedText),Hr=Ln&&Gi.typeExpression&&o(Qe,Gi.typeExpression.type)!==Ln?ge(Ln,Qe):void 0;return G.createPropertySignature(void 0,zn,Gi.isBracketed||Gi.typeExpression&&vle(Gi.typeExpression.type)?G.createToken(58):void 0,Hr||Gi.typeExpression&&bt(Gi.typeExpression.type,$n,ws)||G.createKeywordTypeNode(133))}));if(qp(At)&&ot(At.typeName)&&At.typeName.escapedText==="")return Ir(G.createKeywordTypeNode(133),At);if((Yb(At)||qp(At))&&eK(At))return G.createTypeLiteralNode([G.createIndexSignature(void 0,[G.createParameterDeclaration(void 0,void 0,"x",void 0,bt(At.typeArguments[0],$n,ws))],bt(At.typeArguments[1],$n,ws))]);if(T3(At))if(e3(At)){let Gi;return G.createConstructorTypeNode(void 0,Dn(At.typeParameters,$n,$l),es(At.parameters,(zn,Ln)=>zn.name&&ot(zn.name)&&zn.name.escapedText==="new"?(Gi=zn.type,void 0):G.createParameterDeclaration(void 0,ni(zn),_(Qe,G.createIdentifier(Yi(zn,Ln)),zn),G.cloneNode(zn.questionToken),bt(zn.type,$n,ws),void 0)),bt(Gi||At.type,$n,ws)||G.createKeywordTypeNode(133))}else return G.createFunctionTypeNode(Dn(At.typeParameters,$n,$l),qt(At.parameters,(Gi,zn)=>G.createParameterDeclaration(void 0,ni(Gi),_(Qe,G.createIdentifier(Yi(Gi,zn)),Gi),G.cloneNode(Gi.questionToken),bt(Gi.type,$n,ws),void 0)),bt(At.type,$n,ws)||G.createKeywordTypeNode(133));if(C3(At))return os(Qe,At)||(Ii=!0),At;if($l(At))return G.updateTypeParameterDeclaration(At,Dn(At.modifiers,$n,Fa),_(Qe,hf(gl(xr(At)),Qe),At),bt(At.constraint,$n,ws),bt(At.default,$n,ws));if(nD(At)){const Gi=Yo(At);return Gi||(Ii=!0,At)}if(qp(At)){const Gi=ai(At);return Gi||(Ii=!0,At)}if(lS(At)){const Gi=yr(At).resolvedSymbol;return dV(At)&&Gi&&(!At.isTypeOf&&!(Gi.flags&788968)||!(P(At.typeArguments)>=sy(y0(Gi))))?_(Qe,ge(o(Qe,At),Qe),At):G.updateImportTypeNode(At,G.updateLiteralTypeNode(At.argument,Gn(At,At.argument.literal)),bt(At.attributes,$n,LI),bt(At.qualifier,$n,E_),Dn(At.typeArguments,$n,ws),At.isTypeOf)}if(Bf(At)&&At.name.kind===167&&!Gpe(At.name)){if(!Jb(At))return ui(At,$n);if(!(Qe.internalFlags&8&&pl(At.name.expression)&&cy(At.name).flags&1))return}if(Ho(At)&&!At.type||Lo(At)&&!At.type&&!At.initializer||O_(At)&&!At.type&&!At.initializer||$s(At)&&!At.type&&!At.initializer){let Gi=ui(At,$n);return Gi===At&&(Gi=_(Qe,G.cloneNode(At),At)),Gi.type=G.createKeywordTypeNode(133),$s(At)&&(Gi.modifiers=void 0),Gi}if(tD(At)){const Gi=xt(At);return Gi||(Ii=!0,At)}if(Ka(At)&&pl(At.expression)){const{node:Gi,introducesError:zn}=Bn(At.expression,Qe);if(zn){const Ln=lp(O5e(At.expression)),Hr=ge(Ln,Qe);let xs;if(bS(Hr))xs=Hr.literal;else{const ns=z1t(At.expression),Fo=typeof ns.value=="string"?G.createStringLiteral(ns.value,void 0):typeof ns.value=="number"?G.createNumericLiteral(ns.value,0):void 0;if(!Fo)return V1(Hr)&&wu(At.expression,Qe.enclosingDeclaration,Qe),At;xs=Fo}return xs.kind===11&&J_(xs.text,Ja(Q))?G.createIdentifier(xs.text):xs.kind===9&&!xs.text.startsWith("-")?xs:G.updateComputedPropertyName(At,xs)}else return G.updateComputedPropertyName(At,Gi)}if(HP(At)){let Gi;if(ot(At.parameterName)){const{node:zn,introducesError:Ln}=Bn(At.parameterName,Qe);Ii=Ii||Ln,Gi=zn}else Gi=G.cloneNode(At.parameterName);return G.updateTypePredicateNode(At,G.cloneNode(At.assertsModifier),Gi,bt(At.type,$n,ws))}if(jP(At)||a_(At)||II(At)){const Gi=ui(At,$n),zn=_(Qe,Gi===At?G.cloneNode(At):Gi,At),Ln=Ya(zn);return tr(zn,Ln|(Qe.flags&1024&&a_(At)?0:1)),zn}if(Ha(At)&&Qe.flags&268435456&&!At.singleQuote){const Gi=G.cloneNode(At);return Gi.singleQuote=!0,Gi}if(iD(At)){const Gi=bt(At.checkType,$n,ws),zn=mo(At),Ln=bt(At.extendsType,$n,ws),Hr=bt(At.trueType,$n,ws);zn();const xs=bt(At.falseType,$n,ws);return G.updateConditionalTypeNode(At,Gi,Ln,Hr,xs)}if(t2(At)){if(At.operator===158&&At.type.kind===155){if(!os(Qe,At))return Ii=!0,At}else if(At.operator===143){const Gi=_t(At);return Gi||(Ii=!0,At)}}return ui(At,$n);function ui(Gi,zn){const Ln=!Qe.enclosingFile||Qe.enclosingFile!==_n(Gi);return dn(Gi,zn,void 0,Ln?yn:void 0)}function yn(Gi,zn,Ln,Hr,xs){let ns=Dn(Gi,zn,Ln,Hr,xs);return ns&&(ns.pos!==-1||ns.end!==-1)&&(ns===Gi&&(ns=G.createNodeArray(Gi.slice(),Gi.hasTrailingComma)),V0(ns,-1,-1)),ns}function ni(Gi){return Gi.dotDotDotToken||(Gi.type&&DX(Gi.type)?G.createToken(26):void 0)}function Yi(Gi,zn){return Gi.name&&ot(Gi.name)&&Gi.name.escapedText==="this"?"this":ni(Gi)?"args":`arg${zn}`}function Gn(Gi,zn){if(Qe.bundled||Qe.enclosingFile!==_n(zn)){let Ln=zn.text;const Hr=yr(At).resolvedSymbol,xs=Gi.isTypeOf?111551:788968,ns=Hr&&n1(Hr,Qe.enclosingDeclaration,xs,!1).accessibility===0&&bn(Hr,Qe,xs,!0)[0];if(ns&&H6(ns))Ln=La(ns,Qe);else{const Fo=V5e(Gi);Fo&&(Ln=La(Fo.symbol,Qe))}if(Ln.includes("/node_modules/")&&(Qe.encounteredError=!0,Qe.tracker.reportLikelyUnsafeImportRequiredError&&Qe.tracker.reportLikelyUnsafeImportRequiredError(Ln)),Ln!==zn.text)return Ir(G.createStringLiteral(Ln),zn)}return bt(zn,$n,Ha)}}}function yl(Qe,Re){var Ii;const un=Iyt(G.createPropertyDeclaration,174,!0),_r=Iyt((si,Br,bs,fs)=>G.createPropertySignature(si,Br,bs,fs),173,!1),Jn=Re.enclosingDeclaration;let $n=[];const Es=new Set,mo=[],Ro=Re;Re={...Ro,usedSymbolNames:new Set(Ro.usedSymbolNames),remappedSymbolNames:new Map,remappedSymbolReferences:new Map((Ii=Ro.remappedSymbolReferences)==null?void 0:Ii.entries()),tracker:void 0};const Yo={...Ro.tracker.inner,trackSymbol:(si,Br,bs)=>{var fs,Qr;if((fs=Re.remappedSymbolNames)!=null&&fs.has(Ba(si)))return!1;if(n1(si,Br,bs,!1).accessibility===0){const Ea=Fn(si,Re,bs);if(!(si.flags&4)){const sa=Ea[0],ea=_n(Ro.enclosingDeclaration);Ft(sa.declarations,Mc=>_n(Mc)===ea)&&Hr(sa)}}else if((Qr=Ro.tracker.inner)!=null&&Qr.trackSymbol)return Ro.tracker.inner.trackSymbol(si,Br,bs);return!1}};Re.tracker=new DNe(Re,Yo,Ro.tracker.moduleResolverHost),cf(Qe,(si,Br)=>{const bs=Ws(Br);av(si,bs)});let _t=!Re.bundled;const xt=Qe.get("export=");return xt&&Qe.size>1&&xt.flags&2098688&&(Qe=_a(),Qe.set("export=",xt)),Gi(Qe),ni($n);function ai(si){return!!si&&si.kind===80}function qi(si){return Lu(si)?$t(qt(si.declarationList.declarations,No),ai):$t([No(si)],ai)}function At(si){const Br=Fe(si,Il),bs=ct(si,rd);let fs=bs!==-1?si[bs]:void 0;if(fs&&Br&&Br.isExportEquals&&ot(Br.expression)&&ot(fs.name)&&Fr(fs.name)===Fr(Br.expression)&&fs.body&&H1(fs.body)){const Qr=$t(si,sa=>!!(jh(sa)&32)),Wc=fs.name;let Ea=fs.body;if(P(Qr)&&(fs=G.updateModuleDeclaration(fs,fs.modifiers,fs.name,Ea=G.updateModuleBlock(Ea,G.createNodeArray([...fs.body.statements,G.createExportDeclaration(void 0,!1,G.createNamedExports(qt(Rr(Qr,sa=>qi(sa)),sa=>G.createExportSpecifier(!1,void 0,sa))),void 0)]))),si=[...si.slice(0,bs),fs,...si.slice(bs+1)]),!Fe(si,sa=>sa!==fs&&OW(sa,Wc))){$n=[];const sa=!Ft(Ea.statements,ea=>Zr(ea,32)||Il(ea)||Ju(ea));O(Ea.statements,ea=>{ns(ea,sa?32:0)}),si=[...$t(si,ea=>ea!==fs&&ea!==Br),...$n]}}return si}function ui(si){const Br=$t(si,fs=>Ju(fs)&&!fs.moduleSpecifier&&!!fs.exportClause&&Xm(fs.exportClause));P(Br)>1&&(si=[...$t(si,Qr=>!Ju(Qr)||!!Qr.moduleSpecifier||!Qr.exportClause),G.createExportDeclaration(void 0,!1,G.createNamedExports(Rr(Br,Qr=>ha(Qr.exportClause,Xm).elements)),void 0)]);const bs=$t(si,fs=>Ju(fs)&&!!fs.moduleSpecifier&&!!fs.exportClause&&Xm(fs.exportClause));if(P(bs)>1){const fs=qs(bs,Qr=>Ha(Qr.moduleSpecifier)?">"+Qr.moduleSpecifier.text:">");if(fs.length!==bs.length)for(const Qr of fs)Qr.length>1&&(si=[...$t(si,Wc=>!Qr.includes(Wc)),G.createExportDeclaration(void 0,!1,G.createNamedExports(Rr(Qr,Wc=>ha(Wc.exportClause,Xm).elements)),Qr[0].moduleSpecifier)])}return si}function yn(si){const Br=ct(si,bs=>Ju(bs)&&!bs.moduleSpecifier&&!bs.attributes&&!!bs.exportClause&&Xm(bs.exportClause));if(Br>=0){const bs=si[Br],fs=es(bs.exportClause.elements,Qr=>{if(!Qr.propertyName&&Qr.name.kind!==11){const Wc=Qr.name,Ea=Nk(si),sa=$t(Ea,ea=>OW(si[ea],Wc));if(P(sa)&&Ce(sa,ea=>eH(si[ea]))){for(const ea of sa)si[ea]=Yi(si[ea]);return}}return Qr});P(fs)?si[Br]=G.updateExportDeclaration(bs,bs.modifiers,bs.isTypeOnly,G.updateNamedExports(bs.exportClause,fs),bs.moduleSpecifier,bs.attributes):zw(si,Br)}return si}function ni(si){return si=At(si),si=ui(si),si=yn(si),Jn&&(Os(Jn)&&Cp(Jn)||rd(Jn))&&(!Ft(si,UW)||!L2e(si)&&Ft(si,yG))&&si.push(gH(G)),si}function Yi(si){const Br=(jh(si)|32)&-129;return G.replaceModifiers(si,Br)}function Gn(si){const Br=jh(si)&-33;return G.replaceModifiers(si,Br)}function Gi(si,Br,bs){Br||mo.push(new Map),si.forEach(fs=>{zn(fs,!1,!!bs)}),Br||(mo[mo.length-1].forEach(fs=>{zn(fs,!0,!!bs)}),mo.pop())}function zn(si,Br,bs){Ac(Yn(si));const fs=wc(si);if(Es.has(Ba(fs)))return;if(Es.add(Ba(fs)),!Br||P(si.declarations)&&Ft(si.declarations,Wc=>!!Qi(Wc,Ea=>Ea===Jn))){const Wc=tM(Re);Ln(si,Br,bs),Wc()}}function Ln(si,Br,bs,fs=si.escapedName){var Qr,Wc,Ea,sa,ea,Mc;const vl=Ws(fs),y_=fs==="default";if(Br&&!(Re.flags&131072)&&gP(vl)&&!y_){Re.encounteredError=!0;return}let _h=y_&&!!(si.flags&-113||si.flags&16&&P(Ac(Yn(si))))&&!(si.flags&2097152),Qf=!_h&&!Br&&gP(vl)&&!y_;(_h||Qf)&&(Br=!0);const Dl=(Br?0:32)|(y_&&!_h?2048:0),Zf=si.flags&1536&&si.flags&7&&fs!=="export=",fg=Zf&&$5e(Yn(si),si);if((si.flags&8208||fg)&&f1(Yn(si),si,av(si,vl),Dl),si.flags&524288&&Fo(si,vl,Dl),si.flags&98311&&fs!=="export="&&!(si.flags&4194304)&&!(si.flags&32)&&!(si.flags&8192)&&!fg)if(bs)xte(si)&&(Qf=!1,_h=!1);else{const _f=Yn(si),Vp=av(si,vl);if(_f.symbol&&_f.symbol!==si&&_f.symbol.flags&16&&Ft(_f.symbol.declarations,lx)&&((Qr=_f.symbol.members)!=null&&Qr.size||(Wc=_f.symbol.exports)!=null&&Wc.size))Re.remappedSymbolReferences||(Re.remappedSymbolReferences=new Map),Re.remappedSymbolReferences.set(Ba(_f.symbol),si),Ln(_f.symbol,Br,bs,fs),Re.remappedSymbolReferences.delete(Ba(_f.symbol));else if(!(si.flags&16)&&$5e(_f,si))f1(_f,si,Vp,Dl);else{const l4=si.flags&2?e4(si)?2:1:(Ea=si.parent)!=null&&Ea.valueDeclaration&&Os((sa=si.parent)==null?void 0:sa.valueDeclaration)?2:void 0,hy=_h||!(si.flags&4)?Vp:kte(Vp,si);let nk=si.declarations&&Fe(si.declarations,vU=>_s(vU));nk&&Sf(nk.parent)&&nk.parent.declarations.length===1&&(nk=nk.parent.parent);const rk=(ea=si.declarations)==null?void 0:ea.find(Nr);if(rk&&ur(rk.parent)&&ot(rk.parent.right)&&((Mc=_f.symbol)!=null&&Mc.valueDeclaration)&&Os(_f.symbol.valueDeclaration)){const vU=Vp===rk.parent.right.escapedText?void 0:rk.parent.right;ns(G.createExportDeclaration(void 0,!1,G.createNamedExports([G.createExportSpecifier(!1,vU,Vp)])),0),Re.tracker.trackSymbol(_f.symbol,Re.enclosingDeclaration,111551)}else{const vU=_(Re,G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(hy,void 0,Z2(Re,void 0,_f,si))],l4)),nk);ns(vU,hy!==Vp?Dl&-33:Dl),hy!==Vp&&!Br&&(ns(G.createExportDeclaration(void 0,!1,G.createNamedExports([G.createExportSpecifier(!1,hy,Vp)])),0),Qf=!1,_h=!1)}}}if(si.flags&384&&Y2(si,vl,Dl),si.flags&32&&(si.flags&4&&si.valueDeclaration&&ur(si.valueDeclaration.parent)&&fd(si.valueDeclaration.parent.right)?yU(si,av(si,vl),Dl):dF(si,av(si,vl),Dl)),(si.flags&1536&&(!Zf||H_(si))||fg)&&Yg(si,vl,Dl),si.flags&64&&!(si.flags&32)&&Kc(si,vl,Dl),si.flags&2097152&&yU(si,av(si,vl),Dl),si.flags&4&&si.escapedName==="export="&&xte(si),si.flags&8388608&&si.declarations)for(const _f of si.declarations){const Vp=B_(_f,_f.moduleSpecifier);Vp&&ns(G.createExportDeclaration(void 0,_f.isTypeOnly,void 0,G.createStringLiteral(La(Vp,Re))),0)}_h?ns(G.createExportAssignment(void 0,!1,G.createIdentifier(av(si,vl))),0):Qf&&ns(G.createExportDeclaration(void 0,!1,G.createNamedExports([G.createExportSpecifier(!1,av(si,vl),vl)])),0)}function Hr(si){if(Ft(si.declarations,Qk))return;j.assertIsDefined(mo[mo.length-1]),kte(Ws(si.escapedName),si);const Br=!!(si.flags&2097152)&&!Ft(si.declarations,bs=>!!Qi(bs,Ju)||jy(bs)||Wd(bs)&&!eC(bs.moduleReference));mo[Br?0:mo.length-1].set(Ba(si),si)}function xs(si){return Os(si)&&(Cp(si)||Eg(si))||Vh(si)&&!Ry(si)}function ns(si,Br){if(Fg(si)){let bs=0;const fs=Re.enclosingDeclaration&&(Ng(Re.enclosingDeclaration)?_n(Re.enclosingDeclaration):Re.enclosingDeclaration);Br&32&&fs&&(xs(fs)||rd(fs))&&eH(si)&&(bs|=32),_t&&!(bs&32)&&(!fs||!(fs.flags&33554432))&&(sD(si)||Lu(si)||Wu(si)||hd(si)||rd(si))&&(bs|=128),Br&2048&&(hd(si)||zf(si)||Wu(si))&&(bs|=2048),bs&&(si=G.replaceModifiers(si,bs|jh(si)))}$n.push(si)}function Fo(si,Br,bs){var fs;const Qr=Zht(si),Wc=Ys(si).typeParameters,Ea=qt(Wc,_h=>fa(_h,Re)),sa=(fs=si.declarations)==null?void 0:fs.find(Ng),ea=RW(sa?sa.comment||sa.parent.comment:void 0),Mc=ne(Re);Re.flags|=8388608;const vl=Re.enclosingDeclaration;Re.enclosingDeclaration=sa;const y_=sa&&sa.typeExpression&&o2(sa.typeExpression)&&L(Re,sa.typeExpression.type,Qr,void 0)||ge(Qr,Re);ns(e2(G.createTypeAliasDeclaration(void 0,av(si,Br),Ea,y_),ea?[{kind:3,text:`*
+ * `+ea.replace(/\n/g,`
+ * `)+`
+ `,pos:-1,end:-1,hasTrailingNewLine:!0}]:[]),bs),Mc(),Re.enclosingDeclaration=vl}function Kc(si,Br,bs){const fs=W_(si),Qr=y0(si),Wc=qt(Qr,Qf=>fa(Qf,Re)),Ea=Ll(fs),sa=P(Ea)?Wa(Ea):void 0,ea=Rr(Ac(fs),Qf=>Goi(Qf,sa)),Mc=J5e(0,fs,sa,179),vl=J5e(1,fs,sa,180),y_=Nyt(fs,sa),_h=P(Ea)?[G.createHeritageClause(96,es(Ea,Qf=>G5e(Qf,111551)))]:void 0;ns(G.createInterfaceDeclaration(void 0,av(si,Br),Wc,_h,[...y_,...vl,...Mc,...ea]),bs)}function Xf(si){let Br=as(__(si).values());const bs=wc(si);if(bs!==si){const fs=new Set(Br);for(const Qr of __(bs).values())Eh(Zu(Qr))&111551||fs.add(Qr);Br=as(fs)}return $t(Br,fs=>j_(fs)&&J_(fs.escapedName,99))}function H_(si){return Ce(Xf(si),Br=>!(Eh(Zu(Br))&111551))}function Yg(si,Br,bs){const fs=Xf(si),Qr=Cn(fs,sa=>sa.parent&&sa.parent===si?"real":"merged"),Wc=Qr.get("real")||w,Ea=Qr.get("merged")||w;if(P(Wc)){const sa=av(si,Br);uF(Wc,sa,bs,!!(si.flags&67108880))}if(P(Ea)){const sa=_n(Re.enclosingDeclaration),ea=av(si,Br),Mc=G.createModuleBlock([G.createExportDeclaration(void 0,!1,G.createNamedExports(es($t(Ea,vl=>vl.escapedName!=="export="),vl=>{var y_,_h;const Qf=Ws(vl.escapedName),Dl=av(vl,Qf),Zf=vl.declarations&&Dh(vl);if(sa&&(Zf?sa!==_n(Zf):!Ft(vl.declarations,Vp=>_n(Vp)===sa))){(_h=(y_=Re.tracker)==null?void 0:y_.reportNonlocalAugmentation)==null||_h.call(y_,sa,si,vl);return}const fg=Zf&&Ox(Zf,!0);Hr(fg||vl);const _f=fg?av(fg,Ws(fg.escapedName)):Dl;return G.createExportSpecifier(!1,Qf===_f?void 0:_f,Qf)})))]);ns(G.createModuleDeclaration(void 0,G.createIdentifier(ea),Mc,32),0)}}function Y2(si,Br,bs){ns(G.createEnumDeclaration(G.createModifiersFromModifierFlags(r5e(si)?4096:0),av(si,Br),qt($t(Ac(Yn(si)),fs=>!!(fs.flags&8)),fs=>{const Qr=fs.declarations&&fs.declarations[0]&&SS(fs.declarations[0])?F5e(fs.declarations[0]):void 0;return G.createEnumMember(Ws(fs.escapedName),Qr===void 0?void 0:typeof Qr=="string"?G.createStringLiteral(Qr):G.createNumericLiteral(Qr))})),bs)}function f1(si,Br,bs,fs){const Qr=ia(si,0);for(const Wc of Qr){const Ea=Qn(Wc,262,Re,{name:G.createIdentifier(bs)});ns(_(Re,Ea,rw(Wc)),fs)}if(!(Br.flags&1536&&Br.exports&&Br.exports.size)){const Wc=$t(Ac(si),j_);uF(Wc,bs,fs,!0)}}function rw(si){if(si.declaration&&si.declaration.parent){if(ur(si.declaration.parent)&&Bu(si.declaration.parent)===5)return si.declaration.parent;if(_s(si.declaration.parent)&&si.declaration.parent.parent)return si.declaration.parent.parent}return si.declaration}function uF(si,Br,bs,fs){if(P(si)){const Wc=Cn(si,Dl=>!P(Dl.declarations)||Ft(Dl.declarations,Zf=>_n(Zf)===_n(Re.enclosingDeclaration))?"local":"remote").get("local")||w;let Ea=l2.createModuleDeclaration(void 0,G.createIdentifier(Br),G.createModuleBlock([]),32);_c(Ea,Jn),Ea.locals=_a(si),Ea.symbol=si[0].parent;const sa=$n;$n=[];const ea=_t;_t=!1;const Mc={...Re,enclosingDeclaration:Ea},vl=Re;Re=Mc,Gi(_a(Wc),fs,!0),Re=vl,_t=ea;const y_=$n;$n=sa;const _h=qt(y_,Dl=>Il(Dl)&&!Dl.isExportEquals&&ot(Dl.expression)?G.createExportDeclaration(void 0,!1,G.createNamedExports([G.createExportSpecifier(!1,Dl.expression,G.createIdentifier("default"))])):Dl),Qf=Ce(_h,Dl=>Zr(Dl,32))?qt(_h,Gn):_h;Ea=G.updateModuleDeclaration(Ea,Ea.modifiers,Ea.name,G.createModuleBlock(Qf)),ns(Ea,bs)}}function j_(si){return!!(si.flags&2887656)||!(si.flags&4194304||si.escapedName==="prototype"||si.valueDeclaration&&pa(si.valueDeclaration)&&ss(si.valueDeclaration.parent))}function ik(si){const Br=es(si,bs=>{const fs=Re.enclosingDeclaration;Re.enclosingDeclaration=bs;let Qr=bs.expression;if(pl(Qr)){if(ot(Qr)&&Fr(Qr)==="")return Wc(void 0);let Ea;if({introducesError:Ea,node:Qr}=Bn(Qr,Re),Ea)return Wc(void 0)}return Wc(G.createExpressionWithTypeArguments(Qr,qt(bs.typeArguments,Ea=>L(Re,Ea,o(Re,Ea))||ge(o(Re,Ea),Re))));function Wc(Ea){return Re.enclosingDeclaration=fs,Ea}});if(Br.length===si.length)return Br}function dF(si,Br,bs){var fs,Qr;const Wc=(fs=si.declarations)==null?void 0:fs.find(ss),Ea=Re.enclosingDeclaration;Re.enclosingDeclaration=Wc||Ea;const sa=y0(si),ea=qt(sa,_y=>fa(_y,Re)),Mc=Wp(W_(si)),vl=Ll(Mc),y_=Wc&&i3(Wc),_h=y_&&ik(y_)||es(yc(Mc),Xoi),Qf=Yn(si),Dl=!!((Qr=Qf.symbol)!=null&&Qr.valueDeclaration)&&ss(Qf.symbol.valueDeclaration),Zf=Dl?ys(Qf):Je,fg=[...P(vl)?[G.createHeritageClause(96,qt(vl,_y=>Koi(_y,Zf,Br)))]:[],...P(_h)?[G.createHeritageClause(119,_h)]:[]],_f=Lri(Mc,vl,Ac(Mc)),Vp=$t(_f,_y=>{const fF=_y.valueDeclaration;return!!fF&&!(Bf(fF)&&Vs(fF.name))}),hy=Ft(_f,_y=>{const fF=_y.valueDeclaration;return!!fF&&Bf(fF)&&Vs(fF.name)})?[G.createPropertyDeclaration(void 0,G.createPrivateIdentifier("#private"),void 0,void 0,void 0)]:w,nk=Rr(Vp,_y=>un(_y,!1,vl[0])),rk=Rr($t(Ac(Qf),_y=>!(_y.flags&4194304)&&_y.escapedName!=="prototype"&&!j_(_y)),_y=>un(_y,!0,Zf)),Qoi=!Dl&&!!si.valueDeclaration&&nr(si.valueDeclaration)&&!Ft(ia(Qf,1))?[G.createConstructorDeclaration(G.createModifiersFromModifierFlags(2),[],void 0)]:J5e(1,Qf,Zf,176),Zoi=Nyt(Mc,vl[0]);Re.enclosingDeclaration=Ea,ns(_(Re,G.createClassDeclaration(void 0,Br,ea,fg,[...Zoi,...rk,...Qoi,...nk,...hy]),si.declarations&&$t(si.declarations,_y=>hd(_y)||fd(_y))[0]),bs)}function gU(si){return J(si,Br=>{if(l_(Br)||vh(Br))return tx(Br.propertyName||Br.name);if(ur(Br)||Il(Br)){const bs=Il(Br)?Br.expression:Br.right;if(Nr(bs))return Fr(bs.name)}if(Xp(Br)){const bs=No(Br);if(bs&&ot(bs))return Fr(bs)}})}function yU(si,Br,bs){var fs,Qr,Wc,Ea,sa;const ea=Dh(si);if(!ea)return j.fail();const Mc=wc(Ox(ea,!0));if(!Mc)return;let vl=QW(Mc)&&gU(si.declarations)||Ws(Mc.escapedName);vl==="export="&&Ie&&(vl="default");const y_=av(Mc,vl);switch(Hr(Mc),ea.kind){case 208:if(((Qr=(fs=ea.parent)==null?void 0:fs.parent)==null?void 0:Qr.kind)===260){const Dl=La(Mc.parent||Mc,Re),{propertyName:Zf}=ea;ns(G.createImportDeclaration(void 0,G.createImportClause(!1,void 0,G.createNamedImports([G.createImportSpecifier(!1,Zf&&ot(Zf)?G.createIdentifier(Fr(Zf)):void 0,G.createIdentifier(Br))])),G.createStringLiteral(Dl),void 0),0);break}j.failBadSyntaxKind(((Wc=ea.parent)==null?void 0:Wc.parent)||ea,"Unhandled binding element grandparent kind in declaration serialization");break;case 304:((sa=(Ea=ea.parent)==null?void 0:Ea.parent)==null?void 0:sa.kind)===226&&zN(Ws(si.escapedName),y_);break;case 260:if(Nr(ea.initializer)){const Dl=ea.initializer,Zf=G.createUniqueName(Br),fg=La(Mc.parent||Mc,Re);ns(G.createImportEqualsDeclaration(void 0,!1,Zf,G.createExternalModuleReference(G.createStringLiteral(fg))),0),ns(G.createImportEqualsDeclaration(void 0,!1,G.createIdentifier(Br),G.createQualifiedName(Zf,Dl.name)),bs);break}case 271:if(Mc.escapedName==="export="&&Ft(Mc.declarations,Dl=>Os(Dl)&&Eg(Dl))){xte(si);break}const _h=!(Mc.flags&512)&&!_s(ea);ns(G.createImportEqualsDeclaration(void 0,!1,G.createIdentifier(Br),_h?Ou(Mc,Re,-1,!1):G.createExternalModuleReference(G.createStringLiteral(La(Mc,Re)))),_h?bs:0);break;case 270:ns(G.createNamespaceExportDeclaration(Fr(ea.name)),0);break;case 273:{const Dl=La(Mc.parent||Mc,Re),Zf=Re.bundled?G.createStringLiteral(Dl):ea.parent.moduleSpecifier,fg=du(ea.parent)?ea.parent.attributes:void 0,_f=q1(ea.parent);ns(G.createImportDeclaration(void 0,G.createImportClause(_f,G.createIdentifier(Br),void 0),Zf,fg),0);break}case 274:{const Dl=La(Mc.parent||Mc,Re),Zf=Re.bundled?G.createStringLiteral(Dl):ea.parent.parent.moduleSpecifier,fg=q1(ea.parent.parent);ns(G.createImportDeclaration(void 0,G.createImportClause(fg,void 0,G.createNamespaceImport(G.createIdentifier(Br))),Zf,ea.parent.attributes),0);break}case 280:ns(G.createExportDeclaration(void 0,!1,G.createNamespaceExport(G.createIdentifier(Br)),G.createStringLiteral(La(Mc,Re))),0);break;case 276:{const Dl=La(Mc.parent||Mc,Re),Zf=Re.bundled?G.createStringLiteral(Dl):ea.parent.parent.parent.moduleSpecifier,fg=q1(ea.parent.parent.parent);ns(G.createImportDeclaration(void 0,G.createImportClause(fg,void 0,G.createNamedImports([G.createImportSpecifier(!1,Br!==vl?G.createIdentifier(vl):void 0,G.createIdentifier(Br))])),Zf,ea.parent.parent.parent.attributes),0);break}case 281:const Qf=ea.parent.parent.moduleSpecifier;if(Qf){const Dl=ea.propertyName;Dl&&My(Dl)&&(vl="default")}zN(Ws(si.escapedName),Qf?vl:y_,Qf&&Bc(Qf)?G.createStringLiteral(Qf.text):void 0);break;case 277:xte(si);break;case 226:case 211:case 212:si.escapedName==="default"||si.escapedName==="export="?xte(si):zN(Br,y_);break;default:return j.failBadSyntaxKind(ea,"Unhandled alias declaration kind in symbol serializer!")}}function zN(si,Br,bs){ns(G.createExportDeclaration(void 0,!1,G.createNamedExports([G.createExportSpecifier(!1,si!==Br?Br:void 0,si)]),bs),0)}function xte(si){var Br;if(si.flags&4194304)return!1;const bs=Ws(si.escapedName),fs=bs==="export=",Wc=fs||bs==="default",Ea=si.declarations&&Dh(si),sa=Ea&&Ox(Ea,!0);if(sa&&P(sa.declarations)&&Ft(sa.declarations,ea=>_n(ea)===_n(Jn))){const ea=Ea&&(Il(Ea)||ur(Ea)?Gae(Ea):VTe(Ea)),Mc=ea&&pl(ea)?$ri(ea):void 0,vl=Mc&&nu(Mc,-1,!0,!0,Jn);(vl||sa)&&Hr(vl||sa);const y_=Re.tracker.disableTrackSymbol;if(Re.tracker.disableTrackSymbol=!0,Wc)$n.push(G.createExportAssignment(void 0,fs,ul(sa,Re,-1)));else if(Mc===ea&&Mc)zN(bs,Fr(Mc));else if(ea&&fd(ea))zN(bs,av(sa,Eu(sa)));else{const _h=kte(bs,si);ns(G.createImportEqualsDeclaration(void 0,!1,G.createIdentifier(_h),Ou(sa,Re,-1,!1)),0),zN(bs,_h)}return Re.tracker.disableTrackSymbol=y_,!0}else{const ea=kte(bs,si),Mc=lp(Yn(wc(si)));if($5e(Mc,si))f1(Mc,si,ea,Wc?0:32);else{const vl=((Br=Re.enclosingDeclaration)==null?void 0:Br.kind)===267&&(!(si.flags&98304)||si.flags&65536)?1:2,y_=G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(ea,void 0,Z2(Re,void 0,Mc,si))],vl));ns(y_,sa&&sa.flags&4&&sa.escapedName==="export="?128:bs===ea?32:0)}return Wc?($n.push(G.createExportAssignment(void 0,fs,G.createIdentifier(ea))),!0):bs!==ea?(zN(bs,ea),!0):!1}}function $5e(si,Br){var bs;const fs=_n(Re.enclosingDeclaration);return Or(si)&48&&!Ft((bs=si.symbol)==null?void 0:bs.declarations,ws)&&!P(Ih(si))&&!vz(si)&&!!(P($t(Ac(si),j_))||P(ia(si,0)))&&!P(ia(si,1))&&!cF(Br,Jn)&&!(si.symbol&&Ft(si.symbol.declarations,Qr=>_n(Qr)!==fs))&&!Ft(Ac(si),Qr=>Tz(Qr.escapedName))&&!Ft(Ac(si),Qr=>Ft(Qr.declarations,Wc=>_n(Wc)!==fs))&&Ce(Ac(si),Qr=>J_(Eu(Qr),re)?Qr.flags&98304?Ux(Qr)===zx(Qr):!0:!1)}function Iyt(si,Br,bs){return function(Qr,Wc,Ea){var sa,ea,Mc,vl,y_;const _h=$m(Qr),Qf=!!(_h&2);if(Wc&&Qr.flags&2887656)return[];if(Qr.flags&4194304||Qr.escapedName==="constructor"||Ea&&Ta(Ea,Qr.escapedName)&&d1(Ta(Ea,Qr.escapedName))===d1(Qr)&&(Qr.flags&16777216)===(Ta(Ea,Qr.escapedName).flags&16777216)&&yb(Yn(Qr),Yu(Ea,Qr.escapedName)))return[];const Dl=_h&-1025|(Wc?256:0),Zf=ed(Qr,Re),fg=(sa=Qr.declarations)==null?void 0:sa.find(om(Lo,Qw,_s,O_,ur,Nr));if(Qr.flags&98304&&bs){const _f=[];if(Qr.flags&65536){const Vp=Qr.declarations&&O(Qr.declarations,hy=>{if(hy.kind===178)return hy;if(la(hy)&&vI(hy))return O(hy.arguments[2].properties,nk=>{const rk=No(nk);if(rk&&ot(rk)&&Fr(rk)==="set")return nk})});j.assert(!!Vp);const l4=Qc(Vp)?Dm(Vp).parameters[0]:void 0;_f.push(_(Re,G.createSetAccessorDeclaration(G.createModifiersFromModifierFlags(Dl),Zf,[G.createParameterDeclaration(void 0,void 0,l4?Ef(l4,Po(l4),Re):"value",void 0,Qf?void 0:Z2(Re,void 0,zx(Qr),Qr))],void 0),((ea=Qr.declarations)==null?void 0:ea.find(D1))||fg))}if(Qr.flags&32768){const Vp=_h&2;_f.push(_(Re,G.createGetAccessorDeclaration(G.createModifiersFromModifierFlags(Dl),Zf,[],Vp?void 0:Z2(Re,void 0,Yn(Qr),Qr),void 0),((Mc=Qr.declarations)==null?void 0:Mc.find(oS))||fg))}return _f}else if(Qr.flags&98311)return _(Re,si(G.createModifiersFromModifierFlags((d1(Qr)?8:0)|Dl),Zf,Qr.flags&16777216?G.createToken(58):void 0,Qf?void 0:Z2(Re,void 0,zx(Qr),Qr),void 0),((vl=Qr.declarations)==null?void 0:vl.find(om(Lo,_s)))||fg);if(Qr.flags&8208){const _f=Yn(Qr),Vp=ia(_f,0);if(Dl&2)return _(Re,si(G.createModifiersFromModifierFlags((d1(Qr)?8:0)|Dl),Zf,Qr.flags&16777216?G.createToken(58):void 0,void 0,void 0),((y_=Qr.declarations)==null?void 0:y_.find(Qc))||Vp[0]&&Vp[0].declaration||Qr.declarations&&Qr.declarations[0]);const l4=[];for(const hy of Vp){const nk=Qn(hy,Br,Re,{name:Zf,questionToken:Qr.flags&16777216?G.createToken(58):void 0,modifiers:Dl?G.createModifiersFromModifierFlags(Dl):void 0}),rk=hy.declaration&&vV(hy.declaration.parent)?hy.declaration.parent:hy.declaration;l4.push(_(Re,nk,rk))}return l4}return j.fail(`Unhandled class member kind! ${Qr.__debugFlags||Qr.flags}`)}}function Goi(si,Br){return _r(si,!1,Br)}function J5e(si,Br,bs,fs){const Qr=ia(Br,si);if(si===1){if(!bs&&Ce(Qr,sa=>P(sa.parameters)===0))return[];if(bs){const sa=ia(bs,1);if(!P(sa)&&Ce(Qr,ea=>P(ea.parameters)===0))return[];if(sa.length===Qr.length){let ea=!1;for(let Mc=0;Mc<sa.length;Mc++)if(!Eee(Qr[Mc],sa[Mc],!1,!1,!0,Bz)){ea=!0;break}if(!ea)return[]}}let Ea=0;for(const sa of Qr)sa.declaration&&(Ea|=bP(sa.declaration,6));if(Ea)return[_(Re,G.createConstructorDeclaration(G.createModifiersFromModifierFlags(Ea),[],void 0),Qr[0].declaration)]}const Wc=[];for(const Ea of Qr){const sa=Qn(Ea,fs,Re);Wc.push(_(Re,sa,Ea.declaration))}return Wc}function Nyt(si,Br){const bs=[];for(const fs of Ih(si)){if(Br){const Qr=pb(Br,fs.keyType);if(Qr&&yb(fs.type,Qr.type))continue}bs.push(qn(fs,Re,void 0))}return bs}function Koi(si,Br,bs){const fs=G5e(si,111551);if(fs)return fs;const Qr=kte(`${bs}_base`),Wc=G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(Qr,void 0,ge(Br,Re))],2));return ns(Wc,0),G.createExpressionWithTypeArguments(G.createIdentifier(Qr),void 0)}function G5e(si,Br){let bs,fs;if(si.target&&WA(si.target.symbol,Jn,Br)?(bs=qt(Pl(si),Qr=>ge(Qr,Re)),fs=ul(si.target.symbol,Re,788968)):si.symbol&&WA(si.symbol,Jn,Br)&&(fs=ul(si.symbol,Re,788968)),fs)return G.createExpressionWithTypeArguments(fs,bs)}function Xoi(si){const Br=G5e(si,788968);if(Br)return Br;if(si.symbol)return G.createExpressionWithTypeArguments(ul(si.symbol,Re,788968),void 0)}function kte(si,Br){var bs,fs;const Qr=Br?Ba(Br):void 0;if(Qr&&Re.remappedSymbolNames.has(Qr))return Re.remappedSymbolNames.get(Qr);Br&&(si=Lyt(Br,si));let Wc=0;const Ea=si;for(;(bs=Re.usedSymbolNames)!=null&&bs.has(si);)Wc++,si=`${Ea}_${Wc}`;return(fs=Re.usedSymbolNames)==null||fs.add(si),Qr&&Re.remappedSymbolNames.set(Qr,si),si}function Lyt(si,Br){if(Br==="default"||Br==="__class"||Br==="__function"){const bs=ne(Re);Re.flags|=16777216;const fs=Vx(si,Re);bs(),Br=fs.length>0&&_V(fs.charCodeAt(0))?zm(fs):fs}return Br==="default"?Br="_default":Br==="export="&&(Br="_exports"),Br=J_(Br,re)&&!gP(Br)?Br:"_"+Br.replace(/[^a-z0-9]/gi,"_"),Br}function av(si,Br){const bs=Ba(si);return Re.remappedSymbolNames.has(bs)?Re.remappedSymbolNames.get(bs):(Br=Lyt(si,Br),Re.remappedSymbolNames.set(bs,Br),Br)}}}function ty(o,_,y=16384,S){return S?D(S).getText():z6(D);function D(L){const B=IO(y)|70221824|512,K=We.typePredicateToTypePredicateNode(o,_,B),ne=_D(),ce=_&&_n(_);return ne.writeNode(4,K,ce,L),L}}function GY(o){const _=[];let y=0;for(let S=0;S<o.length;S++){const D=o[S];if(y|=D.flags,!(D.flags&98304)){if(D.flags&1568){const L=D.flags&512?fi:Jpe(D);if(L.flags&1048576){const B=L.types.length;if(S+B<=o.length&&Xh(o[S+B-1])===Xh(L.types[B-1])){_.push(L),S+=B-1;continue}}}_.push(D)}}return y&65536&&_.push(Qt),y&32768&&_.push(tt),_||o}function R5(o){return o===2?"private":o===4?"protected":"public"}function KY(o){if(o.symbol&&o.symbol.flags&2048&&o.symbol.declarations){const _=TV(o.symbol.declarations[0].parent);if(Mg(_))return xr(_)}}function yN(o){return o&&o.parent&&o.parent.kind===268&&BT(o.parent.parent)}function vN(o){return o.kind===307||Vh(o)}function bz(o,_){const y=Ys(o).nameType;if(y){if(y.flags&384){const S=""+y.value;return!J_(S,Ja(Q))&&!$v(S)?`"${Vy(S,34)}"`:$v(S)&&so(S,"-")?`[${S}]`:S}if(y.flags&8192)return`[${Vx(y.symbol,_)}]`}}function Vx(o,_){var y;if((y=_==null?void 0:_.remappedSymbolReferences)!=null&&y.has(Ba(o))&&(o=_.remappedSymbolReferences.get(Ba(o))),_&&o.escapedName==="default"&&!(_.flags&16384)&&(!(_.flags&16777216)||!o.declarations||_.enclosingDeclaration&&Qi(o.declarations[0],vN)!==Qi(_.enclosingDeclaration,vN)))return"default";if(o.declarations&&o.declarations.length){let D=J(o.declarations,B=>No(B)?B:void 0);const L=D&&No(D);if(D&&L){if(la(D)&&vI(D))return Eu(o);if(Ka(L)&&!(Iu(o)&4096)){const B=Ys(o).nameType;if(B&&B.flags&384){const K=bz(o,_);if(K!==void 0)return K}}return al(L)}if(D||(D=o.declarations[0]),D.parent&&D.parent.kind===260)return al(D.parent.name);switch(D.kind){case 231:case 218:case 219:return _&&!_.encounteredError&&!(_.flags&131072)&&(_.encounteredError=!0),D.kind===231?"(Anonymous class)":"(Anonymous function)"}}const S=bz(o,_);return S!==void 0?S:Eu(o)}function g0(o){if(o){const y=yr(o);return y.isVisible===void 0&&(y.isVisible=!!_()),y.isVisible}return!1;function _(){switch(o.kind){case 338:case 346:case 340:return!!(o.parent&&o.parent.parent&&o.parent.parent.parent&&Os(o.parent.parent.parent));case 208:return g0(o.parent.parent);case 260:if(ta(o.name)&&!o.name.elements.length)return!1;case 267:case 263:case 264:case 265:case 262:case 266:case 271:if(BT(o))return!0;const y=bN(o);return!(Vge(o)&32)&&!(o.kind!==271&&y.kind!==307&&y.flags&33554432)?cS(y):g0(y);case 172:case 171:case 177:case 178:case 174:case 173:if(xp(o,6))return!1;case 176:case 180:case 179:case 181:case 169:case 268:case 184:case 185:case 187:case 183:case 188:case 189:case 192:case 193:case 196:case 202:return g0(o.parent);case 273:case 274:case 276:return!1;case 168:case 307:case 270:return!0;case 277:return!1;default:return!1}}}function NO(o,_){let y;o.kind!==11&&o.parent&&o.parent.kind===277?y=_i(o,o,2998271,void 0,!1):o.parent.kind===281&&(y=Xy(o.parent,2998271));let S,D;return y&&(D=new Set,D.add(Ba(y)),L(y.declarations)),S;function L(B){O(B,K=>{const ne=Th(K)||K;if(_?yr(K).isVisible=!0:(S=S||[],mu(S,ne)),gI(K)){const ce=K.moduleReference,ge=K_(ce),je=_i(K,ge.escapedText,901119,void 0,!1);je&&D&&of(D,Ba(je))&&L(je.declarations)}})}}function Qy(o,_){const y=Hx(o,_);if(y>=0){const{length:S}=nn;for(let D=y;D<S;D++)Vn[D]=!1;return!1}return nn.push(o),Vn.push(!0),mn.push(_),!0}function Hx(o,_){for(let y=nn.length-1;y>=Pr;y--){if(Cz(nn[y],mn[y]))return-1;if(nn[y]===o&&mn[y]===_)return y}return-1}function Cz(o,_){switch(_){case 0:return!!Ys(o).type;case 2:return!!Ys(o).declaredType;case 1:return!!o.resolvedBaseConstructorType;case 3:return!!o.resolvedReturnType;case 4:return!!o.immediateBaseConstraint;case 5:return!!o.resolvedTypeArguments;case 6:return!!o.baseTypesResolved;case 7:return!!Ys(o).writeType;case 8:return yr(o).parameterInitializerContainsUndefined!==void 0}return j.assertNever(_)}function Zy(){return nn.pop(),mn.pop(),Vn.pop()}function bN(o){return Qi(A1(o),_=>{switch(_.kind){case 260:case 261:case 276:case 275:case 274:case 273:return!1;default:return!0}}).parent}function Sz(o){const _=gl(Qp(o));return _.typeParameters?wC(_,qt(_.typeParameters,y=>Je)):_}function Yu(o,_){const y=Ta(o,_);return y?Yn(y):void 0}function HA(o,_){var y;let S;return Yu(o,_)||(S=(y=UA(o,_))==null?void 0:y.type)&&Dd(S,!0,!0)}function Nc(o){return o&&(o.flags&1)!==0}function fe(o){return o===vt||!!(o.flags&1&&o.aliasSymbol)}function Ke(o,_){if(_!==0)return xm(o,!1,_);const y=xr(o);return y&&Ys(y).type||xm(o,!1,_)}function mt(o,_,y){if(o=ad(o,ne=>!(ne.flags&98304)),o.flags&131072)return Jo;if(o.flags&1048576)return eu(o,ne=>mt(ne,_,y));let S=is(qt(_,xC));const D=[],L=[];for(const ne of Ac(o)){const ce=$A(ne,8576);!Da(ce,S)&&!($m(ne)&6)&&ume(ne)?D.push(ne):L.push(ce)}if(EN(o)||IN(S)){if(L.length&&(S=is([S,...L])),S.flags&131072)return o;const ne=jKt();return ne?VO(ne,[o,S]):vt}const B=_a();for(const ne of D)B.set(ne.escapedName,h8e(ne,!1));const K=Xs(y,B,w,w,Ih(o));return K.objectFlags|=4194304,K}function Xt(o){return!!(o.flags&465829888)&&Au(Jf(o)||te,32768)}function Si(o){const _=dg(o,Xt)?eu(o,y=>y.flags&465829888?iv(y):y):o;return Qg(_,524288)}function an(o,_){const y=jr(o);return y?LC(y,_):_}function jr(o){const _=Hs(o);if(_&&wV(_)&&_.flowNode){const y=Cs(o);if(y){const S=Ht(l2.createStringLiteral(y),o),D=N_(_)?_:l2.createParenthesizedExpression(_),L=Ht(l2.createElementAccessExpression(D,S),o);return _c(S,L),_c(L,o),D!==_&&_c(D,L),L.flowNode=_.flowNode,L}}}function Hs(o){const _=o.parent.parent;switch(_.kind){case 208:case 303:return jr(_);case 209:return jr(o.parent);case 260:return _.initializer;case 226:return _.right}}function Cs(o){const _=o.parent;return o.kind===208&&_.kind===206?Ss(o.propertyName||o.name):o.kind===303||o.kind===304?Ss(o.name):""+_.elements.indexOf(o)}function Ss(o){const _=xC(o);return _.flags&384?""+_.value:void 0}function no(o){const _=o.dotDotDotToken?32:0,y=Ke(o.parent.parent,_);return y&&$c(o,y,!1)}function $c(o,_,y){if(Nc(_))return _;const S=o.parent;we&&o.flags&33554432&&Qk(o)?_=EC(_):we&&S.parent.initializer&&!l1(Hmt(S.parent.initializer),65536)&&(_=Qg(_,524288));const D=32|(y||i4(o)?16:0);let L;if(S.kind===206)if(o.dotDotDotToken){if(_=a1(_),_.flags&2||!$ee(_))return ft(o,k.Rest_types_may_only_be_created_from_object_types),vt;const B=[];for(const K of S.elements)K.dotDotDotToken||B.push(K.propertyName||K.name);L=mt(_,B,o.symbol)}else{const B=o.propertyName||o.name,K=xC(B),ne=ap(_,K,D,B);L=an(o,ne)}else{const B=iw(65|(o.dotDotDotToken?0:128),_,tt,S),K=S.elements.indexOf(o);if(o.dotDotDotToken){const ne=eu(_,ce=>ce.flags&58982400?iv(ce):ce);L=up(ne,Qa)?eu(ne,ce=>$5(ce,K)):hh(B)}else if(YS(_)){const ne=v0(K),ce=Gx(_,ne,D,o.name)||vt;L=an(o,ce)}else L=B}return o.initializer?dd(YL(o))?we&&!l1(eF(o,0),16777216)?Si(L):L:c5e(o,is([Si(L),eF(o,0)],2)):L}function Jc(o){const _=Bk(o);if(_)return Bs(_)}function dc(o){const _=Dc(o,!0);return _.kind===106||_.kind===80&&Lh(_)===et}function og(o){const _=Dc(o,!0);return _.kind===209&&_.elements.length===0}function Dd(o,_=!1,y=!0){return we&&y?Zx(o,_):o}function xm(o,_,y){if(_s(o)&&o.parent.parent.kind===249){const B=oy(E7e(uo(o.parent.parent.expression,y)));return B.flags&4456448?Spt(B):st}if(_s(o)&&o.parent.parent.kind===250){const B=o.parent.parent;return gte(B)||Je}if(ta(o.parent))return no(o);const S=Lo(o)&&!O1(o)||O_(o)||XEe(o),D=_&&g3(o),L=km(o);if(Cae(o))return L?Nc(L)||L===te?L:vt:se?te:Je;if(L)return Dd(L,S,D);if((De||nr(o))&&_s(o)&&!ta(o.name)&&!(Vge(o)&32)&&!(o.flags&33554432)){if(!(oE(o)&6)&&(!o.initializer||dc(o.initializer)))return Rt;if(o.initializer&&og(o.initializer))return Pc}if($s(o)){if(!o.symbol)return;const B=o.parent;if(B.kind===178&&RO(B)){const ce=ql(xr(o.parent),177);if(ce){const ge=Dm(ce),je=z5e(B);return je&&o===je?(j.assert(!je.type),Yn(ge.thisParameter)):Oc(ge)}}const K=uKt(B,o);if(K)return K;const ne=o.symbol.escapedName==="this"?h7e(B):ggt(o);if(ne)return Dd(ne,!1,D)}if(hI(o)&&o.initializer){if(nr(o)&&!$s(o)){const K=F5(o,xr(o),A8(o));if(K)return K}const B=c5e(o,eF(o,y));return Dd(B,S,D)}if(Lo(o)&&(De||nr(o)))if(bd(o)){const B=$t(o.parent.members,gu),K=B.length?gc(o.symbol,B):jh(o)&128?Sme(o.symbol):void 0;return K&&Dd(K,!0,D)}else{const B=nH(o.parent),K=B?gd(o.symbol,B):jh(o)&128?Sme(o.symbol):void 0;return K&&Dd(K,!0,D)}if(U1(o))return Pt;if(ta(o.name))return bi(o.name,!1,!0)}function bC(o){if(o.valueDeclaration&&ur(o.valueDeclaration)){const _=Ys(o);return _.isConstructorDeclaredProperty===void 0&&(_.isConstructorDeclaredProperty=!1,_.isConstructorDeclaredProperty=!!jx(o)&&Ce(o.declarations,y=>ur(y)&&Jme(y)&&(y.left.kind!==212||cm(y.left.argumentExpression))&&!Zp(void 0,y,o,y))),_.isConstructorDeclaredProperty}return!1}function hb(o){const _=o.valueDeclaration;return _&&Lo(_)&&!dd(_)&&!_.initializer&&(De||nr(_))}function jx(o){if(o.declarations)for(const _ of o.declarations){const y=Hh(_,!1,!1);if(y&&(y.kind===176||dy(y)))return y}}function XY(o){const _=_n(o.declarations[0]),y=Ws(o.escapedName),S=o.declarations.every(L=>nr(L)&&Sl(L)&&Wv(L.expression)),D=S?G.createPropertyAccessExpression(G.createPropertyAccessExpression(G.createIdentifier("module"),G.createIdentifier("exports")),y):G.createPropertyAccessExpression(G.createIdentifier("exports"),y);return S&&_c(D.expression.expression,D.expression),_c(D.expression,D),_c(D,_),D.flowNode=_.endFlowNode,LC(D,Rt,tt)}function gc(o,_){const y=so(o.escapedName,"__#")?G.createPrivateIdentifier(o.escapedName.split("@")[1]):Ws(o.escapedName);for(const S of _){const D=G.createPropertyAccessExpression(G.createThis(),y);_c(D.expression,D),_c(D,S),D.flowNode=S.returnFlowNode;const L=CC(D,o);if(De&&(L===Rt||L===Pc)&&ft(o.valueDeclaration,k.Member_0_implicitly_has_an_1_type,eo(o),Hn(L)),!up(L,Kee))return fU(L)}}function gd(o,_){const y=so(o.escapedName,"__#")?G.createPrivateIdentifier(o.escapedName.split("@")[1]):Ws(o.escapedName),S=G.createPropertyAccessExpression(G.createThis(),y);_c(S.expression,S),_c(S,_),S.flowNode=_.returnFlowNode;const D=CC(S,o);return De&&(D===Rt||D===Pc)&&ft(o.valueDeclaration,k.Member_0_implicitly_has_an_1_type,eo(o),Hn(D)),up(D,Kee)?void 0:fU(D)}function CC(o,_){const y=(_==null?void 0:_.valueDeclaration)&&(!hb(_)||jh(_.valueDeclaration)&128)&&Sme(_)||tt;return LC(o,Rt,y)}function HD(o,_){const y=dP(o.valueDeclaration);if(y){const K=nr(y)?Fk(y):void 0;return K&&K.typeExpression?Bs(K.typeExpression):o.valueDeclaration&&F5(o.valueDeclaration,o,y)||J2(ju(y))}let S,D=!1,L=!1;if(bC(o)&&(S=gd(o,jx(o))),!S){let K;if(o.declarations){let ne;for(const ce of o.declarations){const ge=ur(ce)||la(ce)?ce:Sl(ce)?ur(ce.parent)?ce.parent:ce:void 0;if(!ge)continue;const je=Sl(ge)?yV(ge):Bu(ge);(je===4||ur(ge)&&Jme(ge,je))&&(A(ge)?D=!0:L=!0),la(ge)||(ne=Zp(ne,ge,o,ce)),ne||(K||(K=[])).push(ur(ge)||la(ge)?W2(o,_,ge,je):Ai)}S=ne}if(!S){if(!P(K))return vt;let ne=D&&o.declarations?$(K,o.declarations):void 0;if(L){const ge=Sme(o);ge&&((ne||(ne=[])).push(ge),D=!0)}const ce=Ft(ne,ge=>!!(ge.flags&-98305))?ne:K;S=is(ce)}}const B=lp(Dd(S,!1,L&&!D));return o.valueDeclaration&&nr(o.valueDeclaration)&&ad(B,K=>!!(K.flags&-98305))===Ai?(G2(o.valueDeclaration,Je),Je):B}function F5(o,_,y){var S,D;if(!nr(o)||!y||!Aa(y)||y.properties.length)return;const L=_a();for(;ur(o)||Nr(o);){const ne=p_(o);(S=ne==null?void 0:ne.exports)!=null&&S.size&&Jg(L,ne.exports),o=ur(o)?o.parent:o.parent.parent}const B=p_(o);(D=B==null?void 0:B.exports)!=null&&D.size&&Jg(L,B.exports);const K=Xs(_,L,w,w,w);return K.objectFlags|=4096,K}function Zp(o,_,y,S){var D;const L=dd(_.parent);if(L){const B=lp(Bs(L));if(o)!fe(o)&&!fe(B)&&!yb(o,B)&&b1t(void 0,o,S,B);else return B}if((D=y.parent)!=null&&D.valueDeclaration){const B=RD(y.parent);if(B.valueDeclaration){const K=dd(B.valueDeclaration);if(K){const ne=Ta(Bs(K),y.escapedName);if(ne)return Ux(ne)}}}return o}function W2(o,_,y,S){if(la(y)){if(_)return Yn(_);const B=ju(y.arguments[2]),K=Yu(B,"value");if(K)return K;const ne=Yu(B,"get");if(ne){const ge=VN(ne);if(ge)return Oc(ge)}const ce=Yu(B,"set");if(ce){const ge=VN(ce);if(ge)return Q7e(ge)}return Je}if(ir(y.left,y.right))return Je;const D=S===1&&(Nr(y.left)||wl(y.left))&&(Wv(y.left.expression)||ot(y.left.expression)&&yI(y.left.expression)),L=_?Yn(_):D?Xh(ju(y.right)):J2(ju(y.right));if(L.flags&524288&&S===2&&o.escapedName==="export="){const B=o1(L),K=_a();DG(B.members,K);const ne=K.size;_&&!_.exports&&(_.exports=_a()),(_||o).exports.forEach((ge,je)=>{var Be;const kt=K.get(je);if(kt&&kt!==ge&&!(ge.flags&2097152))if(ge.flags&111551&&kt.flags&111551){if(ge.valueDeclaration&&kt.valueDeclaration&&_n(ge.valueDeclaration)!==_n(kt.valueDeclaration)){const Kt=Ws(ge.escapedName),Oi=((Be=Jr(kt.valueDeclaration,Bf))==null?void 0:Be.name)||kt.valueDeclaration;da(ft(ge.valueDeclaration,k.Duplicate_identifier_0,Kt),Kn(Oi,k._0_was_also_declared_here,Kt)),da(ft(Oi,k.Duplicate_identifier_0,Kt),Kn(ge.valueDeclaration,k._0_was_also_declared_here,Kt))}const Vt=$a(ge.flags|kt.flags,je);Vt.links.type=is([Yn(ge),Yn(kt)]),Vt.valueDeclaration=kt.valueDeclaration,Vt.declarations=Js(kt.declarations,ge.declarations),K.set(je,Vt)}else K.set(je,Y0(ge,kt));else K.set(je,ge)});const ce=Xs(ne!==K.size?void 0:B.symbol,K,B.callSignatures,B.constructSignatures,B.indexInfos);if(ne===K.size&&(L.aliasSymbol&&(ce.aliasSymbol=L.aliasSymbol,ce.aliasTypeArguments=L.aliasTypeArguments),Or(L)&4)){ce.aliasSymbol=L.symbol;const ge=Pl(L);ce.aliasTypeArguments=P(ge)?ge:void 0}return ce.objectFlags|=lee([L])|Or(L)&20608,ce.symbol&&ce.symbol.flags&32&&L===W_(ce.symbol)&&(ce.objectFlags|=16777216),ce}return xme(L)?(G2(y,Yc),Yc):L}function ir(o,_){return Nr(o)&&o.expression.kind===110&&QP(_,y=>Tf(o,y))}function A(o){const _=Hh(o,!1,!1);return _.kind===176||_.kind===262||_.kind===218&&!vV(_.parent)}function $(o,_){return j.assert(o.length===_.length),o.filter((y,S)=>{const D=_[S],L=ur(D)?D:ur(D.parent)?D.parent:void 0;return L&&A(L)})}function ie(o,_,y){if(o.initializer){const S=ta(o.name)?bi(o.name,!0,!1):te;return Dd($0t(o,eF(o,0,S)))}return ta(o.name)?bi(o.name,_,y):(y&&!Yy(o)&&G2(o,Je),_?ji:Je)}function Ee(o,_,y){const S=_a();let D,L=131200;O(o.elements,K=>{const ne=K.propertyName||K.name;if(K.dotDotDotToken){D=nv(st,Je,!1);return}const ce=xC(ne);if(!Jm(ce)){L|=512;return}const ge=Gm(ce),je=4|(K.initializer?16777216:0),Be=$a(je,ge);Be.links.type=ie(K,_,y),Be.links.bindingElement=K,S.set(Be.escapedName,Be)});const B=Xs(void 0,S,w,w,D?[D]:w);return B.objectFlags|=L,_&&(B.pattern=o,B.objectFlags|=131072),B}function ht(o,_,y){const S=o.elements,D=rl(S),L=D&&D.kind===208&&D.dotDotDotToken?D:void 0;if(S.length===0||S.length===1&&L)return re>=2?opt(Je):Yc;const B=qt(S,ge=>Bd(ge)?Je:ie(ge,_,y)),K=Mt(S,ge=>!(ge===L||Bd(ge)||i4(ge)),S.length-1)+1,ne=qt(S,(ge,je)=>ge===L?4:je>=K?2:1);let ce=rv(B,ne);return _&&(ce=B_t(ce),ce.pattern=o,ce.objectFlags|=131072),ce}function bi(o,_=!1,y=!1){_&&nb.push(o);const S=o.kind===206?Ee(o,_,y):ht(o,_,y);return _&&nb.pop(),S}function lr(o,_){return ag(xm(o,!0,0),o,_)}function xo(o){const _=yr(o);if(!_.resolvedType){const y=$a(4096,"__importAttributes"),S=_a();O(o.elements,L=>{const B=$a(4,sX(L));B.parent=y,B.links.type=Jri(L),B.links.target=B,S.set(B.escapedName,B)});const D=Xs(y,S,w,w,w);D.objectFlags|=262272,_.resolvedType=D}return _.resolvedType}function el(o){const _=p_(o),y=EKt(!1);return y&&_&&_===y}function ag(o,_,y){return o?(o.flags&4096&&el(_.parent)&&(o=_8e(_)),y&&Pme(_,o),o.flags&8192&&(ec(_)||!_.type)&&o.symbol!==xr(_)&&(o=wi),lp(o)):(o=$s(_)&&_.dotDotDotToken?Yc:Je,y&&(Yy(_)||G2(_,o)),o)}function Yy(o){const _=A1(o),y=_.kind===169?_.parent:_;return fte(y)}function km(o){const _=dd(o);if(_)return Bs(_)}function LO(o){let _=o.valueDeclaration;return _?(ec(_)&&(_=YL(_)),$s(_)?pme(_.parent):!1):!1}function dRe(o){const _=Ys(o);if(!_.type){const y=Vpe(o);return!_.type&&!LO(o)&&(_.type=y),y}return _.type}function Vpe(o){if(o.flags&4194304)return Sz(o);if(o===wt)return Je;if(o.flags&134217728&&o.valueDeclaration){const S=xr(_n(o.valueDeclaration)),D=$a(S.flags,"exports");D.declarations=S.declarations?S.declarations.slice():[],D.parent=o,D.links.target=S,S.valueDeclaration&&(D.valueDeclaration=S.valueDeclaration),S.members&&(D.members=new Map(S.members)),S.exports&&(D.exports=new Map(S.exports));const L=_a();return L.set("exports",D),Xs(o,L,w,w,w)}j.assertIsDefined(o.valueDeclaration);const _=o.valueDeclaration;if(Os(_)&&Eg(_))return _.statements.length?lp(J2(uo(_.statements[0].expression))):Jo;if(Qw(_))return wz(o);if(!Qy(o,0))return o.flags&512&&!(o.flags&67108864)?W5(o):PO(o);let y;if(_.kind===277)y=ag(km(_)||ju(_.expression),_);else if(ur(_)||nr(_)&&(la(_)||(Nr(_)||iK(_))&&ur(_.parent)))y=HD(o);else if(Nr(_)||wl(_)||ot(_)||Bc(_)||A_(_)||hd(_)||Wu(_)||Nu(_)&&!Ig(_)||W1(_)||Os(_)){if(o.flags&9136)return W5(o);y=ur(_.parent)?HD(o):km(_)||Je}else if(_d(_))y=km(_)||J0t(_);else if(U1(_))y=km(_)||Igt(_);else if(lh(_))y=km(_)||iF(_.name,0);else if(Ig(_))y=km(_)||G0t(_,0);else if($s(_)||Lo(_)||O_(_)||_s(_)||ec(_)||g8(_))y=lr(_,!0);else if(sD(_))y=W5(o);else if(SS(_))y=Upe(o);else return j.fail("Unhandled declaration kind! "+j.formatSyntaxKind(_.kind)+" for "+j.formatSymbol(o));return Zy()?y:o.flags&512&&!(o.flags&67108864)?W5(o):PO(o)}function CN(o){if(o)switch(o.kind){case 177:return wp(o);case 178:return YTe(o);case 172:return j.assert(O1(o)),dd(o)}}function B5(o){const _=CN(o);return _&&Bs(_)}function fRe(o){const _=z5e(o);return _&&_.symbol}function hRe(o){return j2(Dm(o))}function wz(o){const _=Ys(o);if(!_.type){if(!Qy(o,0))return vt;const y=ql(o,177),S=ql(o,178),D=Jr(ql(o,172),I_);let L=y&&nr(y)&&Jc(y)||B5(y)||B5(S)||B5(D)||y&&y.body&&dge(y)||D&&D.initializer&&lr(D,!0);L||(S&&!fte(S)?Z1(De,S,k.Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation,eo(o)):y&&!fte(y)?Z1(De,y,k.Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation,eo(o)):D&&!fte(D)&&Z1(De,D,k.Member_0_implicitly_has_an_1_type,eo(o),"any"),L=Je),Zy()||(CN(y)?ft(y,k._0_is_referenced_directly_or_indirectly_in_its_own_type_annotation,eo(o)):CN(S)||CN(D)?ft(S,k._0_is_referenced_directly_or_indirectly_in_its_own_type_annotation,eo(o)):y&&De&&ft(y,k._0_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions,eo(o)),L=Je),_.type??(_.type=L)}return _.type}function Hpe(o){const _=Ys(o);if(!_.writeType){if(!Qy(o,7))return vt;const y=ql(o,178)??Jr(ql(o,172),I_);let S=B5(y);Zy()||(CN(y)&&ft(y,k._0_is_referenced_directly_or_indirectly_in_its_own_type_annotation,eo(o)),S=Je),_.writeType??(_.writeType=S||wz(o))}return _.writeType}function jpe(o){const _=ys(W_(o));return _.flags&8650752?_:_.flags&2097152?Fe(_.types,y=>!!(y.flags&8650752)):void 0}function W5(o){let _=Ys(o);const y=_;if(!_.type){const S=o.valueDeclaration&&lge(o.valueDeclaration,!1);if(S){const D=U7e(o,S);D&&(o=D,_=D.links)}y.type=_.type=zpe(o)}return _.type}function zpe(o){const _=o.valueDeclaration;if(o.flags&1536&&QW(o))return Je;if(_&&(_.kind===226||Sl(_)&&_.parent.kind===226))return HD(o);if(o.flags&512&&_&&Os(_)&&_.commonJsModuleIndicator){const S=sp(o);if(S!==o){if(!Qy(o,0))return vt;const D=wc(o.exports.get("export=")),L=HD(D,D===S?void 0:S);return Zy()?L:PO(o)}}const y=V(16,o);if(o.flags&32){const S=jpe(o);return S?Wa([y,S]):y}else return we&&o.flags&16777216?Zx(y,!0):y}function Upe(o){const _=Ys(o);return _.type||(_.type=t_t(o))}function jA(o){const _=Ys(o);if(!_.type){if(!Qy(o,0))return vt;const y=$f(o),S=o.declarations&&Ox(Dh(o),!0),D=J(S==null?void 0:S.declarations,L=>Il(L)?km(L):void 0);if(_.type??(_.type=S!=null&&S.declarations&&Nge(S.declarations)&&o.declarations.length?XY(S):Nge(o.declarations)?Rt:D||(Eh(y)&111551?Yn(y):vt)),!Zy())return PO(S??o),_.type??(_.type=vt)}return _.type}function qpe(o){const _=Ys(o);return _.type||(_.type=io(Yn(_.target),_.mapper))}function _Re(o){const _=Ys(o);return _.writeType||(_.writeType=io(zx(_.target),_.mapper))}function PO(o){const _=o.valueDeclaration;if(_){if(dd(_))return ft(o.valueDeclaration,k._0_is_referenced_directly_or_indirectly_in_its_own_type_annotation,eo(o)),vt;De&&(_.kind!==169||_.initializer)&&ft(o.valueDeclaration,k._0_implicitly_has_type_any_because_it_does_not_have_a_type_annotation_and_is_referenced_directly_or_indirectly_in_its_own_initializer,eo(o))}else if(o.flags&2097152){const y=Dh(o);y&&ft(y,k.Circular_definition_of_import_alias_0,eo(o))}return Je}function xz(o){const _=Ys(o);return _.type||(j.assertIsDefined(_.deferralParent),j.assertIsDefined(_.deferralConstituents),_.type=_.deferralParent.flags&1048576?is(_.deferralConstituents):Wa(_.deferralConstituents)),_.type}function pRe(o){const _=Ys(o);return!_.writeType&&_.deferralWriteConstituents&&(j.assertIsDefined(_.deferralParent),j.assertIsDefined(_.deferralConstituents),_.writeType=_.deferralParent.flags&1048576?is(_.deferralWriteConstituents):Wa(_.deferralWriteConstituents)),_.writeType}function zx(o){const _=Iu(o);return o.flags&4?_&2?_&65536?pRe(o)||xz(o):o.links.writeType||o.links.type:IC(Yn(o),!!(o.flags&16777216)):o.flags&98304?_&1?_Re(o):Hpe(o):Yn(o)}function Yn(o){const _=Iu(o);return _&65536?xz(o):_&1?qpe(o):_&262144?qGt(o):_&8192?lZt(o):o.flags&7?dRe(o):o.flags&9136?W5(o):o.flags&8?Upe(o):o.flags&98304?wz(o):o.flags&2097152?jA(o):vt}function Ux(o){return IC(Yn(o),!!(o.flags&16777216))}function $pe(o,_){if(o===void 0||!(Or(o)&4))return!1;for(const y of _)if(o.target===y)return!0;return!1}function iy(o,_){return o!==void 0&&_!==void 0&&(Or(o)&4)!==0&&o.target===_}function SN(o){return Or(o)&4?o.target:o}function AO(o,_){return y(o);function y(S){if(Or(S)&7){const D=SN(S);return D===_||Ft(Ll(D),y)}else if(S.flags&2097152)return Ft(S.types,y);return!1}}function QY(o,_){for(const y of _)o=n_(o,jD(xr(y)));return o}function OO(o,_){for(;;){if(o=o.parent,o&&ur(o)){const S=Bu(o);if(S===6||S===3){const D=xr(o.left);D&&D.parent&&!Qi(D.parent.valueDeclaration,L=>o===L)&&(o=D.parent.valueDeclaration)}}if(!o)return;const y=o.kind;switch(y){case 263:case 231:case 264:case 179:case 180:case 173:case 184:case 185:case 317:case 262:case 174:case 218:case 219:case 265:case 345:case 346:case 340:case 338:case 200:case 194:{const D=OO(o,_);if((y===218||y===219||Ig(o))&&Em(o)){const K=Ra(ia(Yn(xr(o)),0));if(K&&K.typeParameters)return[...D||w,...K.typeParameters]}if(y===200)return fn(D,jD(xr(o.typeParameter)));if(y===194)return Js(D,u8e(o));const L=QY(D,Jw(o)),B=_&&(y===263||y===231||y===264||dy(o))&&W_(xr(o)).thisType;return B?fn(L,B):L}case 341:const S=kV(o);S&&(o=S.valueDeclaration);break;case 320:{const D=OO(o,_);return o.tags?QY(D,Rr(o.tags,L=>Rg(L)?L.typeParameters:void 0)):D}}}}function ZY(o){var _;const y=o.flags&32||o.flags&16?o.valueDeclaration:(_=o.declarations)==null?void 0:_.find(S=>{if(S.kind===264)return!0;if(S.kind!==260)return!1;const D=S.initializer;return!!D&&(D.kind===218||D.kind===219)});return j.assert(!!y,"Class was missing valueDeclaration -OR- non-class had no interface declarations"),OO(y)}function y0(o){if(!o.declarations)return;let _;for(const y of o.declarations)(y.kind===264||y.kind===263||y.kind===231||dy(y)||SV(y))&&(_=QY(_,Jw(y)));return _}function mRe(o){return Js(ZY(o),y0(o))}function V2(o){const _=ia(o,1);if(_.length===1){const y=_[0];if(!y.typeParameters&&y.parameters.length===1&&Ch(y)){const S=rte(y.parameters[0]);return Nc(S)||Nee(S)===Je}}return!1}function gn(o){if(ia(o,1).length>0)return!0;if(o.flags&8650752){const _=Jf(o);return!!_&&V2(_)}return!1}function Ar(o){const _=Xb(o.symbol);return _&&L1(_)}function Vr(o,_,y){const S=P(_),D=nr(y);return $t(ia(o,1),L=>(D||S>=sy(L.typeParameters))&&S<=P(L.typeParameters))}function Ds(o,_,y){const S=Vr(o,_,y),D=qt(_,Bs);return Wr(S,L=>Ft(L.typeParameters)?Nz(L,D,nr(y)):L)}function ys(o){if(!o.resolvedBaseConstructorType){const _=Xb(o.symbol),y=_&&L1(_),S=Ar(o);if(!S)return o.resolvedBaseConstructorType=tt;if(!Qy(o,1))return vt;const D=uo(S.expression);if(y&&S!==y&&(j.assert(!y.typeArguments),uo(y.expression)),D.flags&2621440&&o1(D),!Zy())return ft(o.symbol.valueDeclaration,k._0_is_referenced_directly_or_indirectly_in_its_own_base_expression,eo(o.symbol)),o.resolvedBaseConstructorType??(o.resolvedBaseConstructorType=vt);if(!(D.flags&1)&&D!==lt&&!gn(D)){const L=ft(S.expression,k.Type_0_is_not_a_constructor_function_type,Hn(D));if(D.flags&262144){const B=z5(D);let K=te;if(B){const ne=ia(B,1);ne[0]&&(K=Oc(ne[0]))}D.symbol.declarations&&da(L,Kn(D.symbol.declarations[0],k.Did_you_mean_for_0_to_be_constrained_to_type_new_args_Colon_any_1,eo(D.symbol),Hn(K)))}return o.resolvedBaseConstructorType??(o.resolvedBaseConstructorType=vt)}o.resolvedBaseConstructorType??(o.resolvedBaseConstructorType=D)}return o.resolvedBaseConstructorType}function yc(o){let _=w;if(o.symbol.declarations)for(const y of o.symbol.declarations){const S=i3(y);if(S)for(const D of S){const L=Bs(D);fe(L)||(_===w?_=[L]:_.push(L))}}return _}function tl(o,_){ft(o,k.Type_0_recursively_references_itself_as_a_base_type,Hn(_,void 0,2))}function Ll(o){if(!o.baseTypesResolved){if(Qy(o,6)&&(o.objectFlags&8?o.resolvedBaseTypes=[ev(o)]:o.symbol.flags&96?(o.symbol.flags&32&&ny(o),o.symbol.flags&64&&kz(o)):j.fail("type must be class or interface"),!Zy()&&o.symbol.declarations))for(const _ of o.symbol.declarations)(_.kind===263||_.kind===264)&&tl(_,o);o.baseTypesResolved=!0}return o.resolvedBaseTypes}function ev(o){const _=Wr(o.typeParameters,(y,S)=>o.elementFlags[S]&8?ap(y,hi):y);return hh(is(_||w),o.readonly)}function ny(o){o.resolvedBaseTypes=dae;const _=Kh(ys(o));if(!(_.flags&2621441))return o.resolvedBaseTypes=w;const y=Ar(o);let S;const D=_.symbol?gl(_.symbol):void 0;if(_.symbol&&_.symbol.flags&32&&r1(D))S=W_t(y,_.symbol);else if(_.flags&1)S=_;else{const B=Ds(_,y.typeArguments,y);if(!B.length)return ft(y.expression,k.No_base_constructor_has_the_specified_number_of_type_arguments),o.resolvedBaseTypes=w;S=Oc(B[0])}if(fe(S))return o.resolvedBaseTypes=w;const L=a1(S);if(!ry(L)){const B=ERe(void 0,S),K=jo(B,k.Base_constructor_return_type_0_is_not_an_object_type_or_intersection_of_object_types_with_statically_known_members,Hn(L));return lc.add(Bv(_n(y.expression),y.expression,K)),o.resolvedBaseTypes=w}return o===L||AO(L,o)?(ft(o.symbol.valueDeclaration,k.Type_0_recursively_references_itself_as_a_base_type,Hn(o,void 0,2)),o.resolvedBaseTypes=w):(o.resolvedBaseTypes===dae&&(o.members=void 0),o.resolvedBaseTypes=[L])}function r1(o){const _=o.outerTypeParameters;if(_){const y=_.length-1,S=Pl(o);return _[y].symbol!==S[y].symbol}return!0}function ry(o){if(o.flags&262144){const _=Jf(o);if(_)return ry(_)}return!!(o.flags&67633153&&!op(o)||o.flags&2097152&&Ce(o.types,ry))}function kz(o){if(o.resolvedBaseTypes=o.resolvedBaseTypes||w,o.symbol.declarations){for(const _ of o.symbol.declarations)if(_.kind===264&&B8(_))for(const y of B8(_)){const S=a1(Bs(y));fe(S)||(ry(S)?o!==S&&!AO(S,o)?o.resolvedBaseTypes===w?o.resolvedBaseTypes=[S]:o.resolvedBaseTypes.push(S):tl(_,o):ft(y,k.An_interface_can_only_extend_an_object_type_or_intersection_of_object_types_with_statically_known_members))}}}function MO(o){if(!o.declarations)return!0;for(const _ of o.declarations)if(_.kind===264){if(_.flags&256)return!1;const y=B8(_);if(y){for(const S of y)if(pl(S.expression)){const D=nu(S.expression,788968,!0);if(!D||!(D.flags&64)||W_(D).thisType)return!1}}}return!0}function W_(o){let _=Ys(o);const y=_;if(!_.declaredType){const S=o.flags&32?1:2,D=U7e(o,o.valueDeclaration&&gti(o.valueDeclaration));D&&(o=D,_=D.links);const L=y.declaredType=_.declaredType=V(S,o),B=ZY(o),K=y0(o);(B||K||S===1||!MO(o))&&(L.objectFlags|=4,L.typeParameters=Js(B,K),L.outerTypeParameters=B,L.localTypeParameters=K,L.instantiations=new Map,L.instantiations.set(Xg(L.typeParameters),L),L.target=L,L.resolvedTypeArguments=L.typeParameters,L.thisType=Ut(o),L.thisType.isThisType=!0,L.thisType.constraint=L)}return _.declaredType}function Zht(o){var _;const y=Ys(o);if(!y.declaredType){if(!Qy(o,2))return vt;const S=j.checkDefined((_=o.declarations)==null?void 0:_.find(SV),"Type alias symbol with no valid declaration found"),D=Ng(S)?S.typeExpression:S.type;let L=D?Bs(D):vt;if(Zy()){const B=y0(o);B&&(y.typeParameters=B,y.instantiations=new Map,y.instantiations.set(Xg(B),L)),L===gr&&o.escapedName==="BuiltinIteratorReturn"&&(L=GRe())}else L=vt,S.kind===340?ft(S.typeExpression.type,k.Type_alias_0_circularly_references_itself,eo(o)):ft(Bf(S)&&S.name||S,k.Type_alias_0_circularly_references_itself,eo(o));y.declaredType??(y.declaredType=L)}return y.declaredType}function Jpe(o){return o.flags&1056&&o.symbol.flags&8?gl(Qp(o.symbol)):o}function Yht(o){const _=Ys(o);if(!_.declaredType){const y=[];if(o.declarations){for(const D of o.declarations)if(D.kind===266){for(const L of D.members)if(RO(L)){const B=xr(L),K=jN(L).value,ne=XA(K!==void 0?UXt(K,Ba(o),B):e_t(B));Ys(B).declaredType=ne,y.push(Xh(ne))}}}const S=y.length?is(y,1,o,void 0):e_t(o);S.flags&1048576&&(S.flags|=1024,S.symbol=o),_.declaredType=S}return _.declaredType}function e_t(o){const _=Kg(32,o),y=Kg(32,o);return _.regularType=_,_.freshType=y,y.regularType=_,y.freshType=y,_}function t_t(o){const _=Ys(o);if(!_.declaredType){const y=Yht(Qp(o));_.declaredType||(_.declaredType=y)}return _.declaredType}function jD(o){const _=Ys(o);return _.declaredType||(_.declaredType=Ut(o))}function SGt(o){const _=Ys(o);return _.declaredType||(_.declaredType=gl($f(o)))}function gl(o){return i_t(o)||vt}function i_t(o){if(o.flags&96)return W_(o);if(o.flags&524288)return Zht(o);if(o.flags&262144)return jD(o);if(o.flags&384)return Yht(o);if(o.flags&8)return t_t(o);if(o.flags&2097152)return SGt(o)}function YY(o){switch(o.kind){case 133:case 159:case 154:case 150:case 163:case 136:case 155:case 151:case 116:case 157:case 146:case 201:return!0;case 188:return YY(o.elementType);case 183:return!o.typeArguments||o.typeArguments.every(YY)}return!1}function wGt(o){const _=R6(o);return!_||YY(_)}function n_t(o){const _=dd(o);return _?YY(_):!aS(o)}function xGt(o){const _=wp(o),y=Jw(o);return(o.kind===176||!!_&&YY(_))&&o.parameters.every(n_t)&&y.every(wGt)}function kGt(o){if(o.declarations&&o.declarations.length===1){const _=o.declarations[0];if(_)switch(_.kind){case 172:case 171:return n_t(_);case 174:case 173:case 176:case 177:case 178:return xGt(_)}}return!1}function r_t(o,_,y){const S=_a();for(const D of o)S.set(D.escapedName,y&&kGt(D)?D:y8e(D,_));return S}function s_t(o,_){for(const y of _){if(o_t(y))continue;const S=o.get(y.escapedName);(!S||S.valueDeclaration&&ur(S.valueDeclaration)&&!bC(S)&&!STe(S.valueDeclaration))&&(o.set(y.escapedName,y),o.set(y.escapedName,y))}}function o_t(o){return!!o.valueDeclaration&&Wh(o.valueDeclaration)&&pa(o.valueDeclaration)}function gRe(o){if(!o.declaredProperties){const _=o.symbol,y=qx(_);o.declaredProperties=gs(y),o.declaredCallSignatures=w,o.declaredConstructSignatures=w,o.declaredIndexInfos=w,o.declaredCallSignatures=$D(y.get("__call")),o.declaredConstructSignatures=$D(y.get("__new")),o.declaredIndexInfos=M_t(_)}return o}function Gpe(o){if(!Ka(o)&&!wl(o))return!1;const _=Ka(o)?o.expression:o.argumentExpression;return pl(_)&&Jm(Ka(o)?cy(o):ju(_))}function Tz(o){return o.charCodeAt(0)===95&&o.charCodeAt(1)===95&&o.charCodeAt(2)===64}function eee(o){const _=No(o);return!!_&&Gpe(_)}function RO(o){return!Jb(o)||eee(o)}function TGt(o){return lK(o)&&!Gpe(o)}function DGt(o,_,y){j.assert(!!(Iu(o)&4096),"Expected a late-bound symbol."),o.flags|=y,Ys(_.symbol).lateSymbol=o,o.declarations?_.symbol.isReplaceableByMethod||o.declarations.push(_):o.declarations=[_],y&111551&&(!o.valueDeclaration||o.valueDeclaration.kind!==_.kind)&&(o.valueDeclaration=_)}function a_t(o,_,y,S){j.assert(!!S.symbol,"The member is expected to have a symbol.");const D=yr(S);if(!D.resolvedSymbol){D.resolvedSymbol=S.symbol;const L=ur(S)?S.left:S.name,B=wl(L)?ju(L.argumentExpression):cy(L);if(Jm(B)){const K=Gm(B),ne=S.symbol.flags;let ce=y.get(K);ce||y.set(K,ce=$a(0,K,4096));const ge=_&&_.get(K);if(!(o.flags&32)&&ce.flags&L2(ne)){const je=ge?Js(ge.declarations,ce.declarations):ce.declarations,Be=!(B.flags&8192)&&Ws(K)||al(L);O(je,kt=>ft(No(kt)||kt,k.Property_0_was_also_declared_here,Be)),ft(L||S,k.Duplicate_property_0,Be),ce=$a(0,K,4096)}return ce.links.nameType=B,DGt(ce,S,ne),ce.parent?j.assert(ce.parent===o,"Existing symbol parent should match new one"):ce.parent=o,D.resolvedSymbol=ce}}return D.resolvedSymbol}function yRe(o,_){const y=Ys(o);if(!y[_]){const S=_==="resolvedExports",D=S?o.flags&1536?TO(o).exports:o.exports:o.members;y[_]=D||X;const L=_a();for(const ne of o.declarations||w){const ce=hTe(ne);if(ce)for(const ge of ce)S===bd(ge)&&eee(ge)&&a_t(o,D,L,ge)}const B=RD(o).assignmentDeclarationMembers;if(B){const ne=as(B.values());for(const ce of ne){const ge=Bu(ce),je=ge===3||ur(ce)&&Jme(ce,ge)||ge===9||ge===6;S===!je&&eee(ce)&&a_t(o,D,L,ce)}}let K=Nx(D,L);if(o.flags&33554432&&y.cjsExportMerged&&o.declarations)for(const ne of o.declarations){const ce=Ys(ne.symbol)[_];if(!K){K=ce;continue}ce&&ce.forEach((ge,je)=>{const Be=K.get(je);if(!Be)K.set(je,ge);else{if(Be===ge)return;K.set(je,Y0(Be,ge))}})}y[_]=K||X}return y[_]}function qx(o){return o.flags&6256?yRe(o,"resolvedMembers"):o.members||X}function Kpe(o){if(o.flags&106500&&o.escapedName==="__computed"){const _=Ys(o);if(!_.lateSymbol&&Ft(o.declarations,eee)){const y=wc(o.parent);Ft(o.declarations,bd)?__(y):qx(y)}return _.lateSymbol||(_.lateSymbol=o)}return o}function Wp(o,_,y){if(Or(o)&4){const S=o.target,D=Pl(o);return P(S.typeParameters)===P(D)?wC(S,Js(D,[_||S.thisType])):o}else if(o.flags&2097152){const S=Wr(o.types,D=>Wp(D,_,y));return S!==o.types?Wa(S):o}return y?Kh(o):o}function c_t(o,_,y,S){let D,L,B,K,ne;Vb(y,S,0,y.length)?(L=_.symbol?qx(_.symbol):_a(_.declaredProperties),B=_.declaredCallSignatures,K=_.declaredConstructSignatures,ne=_.declaredIndexInfos):(D=cp(y,S),L=r_t(_.declaredProperties,D,y.length===1),B=fme(_.declaredCallSignatures,D),K=fme(_.declaredConstructSignatures,D),ne=Wpt(_.declaredIndexInfos,D));const ce=Ll(_);if(ce.length){if(_.symbol&&L===qx(_.symbol)){const je=_a(_.declaredProperties),Be=FRe(_.symbol);Be&&je.set("__index",Be),L=je}co(o,L,B,K,ne);const ge=rl(S);for(const je of ce){const Be=ge?Wp(io(je,D),ge):je;s_t(L,Ac(Be)),B=Js(B,ia(Be,0)),K=Js(K,ia(Be,1));const kt=Be!==Je?Ih(Be):[nv(st,Je,!1)];ne=Js(ne,$t(kt,Vt=>!IRe(ne,Vt.keyType)))}}co(o,L,B,K,ne)}function EGt(o){c_t(o,gRe(o),w,w)}function IGt(o){const _=gRe(o.target),y=Js(_.typeParameters,[_.thisType]),S=Pl(o),D=S.length===y.length?S:Js(S,[o]);c_t(o,_,y,D)}function _b(o,_,y,S,D,L,B,K){const ne=new C(On,K);return ne.declaration=o,ne.typeParameters=_,ne.parameters=S,ne.thisParameter=y,ne.resolvedReturnType=D,ne.resolvedTypePredicate=L,ne.minArgumentCount=B,ne.resolvedMinArgumentCount=void 0,ne.target=void 0,ne.mapper=void 0,ne.compositeSignatures=void 0,ne.compositeKind=void 0,ne}function Dz(o){const _=_b(o.declaration,o.typeParameters,o.thisParameter,o.parameters,void 0,void 0,o.minArgumentCount,o.flags&167);return _.target=o.target,_.mapper=o.mapper,_.compositeSignatures=o.compositeSignatures,_.compositeKind=o.compositeKind,_}function l_t(o,_){const y=Dz(o);return y.compositeSignatures=_,y.compositeKind=1048576,y.target=void 0,y.mapper=void 0,y}function NGt(o,_){if((o.flags&24)===_)return o;o.optionalCallSignatureCache||(o.optionalCallSignatureCache={});const y=_===8?"inner":"outer";return o.optionalCallSignatureCache[y]||(o.optionalCallSignatureCache[y]=LGt(o,_))}function LGt(o,_){j.assert(_===8||_===16,"An optional call signature can either be for an inner call chain or an outer call chain, but not both.");const y=Dz(o);return y.flags|=_,y}function u_t(o,_){if(Ch(o)){const D=o.parameters.length-1,L=o.parameters[D],B=Yn(L);if(Qa(B))return[y(B,D,L)];if(!_&&B.flags&1048576&&Ce(B.types,Qa))return qt(B.types,K=>y(K,D,L))}return[o.parameters];function y(D,L,B){const K=Pl(D),ne=S(D,B),ce=qt(K,(ge,je)=>{const Be=ne&&ne[je]?ne[je]:Y5(o,L+je,D),kt=D.target.elementFlags[je],Vt=kt&12?32768:kt&2?16384:0,Kt=$a(1,Be,Vt);return Kt.links.type=kt&4?hh(ge):ge,Kt});return Js(o.parameters.slice(0,L),ce)}function S(D,L){const B=qt(D.target.labeledElementDeclarations,(K,ne)=>X7e(K,ne,D.target.elementFlags[ne],L));if(B){const K=[],ne=new Set;for(let ge=0;ge<B.length;ge++){const je=B[ge];of(ne,je)||K.push(ge)}const ce=new Map;for(const ge of K){let je=ce.get(B[ge])??1,Be;for(;!of(ne,Be=`${B[ge]}_${je}`);)je++;B[ge]=Be,ce.set(B[ge],je+1)}}return B}}function PGt(o){const _=ys(o),y=ia(_,1),S=Xb(o.symbol),D=!!S&&Zr(S,64);if(y.length===0)return[_b(void 0,o.localTypeParameters,void 0,w,o,void 0,0,D?4:0)];const L=Ar(o),B=nr(L),K=dee(L),ne=P(K),ce=[];for(const ge of y){const je=sy(ge.typeParameters),Be=P(ge.typeParameters);if(B||ne>=je&&ne<=Be){const kt=Be?Zpe(ge,$x(K,ge.typeParameters,je,B)):Dz(ge);kt.typeParameters=o.localTypeParameters,kt.resolvedReturnType=o,kt.flags=D?kt.flags|4:kt.flags&-5,ce.push(kt)}}return ce}function Xpe(o,_,y,S,D){for(const L of o)if(Eee(L,_,y,S,D,y?lQt:Bz))return L}function AGt(o,_,y){if(_.typeParameters){if(y>0)return;for(let D=1;D<o.length;D++)if(!Xpe(o[D],_,!1,!1,!1))return;return[_]}let S;for(let D=0;D<o.length;D++){const L=D===y?_:Xpe(o[D],_,!1,!1,!0)||Xpe(o[D],_,!0,!1,!0);if(!L)return;S=n_(S,L)}return S}function vRe(o){let _,y;for(let S=0;S<o.length;S++){if(o[S].length===0)return w;o[S].length>1&&(y=y===void 0?S:-1);for(const D of o[S])if(!_||!Xpe(_,D,!1,!1,!0)){const L=AGt(o,D,S);if(L){let B=D;if(L.length>1){let K=D.thisParameter;const ne=O(L,ce=>ce.thisParameter);if(ne){const ce=Wa(es(L,ge=>ge.thisParameter&&Yn(ge.thisParameter)));K=PN(ne,ce)}B=l_t(D,L),B.thisParameter=K}(_||(_=[])).push(B)}}}if(!P(_)&&y!==-1){const S=o[y!==void 0?y:0];let D=S.slice();for(const L of o)if(L!==S){const B=L[0];if(j.assert(!!B,"getUnionSignatures bails early on empty signature lists and should not have empty lists on second pass"),D=B.typeParameters&&Ft(D,K=>!!K.typeParameters&&!d_t(B.typeParameters,K.typeParameters))?void 0:qt(D,K=>RGt(K,B)),!D)break}_=D}return _||w}function d_t(o,_){if(P(o)!==P(_))return!1;if(!o||!_)return!0;const y=cp(_,o);for(let S=0;S<o.length;S++){const D=o[S],L=_[S];if(D!==L&&!yb(z5(D)||te,io(z5(L)||te,y)))return!1}return!0}function OGt(o,_,y){if(!o||!_)return o||_;const S=Wa([Yn(o),io(Yn(_),y)]);return PN(o,S)}function MGt(o,_,y){const S=dp(o),D=dp(_),L=S>=D?o:_,B=L===o?_:o,K=L===o?S:D,ne=ov(o)||ov(_),ce=ne&&!ov(L),ge=new Array(K+(ce?1:0));for(let je=0;je<K;je++){let Be=nE(L,je);L===_&&(Be=io(Be,y));let kt=nE(B,je)||te;B===_&&(kt=io(kt,y));const Vt=Wa([Be,kt]),Kt=ne&&!ce&&je===K-1,Oi=je>=fy(L)&&je>=fy(B),Ki=je>=S?void 0:Y5(o,je),qn=je>=D?void 0:Y5(_,je),Qn=Ki===qn?Ki:Ki?qn?void 0:Ki:qn,rn=$a(1|(Oi&&!Kt?16777216:0),Qn||`arg${je}`,Kt?32768:Oi?16384:0);rn.links.type=Kt?hh(Vt):Vt,ge[je]=rn}if(ce){const je=$a(1,"args",32768);je.links.type=hh(u1(B,K)),B===_&&(je.links.type=io(je.links.type,y)),ge[K]=je}return ge}function RGt(o,_){const y=o.typeParameters||_.typeParameters;let S;o.typeParameters&&_.typeParameters&&(S=cp(_.typeParameters,o.typeParameters));const D=o.declaration,L=MGt(o,_,S),B=OGt(o.thisParameter,_.thisParameter,S),K=Math.max(o.minArgumentCount,_.minArgumentCount),ne=_b(D,y,B,L,void 0,void 0,K,(o.flags|_.flags)&167);return ne.compositeKind=1048576,ne.compositeSignatures=Js(o.compositeKind!==2097152&&o.compositeSignatures||[o],[_]),S?ne.mapper=o.compositeKind!==2097152&&o.mapper&&o.compositeSignatures?ZD(o.mapper,S):S:o.compositeKind!==2097152&&o.mapper&&o.compositeSignatures&&(ne.mapper=o.mapper),ne}function f_t(o){const _=Ih(o[0]);if(_){const y=[];for(const S of _){const D=S.keyType;Ce(o,L=>!!pb(L,D))&&y.push(nv(D,is(qt(o,L=>H2(L,D))),Ft(o,L=>pb(L,D).isReadonly)))}return y}return w}function FGt(o){const _=vRe(qt(o.types,D=>D===kd?[Mi]:ia(D,0))),y=vRe(qt(o.types,D=>ia(D,1))),S=f_t(o.types);co(o,X,_,y,S)}function tee(o,_){return o?_?Wa([o,_]):o:_}function h_t(o){const _=$i(o,S=>ia(S,1).length>0),y=qt(o,V2);if(_>0&&_===$i(y,S=>S)){const S=y.indexOf(!0);y[S]=!1}return y}function BGt(o,_,y,S){const D=[];for(let L=0;L<_.length;L++)L===S?D.push(o):y[L]&&D.push(Oc(ia(_[L],1)[0]));return Wa(D)}function WGt(o){let _,y,S;const D=o.types,L=h_t(D),B=$i(L,K=>K);for(let K=0;K<D.length;K++){const ne=o.types[K];if(!L[K]){let ce=ia(ne,1);ce.length&&B>0&&(ce=qt(ce,ge=>{const je=Dz(ge);return je.resolvedReturnType=BGt(Oc(ge),D,L,K),je})),y=__t(y,ce)}_=__t(_,ia(ne,0)),S=oi(Ih(ne),(ce,ge)=>p_t(ce,ge,!1),S)}co(o,X,_||w,y||w,S||w)}function __t(o,_){for(const y of _)(!o||Ce(o,S=>!Eee(S,y,!1,!1,!1,Bz)))&&(o=fn(o,y));return o}function p_t(o,_,y){if(o)for(let S=0;S<o.length;S++){const D=o[S];if(D.keyType===_.keyType)return o[S]=nv(D.keyType,y?is([D.type,_.type]):Wa([D.type,_.type]),y?D.isReadonly||_.isReadonly:D.isReadonly&&_.isReadonly),o}return fn(o,_)}function VGt(o){if(o.target){co(o,X,w,w,w);const B=r_t(XS(o.target),o.mapper,!1),K=fme(ia(o.target,0),o.mapper),ne=fme(ia(o.target,1),o.mapper),ce=Wpt(Ih(o.target),o.mapper);co(o,B,K,ne,ce);return}const _=wc(o.symbol);if(_.flags&2048){co(o,X,w,w,w);const B=qx(_),K=$D(B.get("__call")),ne=$D(B.get("__new")),ce=M_t(_);co(o,B,K,ne,ce);return}let y=__(_),S;if(_===Me){const B=new Map;y.forEach(K=>{var ne;!(K.flags&418)&&!(K.flags&512&&((ne=K.declarations)!=null&&ne.length)&&Ce(K.declarations,Vh))&&B.set(K.escapedName,K)}),y=B}let D;if(co(o,y,w,w,w),_.flags&32){const B=W_(_),K=ys(B);K.flags&11272192?(y=_a(Co(y)),s_t(y,Ac(K))):K===Je&&(D=nv(st,Je,!1))}const L=Ype(y);if(L?S=BRe(L):(D&&(S=fn(S,D)),_.flags&384&&(gl(_).flags&32||Ft(o.properties,B=>!!(Yn(B).flags&296)))&&(S=fn(S,Xi))),co(o,y,w,w,S||w),_.flags&8208&&(o.callSignatures=$D(_)),_.flags&32){const B=W_(_);let K=_.members?$D(_.members.get("__constructor")):w;_.flags&16&&(K=Sr(K.slice(),es(o.callSignatures,ne=>dy(ne.declaration)?_b(ne.declaration,ne.typeParameters,ne.thisParameter,ne.parameters,B,void 0,ne.minArgumentCount,ne.flags&167):void 0))),K.length||(K=PGt(B)),o.constructSignatures=K}}function HGt(o,_,y){return io(o,cp([_.indexType,_.objectType],[v0(0),rv([y])]))}function jGt(o){const _=Tm(o.mappedType);if(!(_.flags&1048576||_.flags&2097152))return;const y=_.flags&1048576?_.origin:_;if(!y||!(y.flags&2097152))return;const S=Wa(y.types.filter(D=>D!==o.constraintType));return S!==Ai?S:void 0}function zGt(o){const _=pb(o.source,st),y=tv(o.mappedType),S=!(y&1),D=y&4?0:16777216,L=_?[nv(st,Ome(_.type,o.mappedType,o.constraintType)||te,S&&_.isReadonly)]:w,B=_a(),K=jGt(o);for(const ne of Ac(o.source)){if(K){const je=$A(ne,8576);if(!Da(je,K))continue}const ce=8192|(S&&d1(ne)?8:0),ge=$a(4|ne.flags&D,ne.escapedName,ce);if(ge.declarations=ne.declarations,ge.links.nameType=Ys(ne).nameType,ge.links.propertyType=Yn(ne),o.constraintType.type.flags&8388608&&o.constraintType.type.objectType.flags&262144&&o.constraintType.type.indexType.flags&262144){const je=o.constraintType.type.objectType,Be=HGt(o.mappedType,o.constraintType.type,je);ge.links.mappedType=Be,ge.links.constraintType=oy(je)}else ge.links.mappedType=o.mappedType,ge.links.constraintType=o.constraintType;B.set(ne.escapedName,ge)}co(o,B,w,w,L)}function iee(o){if(o.flags&4194304){const _=Kh(o.type);return Qx(_)?upt(_):oy(_)}if(o.flags&16777216){if(o.root.isDistributive){const _=o.checkType,y=iee(_);if(y!==_)return v8e(o,NN(o.root.checkType,y,o.mapper),!1)}return o}if(o.flags&1048576)return eu(o,iee,!0);if(o.flags&2097152){const _=o.types;return _.length===2&&_[0].flags&76&&_[1]===go?o:Wa(Wr(o.types,iee))}return o}function bRe(o){return Iu(o)&4096}function CRe(o,_,y,S){for(const D of Ac(o))S($A(D,_));if(o.flags&1)S(st);else for(const D of Ih(o))(!y||D.keyType.flags&134217732)&&S(D.keyType)}function UGt(o){const _=_a();let y;co(o,X,w,w,w);const S=s1(o),D=Tm(o),L=o.target||o,B=KS(L),K=nee(L)!==2,ne=SC(L),ce=Kh(zD(o)),ge=tv(o);FO(o)?CRe(ce,8576,!1,Be):RN(iee(D),Be),co(o,_,w,w,y||w);function Be(Vt){const Kt=B?io(B,Mz(o.mapper,S,Vt)):Vt;RN(Kt,Oi=>kt(Vt,Oi))}function kt(Vt,Kt){if(Jm(Kt)){const Oi=Gm(Kt),Ki=_.get(Oi);if(Ki)Ki.links.nameType=is([Ki.links.nameType,Kt]),Ki.links.keyType=is([Ki.links.keyType,Vt]);else{const qn=Jm(Vt)?Ta(ce,Gm(Vt)):void 0,Qn=!!(ge&4||!(ge&8)&&qn&&qn.flags&16777216),rn=!!(ge&1||!(ge&2)&&qn&&d1(qn)),Ui=we&&!Qn&&qn&&qn.flags&16777216,fr=qn?bRe(qn):0,Gr=$a(4|(Qn?16777216:0),Oi,fr|262144|(rn?8:0)|(Ui?524288:0));Gr.links.mappedType=o,Gr.links.nameType=Kt,Gr.links.keyType=Vt,qn&&(Gr.links.syntheticOrigin=qn,Gr.declarations=K?qn.declarations:void 0),_.set(Oi,Gr)}}else if(eme(Kt)||Kt.flags&33){const Oi=Kt.flags&5?st:Kt.flags&40?hi:Kt,Ki=io(ne,Mz(o.mapper,S,Vt)),qn=Ez(ce,Kt),Qn=!!(ge&1||!(ge&2)&&(qn!=null&&qn.isReadonly)),rn=nv(Oi,Ki,Qn);y=p_t(y,rn,!0)}}}function qGt(o){var _;if(!o.links.type){const y=o.links.mappedType;if(!Qy(o,0))return y.containsError=!0,vt;const S=SC(y.target||y),D=Mz(y.mapper,s1(y),o.links.keyType),L=io(S,D);let B=we&&o.flags&16777216&&!Au(L,49152)?Zx(L,!0):o.links.checkFlags&524288?Ime(L):L;Zy()||(ft(H,k.Type_of_property_0_circularly_references_itself_in_mapped_type_1,eo(o),Hn(y)),B=vt),(_=o.links).type??(_.type=B)}return o.links.type}function s1(o){return o.typeParameter||(o.typeParameter=jD(xr(o.declaration.typeParameter)))}function Tm(o){return o.constraintType||(o.constraintType=m_(s1(o))||vt)}function KS(o){return o.declaration.nameType?o.nameType||(o.nameType=io(Bs(o.declaration.nameType),o.mapper)):void 0}function SC(o){return o.templateType||(o.templateType=o.declaration.type?io(Dd(Bs(o.declaration.type),!0,!!(tv(o)&4)),o.mapper):vt)}function m_t(o){return R6(o.declaration.typeParameter)}function FO(o){const _=m_t(o);return _.kind===198&&_.operator===143}function zD(o){if(!o.modifiersType)if(FO(o))o.modifiersType=io(Bs(m_t(o).type),o.mapper);else{const _=c8e(o.declaration),y=Tm(_),S=y&&y.flags&262144?m_(y):y;o.modifiersType=S&&S.flags&4194304?io(S.type,o.mapper):te}return o.modifiersType}function tv(o){const _=o.declaration;return(_.readonlyToken?_.readonlyToken.kind===41?2:1:0)|(_.questionToken?_.questionToken.kind===41?8:4:0)}function g_t(o){const _=tv(o);return _&8?-1:_&4?1:0}function V5(o){if(Or(o)&32)return g_t(o)||V5(zD(o));if(o.flags&2097152){const _=V5(o.types[0]);return Ce(o.types,(y,S)=>S===0||V5(y)===_)?_:0}return 0}function $Gt(o){return!!(Or(o)&32&&tv(o)&4)}function op(o){if(Or(o)&32){const _=Tm(o);if(IN(_))return!0;const y=KS(o);if(y&&IN(io(y,QD(s1(o),_))))return!0}return!1}function nee(o){const _=KS(o);return _?Da(_,s1(o))?1:2:0}function o1(o){return o.members||(o.flags&524288?o.objectFlags&4?IGt(o):o.objectFlags&3?EGt(o):o.objectFlags&1024?zGt(o):o.objectFlags&16?VGt(o):o.objectFlags&32?UGt(o):j.fail("Unhandled object type "+j.formatObjectFlags(o.objectFlags)):o.flags&1048576?FGt(o):o.flags&2097152?WGt(o):j.fail("Unhandled type "+j.formatTypeFlags(o.flags))),o}function XS(o){return o.flags&524288?o1(o).properties:w}function UD(o,_){if(o.flags&524288){const S=o1(o).members.get(_);if(S&&Fp(S))return S}}function ree(o){if(!o.resolvedProperties){const _=_a();for(const y of o.types){for(const S of Ac(y))if(!_.has(S.escapedName)){const D=oee(o,S.escapedName,!!(o.flags&2097152));D&&_.set(S.escapedName,D)}if(o.flags&1048576&&Ih(y).length===0)break}o.resolvedProperties=gs(_)}return o.resolvedProperties}function Ac(o){return o=H5(o),o.flags&3145728?ree(o):XS(o)}function JGt(o,_){o=H5(o),o.flags&3670016&&o1(o).members.forEach((y,S)=>{ao(y,S)&&_(y,S)})}function GGt(o,_){return _.properties.some(S=>{const D=S.name&&(j0(S.name)?V_(iH(S.name)):xC(S.name)),L=D&&Jm(D)?Gm(D):void 0,B=L===void 0?void 0:Yu(o,L);return!!B&&Hz(B)&&!Da(o4(S),B)})}function KGt(o){const _=is(o);if(!(_.flags&1048576))return M5e(_);const y=_a();for(const S of o)for(const{escapedName:D}of M5e(S))if(!y.has(D)){const L=x_t(_,D);L&&y.set(D,L)}return as(y.values())}function wN(o){return o.flags&262144?m_(o):o.flags&8388608?QGt(o):o.flags&16777216?b_t(o):Jf(o)}function m_(o){return see(o)?z5(o):void 0}function XGt(o,_){const y=Rz(o);return!!y&&xN(y,_)}function xN(o,_=0){var y;return _<5&&!!(o&&(o.flags&262144&&Ft((y=o.symbol)==null?void 0:y.declarations,S=>Zr(S,4096))||o.flags&3145728&&Ft(o.types,S=>xN(S,_))||o.flags&8388608&&xN(o.objectType,_+1)||o.flags&16777216&&xN(b_t(o),_+1)||o.flags&33554432&&xN(o.baseType,_)||Or(o)&32&&XGt(o,_)||Qx(o)&&ct(GD(o),(S,D)=>!!(o.target.elementFlags[D]&8)&&xN(S,_))>=0))}function QGt(o){return see(o)?ZGt(o):void 0}function SRe(o){const _=kC(o,!1);return _!==o?_:wN(o)}function ZGt(o){if(TRe(o))return cme(o.objectType,o.indexType);const _=SRe(o.indexType);if(_&&_!==o.indexType){const S=Gx(o.objectType,_,o.accessFlags);if(S)return S}const y=SRe(o.objectType);if(y&&y!==o.objectType)return Gx(y,o.indexType,o.accessFlags)}function wRe(o){if(!o.resolvedDefaultConstraint){const _=WXt(o),y=U2(o);o.resolvedDefaultConstraint=Nc(_)?y:Nc(y)?_:is([_,y])}return o.resolvedDefaultConstraint}function y_t(o){if(o.resolvedConstraintOfDistributive!==void 0)return o.resolvedConstraintOfDistributive||void 0;if(o.root.isDistributive&&o.restrictiveInstantiation!==o){const _=kC(o.checkType,!1),y=_===o.checkType?wN(_):_;if(y&&y!==o.checkType){const S=v8e(o,NN(o.root.checkType,y,o.mapper),!0);if(!(S.flags&131072))return o.resolvedConstraintOfDistributive=S,S}}o.resolvedConstraintOfDistributive=!1}function v_t(o){return y_t(o)||wRe(o)}function b_t(o){return see(o)?v_t(o):void 0}function YGt(o,_){let y,S=!1;for(const D of o)if(D.flags&465829888){let L=wN(D);for(;L&&L.flags&21233664;)L=wN(L);L&&(y=fn(y,L),_&&(y=fn(y,D)))}else(D.flags&469892092||sv(D))&&(S=!0);if(y&&(_||S)){if(S)for(const D of o)(D.flags&469892092||sv(D))&&(y=fn(y,D));return kee(Wa(y,2),!1)}}function Jf(o){if(o.flags&464781312||Qx(o)){const _=xRe(o);return _!==ki&&_!==Gc?_:void 0}return o.flags&4194304?vi:void 0}function iv(o){return Jf(o)||o}function see(o){return xRe(o)!==Gc}function xRe(o){if(o.resolvedBaseConstraint)return o.resolvedBaseConstraint;const _=[];return o.resolvedBaseConstraint=y(o);function y(L){if(!L.immediateBaseConstraint){if(!Qy(L,4))return Gc;let B;const K=wme(L);if((_.length<10||_.length<50&&!Yt(_,K))&&(_.push(K),B=D(kC(L,!1)),_.pop()),!Zy()){if(L.flags&262144){const ne=tme(L);if(ne){const ce=ft(ne,k.Type_parameter_0_has_a_circular_constraint,Hn(L));H&&!UT(ne,H)&&!UT(H,ne)&&da(ce,Kn(H,k.Circularity_originates_in_type_at_this_location))}}B=Gc}L.immediateBaseConstraint??(L.immediateBaseConstraint=B||ki)}return L.immediateBaseConstraint}function S(L){const B=y(L);return B!==ki&&B!==Gc?B:void 0}function D(L){if(L.flags&262144){const B=z5(L);return L.isThisType||!B?B:S(B)}if(L.flags&3145728){const B=L.types,K=[];let ne=!1;for(const ce of B){const ge=S(ce);ge?(ge!==ce&&(ne=!0),K.push(ge)):ne=!0}return ne?L.flags&1048576&&K.length===B.length?is(K):L.flags&2097152&&K.length?Wa(K):void 0:L}if(L.flags&4194304)return vi;if(L.flags&134217728){const B=L.types,K=es(B,S);return K.length===B.length?TN(L.texts,K):st}if(L.flags&268435456){const B=S(L.type);return B&&B!==L.type?JA(L.symbol,B):st}if(L.flags&8388608){if(TRe(L))return S(cme(L.objectType,L.indexType));const B=S(L.objectType),K=S(L.indexType),ne=B&&K&&Gx(B,K,L.accessFlags);return ne&&S(ne)}if(L.flags&16777216){const B=v_t(L);return B&&S(B)}if(L.flags&33554432)return S(zRe(L));if(Qx(L)){const B=qt(GD(L),(K,ne)=>{const ce=K.flags&262144&&L.target.elementFlags[ne]&8&&S(K)||K;return ce!==K&&up(ce,ge=>$2(ge)&&!Qx(ge))?ce:K});return rv(B,L.target.elementFlags,L.target.readonly,L.target.labeledElementDeclarations)}return L}}function eKt(o,_){if(o===_)return o.resolvedApparentType||(o.resolvedApparentType=Wp(o,_,!0));const y=`I${kf(o)},${kf(_)}`;return Q0(y)??RS(y,Wp(o,_,!0))}function kRe(o){if(o.default)o.default===uh&&(o.default=Gc);else if(o.target){const _=kRe(o.target);o.default=_?io(_,o.mapper):ki}else{o.default=uh;const _=o.symbol&&O(o.symbol.declarations,S=>$l(S)&&S.default),y=_?Bs(_):ki;o.default===uh&&(o.default=y)}return o.default}function qD(o){const _=kRe(o);return _!==ki&&_!==Gc?_:void 0}function tKt(o){return kRe(o)!==Gc}function C_t(o){return!!(o.symbol&&O(o.symbol.declarations,_=>$l(_)&&_.default))}function S_t(o){return o.resolvedApparentType||(o.resolvedApparentType=iKt(o))}function iKt(o){const _=o.target??o,y=Rz(_);if(y&&!_.declaration.nameType){const S=zD(o),D=op(S)?S_t(S):Jf(S);if(D&&up(D,L=>$2(L)||w_t(L)))return io(_,NN(y,D,o.mapper))}return o}function w_t(o){return!!(o.flags&2097152)&&Ce(o.types,$2)}function TRe(o){let _;return!!(o.flags&8388608&&Or(_=o.objectType)&32&&!op(_)&&IN(o.indexType)&&!(tv(_)&8)&&!_.declaration.nameType)}function Kh(o){const _=o.flags&465829888?Jf(o)||te:o,y=Or(_);return y&32?S_t(_):y&4&&_!==o?Wp(_,o):_.flags&2097152?eKt(_,o):_.flags&402653316?po:_.flags&296?ic:_.flags&2112?zKt():_.flags&528?sc:_.flags&12288?Y_t():_.flags&67108864?Jo:_.flags&4194304?vi:_.flags&2&&!we?Jo:_}function H5(o){return a1(Kh(a1(o)))}function x_t(o,_,y){var S,D,L;let B,K,ne;const ce=o.flags&1048576;let ge,je=4,Be=ce?0:8,kt=!1;for(const Gr of o.types){const vs=Kh(Gr);if(!(fe(vs)||vs.flags&131072)){const Ur=Ta(vs,_,y),ga=Ur?$m(Ur):0;if(Ur){if(Ur.flags&106500&&(ge??(ge=ce?0:16777216),ce?ge|=Ur.flags&16777216:ge&=Ur.flags),!B)B=Ur;else if(Ur!==B)if((eM(Ur)||Ur)===(eM(B)||B)&&N8e(B,Ur,(Al,Po)=>Al===Po?-1:0)===-1)kt=!!B.parent&&!!P(y0(B.parent));else{K||(K=new Map,K.set(Ba(B),B));const Al=Ba(Ur);K.has(Al)||K.set(Al,Ur)}ce&&d1(Ur)?Be|=8:!ce&&!d1(Ur)&&(Be&=-9),Be|=(ga&6?0:256)|(ga&4?512:0)|(ga&2?1024:0)|(ga&256?2048:0),T7e(Ur)||(je=2)}else if(ce){const fa=!Tz(_)&&UA(vs,_);fa?(Be|=32|(fa.isReadonly?8:0),ne=fn(ne,Qa(vs)?Tme(vs)||tt:fa.type)):ew(vs)&&!(Or(vs)&2097152)?(Be|=32,ne=fn(ne,tt)):Be|=16}}}if(!B||ce&&(K||Be&48)&&Be&1536&&!(K&&nKt(K.values())))return;if(!K&&!(Be&16)&&!ne)if(kt){const Gr=(S=Jr(B,Rv))==null?void 0:S.links,vs=PN(B,Gr==null?void 0:Gr.type);return vs.parent=(L=(D=B.valueDeclaration)==null?void 0:D.symbol)==null?void 0:L.parent,vs.links.containingType=o,vs.links.mapper=Gr==null?void 0:Gr.mapper,vs.links.writeType=zx(B),vs}else return B;const Vt=K?as(K.values()):[B];let Kt,Oi,Ki;const qn=[];let Qn,rn,Ui=!1;for(const Gr of Vt){rn?Gr.valueDeclaration&&Gr.valueDeclaration!==rn&&(Ui=!0):rn=Gr.valueDeclaration,Kt=Sr(Kt,Gr.declarations);const vs=Yn(Gr);Oi||(Oi=vs,Ki=Ys(Gr).nameType);const Ur=zx(Gr);(Qn||Ur!==vs)&&(Qn=fn(Qn||qn.slice(),Ur)),vs!==Oi&&(Be|=64),(Hz(vs)||DN(vs))&&(Be|=128),vs.flags&131072&&vs!==Gu&&(Be|=131072),qn.push(vs)}Sr(qn,ne);const fr=$a(4|(ge??0),_,je|Be);return fr.links.containingType=o,!Ui&&rn&&(fr.valueDeclaration=rn,rn.symbol.parent&&(fr.parent=rn.symbol.parent)),fr.declarations=Kt,fr.links.nameType=Ki,qn.length>2?(fr.links.checkFlags|=65536,fr.links.deferralParent=o,fr.links.deferralConstituents=qn,fr.links.deferralWriteConstituents=Qn):(fr.links.type=ce?is(qn):Wa(qn),Qn&&(fr.links.writeType=ce?is(Qn):Wa(Qn))),fr}function k_t(o,_,y){var S,D,L;let B=y?(S=o.propertyCacheWithoutObjectFunctionPropertyAugment)==null?void 0:S.get(_):(D=o.propertyCache)==null?void 0:D.get(_);return B||(B=x_t(o,_,y),B&&((y?o.propertyCacheWithoutObjectFunctionPropertyAugment||(o.propertyCacheWithoutObjectFunctionPropertyAugment=_a()):o.propertyCache||(o.propertyCache=_a())).set(_,B),y&&!(Iu(B)&48)&&!((L=o.propertyCache)!=null&&L.get(_))&&(o.propertyCache||(o.propertyCache=_a())).set(_,B))),B}function nKt(o){let _;for(const y of o){if(!y.declarations)return;if(!_){_=new Set(y.declarations);continue}if(_.forEach(S=>{Yt(y.declarations,S)||_.delete(S)}),_.size===0)return}return _}function oee(o,_,y){const S=k_t(o,_,y);return S&&!(Iu(S)&16)?S:void 0}function a1(o){return o.flags&1048576&&o.objectFlags&16777216?o.resolvedReducedType||(o.resolvedReducedType=rKt(o)):o.flags&2097152?(o.objectFlags&16777216||(o.objectFlags|=16777216|(Ft(ree(o),sKt)?33554432:0)),o.objectFlags&33554432?Ai:o):o}function rKt(o){const _=Wr(o.types,a1);if(_===o.types)return o;const y=is(_);return y.flags&1048576&&(y.resolvedReducedType=y),y}function sKt(o){return T_t(o)||D_t(o)}function T_t(o){return!(o.flags&16777216)&&(Iu(o)&131264)===192&&!!(Yn(o).flags&131072)}function D_t(o){return!o.valueDeclaration&&!!(Iu(o)&1024)}function DRe(o){return!!(o.flags&1048576&&o.objectFlags&16777216&&Ft(o.types,DRe)||o.flags&2097152&&oKt(o))}function oKt(o){const _=o.uniqueLiteralFilledInstantiation||(o.uniqueLiteralFilledInstantiation=io(o,Zc));return a1(_)!==_}function ERe(o,_){if(_.flags&2097152&&Or(_)&33554432){const y=Fe(ree(_),T_t);if(y)return jo(o,k.The_intersection_0_was_reduced_to_never_because_property_1_has_conflicting_types_in_some_constituents,Hn(_,void 0,536870912),eo(y));const S=Fe(ree(_),D_t);if(S)return jo(o,k.The_intersection_0_was_reduced_to_never_because_property_1_exists_in_multiple_constituents_and_is_private_in_some,Hn(_,void 0,536870912),eo(S))}return o}function Ta(o,_,y,S){var D,L;if(o=H5(o),o.flags&524288){const B=o1(o),K=B.members.get(_);if(K&&!S&&((D=o.symbol)==null?void 0:D.flags)&512&&((L=Ys(o.symbol).typeOnlyExportStarMap)!=null&&L.has(_)))return;if(K&&Fp(K,S))return K;if(y)return;const ne=B===tc?kd:B.callSignatures.length?eg:B.constructSignatures.length?Ye:void 0;if(ne){const ce=UD(ne,_);if(ce)return ce}return UD(Qu,_)}if(o.flags&2097152){const B=oee(o,_,!0);return B||(y?void 0:oee(o,_,y))}if(o.flags&1048576)return oee(o,_,y)}function aee(o,_){if(o.flags&3670016){const y=o1(o);return _===0?y.callSignatures:y.constructSignatures}return w}function ia(o,_){const y=aee(H5(o),_);if(_===0&&!P(y)&&o.flags&1048576){if(o.arrayFallbackSignatures)return o.arrayFallbackSignatures;let S;if(up(o,D=>{var L;return!!((L=D.symbol)!=null&&L.parent)&&aKt(D.symbol.parent)&&(S?S===D.symbol.escapedName:(S=D.symbol.escapedName,!0))})){const D=eu(o,B=>ZS((E_t(B.symbol.parent)?En:li).typeParameters[0],B.mapper)),L=hh(D,dg(o,B=>E_t(B.symbol.parent)));return o.arrayFallbackSignatures=ia(Yu(L,S),_)}o.arrayFallbackSignatures=y}return y}function aKt(o){return!o||!li.symbol||!En.symbol?!1:!!Gg(o,li.symbol)||!!Gg(o,En.symbol)}function E_t(o){return!o||!En.symbol?!1:!!Gg(o,En.symbol)}function IRe(o,_){return Fe(o,y=>y.keyType===_)}function NRe(o,_){let y,S,D;for(const L of o)L.keyType===st?y=L:zA(_,L.keyType)&&(S?(D||(D=[S])).push(L):S=L);return D?nv(te,Wa(qt(D,L=>L.type)),oi(D,(L,B)=>L&&B.isReadonly,!0)):S||(y&&zA(_,st)?y:void 0)}function zA(o,_){return Da(o,_)||_===st&&Da(o,hi)||_===hi&&(o===$o||!!(o.flags&128)&&$v(o.value))}function LRe(o){return o.flags&3670016?o1(o).indexInfos:w}function Ih(o){return LRe(H5(o))}function pb(o,_){return IRe(Ih(o),_)}function H2(o,_){var y;return(y=pb(o,_))==null?void 0:y.type}function PRe(o,_){return Ih(o).filter(y=>zA(_,y.keyType))}function Ez(o,_){return NRe(Ih(o),_)}function UA(o,_){return Ez(o,Tz(_)?wi:V_(Ws(_)))}function I_t(o){var _;let y;for(const S of Jw(o))y=n_(y,jD(S.symbol));return y!=null&&y.length?y:Wu(o)?(_=j5(o))==null?void 0:_.typeParameters:void 0}function ARe(o){const _=[];return o.forEach((y,S)=>{Wi(S)||_.push(y)}),_}function N_t(o,_){if(Gd(o))return;const y=ff($e,'"'+o+'"',512);return y&&_?wc(y):y}function ORe(o){return _P(o)||tH(o)||$s(o)&&nX(o)}function cee(o){if(ORe(o))return!0;if(!$s(o))return!1;if(o.initializer){const y=Dm(o.parent),S=o.parent.parameters.indexOf(o);return j.assert(S>=0),S>=fy(y,3)}const _=VT(o.parent);return _?!o.type&&!o.dotDotDotToken&&o.parent.parameters.indexOf(o)>=age(_).length:!1}function cKt(o){return Lo(o)&&!O1(o)&&o.questionToken}function Iz(o,_,y,S){return{kind:o,parameterName:_,parameterIndex:y,type:S}}function sy(o){let _=0;if(o)for(let y=0;y<o.length;y++)C_t(o[y])||(_=y+1);return _}function $x(o,_,y,S){const D=P(_);if(!D)return[];const L=P(o);if(S||L>=y&&L<=D){const B=o?o.slice():[];for(let ne=L;ne<D;ne++)B[ne]=vt;const K=K8e(S);for(let ne=L;ne<D;ne++){let ce=qD(_[ne]);S&&ce&&(yb(ce,te)||yb(ce,Jo))&&(ce=Je),B[ne]=ce?io(ce,cp(_,B)):K}return B.length=_.length,B}return o&&o.slice()}function Dm(o){const _=yr(o);if(!_.resolvedSignature){const y=[];let S=0,D=0,L,B=nr(o)?cG(o):void 0,K=!1;const ne=VT(o),ce=e3(o);!ne&&nr(o)&&mP(o)&&!f2e(o)&&!Bk(o)&&(S|=32);for(let Vt=ce?1:0;Vt<o.parameters.length;Vt++){const Kt=o.parameters[Vt];if(nr(Kt)&&Tle(Kt)){B=Kt;continue}let Oi=Kt.symbol;const Ki=Qm(Kt)?Kt.typeExpression&&Kt.typeExpression.type:Kt.type;Oi&&Oi.flags&4&&!ta(Kt.name)&&(Oi=_i(Kt,Oi.escapedName,111551,void 0,!1)),Vt===0&&Oi.escapedName==="this"?(K=!0,L=Kt.symbol):y.push(Oi),Ki&&Ki.kind===201&&(S|=2),ORe(Kt)||$s(Kt)&&Kt.initializer||Oy(Kt)||ne&&y.length>ne.arguments.length&&!Ki||(D=y.length)}if((o.kind===177||o.kind===178)&&RO(o)&&(!K||!L)){const Vt=o.kind===177?178:177,Kt=ql(xr(o),Vt);Kt&&(L=fRe(Kt))}B&&B.typeExpression&&(L=PN($a(1,"this"),Bs(B.typeExpression)));const je=tC(o)?Gk(o):o,Be=je&&iu(je)?W_(wc(je.parent.symbol)):void 0,kt=Be?Be.localTypeParameters:I_t(o);(uae(o)||nr(o)&&lKt(o,y))&&(S|=1),(v3(o)&&Zr(o,64)||iu(o)&&Zr(o.parent,64))&&(S|=4),_.resolvedSignature=_b(o,kt,L,y,void 0,void 0,D,S)}return _.resolvedSignature}function lKt(o,_){if(tC(o)||!MRe(o))return!1;const y=rl(o.parameters),S=y?M6(y):Wk(o).filter(Qm),D=J(S,B=>B.typeExpression&&DX(B.typeExpression.type)?B.typeExpression.type:void 0),L=$a(3,"args",32768);return D?L.links.type=hh(Bs(D.type)):(L.links.checkFlags|=65536,L.links.deferralParent=Ai,L.links.deferralConstituents=[Yc],L.links.deferralWriteConstituents=[Yc]),D&&_.pop(),_.push(L),!0}function j5(o){if(!(nr(o)&&Qc(o)))return;const _=Fk(o);return(_==null?void 0:_.typeExpression)&&VN(Bs(_.typeExpression))}function uKt(o,_){const y=j5(o);if(!y)return;const S=o.parameters.indexOf(_);return _.dotDotDotToken?ste(y,S):u1(y,S)}function dKt(o){const _=j5(o);return _&&Oc(_)}function MRe(o){const _=yr(o);return _.containsArgumentsReference===void 0&&(_.flags&512?_.containsArgumentsReference=!0:_.containsArgumentsReference=y(o.body)),_.containsArgumentsReference;function y(S){if(!S)return!1;switch(S.kind){case 80:return S.escapedText===ut.escapedName&&pU(S)===ut;case 172:case 174:case 177:case 178:return S.name.kind===167&&y(S.name);case 211:case 212:return y(S.expression);case 303:return y(S.initializer);default:return!ece(S)&&!N1(S)&&!!Uo(S,y)}}}function $D(o){if(!o||!o.declarations)return w;const _=[];for(let y=0;y<o.declarations.length;y++){const S=o.declarations[y];if(Ho(S)){if(y>0&&S.body){const D=o.declarations[y-1];if(S.parent===D.parent&&S.kind===D.kind&&S.pos===D.end)continue}if(nr(S)&&S.jsDoc){const D=Uae(S);if(P(D)){for(const L of D){const B=L.typeExpression;B.type===void 0&&!iu(S)&&G2(B,Je),_.push(Dm(B))}continue}}_.push(!lx(S)&&!Ig(S)&&j5(S)||Dm(S))}}return _}function L_t(o){const _=B_(o,o);if(_){const y=sp(_);if(y)return Yn(y)}return Je}function j2(o){if(o.thisParameter)return Yn(o.thisParameter)}function cg(o){if(!o.resolvedTypePredicate){if(o.target){const _=cg(o.target);o.resolvedTypePredicate=_?YXt(_,o.mapper):Lt}else if(o.compositeSignatures)o.resolvedTypePredicate=_Xt(o.compositeSignatures,o.compositeKind)||Lt;else{const _=o.declaration&&wp(o.declaration);let y;if(!_){const S=j5(o.declaration);S&&o!==S&&(y=cg(S))}if(_||y)o.resolvedTypePredicate=_&&HP(_)?fKt(_,o):y||Lt;else if(o.declaration&&Qc(o.declaration)&&(!o.resolvedReturnType||o.resolvedReturnType.flags&16)&&dp(o)>0){const{declaration:S}=o;o.resolvedTypePredicate=Lt,o.resolvedTypePredicate=Kti(S)||Lt}else o.resolvedTypePredicate=Lt}j.assert(!!o.resolvedTypePredicate)}return o.resolvedTypePredicate===Lt?void 0:o.resolvedTypePredicate}function fKt(o,_){const y=o.parameterName,S=o.type&&Bs(o.type);return y.kind===197?Iz(o.assertsModifier?2:0,void 0,void 0,S):Iz(o.assertsModifier?3:1,y.escapedText,ct(_.parameters,D=>D.escapedName===y.escapedText),S)}function P_t(o,_,y){return _!==2097152?is(o,y):Wa(o)}function Oc(o){if(!o.resolvedReturnType){if(!Qy(o,3))return vt;let _=o.target?io(Oc(o.target),o.mapper):o.compositeSignatures?io(P_t(qt(o.compositeSignatures,Oc),o.compositeKind,2),o.mapper):BO(o.declaration)||(lu(o.declaration.body)?Je:dge(o.declaration));if(o.flags&8?_=gmt(_):o.flags&16&&(_=Zx(_)),!Zy()){if(o.declaration){const y=wp(o.declaration);if(y)ft(y,k.Return_type_annotation_circularly_references_itself);else if(De){const S=o.declaration,D=No(S);D?ft(D,k._0_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions,al(D)):ft(S,k.Function_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions)}}_=Je}o.resolvedReturnType??(o.resolvedReturnType=_)}return o.resolvedReturnType}function BO(o){if(o.kind===176)return W_(wc(o.parent.symbol));const _=wp(o);if(tC(o)){const y=t3(o);if(y&&iu(y.parent)&&!_)return W_(wc(y.parent.parent.symbol))}if(e3(o))return Bs(o.parameters[0].type);if(_)return Bs(_);if(o.kind===177&&RO(o)){const y=nr(o)&&Jc(o);if(y)return y;const S=ql(xr(o),178),D=B5(S);if(D)return D}return dKt(o)}function Qpe(o){return o.compositeSignatures&&Ft(o.compositeSignatures,Qpe)||!o.resolvedReturnType&&Hx(o,3)>=0}function hKt(o){return A_t(o)||Je}function A_t(o){if(Ch(o)){const _=Yn(o.parameters[o.parameters.length-1]),y=Qa(_)?Tme(_):_;return y&&H2(y,hi)}}function Nz(o,_,y,S){const D=RRe(o,$x(_,o.typeParameters,sy(o.typeParameters),y));if(S){const L=n0t(Oc(D));if(L){const B=Dz(L);B.typeParameters=S;const K=Dz(D);return K.resolvedReturnType=kN(B),K}}return D}function RRe(o,_){const y=o.instantiations||(o.instantiations=new Map),S=Xg(_);let D=y.get(S);return D||y.set(S,D=Zpe(o,_)),D}function Zpe(o,_){return QA(o,_Kt(o,_),!0)}function O_t(o){return Wr(o.typeParameters,_=>_.mapper?io(_,_.mapper):_)}function _Kt(o,_){return cp(O_t(o),_)}function Lz(o){return o.typeParameters?o.erasedSignatureCache||(o.erasedSignatureCache=pKt(o)):o}function pKt(o){return QA(o,Vpt(o.typeParameters),!0)}function mKt(o){return o.typeParameters?o.canonicalSignatureCache||(o.canonicalSignatureCache=gKt(o)):o}function gKt(o){return Nz(o,qt(o.typeParameters,_=>_.target&&!m_(_.target)?_.target:_),nr(o.declaration))}function yKt(o){return o.typeParameters?o.implementationSignatureCache||(o.implementationSignatureCache=vKt(o)):o}function vKt(o){return o.typeParameters?QA(o,cp([],[])):o}function bKt(o){const _=o.typeParameters;if(_){if(o.baseSignatureCache)return o.baseSignatureCache;const y=Vpt(_),S=cp(_,qt(_,L=>m_(L)||te));let D=qt(_,L=>io(L,S)||te);for(let L=0;L<_.length-1;L++)D=gb(D,S);return D=gb(D,y),o.baseSignatureCache=QA(o,cp(_,D),!0)}return o}function kN(o,_){var y;if(!o.isolatedSignatureType){const S=(y=o.declaration)==null?void 0:y.kind,D=S===void 0||S===176||S===180||S===185,L=V(134217744,$a(16,"__function"));o.declaration&&!cl(o.declaration)&&(L.symbol.declarations=[o.declaration],L.symbol.valueDeclaration=o.declaration),_||(_=o.declaration&&OO(o.declaration,!0)),L.outerTypeParameters=_,L.members=X,L.properties=w,L.callSignatures=D?w:[o],L.constructSignatures=D?[o]:w,L.indexInfos=w,o.isolatedSignatureType=L}return o.isolatedSignatureType}function FRe(o){return o.members?Ype(o.members):void 0}function Ype(o){return o.get("__index")}function nv(o,_,y,S){return{keyType:o,type:_,isReadonly:y,declaration:S}}function M_t(o){const _=FRe(o);return _?BRe(_):w}function BRe(o){if(o.declarations){const _=[];for(const y of o.declarations)if(y.parameters.length===1){const S=y.parameters[0];S.type&&RN(Bs(S.type),D=>{eme(D)&&!IRe(_,D)&&_.push(nv(D,y.type?Bs(y.type):Je,xp(y,8),y))})}return _}return w}function eme(o){return!!(o.flags&4108)||DN(o)||!!(o.flags&2097152)&&!GA(o)&&Ft(o.types,eme)}function tme(o){return es($t(o.symbol&&o.symbol.declarations,$l),R6)[0]}function R_t(o,_){var y;let S;if((y=o.symbol)!=null&&y.declarations){for(const D of o.symbol.declarations)if(D.parent.kind===195){const[L=D.parent,B]=BTe(D.parent.parent);if(B.kind===183&&!_){const K=B,ne=h5e(K);if(ne){const ce=K.typeArguments.indexOf(L);if(ce<ne.length){const ge=m_(ne[ce]);if(ge){const je=m8e(ne,ne.map((kt,Vt)=>()=>qii(K,ne,Vt))),Be=io(ge,je);Be!==o&&(S=fn(S,Be))}}}}else if(B.kind===169&&B.dotDotDotToken||B.kind===191||B.kind===202&&B.dotDotDotToken)S=fn(S,hh(te));else if(B.kind===204)S=fn(S,st);else if(B.kind===168&&B.parent.kind===200)S=fn(S,vi);else if(B.kind===200&&B.type&&Dc(B.type)===D.parent&&B.parent.kind===194&&B.parent.extendsType===B&&B.parent.checkType.kind===200&&B.parent.checkType.type){const K=B.parent.checkType,ne=Bs(K.type);S=fn(S,io(ne,QD(jD(xr(K.typeParameter)),K.typeParameter.constraint?Bs(K.typeParameter.constraint):vi)))}}}return S&&Wa(S)}function z5(o){if(!o.constraint)if(o.target){const _=m_(o.target);o.constraint=_?io(_,o.mapper):ki}else{const _=tme(o);if(!_)o.constraint=R_t(o)||ki;else{let y=Bs(_);y.flags&1&&!fe(y)&&(y=_.parent.parent.kind===200?vi:te),o.constraint=y}}return o.constraint===ki?void 0:o.constraint}function F_t(o){const _=ql(o.symbol,168),y=Rg(_.parent)?rK(_.parent):_.parent;return y&&p_(y)}function Xg(o){let _="";if(o){const y=o.length;let S=0;for(;S<y;){const D=o[S].id;let L=1;for(;S+L<y&&o[S+L].id===D+L;)L++;_.length&&(_+=","),_+=D,L>1&&(_+=":"+L),S+=L}}return _}function qA(o,_){return o?`@${Ba(o)}`+(_?`:${Xg(_)}`:""):""}function lee(o,_){let y=0;for(const S of o)(_===void 0||!(S.flags&_))&&(y|=Or(S));return y&458752}function WO(o,_){return Ft(_)&&o===oo?te:wC(o,_)}function wC(o,_){const y=Xg(_);let S=o.instantiations.get(y);return S||(S=V(4,o.symbol),o.instantiations.set(y,S),S.objectFlags|=_?lee(_):0,S.target=o,S.resolvedTypeArguments=_),S}function B_t(o){const _=Kg(o.flags,o.symbol);return _.objectFlags=o.objectFlags,_.target=o.target,_.resolvedTypeArguments=o.resolvedTypeArguments,_}function WRe(o,_,y,S,D){if(!S){S=KA(_);const B=zO(S);D=y?gb(B,y):B}const L=V(4,o.symbol);return L.target=o,L.node=_,L.mapper=y,L.aliasSymbol=S,L.aliasTypeArguments=D,L}function Pl(o){var _,y;if(!o.resolvedTypeArguments){if(!Qy(o,5))return Js(o.target.outerTypeParameters,(_=o.target.localTypeParameters)==null?void 0:_.map(()=>vt))||w;const S=o.node,D=S?S.kind===183?Js(o.target.outerTypeParameters,gge(S,o.target.localTypeParameters)):S.kind===188?[Bs(S.elementType)]:qt(S.elements,Bs):w;Zy()?o.resolvedTypeArguments??(o.resolvedTypeArguments=o.mapper?gb(D,o.mapper):D):(o.resolvedTypeArguments??(o.resolvedTypeArguments=Js(o.target.outerTypeParameters,((y=o.target.localTypeParameters)==null?void 0:y.map(()=>vt))||w)),ft(o.node||H,o.target.symbol?k.Type_arguments_for_0_circularly_reference_themselves:k.Tuple_type_arguments_circularly_reference_themselves,o.target.symbol&&eo(o.target.symbol)))}return o.resolvedTypeArguments}function QS(o){return P(o.target.typeParameters)}function W_t(o,_){const y=gl(wc(_)),S=y.localTypeParameters;if(S){const D=P(o.typeArguments),L=sy(S),B=nr(o);if(!(!De&&B)&&(D<L||D>S.length)){const ce=B&&Yb(o)&&!KP(o.parent),ge=L===S.length?ce?k.Expected_0_type_arguments_provide_these_with_an_extends_tag:k.Generic_type_0_requires_1_type_argument_s:ce?k.Expected_0_1_type_arguments_provide_these_with_an_extends_tag:k.Generic_type_0_requires_between_1_and_2_type_arguments,je=Hn(y,void 0,2);if(ft(o,ge,je,L,S.length),!B)return vt}if(o.kind===183&&cpt(o,P(o.typeArguments)!==S.length))return WRe(y,o,void 0);const ne=Js(y.outerTypeParameters,$x(dee(o),S,L,B));return wC(y,ne)}return JD(o,_)?y:vt}function VO(o,_,y,S){const D=gl(o);if(D===gr){const ce=Lue.get(o.escapedName);if(ce!==void 0&&_&&_.length===1)return ce===4?VRe(_[0]):JA(o,_[0])}const L=Ys(o),B=L.typeParameters,K=Xg(_)+qA(y,S);let ne=L.instantiations.get(K);return ne||L.instantiations.set(K,ne=zpt(D,cp(B,$x(_,B,sy(B),nr(o.valueDeclaration))),y,S)),ne}function CKt(o,_){if(Iu(_)&1048576){const D=dee(o),L=qA(_,D);let B=gt.get(L);return B||(B=Td(1,"error",void 0,`alias ${L}`),B.aliasSymbol=_,B.aliasTypeArguments=D,gt.set(L,B)),B}const y=gl(_),S=Ys(_).typeParameters;if(S){const D=P(o.typeArguments),L=sy(S);if(D<L||D>S.length)return ft(o,L===S.length?k.Generic_type_0_requires_1_type_argument_s:k.Generic_type_0_requires_between_1_and_2_type_arguments,eo(_),L,S.length),vt;const B=KA(o);let K=B&&(V_t(_)||!V_t(B))?B:void 0,ne;if(K)ne=zO(K);else if(xG(o)){const ce=U5(o,2097152,!0);if(ce&&ce!==pt){const ge=$f(ce);ge&&ge.flags&524288&&(K=ge,ne=dee(o)||(S?[]:void 0))}}return VO(_,dee(o),K,ne)}return JD(o,_)?y:vt}function V_t(o){var _;const y=(_=o.declarations)==null?void 0:_.find(SV);return!!(y&&Up(y))}function SKt(o){switch(o.kind){case 183:return o.typeName;case 233:const _=o.expression;if(pl(_))return _}}function H_t(o){return o.parent?`${H_t(o.parent)}.${o.escapedName}`:o.escapedName}function ime(o){const y=(o.kind===166?o.right:o.kind===211?o.name:o).escapedText;if(y){const S=o.kind===166?ime(o.left):o.kind===211?ime(o.expression):void 0,D=S?`${H_t(S)}.${y}`:y;let L=Ze.get(D);return L||(Ze.set(D,L=$a(524288,y,1048576)),L.parent=S,L.links.declaredType=Ni),L}return pt}function U5(o,_,y){const S=SKt(o);if(!S)return pt;const D=nu(S,_,y);return D&&D!==pt?D:y?pt:ime(S)}function nme(o,_){if(_===pt)return vt;if(_=hN(_)||_,_.flags&96)return W_t(o,_);if(_.flags&524288)return CKt(o,_);const y=i_t(_);if(y)return JD(o,_)?Xh(y):vt;if(_.flags&111551&&rme(o)){const S=wKt(o,_);return S||(U5(o,788968),Yn(_))}return vt}function wKt(o,_){const y=yr(o);if(!y.resolvedJSDocType){const S=Yn(_);let D=S;if(_.valueDeclaration){const L=o.kind===205&&o.qualifier;S.symbol&&S.symbol!==_&&L&&(D=nme(o,S.symbol))}y.resolvedJSDocType=D}return y.resolvedJSDocType}function VRe(o){return HRe(o)?j_t(o,te):o}function HRe(o){return!!(o.flags&3145728&&Ft(o.types,HRe)||o.flags&33554432&&!HO(o)&&HRe(o.baseType)||o.flags&524288&&!sv(o)||o.flags&432275456&&!DN(o))}function HO(o){return!!(o.flags&33554432&&o.constraint.flags&2)}function jRe(o,_){return _.flags&3||_===o||o.flags&1?o:j_t(o,_)}function j_t(o,_){const y=`${kf(o)}>${kf(_)}`,S=ma.get(y);if(S)return S;const D=t1(33554432);return D.baseType=o,D.constraint=_,ma.set(y,D),D}function zRe(o){return HO(o)?o.baseType:Wa([o.constraint,o.baseType])}function z_t(o){return o.kind===189&&o.elements.length===1}function U_t(o,_,y){return z_t(_)&&z_t(y)?U_t(o,_.elements[0],y.elements[0]):Kx(Bs(_))===Kx(o)?Bs(y):void 0}function xKt(o,_){let y,S=!0;for(;_&&!Ps(_)&&_.kind!==320;){const D=_.parent;if(D.kind===169&&(S=!S),(S||o.flags&8650752)&&D.kind===194&&_===D.trueType){const L=U_t(o,D.checkType,D.extendsType);L&&(y=fn(y,L))}else if(o.flags&262144&&D.kind===200&&!D.nameType&&_===D.type){const L=Bs(D);if(s1(L)===Kx(o)){const B=Rz(L);if(B){const K=m_(B);K&&up(K,$2)&&(y=fn(y,is([hi,$o])))}}}_=D}return y?jRe(o,Wa(y)):o}function rme(o){return!!(o.flags&16777216)&&(o.kind===183||o.kind===205)}function JD(o,_){return o.typeArguments?(ft(o,k.Type_0_is_not_generic,_?eo(_):o.typeName?al(o.typeName):Due),!1):!0}function q_t(o){if(ot(o.typeName)){const _=o.typeArguments;switch(o.typeName.escapedText){case"String":return JD(o),st;case"Number":return JD(o),hi;case"Boolean":return JD(o),fi;case"Void":return JD(o),Ri;case"Undefined":return JD(o),tt;case"Null":return JD(o),Qt;case"Function":case"function":return JD(o),kd;case"array":return(!_||!_.length)&&!De?Yc:void 0;case"promise":return(!_||!_.length)&&!De?ate(Je):void 0;case"Object":if(_&&_.length===2){if(eK(o)){const y=Bs(_[0]),S=Bs(_[1]),D=y===st||y===hi?[nv(y,S,!1)]:w;return Xs(void 0,X,w,w,D)}return Je}return JD(o),De?void 0:Je}}}function kKt(o){const _=Bs(o.type);return we?Lee(_,65536):_}function uee(o){const _=yr(o);if(!_.resolvedType){if(O0(o)&&Hk(o.parent))return _.resolvedSymbol=pt,_.resolvedType=ju(o.parent.expression);let y,S;const D=788968;rme(o)&&(S=q_t(o),S||(y=U5(o,D,!0),y===pt?y=U5(o,D|111551):U5(o,D),S=nme(o,y))),S||(y=U5(o,D),S=nme(o,y)),_.resolvedSymbol=y,_.resolvedType=S}return _.resolvedType}function dee(o){return qt(o.typeArguments,Bs)}function $_t(o){const _=yr(o);if(!_.resolvedType){const y=w0t(o);_.resolvedType=Xh(lp(y))}return _.resolvedType}function J_t(o,_){function y(D){const L=D.declarations;if(L)for(const B of L)switch(B.kind){case 263:case 264:case 266:return B}}if(!o)return _?oo:Jo;const S=gl(o);return S.flags&524288?P(S.typeParameters)!==_?(ft(y(o),k.Global_type_0_must_have_1_type_parameter_s,Eu(o),_),_?oo:Jo):S:(ft(y(o),k.Global_type_0_must_be_a_class_or_interface_type,Eu(o)),_?oo:Jo)}function URe(o,_){return jO(o,111551,_?k.Cannot_find_global_value_0:void 0)}function qRe(o,_){return jO(o,788968,_?k.Cannot_find_global_type_0:void 0)}function sme(o,_,y){const S=jO(o,788968,y?k.Cannot_find_global_type_0:void 0);if(S&&(gl(S),P(Ys(S).typeParameters)!==_)){const D=S.declarations&&Fe(S.declarations,Mg);ft(D,k.Global_type_0_must_have_1_type_parameter_s,Eu(S),_);return}return S}function jO(o,_,y){return _i(void 0,o,_,y,!1,!1)}function Su(o,_,y){const S=qRe(o,y);return S||y?J_t(S,_):void 0}function G_t(o,_){let y;for(const S of o)y=fn(y,Su(S,_,!1));return y??w}function TKt(){return ym||(ym=Su("TypedPropertyDescriptor",1,!0)||oo)}function DKt(){return Xe||(Xe=Su("TemplateStringsArray",0,!0)||Jo)}function K_t(){return Zt||(Zt=Su("ImportMeta",0,!0)||Jo)}function X_t(){if(!Nn){const o=$a(0,"ImportMetaExpression"),_=K_t(),y=$a(4,"meta",8);y.parent=o,y.links.type=_;const S=_a([y]);o.members=S,Nn=Xs(o,S,w,w,w)}return Nn}function Q_t(o){return Is||(Is=Su("ImportCallOptions",0,o))||Jo}function $Re(o){return Qo||(Qo=Su("ImportAttributes",0,o))||Jo}function Z_t(o){return Vg||(Vg=URe("Symbol",o))}function EKt(o){return Y_||(Y_=qRe("SymbolConstructor",o))}function Y_t(){return Jp||(Jp=Su("Symbol",0,!1))||Jo}function fee(o){return tg||(tg=Su("Promise",1,o))||oo}function ept(o){return Zv||(Zv=Su("PromiseLike",1,o))||oo}function JRe(o){return Hg||(Hg=URe("Promise",o))}function IKt(o){return F_||(F_=Su("PromiseConstructorLike",0,o))||Jo}function hee(o){return mi||(mi=Su("AsyncIterable",3,o))||oo}function NKt(o){return Ci||(Ci=Su("AsyncIterator",3,o))||oo}function tpt(o){return hn||(hn=Su("AsyncIterableIterator",3,o))||oo}function LKt(){return Lr??(Lr=G_t(["ReadableStreamAsyncIterator"],1))}function PKt(o){return ps||(ps=Su("AsyncIteratorObject",3,o))||oo}function AKt(o){return ee||(ee=Su("AsyncGenerator",3,o))||oo}function ome(o){return jd||(jd=Su("Iterable",3,o))||oo}function OKt(o){return lC||(lC=Su("Iterator",3,o))||oo}function ipt(o){return h_||(h_=Su("IterableIterator",3,o))||oo}function GRe(){return Te?tt:Je}function MKt(){return Wn??(Wn=G_t(["ArrayIterator","MapIterator","SetIterator","StringIterator"],1))}function RKt(o){return Yv||(Yv=Su("IteratorObject",3,o))||oo}function FKt(o){return vm||(vm=Su("Generator",3,o))||oo}function BKt(o){return ve||(ve=Su("IteratorYieldResult",1,o))||oo}function WKt(o){return Ot||(Ot=Su("IteratorReturnResult",1,o))||oo}function npt(o){return To||(To=Su("Disposable",0,o))||Jo}function VKt(o){return Zo||(Zo=Su("AsyncDisposable",0,o))||Jo}function rpt(o,_=0){const y=jO(o,788968,void 0);return y&&J_t(y,_)}function HKt(){return uc||(uc=sme("Extract",2,!0)||pt),uc===pt?void 0:uc}function jKt(){return Xa||(Xa=sme("Omit",2,!0)||pt),Xa===pt?void 0:Xa}function KRe(o){return Yl||(Yl=sme("Awaited",1,o)||(o?pt:void 0)),Yl===pt?void 0:Yl}function zKt(){return ig||(ig=Su("BigInt",0,!1))||Jo}function UKt(o){return eb??(eb=Su("ClassDecoratorContext",1,o))??oo}function qKt(o){return PS??(PS=Su("ClassMethodDecoratorContext",2,o))??oo}function $Kt(o){return tb??(tb=Su("ClassGetterDecoratorContext",2,o))??oo}function JKt(o){return ng??(ng=Su("ClassSetterDecoratorContext",2,o))??oo}function GKt(o){return rg??(rg=Su("ClassAccessorDecoratorContext",2,o))??oo}function KKt(o){return Vl??(Vl=Su("ClassAccessorDecoratorTarget",2,o))??oo}function XKt(o){return Gp??(Gp=Su("ClassAccessorDecoratorResult",2,o))??oo}function QKt(o){return ep??(ep=Su("ClassFieldDecoratorContext",2,o))??oo}function ZKt(){return h0||(h0=URe("NaN",!1))}function YKt(){return K0||(K0=sme("Record",2,!0)||pt),K0===pt?void 0:K0}function q5(o,_){return o!==oo?wC(o,_):Jo}function spt(o){return q5(TKt(),[o])}function opt(o){return q5(ome(!0),[o,Ri,tt])}function hh(o,_){return q5(_?En:li,[o])}function XRe(o){switch(o.kind){case 190:return 2;case 191:return apt(o);case 202:return o.questionToken?2:o.dotDotDotToken?apt(o):1;default:return 1}}function apt(o){return vee(o.type)?4:8}function eXt(o){const _=nXt(o.parent);if(vee(o))return _?En:li;const S=qt(o.elements,XRe);return QRe(S,_,qt(o.elements,tXt))}function tXt(o){return b3(o)||$s(o)?o:void 0}function cpt(o,_){return!!KA(o)||lpt(o)&&(o.kind===188?Jx(o.elementType):o.kind===189?Ft(o.elements,Jx):_||Ft(o.typeArguments,Jx))}function lpt(o){const _=o.parent;switch(_.kind){case 196:case 202:case 183:case 192:case 193:case 199:case 194:case 198:case 188:case 189:return lpt(_);case 265:return!0}return!1}function Jx(o){switch(o.kind){case 183:return rme(o)||!!(U5(o,788968).flags&524288);case 186:return!0;case 198:return o.operator!==158&&Jx(o.type);case 196:case 190:case 202:case 316:case 314:case 315:case 309:return Jx(o.type);case 191:return o.type.kind!==188||Jx(o.type.elementType);case 192:case 193:return Ft(o.types,Jx);case 199:return Jx(o.objectType)||Jx(o.indexType);case 194:return Jx(o.checkType)||Jx(o.extendsType)||Jx(o.trueType)||Jx(o.falseType)}return!1}function iXt(o){const _=yr(o);if(!_.resolvedType){const y=eXt(o);if(y===oo)_.resolvedType=Jo;else if(!(o.kind===189&&Ft(o.elements,S=>!!(XRe(S)&8)))&&cpt(o))_.resolvedType=o.kind===189&&o.elements.length===0?y:WRe(y,o,void 0);else{const S=o.kind===188?[Bs(o.elementType)]:qt(o.elements,Bs);_.resolvedType=ZRe(y,S)}}return _.resolvedType}function nXt(o){return t2(o)&&o.operator===148}function rv(o,_,y=!1,S=[]){const D=QRe(_||qt(o,L=>1),y,S);return D===oo?Jo:o.length?ZRe(D,o):D}function QRe(o,_,y){if(o.length===1&&o[0]&4)return _?En:li;const S=qt(o,L=>L&1?"#":L&2?"?":L&4?".":"*").join()+(_?"R":"")+(Ft(y,L=>!!L)?","+qt(y,L=>L?Sc(L):"_").join(","):"");let D=ls.get(S);return D||ls.set(S,D=rXt(o,_,y)),D}function rXt(o,_,y){const S=o.length,D=$i(o,je=>!!(je&9));let L;const B=[];let K=0;if(S){L=new Array(S);for(let je=0;je<S;je++){const Be=L[je]=Ut(),kt=o[je];if(K|=kt,!(K&12)){const Vt=$a(4|(kt&2?16777216:0),""+je,_?8:0);Vt.links.tupleLabelDeclaration=y==null?void 0:y[je],Vt.links.type=Be,B.push(Vt)}}}const ne=B.length,ce=$a(4,"length",_?8:0);if(K&12)ce.links.type=hi;else{const je=[];for(let Be=D;Be<=S;Be++)je.push(v0(Be));ce.links.type=is(je)}B.push(ce);const ge=V(12);return ge.typeParameters=L,ge.outerTypeParameters=void 0,ge.localTypeParameters=L,ge.instantiations=new Map,ge.instantiations.set(Xg(ge.typeParameters),ge),ge.target=ge,ge.resolvedTypeArguments=ge.typeParameters,ge.thisType=Ut(),ge.thisType.isThisType=!0,ge.thisType.constraint=ge,ge.declaredProperties=B,ge.declaredCallSignatures=w,ge.declaredConstructSignatures=w,ge.declaredIndexInfos=w,ge.elementFlags=o,ge.minLength=D,ge.fixedLength=ne,ge.hasRestElement=!!(K&12),ge.combinedFlags=K,ge.readonly=_,ge.labeledElementDeclarations=y,ge}function ZRe(o,_){return o.objectFlags&8?YRe(o,_):wC(o,_)}function YRe(o,_){var y,S,D,L;if(!(o.combinedFlags&14))return wC(o,_);if(o.combinedFlags&8){const Vt=ct(_,(Kt,Oi)=>!!(o.elementFlags[Oi]&8&&Kt.flags&1179648));if(Vt>=0)return pee(qt(_,(Kt,Oi)=>o.elementFlags[Oi]&8?Kt:te))?eu(_[Vt],Kt=>YRe(o,Fc(_,Vt,Kt))):vt}const B=[],K=[],ne=[];let ce=-1,ge=-1,je=-1;for(let Vt=0;Vt<_.length;Vt++){const Kt=_[Vt],Oi=o.elementFlags[Vt];if(Oi&8)if(Kt.flags&1)kt(Kt,4,(y=o.labeledElementDeclarations)==null?void 0:y[Vt]);else if(Kt.flags&58982400||op(Kt))kt(Kt,8,(S=o.labeledElementDeclarations)==null?void 0:S[Vt]);else if(Qa(Kt)){const Ki=GD(Kt);if(Ki.length+B.length>=1e4)return ft(H,N1(H)?k.Type_produces_a_tuple_type_that_is_too_large_to_represent:k.Expression_produces_a_tuple_type_that_is_too_large_to_represent),vt;O(Ki,(qn,Qn)=>{var rn;return kt(qn,Kt.target.elementFlags[Qn],(rn=Kt.target.labeledElementDeclarations)==null?void 0:rn[Qn])})}else kt(YS(Kt)&&H2(Kt,hi)||vt,4,(D=o.labeledElementDeclarations)==null?void 0:D[Vt]);else kt(Kt,Oi,(L=o.labeledElementDeclarations)==null?void 0:L[Vt])}for(let Vt=0;Vt<ce;Vt++)K[Vt]&2&&(K[Vt]=1);ge>=0&&ge<je&&(B[ge]=is(Wr(B.slice(ge,je+1),(Vt,Kt)=>K[ge+Kt]&8?ap(Vt,hi):Vt)),B.splice(ge+1,je-ge),K.splice(ge+1,je-ge),ne.splice(ge+1,je-ge));const Be=QRe(K,o.readonly,ne);return Be===oo?Jo:K.length?wC(Be,B):Be;function kt(Vt,Kt,Oi){Kt&1&&(ce=K.length),Kt&4&&ge<0&&(ge=K.length),Kt&6&&(je=K.length),B.push(Kt&2?Dd(Vt,!0):Vt),K.push(Kt),ne.push(Oi)}}function $5(o,_,y=0){const S=o.target,D=QS(o)-y;return _>S.fixedLength?UQt(o)||rv(w):rv(Pl(o).slice(_,D),S.elementFlags.slice(_,D),!1,S.labeledElementDeclarations&&S.labeledElementDeclarations.slice(_,D))}function upt(o){return is(fn(zc(o.target.fixedLength,_=>V_(""+_)),oy(o.target.readonly?En:li)))}function sXt(o,_){const y=ct(o.elementFlags,S=>!(S&_));return y>=0?y:o.elementFlags.length}function Pz(o,_){return o.elementFlags.length-Mt(o.elementFlags,y=>!(y&_))-1}function e8e(o){return o.fixedLength+Pz(o,3)}function GD(o){const _=Pl(o),y=QS(o);return _.length===y?_:_.slice(0,y)}function oXt(o){return Dd(Bs(o.type),!0)}function kf(o){return o.id}function mb(o,_){return Jt(o,_,kf,_l)>=0}function _ee(o,_){const y=Jt(o,_,kf,_l);return y<0?(o.splice(~y,0,_),!0):!1}function aXt(o,_,y){const S=y.flags;if(!(S&131072))if(_|=S&473694207,S&465829888&&(_|=33554432),S&2097152&&Or(y)&67108864&&(_|=536870912),y===Ct&&(_|=8388608),fe(y)&&(_|=1073741824),!we&&S&98304)Or(y)&65536||(_|=4194304);else{const D=o.length,L=D&&y.id>o[D-1].id?~D:Jt(o,y,kf,_l);L<0&&o.splice(~L,0,y)}return _}function dpt(o,_,y){let S;for(const D of y)D!==S&&(_=D.flags&1048576?dpt(o,_|(hXt(D)?1048576:0),D.types):aXt(o,_,D),S=D);return _}function cXt(o,_){var y;if(o.length<2)return o;const S=Xg(o),D=ka.get(S);if(D)return D;const L=_&&Ft(o,ce=>!!(ce.flags&524288)&&!op(ce)&&w8e(o1(ce))),B=o.length;let K=B,ne=0;for(;K>0;){K--;const ce=o[K];if(L||ce.flags&469499904){if(ce.flags&262144&&iv(ce).flags&1048576){ay(ce,is(qt(o,Be=>Be===ce?Ai:Be)),Cm)&&zw(o,K);continue}const ge=ce.flags&61603840?Fe(Ac(ce),Be=>c1(Yn(Be))):void 0,je=ge&&Xh(Yn(ge));for(const Be of o)if(ce!==Be){if(ne===1e5&&ne/(B-K)*B>1e6){(y=Zn)==null||y.instant(Zn.Phase.CheckTypes,"removeSubtypes_DepthLimit",{typeIds:o.map(Vt=>Vt.id)}),ft(H,k.Expression_produces_a_union_type_that_is_too_complex_to_represent);return}if(ne++,ge&&Be.flags&61603840){const kt=Yu(Be,ge.escapedName);if(kt&&c1(kt)&&Xh(kt)!==je)continue}if(ay(ce,Be,Cm)&&(!(Or(SN(ce))&1)||!(Or(SN(Be))&1)||q2(ce,Be))){zw(o,K);break}}}}return ka.set(S,o),o}function lXt(o,_,y){let S=o.length;for(;S>0;){S--;const D=o[S],L=D.flags;(L&402653312&&_&4||L&256&&_&8||L&2048&&_&64||L&8192&&_&4096||y&&L&32768&&_&16384||XD(D)&&mb(o,D.regularType))&&zw(o,S)}}function uXt(o){const _=$t(o,DN);if(_.length){let y=o.length;for(;y>0;){y--;const S=o[y];S.flags&128&&Ft(_,D=>dXt(S,D))&&zw(o,y)}}}function dXt(o,_){return _.flags&134217728?Rme(o,_):Mme(o,_)}function fXt(o){const _=[];for(const y of o)if(y.flags&2097152&&Or(y)&67108864){const S=y.types[0].flags&8650752?0:1;mu(_,y.types[S])}for(const y of _){const S=[];for(const L of o)if(L.flags&2097152&&Or(L)&67108864){const B=L.types[0].flags&8650752?0:1;L.types[B]===y&&_ee(S,L.types[1-B])}const D=Jf(y);if(up(D,L=>mb(S,L))){let L=o.length;for(;L>0;){L--;const B=o[L];if(B.flags&2097152&&Or(B)&67108864){const K=B.types[0].flags&8650752?0:1;B.types[K]===y&&mb(S,B.types[1-K])&&zw(o,L)}}_ee(o,y)}}}function hXt(o){return!!(o.flags&1048576&&(o.aliasSymbol||o.origin))}function fpt(o,_){for(const y of _)if(y.flags&1048576){const S=y.origin;y.aliasSymbol||S&&!(S.flags&1048576)?mu(o,y):S&&S.flags&1048576&&fpt(o,S.types)}}function t8e(o,_){const y=mN(o);return y.types=_,y}function is(o,_=1,y,S,D){if(o.length===0)return Ai;if(o.length===1)return o[0];if(o.length===2&&!D&&(o[0].flags&1048576||o[1].flags&1048576)){const L=_===0?"N":_===2?"S":"L",B=o[0].id<o[1].id?0:1,K=o[B].id+L+o[1-B].id+qA(y,S);let ne=Mn.get(K);return ne||(ne=hpt(o,_,y,S,void 0),Mn.set(K,ne)),ne}return hpt(o,_,y,S,D)}function hpt(o,_,y,S,D){let L=[];const B=dpt(L,0,o);if(_!==0){if(B&3)return B&1?B&8388608?Ct:B&1073741824?vt:Je:te;if(B&32768&&L.length>=2&&L[0]===tt&&L[1]===le&&zw(L,1),(B&402664352||B&16384&&B&32768)&&lXt(L,B,!!(_&2)),B&128&&B&402653184&&uXt(L),B&536870912&&fXt(L),_===2&&(L=cXt(L,!!(B&524288)),!L))return vt;if(L.length===0)return B&65536?B&4194304?Qt:lt:B&32768?B&4194304?tt:Gt:Ai}if(!D&&B&1048576){const ne=[];fpt(ne,o);const ce=[];for(const je of L)Ft(ne,Be=>mb(Be.types,je))||ce.push(je);if(!y&&ne.length===1&&ce.length===0)return ne[0];if(oi(ne,(je,Be)=>je+Be.types.length,0)+ce.length===L.length){for(const je of ne)_ee(ce,je);D=t8e(1048576,ce)}}const K=(B&36323331?0:32768)|(B&2097152?16777216:0);return n8e(L,K,y,S,D)}function _Xt(o,_){let y;const S=[];for(const L of o){const B=cg(L);if(B){if(B.kind!==0&&B.kind!==1||y&&!i8e(y,B))return;y=B,S.push(B.type)}else{const K=_!==2097152?Oc(L):void 0;if(K!==ln&&K!==Un)return}}if(!y)return;const D=P_t(S,_);return Iz(y.kind,y.parameterName,y.parameterIndex,D)}function i8e(o,_){return o.kind===_.kind&&o.parameterIndex===_.parameterIndex}function n8e(o,_,y,S,D){if(o.length===0)return Ai;if(o.length===1)return o[0];const B=(D?D.flags&1048576?`|${Xg(D.types)}`:D.flags&2097152?`&${Xg(D.types)}`:`#${D.type.id}|${Xg(o)}`:Xg(o))+qA(y,S);let K=Qs.get(B);return K||(K=t1(1048576),K.objectFlags=_|lee(o,98304),K.types=o,K.origin=D,K.aliasSymbol=y,K.aliasTypeArguments=S,o.length===2&&o[0].flags&512&&o[1].flags&512&&(K.flags|=16,K.intrinsicName="boolean"),Qs.set(B,K)),K}function pXt(o){const _=yr(o);if(!_.resolvedType){const y=KA(o);_.resolvedType=is(qt(o.types,Bs),1,y,zO(y))}return _.resolvedType}function mXt(o,_,y){const S=y.flags;return S&2097152?_pt(o,_,y.types):(sv(y)?_&16777216||(_|=16777216,o.set(y.id.toString(),y)):(S&3?(y===Ct&&(_|=8388608),fe(y)&&(_|=1073741824)):(we||!(S&98304))&&(y===le&&(_|=262144,y=tt),o.has(y.id.toString())||(y.flags&109472&&_&109472&&(_|=67108864),o.set(y.id.toString(),y))),_|=S&473694207),_)}function _pt(o,_,y){for(const S of y)_=mXt(o,_,Xh(S));return _}function gXt(o,_){let y=o.length;for(;y>0;){y--;const S=o[y];(S.flags&4&&_&402653312||S.flags&8&&_&256||S.flags&64&&_&2048||S.flags&4096&&_&8192||S.flags&16384&&_&32768||sv(S)&&_&470302716)&&zw(o,y)}}function yXt(o,_){for(const y of o)if(!mb(y.types,_)){if(_===le)return mb(y.types,tt);if(_===tt)return mb(y.types,le);const S=_.flags&128?st:_.flags&288?hi:_.flags&2048?vn:_.flags&8192?wi:void 0;if(!S||!mb(y.types,S))return!1}return!0}function vXt(o){let _=o.length;const y=$t(o,S=>!!(S.flags&128));for(;_>0;){_--;const S=o[_];if(S.flags&402653184){for(const D of y)if(YD(D,S)){zw(o,_);break}else if(DN(S))return!0}}return!1}function ppt(o,_){for(let y=0;y<o.length;y++)o[y]=ad(o[y],S=>!(S.flags&_))}function bXt(o){let _;const y=ct(o,B=>!!(Or(B)&32768));if(y<0)return!1;let S=y+1;for(;S<o.length;){const B=o[S];Or(B)&32768?((_||(_=[o[y]])).push(B),zw(o,S)):S++}if(!_)return!1;const D=[],L=[];for(const B of _)for(const K of B.types)if(_ee(D,K)&&yXt(_,K)){if(K===tt&&L.length&&L[0]===le)continue;if(K===le&&L.length&&L[0]===tt){L[0]=le;continue}_ee(L,K)}return o[y]=n8e(L,32768),!0}function CXt(o,_,y,S){const D=t1(2097152);return D.objectFlags=_|lee(o,98304),D.types=o,D.aliasSymbol=y,D.aliasTypeArguments=S,D}function Wa(o,_=0,y,S){const D=new Map,L=_pt(D,0,o),B=as(D.values());let K=0;if(L&131072)return Yt(B,Xn)?Xn:Ai;if(we&&L&98304&&L&84410368||L&67108864&&L&402783228||L&402653316&&L&67238776||L&296&&L&469891796||L&2112&&L&469889980||L&12288&&L&469879804||L&49152&&L&469842940||L&402653184&&L&128&&vXt(B))return Ai;if(L&1)return L&8388608?Ct:L&1073741824?vt:Je;if(!we&&L&98304)return L&16777216?Ai:L&32768?tt:Qt;if((L&4&&L&402653312||L&8&&L&256||L&64&&L&2048||L&4096&&L&8192||L&16384&&L&32768||L&16777216&&L&470302716)&&(_&1||gXt(B,L)),L&262144&&(B[B.indexOf(tt)]=le),B.length===0)return te;if(B.length===1)return B[0];if(B.length===2&&!(_&2)){const ge=B[0].flags&8650752?0:1,je=B[ge],Be=B[1-ge];if(je.flags&8650752&&(Be.flags&469893116&&!Tpt(Be)||L&16777216)){const kt=Jf(je);if(kt&&up(kt,Vt=>!!(Vt.flags&469893116)||sv(Vt))){if(See(kt,Be))return je;if(!(kt.flags&1048576&&dg(kt,Vt=>See(Vt,Be)))&&!See(Be,kt))return Ai;K=67108864}}}const ne=Xg(B)+(_&2?"*":qA(y,S));let ce=Zs.get(ne);if(!ce){if(L&1048576)if(bXt(B))ce=Wa(B,_,y,S);else if(Ce(B,ge=>!!(ge.flags&1048576&&ge.types[0].flags&32768))){const ge=Ft(B,zz)?le:tt;ppt(B,32768),ce=is([Wa(B,_),ge],1,y,S)}else if(Ce(B,ge=>!!(ge.flags&1048576&&(ge.types[0].flags&65536||ge.types[1].flags&65536))))ppt(B,65536),ce=is([Wa(B,_),Qt],1,y,S);else if(B.length>=3&&o.length>2){const ge=Math.floor(B.length/2);ce=Wa([Wa(B.slice(0,ge),_),Wa(B.slice(ge),_)],_,y,S)}else{if(!pee(B))return vt;const ge=SXt(B,_),je=Ft(ge,Be=>!!(Be.flags&2097152))&&r8e(ge)>r8e(B)?t8e(2097152,B):void 0;ce=is(ge,1,y,S,je)}else ce=CXt(B,K,y,S);Zs.set(ne,ce)}return ce}function mpt(o){return oi(o,(_,y)=>y.flags&1048576?_*y.types.length:y.flags&131072?0:_,1)}function pee(o){var _;const y=mpt(o);return y>=1e5?((_=Zn)==null||_.instant(Zn.Phase.CheckTypes,"checkCrossProductUnion_DepthLimit",{typeIds:o.map(S=>S.id),size:y}),ft(H,k.Expression_produces_a_union_type_that_is_too_complex_to_represent),!1):!0}function SXt(o,_){const y=mpt(o),S=[];for(let D=0;D<y;D++){const L=o.slice();let B=D;for(let ne=o.length-1;ne>=0;ne--)if(o[ne].flags&1048576){const ce=o[ne].types,ge=ce.length;L[ne]=ce[B%ge],B=Math.floor(B/ge)}const K=Wa(L,_);K.flags&131072||S.push(K)}return S}function gpt(o){return!(o.flags&3145728)||o.aliasSymbol?1:o.flags&1048576&&o.origin?gpt(o.origin):r8e(o.types)}function r8e(o){return oi(o,(_,y)=>_+gpt(y),0)}function wXt(o){const _=yr(o);if(!_.resolvedType){const y=KA(o),S=qt(o.types,Bs),D=S.length===2?S.indexOf(go):-1,L=D>=0?S[1-D]:te,B=!!(L.flags&76||L.flags&134217728&&DN(L));_.resolvedType=Wa(S,B?1:0,y,zO(y))}return _.resolvedType}function ypt(o,_){const y=t1(4194304);return y.type=o,y.indexFlags=_,y}function xXt(o){const _=mN(4194304);return _.type=o,_}function vpt(o,_){return _&1?o.resolvedStringIndexType||(o.resolvedStringIndexType=ypt(o,1)):o.resolvedIndexType||(o.resolvedIndexType=ypt(o,0))}function bpt(o,_){const y=s1(o),S=Tm(o),D=KS(o.target||o);if(!D&&!(_&2))return S;const L=[];if(IN(S)){if(FO(o))return vpt(o,_);RN(S,K)}else if(FO(o)){const ne=Kh(zD(o));CRe(ne,8576,!!(_&1),K)}else RN(iee(S),K);const B=_&2?ad(is(L),ne=>!(ne.flags&5)):is(L);if(B.flags&1048576&&S.flags&1048576&&Xg(B.types)===Xg(S.types))return S;return B;function K(ne){const ce=D?io(D,Mz(o.mapper,y,ne)):ne;L.push(ce===st?cn:ce)}}function kXt(o){const _=s1(o);return y(KS(o)||_);function y(S){return S.flags&470810623?!0:S.flags&16777216?S.root.isDistributive&&S.checkType===_:S.flags&137363456?Ce(S.types,y):S.flags&8388608?y(S.objectType)&&y(S.indexType):S.flags&33554432?y(S.baseType)&&y(S.constraint):S.flags&268435456?y(S.type):!1}}function xC(o){if(Vs(o))return Ai;if(A_(o))return Xh(uo(o));if(Ka(o))return Xh(cy(o));const _=CI(o);return _!==void 0?V_(Ws(_)):jt(o)?Xh(uo(o)):Ai}function $A(o,_,y){if(y||!($m(o)&6)){let S=Ys(Kpe(o)).nameType;if(!S){const D=No(o.valueDeclaration);S=o.escapedName==="default"?V_("default"):D&&xC(D)||(LV(o)?void 0:V_(Eu(o)))}if(S&&S.flags&_)return S}return Ai}function Cpt(o,_){return!!(o.flags&_||o.flags&2097152&&Ft(o.types,y=>Cpt(y,_)))}function TXt(o,_,y){const S=y&&(Or(o)&7||o.aliasSymbol)?xXt(o):void 0,D=qt(Ac(o),B=>$A(B,_)),L=qt(Ih(o),B=>B!==Xi&&Cpt(B.keyType,_)?B.keyType===st&&_&8?cn:B.keyType:Ai);return is(Js(D,L),1,void 0,void 0,S)}function s8e(o,_=0){return!!(o.flags&58982400||Qx(o)||op(o)&&(!kXt(o)||nee(o)===2)||o.flags&1048576&&!(_&4)&&DRe(o)||o.flags&2097152&&Au(o,465829888)&&Ft(o.types,sv))}function oy(o,_=0){return o=a1(o),HO(o)?VRe(oy(o.baseType,_)):s8e(o,_)?vpt(o,_):o.flags&1048576?Wa(qt(o.types,y=>oy(y,_))):o.flags&2097152?is(qt(o.types,y=>oy(y,_))):Or(o)&32?bpt(o,_):o===Ct?Ct:o.flags&2?Ai:o.flags&131073?vi:TXt(o,(_&2?128:402653316)|(_&1?0:12584),_===0)}function Spt(o){const _=HKt();return _?VO(_,[o,st]):st}function DXt(o){const _=Spt(oy(o));return _.flags&131072?st:_}function EXt(o){const _=yr(o);if(!_.resolvedType)switch(o.operator){case 143:_.resolvedType=oy(Bs(o.type));break;case 158:_.resolvedType=o.type.kind===155?_8e(TV(o.parent)):vt;break;case 148:_.resolvedType=Bs(o.type);break;default:j.assertNever(o.operator)}return _.resolvedType}function IXt(o){const _=yr(o);return _.resolvedType||(_.resolvedType=TN([o.head.text,...qt(o.templateSpans,y=>y.literal.text)],qt(o.templateSpans,y=>Bs(y.type)))),_.resolvedType}function TN(o,_){const y=ct(_,ce=>!!(ce.flags&1179648));if(y>=0)return pee(_)?eu(_[y],ce=>TN(o,Fc(_,y,ce))):vt;if(Yt(_,Ct))return Ct;const S=[],D=[];let L=o[0];if(!ne(o,_))return st;if(S.length===0)return V_(L);if(D.push(L),Ce(D,ce=>ce==="")){if(Ce(S,ce=>!!(ce.flags&4)))return st;if(S.length===1&&DN(S[0]))return S[0]}const B=`${Xg(S)}|${qt(D,ce=>ce.length).join(",")}|${D.join("")}`;let K=Xr.get(B);return K||Xr.set(B,K=LXt(D,S)),K;function ne(ce,ge){for(let je=0;je<ge.length;je++){const Be=ge[je];if(Be.flags&101248)L+=NXt(Be)||"",L+=ce[je+1];else if(Be.flags&134217728){if(L+=Be.texts[0],!ne(Be.texts,Be.types))return!1;L+=ce[je+1]}else if(IN(Be)||mee(Be))S.push(Be),D.push(L),L=ce[je+1];else return!1}return!0}}function NXt(o){return o.flags&128?o.value:o.flags&256?""+o.value:o.flags&2048?ZT(o.value):o.flags&98816?o.intrinsicName:void 0}function LXt(o,_){const y=t1(134217728);return y.texts=o,y.types=_,y}function JA(o,_){return _.flags&1179648?eu(_,y=>JA(o,y)):_.flags&128?V_(wpt(o,_.value)):_.flags&134217728?TN(...PXt(o,_.texts,_.types)):_.flags&268435456&&o===_.symbol?_:_.flags&268435461||IN(_)?xpt(o,_):mee(_)?xpt(o,TN(["",""],[_])):_}function wpt(o,_){switch(Lue.get(o.escapedName)){case 0:return _.toUpperCase();case 1:return _.toLowerCase();case 2:return _.charAt(0).toUpperCase()+_.slice(1);case 3:return _.charAt(0).toLowerCase()+_.slice(1)}return _}function PXt(o,_,y){switch(Lue.get(o.escapedName)){case 0:return[_.map(S=>S.toUpperCase()),y.map(S=>JA(o,S))];case 1:return[_.map(S=>S.toLowerCase()),y.map(S=>JA(o,S))];case 2:return[_[0]===""?_:[_[0].charAt(0).toUpperCase()+_[0].slice(1),..._.slice(1)],_[0]===""?[JA(o,y[0]),...y.slice(1)]:y];case 3:return[_[0]===""?_:[_[0].charAt(0).toLowerCase()+_[0].slice(1),..._.slice(1)],_[0]===""?[JA(o,y[0]),...y.slice(1)]:y]}return[_,y]}function xpt(o,_){const y=`${Ba(o)},${kf(_)}`;let S=Gs.get(y);return S||Gs.set(y,S=AXt(o,_)),S}function AXt(o,_){const y=Kg(268435456,o);return y.type=_,y}function OXt(o,_,y,S,D){const L=t1(8388608);return L.objectType=o,L.indexType=_,L.accessFlags=y,L.aliasSymbol=S,L.aliasTypeArguments=D,L}function Az(o){if(De)return!1;if(Or(o)&4096)return!0;if(o.flags&1048576)return Ce(o.types,Az);if(o.flags&2097152)return Ft(o.types,Az);if(o.flags&465829888){const _=xRe(o);return _!==o&&Az(_)}return!1}function ame(o,_){return Jm(o)?Gm(o):_&&id(_)?CI(_):void 0}function o8e(o,_){if(_.flags&8208){const y=Qi(o.parent,S=>!Sl(S))||o.parent;return MT(y)?T1(y)&&ot(o)&&Omt(y,o):Ce(_.declarations,S=>!Ho(S)||FS(S))}return!0}function kpt(o,_,y,S,D,L){const B=D&&D.kind===212?D:void 0,K=D&&Vs(D)?void 0:ame(y,D);if(K!==void 0){if(L&256)return K2(_,K)||Je;const ce=Ta(_,K);if(ce){if(L&64&&D&&ce.declarations&&_C(ce)&&o8e(D,ce)){const je=(B==null?void 0:B.argumentExpression)??(nD(D)?D.indexType:D);pC(je,ce.declarations,K)}if(B){if(Zee(ce,B,Qgt(B.expression,_.symbol)),B0t(B,ce,Kk(B))){ft(B.argumentExpression,k.Cannot_assign_to_0_because_it_is_a_read_only_property,eo(ce));return}if(L&8&&(yr(D).resolvedSymbol=ce),zgt(B,ce))return Rt}const ge=L&4?zx(ce):Yn(ce);return B&&Kk(B)!==1?LC(B,ge):D&&nD(D)&&zz(ge)?is([ge,tt]):ge}if(up(_,Qa)&&$v(K)){const ge=+K;if(D&&up(_,je=>!(je.target.combinedFlags&12))&&!(L&16)){const je=a8e(D);if(Qa(_)){if(ge<0)return ft(je,k.A_tuple_type_cannot_be_indexed_with_a_negative_value),tt;ft(je,k.Tuple_type_0_of_length_1_has_no_element_at_index_2,Hn(_),QS(_),Ws(K))}else ft(je,k.Property_0_does_not_exist_on_type_1,Ws(K),Hn(_))}if(ge>=0)return ne(pb(_,hi)),_mt(_,ge,L&1?le:void 0)}}if(!(y.flags&98304)&&Gf(y,402665900)){if(_.flags&131073)return _;const ce=Ez(_,y)||pb(_,st);if(ce){if(L&2&&ce.keyType!==hi){B&&(L&4?ft(B,k.Type_0_is_generic_and_can_only_be_indexed_for_reading,Hn(o)):ft(B,k.Type_0_cannot_be_used_to_index_type_1,Hn(y),Hn(o)));return}if(D&&ce.keyType===st&&!Gf(y,12)){const ge=a8e(D);return ft(ge,k.Type_0_cannot_be_used_as_an_index_type,Hn(y)),L&1?is([ce.type,le]):ce.type}return ne(ce),L&1&&!(_.symbol&&_.symbol.flags&384&&y.symbol&&y.flags&1024&&Qp(y.symbol)===_.symbol)?is([ce.type,le]):ce.type}if(y.flags&131072)return Ai;if(Az(_))return Je;if(B&&!_ge(_)){if(ew(_)){if(De&&y.flags&384)return lc.add(Kn(B,k.Property_0_does_not_exist_on_type_1,y.value,Hn(_))),tt;if(y.flags&12){const ge=qt(_.properties,je=>Yn(je));return is(fn(ge,tt))}}if(_.symbol===Me&&K!==void 0&&Me.exports.has(K)&&Me.exports.get(K).flags&418)ft(B,k.Property_0_does_not_exist_on_type_1,Ws(K),Hn(_));else if(De&&!(L&128))if(K!==void 0&&$gt(K,_)){const ge=Hn(_);ft(B,k.Property_0_does_not_exist_on_type_1_Did_you_mean_to_access_the_static_member_2_instead,K,ge,ge+"["+uu(B.argumentExpression)+"]")}else if(H2(_,hi))ft(B.argumentExpression,k.Element_implicitly_has_an_any_type_because_index_expression_is_not_of_type_number);else{let ge;if(K!==void 0&&(ge=Kgt(K,_)))ge!==void 0&&ft(B.argumentExpression,k.Property_0_does_not_exist_on_type_1_Did_you_mean_2,K,Hn(_),ge);else{const je=Rei(_,B,y);if(je!==void 0)ft(B,k.Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature_Did_you_mean_to_call_1,Hn(_),je);else{let Be;if(y.flags&1024)Be=jo(void 0,k.Property_0_does_not_exist_on_type_1,"["+Hn(y)+"]",Hn(_));else if(y.flags&8192){const kt=qS(y.symbol,B);Be=jo(void 0,k.Property_0_does_not_exist_on_type_1,"["+kt+"]",Hn(_))}else y.flags&128||y.flags&256?Be=jo(void 0,k.Property_0_does_not_exist_on_type_1,y.value,Hn(_)):y.flags&12&&(Be=jo(void 0,k.No_index_signature_with_a_parameter_of_type_0_was_found_on_type_1,Hn(y),Hn(_)));Be=jo(Be,k.Element_implicitly_has_an_any_type_because_expression_of_type_0_can_t_be_used_to_index_type_1,Hn(S),Hn(_)),lc.add(Bv(_n(B),B,Be))}}}return}}if(L&16&&ew(_))return tt;if(Az(_))return Je;if(D){const ce=a8e(D);if(ce.kind!==10&&y.flags&384)ft(ce,k.Property_0_does_not_exist_on_type_1,""+y.value,Hn(_));else if(y.flags&12)ft(ce,k.Type_0_has_no_matching_index_signature_for_type_1,Hn(_),Hn(y));else{const ge=ce.kind===10?"bigint":Hn(y);ft(ce,k.Type_0_cannot_be_used_as_an_index_type,ge)}}if(Nc(y))return y;return;function ne(ce){ce&&ce.isReadonly&&B&&(fS(B)||$ae(B))&&ft(B,k.Index_signature_in_type_0_only_permits_reading,Hn(_))}}function a8e(o){return o.kind===212?o.argumentExpression:o.kind===199?o.indexType:o.kind===167?o.expression:o}function mee(o){if(o.flags&2097152){let _=!1;for(const y of o.types)if(y.flags&101248||mee(y))_=!0;else if(!(y.flags&524288))return!1;return _}return!!(o.flags&77)||DN(o)}function DN(o){return!!(o.flags&134217728)&&Ce(o.types,mee)||!!(o.flags&268435456)&&mee(o.type)}function Tpt(o){return!!(o.flags&402653184)&&!DN(o)}function GA(o){return!!Oz(o)}function EN(o){return!!(Oz(o)&4194304)}function IN(o){return!!(Oz(o)&8388608)}function Oz(o){return o.flags&3145728?(o.objectFlags&2097152||(o.objectFlags|=2097152|oi(o.types,(_,y)=>_|Oz(y),0)),o.objectFlags&12582912):o.flags&33554432?(o.objectFlags&2097152||(o.objectFlags|=2097152|Oz(o.baseType)|Oz(o.constraint)),o.objectFlags&12582912):(o.flags&58982400||op(o)||Qx(o)?4194304:0)|(o.flags&63176704||Tpt(o)?8388608:0)}function kC(o,_){return o.flags&8388608?RXt(o,_):o.flags&16777216?FXt(o,_):o}function Dpt(o,_,y){if(o.flags&1048576||o.flags&2097152&&!s8e(o)){const S=qt(o.types,D=>kC(ap(D,_),y));return o.flags&2097152||y?Wa(S):is(S)}}function MXt(o,_,y){if(_.flags&1048576){const S=qt(_.types,D=>kC(ap(o,D),y));return y?Wa(S):is(S)}}function RXt(o,_){const y=_?"simplifiedForWriting":"simplifiedForReading";if(o[y])return o[y]===Gc?o:o[y];o[y]=Gc;const S=kC(o.objectType,_),D=kC(o.indexType,_),L=MXt(S,D,_);if(L)return o[y]=L;if(!(D.flags&465829888)){const B=Dpt(S,D,_);if(B)return o[y]=B}if(Qx(S)&&D.flags&296){const B=K5(S,D.flags&8?0:S.target.fixedLength,0,_);if(B)return o[y]=B}return op(S)&&nee(S)!==2?o[y]=eu(cme(S,o.indexType),B=>kC(B,_)):o[y]=o}function FXt(o,_){const y=o.checkType,S=o.extendsType,D=z2(o),L=U2(o);if(L.flags&131072&&Kx(D)===Kx(y)){if(y.flags&1||Da(LN(y),LN(S)))return kC(D,_);if(Ept(y,S))return Ai}else if(D.flags&131072&&Kx(L)===Kx(y)){if(!(y.flags&1)&&Da(LN(y),LN(S)))return Ai;if(y.flags&1||Ept(y,S))return kC(L,_)}return o}function Ept(o,_){return!!(is([tee(o,_),Ai]).flags&131072)}function cme(o,_){const y=cp([s1(o)],[_]),S=ZD(o.mapper,y),D=io(SC(o.target||o),S),L=g_t(o)>0||(GA(o)?V5(zD(o))>0:BXt(o,_));return Dd(D,!0,L)}function BXt(o,_){const y=Jf(_);return!!y&&Ft(Ac(o),S=>!!(S.flags&16777216)&&Da($A(S,8576),y))}function ap(o,_,y=0,S,D,L){return Gx(o,_,y,S,D,L)||(S?vt:te)}function Ipt(o,_){return up(o,y=>{if(y.flags&384){const S=Gm(y);if($v(S)){const D=+S;return D>=0&&D<_}}return!1})}function Gx(o,_,y=0,S,D,L){if(o===Ct||_===Ct)return Ct;if(o=a1(o),Ypt(o)&&!(_.flags&98304)&&Gf(_,12)&&(_=st),Q.noUncheckedIndexedAccess&&y&32&&(y|=1),IN(_)||(S&&S.kind!==199?Qx(o)&&!Ipt(_,e8e(o.target)):EN(o)&&!(Qa(o)&&Ipt(_,e8e(o.target)))||DRe(o))){if(o.flags&3)return o;const K=y&1,ne=o.id+","+_.id+","+K+qA(D,L);let ce=us.get(ne);return ce||us.set(ne,ce=OXt(o,_,K,D,L)),ce}const B=H5(o);if(_.flags&1048576&&!(_.flags&16)){const K=[];let ne=!1;for(const ce of _.types){const ge=kpt(o,B,ce,_,S,y|(ne?128:0));if(ge)K.push(ge);else if(S)ne=!0;else return}return ne?void 0:y&4?Wa(K,0,D,L):is(K,1,D,L)}return kpt(o,B,_,_,S,y|8|64)}function Npt(o){const _=yr(o);if(!_.resolvedType){const y=Bs(o.objectType),S=Bs(o.indexType),D=KA(o);_.resolvedType=ap(y,S,0,o,D,zO(D))}return _.resolvedType}function c8e(o){const _=yr(o);if(!_.resolvedType){const y=V(32,o.symbol);y.declaration=o,y.aliasSymbol=KA(o),y.aliasTypeArguments=zO(y.aliasSymbol),_.resolvedType=y,Tm(y)}return _.resolvedType}function Kx(o){return o.flags&33554432?Kx(o.baseType):o.flags&8388608&&(o.objectType.flags&33554432||o.indexType.flags&33554432)?ap(Kx(o.objectType),Kx(o.indexType)):o}function Lpt(o){return jP(o)&&P(o.elements)>0&&!Ft(o.elements,_=>vX(_)||bX(_)||b3(_)&&!!(_.questionToken||_.dotDotDotToken))}function Ppt(o,_){return GA(o)||_&&Qa(o)&&Ft(GD(o),GA)}function l8e(o,_,y,S,D){let L,B,K=0;for(;;){if(K===1e3)return ft(H,k.Type_instantiation_is_excessively_deep_and_possibly_infinite),vt;const ce=io(Kx(o.checkType),_),ge=io(o.extendsType,_);if(ce===vt||ge===vt)return vt;if(ce===Ct||ge===Ct)return Ct;const je=M8(o.node.checkType),Be=M8(o.node.extendsType),kt=Lpt(je)&&Lpt(Be)&&P(je.elements)===P(Be.elements),Vt=Ppt(ce,kt);let Kt;if(o.inferTypeParameters){const Ki=qz(o.inferTypeParameters,void 0,0);_&&(Ki.nonFixingMapper=ZD(Ki.nonFixingMapper,_)),Vt||NC(Ki.inferences,ce,ge,1536),Kt=_?ZD(Ki.mapper,_):Ki.mapper}const Oi=Kt?io(o.extendsType,Kt):ge;if(!Vt&&!Ppt(Oi,kt)){if(!(Oi.flags&3)&&(ce.flags&1||!Da(Fz(ce),Fz(Oi)))){(ce.flags&1||y&&!(Oi.flags&131072)&&dg(Fz(Oi),qn=>Da(qn,Fz(ce))))&&(B||(B=[])).push(io(Bs(o.node.trueType),Kt||_));const Ki=Bs(o.node.falseType);if(Ki.flags&16777216){const qn=Ki.root;if(qn.node.parent===o.node&&(!qn.isDistributive||qn.checkType===o.checkType)){o=qn;continue}if(ne(Ki,_))continue}L=io(Ki,_);break}if(Oi.flags&3||Da(LN(ce),LN(Oi))){const Ki=Bs(o.node.trueType),qn=Kt||_;if(ne(Ki,qn))continue;L=io(Ki,qn);break}}L=t1(16777216),L.root=o,L.checkType=io(o.checkType,_),L.extendsType=io(o.extendsType,_),L.mapper=_,L.combinedMapper=Kt,L.aliasSymbol=S||o.aliasSymbol,L.aliasTypeArguments=S?D:gb(o.aliasTypeArguments,_);break}return B?is(fn(B,L)):L;function ne(ce,ge){if(ce.flags&16777216&&ge){const je=ce.root;if(je.outerTypeParameters){const Be=ZD(ce.mapper,ge),kt=qt(je.outerTypeParameters,Oi=>ZS(Oi,Be)),Vt=cp(je.outerTypeParameters,kt),Kt=je.isDistributive?ZS(je.checkType,Vt):void 0;if(!Kt||Kt===je.checkType||!(Kt.flags&1179648))return o=je,_=Vt,S=void 0,D=void 0,je.aliasSymbol&&K++,!0}}return!1}}function z2(o){return o.resolvedTrueType||(o.resolvedTrueType=io(Bs(o.root.node.trueType),o.mapper))}function U2(o){return o.resolvedFalseType||(o.resolvedFalseType=io(Bs(o.root.node.falseType),o.mapper))}function WXt(o){return o.resolvedInferredTrueType||(o.resolvedInferredTrueType=o.combinedMapper?io(Bs(o.root.node.trueType),o.combinedMapper):z2(o))}function u8e(o){let _;return o.locals&&o.locals.forEach(y=>{y.flags&262144&&(_=fn(_,gl(y)))}),_}function VXt(o){return o.isDistributive&&(Cee(o.checkType,o.node.trueType)||Cee(o.checkType,o.node.falseType))}function HXt(o){const _=yr(o);if(!_.resolvedType){const y=Bs(o.checkType),S=KA(o),D=zO(S),L=OO(o,!0),B=D?L:$t(L,ne=>Cee(ne,o)),K={node:o,checkType:y,extendsType:Bs(o.extendsType),isDistributive:!!(y.flags&262144),inferTypeParameters:u8e(o),outerTypeParameters:B,instantiations:void 0,aliasSymbol:S,aliasTypeArguments:D};_.resolvedType=l8e(K,void 0,!1),B&&(K.instantiations=new Map,K.instantiations.set(Xg(B),_.resolvedType))}return _.resolvedType}function jXt(o){const _=yr(o);return _.resolvedType||(_.resolvedType=jD(xr(o.typeParameter))),_.resolvedType}function Apt(o){return ot(o)?[o]:fn(Apt(o.left),o.right)}function Opt(o){var _;const y=yr(o);if(!y.resolvedType){if(!lS(o))return ft(o.argument,k.String_literal_expected),y.resolvedSymbol=pt,y.resolvedType=vt;const S=o.isTypeOf?111551:o.flags&16777216?900095:788968,D=B_(o,o.argument.literal);if(!D)return y.resolvedSymbol=pt,y.resolvedType=vt;const L=!!((_=D.exports)!=null&&_.get("export=")),B=sp(D,!1);if(lu(o.qualifier))if(B.flags&S)y.resolvedType=Mpt(o,y,B,S);else{const K=S===111551?k.Module_0_does_not_refer_to_a_value_but_is_used_as_a_value_here:k.Module_0_does_not_refer_to_a_type_but_is_used_as_a_type_here_Did_you_mean_typeof_import_0;ft(o,K,o.argument.literal.text),y.resolvedSymbol=pt,y.resolvedType=vt}else{const K=Apt(o.qualifier);let ne=B,ce;for(;ce=K.shift();){const ge=K.length?1920:S,je=wc(Zu(ne)),Be=o.isTypeOf||nr(o)&&L?Ta(Yn(je),ce.escapedText,!1,!0):void 0,Vt=(o.isTypeOf?void 0:ff(__(je),ce.escapedText,ge))??Be;if(!Vt)return ft(ce,k.Namespace_0_has_no_exported_member_1,qS(ne),al(ce)),y.resolvedType=vt;yr(ce).resolvedSymbol=Vt,yr(ce.parent).resolvedSymbol=Vt,ne=Vt}y.resolvedType=Mpt(o,y,ne,S)}}return y.resolvedType}function Mpt(o,_,y,S){const D=Zu(y);return _.resolvedSymbol=D,S===111551?x0t(Yn(y),o):nme(o,D)}function Rpt(o){const _=yr(o);if(!_.resolvedType){const y=KA(o);if(qx(o.symbol).size===0&&!y)_.resolvedType=go;else{let S=V(16,o.symbol);S.aliasSymbol=y,S.aliasTypeArguments=zO(y),OI(o)&&o.isArrayType&&(S=hh(S)),_.resolvedType=S}}return _.resolvedType}function KA(o){let _=o.parent;for(;EI(_)||o2(_)||t2(_)&&_.operator===148;)_=_.parent;return SV(_)?xr(_):void 0}function zO(o){return o?y0(o):void 0}function lme(o){return!!(o.flags&524288)&&!op(o)}function d8e(o){return TC(o)||!!(o.flags&474058748)}function f8e(o,_){if(!(o.flags&1048576))return o;if(Ce(o.types,d8e))return Fe(o.types,TC)||Jo;const y=Fe(o.types,L=>!d8e(L));if(!y||Fe(o.types,L=>L!==y&&!d8e(L)))return o;return D(y);function D(L){const B=_a();for(const ne of Ac(L))if(!($m(ne)&6)){if(ume(ne)){const ce=ne.flags&65536&&!(ne.flags&32768),je=$a(16777220,ne.escapedName,bRe(ne)|(_?8:0));je.links.type=ce?tt:Dd(Yn(ne),!0),je.declarations=ne.declarations,je.links.nameType=Ys(ne).nameType,je.links.syntheticOrigin=ne,B.set(ne.escapedName,je)}}const K=Xs(L.symbol,B,w,w,Ih(L));return K.objectFlags|=131200,K}}function KD(o,_,y,S,D){if(o.flags&1||_.flags&1)return Je;if(o.flags&2||_.flags&2)return te;if(o.flags&131072)return _;if(_.flags&131072)return o;if(o=f8e(o,D),o.flags&1048576)return pee([o,_])?eu(o,ce=>KD(ce,_,y,S,D)):vt;if(_=f8e(_,D),_.flags&1048576)return pee([o,_])?eu(_,ce=>KD(o,ce,y,S,D)):vt;if(_.flags&473960444)return o;if(EN(o)||EN(_)){if(TC(o))return _;if(o.flags&2097152){const ce=o.types,ge=ce[ce.length-1];if(lme(ge)&&lme(_))return Wa(Js(ce.slice(0,ce.length-1),[KD(ge,_,y,S,D)]))}return Wa([o,_])}const L=_a(),B=new Set,K=o===Jo?Ih(_):f_t([o,_]);for(const ce of Ac(_))$m(ce)&6?B.add(ce.escapedName):ume(ce)&&L.set(ce.escapedName,h8e(ce,D));for(const ce of Ac(o))if(!(B.has(ce.escapedName)||!ume(ce)))if(L.has(ce.escapedName)){const ge=L.get(ce.escapedName),je=Yn(ge);if(ge.flags&16777216){const Be=Js(ce.declarations,ge.declarations),kt=4|ce.flags&16777216,Vt=$a(kt,ce.escapedName),Kt=Yn(ce),Oi=Ime(Kt),Ki=Ime(je);Vt.links.type=Oi===Ki?Kt:is([Kt,Ki],2),Vt.links.leftSpread=ce,Vt.links.rightSpread=ge,Vt.declarations=Be,Vt.links.nameType=Ys(ce).nameType,L.set(ce.escapedName,Vt)}}else L.set(ce.escapedName,h8e(ce,D));const ne=Xs(y,L,w,w,Wr(K,ce=>zXt(ce,D)));return ne.objectFlags|=2228352|S,ne}function ume(o){var _;return!Ft(o.declarations,Wh)&&(!(o.flags&106496)||!((_=o.declarations)!=null&&_.some(y=>ss(y.parent))))}function h8e(o,_){const y=o.flags&65536&&!(o.flags&32768);if(!y&&_===d1(o))return o;const S=4|o.flags&16777216,D=$a(S,o.escapedName,bRe(o)|(_?8:0));return D.links.type=y?tt:Yn(o),D.declarations=o.declarations,D.links.nameType=Ys(o).nameType,D.links.syntheticOrigin=o,D}function zXt(o,_){return o.isReadonly!==_?nv(o.keyType,o.type,_,o.declaration):o}function gee(o,_,y,S){const D=Kg(o,y);return D.value=_,D.regularType=S||D,D}function XA(o){if(o.flags&2976){if(!o.freshType){const _=gee(o.flags,o.value,o.symbol,o);_.freshType=_,o.freshType=_}return o.freshType}return o}function Xh(o){return o.flags&2976?o.regularType:o.flags&1048576?o.regularType||(o.regularType=eu(o,Xh)):o}function XD(o){return!!(o.flags&2976)&&o.freshType===o}function V_(o){let _;return at.get(o)||(at.set(o,_=gee(128,o)),_)}function v0(o){let _;return yi.get(o)||(yi.set(o,_=gee(256,o)),_)}function yee(o){let _;const y=ZT(o);return Sn.get(y)||(Sn.set(y,_=gee(2048,o)),_)}function UXt(o,_,y){let S;const D=`${_}${typeof o=="string"?"@":"#"}${o}`,L=1024|(typeof o=="string"?128:256);return dr.get(D)||(dr.set(D,S=gee(L,o,y)),S)}function qXt(o){if(o.literal.kind===106)return Qt;const _=yr(o);return _.resolvedType||(_.resolvedType=Xh(uo(o.literal))),_.resolvedType}function $Xt(o){const _=Kg(8192,o);return _.escapedName=`__@${_.symbol.escapedName}@${Ba(_.symbol)}`,_}function _8e(o){if(nr(o)&&o2(o)){const _=zT(o);_&&(o=pP(_)||_)}if(mTe(o)){const _=jG(o)?p_(o.left):p_(o);if(_){const y=Ys(_);return y.uniqueESSymbolType||(y.uniqueESSymbolType=$Xt(_))}}return wi}function JXt(o){const _=Hh(o,!1,!1),y=_&&_.parent;if(y&&(ss(y)||y.kind===264)&&!pa(_)&&(!iu(_)||UT(o,_.body)))return W_(xr(y)).thisType;if(y&&Aa(y)&&ur(y.parent)&&Bu(y.parent)===6)return W_(p_(y.parent.left).parent).thisType;const S=o.flags&16777216?Jk(o):void 0;return S&&ml(S)&&ur(S.parent)&&Bu(S.parent)===3?W_(p_(S.parent.left).parent).thisType:dy(_)&&UT(o,_.body)?W_(xr(_)).thisType:(ft(o,k.A_this_type_is_available_only_in_a_non_static_member_of_a_class_or_interface),vt)}function p8e(o){const _=yr(o);return _.resolvedType||(_.resolvedType=JXt(o)),_.resolvedType}function Fpt(o){return Bs(vee(o.type)||o.type)}function vee(o){switch(o.kind){case 196:return vee(o.type);case 189:if(o.elements.length===1&&(o=o.elements[0],o.kind===191||o.kind===202&&o.dotDotDotToken))return vee(o.type);break;case 188:return o.elementType}}function GXt(o){const _=yr(o);return _.resolvedType||(_.resolvedType=o.dotDotDotToken?Fpt(o):Dd(Bs(o.type),!0,!!o.questionToken))}function Bs(o){return xKt(Bpt(o),o)}function Bpt(o){switch(o.kind){case 133:case 312:case 313:return Je;case 159:return te;case 154:return st;case 150:return hi;case 163:return vn;case 136:return fi;case 155:return wi;case 116:return Ri;case 157:return tt;case 106:return Qt;case 146:return Ai;case 151:return o.flags&524288&&!De?Je:zi;case 141:return gr;case 197:case 110:return p8e(o);case 201:return qXt(o);case 183:return uee(o);case 182:return o.assertsModifier?Ri:fi;case 233:return uee(o);case 186:return $_t(o);case 188:case 189:return iXt(o);case 190:return oXt(o);case 192:return pXt(o);case 193:return wXt(o);case 314:return kKt(o);case 316:return Dd(Bs(o.type));case 202:return GXt(o);case 196:case 315:case 309:return Bs(o.type);case 191:return Fpt(o);case 318:return nsi(o);case 184:case 185:case 187:case 322:case 317:case 323:return Rpt(o);case 198:return EXt(o);case 199:return Npt(o);case 200:return c8e(o);case 194:return HXt(o);case 195:return jXt(o);case 203:return IXt(o);case 205:return Opt(o);case 80:case 166:case 211:const _=Zg(o);return _?gl(_):vt;default:return vt}}function dme(o,_,y){if(o&&o.length)for(let S=0;S<o.length;S++){const D=o[S],L=y(D,_);if(D!==L){const B=S===0?[]:o.slice(0,S);for(B.push(L),S++;S<o.length;S++)B.push(y(o[S],_));return B}}return o}function gb(o,_){return dme(o,_,io)}function fme(o,_){return dme(o,_,QA)}function Wpt(o,_){return dme(o,_,aQt)}function cp(o,_){return o.length===1?QD(o[0],_?_[0]:Je):KXt(o,_)}function ZS(o,_){switch(_.kind){case 0:return o===_.source?_.target:o;case 1:{const S=_.sources,D=_.targets;for(let L=0;L<S.length;L++)if(o===S[L])return D?D[L]:Je;return o}case 2:{const S=_.sources,D=_.targets;for(let L=0;L<S.length;L++)if(o===S[L])return D[L]();return o}case 3:return _.func(o);case 4:case 5:const y=ZS(o,_.mapper1);return y!==o&&_.kind===4?io(y,_.mapper2):ZS(y,_.mapper2)}}function QD(o,_){return j.attachDebugPrototypeIfDebug({kind:0,source:o,target:_})}function KXt(o,_){return j.attachDebugPrototypeIfDebug({kind:1,sources:o,targets:_})}function bee(o,_){return j.attachDebugPrototypeIfDebug({kind:3,func:o,debugInfo:j.isDebugging?_:void 0})}function m8e(o,_){return j.attachDebugPrototypeIfDebug({kind:2,sources:o,targets:_})}function hme(o,_,y){return j.attachDebugPrototypeIfDebug({kind:o,mapper1:_,mapper2:y})}function Vpt(o){return cp(o,void 0)}function XXt(o,_){const y=o.inferences.slice(_);return cp(qt(y,S=>S.typeParameter),qt(y,()=>te))}function ZD(o,_){return o?hme(4,o,_):_}function QXt(o,_){return o?hme(5,o,_):_}function NN(o,_,y){return y?hme(5,QD(o,_),y):QD(o,_)}function Mz(o,_,y){return o?hme(5,o,QD(_,y)):QD(_,y)}function ZXt(o){return!o.constraint&&!tme(o)||o.constraint===ki?o:o.restrictiveInstantiation||(o.restrictiveInstantiation=Ut(o.symbol),o.restrictiveInstantiation.constraint=ki,o.restrictiveInstantiation)}function g8e(o){const _=Ut(o.symbol);return _.target=o,_}function YXt(o,_){return Iz(o.kind,o.parameterName,o.parameterIndex,io(o.type,_))}function QA(o,_,y){let S;if(o.typeParameters&&!y){S=qt(o.typeParameters,g8e),_=ZD(cp(o.typeParameters,S),_);for(const L of S)L.mapper=_}const D=_b(o.declaration,S,o.thisParameter&&y8e(o.thisParameter,_),dme(o.parameters,_,y8e),void 0,void 0,o.minArgumentCount,o.flags&167);return D.target=o,D.mapper=_,D}function y8e(o,_){const y=Ys(o);if(y.type&&!Yx(y.type)&&(!(o.flags&65536)||y.writeType&&!Yx(y.writeType)))return o;Iu(o)&1&&(o=y.target,_=ZD(y.mapper,_));const S=$a(o.flags,o.escapedName,1|Iu(o)&53256);return S.declarations=o.declarations,S.parent=o.parent,S.links.target=o,S.links.mapper=_,o.valueDeclaration&&(S.valueDeclaration=o.valueDeclaration),y.nameType&&(S.links.nameType=y.nameType),S}function eQt(o,_,y,S){const D=o.objectFlags&4||o.objectFlags&8388608?o.node:o.symbol.declarations[0],L=yr(D),B=o.objectFlags&4?L.resolvedType:o.objectFlags&64?o.target:o;let K=o.objectFlags&134217728?o.outerTypeParameters:L.outerTypeParameters;if(!K){let ne=OO(D,!0);if(dy(D)){const ge=I_t(D);ne=Sr(ne,ge)}K=ne||w;const ce=o.objectFlags&8388612?[D]:o.symbol.declarations;K=(B.objectFlags&8388612||B.symbol.flags&8192||B.symbol.flags&2048)&&!B.aliasTypeArguments?$t(K,ge=>Ft(ce,je=>Cee(ge,je))):K,L.outerTypeParameters=K}if(K.length){const ne=ZD(o.mapper,_),ce=qt(K,Vt=>ZS(Vt,ne)),ge=y||o.aliasSymbol,je=y?S:gb(o.aliasTypeArguments,_),Be=(o.objectFlags&134217728?"S":"")+Xg(ce)+qA(ge,je);B.instantiations||(B.instantiations=new Map,B.instantiations.set(Xg(K)+qA(B.aliasSymbol,B.aliasTypeArguments),B));let kt=B.instantiations.get(Be);if(!kt){if(o.objectFlags&134217728)return kt=_me(o,_),B.instantiations.set(Be,kt),kt;const Vt=cp(K,ce);kt=B.objectFlags&4?WRe(o.target,o.node,Vt,ge,je):B.objectFlags&32?iQt(B,Vt,ge,je):_me(B,Vt,ge,je),B.instantiations.set(Be,kt);const Kt=Or(kt);if(kt.flags&3899393&&!(Kt&524288)){const Oi=Ft(ce,Yx);Or(kt)&524288||(Kt&52?kt.objectFlags|=524288|(Oi?1048576:0):kt.objectFlags|=Oi?0:524288)}}return kt}return o}function tQt(o){return!(o.parent.kind===183&&o.parent.typeArguments&&o===o.parent.typeName||o.parent.kind===205&&o.parent.typeArguments&&o===o.parent.qualifier)}function Cee(o,_){if(o.symbol&&o.symbol.declarations&&o.symbol.declarations.length===1){const S=o.symbol.declarations[0].parent;for(let D=_;D!==S;D=D.parent)if(!D||D.kind===241||D.kind===194&&Uo(D.extendsType,y))return!0;return y(_)}return!0;function y(S){switch(S.kind){case 197:return!!o.isThisType;case 80:return!o.isThisType&&N1(S)&&tQt(S)&&Bpt(S)===o;case 186:const D=S.exprName,L=K_(D);if(!ix(L)){const B=Lh(L),K=o.symbol.declarations[0],ne=K.kind===168?K.parent:o.isThisType?K:void 0;if(B.declarations&&ne)return Ft(B.declarations,ce=>UT(ce,ne))||Ft(S.typeArguments,y)}return!0;case 174:case 173:return!S.type&&!!S.body||Ft(S.typeParameters,y)||Ft(S.parameters,y)||!!S.type&&y(S.type)}return!!Uo(S,y)}}function Rz(o){const _=Tm(o);if(_.flags&4194304){const y=Kx(_.type);if(y.flags&262144)return y}}function iQt(o,_,y,S){const D=Rz(o);if(D){const B=io(D,_);if(D!==B)return qmt(a1(B),L,y,S)}return io(Tm(o),_)===Ct?Ct:_me(o,_,y,S);function L(B){if(B.flags&61603843&&B!==Ct&&!fe(B)){if(!o.declaration.nameType){let K;if(ug(B)||B.flags&1&&Hx(D,4)<0&&(K=m_(D))&&up(K,$2))return rQt(B,o,NN(D,B,_));if(Qa(B))return nQt(B,o,D,_);if(w_t(B))return Wa(qt(B.types,L))}return _me(o,NN(D,B,_))}return B}}function Hpt(o,_){return _&1?!0:_&2?!1:o}function nQt(o,_,y,S){const D=o.target.elementFlags,L=o.target.fixedLength,B=L?NN(y,o,S):S,K=qt(GD(o),(je,Be)=>{const kt=D[Be];return Be<L?jpt(_,V_(""+Be),!!(kt&2),B):kt&8?io(_,NN(y,je,S)):Nee(io(_,NN(y,hh(je),S)))??te}),ne=tv(_),ce=ne&4?qt(D,je=>je&1?2:je):ne&8?qt(D,je=>je&2?1:je):D,ge=Hpt(o.target.readonly,tv(_));return Yt(K,vt)?vt:rv(K,ce,ge,o.target.labeledElementDeclarations)}function rQt(o,_,y){const S=jpt(_,hi,!0,y);return fe(S)?vt:hh(S,Hpt(J5(o),tv(_)))}function jpt(o,_,y,S){const D=Mz(S,s1(o),_),L=io(SC(o.target||o),D),B=tv(o);return we&&B&4&&!Au(L,49152)?Zx(L,!0):we&&B&8&&y?Qg(L,524288):L}function _me(o,_,y,S){j.assert(o.symbol,"anonymous type must have symbol to be instantiated");const D=V(o.objectFlags&-1572865|64,o.symbol);if(o.objectFlags&32){D.declaration=o.declaration;const L=s1(o),B=g8e(L);D.typeParameter=B,_=ZD(QD(L,B),_),B.mapper=_}return o.objectFlags&8388608&&(D.node=o.node),o.objectFlags&134217728&&(D.outerTypeParameters=o.outerTypeParameters),D.target=o,D.mapper=_,D.aliasSymbol=y||o.aliasSymbol,D.aliasTypeArguments=y?S:gb(o.aliasTypeArguments,_),D.objectFlags|=D.aliasTypeArguments?lee(D.aliasTypeArguments):0,D}function v8e(o,_,y,S,D){const L=o.root;if(L.outerTypeParameters){const B=qt(L.outerTypeParameters,ce=>ZS(ce,_)),K=(y?"C":"")+Xg(B)+qA(S,D);let ne=L.instantiations.get(K);if(!ne){const ce=cp(L.outerTypeParameters,B),ge=L.checkType,je=L.isDistributive?a1(ZS(ge,ce)):void 0;ne=je&&ge!==je&&je.flags&1179648?qmt(je,Be=>l8e(L,NN(ge,Be,ce),y),S,D):l8e(L,ce,y,S,D),L.instantiations.set(K,ne)}return ne}return o}function io(o,_){return o&&_?zpt(o,_,void 0,void 0):o}function zpt(o,_,y,S){var D;if(!Yx(o))return o;if(R===100||N>=5e6)return(D=Zn)==null||D.instant(Zn.Phase.CheckTypes,"instantiateType_DepthLimit",{typeId:o.id,instantiationDepth:R,instantiationCount:N}),ft(H,k.Type_instantiation_is_excessively_deep_and_possibly_infinite),vt;E++,N++,R++;const L=sQt(o,_,y,S);return R--,L}function sQt(o,_,y,S){const D=o.flags;if(D&262144)return ZS(o,_);if(D&524288){const L=o.objectFlags;if(L&52){if(L&4&&!o.node){const B=o.resolvedTypeArguments,K=gb(B,_);return K!==B?ZRe(o.target,K):o}return L&1024?oQt(o,_):eQt(o,_,y,S)}return o}if(D&3145728){const L=o.flags&1048576?o.origin:void 0,B=L&&L.flags&3145728?L.types:o.types,K=gb(B,_);if(K===B&&y===o.aliasSymbol)return o;const ne=y||o.aliasSymbol,ce=y?S:gb(o.aliasTypeArguments,_);return D&2097152||L&&L.flags&2097152?Wa(K,0,ne,ce):is(K,1,ne,ce)}if(D&4194304)return oy(io(o.type,_));if(D&134217728)return TN(o.texts,gb(o.types,_));if(D&268435456)return JA(o.symbol,io(o.type,_));if(D&8388608){const L=y||o.aliasSymbol,B=y?S:gb(o.aliasTypeArguments,_);return ap(io(o.objectType,_),io(o.indexType,_),o.accessFlags,void 0,L,B)}if(D&16777216)return v8e(o,ZD(o.mapper,_),!1,y,S);if(D&33554432){const L=io(o.baseType,_);if(HO(o))return VRe(L);const B=io(o.constraint,_);return L.flags&8650752&&GA(B)?jRe(L,B):B.flags&3||Da(LN(L),LN(B))?L:L.flags&8650752?jRe(L,B):Wa([B,L])}return o}function oQt(o,_){const y=io(o.mappedType,_);if(!(Or(y)&32))return o;const S=io(o.constraintType,_);if(!(S.flags&4194304))return o;const D=Smt(io(o.source,_),y,S);return D||o}function Fz(o){return o.flags&402915327?o:o.permissiveInstantiation||(o.permissiveInstantiation=io(o,vu))}function LN(o){return o.flags&402915327?o:(o.restrictiveInstantiation||(o.restrictiveInstantiation=io(o,Lc),o.restrictiveInstantiation.restrictiveInstantiation=o.restrictiveInstantiation),o.restrictiveInstantiation)}function aQt(o,_){return nv(o.keyType,io(o.type,_),o.isReadonly,o.declaration)}function Em(o){switch(j.assert(o.kind!==174||Ig(o)),o.kind){case 218:case 219:case 174:case 262:return Upt(o);case 210:return Ft(o.properties,Em);case 209:return Ft(o.elements,Em);case 227:return Em(o.whenTrue)||Em(o.whenFalse);case 226:return(o.operatorToken.kind===57||o.operatorToken.kind===61)&&(Em(o.left)||Em(o.right));case 303:return Em(o.initializer);case 217:return Em(o.expression);case 292:return Ft(o.properties,Em)||z1(o.parent)&&Ft(o.parent.parent.children,Em);case 291:{const{initializer:_}=o;return!!_&&Em(_)}case 294:{const{expression:_}=o;return!!_&&Em(_)}}return!1}function Upt(o){return eX(o)||cQt(o)}function cQt(o){return o.typeParameters||wp(o)||!o.body?!1:o.body.kind!==241?Em(o.body):!!uS(o.body,_=>!!_.expression&&Em(_.expression))}function pme(o){return(lx(o)||Ig(o))&&Upt(o)}function qpt(o){if(o.flags&524288){const _=o1(o);if(_.constructSignatures.length||_.callSignatures.length){const y=V(16,o.symbol);return y.members=_.members,y.properties=_.properties,y.callSignatures=w,y.constructSignatures=w,y.indexInfos=w,y}}else if(o.flags&2097152)return Wa(qt(o.types,qpt));return o}function yb(o,_){return ay(o,_,tp)}function Bz(o,_){return ay(o,_,tp)?-1:0}function b8e(o,_){return ay(o,_,Op)?-1:0}function lQt(o,_){return ay(o,_,ob)?-1:0}function YD(o,_){return ay(o,_,ob)}function See(o,_){return ay(o,_,Cm)}function Da(o,_){return ay(o,_,Op)}function q2(o,_){return o.flags&1048576?Ce(o.types,y=>q2(y,_)):_.flags&1048576?Ft(_.types,y=>q2(o,y)):o.flags&2097152?Ft(o.types,y=>q2(y,_)):o.flags&58982400?q2(Jf(o)||te,_):sv(_)?!!(o.flags&67633152):_===Qu?!!(o.flags&67633152)&&!sv(o):_===kd?!!(o.flags&524288)&&e7e(o):AO(o,SN(_))||ug(_)&&!J5(_)&&q2(o,En)}function mme(o,_){return ay(o,_,Mp)}function wee(o,_){return mme(o,_)||mme(_,o)}function Nh(o,_,y,S,D,L){return lg(o,_,Op,y,S,D,L)}function Xx(o,_,y,S,D,L){return C8e(o,_,Op,y,S,D,L,void 0)}function C8e(o,_,y,S,D,L,B,K){return ay(o,_,y)?!0:!S||!Wz(D,o,_,y,L,B,K)?lg(o,_,y,S,L,B,K):!1}function $pt(o){return!!(o.flags&16777216||o.flags&2097152&&Ft(o.types,$pt))}function Wz(o,_,y,S,D,L,B){if(!o||$pt(y))return!1;if(!lg(_,y,S,void 0)&&uQt(o,_,y,S,D,L,B))return!0;switch(o.kind){case 234:if(!Zce(o))break;case 294:case 217:return Wz(o.expression,_,y,S,D,L,B);case 226:switch(o.operatorToken.kind){case 64:case 28:return Wz(o.right,_,y,S,D,L,B)}break;case 210:return yQt(o,_,y,S,L,B);case 209:return mQt(o,_,y,S,L,B);case 292:return pQt(o,_,y,S,L,B);case 219:return dQt(o,_,y,S,L,B)}return!1}function uQt(o,_,y,S,D,L,B){const K=ia(_,0),ne=ia(_,1);for(const ce of[ne,K])if(Ft(ce,ge=>{const je=Oc(ge);return!(je.flags&131073)&&lg(je,y,S,void 0)})){const ge=B||{};Nh(_,y,o,D,L,ge);const je=ge.errors[ge.errors.length-1];return da(je,Kn(o,ce===ne?k.Did_you_mean_to_use_new_with_this_expression:k.Did_you_mean_to_call_this_expression)),!0}return!1}function dQt(o,_,y,S,D,L){if(Xo(o.body)||Ft(o.parameters,wG))return!1;const B=VN(_);if(!B)return!1;const K=ia(y,0);if(!P(K))return!1;const ne=o.body,ce=Oc(B),ge=is(qt(K,Oc));if(!lg(ce,ge,S,void 0)){const je=ne&&Wz(ne,ce,ge,S,void 0,D,L);if(je)return je;const Be=L||{};if(lg(ce,ge,S,ne,void 0,D,Be),Be.errors)return y.symbol&&P(y.symbol.declarations)&&da(Be.errors[Be.errors.length-1],Kn(y.symbol.declarations[0],k.The_expected_type_comes_from_the_return_type_of_this_signature)),!(nd(o)&2)&&!Yu(ce,"then")&&lg(ate(ce),ge,S,void 0)&&da(Be.errors[Be.errors.length-1],Kn(o,k.Did_you_mean_to_mark_this_function_as_async)),!0}return!1}function Jpt(o,_,y){const S=Gx(_,y);if(S)return S;if(_.flags&1048576){const D=imt(o,_);if(D)return Gx(D,y)}}function Gpt(o,_){qee(o,_,!1);const y=iF(o,1);return Xz(),y}function xee(o,_,y,S,D,L){let B=!1;for(const K of o){const{errorNode:ne,innerExpression:ce,nameType:ge,errorMessage:je}=K;let Be=Jpt(_,y,ge);if(!Be||Be.flags&8388608)continue;let kt=Gx(_,ge);if(!kt)continue;const Vt=ame(ge,void 0);if(!lg(kt,Be,S,void 0)){const Kt=ce&&Wz(ce,kt,Be,S,void 0,D,L);if(B=!0,!Kt){const Oi=L||{},Ki=ce?Gpt(ce,kt):kt;if(Ne&&yme(Ki,Be)){const qn=Kn(ne,k.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target,Hn(Ki),Hn(Be));lc.add(qn),Oi.errors=[qn]}else{const qn=!!(Vt&&(Ta(y,Vt)||pt).flags&16777216),Qn=!!(Vt&&(Ta(_,Vt)||pt).flags&16777216);Be=IC(Be,qn),kt=IC(kt,qn&&Qn),lg(Ki,Be,S,ne,je,D,Oi)&&Ki!==kt&&lg(kt,Be,S,ne,je,D,Oi)}if(Oi.errors){const qn=Oi.errors[Oi.errors.length-1],Qn=Jm(ge)?Gm(ge):void 0,rn=Qn!==void 0?Ta(y,Qn):void 0;let Ui=!1;if(!rn){const fr=Ez(y,ge);fr&&fr.declaration&&!_n(fr.declaration).hasNoDefaultLib&&(Ui=!0,da(qn,Kn(fr.declaration,k.The_expected_type_comes_from_this_index_signature)))}if(!Ui&&(rn&&P(rn.declarations)||y.symbol&&P(y.symbol.declarations))){const fr=rn&&P(rn.declarations)?rn.declarations[0]:y.symbol.declarations[0];_n(fr).hasNoDefaultLib||da(qn,Kn(fr,k.The_expected_type_comes_from_property_0_which_is_declared_here_on_type_1,Qn&&!(ge.flags&8192)?Ws(Qn):Hn(ge),Hn(y)))}}}}}return B}function fQt(o,_,y,S,D,L){const B=ad(y,kme),K=ad(y,ge=>!kme(ge)),ne=K!==Ai?w5e(13,0,K,void 0):void 0;let ce=!1;for(let ge=o.next();!ge.done;ge=o.next()){const{errorNode:je,innerExpression:Be,nameType:kt,errorMessage:Vt}=ge.value;let Kt=ne;const Oi=B!==Ai?Jpt(_,B,kt):void 0;if(Oi&&!(Oi.flags&8388608)&&(Kt=ne?is([ne,Oi]):Oi),!Kt)continue;let Ki=Gx(_,kt);if(!Ki)continue;const qn=ame(kt,void 0);if(!lg(Ki,Kt,S,void 0)){const Qn=Be&&Wz(Be,Ki,Kt,S,void 0,D,L);if(ce=!0,!Qn){const rn=L||{},Ui=Be?Gpt(Be,Ki):Ki;if(Ne&&yme(Ui,Kt)){const fr=Kn(je,k.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target,Hn(Ui),Hn(Kt));lc.add(fr),rn.errors=[fr]}else{const fr=!!(qn&&(Ta(B,qn)||pt).flags&16777216),Gr=!!(qn&&(Ta(_,qn)||pt).flags&16777216);Kt=IC(Kt,fr),Ki=IC(Ki,fr&&Gr),lg(Ui,Kt,S,je,Vt,D,rn)&&Ui!==Ki&&lg(Ki,Kt,S,je,Vt,D,rn)}}}}return ce}function*hQt(o){if(P(o.properties))for(const _ of o.properties)GP(_)||S7e(iH(_.name))||(yield{errorNode:_.name,innerExpression:_.initializer,nameType:V_(iH(_.name))})}function*_Qt(o,_){if(!P(o.children))return;let y=0;for(let S=0;S<o.children.length;S++){const D=o.children[S],L=v0(S-y),B=Kpt(D,L,_);B?yield B:y++}}function Kpt(o,_,y){switch(o.kind){case 294:return{errorNode:o,innerExpression:o.expression,nameType:_};case 12:if(o.containsOnlyTriviaWhiteSpaces)break;return{errorNode:o,innerExpression:void 0,nameType:_,errorMessage:y()};case 284:case 285:case 288:return{errorNode:o,innerExpression:o,nameType:_};default:return j.assertNever(o,"Found invalid jsx child")}}function pQt(o,_,y,S,D,L){let B=xee(hQt(o),_,y,S,D,L),K;if(z1(o.parent)&&Gv(o.parent.parent)){const ce=o.parent.parent,ge=Jee(BN(o)),je=ge===void 0?"children":Ws(ge),Be=V_(je),kt=ap(y,Be),Vt=r3(ce.children);if(!P(Vt))return B;const Kt=P(Vt)>1;let Oi,Ki;if(ome(!1)!==oo){const Qn=opt(Je);Oi=ad(kt,rn=>Da(rn,Qn)),Ki=ad(kt,rn=>!Da(rn,Qn))}else Oi=ad(kt,kme),Ki=ad(kt,Qn=>!kme(Qn));if(Kt){if(Oi!==Ai){const Qn=rv(Zme(ce,0)),rn=_Qt(ce,ne);B=fQt(rn,Qn,Oi,S,D,L)||B}else if(!ay(ap(_,Be),kt,S)){B=!0;const Qn=ft(ce.openingElement.tagName,k.This_JSX_tag_s_0_prop_expects_a_single_child_of_type_1_but_multiple_children_were_provided,je,Hn(kt));L&&L.skipLogging&&(L.errors||(L.errors=[])).push(Qn)}}else if(Ki!==Ai){const Qn=Vt[0],rn=Kpt(Qn,Be,ne);rn&&(B=xee(function*(){yield rn}(),_,y,S,D,L)||B)}else if(!ay(ap(_,Be),kt,S)){B=!0;const Qn=ft(ce.openingElement.tagName,k.This_JSX_tag_s_0_prop_expects_type_1_which_requires_multiple_children_but_only_a_single_child_was_provided,je,Hn(kt));L&&L.skipLogging&&(L.errors||(L.errors=[])).push(Qn)}}return B;function ne(){if(!K){const ce=uu(o.parent.tagName),ge=Jee(BN(o)),je=ge===void 0?"children":Ws(ge),Be=ap(y,V_(je)),kt=k._0_components_don_t_accept_text_as_child_elements_Text_in_JSX_has_the_type_string_but_the_expected_type_of_1_is_2;K={...kt,key:"!!ALREADY FORMATTED!!",message:DP(kt,ce,je,Hn(Be))}}return K}}function*Xpt(o,_){const y=P(o.elements);if(y)for(let S=0;S<y;S++){if(G5(_)&&!Ta(_,""+S))continue;const D=o.elements[S];if(Bd(D))continue;const L=v0(S),B=oge(D);yield{errorNode:B,innerExpression:B,nameType:L}}}function mQt(o,_,y,S,D,L){if(y.flags&402915324)return!1;if(G5(_))return xee(Xpt(o,y),_,y,S,D,L);qee(o,y,!1);const B=Tgt(o,1,!0);return Xz(),G5(B)?xee(Xpt(o,y),B,y,S,D,L):!1}function*gQt(o){if(P(o.properties))for(const _ of o.properties){if(Kv(_))continue;const y=$A(xr(_),8576);if(!(!y||y.flags&131072))switch(_.kind){case 178:case 177:case 174:case 304:yield{errorNode:_.name,innerExpression:void 0,nameType:y};break;case 303:yield{errorNode:_.name,innerExpression:_.initializer,nameType:y,errorMessage:YW(_.name)?k.Type_of_computed_property_s_value_is_0_which_is_not_assignable_to_type_1:void 0};break;default:j.assertNever(_)}}}function yQt(o,_,y,S,D,L){return y.flags&402915324?!1:xee(gQt(o),_,y,S,D,L)}function Qpt(o,_,y,S,D){return lg(o,_,Mp,y,S,D)}function vQt(o,_,y){return S8e(o,_,4,!1,void 0,void 0,b8e,void 0)!==0}function gme(o){if(!o.typeParameters&&(!o.thisParameter||Nc(rte(o.thisParameter)))&&o.parameters.length===1&&Ch(o)){const _=rte(o.parameters[0]);return!!((ug(_)?Pl(_)[0]:_).flags&131073&&Oc(o).flags&3)}return!1}function S8e(o,_,y,S,D,L,B,K){if(o===_||!(y&16&&gme(o))&&gme(_))return-1;if(y&16&&gme(o)&&!gme(_))return 0;const ne=dp(_);if(!ov(_)&&(y&8?ov(o)||dp(o)>ne:fy(o)>ne))return S&&!(y&8)&&D(k.Target_signature_provides_too_few_arguments_Expected_0_or_more_but_got_1,fy(o),ne),0;o.typeParameters&&o.typeParameters!==_.typeParameters&&(_=mKt(_),o=r0t(o,_,void 0,B));const ge=dp(o),je=tU(o),Be=tU(_);(je||Be)&&io(je||Be,K);const kt=_.declaration?_.declaration.kind:0,Vt=!(y&3)&&he&&kt!==174&&kt!==173&&kt!==176;let Kt=-1;const Oi=j2(o);if(Oi&&Oi!==Ri){const Qn=j2(_);if(Qn){const rn=!Vt&&B(Oi,Qn,!1)||B(Qn,Oi,S);if(!rn)return S&&D(k.The_this_types_of_each_signature_are_incompatible),0;Kt&=rn}}const Ki=je||Be?Math.min(ge,ne):Math.max(ge,ne),qn=je||Be?Ki-1:-1;for(let Qn=0;Qn<Ki;Qn++){const rn=Qn===qn?E0t(o,Qn):nE(o,Qn),Ui=Qn===qn?E0t(_,Qn):nE(_,Qn);if(rn&&Ui&&(rn!==Ui||y&8)){const fr=y&3||i0t(o,Qn)?void 0:VN(EC(rn)),Gr=y&3||i0t(_,Qn)?void 0:VN(EC(Ui));let Ur=fr&&Gr&&!cg(fr)&&!cg(Gr)&&qO(rn,50331648)===qO(Ui,50331648)?S8e(Gr,fr,y&8|(Vt?2:1),S,D,L,B,K):!(y&3)&&!Vt&&B(rn,Ui,!1)||B(Ui,rn,S);if(Ur&&y&8&&Qn>=fy(o)&&Qn<fy(_)&&B(rn,Ui,!1)&&(Ur=0),!Ur)return S&&D(k.Types_of_parameters_0_and_1_are_incompatible,Ws(Y5(o,Qn)),Ws(Y5(_,Qn))),0;Kt&=Ur}}if(!(y&4)){const Qn=Qpe(_)?Je:_.declaration&&dy(_.declaration)?W_(wc(_.declaration.symbol)):Oc(_);if(Qn===Ri||Qn===Je)return Kt;const rn=Qpe(o)?Je:o.declaration&&dy(o.declaration)?W_(wc(o.declaration.symbol)):Oc(o),Ui=cg(_);if(Ui){const fr=cg(o);if(fr)Kt&=bQt(fr,Ui,S,D,B);else if(yTe(Ui)||vTe(Ui))return S&&D(k.Signature_0_must_be_a_type_predicate,B2(o)),0}else Kt&=y&1&&B(Qn,rn,!1)||B(rn,Qn,S),!Kt&&S&&L&&L(rn,Qn)}return Kt}function bQt(o,_,y,S,D){if(o.kind!==_.kind)return y&&(S(k.A_this_based_type_guard_is_not_compatible_with_a_parameter_based_type_guard),S(k.Type_predicate_0_is_not_assignable_to_1,ty(o),ty(_))),0;if((o.kind===1||o.kind===3)&&o.parameterIndex!==_.parameterIndex)return y&&(S(k.Parameter_0_is_not_in_the_same_position_as_parameter_1,o.parameterName,_.parameterName),S(k.Type_predicate_0_is_not_assignable_to_1,ty(o),ty(_))),0;const L=o.type===_.type?-1:o.type&&_.type?D(o.type,_.type,y):0;return L===0&&y&&S(k.Type_predicate_0_is_not_assignable_to_1,ty(o),ty(_)),L}function CQt(o,_){const y=Lz(o),S=Lz(_),D=Oc(y),L=Oc(S);return L===Ri||ay(L,D,Op)||ay(D,L,Op)?vQt(y,S):!1}function w8e(o){return o!==tc&&o.properties.length===0&&o.callSignatures.length===0&&o.constructSignatures.length===0&&o.indexInfos.length===0}function TC(o){return o.flags&524288?!op(o)&&w8e(o1(o)):o.flags&67108864?!0:o.flags&1048576?Ft(o.types,TC):o.flags&2097152?Ce(o.types,TC):!1}function sv(o){return!!(Or(o)&16&&(o.members&&w8e(o)||o.symbol&&o.symbol.flags&2048&&qx(o.symbol).size===0))}function SQt(o){if(we&&o.flags&1048576){if(!(o.objectFlags&33554432)){const _=o.types;o.objectFlags|=33554432|(_.length>=3&&_[0].flags&32768&&_[1].flags&65536&&Ft(_,sv)?67108864:0)}return!!(o.objectFlags&67108864)}return!1}function UO(o){return!!((o.flags&1048576?o.types[0]:o).flags&32768)}function Zpt(o){const _=o.flags&1048576?o.types[0]:o;return!!(_.flags&32768)&&_!==le}function Ypt(o){return o.flags&524288&&!op(o)&&Ac(o).length===0&&Ih(o).length===1&&!!pb(o,st)||o.flags&3145728&&Ce(o.types,Ypt)||!1}function x8e(o,_,y){const S=o.flags&8?Qp(o):o,D=_.flags&8?Qp(_):_;if(S===D)return!0;if(S.escapedName!==D.escapedName||!(S.flags&256)||!(D.flags&256))return!1;const L=Ba(S)+","+Ba(D),B=Ix.get(L);if(B!==void 0&&!(B&2&&y))return!!(B&1);const K=Yn(D);for(const ne of Ac(Yn(S)))if(ne.flags&8){const ce=Ta(K,ne.escapedName);if(!ce||!(ce.flags&8))return y&&y(k.Property_0_is_missing_in_type_1,Eu(ne),Hn(gl(D),void 0,64)),Ix.set(L,2),!1;const ge=jN(ql(ne,306)).value,je=jN(ql(ce,306)).value;if(ge!==je){const Be=typeof ge=="string",kt=typeof je=="string";if(ge!==void 0&&je!==void 0){if(y){const Vt=Be?`"${Vy(ge)}"`:ge,Kt=kt?`"${Vy(je)}"`:je;y(k.Each_declaration_of_0_1_differs_in_its_value_where_2_was_expected_but_3_was_given,Eu(D),Eu(ce),Kt,Vt)}return Ix.set(L,2),!1}if(Be||kt){if(y){const Vt=ge??je;j.assert(typeof Vt=="string");const Kt=`"${Vy(Vt)}"`;y(k.One_value_of_0_1_is_the_string_2_and_the_other_is_assumed_to_be_an_unknown_numeric_value,Eu(D),Eu(ce),Kt)}return Ix.set(L,2),!1}}}return Ix.set(L,1),!0}function Vz(o,_,y,S){const D=o.flags,L=_.flags;return L&1||D&131072||o===Ct||L&2&&!(y===Cm&&D&1)?!0:L&131072?!1:!!(D&402653316&&L&4||D&128&&D&1024&&L&128&&!(L&1024)&&o.value===_.value||D&296&&L&8||D&256&&D&1024&&L&256&&!(L&1024)&&o.value===_.value||D&2112&&L&64||D&528&&L&16||D&12288&&L&4096||D&32&&L&32&&o.symbol.escapedName===_.symbol.escapedName&&x8e(o.symbol,_.symbol,S)||D&1024&&L&1024&&(D&1048576&&L&1048576&&x8e(o.symbol,_.symbol,S)||D&2944&&L&2944&&o.value===_.value&&x8e(o.symbol,_.symbol,S))||D&32768&&(!we&&!(L&3145728)||L&49152)||D&65536&&(!we&&!(L&3145728)||L&65536)||D&524288&&L&67108864&&!(y===Cm&&sv(o)&&!(Or(o)&8192))||(y===Op||y===Mp)&&(D&1||D&8&&(L&32||L&256&&L&1024)||D&256&&!(D&1024)&&(L&32||L&256&&L&1024&&o.value===_.value)||SQt(_)))}function ay(o,_,y){if(XD(o)&&(o=o.regularType),XD(_)&&(_=_.regularType),o===_)return!0;if(y!==tp){if(y===Mp&&!(_.flags&131072)&&Vz(_,o,y)||Vz(o,_,y))return!0}else if(!((o.flags|_.flags)&61865984)){if(o.flags!==_.flags)return!1;if(o.flags&67358815)return!0}if(o.flags&524288&&_.flags&524288){const S=y.get(Cme(o,_,0,y,!1));if(S!==void 0)return!!(S&1)}return o.flags&469499904||_.flags&469499904?lg(o,_,y,void 0):!1}function emt(o,_){return Or(o)&2048&&S7e(_.escapedName)}function kee(o,_){for(;;){const y=XD(o)?o.regularType:Qx(o)?kQt(o,_):Or(o)&4?o.node?wC(o.target,Pl(o)):P8e(o)||o:o.flags&3145728?wQt(o,_):o.flags&33554432?_?o.baseType:zRe(o):o.flags&25165824?kC(o,_):o;if(y===o)return y;o=y}}function wQt(o,_){const y=a1(o);if(y!==o)return y;if(o.flags&2097152&&xQt(o)){const S=Wr(o.types,D=>kee(D,_));if(S!==o.types)return Wa(S)}return o}function xQt(o){let _=!1,y=!1;for(const S of o.types)if(_||(_=!!(S.flags&465829888)),y||(y=!!(S.flags&98304)||sv(S)),_&&y)return!0;return!1}function kQt(o,_){const y=GD(o),S=Wr(y,D=>D.flags&25165824?kC(D,_):D);return y!==S?YRe(o.target,S):o}function lg(o,_,y,S,D,L,B){var K;let ne,ce,ge,je,Be,kt,Vt=0,Kt=0,Oi=0,Ki=0,qn=!1,Qn=0,rn=0,Ui,fr,Gr=16e6-y.size>>3;j.assert(y!==tp||!S,"no error reporting in identity checking");const vs=or(o,_,3,!!S,D);if(fr&&Al(),qn){const _t=Cme(o,_,0,y,!1);y.set(_t,2|(Gr<=0?32:64)),(K=Zn)==null||K.instant(Zn.Phase.CheckTypes,"checkTypeRelatedTo_DepthLimit",{sourceId:o.id,targetId:_.id,depth:Kt,targetDepth:Oi});const xt=Gr<=0?k.Excessive_complexity_comparing_types_0_and_1:k.Excessive_stack_depth_comparing_types_0_and_1,ai=ft(S||H,xt,Hn(o),Hn(_));B&&(B.errors||(B.errors=[])).push(ai)}else if(ne){if(L){const ai=L();ai&&(TDe(ai,ne),ne=ai)}let _t;if(D&&S&&!vs&&o.symbol){const ai=Ys(o.symbol);if(ai.originatingImport&&!zp(ai.originatingImport)&&lg(Yn(ai.target),_,y,void 0)){const At=Kn(ai.originatingImport,k.Type_originates_at_this_import_A_namespace_style_import_cannot_be_called_or_constructed_and_will_cause_a_failure_at_runtime_Consider_using_a_default_import_or_import_require_here_instead);_t=fn(_t,At)}}const xt=Bv(_n(S),S,ne,_t);ce&&da(xt,...ce),B&&(B.errors||(B.errors=[])).push(xt),(!B||!B.skipLogging)&&lc.add(xt)}return S&&B&&B.skipLogging&&vs===0&&j.assert(!!B.errors,"missed opportunity to interact with error."),vs!==0;function Ur(_t){ne=_t.errorInfo,Ui=_t.lastSkippedInfo,fr=_t.incompatibleStack,Qn=_t.overrideNextErrorInfo,rn=_t.skipParentCounter,ce=_t.relatedInfo}function ga(){return{errorInfo:ne,lastSkippedInfo:Ui,incompatibleStack:fr==null?void 0:fr.slice(),overrideNextErrorInfo:Qn,skipParentCounter:rn,relatedInfo:ce==null?void 0:ce.slice()}}function fa(_t,...xt){Qn++,Ui=void 0,(fr||(fr=[])).push([_t,...xt])}function Al(){const _t=fr||[];fr=void 0;const xt=Ui;if(Ui=void 0,_t.length===1){Po(..._t[0]),xt&&wu(void 0,...xt);return}let ai="";const qi=[];for(;_t.length;){const[At,...ui]=_t.pop();switch(At.code){case k.Types_of_property_0_are_incompatible.code:{ai.indexOf("new ")===0&&(ai=`(${ai})`);const yn=""+ui[0];ai.length===0?ai=`${yn}`:J_(yn,Ja(Q))?ai=`${ai}.${yn}`:yn[0]==="["&&yn[yn.length-1]==="]"?ai=`${ai}${yn}`:ai=`${ai}[${yn}]`;break}case k.Call_signature_return_types_0_and_1_are_incompatible.code:case k.Construct_signature_return_types_0_and_1_are_incompatible.code:case k.Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code:case k.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code:{if(ai.length===0){let yn=At;At.code===k.Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code?yn=k.Call_signature_return_types_0_and_1_are_incompatible:At.code===k.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code&&(yn=k.Construct_signature_return_types_0_and_1_are_incompatible),qi.unshift([yn,ui[0],ui[1]])}else{const yn=At.code===k.Construct_signature_return_types_0_and_1_are_incompatible.code||At.code===k.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code?"new ":"",ni=At.code===k.Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code||At.code===k.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code?"":"...";ai=`${yn}${ai}(${ni})`}break}case k.Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target.code:{qi.unshift([k.Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target,ui[0],ui[1]]);break}case k.Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target.code:{qi.unshift([k.Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target,ui[0],ui[1],ui[2]]);break}default:return j.fail(`Unhandled Diagnostic: ${At.code}`)}}ai?Po(ai[ai.length-1]===")"?k.The_types_returned_by_0_are_incompatible_between_these_types:k.The_types_of_0_are_incompatible_between_these_types,ai):qi.shift();for(const[At,...ui]of qi){const yn=At.elidedInCompatabilityPyramid;At.elidedInCompatabilityPyramid=!1,Po(At,...ui),At.elidedInCompatabilityPyramid=yn}xt&&wu(void 0,...xt)}function Po(_t,...xt){j.assert(!!S),fr&&Al(),!_t.elidedInCompatabilityPyramid&&(rn===0?ne=jo(ne,_t,...xt):rn--)}function fu(_t,...xt){Po(_t,...xt),rn++}function Ef(_t){j.assert(!!ne),ce?ce.push(_t):ce=[_t]}function wu(_t,xt,ai){fr&&Al();const[qi,At]=O5(xt,ai);let ui=xt,yn=qi;if(Hz(xt)&&!k8e(ai)&&(ui=DC(xt),j.assert(!Da(ui,ai),"generalized source shouldn't be assignable"),yn=EO(ui)),(ai.flags&8388608&&!(xt.flags&8388608)?ai.objectType.flags:ai.flags)&262144&&ai!==Ve&&ai!==_e){const Yi=Jf(ai);let Gn;Yi&&(Da(ui,Yi)||(Gn=Da(xt,Yi)))?Po(k._0_is_assignable_to_the_constraint_of_type_1_but_1_could_be_instantiated_with_a_different_subtype_of_constraint_2,Gn?qi:yn,At,Hn(Yi)):(ne=void 0,Po(k._0_could_be_instantiated_with_an_arbitrary_type_which_could_be_unrelated_to_1,At,yn))}if(_t)_t===k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1&&Ne&&tmt(xt,ai).length&&(_t=k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties);else if(y===Mp)_t=k.Type_0_is_not_comparable_to_type_1;else if(qi===At)_t=k.Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated;else if(Ne&&tmt(xt,ai).length)_t=k.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties;else{if(xt.flags&128&&ai.flags&1048576){const Yi=Fei(xt,ai);if(Yi){Po(k.Type_0_is_not_assignable_to_type_1_Did_you_mean_2,yn,At,Hn(Yi));return}}_t=k.Type_0_is_not_assignable_to_type_1}Po(_t,yn,At)}function bn(_t,xt){const ai=Wx(_t.symbol)?Hn(_t,_t.symbol.valueDeclaration):Hn(_t),qi=Wx(xt.symbol)?Hn(xt,xt.symbol.valueDeclaration):Hn(xt);(po===_t&&st===xt||ic===_t&&hi===xt||sc===_t&&fi===xt||Y_t()===_t&&wi===xt)&&Po(k._0_is_a_primitive_but_1_is_a_wrapper_object_Prefer_using_0_when_possible,qi,ai)}function Fn(_t,xt,ai){return Qa(_t)?_t.target.readonly&&Iee(xt)?(ai&&Po(k.The_type_0_is_readonly_and_cannot_be_assigned_to_the_mutable_type_1,Hn(_t),Hn(xt)),!1):$2(xt):J5(_t)&&Iee(xt)?(ai&&Po(k.The_type_0_is_readonly_and_cannot_be_assigned_to_the_mutable_type_1,Hn(_t),Hn(xt)),!1):Qa(xt)?ug(_t):!0}function js(_t,xt,ai){return or(_t,xt,3,ai)}function or(_t,xt,ai=3,qi=!1,At,ui=0){if(_t===xt)return-1;if(_t.flags&524288&&xt.flags&402784252)return y===Mp&&!(xt.flags&131072)&&Vz(xt,_t,y)||Vz(_t,xt,y,qi?Po:void 0)?-1:(qi&&Do(_t,xt,_t,xt,At),0);const yn=kee(_t,!1);let ni=kee(xt,!0);if(yn===ni)return-1;if(y===tp)return yn.flags!==ni.flags?0:yn.flags&67358815?-1:(La(yn,ni),cF(yn,ni,!1,0,ai));if(yn.flags&262144&&wN(yn)===ni)return-1;if(yn.flags&470302716&&ni.flags&1048576){const Yi=ni.types,Gn=Yi.length===2&&Yi[0].flags&98304?Yi[1]:Yi.length===3&&Yi[0].flags&98304&&Yi[1].flags&98304?Yi[2]:void 0;if(Gn&&!(Gn.flags&98304)&&(ni=kee(Gn,!0),yn===ni))return-1}if(y===Mp&&!(ni.flags&131072)&&Vz(ni,yn,y)||Vz(yn,ni,y,qi?Po:void 0))return-1;if(yn.flags&469499904||ni.flags&469499904){if(!(ui&2)&&ew(yn)&&Or(yn)&8192&&xu(yn,ni,qi))return qi&&wu(At,yn,xt.aliasSymbol?xt:ni),0;const Gn=(y!==Mp||c1(yn))&&!(ui&2)&&yn.flags&405405692&&yn!==Qu&&ni.flags&2621440&&D8e(ni)&&(Ac(yn).length>0||Age(yn)),Gi=!!(Or(yn)&2048);if(Gn&&!DQt(yn,ni,Gi)){if(qi){const Hr=Hn(_t.aliasSymbol?_t:yn),xs=Hn(xt.aliasSymbol?xt:ni),ns=ia(yn,0),Fo=ia(yn,1);ns.length>0&&or(Oc(ns[0]),ni,1,!1)||Fo.length>0&&or(Oc(Fo[0]),ni,1,!1)?Po(k.Value_of_type_0_has_no_properties_in_common_with_type_1_Did_you_mean_to_call_it,Hr,xs):Po(k.Type_0_has_no_properties_in_common_with_type_1,Hr,xs)}return 0}La(yn,ni);const Ln=yn.flags&1048576&&yn.types.length<4&&!(ni.flags&1048576)||ni.flags&1048576&&ni.types.length<4&&!(yn.flags&469499904)?hf(yn,ni,qi,ui):cF(yn,ni,qi,ui,ai);if(Ln)return Ln}return qi&&Do(_t,xt,yn,ni,At),0}function Do(_t,xt,ai,qi,At){var ui,yn;const ni=!!P8e(_t),Yi=!!P8e(xt);ai=_t.aliasSymbol||ni?_t:ai,qi=xt.aliasSymbol||Yi?xt:qi;let Gn=Qn>0;if(Gn&&Qn--,ai.flags&524288&&qi.flags&524288){const Gi=ne;Fn(ai,qi,!0),ne!==Gi&&(Gn=!!ne)}if(ai.flags&524288&&qi.flags&402784252)bn(ai,qi);else if(ai.symbol&&ai.flags&524288&&Qu===ai)Po(k.The_Object_type_is_assignable_to_very_few_other_types_Did_you_mean_to_use_the_any_type_instead);else if(Or(ai)&2048&&qi.flags&2097152){const Gi=qi.types,zn=iE(hm.IntrinsicAttributes,S),Ln=iE(hm.IntrinsicClassAttributes,S);if(!fe(zn)&&!fe(Ln)&&(Yt(Gi,zn)||Yt(Gi,Ln)))return}else ne=ERe(ne,xt);if(!At&&Gn){const Gi=ga();wu(At,ai,qi);let zn;ne&&ne!==Gi.errorInfo&&(zn={code:ne.code,messageText:ne.messageText}),Ur(Gi),zn&&ne&&(ne.canonicalHead=zn),Ui=[ai,qi];return}if(wu(At,ai,qi),ai.flags&262144&&((yn=(ui=ai.symbol)==null?void 0:ui.declarations)!=null&&yn[0])&&!wN(ai)){const Gi=g8e(ai);if(Gi.constraint=io(qi,QD(ai,Gi)),see(Gi)){const zn=Hn(qi,ai.symbol.declarations[0]);Ef(Kn(ai.symbol.declarations[0],k.This_type_parameter_might_need_an_extends_0_constraint,zn))}}}function La(_t,xt){if(Zn&&_t.flags&3145728&&xt.flags&3145728){const ai=_t,qi=xt;if(ai.objectFlags&qi.objectFlags&32768)return;const At=ai.types.length,ui=qi.types.length;At*ui>1e6&&Zn.instant(Zn.Phase.CheckTypes,"traceUnionsOrIntersectionsTooLarge_DepthLimit",{sourceId:_t.id,sourceSize:At,targetId:xt.id,targetSize:ui,pos:S==null?void 0:S.pos,end:S==null?void 0:S.end})}}function Ol(_t,xt){return is(oi(_t,(qi,At)=>{var ui;At=Kh(At);const yn=At.flags&3145728?oee(At,xt):UD(At,xt),ni=yn&&Yn(yn)||((ui=UA(At,xt))==null?void 0:ui.type)||tt;return fn(qi,ni)},void 0)||w)}function xu(_t,xt,ai){var qi;if(!Zz(xt)||!De&&Or(xt)&4096)return!1;const At=!!(Or(_t)&2048);if((y===Op||y===Mp)&&(Q5(Qu,xt)||!At&&TC(xt)))return!1;let ui=xt,yn;xt.flags&1048576&&(ui=Eyt(_t,xt,or)||qoi(xt),yn=ui.flags&1048576?ui.types:[ui]);for(const ni of Ac(_t))if(nc(ni,_t.symbol)&&!emt(_t,ni)){if(!ege(ui,ni.escapedName,At)){if(ai){const Yi=ad(ui,Zz);if(!S)return j.fail();if(cD(S)||oh(S)||oh(S.parent)){ni.valueDeclaration&&U1(ni.valueDeclaration)&&_n(S)===_n(ni.valueDeclaration.name)&&(S=ni.valueDeclaration.name);const Gn=eo(ni),Gi=Ggt(Gn,Yi),zn=Gi?eo(Gi):void 0;zn?Po(k.Property_0_does_not_exist_on_type_1_Did_you_mean_2,Gn,Hn(Yi),zn):Po(k.Property_0_does_not_exist_on_type_1,Gn,Hn(Yi))}else{const Gn=((qi=_t.symbol)==null?void 0:qi.declarations)&&Ra(_t.symbol.declarations);let Gi;if(ni.valueDeclaration&&Qi(ni.valueDeclaration,zn=>zn===Gn)&&_n(Gn)===_n(S)){const zn=ni.valueDeclaration;j.assertNode(zn,Ub);const Ln=zn.name;S=Ln,ot(Ln)&&(Gi=Kgt(Ln,Yi))}Gi!==void 0?fu(k.Object_literal_may_only_specify_known_properties_but_0_does_not_exist_in_type_1_Did_you_mean_to_write_2,eo(ni),Hn(Yi),Gi):fu(k.Object_literal_may_only_specify_known_properties_and_0_does_not_exist_in_type_1,eo(ni),Hn(Yi))}}return!0}if(yn&&!or(Yn(ni),Ol(yn,ni.escapedName),3,ai))return ai&&fa(k.Types_of_property_0_are_incompatible,eo(ni)),!0}return!1}function nc(_t,xt){return _t.valueDeclaration&&xt.valueDeclaration&&_t.valueDeclaration.parent===xt.valueDeclaration}function hf(_t,xt,ai,qi){if(_t.flags&1048576){if(xt.flags&1048576){const At=_t.origin;if(At&&At.flags&2097152&&xt.aliasSymbol&&Yt(At.types,xt))return-1;const ui=xt.origin;if(ui&&ui.flags&1048576&&_t.aliasSymbol&&Yt(ui.types,_t))return-1}return y===Mp?zu(_t,xt,ai&&!(_t.flags&402784252),qi):nw(_t,xt,ai&&!(_t.flags&402784252),qi)}if(xt.flags&1048576)return ul(Uz(_t),xt,ai&&!(_t.flags&402784252)&&!(xt.flags&402784252),qi);if(xt.flags&2097152)return Im(_t,xt,ai,2);if(y===Mp&&xt.flags&402784252){const At=Wr(_t.types,ui=>ui.flags&465829888?Jf(ui)||te:ui);if(At!==_t.types){if(_t=Wa(At),_t.flags&131072)return 0;if(!(_t.flags&2097152))return or(_t,xt,1,!1)||or(xt,_t,1,!1)}}return zu(_t,xt,!1,1)}function Ou(_t,xt){let ai=-1;const qi=_t.types;for(const At of qi){const ui=ul(At,xt,!1,0);if(!ui)return 0;ai&=ui}return ai}function ul(_t,xt,ai,qi){const At=xt.types;if(xt.flags&1048576){if(mb(At,_t))return-1;if(y!==Mp&&Or(xt)&32768&&!(_t.flags&1024)&&(_t.flags&2688||(y===ob||y===Cm)&&_t.flags&256)){const yn=_t===_t.regularType?_t.freshType:_t.regularType,ni=_t.flags&128?st:_t.flags&256?hi:_t.flags&2048?vn:void 0;return ni&&mb(At,ni)||yn&&mb(At,yn)?-1:0}const ui=Pmt(xt,_t);if(ui){const yn=or(_t,ui,2,!1,void 0,qi);if(yn)return yn}}for(const ui of At){const yn=or(_t,ui,2,!1,void 0,qi);if(yn)return yn}if(ai){const ui=imt(_t,xt,or);ui&&or(_t,ui,2,!0,void 0,qi)}return 0}function Im(_t,xt,ai,qi){let At=-1;const ui=xt.types;for(const yn of ui){const ni=or(_t,yn,2,ai,void 0,qi);if(!ni)return 0;At&=ni}return At}function zu(_t,xt,ai,qi){const At=_t.types;if(_t.flags&1048576&&mb(At,xt))return-1;const ui=At.length;for(let yn=0;yn<ui;yn++){const ni=or(At[yn],xt,1,ai&&yn===ui-1,void 0,qi);if(ni)return ni}return 0}function ed(_t,xt){return _t.flags&1048576&&xt.flags&1048576&&!(_t.types[0].flags&32768)&&xt.types[0].flags&32768?Z5(xt,-32769):xt}function nw(_t,xt,ai,qi){let At=-1;const ui=_t.types,yn=ed(_t,xt);for(let ni=0;ni<ui.length;ni++){const Yi=ui[ni];if(yn.flags&1048576&&ui.length>=yn.types.length&&ui.length%yn.types.length===0){const Gi=or(Yi,yn.types[ni%yn.types.length],3,!1,void 0,qi);if(Gi){At&=Gi;continue}}const Gn=or(Yi,xt,1,ai,void 0,qi);if(!Gn)return 0;At&=Gn}return At}function tM(_t=w,xt=w,ai=w,qi,At){if(_t.length!==xt.length&&y===tp)return 0;const ui=_t.length<=xt.length?_t.length:xt.length;let yn=-1;for(let ni=0;ni<ui;ni++){const Yi=ni<ai.length?ai[ni]:1,Gn=Yi&7;if(Gn!==4){const Gi=_t[ni],zn=xt[ni];let Ln=-1;if(Yi&8?Ln=y===tp?or(Gi,zn,3,!1):Bz(Gi,zn):Gn===1?Ln=or(Gi,zn,3,qi,void 0,At):Gn===2?Ln=or(zn,Gi,3,qi,void 0,At):Gn===3?(Ln=or(zn,Gi,3,!1),Ln||(Ln=or(Gi,zn,3,qi,void 0,At))):(Ln=or(Gi,zn,3,qi,void 0,At),Ln&&(Ln&=or(zn,Gi,3,qi,void 0,At))),!Ln)return 0;yn&=Ln}}return yn}function cF(_t,xt,ai,qi,At){var ui,yn,ni;if(qn)return 0;const Yi=Cme(_t,xt,qi,y,!1),Gn=y.get(Yi);if(Gn!==void 0&&!(ai&&Gn&2&&!(Gn&96))){if(Ls){const Fo=Gn&24;Fo&8&&io(_t,wf),Fo&16&&io(_t,ja)}if(ai&&Gn&96){const Fo=Gn&32?k.Excessive_complexity_comparing_types_0_and_1:k.Excessive_stack_depth_comparing_types_0_and_1;Po(Fo,Hn(_t),Hn(xt)),Qn++}return Gn&1?-1:0}if(Gr<=0)return qn=!0,0;if(!ge)ge=[],je=new Set,Be=[],kt=[];else{if(je.has(Yi))return 3;const Fo=Yi.startsWith("*")?Cme(_t,xt,qi,y,!0):void 0;if(Fo&&je.has(Fo))return 3;if(Kt===100||Oi===100)return qn=!0,0}const Gi=Vt;ge[Vt]=Yi,je.add(Yi),Vt++;const zn=Ki;At&1&&(Be[Kt]=_t,Kt++,!(Ki&1)&&YA(_t,Be,Kt)&&(Ki|=1)),At&2&&(kt[Oi]=xt,Oi++,!(Ki&2)&&YA(xt,kt,Oi)&&(Ki|=2));let Ln,Hr=0;Ls&&(Ln=Ls,Ls=Fo=>(Hr|=Fo?16:8,Ln(Fo)));let xs;return Ki===3?((ui=Zn)==null||ui.instant(Zn.Phase.CheckTypes,"recursiveTypeRelatedTo_DepthLimit",{sourceId:_t.id,sourceIdStack:Be.map(Fo=>Fo.id),targetId:xt.id,targetIdStack:kt.map(Fo=>Fo.id),depth:Kt,targetDepth:Oi}),xs=3):((yn=Zn)==null||yn.push(Zn.Phase.CheckTypes,"structuredTypeRelatedTo",{sourceId:_t.id,targetId:xt.id}),xs=lF(_t,xt,ai,qi),(ni=Zn)==null||ni.pop()),Ls&&(Ls=Ln),At&1&&Kt--,At&2&&Oi--,Ki=zn,xs?(xs===-1||Kt===0&&Oi===0)&&ns(xs===-1||xs===3):(y.set(Yi,2|Hr),Gr--,ns(!1)),xs;function ns(Fo){for(let Kc=Gi;Kc<Vt;Kc++)je.delete(ge[Kc]),Fo&&(y.set(ge[Kc],1|Hr),Gr--);Vt=Gi}}function lF(_t,xt,ai,qi){const At=ga();let ui=Z2(_t,xt,ai,qi,At);if(y!==tp){if(!ui&&(_t.flags&2097152||_t.flags&262144&&xt.flags&1048576)){const yn=YGt(_t.flags&2097152?_t.types:[_t],!!(xt.flags&1048576));yn&&up(yn,ni=>ni!==_t)&&(ui=or(yn,xt,1,!1,void 0,qi))}ui&&!(qi&2)&&xt.flags&2097152&&!EN(xt)&&_t.flags&2621440?(ui&=Oa(_t,xt,ai,void 0,!1,0),ui&&ew(_t)&&Or(_t)&8192&&(ui&=Es(_t,xt,!1,ai,0))):ui&&lme(xt)&&!$2(xt)&&_t.flags&2097152&&Kh(_t).flags&3670016&&!Ft(_t.types,yn=>yn===xt||!!(Or(yn)&262144))&&(ui&=Oa(_t,xt,ai,void 0,!0,qi))}return ui&&Ur(At),ui}function Yp(_t,xt){const ai=Kh(zD(xt)),qi=[];return CRe(ai,8576,!1,At=>void qi.push(io(_t,Mz(xt.mapper,s1(xt),At)))),is(qi)}function Z2(_t,xt,ai,qi,At){let ui,yn,ni=!1,Yi=_t.flags;const Gn=xt.flags;if(y===tp){if(Yi&3145728){let Ln=Ou(_t,xt);return Ln&&(Ln&=Ou(xt,_t)),Ln}if(Yi&4194304)return or(_t.type,xt.type,3,!1);if(Yi&8388608&&(ui=or(_t.objectType,xt.objectType,3,!1))&&(ui&=or(_t.indexType,xt.indexType,3,!1))||Yi&16777216&&_t.root.isDistributive===xt.root.isDistributive&&(ui=or(_t.checkType,xt.checkType,3,!1))&&(ui&=or(_t.extendsType,xt.extendsType,3,!1))&&(ui&=or(z2(_t),z2(xt),3,!1))&&(ui&=or(U2(_t),U2(xt),3,!1))||Yi&33554432&&(ui=or(_t.baseType,xt.baseType,3,!1))&&(ui&=or(_t.constraint,xt.constraint,3,!1)))return ui;if(!(Yi&524288))return 0}else if(Yi&3145728||Gn&3145728){if(ui=hf(_t,xt,ai,qi))return ui;if(!(Yi&465829888||Yi&524288&&Gn&1048576||Yi&2097152&&Gn&467402752))return 0}if(Yi&17301504&&_t.aliasSymbol&&_t.aliasTypeArguments&&_t.aliasSymbol===xt.aliasSymbol&&!(vme(_t)||vme(xt))){const Ln=nmt(_t.aliasSymbol);if(Ln===w)return 1;const Hr=Ys(_t.aliasSymbol).typeParameters,xs=sy(Hr),ns=$x(_t.aliasTypeArguments,Hr,xs,nr(_t.aliasSymbol.valueDeclaration)),Fo=$x(xt.aliasTypeArguments,Hr,xs,nr(_t.aliasSymbol.valueDeclaration)),Kc=zn(ns,Fo,Ln,qi);if(Kc!==void 0)return Kc}if(hmt(_t)&&!_t.target.readonly&&(ui=or(Pl(_t)[0],xt,1))||hmt(xt)&&(xt.target.readonly||Iee(Jf(_t)||_t))&&(ui=or(_t,Pl(xt)[0],2)))return ui;if(Gn&262144){if(Or(_t)&32&&!_t.declaration.nameType&&or(oy(xt),Tm(_t),3)&&!(tv(_t)&4)){const Ln=SC(_t),Hr=ap(xt,s1(_t));if(ui=or(Ln,Hr,3,ai))return ui}if(y===Mp&&Yi&262144){let Ln=m_(_t);if(Ln)for(;Ln&&dg(Ln,Hr=>!!(Hr.flags&262144));){if(ui=or(Ln,xt,1,!1))return ui;Ln=m_(Ln)}return 0}}else if(Gn&4194304){const Ln=xt.type;if(Yi&4194304&&(ui=or(Ln,_t.type,3,!1)))return ui;if(Qa(Ln)){if(ui=or(_t,upt(Ln),2,ai))return ui}else{const Hr=SRe(Ln);if(Hr){if(or(_t,oy(Hr,xt.indexFlags|4),2,ai)===-1)return-1}else if(op(Ln)){const xs=KS(Ln),ns=Tm(Ln);let Fo;if(xs&&FO(Ln)){const Kc=Yp(xs,Ln);Fo=is([Kc,xs])}else Fo=xs||ns;if(or(_t,Fo,2,ai)===-1)return-1}}}else if(Gn&8388608){if(Yi&8388608){if((ui=or(_t.objectType,xt.objectType,3,ai))&&(ui&=or(_t.indexType,xt.indexType,3,ai)),ui)return ui;ai&&(yn=ne)}if(y===Op||y===Mp){const Ln=xt.objectType,Hr=xt.indexType,xs=Jf(Ln)||Ln,ns=Jf(Hr)||Hr;if(!EN(xs)&&!IN(ns)){const Fo=4|(xs!==Ln?2:0),Kc=Gx(xs,ns,Fo);if(Kc){if(ai&&yn&&Ur(At),ui=or(_t,Kc,2,ai,void 0,qi))return ui;ai&&yn&&ne&&(ne=Gi([yn])<=Gi([ne])?yn:ne)}}}ai&&(yn=void 0)}else if(op(xt)&&y!==tp){const Ln=!!xt.declaration.nameType,Hr=SC(xt),xs=tv(xt);if(!(xs&8)){if(!Ln&&Hr.flags&8388608&&Hr.objectType===_t&&Hr.indexType===s1(xt))return-1;if(!op(_t)){const ns=Ln?KS(xt):Tm(xt),Fo=oy(_t,2),Kc=xs&4,Xf=Kc?tee(ns,Fo):void 0;if(Kc?!(Xf.flags&131072):or(ns,Fo,3)){const H_=SC(xt),Yg=s1(xt),Y2=Z5(H_,-98305);if(!Ln&&Y2.flags&8388608&&Y2.indexType===Yg){if(ui=or(_t,Y2.objectType,2,ai))return ui}else{const f1=Ln?Xf||ns:Xf?Wa([Xf,Yg]):Yg,rw=ap(_t,f1);if(ui=or(rw,H_,3,ai))return ui}}yn=ne,Ur(At)}}}else if(Gn&16777216){if(YA(xt,kt,Oi,10))return 3;const Ln=xt;if(!Ln.root.inferTypeParameters&&!VXt(Ln.root)&&!(_t.flags&16777216&&_t.root===Ln.root)){const Hr=!Da(Fz(Ln.checkType),Fz(Ln.extendsType)),xs=!Hr&&Da(LN(Ln.checkType),LN(Ln.extendsType));if((ui=Hr?-1:or(_t,z2(Ln),2,!1,void 0,qi))&&(ui&=xs?-1:or(_t,U2(Ln),2,!1,void 0,qi),ui))return ui}}else if(Gn&134217728){if(Yi&134217728){if(y===Mp)return hZt(_t,xt)?0:-1;io(_t,ja)}if(Rme(_t,xt))return-1}else if(xt.flags&268435456&&!(_t.flags&268435456)&&Mme(_t,xt))return-1;if(Yi&8650752){if(!(Yi&8388608&&Gn&8388608)){const Ln=wN(_t)||te;if(ui=or(Ln,xt,1,!1,void 0,qi))return ui;if(ui=or(Wp(Ln,_t),xt,1,ai&&Ln!==te&&!(Gn&Yi&262144),void 0,qi))return ui;if(TRe(_t)){const Hr=wN(_t.indexType);if(Hr&&(ui=or(ap(_t.objectType,Hr),xt,1,ai)))return ui}}}else if(Yi&4194304){const Ln=s8e(_t.type,_t.indexFlags)&&Or(_t.type)&32;if(ui=or(vi,xt,1,ai&&!Ln))return ui;if(Ln){const Hr=_t.type,xs=KS(Hr),ns=xs&&FO(Hr)?Yp(xs,Hr):xs||Tm(Hr);if(ui=or(ns,xt,1,ai))return ui}}else if(Yi&134217728&&!(Gn&524288)){if(!(Gn&134217728)){const Ln=Jf(_t);if(Ln&&Ln!==_t&&(ui=or(Ln,xt,1,ai)))return ui}}else if(Yi&268435456)if(Gn&268435456){if(_t.symbol!==xt.symbol)return 0;if(ui=or(_t.type,xt.type,3,ai))return ui}else{const Ln=Jf(_t);if(Ln&&(ui=or(Ln,xt,1,ai)))return ui}else if(Yi&16777216){if(YA(_t,Be,Kt,10))return 3;if(Gn&16777216){const xs=_t.root.inferTypeParameters;let ns=_t.extendsType,Fo;if(xs){const Kc=qz(xs,void 0,0,js);NC(Kc.inferences,xt.extendsType,ns,1536),ns=io(ns,Kc.mapper),Fo=Kc.mapper}if(yb(ns,xt.extendsType)&&(or(_t.checkType,xt.checkType,3)||or(xt.checkType,_t.checkType,3))&&((ui=or(io(z2(_t),Fo),z2(xt),3,ai))&&(ui&=or(U2(_t),U2(xt),3,ai)),ui))return ui}const Ln=wRe(_t);if(Ln&&(ui=or(Ln,xt,1,ai)))return ui;const Hr=!(Gn&16777216)&&see(_t)?y_t(_t):void 0;if(Hr&&(Ur(At),ui=or(Hr,xt,1,ai)))return ui}else{if(y!==ob&&y!==Cm&&$Gt(xt)&&TC(_t))return-1;if(op(xt))return op(_t)&&(ui=di(_t,xt,ai))?ui:0;const Ln=!!(Yi&402784252);if(y!==tp)_t=Kh(_t),Yi=_t.flags;else if(op(_t))return 0;if(Or(_t)&4&&Or(xt)&4&&_t.target===xt.target&&!Qa(_t)&&!(vme(_t)||vme(xt))){if(xme(_t))return-1;const Hr=E8e(_t.target);if(Hr===w)return 1;const xs=zn(Pl(_t),Pl(xt),Hr,qi);if(xs!==void 0)return xs}else{if(J5(xt)?up(_t,$2):ug(xt)&&up(_t,Hr=>Qa(Hr)&&!Hr.target.readonly))return y!==tp?or(H2(_t,hi)||Je,H2(xt,hi)||Je,3,ai):0;if(Qx(_t)&&Qa(xt)&&!Qx(xt)){const Hr=iv(_t);if(Hr!==_t)return or(Hr,xt,1,ai)}else if((y===ob||y===Cm)&&TC(xt)&&Or(xt)&8192&&!TC(_t))return 0}if(Yi&2621440&&Gn&524288){const Hr=ai&&ne===At.errorInfo&&!Ln;if(ui=Oa(_t,xt,Hr,void 0,!1,qi),ui&&(ui&=yl(_t,xt,0,Hr,qi),ui&&(ui&=yl(_t,xt,1,Hr,qi),ui&&(ui&=Es(_t,xt,Ln,Hr,qi)))),ni&&ui)ne=yn||ne||At.errorInfo;else if(ui)return ui}if(Yi&2621440&&Gn&1048576){const Hr=Z5(xt,36175872);if(Hr.flags&1048576){const xs=Zi(_t,Hr);if(xs)return xs}}}return 0;function Gi(Ln){return Ln?oi(Ln,(Hr,xs)=>Hr+1+Gi(xs.next),0):0}function zn(Ln,Hr,xs,ns){if(ui=tM(Ln,Hr,xs,ai,ns))return ui;if(Ft(xs,Kc=>!!(Kc&24))){yn=void 0,Ur(At);return}const Fo=Hr&&EQt(Hr,xs);if(ni=!Fo,xs!==w&&!Fo){if(ni&&!(ai&&Ft(xs,Kc=>(Kc&7)===0)))return 0;yn=ne,Ur(At)}}}function di(_t,xt,ai){if(y===Mp||(y===tp?tv(_t)===tv(xt):V5(_t)<=V5(xt))){let At;const ui=Tm(xt),yn=io(Tm(_t),V5(_t)<0?wf:ja);if(At=or(ui,yn,3,ai)){const ni=cp([s1(_t)],[s1(xt)]);if(io(KS(_t),ni)===io(KS(xt),ni))return At&or(io(SC(_t),ni),SC(xt),3,ai)}}return 0}function Zi(_t,xt){var ai;const qi=Ac(_t),At=Lmt(qi,xt);if(!At)return 0;let ui=1;for(const zn of At)if(ui*=jZt(Ux(zn)),ui>25)return(ai=Zn)==null||ai.instant(Zn.Phase.CheckTypes,"typeRelatedToDiscriminatedType_DepthLimit",{sourceId:_t.id,targetId:xt.id,numCombinations:ui}),0;const yn=new Array(At.length),ni=new Set;for(let zn=0;zn<At.length;zn++){const Ln=At[zn],Hr=Ux(Ln);yn[zn]=Hr.flags&1048576?Hr.types:[Hr],ni.add(Ln.escapedName)}const Yi=vxe(yn),Gn=[];for(const zn of Yi){let Ln=!1;e:for(const Hr of xt.types){for(let xs=0;xs<At.length;xs++){const ns=At[xs],Fo=Ta(Hr,ns.escapedName);if(!Fo)continue e;if(ns===Fo)continue;if(!Er(_t,xt,ns,Fo,Xf=>zn[xs],!1,0,we||y===Mp))continue e}mu(Gn,Hr,Hw),Ln=!0}if(!Ln)return 0}let Gi=-1;for(const zn of Gn)if(Gi&=Oa(_t,zn,!1,ni,!1,0),Gi&&(Gi&=yl(_t,zn,0,!1,0),Gi&&(Gi&=yl(_t,zn,1,!1,0),Gi&&!(Qa(_t)&&Qa(zn))&&(Gi&=Es(_t,zn,!1,!1,0)))),!Gi)return Gi;return Gi}function Tn(_t,xt){if(!xt||_t.length===0)return _t;let ai;for(let qi=0;qi<_t.length;qi++)xt.has(_t[qi].escapedName)?ai||(ai=_t.slice(0,qi)):ai&&ai.push(_t[qi]);return ai||_t}function Bn(_t,xt,ai,qi,At){const ui=we&&!!(Iu(xt)&48),yn=Dd(Ux(xt),!1,ui),ni=ai(_t);return or(ni,yn,3,qi,void 0,At)}function Er(_t,xt,ai,qi,At,ui,yn,ni){const Yi=$m(ai),Gn=$m(qi);if(Yi&2||Gn&2){if(ai.valueDeclaration!==qi.valueDeclaration)return ui&&(Yi&2&&Gn&2?Po(k.Types_have_separate_declarations_of_a_private_property_0,eo(qi)):Po(k.Property_0_is_private_in_type_1_but_not_in_type_2,eo(qi),Hn(Yi&2?_t:xt),Hn(Yi&2?xt:_t))),0}else if(Gn&4){if(!AQt(ai,qi))return ui&&Po(k.Property_0_is_protected_but_type_1_is_not_a_class_derived_from_2,eo(qi),Hn(ZA(ai)||_t),Hn(ZA(qi)||xt)),0}else if(Yi&4)return ui&&Po(k.Property_0_is_protected_in_type_1_but_public_in_type_2,eo(qi),Hn(_t),Hn(xt)),0;if(y===Cm&&d1(ai)&&!d1(qi))return 0;const Gi=Bn(ai,qi,At,ui,yn);return Gi?!ni&&ai.flags&16777216&&qi.flags&106500&&!(qi.flags&16777216)?(ui&&Po(k.Property_0_is_optional_in_type_1_but_required_in_type_2,eo(qi),Hn(_t),Hn(xt)),0):Gi:(ui&&fa(k.Types_of_property_0_are_incompatible,eo(qi)),0)}function os(_t,xt,ai,qi){let At=!1;if(ai.valueDeclaration&&Bf(ai.valueDeclaration)&&Vs(ai.valueDeclaration.name)&&_t.symbol&&_t.symbol.flags&32){const yn=ai.valueDeclaration.name.escapedText,ni=NV(_t.symbol,yn);if(ni&&Ta(_t,ni)){const Yi=G.getDeclarationName(_t.symbol.valueDeclaration),Gn=G.getDeclarationName(xt.symbol.valueDeclaration);Po(k.Property_0_in_type_1_refers_to_a_different_member_that_cannot_be_accessed_from_within_type_2,sg(yn),sg(Yi.escapedText===""?Due:Yi),sg(Gn.escapedText===""?Due:Gn));return}}const ui=as(U8e(_t,xt,qi,!1));if((!D||D.code!==k.Class_0_incorrectly_implements_interface_1.code&&D.code!==k.Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclass.code)&&(At=!0),ui.length===1){const yn=eo(ai,void 0,0,20);Po(k.Property_0_is_missing_in_type_1_but_required_in_type_2,yn,...O5(_t,xt)),P(ai.declarations)&&Ef(Kn(ai.declarations[0],k._0_is_declared_here,yn)),At&&ne&&Qn++}else Fn(_t,xt,!1)&&(ui.length>5?Po(k.Type_0_is_missing_the_following_properties_from_type_1_Colon_2_and_3_more,Hn(_t),Hn(xt),qt(ui.slice(0,4),yn=>eo(yn)).join(", "),ui.length-4):Po(k.Type_0_is_missing_the_following_properties_from_type_1_Colon_2,Hn(_t),Hn(xt),qt(ui,yn=>eo(yn)).join(", ")),At&&ne&&Qn++)}function Oa(_t,xt,ai,qi,At,ui){if(y===tp)return Ua(_t,xt,qi);let yn=-1;if(Qa(xt)){if($2(_t)){if(!xt.target.readonly&&(J5(_t)||Qa(_t)&&_t.target.readonly))return 0;const zn=QS(_t),Ln=QS(xt),Hr=Qa(_t)?_t.target.combinedFlags&4:4,xs=!!(xt.target.combinedFlags&12),ns=Qa(_t)?_t.target.minLength:0,Fo=xt.target.minLength;if(!Hr&&zn<Fo)return ai&&Po(k.Source_has_0_element_s_but_target_requires_1,zn,Fo),0;if(!xs&&Ln<ns)return ai&&Po(k.Source_has_0_element_s_but_target_allows_only_1,ns,Ln),0;if(!xs&&(Hr||Ln<zn))return ai&&(ns<Fo?Po(k.Target_requires_0_element_s_but_source_may_have_fewer,Fo):Po(k.Target_allows_only_0_element_s_but_source_may_have_more,Ln)),0;const Kc=Pl(_t),Xf=Pl(xt),H_=sXt(xt.target,11),Yg=Pz(xt.target,11);let Y2=!!qi;for(let f1=0;f1<zn;f1++){const rw=Qa(_t)?_t.target.elementFlags[f1]:4,uF=zn-1-f1,j_=xs&&f1>=H_?Ln-1-Math.min(uF,Yg):f1,ik=xt.target.elementFlags[j_];if(ik&8&&!(rw&8))return ai&&Po(k.Source_provides_no_match_for_variadic_element_at_position_0_in_target,j_),0;if(rw&8&&!(ik&12))return ai&&Po(k.Variadic_element_at_position_0_in_source_does_not_match_element_at_position_1_in_target,f1,j_),0;if(ik&1&&!(rw&1))return ai&&Po(k.Source_provides_no_match_for_required_element_at_position_0_in_target,j_),0;if(Y2&&((rw&12||ik&12)&&(Y2=!1),Y2&&(qi!=null&&qi.has(""+f1))))continue;const dF=IC(Kc[f1],!!(rw&ik&2)),gU=Xf[j_],yU=rw&8&&ik&4?hh(gU):IC(gU,!!(ik&2)),zN=or(dF,yU,3,ai,void 0,ui);if(!zN)return ai&&(Ln>1||zn>1)&&(xs&&f1>=H_&&uF>=Yg&&H_!==zn-Yg-1?fa(k.Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target,H_,zn-Yg-1,j_):fa(k.Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target,f1,j_)),0;yn&=zN}return yn}if(xt.target.combinedFlags&12)return 0}const ni=(y===ob||y===Cm)&&!ew(_t)&&!xme(_t)&&!Qa(_t),Yi=q8e(_t,xt,ni,!1);if(Yi)return ai&&Qe(_t,xt)&&os(_t,xt,Yi,ni),0;if(ew(xt)){for(const zn of Tn(Ac(_t),qi))if(!UD(xt,zn.escapedName)&&!(Yn(zn).flags&32768))return ai&&Po(k.Property_0_does_not_exist_on_type_1,eo(zn),Hn(xt)),0}const Gn=Ac(xt),Gi=Qa(_t)&&Qa(xt);for(const zn of Tn(Gn,qi)){const Ln=zn.escapedName;if(!(zn.flags&4194304)&&(!Gi||$v(Ln)||Ln==="length")&&(!At||zn.flags&16777216)){const Hr=Ta(_t,Ln);if(Hr&&Hr!==zn){const xs=Er(_t,xt,Hr,zn,Ux,ai,ui,y===Mp);if(!xs)return 0;yn&=xs}}}return yn}function Ua(_t,xt,ai){if(!(_t.flags&524288&&xt.flags&524288))return 0;const qi=Tn(XS(_t),ai),At=Tn(XS(xt),ai);if(qi.length!==At.length)return 0;let ui=-1;for(const yn of qi){const ni=UD(xt,yn.escapedName);if(!ni)return 0;const Yi=N8e(yn,ni,or);if(!Yi)return 0;ui&=Yi}return ui}function yl(_t,xt,ai,qi,At){var ui,yn;if(y===tp)return _r(_t,xt,ai);if(xt===tc||_t===tc)return-1;const ni=_t.symbol&&dy(_t.symbol.valueDeclaration),Yi=xt.symbol&&dy(xt.symbol.valueDeclaration),Gn=ia(_t,ni&&ai===1?0:ai),Gi=ia(xt,Yi&&ai===1?0:ai);if(ai===1&&Gn.length&&Gi.length){const ns=!!(Gn[0].flags&4),Fo=!!(Gi[0].flags&4);if(ns&&!Fo)return qi&&Po(k.Cannot_assign_an_abstract_constructor_type_to_a_non_abstract_constructor_type),0;if(!Yo(Gn[0],Gi[0],qi))return 0}let zn=-1;const Ln=ai===1?Ii:Re,Hr=Or(_t),xs=Or(xt);if(Hr&64&&xs&64&&_t.symbol===xt.symbol||Hr&4&&xs&4&&_t.target===xt.target){j.assertEqual(Gn.length,Gi.length);for(let ns=0;ns<Gi.length;ns++){const Fo=un(Gn[ns],Gi[ns],!0,qi,At,Ln(Gn[ns],Gi[ns]));if(!Fo)return 0;zn&=Fo}}else if(Gn.length===1&&Gi.length===1){const ns=y===Mp,Fo=ya(Gn),Kc=ya(Gi);if(zn=un(Fo,Kc,ns,qi,At,Ln(Fo,Kc)),!zn&&qi&&ai===1&&Hr&xs&&(((ui=Kc.declaration)==null?void 0:ui.kind)===176||((yn=Fo.declaration)==null?void 0:yn.kind)===176)){const Xf=H_=>B2(H_,void 0,262144,ai);return Po(k.Type_0_is_not_assignable_to_type_1,Xf(Fo),Xf(Kc)),Po(k.Types_of_construct_signatures_are_incompatible),zn}}else e:for(const ns of Gi){const Fo=ga();let Kc=qi;for(const Xf of Gn){const H_=un(Xf,ns,!0,Kc,At,Ln(Xf,ns));if(H_){zn&=H_,Ur(Fo);continue e}Kc=!1}return Kc&&Po(k.Type_0_provides_no_match_for_the_signature_1,Hn(_t),B2(ns,void 0,void 0,ai)),0}return zn}function Qe(_t,xt){const ai=aee(_t,0),qi=aee(_t,1),At=XS(_t);return(ai.length||qi.length)&&!At.length?!!(ia(xt,0).length&&ai.length||ia(xt,1).length&&qi.length):!0}function Re(_t,xt){return _t.parameters.length===0&&xt.parameters.length===0?(ai,qi)=>fa(k.Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1,Hn(ai),Hn(qi)):(ai,qi)=>fa(k.Call_signature_return_types_0_and_1_are_incompatible,Hn(ai),Hn(qi))}function Ii(_t,xt){return _t.parameters.length===0&&xt.parameters.length===0?(ai,qi)=>fa(k.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1,Hn(ai),Hn(qi)):(ai,qi)=>fa(k.Construct_signature_return_types_0_and_1_are_incompatible,Hn(ai),Hn(qi))}function un(_t,xt,ai,qi,At,ui){const yn=y===ob?16:y===Cm?24:0;return S8e(ai?Lz(_t):_t,ai?Lz(xt):xt,yn,qi,Po,ui,ni,ja);function ni(Yi,Gn,Gi){return or(Yi,Gn,3,Gi,void 0,At)}}function _r(_t,xt,ai){const qi=ia(_t,ai),At=ia(xt,ai);if(qi.length!==At.length)return 0;let ui=-1;for(let yn=0;yn<qi.length;yn++){const ni=Eee(qi[yn],At[yn],!1,!1,!1,or);if(!ni)return 0;ui&=ni}return ui}function Jn(_t,xt,ai,qi){let At=-1;const ui=xt.keyType,yn=_t.flags&2097152?ree(_t):XS(_t);for(const ni of yn)if(!emt(_t,ni)&&zA($A(ni,8576),ui)){const Yi=Ux(ni),Gn=Ne||Yi.flags&32768||ui===hi||!(ni.flags&16777216)?Yi:Qg(Yi,524288),Gi=or(Gn,xt.type,3,ai,void 0,qi);if(!Gi)return ai&&Po(k.Property_0_is_incompatible_with_index_signature,eo(ni)),0;At&=Gi}for(const ni of Ih(_t))if(zA(ni.keyType,ui)){const Yi=$n(ni,xt,ai,qi);if(!Yi)return 0;At&=Yi}return At}function $n(_t,xt,ai,qi){const At=or(_t.type,xt.type,3,ai,void 0,qi);return!At&&ai&&(_t.keyType===xt.keyType?Po(k._0_index_signatures_are_incompatible,Hn(_t.keyType)):Po(k._0_and_1_index_signatures_are_incompatible,Hn(_t.keyType),Hn(xt.keyType))),At}function Es(_t,xt,ai,qi,At){if(y===tp)return Ro(_t,xt);const ui=Ih(xt),yn=Ft(ui,Yi=>Yi.keyType===st);let ni=-1;for(const Yi of ui){const Gn=y!==Cm&&!ai&&yn&&Yi.type.flags&1?-1:op(_t)&&yn?or(SC(_t),Yi.type,3,qi):mo(_t,Yi,qi,At);if(!Gn)return 0;ni&=Gn}return ni}function mo(_t,xt,ai,qi){const At=Ez(_t,xt.keyType);return At?$n(At,xt,ai,qi):!(qi&1)&&(y!==Cm||Or(_t)&8192)&&Nme(_t)?Jn(_t,xt,ai,qi):(ai&&Po(k.Index_signature_for_type_0_is_missing_in_type_1,Hn(xt.keyType),Hn(_t)),0)}function Ro(_t,xt){const ai=Ih(_t),qi=Ih(xt);if(ai.length!==qi.length)return 0;for(const At of qi){const ui=pb(_t,At.keyType);if(!(ui&&or(ui.type,At.type,3)&&ui.isReadonly===At.isReadonly))return 0}return-1}function Yo(_t,xt,ai){if(!_t.declaration||!xt.declaration)return!0;const qi=bP(_t.declaration,6),At=bP(xt.declaration,6);return At===2||At===4&&qi!==2||At!==4&&!qi?!0:(ai&&Po(k.Cannot_assign_a_0_constructor_type_to_a_1_constructor_type,R5(qi),R5(At)),!1)}}function k8e(o){if(o.flags&16)return!1;if(o.flags&3145728)return!!O(o.types,k8e);if(o.flags&465829888){const _=wN(o);if(_&&_!==o)return k8e(_)}return c1(o)||!!(o.flags&134217728)||!!(o.flags&268435456)}function tmt(o,_){return Qa(o)&&Qa(_)?w:Ac(_).filter(y=>yme(Yu(o,y.escapedName),Yn(y)))}function yme(o,_){return!!o&&!!_&&Au(o,32768)&&!!zz(_)}function TQt(o){return Ac(o).filter(_=>zz(Yn(_)))}function imt(o,_,y=b8e){return Eyt(o,_,y)||Hoi(o,_)||joi(o,_)||zoi(o,_)||Uoi(o,_)}function T8e(o,_,y){const S=o.types,D=S.map(B=>B.flags&402784252?0:-1);for(const[B,K]of _){let ne=!1;for(let ce=0;ce<S.length;ce++)if(D[ce]){const ge=HA(S[ce],K);ge&&dg(B(),je=>!!y(je,ge))?ne=!0:D[ce]=3}for(let ce=0;ce<S.length;ce++)D[ce]===3&&(D[ce]=ne?0:-1)}const L=Yt(D,0)?is(S.filter((B,K)=>D[K]),0):o;return L.flags&131072?o:L}function D8e(o){if(o.flags&524288){const _=o1(o);return _.callSignatures.length===0&&_.constructSignatures.length===0&&_.indexInfos.length===0&&_.properties.length>0&&Ce(_.properties,y=>!!(y.flags&16777216))}return o.flags&33554432?D8e(o.baseType):o.flags&2097152?Ce(o.types,D8e):!1}function DQt(o,_,y){for(const S of Ac(o))if(ege(_,S.escapedName,y))return!0;return!1}function E8e(o){return o===li||o===En||o.objectFlags&8?Z:rmt(o.symbol,o.typeParameters)}function nmt(o){return rmt(o,Ys(o).typeParameters)}function rmt(o,_=w){var y,S;const D=Ys(o);if(!D.variances){(y=Zn)==null||y.push(Zn.Phase.CheckTypes,"getVariancesWorker",{arity:_.length,id:kf(gl(o))});const L=ks,B=Pr;ks||(ks=!0,Pr=nn.length),D.variances=w;const K=[];for(const ne of _){const ce=I8e(ne);let ge=ce&16384?ce&8192?0:1:ce&8192?2:void 0;if(ge===void 0){let je=!1,Be=!1;const kt=Ls;Ls=Oi=>Oi?Be=!0:je=!0;const Vt=Tee(o,ne,sd),Kt=Tee(o,ne,Pp);ge=(Da(Kt,Vt)?1:0)|(Da(Vt,Kt)?2:0),ge===3&&Da(Tee(o,ne,Nl),Vt)&&(ge=4),Ls=kt,(je||Be)&&(je&&(ge|=8),Be&&(ge|=16))}K.push(ge)}L||(ks=!1,Pr=B),D.variances=K,(S=Zn)==null||S.pop({variances:K.map(j.formatVariance)})}return D.variances}function Tee(o,_,y){const S=QD(_,y),D=gl(o);if(fe(D))return D;const L=o.flags&524288?VO(o,gb(Ys(o).typeParameters,S)):wC(D,gb(D.typeParameters,S));return xe.add(kf(L)),L}function vme(o){return xe.has(kf(o))}function I8e(o){var _;return oi((_=o.symbol)==null?void 0:_.declarations,(y,S)=>y|jh(S),0)&28672}function EQt(o,_){for(let y=0;y<_.length;y++)if((_[y]&7)===1&&o[y].flags&16384)return!0;return!1}function IQt(o){return o.flags&262144&&!m_(o)}function NQt(o){return!!(Or(o)&4)&&!o.node}function bme(o){return NQt(o)&&Ft(Pl(o),_=>!!(_.flags&262144)||bme(_))}function LQt(o,_,y,S){const D=[];let L="";const B=ne(o,0),K=ne(_,0);return`${L}${B},${K}${y}`;function ne(ce,ge=0){let je=""+ce.target.id;for(const Be of Pl(ce)){if(Be.flags&262144){if(S||IQt(Be)){let kt=D.indexOf(Be);kt<0&&(kt=D.length,D.push(Be)),je+="="+kt;continue}L="*"}else if(ge<4&&bme(Be)){je+="<"+ne(Be,ge+1)+">";continue}je+="-"+Be.id}return je}}function Cme(o,_,y,S,D){if(S===tp&&o.id>_.id){const B=o;o=_,_=B}const L=y?":"+y:"";return bme(o)&&bme(_)?LQt(o,_,L,D):`${o.id},${_.id}${L}`}function Dee(o,_){if(Iu(o)&6){for(const y of o.links.containingType.types){const S=Ta(y,o.escapedName),D=S&&Dee(S,_);if(D)return D}return}return _(o)}function ZA(o){return o.parent&&o.parent.flags&32?gl(Qp(o)):void 0}function Sme(o){const _=ZA(o),y=_&&Ll(_)[0];return y&&Yu(y,o.escapedName)}function PQt(o,_){return Dee(o,y=>{const S=ZA(y);return S?AO(S,_):!1})}function AQt(o,_){return!Dee(_,y=>$m(y)&4?!PQt(o,ZA(y)):!1)}function smt(o,_,y){return Dee(_,S=>$m(S,y)&4?!AO(o,ZA(S)):!1)?void 0:o}function YA(o,_,y,S=3){if(y>=S){if((Or(o)&96)===96&&(o=omt(o)),o.flags&2097152)return Ft(o.types,K=>YA(K,_,y,S));const D=wme(o);let L=0,B=0;for(let K=0;K<y;K++){const ne=_[K];if(amt(ne,D)){if(ne.id>=B&&(L++,L>=S))return!0;B=ne.id}}}return!1}function omt(o){let _;for(;(Or(o)&96)===96&&(_=zD(o))&&(_.symbol||_.flags&2097152&&Ft(_.types,y=>!!y.symbol));)o=_;return o}function amt(o,_){return(Or(o)&96)===96&&(o=omt(o)),o.flags&2097152?Ft(o.types,y=>amt(y,_)):wme(o)===_}function wme(o){if(o.flags&524288&&!J8e(o)){if(Or(o)&4&&o.node)return o.node;if(o.symbol&&!(Or(o)&16&&o.symbol.flags&32))return o.symbol;if(Qa(o))return o.target}if(o.flags&262144)return o.symbol;if(o.flags&8388608){do o=o.objectType;while(o.flags&8388608);return o}return o.flags&16777216?o.root:o}function OQt(o,_){return N8e(o,_,Bz)!==0}function N8e(o,_,y){if(o===_)return-1;const S=$m(o)&6,D=$m(_)&6;if(S!==D)return 0;if(S){if(eM(o)!==eM(_))return 0}else if((o.flags&16777216)!==(_.flags&16777216))return 0;return d1(o)!==d1(_)?0:y(Yn(o),Yn(_))}function MQt(o,_,y){const S=dp(o),D=dp(_),L=fy(o),B=fy(_),K=ov(o),ne=ov(_);return!!(S===D&&L===B&&K===ne||y&&L<=B)}function Eee(o,_,y,S,D,L){if(o===_)return-1;if(!MQt(o,_,y)||P(o.typeParameters)!==P(_.typeParameters))return 0;if(_.typeParameters){const ne=cp(o.typeParameters,_.typeParameters);for(let ce=0;ce<_.typeParameters.length;ce++){const ge=o.typeParameters[ce],je=_.typeParameters[ce];if(!(ge===je||L(io(z5(ge),ne)||te,z5(je)||te)&&L(io(qD(ge),ne)||te,qD(je)||te)))return 0}o=QA(o,ne,!0)}let B=-1;if(!S){const ne=j2(o);if(ne){const ce=j2(_);if(ce){const ge=L(ne,ce);if(!ge)return 0;B&=ge}}}const K=dp(_);for(let ne=0;ne<K;ne++){const ce=u1(o,ne),ge=u1(_,ne),je=L(ge,ce);if(!je)return 0;B&=je}if(!D){const ne=cg(o),ce=cg(_);B&=ne||ce?RQt(ne,ce,L):L(Oc(o),Oc(_))}return B}function RQt(o,_,y){return o&&_&&i8e(o,_)?o.type===_.type?-1:o.type&&_.type?y(o.type,_.type):0:0}function FQt(o){let _;for(const y of o)if(!(y.flags&131072)){const S=DC(y);if(_??(_=S),S===y||S!==_)return!1}return!0}function cmt(o){return oi(o,(_,y)=>_|(y.flags&1048576?cmt(y.types):y.flags),0)}function BQt(o){if(o.length===1)return o[0];const _=we?Wr(o,S=>ad(S,D=>!(D.flags&98304))):o,y=FQt(_)?is(_):oi(_,(S,D)=>YD(S,D)?D:S);return _===o?y:Lee(y,cmt(o)&98304)}function WQt(o){return oi(o,(_,y)=>YD(y,_)?y:_)}function ug(o){return!!(Or(o)&4)&&(o.target===li||o.target===En)}function J5(o){return!!(Or(o)&4)&&o.target===En}function $2(o){return ug(o)||Qa(o)}function Iee(o){return ug(o)&&!J5(o)||Qa(o)&&!o.target.readonly}function Nee(o){return ug(o)?Pl(o)[0]:void 0}function YS(o){return ug(o)||!(o.flags&98304)&&Da(o,od)}function L8e(o){return Iee(o)||!(o.flags&98305)&&Da(o,Yc)}function P8e(o){if(!(Or(o)&4)||!(Or(o.target)&3))return;if(Or(o)&33554432)return Or(o)&67108864?o.cachedEquivalentBaseType:void 0;o.objectFlags|=33554432;const _=o.target;if(Or(_)&1){const D=Ar(_);if(D&&D.expression.kind!==80&&D.expression.kind!==211)return}const y=Ll(_);if(y.length!==1||qx(o.symbol).size)return;let S=P(_.typeParameters)?io(y[0],cp(_.typeParameters,Pl(o).slice(0,_.typeParameters.length))):y[0];return P(Pl(o))>P(_.typeParameters)&&(S=Wp(S,va(Pl(o)))),o.objectFlags|=67108864,o.cachedEquivalentBaseType=S}function lmt(o){return we?o===Di:o===Gt}function xme(o){const _=Nee(o);return!!_&&lmt(_)}function G5(o){let _;return Qa(o)||!!Ta(o,"0")||YS(o)&&!!(_=Yu(o,"length"))&&up(_,y=>!!(y.flags&256))}function kme(o){return YS(o)||G5(o)}function umt(o,_){const y=Yu(o,""+_);if(y)return y;if(up(o,Qa))return _mt(o,_,Q.noUncheckedIndexedAccess?tt:void 0)}function VQt(o){return!(o.flags&240544)}function c1(o){return!!(o.flags&109472)}function dmt(o){const _=iv(o);return _.flags&2097152?Ft(_.types,c1):c1(_)}function HQt(o){return o.flags&2097152&&Fe(o.types,c1)||o}function Hz(o){return o.flags&16?!0:o.flags&1048576?o.flags&1024?!0:Ce(o.types,c1):c1(o)}function DC(o){return o.flags&1056?Jpe(o):o.flags&402653312?st:o.flags&256?hi:o.flags&2048?vn:o.flags&512?fi:o.flags&1048576?jQt(o):o}function jQt(o){const _=`B${kf(o)}`;return Q0(_)??RS(_,eu(o,DC))}function A8e(o){return o.flags&402653312?st:o.flags&288?hi:o.flags&2048?vn:o.flags&512?fi:o.flags&1048576?eu(o,A8e):o}function J2(o){return o.flags&1056&&XD(o)?Jpe(o):o.flags&128&&XD(o)?st:o.flags&256&&XD(o)?hi:o.flags&2048&&XD(o)?vn:o.flags&512&&XD(o)?fi:o.flags&1048576?eu(o,J2):o}function fmt(o){return o.flags&8192?wi:o.flags&1048576?eu(o,fmt):o}function O8e(o,_){return pge(o,_)||(o=fmt(J2(o))),Xh(o)}function zQt(o,_,y){if(o&&c1(o)){const S=_?y?aU(_):_:void 0;o=O8e(o,S)}return o}function M8e(o,_,y,S){if(o&&c1(o)){const D=_?rE(y,_,S):void 0;o=O8e(o,D)}return o}function Qa(o){return!!(Or(o)&4&&o.target.objectFlags&8)}function Qx(o){return Qa(o)&&!!(o.target.combinedFlags&8)}function hmt(o){return Qx(o)&&o.target.elementFlags.length===1}function Tme(o){return K5(o,o.target.fixedLength)}function _mt(o,_,y){return eu(o,S=>{const D=S,L=Tme(D);return L?y&&_>=e8e(D.target)?is([L,y]):L:tt})}function UQt(o){const _=Tme(o);return _&&hh(_)}function K5(o,_,y=0,S=!1,D=!1){const L=QS(o)-y;if(_<L){const B=Pl(o),K=[];for(let ne=_;ne<L;ne++){const ce=B[ne];K.push(o.target.elementFlags[ne]&8?ap(ce,hi):ce)}return S?Wa(K):is(K,D?0:1)}}function qQt(o,_){return QS(o)===QS(_)&&Ce(o.target.elementFlags,(y,S)=>(y&12)===(_.target.elementFlags[S]&12))}function pmt({value:o}){return o.base10Value==="0"}function mmt(o){return ad(o,_=>l1(_,4194304))}function $Qt(o){return eu(o,JQt)}function JQt(o){return o.flags&4?yt:o.flags&8?ei:o.flags&64?Pi:o===Un||o===ln||o.flags&114691||o.flags&128&&o.value===""||o.flags&256&&o.value===0||o.flags&2048&&pmt(o)?o:Ai}function Lee(o,_){const y=_&~o.flags&98304;return y===0?o:is(y===32768?[o,tt]:y===65536?[o,Qt]:[o,tt,Qt])}function Zx(o,_=!1){j.assert(we);const y=_?Ue:tt;return o===y||o.flags&1048576&&o.types[0]===y?o:is([o,y])}function GQt(o){return Ap||(Ap=jO("NonNullable",524288,void 0)||pt),Ap!==pt?VO(Ap,[o]):Wa([o,Jo])}function EC(o){return we?MN(o,2097152):o}function gmt(o){return we?is([o,be]):o}function Dme(o){return we?Bme(o,be):o}function Eme(o,_,y){return y?p8(_)?Zx(o):gmt(o):o}function jz(o,_){return fG(_)?EC(o):gh(_)?Dme(o):o}function IC(o,_){return Ne&&_?Bme(o,le):o}function zz(o){return o===le||!!(o.flags&1048576)&&o.types[0]===le}function Ime(o){return Ne?Bme(o,le):Qg(o,524288)}function KQt(o,_){return(o.flags&524)!==0&&(_.flags&28)!==0}function Nme(o){const _=Or(o);return o.flags&2097152?Ce(o.types,Nme):!!(o.symbol&&o.symbol.flags&7040&&!(o.symbol.flags&32)&&!Age(o))||!!(_&4194304)||!!(_&1024&&Nme(o.source))}function PN(o,_){const y=$a(o.flags,o.escapedName,Iu(o)&8);y.declarations=o.declarations,y.parent=o.parent,y.links.type=_,y.links.target=o,o.valueDeclaration&&(y.valueDeclaration=o.valueDeclaration);const S=Ys(o).nameType;return S&&(y.links.nameType=S),y}function XQt(o,_){const y=_a();for(const S of XS(o)){const D=Yn(S),L=_(D);y.set(S.escapedName,L===D?S:PN(S,L))}return y}function Uz(o){if(!(ew(o)&&Or(o)&8192))return o;const _=o.regularType;if(_)return _;const y=o,S=XQt(o,Uz),D=Xs(y.symbol,S,y.callSignatures,y.constructSignatures,y.indexInfos);return D.flags=y.flags,D.objectFlags|=y.objectFlags&-8193,o.regularType=D,D}function ymt(o,_,y){return{parent:o,propertyName:_,siblings:y,resolvedProperties:void 0}}function vmt(o){if(!o.siblings){const _=[];for(const y of vmt(o.parent))if(ew(y)){const S=UD(y,o.propertyName);S&&RN(Yn(S),D=>{_.push(D)})}o.siblings=_}return o.siblings}function QQt(o){if(!o.resolvedProperties){const _=new Map;for(const y of vmt(o))if(ew(y)&&!(Or(y)&2097152))for(const S of Ac(y))_.set(S.escapedName,S);o.resolvedProperties=as(_.values())}return o.resolvedProperties}function ZQt(o,_){if(!(o.flags&4))return o;const y=Yn(o),S=_&&ymt(_,o.escapedName,void 0),D=R8e(y,S);return D===y?o:PN(o,D)}function YQt(o){const _=ri.get(o.escapedName);if(_)return _;const y=PN(o,Ue);return y.flags|=16777216,ri.set(o.escapedName,y),y}function eZt(o,_){const y=_a();for(const D of XS(o))y.set(D.escapedName,ZQt(D,_));if(_)for(const D of QQt(_))y.has(D.escapedName)||y.set(D.escapedName,YQt(D));const S=Xs(o.symbol,y,w,w,Wr(Ih(o),D=>nv(D.keyType,lp(D.type),D.isReadonly)));return S.objectFlags|=Or(o)&266240,S}function lp(o){return R8e(o,void 0)}function R8e(o,_){if(Or(o)&196608){if(_===void 0&&o.widened)return o.widened;let y;if(o.flags&98305)y=Je;else if(ew(o))y=eZt(o,_);else if(o.flags&1048576){const S=_||ymt(void 0,void 0,o.types),D=Wr(o.types,L=>L.flags&98304?L:R8e(L,S));y=is(D,Ft(D,TC)?2:1)}else o.flags&2097152?y=Wa(Wr(o.types,lp)):$2(o)&&(y=wC(o.target,Wr(Pl(o),lp)));return y&&_===void 0&&(o.widened=y),y||o}return o}function Lme(o){var _;let y=!1;if(Or(o)&65536){if(o.flags&1048576)if(Ft(o.types,TC))y=!0;else for(const S of o.types)y||(y=Lme(S));else if($2(o))for(const S of Pl(o))y||(y=Lme(S));else if(ew(o))for(const S of XS(o)){const D=Yn(S);if(Or(D)&65536&&(y=Lme(D),!y)){const L=(_=S.declarations)==null?void 0:_.find(B=>{var K;return((K=B.symbol.valueDeclaration)==null?void 0:K.parent)===o.symbol.valueDeclaration});L&&(ft(L,k.Object_literal_s_property_0_implicitly_has_an_1_type,eo(S),Hn(lp(D))),y=!0)}}}return y}function G2(o,_,y){const S=Hn(lp(_));if(nr(o)&&!n7(_n(o),Q))return;let D;switch(o.kind){case 226:case 172:case 171:D=De?k.Member_0_implicitly_has_an_1_type:k.Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage;break;case 169:const L=o;if(ot(L.name)){const B=aI(L.name);if((VP(L.parent)||W1(L.parent)||Hy(L.parent))&&L.parent.parameters.includes(L)&&(_i(L,L.name.escapedText,788968,void 0,!0)||B&&Tce(B))){const K="arg"+L.parent.parameters.indexOf(L),ne=al(L.name)+(L.dotDotDotToken?"[]":"");Z1(De,o,k.Parameter_has_a_name_but_no_type_Did_you_mean_0_Colon_1,K,ne);return}}D=o.dotDotDotToken?De?k.Rest_parameter_0_implicitly_has_an_any_type:k.Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage:De?k.Parameter_0_implicitly_has_an_1_type:k.Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage;break;case 208:if(D=k.Binding_element_0_implicitly_has_an_1_type,!De)return;break;case 317:ft(o,k.Function_type_which_lacks_return_type_annotation_implicitly_has_an_0_return_type,S);return;case 323:De&&D3(o.parent)&&ft(o.parent.tagName,k.This_overload_implicitly_returns_the_type_0_because_it_lacks_a_return_type_annotation,S);return;case 262:case 174:case 173:case 177:case 178:case 218:case 219:if(De&&!o.name){y===3?ft(o,k.Generator_implicitly_has_yield_type_0_because_it_does_not_yield_any_values_Consider_supplying_a_return_type_annotation,S):ft(o,k.Function_expression_which_lacks_return_type_annotation_implicitly_has_an_0_return_type,S);return}D=De?y===3?k._0_which_lacks_return_type_annotation_implicitly_has_an_1_yield_type:k._0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type:k._0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage;break;case 200:De&&ft(o,k.Mapped_object_type_implicitly_has_an_any_template_type);return;default:D=De?k.Variable_0_implicitly_has_an_1_type:k.Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage}Z1(De,o,D,al(No(o)),S)}function Pme(o,_,y){a(()=>{De&&Or(_)&65536&&(!y||!b7e(o))&&(Lme(_)||G2(o,_,y))})}function F8e(o,_,y){const S=dp(o),D=dp(_),L=eU(o),B=eU(_),K=B?D-1:D,ne=L?K:Math.min(S,K),ce=j2(o);if(ce){const ge=j2(_);ge&&y(ce,ge)}for(let ge=0;ge<ne;ge++)y(u1(o,ge),u1(_,ge));B&&y(ste(o,ne,xN(B)&&!dg(B,L8e)),B)}function B8e(o,_,y){const S=cg(_);if(S){const L=cg(o);if(L&&i8e(L,S)&&L.type&&S.type){y(L.type,S.type);return}}const D=Oc(_);Yx(D)&&y(Oc(o),D)}function qz(o,_,y,S){return W8e(o.map(H8e),_,y,S||b8e)}function tZt(o,_=0){return o&&W8e(qt(o.inferences,bmt),o.signature,o.flags|_,o.compareTypes)}function W8e(o,_,y,S){const D={inferences:o,signature:_,flags:y,compareTypes:S,mapper:wf,nonFixingMapper:wf};return D.mapper=iZt(D),D.nonFixingMapper=nZt(D),D}function iZt(o){return m8e(qt(o.inferences,_=>_.typeParameter),qt(o.inferences,(_,y)=>()=>(_.isFixed||(rZt(o),Ame(o.inferences),_.isFixed=!0),G8e(o,y))))}function nZt(o){return m8e(qt(o.inferences,_=>_.typeParameter),qt(o.inferences,(_,y)=>()=>G8e(o,y)))}function Ame(o){for(const _ of o)_.isFixed||(_.inferredType=void 0)}function V8e(o,_,y){(o.intraExpressionInferenceSites??(o.intraExpressionInferenceSites=[])).push({node:_,type:y})}function rZt(o){if(o.intraExpressionInferenceSites){for(const{node:_,type:y}of o.intraExpressionInferenceSites){const S=_.kind===174?Cgt(_,2):g_(_,2);S&&NC(o.inferences,y,S)}o.intraExpressionInferenceSites=void 0}}function H8e(o){return{typeParameter:o,candidates:void 0,contraCandidates:void 0,inferredType:void 0,priority:void 0,topLevel:!0,isFixed:!1,impliedArity:void 0}}function bmt(o){return{typeParameter:o.typeParameter,candidates:o.candidates&&o.candidates.slice(),contraCandidates:o.contraCandidates&&o.contraCandidates.slice(),inferredType:o.inferredType,priority:o.priority,topLevel:o.topLevel,isFixed:o.isFixed,impliedArity:o.impliedArity}}function sZt(o){const _=$t(o.inferences,YO);return _.length?W8e(qt(_,bmt),o.signature,o.flags,o.compareTypes):void 0}function j8e(o){return o&&o.mapper}function Yx(o){const _=Or(o);if(_&524288)return!!(_&1048576);const y=!!(o.flags&465829888||o.flags&524288&&!Cmt(o)&&(_&4&&(o.node||Ft(Pl(o),Yx))||_&134217728&&P(o.outerTypeParameters)||_&16&&o.symbol&&o.symbol.flags&14384&&o.symbol.declarations||_&12583968)||o.flags&3145728&&!(o.flags&1024)&&!Cmt(o)&&Ft(o.types,Yx));return o.flags&3899393&&(o.objectFlags|=524288|(y?1048576:0)),y}function Cmt(o){if(o.aliasSymbol&&!o.aliasTypeArguments){const _=ql(o.aliasSymbol,265);return!!(_&&Qi(_.parent,y=>y.kind===307?!0:y.kind===267?!1:"quit"))}return!1}function $z(o,_,y=0){return!!(o===_||o.flags&3145728&&Ft(o.types,S=>$z(S,_,y))||y<3&&o.flags&16777216&&($z(z2(o),_,y+1)||$z(U2(o),_,y+1)))}function oZt(o,_){const y=cg(o);return y?!!y.type&&$z(y.type,_):$z(Oc(o),_)}function aZt(o){const _=_a();RN(o,S=>{if(!(S.flags&128))return;const D=cu(S.value),L=$a(4,D);L.links.type=Je,S.symbol&&(L.declarations=S.symbol.declarations,L.valueDeclaration=S.symbol.valueDeclaration),_.set(D,L)});const y=o.flags&4?[nv(st,Jo,!1)]:w;return Xs(void 0,_,w,w,y)}function Smt(o,_,y){const S=o.id+","+_.id+","+y.id;if(Xu.has(S))return Xu.get(S);const D=cZt(o,_,y);return Xu.set(S,D),D}function z8e(o){return!(Or(o)&262144)||ew(o)&&Ft(Ac(o),_=>z8e(Yn(_)))||Qa(o)&&Ft(GD(o),z8e)}function cZt(o,_,y){if(!(pb(o,st)||Ac(o).length!==0&&z8e(o)))return;if(ug(o)){const D=Ome(Pl(o)[0],_,y);return D?hh(D,J5(o)):void 0}if(Qa(o)){const D=qt(GD(o),B=>Ome(B,_,y));if(!Ce(D,B=>!!B))return;const L=tv(_)&4?Wr(o.target.elementFlags,B=>B&2?1:B):o.target.elementFlags;return rv(D,L,o.target.readonly,o.target.labeledElementDeclarations)}const S=V(1040,void 0);return S.source=o,S.mappedType=_,S.constraintType=y,S}function lZt(o){const _=Ys(o);return _.type||(_.type=Ome(o.links.propertyType,o.links.mappedType,o.links.constraintType)||te),_.type}function uZt(o,_,y){const S=ap(y.type,s1(_)),D=SC(_),L=H8e(S);return NC([L],o,D),wmt(L)||te}function Ome(o,_,y){const S=o.id+","+_.id+","+y.id;if(Ku.has(S))return Ku.get(S)||te;Ex.push(o),ZI.push(_);const D=D2;YA(o,Ex,Ex.length,2)&&(D2|=1),YA(_,ZI,ZI.length,2)&&(D2|=2);let L;return D2!==3&&(L=uZt(o,_,y)),Ex.pop(),ZI.pop(),D2=D,Ku.set(S,L),L}function*U8e(o,_,y,S){const D=Ac(_);for(const L of D)if(!o_t(L)&&(y||!(L.flags&16777216||Iu(L)&48))){const B=Ta(o,L.escapedName);if(!B)yield L;else if(S){const K=Yn(L);if(K.flags&109472){const ne=Yn(B);ne.flags&1||Xh(ne)===Xh(K)||(yield L)}}}}function q8e(o,_,y,S){return k_(U8e(o,_,y,S))}function dZt(o,_){return!(_.target.combinedFlags&8)&&_.target.minLength>o.target.minLength||!(_.target.combinedFlags&12)&&(!!(o.target.combinedFlags&12)||_.target.fixedLength<o.target.fixedLength)}function fZt(o,_){return Qa(o)&&Qa(_)?dZt(o,_):!!q8e(o,_,!1,!0)&&!!q8e(_,o,!1,!1)}function wmt(o){return o.candidates?is(o.candidates,2):o.contraCandidates?Wa(o.contraCandidates):void 0}function $8e(o){return!!yr(o).skipDirectInference}function xmt(o){return!!(o.symbol&&Ft(o.symbol.declarations,$8e))}function hZt(o,_){const y=o.texts[0],S=_.texts[0],D=o.texts[o.texts.length-1],L=_.texts[_.texts.length-1],B=Math.min(y.length,S.length),K=Math.min(D.length,L.length);return y.slice(0,B)!==S.slice(0,B)||D.slice(D.length-K)!==L.slice(L.length-K)}function kmt(o,_){if(o==="")return!1;const y=+o;return isFinite(y)&&(!_||""+y===o)}function _Zt(o){return yee(qce(o))}function Mme(o,_){if(_.flags&1)return!0;if(_.flags&134217732)return Da(o,_);if(_.flags&268435456){const y=[];for(;_.flags&268435456;)y.unshift(_.symbol),_=_.type;return oi(y,(D,L)=>JA(L,D),o)===o&&Mme(o,_)}return!1}function Tmt(o,_){if(_.flags&2097152)return Ce(_.types,y=>y===go||Tmt(o,y));if(_.flags&4||Da(o,_))return!0;if(o.flags&128){const y=o.value;return!!(_.flags&8&&kmt(y,!1)||_.flags&64&&YK(y,!1)||_.flags&98816&&y===_.intrinsicName||_.flags&268435456&&Mme(V_(y),_)||_.flags&134217728&&Rme(o,_))}if(o.flags&134217728){const y=o.texts;return y.length===2&&y[0]===""&&y[1]===""&&Da(o.types[0],_)}return!1}function Dmt(o,_){return o.flags&128?Emt([o.value],w,_):o.flags&134217728?i_(o.texts,_.texts)?qt(o.types,(y,S)=>Da(iv(y),iv(_.types[S]))?y:pZt(y)):Emt(o.texts,o.types,_):void 0}function Rme(o,_){const y=Dmt(o,_);return!!y&&Ce(y,(S,D)=>Tmt(S,_.types[D]))}function pZt(o){return o.flags&402653317?o:TN(["",""],[o])}function Emt(o,_,y){const S=o.length-1,D=o[0],L=o[S],B=y.texts,K=B.length-1,ne=B[0],ce=B[K];if(S===0&&D.length<ne.length+ce.length||!D.startsWith(ne)||!L.endsWith(ce))return;const ge=L.slice(0,L.length-ce.length),je=[];let Be=0,kt=ne.length;for(let Oi=1;Oi<K;Oi++){const Ki=B[Oi];if(Ki.length>0){let qn=Be,Qn=kt;for(;Qn=Vt(qn).indexOf(Ki,Qn),!(Qn>=0);){if(qn++,qn===o.length)return;Qn=0}Kt(qn,Qn),kt+=Ki.length}else if(kt<Vt(Be).length)Kt(Be,kt+1);else if(Be<S)Kt(Be+1,0);else return}return Kt(S,Vt(S).length),je;function Vt(Oi){return Oi<S?o[Oi]:ge}function Kt(Oi,Ki){const qn=Oi===Be?V_(Vt(Oi).slice(kt,Ki)):TN([o[Be].slice(kt),...o.slice(Be+1,Oi),Vt(Oi).slice(0,Ki)],_.slice(Be,Oi));je.push(qn),Be=Oi,kt=Ki}}function mZt(o,_){return Qa(_)&&umt(_,0)===ap(o,v0(0))&&!Yu(_,"1")}function NC(o,_,y,S=0,D=!1){let L=!1,B,K=2048,ne,ce,ge,je=0;Be(_,y);function Be(bn,Fn){if(!(!Yx(Fn)||HO(Fn))){if(bn===Ct||bn===ii){const js=B;B=bn,Be(Fn,Fn),B=js;return}if(bn.aliasSymbol&&bn.aliasSymbol===Fn.aliasSymbol){if(bn.aliasTypeArguments){const js=Ys(bn.aliasSymbol).typeParameters,or=sy(js),Do=$x(bn.aliasTypeArguments,js,or,nr(bn.aliasSymbol.valueDeclaration)),La=$x(Fn.aliasTypeArguments,js,or,nr(bn.aliasSymbol.valueDeclaration));qn(Do,La,nmt(bn.aliasSymbol))}return}if(bn===Fn&&bn.flags&3145728){for(const js of bn.types)Be(js,js);return}if(Fn.flags&1048576){const[js,or]=Ki(bn.flags&1048576?bn.types:[bn],Fn.types,gZt),[Do,La]=Ki(js,or,yZt);if(La.length===0)return;if(Fn=is(La),Do.length===0){kt(bn,Fn,1);return}bn=is(Do)}else if(Fn.flags&2097152&&!Ce(Fn.types,lme)&&!(bn.flags&1048576)){const[js,or]=Ki(bn.flags&2097152?bn.types:[bn],Fn.types,yb);if(js.length===0||or.length===0)return;bn=Wa(js),Fn=Wa(or)}if(Fn.flags&41943040){if(HO(Fn))return;Fn=Kx(Fn)}if(Fn.flags&8650752){if(xmt(bn))return;const js=Ui(Fn);if(js){if(Or(bn)&262144||bn===ji)return;if(!js.isFixed){const Do=B||bn;if(Do===ii)return;if((js.priority===void 0||S<js.priority)&&(js.candidates=void 0,js.contraCandidates=void 0,js.topLevel=!0,js.priority=S),S===js.priority){if(mZt(js.typeParameter,Do))return;D&&!L?Yt(js.contraCandidates,Do)||(js.contraCandidates=fn(js.contraCandidates,Do),Ame(o)):Yt(js.candidates,Do)||(js.candidates=fn(js.candidates,Do),Ame(o))}!(S&128)&&Fn.flags&262144&&js.topLevel&&!$z(y,Fn)&&(js.topLevel=!1,Ame(o))}K=Math.min(K,S);return}const or=kC(Fn,!1);if(or!==Fn)Be(bn,or);else if(Fn.flags&8388608){const Do=kC(Fn.indexType,!1);if(Do.flags&465829888){const La=Dpt(kC(Fn.objectType,!1),Do,!1);La&&La!==Fn&&Be(bn,La)}}}if(Or(bn)&4&&Or(Fn)&4&&(bn.target===Fn.target||ug(bn)&&ug(Fn))&&!(bn.node&&Fn.node))qn(Pl(bn),Pl(Fn),E8e(bn.target));else if(bn.flags&4194304&&Fn.flags&4194304)Qn(bn.type,Fn.type);else if((Hz(bn)||bn.flags&4)&&Fn.flags&4194304){const js=aZt(bn);Vt(js,Fn.type,256)}else if(bn.flags&8388608&&Fn.flags&8388608)Be(bn.objectType,Fn.objectType),Be(bn.indexType,Fn.indexType);else if(bn.flags&268435456&&Fn.flags&268435456)bn.symbol===Fn.symbol&&Be(bn.type,Fn.type);else if(bn.flags&33554432)Be(bn.baseType,Fn),kt(zRe(bn),Fn,4);else if(Fn.flags&16777216)Oi(bn,Fn,Ur);else if(Fn.flags&3145728)Gr(bn,Fn.types,Fn.flags);else if(bn.flags&1048576){const js=bn.types;for(const or of js)Be(or,Fn)}else if(Fn.flags&134217728)ga(bn,Fn);else{if(bn=a1(bn),op(bn)&&op(Fn)&&Oi(bn,Fn,fa),!(S&512&&bn.flags&467927040)){const js=Kh(bn);if(js!==bn&&!(js.flags&2621440))return Be(js,Fn);bn=js}bn.flags&2621440&&Oi(bn,Fn,Al)}}}function kt(bn,Fn,js){const or=S;S|=js,Be(bn,Fn),S=or}function Vt(bn,Fn,js){const or=S;S|=js,Qn(bn,Fn),S=or}function Kt(bn,Fn,js,or){const Do=S;S|=or,Gr(bn,Fn,js),S=Do}function Oi(bn,Fn,js){const or=bn.id+","+Fn.id,Do=ne&&ne.get(or);if(Do!==void 0){K=Math.min(K,Do);return}(ne||(ne=new Map)).set(or,-1);const La=K;K=2048;const Ol=je;(ce??(ce=[])).push(bn),(ge??(ge=[])).push(Fn),YA(bn,ce,ce.length,2)&&(je|=1),YA(Fn,ge,ge.length,2)&&(je|=2),je!==3?js(bn,Fn):K=-1,ge.pop(),ce.pop(),je=Ol,ne.set(or,K),K=Math.min(K,La)}function Ki(bn,Fn,js){let or,Do;for(const La of Fn)for(const Ol of bn)js(Ol,La)&&(Be(Ol,La),or=n_(or,Ol),Do=n_(Do,La));return[or?$t(bn,La=>!Yt(or,La)):bn,Do?$t(Fn,La=>!Yt(Do,La)):Fn]}function qn(bn,Fn,js){const or=bn.length<Fn.length?bn.length:Fn.length;for(let Do=0;Do<or;Do++)Do<js.length&&(js[Do]&7)===2?Qn(bn[Do],Fn[Do]):Be(bn[Do],Fn[Do])}function Qn(bn,Fn){D=!D,Be(bn,Fn),D=!D}function rn(bn,Fn){he||S&1024?Qn(bn,Fn):Be(bn,Fn)}function Ui(bn){if(bn.flags&8650752){for(const Fn of o)if(bn===Fn.typeParameter)return Fn}}function fr(bn){let Fn;for(const js of bn){const or=js.flags&2097152&&Fe(js.types,Do=>!!Ui(Do));if(!or||Fn&&or!==Fn)return;Fn=or}return Fn}function Gr(bn,Fn,js){let or=0;if(js&1048576){let Do;const La=bn.flags&1048576?bn.types:[bn],Ol=new Array(La.length);let xu=!1;for(const nc of Fn)if(Ui(nc))Do=nc,or++;else for(let hf=0;hf<La.length;hf++){const Ou=K;K=2048,Be(La[hf],nc),K===S&&(Ol[hf]=!0),xu=xu||K===-1,K=Math.min(K,Ou)}if(or===0){const nc=fr(Fn);nc&&kt(bn,nc,1);return}if(or===1&&!xu){const nc=Rr(La,(hf,Ou)=>Ol[Ou]?void 0:hf);if(nc.length){Be(is(nc),Do);return}}}else for(const Do of Fn)Ui(Do)?or++:Be(bn,Do);if(js&2097152?or===1:or>0)for(const Do of Fn)Ui(Do)&&kt(bn,Do,1)}function vs(bn,Fn,js){if(js.flags&1048576||js.flags&2097152){let or=!1;for(const Do of js.types)or=vs(bn,Fn,Do)||or;return or}if(js.flags&4194304){const or=Ui(js.type);if(or&&!or.isFixed&&!xmt(bn)){const Do=Smt(bn,Fn,js);Do&&kt(Do,or.typeParameter,Or(bn)&262144?16:8)}return!0}if(js.flags&262144){kt(oy(bn,bn.pattern?2:0),js,32);const or=wN(js);if(or&&vs(bn,Fn,or))return!0;const Do=qt(Ac(bn),Yn),La=qt(Ih(bn),Ol=>Ol!==Xi?Ol.type:Ai);return Be(is(Js(Do,La)),SC(Fn)),!0}return!1}function Ur(bn,Fn){if(bn.flags&16777216)Be(bn.checkType,Fn.checkType),Be(bn.extendsType,Fn.extendsType),Be(z2(bn),z2(Fn)),Be(U2(bn),U2(Fn));else{const js=[z2(Fn),U2(Fn)];Kt(bn,js,Fn.flags,D?64:0)}}function ga(bn,Fn){const js=Dmt(bn,Fn),or=Fn.types;if(js||Ce(Fn.texts,Do=>Do.length===0))for(let Do=0;Do<or.length;Do++){const La=js?js[Do]:Ai,Ol=or[Do];if(La.flags&128&&Ol.flags&8650752){const xu=Ui(Ol),nc=xu?Jf(xu.typeParameter):void 0;if(nc&&!Nc(nc)){const hf=nc.flags&1048576?nc.types:[nc];let Ou=oi(hf,(ul,Im)=>ul|Im.flags,0);if(!(Ou&4)){const ul=La.value;Ou&296&&!kmt(ul,!0)&&(Ou&=-297),Ou&2112&&!YK(ul,!0)&&(Ou&=-2113);const Im=oi(hf,(zu,ed)=>ed.flags&Ou?zu.flags&4?zu:ed.flags&4?La:zu.flags&134217728?zu:ed.flags&134217728&&Rme(La,ed)?La:zu.flags&268435456?zu:ed.flags&268435456&&ul===wpt(ed.symbol,ul)?La:zu.flags&128?zu:ed.flags&128&&ed.value===ul?ed:zu.flags&8?zu:ed.flags&8?v0(+ul):zu.flags&32?zu:ed.flags&32?v0(+ul):zu.flags&256?zu:ed.flags&256&&ed.value===+ul?ed:zu.flags&64?zu:ed.flags&64?_Zt(ul):zu.flags&2048?zu:ed.flags&2048&&ZT(ed.value)===ul?ed:zu.flags&16?zu:ed.flags&16?ul==="true"?Pt:ul==="false"?ln:fi:zu.flags&512?zu:ed.flags&512&&ed.intrinsicName===ul?ed:zu.flags&32768?zu:ed.flags&32768&&ed.intrinsicName===ul?ed:zu.flags&65536?zu:ed.flags&65536&&ed.intrinsicName===ul?ed:zu:zu,Ai);if(!(Im.flags&131072)){Be(Im,Ol);continue}}}}Be(La,Ol)}}function fa(bn,Fn){Be(Tm(bn),Tm(Fn)),Be(SC(bn),SC(Fn));const js=KS(bn),or=KS(Fn);js&&or&&Be(js,or)}function Al(bn,Fn){var js,or;if(Or(bn)&4&&Or(Fn)&4&&(bn.target===Fn.target||ug(bn)&&ug(Fn))){qn(Pl(bn),Pl(Fn),E8e(bn.target));return}if(op(bn)&&op(Fn)&&fa(bn,Fn),Or(Fn)&32&&!Fn.declaration.nameType){const Do=Tm(Fn);if(vs(bn,Fn,Do))return}if(!fZt(bn,Fn)){if($2(bn)){if(Qa(Fn)){const Do=QS(bn),La=QS(Fn),Ol=Pl(Fn),xu=Fn.target.elementFlags;if(Qa(bn)&&qQt(bn,Fn)){for(let Ou=0;Ou<La;Ou++)Be(Pl(bn)[Ou],Ol[Ou]);return}const nc=Qa(bn)?Math.min(bn.target.fixedLength,Fn.target.fixedLength):0,hf=Math.min(Qa(bn)?Pz(bn.target,3):0,Fn.target.combinedFlags&12?Pz(Fn.target,3):0);for(let Ou=0;Ou<nc;Ou++)Be(Pl(bn)[Ou],Ol[Ou]);if(!Qa(bn)||Do-nc-hf===1&&bn.target.elementFlags[nc]&4){const Ou=Pl(bn)[nc];for(let ul=nc;ul<La-hf;ul++)Be(xu[ul]&8?hh(Ou):Ou,Ol[ul])}else{const Ou=La-nc-hf;if(Ou===2){if(xu[nc]&xu[nc+1]&8){const ul=Ui(Ol[nc]);ul&&ul.impliedArity!==void 0&&(Be($5(bn,nc,hf+Do-ul.impliedArity),Ol[nc]),Be($5(bn,nc+ul.impliedArity,hf),Ol[nc+1]))}else if(xu[nc]&8&&xu[nc+1]&4){const ul=(js=Ui(Ol[nc]))==null?void 0:js.typeParameter,Im=ul&&Jf(ul);if(Im&&Qa(Im)&&!(Im.target.combinedFlags&12)){const zu=Im.target.fixedLength;Be($5(bn,nc,Do-(nc+zu)),Ol[nc]),Be(K5(bn,nc+zu,hf),Ol[nc+1])}}else if(xu[nc]&4&&xu[nc+1]&8){const ul=(or=Ui(Ol[nc+1]))==null?void 0:or.typeParameter,Im=ul&&Jf(ul);if(Im&&Qa(Im)&&!(Im.target.combinedFlags&12)){const zu=Im.target.fixedLength,ed=Do-Pz(Fn.target,3),nw=ed-zu,tM=rv(Pl(bn).slice(nw,ed),bn.target.elementFlags.slice(nw,ed),!1,bn.target.labeledElementDeclarations&&bn.target.labeledElementDeclarations.slice(nw,ed));Be(K5(bn,nc,hf+zu),Ol[nc]),Be(tM,Ol[nc+1])}}}else if(Ou===1&&xu[nc]&8){const ul=Fn.target.elementFlags[La-1]&2,Im=$5(bn,nc,hf);kt(Im,Ol[nc],ul?2:0)}else if(Ou===1&&xu[nc]&4){const ul=K5(bn,nc,hf);ul&&Be(ul,Ol[nc])}}for(let Ou=0;Ou<hf;Ou++)Be(Pl(bn)[Do-Ou-1],Ol[La-Ou-1]);return}if(ug(Fn)){wu(bn,Fn);return}}Po(bn,Fn),fu(bn,Fn,0),fu(bn,Fn,1),wu(bn,Fn)}}function Po(bn,Fn){const js=XS(Fn);for(const or of js){const Do=Ta(bn,or.escapedName);Do&&!Ft(Do.declarations,$8e)&&Be(IC(Yn(Do),!!(Do.flags&16777216)),IC(Yn(or),!!(or.flags&16777216)))}}function fu(bn,Fn,js){const or=ia(bn,js),Do=or.length;if(Do>0){const La=ia(Fn,js),Ol=La.length;for(let xu=0;xu<Ol;xu++){const nc=Math.max(Do-Ol+xu,0);Ef(bKt(or[nc]),Lz(La[xu]))}}}function Ef(bn,Fn){if(!(bn.flags&64)){const js=L,or=Fn.declaration?Fn.declaration.kind:0;L=L||or===174||or===173||or===176,F8e(bn,Fn,rn),L=js}B8e(bn,Fn,Be)}function wu(bn,Fn){const js=Or(bn)&Or(Fn)&32?8:0,or=Ih(Fn);if(Nme(bn))for(const Do of or){const La=[];for(const Ol of Ac(bn))if(zA($A(Ol,8576),Do.keyType)){const xu=Yn(Ol);La.push(Ol.flags&16777216?Ime(xu):xu)}for(const Ol of Ih(bn))zA(Ol.keyType,Do.keyType)&&La.push(Ol.type);La.length&&kt(is(La),Do.type,js)}for(const Do of or){const La=Ez(bn,Do.keyType);La&&kt(La.type,Do.type,js)}}}function gZt(o,_){return _===le?o===_:yb(o,_)||!!(_.flags&4&&o.flags&128||_.flags&8&&o.flags&256)}function yZt(o,_){return!!(o.flags&524288&&_.flags&524288&&o.symbol&&o.symbol===_.symbol||o.aliasSymbol&&o.aliasTypeArguments&&o.aliasSymbol===_.aliasSymbol)}function vZt(o){const _=m_(o);return!!_&&Au(_.flags&16777216?wRe(_):_,406978556)}function ew(o){return!!(Or(o)&128)}function J8e(o){return!!(Or(o)&16512)}function bZt(o){if(o.length>1){const _=$t(o,J8e);if(_.length){const y=is(_,2);return Js($t(o,S=>!J8e(S)),[y])}}return o}function CZt(o){return o.priority&416?Wa(o.contraCandidates):WQt(o.contraCandidates)}function SZt(o,_){const y=bZt(o.candidates),S=vZt(o.typeParameter)||xN(o.typeParameter),D=!S&&o.topLevel&&(o.isFixed||!oZt(_,o.typeParameter)),L=S?Wr(y,Xh):D?Wr(y,J2):y,B=o.priority&416?is(L,2):BQt(L);return lp(B)}function G8e(o,_){const y=o.inferences[_];if(!y.inferredType){let S,D;if(o.signature){const B=y.candidates?SZt(y,o.signature):void 0,K=y.contraCandidates?CZt(y):void 0;if(B||K){const ne=B&&(!K||!(B.flags&131073)&&Ft(y.contraCandidates,ce=>Da(B,ce))&&Ce(o.inferences,ce=>ce!==y&&m_(ce.typeParameter)!==y.typeParameter||Ce(ce.candidates,ge=>Da(ge,B))));S=ne?B:K,D=ne?K:B}else if(o.flags&1)S=Xn;else{const ne=qD(y.typeParameter);ne&&(S=io(ne,QXt(XXt(o,_),o.nonFixingMapper)))}}else S=wmt(y);y.inferredType=S||K8e(!!(o.flags&2));const L=m_(y.typeParameter);if(L){const B=io(L,o.nonFixingMapper);(!S||!o.compareTypes(S,Wp(B,S)))&&(y.inferredType=D&&o.compareTypes(D,Wp(B,D))?D:B)}}return y.inferredType}function K8e(o){return o?Je:te}function X8e(o){const _=[];for(let y=0;y<o.inferences.length;y++)_.push(G8e(o,y));return _}function Imt(o){switch(o.escapedText){case"document":case"console":return k.Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_include_dom;case"$":return Q.types?k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery_and_then_add_jquery_to_the_types_field_in_your_tsconfig:k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery;case"describe":case"suite":case"it":case"test":return Q.types?k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha_and_then_add_jest_or_mocha_to_the_types_field_in_your_tsconfig:k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha;case"process":case"require":case"Buffer":case"module":return Q.types?k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode_and_then_add_node_to_the_types_field_in_your_tsconfig:k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode;case"Bun":return Q.types?k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun_and_then_add_bun_to_the_types_field_in_your_tsconfig:k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun;case"Map":case"Set":case"Promise":case"Symbol":case"WeakMap":case"WeakSet":case"Iterator":case"AsyncIterator":case"SharedArrayBuffer":case"Atomics":case"AsyncIterable":case"AsyncIterableIterator":case"AsyncGenerator":case"AsyncGeneratorFunction":case"BigInt":case"Reflect":case"BigInt64Array":case"BigUint64Array":return k.Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_1_or_later;case"await":if(la(o.parent))return k.Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function;default:return o.parent.kind===304?k.No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer:k.Cannot_find_name_0}}function Lh(o){const _=yr(o);return _.resolvedSymbol||(_.resolvedSymbol=!lu(o)&&_i(o,o,1160127,Imt(o),!EK(o),!1)||pt),_.resolvedSymbol}function Q8e(o){return!!(o.flags&33554432||Qi(o,_=>zf(_)||Mg(_)||a_(_)))}function Pee(o,_,y,S){switch(o.kind){case 80:if(!GT(o)){const B=Lh(o);return B!==pt?`${S?Sc(S):"-1"}|${kf(_)}|${kf(y)}|${Ba(B)}`:void 0}case 110:return`0|${S?Sc(S):"-1"}|${kf(_)}|${kf(y)}`;case 235:case 217:return Pee(o.expression,_,y,S);case 166:const D=Pee(o.left,_,y,S);return D&&`${D}.${o.right.escapedText}`;case 211:case 212:const L=AN(o);if(L!==void 0){const B=Pee(o.expression,_,y,S);return B&&`${B}.${L}`}if(wl(o)&&ot(o.argumentExpression)){const B=Lh(o.argumentExpression);if(e4(B)||Kz(B)&&!Hee(B)){const K=Pee(o.expression,_,y,S);return K&&`${K}.@${Ba(B)}`}}break;case 206:case 207:case 262:case 218:case 219:case 174:return`${Sc(o)}#${kf(_)}`}}function Tf(o,_){switch(_.kind){case 217:case 235:return Tf(o,_.expression);case 226:return lf(_)&&Tf(o,_.left)||ur(_)&&_.operatorToken.kind===28&&Tf(o,_.right)}switch(o.kind){case 236:return _.kind===236&&o.keywordToken===_.keywordToken&&o.name.escapedText===_.name.escapedText;case 80:case 81:return GT(o)?_.kind===110:_.kind===80&&Lh(o)===Lh(_)||(_s(_)||ec(_))&&Rp(Lh(o))===xr(_);case 110:return _.kind===110;case 108:return _.kind===108;case 235:case 217:return Tf(o.expression,_);case 211:case 212:const y=AN(o);if(y!==void 0){const S=Sl(_)?AN(_):void 0;if(S!==void 0)return S===y&&Tf(o.expression,_.expression)}if(wl(o)&&wl(_)&&ot(o.argumentExpression)&&ot(_.argumentExpression)){const S=Lh(o.argumentExpression);if(S===Lh(_.argumentExpression)&&(e4(S)||Kz(S)&&!Hee(S)))return Tf(o.expression,_.expression)}break;case 166:return Sl(_)&&o.right.escapedText===AN(_)&&Tf(o.left,_.expression);case 226:return ur(o)&&o.operatorToken.kind===28&&Tf(o.right,_)}return!1}function AN(o){if(Nr(o))return o.name.escapedText;if(wl(o))return wZt(o);if(ec(o)){const _=Cs(o);return _?cu(_):void 0}if($s(o))return""+o.parent.parameters.indexOf(o)}function Z8e(o){return o.flags&8192?o.escapedName:o.flags&384?cu(""+o.value):void 0}function wZt(o){return cm(o.argumentExpression)?cu(o.argumentExpression.text):pl(o.argumentExpression)?xZt(o.argumentExpression):void 0}function xZt(o){const _=nu(o,111551,!0);if(!_||!(e4(_)||_.flags&8))return;const y=_.valueDeclaration;if(y===void 0)return;const S=km(y);if(S){const D=Z8e(S);if(D!==void 0)return D}if(hI(y)&&VS(y,o)){const D=pV(y);if(D){const L=ta(y.parent)?no(y):Kf(D);return L&&Z8e(L)}if(SS(y))return lP(y.name)}}function Nmt(o,_){for(;Sl(o);)if(o=o.expression,Tf(o,_))return!0;return!1}function ON(o,_){for(;gh(o);)if(o=o.expression,Tf(o,_))return!0;return!1}function X5(o,_){if(o&&o.flags&1048576){const y=k_t(o,_);if(y&&Iu(y)&2)return y.links.isDiscriminantProperty===void 0&&(y.links.isDiscriminantProperty=(y.links.checkFlags&192)===192&&!GA(Yn(y))),!!y.links.isDiscriminantProperty}return!1}function Lmt(o,_){let y;for(const S of o)if(X5(_,S.escapedName)){if(y){y.push(S);continue}y=[S]}return y}function kZt(o,_){const y=new Map;let S=0;for(const D of o)if(D.flags&61603840){const L=Yu(D,_);if(L){if(!Hz(L))return;let B=!1;RN(L,K=>{const ne=kf(Xh(K)),ce=y.get(ne);ce?ce!==te&&(y.set(ne,te),B=!0):y.set(ne,D)}),B||S++}}return S>=10&&S*2>=o.length?y:void 0}function Aee(o){const _=o.types;if(!(_.length<10||Or(o)&32768||$i(_,y=>!!(y.flags&59506688))<10)){if(o.keyPropertyName===void 0){const y=O(_,D=>D.flags&59506688?O(Ac(D),L=>c1(Yn(L))?L.escapedName:void 0):void 0),S=y&&kZt(_,y);o.keyPropertyName=S?y:"",o.constituentMap=S}return o.keyPropertyName.length?o.keyPropertyName:void 0}}function Oee(o,_){var y;const S=(y=o.constituentMap)==null?void 0:y.get(kf(Xh(_)));return S!==te?S:void 0}function Pmt(o,_){const y=Aee(o),S=y&&Yu(_,y);return S&&Oee(o,S)}function TZt(o,_){const y=Aee(o),S=y&&Fe(_.properties,L=>L.symbol&&L.kind===303&&L.symbol.escapedName===y&&Uee(L.initializer)),D=S&&dte(S.initializer);return D&&Oee(o,D)}function Amt(o,_){return Tf(o,_)||Nmt(o,_)}function Omt(o,_){if(o.arguments){for(const y of o.arguments)if(Amt(_,y)||ON(y,_))return!0}return!!(o.expression.kind===211&&Amt(_,o.expression.expression))}function Y8e(o){return o.id<=0&&(o.id=YYe,YYe++),o.id}function DZt(o,_){if(!(o.flags&1048576))return Da(o,_);for(const y of o.types)if(Da(y,_))return!0;return!1}function EZt(o,_){if(o===_)return o;if(_.flags&131072)return _;const y=`A${kf(o)},${kf(_)}`;return Q0(y)??RS(y,IZt(o,_))}function IZt(o,_){const y=ad(o,D=>DZt(_,D)),S=_.flags&512&&XD(_)?eu(y,XA):y;return Da(_,S)?S:o}function e7e(o){if(Or(o)&256)return!1;const _=o1(o);return!!(_.callSignatures.length||_.constructSignatures.length||_.members.get("bind")&&YD(o,kd))}function qO(o,_){return t7e(o,_)&_}function l1(o,_){return qO(o,_)!==0}function t7e(o,_){o.flags&467927040&&(o=Jf(o)||te);const y=o.flags;if(y&268435460)return we?16317953:16776705;if(y&134217856){const S=y&128&&o.value==="";return we?S?12123649:7929345:S?12582401:16776705}if(y&40)return we?16317698:16776450;if(y&256){const S=o.value===0;return we?S?12123394:7929090:S?12582146:16776450}if(y&64)return we?16317188:16775940;if(y&2048){const S=pmt(o);return we?S?12122884:7928580:S?12581636:16775940}return y&16?we?16316168:16774920:y&528?we?o===ln||o===Un?12121864:7927560:o===ln||o===Un?12580616:16774920:y&524288?_&(we?83427327:83886079)?Or(o)&16&&TC(o)?we?83427327:83886079:e7e(o)?we?7880640:16728e3:we?7888800:16736160:0:y&16384?9830144:y&32768?26607360:y&65536?42917664:y&12288?we?7925520:16772880:y&67108864?we?7888800:16736160:y&131072?0:y&1048576?oi(o.types,(S,D)=>S|t7e(D,_),0):y&2097152?NZt(o,_):83886079}function NZt(o,_){const y=Au(o,402784252);let S=0,D=134217727;for(const L of o.types)if(!(y&&L.flags&524288)){const B=t7e(L,_);S|=B,D&=B}return S&8256|D&134209471}function Qg(o,_){return ad(o,y=>l1(y,_))}function MN(o,_){const y=Rmt(Qg(we&&o.flags&2?mc:o,_));if(we)switch(_){case 524288:return Mmt(y,65536,131072,33554432,Qt);case 1048576:return Mmt(y,131072,65536,16777216,tt);case 2097152:case 4194304:return eu(y,S=>l1(S,262144)?GQt(S):S)}return y}function Mmt(o,_,y,S,D){const L=qO(o,50528256);if(!(L&_))return o;const B=is([Jo,D]);return eu(o,K=>l1(K,_)?Wa([K,!(L&S)&&l1(K,y)?B:Jo]):K)}function Rmt(o){return o===mc?te:o}function i7e(o,_){return _?is([Si(o),Kf(_)]):o}function Fmt(o,_){var y;const S=xC(_);if(!Jm(S))return vt;const D=Gm(S);return Yu(o,D)||Jz((y=UA(o,D))==null?void 0:y.type)||vt}function Bmt(o,_){return up(o,G5)&&umt(o,_)||Jz(iw(65,o,tt,void 0))||vt}function Jz(o){return o&&(Q.noUncheckedIndexedAccess?is([o,le]):o)}function Wmt(o){return hh(iw(65,o,tt,void 0)||vt)}function LZt(o){return o.parent.kind===209&&n7e(o.parent)||o.parent.kind===303&&n7e(o.parent.parent)?i7e(Mee(o),o.right):Kf(o.right)}function n7e(o){return o.parent.kind===226&&o.parent.left===o||o.parent.kind===250&&o.parent.initializer===o}function PZt(o,_){return Bmt(Mee(o),o.elements.indexOf(_))}function AZt(o){return Wmt(Mee(o.parent))}function Vmt(o){return Fmt(Mee(o.parent),o.name)}function OZt(o){return i7e(Vmt(o),o.objectAssignmentInitializer)}function Mee(o){const{parent:_}=o;switch(_.kind){case 249:return st;case 250:return gte(_)||vt;case 226:return LZt(_);case 220:return tt;case 209:return PZt(_,o);case 230:return AZt(_);case 303:return Vmt(_);case 304:return OZt(_)}return vt}function MZt(o){const _=o.parent,y=jmt(_.parent),S=_.kind===206?Fmt(y,o.propertyName||o.name):o.dotDotDotToken?Wmt(y):Bmt(y,_.elements.indexOf(o));return i7e(S,o.initializer)}function Hmt(o){return yr(o).resolvedType||Kf(o)}function RZt(o){return o.initializer?Hmt(o.initializer):o.parent.parent.kind===249?st:o.parent.parent.kind===250&&gte(o.parent.parent)||vt}function jmt(o){return o.kind===260?RZt(o):MZt(o)}function FZt(o){return o.kind===260&&o.initializer&&og(o.initializer)||o.kind!==208&&o.parent.kind===226&&og(o.parent.right)}function eE(o){switch(o.kind){case 217:return eE(o.expression);case 226:switch(o.operatorToken.kind){case 64:case 76:case 77:case 78:return eE(o.left);case 28:return eE(o.right)}}return o}function zmt(o){const{parent:_}=o;return _.kind===217||_.kind===226&&_.operatorToken.kind===64&&_.left===o||_.kind===226&&_.operatorToken.kind===28&&_.right===o?zmt(_):o}function BZt(o){return o.kind===296?Xh(Kf(o.expression)):Ai}function Fme(o){const _=yr(o);if(!_.switchTypes){_.switchTypes=[];for(const y of o.caseBlock.clauses)_.switchTypes.push(BZt(y))}return _.switchTypes}function Umt(o){if(Ft(o.caseBlock.clauses,y=>y.kind===296&&!Bc(y.expression)))return;const _=[];for(const y of o.caseBlock.clauses){const S=y.kind===296?y.expression.text:void 0;_.push(S&&!Yt(_,S)?S:void 0)}return _}function WZt(o,_){return o.flags&1048576?!O(o.types,y=>!Yt(_,y)):Yt(_,o)}function Q5(o,_){return!!(o===_||o.flags&131072||_.flags&1048576&&VZt(o,_))}function VZt(o,_){if(o.flags&1048576){for(const y of o.types)if(!mb(_.types,y))return!1;return!0}return o.flags&1056&&Jpe(o)===_?!0:mb(_.types,o)}function RN(o,_){return o.flags&1048576?O(o.types,_):_(o)}function dg(o,_){return o.flags&1048576?Ft(o.types,_):_(o)}function up(o,_){return o.flags&1048576?Ce(o.types,_):_(o)}function HZt(o,_){return o.flags&3145728?Ce(o.types,_):_(o)}function ad(o,_){if(o.flags&1048576){const y=o.types,S=$t(y,_);if(S===y)return o;const D=o.origin;let L;if(D&&D.flags&1048576){const B=D.types,K=$t(B,ne=>!!(ne.flags&1048576)||_(ne));if(B.length-K.length===y.length-S.length){if(K.length===1)return K[0];L=t8e(1048576,K)}}return n8e(S,o.objectFlags&16809984,void 0,void 0,L)}return o.flags&131072||_(o)?o:Ai}function Bme(o,_){return ad(o,y=>y!==_)}function jZt(o){return o.flags&1048576?o.types.length:1}function eu(o,_,y){if(o.flags&131072)return o;if(!(o.flags&1048576))return _(o);const S=o.origin,D=S&&S.flags&1048576?S.types:o.types;let L,B=!1;for(const K of D){const ne=K.flags&1048576?eu(K,_,y):_(K);B||(B=K!==ne),ne&&(L?L.push(ne):L=[ne])}return B?L&&is(L,y?0:1):o}function qmt(o,_,y,S){return o.flags&1048576&&y?is(qt(o.types,_),1,y,S):eu(o,_)}function Z5(o,_){return ad(o,y=>(y.flags&_)!==0)}function $mt(o,_){return Au(o,134217804)&&Au(_,402655616)?eu(o,y=>y.flags&4?Z5(_,402653316):DN(y)&&!Au(_,402653188)?Z5(_,128):y.flags&8?Z5(_,264):y.flags&64?Z5(_,2112):y):o}function $O(o){return o.flags===0}function FN(o){return o.flags===0?o.type:o}function JO(o,_){return _?{flags:0,type:o.flags&131072?Xn:o}:o}function zZt(o){const _=V(256);return _.elementType=o,_}function r7e(o){return St[o.id]||(St[o.id]=zZt(o))}function Jmt(o,_){const y=Uz(DC(dte(_)));return Q5(y,o.elementType)?o:r7e(is([o.elementType,y]))}function UZt(o){return o.flags&131072?Pc:hh(o.flags&1048576?is(o.types,2):o)}function qZt(o){return o.finalArrayType||(o.finalArrayType=UZt(o.elementType))}function Ree(o){return Or(o)&256?qZt(o):o}function $Zt(o){return Or(o)&256?o.elementType:Ai}function JZt(o){let _=!1;for(const y of o)if(!(y.flags&131072)){if(!(Or(y)&256))return!1;_=!0}return _}function Gmt(o){const _=zmt(o),y=_.parent,S=Nr(y)&&(y.name.escapedText==="length"||y.parent.kind===213&&ot(y.name)&&Yae(y.name)),D=y.kind===212&&y.expression===_&&y.parent.kind===226&&y.parent.operatorToken.kind===64&&y.parent.left===y&&!fS(y.parent)&&Gf(Kf(y.argumentExpression),296);return S||D}function GZt(o){return(_s(o)||Lo(o)||O_(o)||$s(o))&&!!(dd(o)||nr(o)&&aS(o)&&o.initializer&&lx(o.initializer)&&wp(o.initializer))}function Wme(o,_){if(o=Zu(o),o.flags&8752)return Yn(o);if(o.flags&7){if(Iu(o)&262144){const S=o.links.syntheticOrigin;if(S&&Wme(S))return Yn(o)}const y=o.valueDeclaration;if(y){if(GZt(y))return Yn(o);if(_s(y)&&y.parent.parent.kind===250){const S=y.parent.parent,D=Fee(S.expression,void 0);if(D){const L=S.awaitModifier?15:13;return iw(L,D,tt,void 0)}}_&&da(_,Kn(y,k._0_needs_an_explicit_type_annotation,eo(o)))}}}function Fee(o,_){if(!(o.flags&67108864))switch(o.kind){case 80:const y=Rp(Lh(o));return Wme(y,_);case 110:return pYt(o);case 108:return qme(o);case 211:{const S=Fee(o.expression,_);if(S){const D=o.name;let L;if(Vs(D)){if(!S.symbol)return;L=Ta(S,NV(S.symbol,D.escapedText))}else L=Ta(S,D.escapedText);return L&&Wme(L,_)}return}case 217:return Fee(o.expression,_)}}function Bee(o){const _=yr(o);let y=_.effectsSignature;if(y===void 0){let S;if(ur(o)){const B=KO(o.right);S=s5e(B)}else o.parent.kind===244?S=Fee(o.expression,void 0):o.expression.kind!==108&&(gh(o)?S=ly(jz(uo(o.expression),o.expression),o.expression):S=KO(o.expression));const D=ia(S&&Kh(S)||te,0),L=D.length===1&&!D[0].typeParameters?D[0]:Ft(D,Kmt)?QO(o):void 0;y=_.effectsSignature=L&&Kmt(L)?L:Mi}return y===Mi?void 0:y}function Kmt(o){return!!(cg(o)||o.declaration&&(BO(o.declaration)||te).flags&131072)}function KZt(o,_){if(o.kind===1||o.kind===3)return _.arguments[o.parameterIndex];const y=Dc(_.expression);return Sl(y)?Dc(y.expression):void 0}function XZt(o){const _=Qi(o,iae),y=_n(o),S=E1(y,_.statements.pos);lc.add(Md(y,S.start,S.length,k.The_containing_function_or_module_body_is_too_large_for_control_flow_analysis))}function Wee(o){const _=Vme(o,!1);return zg=o,x2=_,_}function Vee(o){const _=Dc(o,!0);return _.kind===97||_.kind===226&&(_.operatorToken.kind===56&&(Vee(_.left)||Vee(_.right))||_.operatorToken.kind===57&&Vee(_.left)&&Vee(_.right))}function Vme(o,_){for(;;){if(o===zg)return x2;const y=o.flags;if(y&4096){if(!_){const S=Y8e(o),D=kx[S];return D!==void 0?D:kx[S]=Vme(o,!0)}_=!1}if(y&368)o=o.antecedent;else if(y&512){const S=Bee(o.node);if(S){const D=cg(S);if(D&&D.kind===3&&!D.type){const L=o.node.arguments[D.parameterIndex];if(L&&Vee(L))return!1}if(Oc(S).flags&131072)return!1}o=o.antecedent}else{if(y&4)return Ft(o.antecedent,S=>Vme(S,!1));if(y&8){const S=o.antecedent;if(S===void 0||S.length===0)return!1;o=S[0]}else if(y&128){const S=o.node;if(S.clauseStart===S.clauseEnd&&M0t(S.switchStatement))return!1;o=o.antecedent}else if(y&1024){zg=void 0;const S=o.node.target,D=S.antecedent;S.antecedent=o.node.antecedents;const L=Vme(o.antecedent,!1);return S.antecedent=D,L}else return!(y&1)}}}function Hme(o,_){for(;;){const y=o.flags;if(y&4096){if(!_){const S=Y8e(o),D=rb[S];return D!==void 0?D:rb[S]=Hme(o,!0)}_=!1}if(y&496)o=o.antecedent;else if(y&512){if(o.node.expression.kind===108)return!0;o=o.antecedent}else{if(y&4)return Ce(o.antecedent,S=>Hme(S,!1));if(y&8)o=o.antecedent[0];else if(y&1024){const S=o.node.target,D=S.antecedent;S.antecedent=o.node.antecedents;const L=Hme(o.antecedent,!1);return S.antecedent=D,L}else return!!(y&1)}}}function s7e(o){switch(o.kind){case 110:return!0;case 80:if(!GT(o)){const y=Lh(o);return e4(y)||Kz(y)&&!Hee(y)||!!y.valueDeclaration&&ml(y.valueDeclaration)}break;case 211:case 212:return s7e(o.expression)&&d1(yr(o).resolvedSymbol||pt);case 206:case 207:const _=A1(o.parent);return $s(_)||YDe(_)?!o7e(_):_s(_)&&mU(_)}return!1}function LC(o,_,y=_,S,D=(L=>(L=Jr(o,wV))==null?void 0:L.flowNode)()){let L,B=!1,K=0;if(uC)return vt;if(!D)return _;AS++;const ne=$y,ce=FN(Be(D));$y=ne;const ge=Or(ce)&256&&Gmt(o)?Pc:Ree(ce);if(ge===on||o.parent&&o.parent.kind===235&&!(ge.flags&131072)&&Qg(ge,2097152).flags&131072)return _;return ge;function je(){return B?L:(B=!0,L=Pee(o,_,y,S))}function Be(di){var Zi;if(K===2e3)return(Zi=Zn)==null||Zi.instant(Zn.Phase.CheckTypes,"getTypeAtFlowNode_DepthLimit",{flowId:di.id}),uC=!0,XZt(o),vt;K++;let Tn;for(;;){const Bn=di.flags;if(Bn&4096){for(let os=ne;os<$y;os++)if(Jh[os]===di)return K--,DD[os];Tn=di}let Er;if(Bn&16){if(Er=Vt(di),!Er){di=di.antecedent;continue}}else if(Bn&512){if(Er=Oi(di),!Er){di=di.antecedent;continue}}else if(Bn&96)Er=qn(di);else if(Bn&128)Er=Qn(di);else if(Bn&12){if(di.antecedent.length===1){di=di.antecedent[0];continue}Er=Bn&4?rn(di):Ui(di)}else if(Bn&256){if(Er=Ki(di),!Er){di=di.antecedent;continue}}else if(Bn&1024){const os=di.node.target,Oa=os.antecedent;os.antecedent=di.node.antecedents,Er=Be(di.antecedent),os.antecedent=Oa}else if(Bn&2){const os=di.node;if(os&&os!==S&&o.kind!==211&&o.kind!==212&&!(o.kind===110&&os.kind!==219)){di=os.flowNode;continue}Er=y}else Er=fU(_);return Tn&&(Jh[$y]=Tn,DD[$y]=Er,$y++),K--,Er}}function kt(di){const Zi=di.node;return a7e(Zi.kind===260||Zi.kind===208?jmt(Zi):Mee(Zi),o)}function Vt(di){const Zi=di.node;if(Tf(o,Zi)){if(!Wee(di))return on;if(Kk(Zi)===2){const Bn=Be(di.antecedent);return JO(DC(FN(Bn)),$O(Bn))}if(_===Rt||_===Pc){if(FZt(Zi))return r7e(Ai);const Bn=J2(kt(di));return Da(Bn,_)?Bn:Yc}const Tn=qae(Zi)?DC(_):_;return Tn.flags&1048576?EZt(Tn,kt(di)):Tn}if(Nmt(o,Zi)){if(!Wee(di))return on;if(_s(Zi)&&(nr(Zi)||mU(Zi))){const Tn=A8(Zi);if(Tn&&(Tn.kind===218||Tn.kind===219))return Be(di.antecedent)}return _}if(_s(Zi)&&Zi.parent.parent.kind===249&&(Tf(o,Zi.parent.parent.expression)||ON(Zi.parent.parent.expression,o)))return E7e(Ree(FN(Be(di.antecedent))))}function Kt(di,Zi){const Tn=Dc(Zi,!0);if(Tn.kind===97)return on;if(Tn.kind===226){if(Tn.operatorToken.kind===56)return Kt(Kt(di,Tn.left),Tn.right);if(Tn.operatorToken.kind===57)return is([Kt(di,Tn.left),Kt(di,Tn.right)])}return Yp(di,Tn,!0)}function Oi(di){const Zi=Bee(di.node);if(Zi){const Tn=cg(Zi);if(Tn&&(Tn.kind===2||Tn.kind===3)){const Bn=Be(di.antecedent),Er=Ree(FN(Bn)),os=Tn.type?lF(Er,Tn,di.node,!0):Tn.kind===3&&Tn.parameterIndex>=0&&Tn.parameterIndex<di.node.arguments.length?Kt(Er,di.node.arguments[Tn.parameterIndex]):Er;return os===Er?Bn:JO(os,$O(Bn))}if(Oc(Zi).flags&131072)return on}}function Ki(di){if(_===Rt||_===Pc){const Zi=di.node,Tn=Zi.kind===213?Zi.expression.expression:Zi.left.expression;if(Tf(o,eE(Tn))){const Bn=Be(di.antecedent),Er=FN(Bn);if(Or(Er)&256){let os=Er;if(Zi.kind===213)for(const Oa of Zi.arguments)os=Jmt(os,Oa);else{const Oa=dte(Zi.left.argumentExpression);Gf(Oa,296)&&(os=Jmt(os,Zi.right))}return os===Er?Bn:JO(os,$O(Bn))}return Bn}}}function qn(di){const Zi=Be(di.antecedent),Tn=FN(Zi);if(Tn.flags&131072)return Zi;const Bn=(di.flags&32)!==0,Er=Ree(Tn),os=Yp(Er,di.node,Bn);return os===Er?Zi:JO(os,$O(Zi))}function Qn(di){const Zi=Dc(di.node.switchStatement.expression),Tn=Be(di.antecedent);let Bn=FN(Tn);if(Tf(o,Zi))Bn=Ol(Bn,di.node);else if(Zi.kind===221&&Tf(o,Zi.expression))Bn=hf(Bn,di.node);else if(Zi.kind===112)Bn=Ou(Bn,di.node);else{we&&(ON(Zi,o)?Bn=La(Bn,di.node,os=>!(os.flags&163840)):Zi.kind===221&&ON(Zi.expression,o)&&(Bn=La(Bn,di.node,os=>!(os.flags&131072||os.flags&128&&os.value==="undefined"))));const Er=vs(Zi,Bn);Er&&(Bn=fa(Bn,Er,di.node))}return JO(Bn,$O(Tn))}function rn(di){const Zi=[];let Tn=!1,Bn=!1,Er;for(const os of di.antecedent){if(!Er&&os.flags&128&&os.node.clauseStart===os.node.clauseEnd){Er=os;continue}const Oa=Be(os),Ua=FN(Oa);if(Ua===_&&_===y)return Ua;mu(Zi,Ua),Q5(Ua,y)||(Tn=!0),$O(Oa)&&(Bn=!0)}if(Er){const os=Be(Er),Oa=FN(os);if(!(Oa.flags&131072)&&!Yt(Zi,Oa)&&!M0t(Er.node.switchStatement)){if(Oa===_&&_===y)return Oa;Zi.push(Oa),Q5(Oa,y)||(Tn=!0),$O(os)&&(Bn=!0)}}return JO(fr(Zi,Tn?2:1),Bn)}function Ui(di){const Zi=Y8e(di),Tn=qh[Zi]||(qh[Zi]=new Map),Bn=je();if(!Bn)return _;const Er=Tn.get(Bn);if(Er)return Er;for(let Qe=ib;Qe<jg;Qe++)if(qg[Qe]===di&&$h[Qe]===Bn&&$g[Qe].length)return JO(fr($g[Qe],1),!0);const os=[];let Oa=!1,Ua;for(const Qe of di.antecedent){let Re;if(!Ua)Re=Ua=Be(Qe);else{qg[jg]=di,$h[jg]=Bn,$g[jg]=os,jg++;const un=bm;bm=void 0,Re=Be(Qe),bm=un,jg--;const _r=Tn.get(Bn);if(_r)return _r}const Ii=FN(Re);if(mu(os,Ii),Q5(Ii,y)||(Oa=!0),Ii===_)break}const yl=fr(os,Oa?2:1);return $O(Ua)?JO(yl,!0):(Tn.set(Bn,yl),yl)}function fr(di,Zi){if(JZt(di))return r7e(is(qt(di,$Zt)));const Tn=Rmt(is(Wr(di,Ree),Zi));return Tn!==_&&Tn.flags&_.flags&1048576&&i_(Tn.types,_.types)?_:Tn}function Gr(di){if(ta(o)||lx(o)||Ig(o)){if(ot(di)){const Tn=Lh(di).valueDeclaration;if(Tn&&(ec(Tn)||$s(Tn))&&o===Tn.parent&&!Tn.initializer&&!Tn.dotDotDotToken)return Tn}}else if(Sl(di)){if(Tf(o,di.expression))return di}else if(ot(di)){const Zi=Lh(di);if(e4(Zi)){const Tn=Zi.valueDeclaration;if(_s(Tn)&&!Tn.type&&Tn.initializer&&Sl(Tn.initializer)&&Tf(o,Tn.initializer.expression))return Tn.initializer;if(ec(Tn)&&!Tn.initializer){const Bn=Tn.parent.parent;if(_s(Bn)&&!Bn.type&&Bn.initializer&&(ot(Bn.initializer)||Sl(Bn.initializer))&&Tf(o,Bn.initializer))return Tn}}}}function vs(di,Zi){if(_.flags&1048576||Zi.flags&1048576){const Tn=Gr(di);if(Tn){const Bn=AN(Tn);if(Bn){const Er=_.flags&1048576&&Q5(Zi,_)?_:Zi;if(X5(Er,Bn))return Tn}}}}function Ur(di,Zi,Tn){const Bn=AN(Zi);if(Bn===void 0)return di;const Er=gh(Zi),os=we&&(Er||tEe(Zi))&&Au(di,98304);let Oa=Yu(os?Qg(di,2097152):di,Bn);if(!Oa)return di;Oa=os&&Er?Zx(Oa):Oa;const Ua=Tn(Oa);return ad(di,yl=>{const Qe=HA(yl,Bn)||te;return!(Qe.flags&131072)&&!(Ua.flags&131072)&&wee(Ua,Qe)})}function ga(di,Zi,Tn,Bn,Er){if((Tn===37||Tn===38)&&di.flags&1048576){const os=Aee(di);if(os&&os===AN(Zi)){const Oa=Oee(di,Kf(Bn));if(Oa)return Tn===(Er?37:38)?Oa:c1(Yu(Oa,os)||te)?Bme(di,Oa):di}}return Ur(di,Zi,os=>js(os,Tn,Bn,Er))}function fa(di,Zi,Tn){if(Tn.clauseStart<Tn.clauseEnd&&di.flags&1048576&&Aee(di)===AN(Zi)){const Bn=Fme(Tn.switchStatement).slice(Tn.clauseStart,Tn.clauseEnd),Er=is(qt(Bn,os=>Oee(di,os)||te));if(Er!==te)return Er}return Ur(di,Zi,Bn=>Ol(Bn,Tn))}function Al(di,Zi,Tn){if(Tf(o,Zi))return MN(di,Tn?4194304:8388608);we&&Tn&&ON(Zi,o)&&(di=MN(di,2097152));const Bn=vs(Zi,di);return Bn?Ur(di,Bn,Er=>Qg(Er,Tn?4194304:8388608)):di}function Po(di,Zi,Tn){const Bn=Ta(di,Zi);return Bn?!!(Bn.flags&16777216||Iu(Bn)&48)||Tn:!!UA(di,Zi)||!Tn}function fu(di,Zi,Tn){const Bn=Gm(Zi);if(dg(di,os=>Po(os,Bn,!0)))return ad(di,os=>Po(os,Bn,Tn));if(Tn){const os=YKt();if(os)return Wa([di,VO(os,[Zi,te])])}return di}function Ef(di,Zi,Tn,Bn,Er){return Er=Er!==(Tn.kind===112)!=(Bn!==38&&Bn!==36),Yp(di,Zi,Er)}function wu(di,Zi,Tn){switch(Zi.operatorToken.kind){case 64:case 76:case 77:case 78:return Al(Yp(di,Zi.right,Tn),Zi.left,Tn);case 35:case 36:case 37:case 38:const Bn=Zi.operatorToken.kind,Er=eE(Zi.left),os=eE(Zi.right);if(Er.kind===221&&Bc(os))return or(di,Er,Bn,os,Tn);if(os.kind===221&&Bc(Er))return or(di,os,Bn,Er,Tn);if(Tf(o,Er))return js(di,Bn,os,Tn);if(Tf(o,os))return js(di,Bn,Er,Tn);we&&(ON(Er,o)?di=Fn(di,Bn,os,Tn):ON(os,o)&&(di=Fn(di,Bn,Er,Tn)));const Oa=vs(Er,di);if(Oa)return ga(di,Oa,Bn,os,Tn);const Ua=vs(os,di);if(Ua)return ga(di,Ua,Bn,Er,Tn);if(ul(Er))return Im(di,Bn,os,Tn);if(ul(os))return Im(di,Bn,Er,Tn);if(C8(os)&&!Sl(Er))return Ef(di,Er,os,Bn,Tn);if(C8(Er)&&!Sl(os))return Ef(di,os,Er,Bn,Tn);break;case 104:return zu(di,Zi,Tn);case 103:if(Vs(Zi.left))return bn(di,Zi,Tn);const yl=eE(Zi.right);if(zz(di)&&Sl(o)&&Tf(o.expression,yl)){const Qe=Kf(Zi.left);if(Jm(Qe)&&AN(o)===Gm(Qe))return Qg(di,Tn?524288:65536)}if(Tf(o,yl)){const Qe=Kf(Zi.left);if(Jm(Qe))return fu(di,Qe,Tn)}break;case 28:return Yp(di,Zi.right,Tn);case 56:return Tn?Yp(Yp(di,Zi.left,!0),Zi.right,!0):is([Yp(di,Zi.left,!1),Yp(di,Zi.right,!1)]);case 57:return Tn?is([Yp(di,Zi.left,!0),Yp(di,Zi.right,!0)]):Yp(Yp(di,Zi.left,!1),Zi.right,!1)}return di}function bn(di,Zi,Tn){const Bn=eE(Zi.right);if(!Tf(o,Bn))return di;j.assertNode(Zi.left,Vs);const Er=ige(Zi.left);if(Er===void 0)return di;const os=Er.parent,Oa=bd(j.checkDefined(Er.valueDeclaration,"should always have a declaration"))?Yn(os):gl(os);return nw(di,Oa,Tn,!0)}function Fn(di,Zi,Tn,Bn){const Er=Zi===35||Zi===37,os=Zi===35||Zi===36?98304:32768,Oa=Kf(Tn);return Er!==Bn&&up(Oa,yl=>!!(yl.flags&os))||Er===Bn&&up(Oa,yl=>!(yl.flags&(3|os)))?MN(di,2097152):di}function js(di,Zi,Tn,Bn){if(di.flags&1)return di;(Zi===36||Zi===38)&&(Bn=!Bn);const Er=Kf(Tn),os=Zi===35||Zi===36;if(Er.flags&98304){if(!we)return di;const Oa=os?Bn?262144:2097152:Er.flags&65536?Bn?131072:1048576:Bn?65536:524288;return MN(di,Oa)}if(Bn){if(!os&&(di.flags&2||dg(di,sv))){if(Er.flags&469893116||sv(Er))return Er;if(Er.flags&524288)return zi}const Oa=ad(di,Ua=>wee(Ua,Er)||os&&KQt(Ua,Er));return $mt(Oa,Er)}return c1(Er)?ad(di,Oa=>!(dmt(Oa)&&wee(Oa,Er))):di}function or(di,Zi,Tn,Bn,Er){(Tn===36||Tn===38)&&(Er=!Er);const os=eE(Zi.expression);if(!Tf(o,os)){we&&ON(os,o)&&Er===(Bn.text!=="undefined")&&(di=MN(di,2097152));const Oa=vs(os,di);return Oa?Ur(di,Oa,Ua=>Do(Ua,Bn,Er)):di}return Do(di,Bn,Er)}function Do(di,Zi,Tn){return Tn?xu(di,Zi.text):MN(di,kNe.get(Zi.text)||32768)}function La(di,{switchStatement:Zi,clauseStart:Tn,clauseEnd:Bn},Er){return Tn!==Bn&&Ce(Fme(Zi).slice(Tn,Bn),Er)?Qg(di,2097152):di}function Ol(di,{switchStatement:Zi,clauseStart:Tn,clauseEnd:Bn}){const Er=Fme(Zi);if(!Er.length)return di;const os=Er.slice(Tn,Bn),Oa=Tn===Bn||Yt(os,Ai);if(di.flags&2&&!Oa){let Re;for(let Ii=0;Ii<os.length;Ii+=1){const un=os[Ii];if(un.flags&469893116)Re!==void 0&&Re.push(un);else if(un.flags&524288)Re===void 0&&(Re=os.slice(0,Ii)),Re.push(zi);else return di}return is(Re===void 0?os:Re)}const Ua=is(os),yl=Ua.flags&131072?Ai:$mt(ad(di,Re=>wee(Ua,Re)),Ua);if(!Oa)return yl;const Qe=ad(di,Re=>!(dmt(Re)&&Yt(Er,Re.flags&32768?tt:Xh(HQt(Re)))));return yl.flags&131072?Qe:is([yl,Qe])}function xu(di,Zi){switch(Zi){case"string":return nc(di,st,1);case"number":return nc(di,hi,2);case"bigint":return nc(di,vn,4);case"boolean":return nc(di,fi,8);case"symbol":return nc(di,wi,16);case"object":return di.flags&1?di:is([nc(di,zi,32),nc(di,Qt,131072)]);case"function":return di.flags&1?di:nc(di,kd,64);case"undefined":return nc(di,tt,65536)}return nc(di,zi,128)}function nc(di,Zi,Tn){return eu(di,Bn=>ay(Bn,Zi,Cm)?l1(Bn,Tn)?Bn:Ai:YD(Zi,Bn)?Zi:l1(Bn,Tn)?Wa([Bn,Zi]):Ai)}function hf(di,{switchStatement:Zi,clauseStart:Tn,clauseEnd:Bn}){const Er=Umt(Zi);if(!Er)return di;const os=ct(Zi.caseBlock.clauses,yl=>yl.kind===297);if(Tn===Bn||os>=Tn&&os<Bn){const yl=O0t(Tn,Bn,Er);return ad(di,Qe=>qO(Qe,yl)===yl)}const Ua=Er.slice(Tn,Bn);return is(qt(Ua,yl=>yl?xu(di,yl):Ai))}function Ou(di,{switchStatement:Zi,clauseStart:Tn,clauseEnd:Bn}){const Er=ct(Zi.caseBlock.clauses,Ua=>Ua.kind===297),os=Tn===Bn||Er>=Tn&&Er<Bn;for(let Ua=0;Ua<Tn;Ua++){const yl=Zi.caseBlock.clauses[Ua];yl.kind===296&&(di=Yp(di,yl.expression,!1))}if(os){for(let Ua=Bn;Ua<Zi.caseBlock.clauses.length;Ua++){const yl=Zi.caseBlock.clauses[Ua];yl.kind===296&&(di=Yp(di,yl.expression,!1))}return di}const Oa=Zi.caseBlock.clauses.slice(Tn,Bn);return is(qt(Oa,Ua=>Ua.kind===296?Yp(di,Ua.expression,!0):Ai))}function ul(di){return(Nr(di)&&Fr(di.name)==="constructor"||wl(di)&&Bc(di.argumentExpression)&&di.argumentExpression.text==="constructor")&&Tf(o,di.expression)}function Im(di,Zi,Tn,Bn){if(Bn?Zi!==35&&Zi!==37:Zi!==36&&Zi!==38)return di;const Er=Kf(Tn);if(!B5e(Er)&&!gn(Er))return di;const os=Ta(Er,"prototype");if(!os)return di;const Oa=Yn(os),Ua=Nc(Oa)?void 0:Oa;if(!Ua||Ua===Qu||Ua===kd)return di;if(Nc(di))return Ua;return ad(di,Qe=>yl(Qe,Ua));function yl(Qe,Re){return Qe.flags&524288&&Or(Qe)&1||Re.flags&524288&&Or(Re)&1?Qe.symbol===Re.symbol:YD(Qe,Re)}}function zu(di,Zi,Tn){const Bn=eE(Zi.left);if(!Tf(o,Bn))return Tn&&we&&ON(Bn,o)?MN(di,2097152):di;const Er=Zi.right,os=Kf(Er);if(!q2(os,Qu))return di;const Oa=Bee(Zi),Ua=Oa&&cg(Oa);if(Ua&&Ua.kind===1&&Ua.parameterIndex===0)return nw(di,Ua.type,Tn,!0);if(!q2(os,kd))return di;const yl=eu(os,ed);return Nc(di)&&(yl===Qu||yl===kd)||!Tn&&!(yl.flags&524288&&!sv(yl))?di:nw(di,yl,Tn,!0)}function ed(di){const Zi=Yu(di,"prototype");if(Zi&&!Nc(Zi))return Zi;const Tn=ia(di,1);return Tn.length?is(qt(Tn,Bn=>Oc(Lz(Bn)))):Jo}function nw(di,Zi,Tn,Bn){const Er=di.flags&1048576?`N${kf(di)},${kf(Zi)},${(Tn?1:0)|(Bn?2:0)}`:void 0;return Q0(Er)??RS(Er,tM(di,Zi,Tn,Bn))}function tM(di,Zi,Tn,Bn){if(!Tn){if(di===Zi)return Ai;if(Bn)return ad(di,yl=>!q2(yl,Zi));const Ua=nw(di,Zi,!0,!1);return ad(di,yl=>!Q5(yl,Ua))}if(di.flags&3||di===Zi)return Zi;const Er=Bn?q2:YD,os=di.flags&1048576?Aee(di):void 0,Oa=eu(Zi,Ua=>{const yl=os&&Yu(Ua,os),Qe=yl&&Oee(di,yl),Re=eu(Qe||di,Bn?Ii=>q2(Ii,Ua)?Ii:q2(Ua,Ii)?Ua:Ai:Ii=>See(Ii,Ua)?Ii:See(Ua,Ii)?Ua:YD(Ii,Ua)?Ii:YD(Ua,Ii)?Ua:Ai);return Re.flags&131072?eu(di,Ii=>Au(Ii,465829888)&&Er(Ua,Jf(Ii)||te)?Wa([Ii,Ua]):Ai):Re});return Oa.flags&131072?YD(Zi,di)?Zi:Da(di,Zi)?di:Da(Zi,di)?Zi:Wa([di,Zi]):Oa}function cF(di,Zi,Tn){if(Omt(Zi,o)){const Bn=Tn||!cI(Zi)?Bee(Zi):void 0,Er=Bn&&cg(Bn);if(Er&&(Er.kind===0||Er.kind===1))return lF(di,Er,Zi,Tn)}if(zz(di)&&Sl(o)&&Nr(Zi.expression)){const Bn=Zi.expression;if(Tf(o.expression,eE(Bn.expression))&&ot(Bn.name)&&Bn.name.escapedText==="hasOwnProperty"&&Zi.arguments.length===1){const Er=Zi.arguments[0];if(Bc(Er)&&AN(o)===cu(Er.text))return Qg(di,Tn?524288:65536)}}return di}function lF(di,Zi,Tn,Bn){if(Zi.type&&!(Nc(di)&&(Zi.type===Qu||Zi.type===kd))){const Er=KZt(Zi,Tn);if(Er){if(Tf(o,Er))return nw(di,Zi.type,Bn,!1);we&&ON(Er,o)&&(Bn&&!l1(Zi.type,65536)||!Bn&&up(Zi.type,Kee))&&(di=MN(di,2097152));const os=vs(Er,di);if(os)return Ur(di,os,Oa=>nw(Oa,Zi.type,Bn,!1))}}return di}function Yp(di,Zi,Tn){if(fG(Zi)||ur(Zi.parent)&&(Zi.parent.operatorToken.kind===61||Zi.parent.operatorToken.kind===78)&&Zi.parent.left===Zi)return Z2(di,Zi,Tn);switch(Zi.kind){case 80:if(!Tf(o,Zi)&&F<5){const Bn=Lh(Zi);if(e4(Bn)){const Er=Bn.valueDeclaration;if(Er&&_s(Er)&&!Er.type&&Er.initializer&&s7e(o)){F++;const os=Yp(di,Er.initializer,Tn);return F--,os}}}case 110:case 108:case 211:case 212:return Al(di,Zi,Tn);case 213:return cF(di,Zi,Tn);case 217:case 235:return Yp(di,Zi.expression,Tn);case 226:return wu(di,Zi,Tn);case 224:if(Zi.operator===54)return Yp(di,Zi.operand,!Tn);break}return di}function Z2(di,Zi,Tn){if(Tf(o,Zi))return MN(di,Tn?2097152:262144);const Bn=vs(Zi,di);return Bn?Ur(di,Bn,Er=>Qg(Er,Tn?2097152:262144)):di}}function QZt(o,_){if(o=Rp(o),(_.kind===80||_.kind===81)&&(q8(_)&&(_=_.parent),F0(_)&&(!fS(_)||wP(_)))){const y=Dme(wP(_)&&_.kind===211?tge(_,void 0,!0):Kf(_));if(Rp(yr(_).resolvedSymbol)===o)return y}return Wy(_)&&D1(_.parent)&&CN(_.parent)?Hpe(_.parent.symbol):gce(_)&&wP(_.parent)?zx(o):Ux(o)}function Gz(o){return Qi(o.parent,_=>Ho(_)&&!VT(_)||_.kind===268||_.kind===307||_.kind===172)}function Hee(o){return!Xmt(o,void 0)}function Xmt(o,_){const y=Qi(o.valueDeclaration,jme);if(!y)return!1;const S=yr(y);return S.flags&131072||(S.flags|=131072,ZZt(y)||Zmt(y)),!o.lastAssignmentPos||_&&o.lastAssignmentPos<_.pos}function o7e(o){return j.assert(_s(o)||$s(o)),Qmt(o.name)}function Qmt(o){return o.kind===80?Hee(xr(o.parent)):Ft(o.elements,_=>_.kind!==232&&Qmt(_.name))}function ZZt(o){return!!Qi(o.parent,_=>jme(_)&&!!(yr(_).flags&131072))}function jme(o){return Qc(o)||Os(o)}function Zmt(o){switch(o.kind){case 80:if(fS(o)){const S=Lh(o);if(Kz(S)&&S.lastAssignmentPos!==Number.MAX_VALUE){const D=Qi(o,jme),L=Qi(S.valueDeclaration,jme);S.lastAssignmentPos=D===L?YZt(o,S.valueDeclaration):Number.MAX_VALUE}}return;case 281:const _=o.parent.parent,y=o.propertyName||o.name;if(!o.isTypeOnly&&!_.isTypeOnly&&!_.moduleSpecifier&&y.kind!==11){const S=nu(y,111551,!0,!0);S&&Kz(S)&&(S.lastAssignmentPos=Number.MAX_VALUE)}return;case 264:case 265:case 266:return}ws(o)||Uo(o,Zmt)}function YZt(o,_){let y=o.pos;for(;o&&o.pos>_.pos;){switch(o.kind){case 243:case 244:case 245:case 246:case 247:case 248:case 249:case 250:case 254:case 255:case 258:case 263:y=o.end}o=o.parent}return y}function e4(o){return o.flags&3&&(k7e(o)&6)!==0}function Kz(o){const _=o.valueDeclaration&&A1(o.valueDeclaration);return!!_&&($s(_)||_s(_)&&(lD(_.parent)||eYt(_)))}function eYt(o){return!!(o.parent.flags&1)&&!(Rk(o)&32||o.parent.parent.kind===243&&cS(o.parent.parent.parent))}function tYt(o){const _=yr(o);if(_.parameterInitializerContainsUndefined===void 0){if(!Qy(o,8))return PO(o.symbol),!0;const y=!!l1(eF(o,0),16777216);if(!Zy())return PO(o.symbol),!0;_.parameterInitializerContainsUndefined??(_.parameterInitializerContainsUndefined=y)}return _.parameterInitializerContainsUndefined}function iYt(o,_){return we&&_.kind===169&&_.initializer&&l1(o,16777216)&&!tYt(_)?Qg(o,524288):o}function nYt(o,_){const y=_.parent;return y.kind===211||y.kind===166||y.kind===213&&y.expression===_||y.kind===214&&y.expression===_||y.kind===212&&y.expression===_&&!(dg(o,egt)&&IN(Kf(y.argumentExpression)))}function Ymt(o){return o.flags&2097152?Ft(o.types,Ymt):!!(o.flags&465829888&&iv(o).flags&1146880)}function egt(o){return o.flags&2097152?Ft(o.types,egt):!!(o.flags&465829888&&!Au(iv(o),98304))}function rYt(o,_){const y=(ot(o)||Nr(o)||wl(o))&&!((z1(o.parent)||PI(o.parent))&&o.parent.tagName===o)&&(_&&_&32?g_(o,8):g_(o,void 0));return y&&!GA(y)}function a7e(o,_,y){return HO(o)&&(o=o.baseType),!(y&&y&2)&&dg(o,Ymt)&&(nYt(o,_)||rYt(_,y))?eu(o,iv):o}function tgt(o){return!!Qi(o,_=>{const y=_.parent;return y===void 0?"quit":Il(y)?y.expression===_&&pl(_):vh(y)?y.name===_||y.propertyName===_:!1})}function t4(o,_,y,S){if(dt&&!(o.flags&33554432&&!O_(o)&&!Lo(o)))switch(_){case 1:return zme(o);case 2:return igt(o,y,S);case 3:return ngt(o);case 4:return c7e(o);case 5:return rgt(o);case 6:return sgt(o);case 7:return ogt(o);case 8:return agt(o);case 0:{if(ot(o)&&(F0(o)||lh(o.parent)||Wd(o.parent)&&o.parent.moduleReference===o)&&dgt(o)){if(zW(o.parent)&&(Nr(o.parent)?o.parent.expression:o.parent.left)!==o)return;zme(o);return}if(zW(o)){let D=o;for(;zW(D);){if(N1(D))return;D=D.parent}return igt(o)}return Il(o)?ngt(o):oh(o)||AI(o)?c7e(o):Wd(o)?gI(o)||Tge(o)?sgt(o):void 0:vh(o)?ogt(o):((Qc(o)||W1(o))&&rgt(o),!Q.emitDecoratorMetadata||!uD(o)||!lm(o)||!o.modifiers||!lV(pe,o,o.parent,o.parent.parent)?void 0:agt(o))}default:j.assertNever(_,`Unhandled reference hint: ${_}`)}}function zme(o){const _=Lh(o);_&&_!==ut&&_!==pt&&!GT(o)&&jee(_,o)}function igt(o,_,y){const S=Nr(o)?o.expression:o.left;if(ix(S)||!ot(S))return;const D=Lh(S);if(!D||D===pt)return;if(Ag(Q)||sx(Q)&&tgt(o)){jee(D,o);return}const L=y||ju(S);if(Nc(L)||L===Xn){jee(D,o);return}let B=_;if(!B&&!y){const K=Nr(o)?o.name:o.right,ne=Vs(K)&&Xee(K.escapedText,K),ce=Kk(o),ge=Kh(ce!==0||I7e(o)?lp(L):L);B=Vs(K)?ne&&nge(ge,ne)||void 0:Ta(ge,K.escapedText)}B&&(_U(B)||B.flags&8&&o.parent.kind===306)||jee(D,o)}function ngt(o){if(ot(o.expression)){const _=o.expression,y=Rp(nu(_,-1,!0,!0,o));y&&jee(y,_)}}function c7e(o){if(!w7e(o)){const _=lc&&Q.jsx===2?k.Cannot_find_name_0:void 0,y=Gy(o),S=oh(o)?o.tagName:o;let D;if(AI(o)&&y==="null"||(D=_i(S,y,111551,_,!0)),D&&(D.isReferenced=-1,dt&&D.flags&2097152&&!ey(D)&&Ume(D)),AI(o)){const L=_n(o),B=kA(L);B&&_i(S,B,111551,_,!0)}}}function rgt(o){if(re<2&&nd(o)&2){const _=wp(o);sYt(_)}}function sgt(o){Zr(o,32)&&cgt(o)}function ogt(o){if(!o.parent.parent.moduleSpecifier&&!o.isTypeOnly&&!o.parent.parent.isTypeOnly){const _=o.propertyName||o.name;if(_.kind===11)return;const y=_i(_,_.escapedText,2998271,void 0,!0);if(!(y&&(y===et||y===Me||y.declarations&&cS(bN(y.declarations[0]))))){const S=y&&(y.flags&2097152?$f(y):y);(!S||Eh(S)&111551)&&(cgt(o),zme(_))}return}}function agt(o){if(Q.emitDecoratorMetadata){const _=Fe(o.modifiers,Fd);if(!_)return;switch(zd(_,16),o.kind){case 263:const y=Vv(o);if(y)for(const B of y.parameters)GO(bge(B));break;case 177:case 178:const S=o.kind===177?178:177,D=ql(xr(o),S);GO(CN(o)||D&&CN(D));break;case 174:for(const B of o.parameters)GO(bge(B));GO(wp(o));break;case 172:GO(dd(o));break;case 169:GO(bge(o));const L=o.parent;for(const B of L.parameters)GO(bge(B));GO(wp(L));break}}}function jee(o,_){if(dt&&PD(o,111551)&&!vP(_)){const y=$f(o);Eh(o,!0)&1160127&&(Ag(Q)||sx(Q)&&tgt(_)||!_U(Rp(y)))&&Ume(o)}}function Ume(o){j.assert(dt);const _=Ys(o);if(!_.referenced){_.referenced=!0;const y=Dh(o);if(!y)return j.fail();if(gI(y)&&Eh(Zu(o))&111551){const S=K_(y.moduleReference);zme(S)}}}function cgt(o){const _=xr(o),y=$f(_);y&&(y===pt||Eh(_,!0)&111551&&!_U(y))&&Ume(_)}function lgt(o,_){if(!o)return;const y=K_(o),S=(o.kind===80?788968:1920)|2097152,D=_i(y,y.escapedText,S,void 0,!0);if(D&&D.flags&2097152){if(dt&&Fp(D)&&!_U($f(D))&&!ey(D))Ume(D);else if(_&&Ag(Q)&&zh(Q)>=5&&!Fp(D)&&!Ft(D.declarations,Kw)){const L=ft(o,k.A_type_referenced_in_a_decorated_signature_must_be_imported_with_import_type_or_a_namespace_import_when_isolatedModules_and_emitDecoratorMetadata_are_enabled),B=Fe(D.declarations||w,Xp);B&&da(L,Kn(B,k._0_was_imported_here,Fr(y)))}}}function sYt(o){lgt(o&&cV(o),!1)}function GO(o){const _=m5e(o);_&&E_(_)&&lgt(_,!0)}function oYt(o,_){var y;const S=Yn(o),D=o.valueDeclaration;if(D){if(ec(D)&&!D.initializer&&!D.dotDotDotToken&&D.parent.elements.length>=2){const L=D.parent.parent,B=A1(L);if(B.kind===260&&oE(B)&6||B.kind===169){const K=yr(L);if(!(K.flags&4194304)){K.flags|=4194304;const ne=Ke(L,0),ce=ne&&eu(ne,iv);if(K.flags&=-4194305,ce&&ce.flags&1048576&&!(B.kind===169&&o7e(B))){const ge=D.parent,je=LC(ge,ce,ce,void 0,_.flowNode);return je.flags&131072?Ai:$c(D,je,!0)}}}}if($s(D)&&!D.type&&!D.initializer&&!D.dotDotDotToken){const L=D.parent;if(L.parameters.length>=2&&pme(L)){const B=Qz(L);if(B&&B.parameters.length===1&&Ch(B)){const K=H5(io(Yn(B.parameters[0]),(y=tE(L))==null?void 0:y.nonFixingMapper));if(K.flags&1048576&&up(K,Qa)&&!Ft(L.parameters,o7e)){const ne=LC(L,K,K,void 0,_.flowNode),ce=L.parameters.indexOf(D)-($T(L)?1:0);return ap(ne,v0(ce))}}}}}return S}function ugt(o,_){if(GT(o))return;if(_===ut){if(P7e(o)){ft(o,k.arguments_cannot_be_referenced_in_property_initializers);return}let L=Up(o);if(L)for(re<2&&(L.kind===219?ft(o,k.The_arguments_object_cannot_be_referenced_in_an_arrow_function_in_ES5_Consider_using_a_standard_function_expression):Zr(L,1024)&&ft(o,k.The_arguments_object_cannot_be_referenced_in_an_async_function_or_method_in_ES5_Consider_using_a_standard_function_or_method)),yr(L).flags|=512;L&&xl(L);)L=Up(L),L&&(yr(L).flags|=512);return}const y=Rp(_),S=I5e(y,o);_C(S)&&o8e(o,S)&&S.declarations&&pC(o,S.declarations,o.escapedText);const D=y.valueDeclaration;if(D&&y.flags&32&&ss(D)&&D.name!==o){let L=Hh(o,!1,!1);for(;L.kind!==307&&L.parent!==D;)L=Hh(L,!1,!1);L.kind!==307&&(yr(D).flags|=262144,yr(L).flags|=262144,yr(o).flags|=536870912)}dYt(o,_)}function aYt(o,_){if(GT(o))return zee(o);const y=Lh(o);if(y===pt)return vt;if(ugt(o,y),y===ut)return P7e(o)?vt:Yn(y);dgt(o)&&t4(o,1);const S=Rp(y);let D=S.valueDeclaration;if(D&&D.kind===208&&Yt(nb,D.parent)&&Qi(o,Qn=>Qn===D.parent))return ji;let L=oYt(S,o);const B=Kk(o);if(B){if(!(S.flags&3)&&!(nr(o)&&S.flags&512)){const Qn=S.flags&384?k.Cannot_assign_to_0_because_it_is_an_enum:S.flags&32?k.Cannot_assign_to_0_because_it_is_a_class:S.flags&1536?k.Cannot_assign_to_0_because_it_is_a_namespace:S.flags&16?k.Cannot_assign_to_0_because_it_is_a_function:S.flags&2097152?k.Cannot_assign_to_0_because_it_is_an_import:k.Cannot_assign_to_0_because_it_is_not_a_variable;return ft(o,Qn,eo(y)),vt}if(d1(S))return S.flags&3?ft(o,k.Cannot_assign_to_0_because_it_is_a_constant,eo(y)):ft(o,k.Cannot_assign_to_0_because_it_is_a_read_only_property,eo(y)),vt}const K=S.flags&2097152;if(S.flags&3){if(B===1)return qae(o)?DC(L):L}else if(K)D=Dh(y);else return L;if(!D)return L;L=a7e(L,o,_);const ne=A1(D).kind===169,ce=Gz(D);let ge=Gz(o);const je=ge!==ce,Be=o.parent&&o.parent.parent&&Kv(o.parent)&&n7e(o.parent.parent),kt=y.flags&134217728,Vt=L===Rt||L===Pc,Kt=Vt&&o.parent.kind===235;for(;ge!==ce&&(ge.kind===218||ge.kind===219||zG(ge))&&(e4(S)&&L!==Pc||Kz(S)&&Xmt(S,o));)ge=Gz(ge);const Oi=ne||K||je||Be||kt||cYt(o,D)||L!==Rt&&L!==Pc&&(!we||(L.flags&16387)!==0||vP(o)||Q8e(o)||o.parent.kind===281)||o.parent.kind===235||D.kind===260&&D.exclamationToken||D.flags&33554432,Ki=Kt?tt:Oi?ne?iYt(L,D):L:Vt?tt:Zx(L),qn=Kt?EC(LC(o,L,Ki,ge)):LC(o,L,Ki,ge);if(!Gmt(o)&&(L===Rt||L===Pc)){if(qn===Rt||qn===Pc)return De&&(ft(No(D),k.Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined,eo(y),Hn(qn)),ft(o,k.Variable_0_implicitly_has_an_1_type,eo(y),Hn(qn))),fU(qn)}else if(!Oi&&!UO(L)&&UO(qn))return ft(o,k.Variable_0_is_used_before_being_assigned,eo(y)),L;return B?DC(qn):qn}function cYt(o,_){if(ec(_)){const y=Qi(o,ec);return y&&A1(y)===A1(_)}}function dgt(o){var _;const y=o.parent;if(y){if(Nr(y)&&y.expression===o||vh(y)&&y.isTypeOnly)return!1;const S=(_=y.parent)==null?void 0:_.parent;if(S&&Ju(S)&&S.isTypeOnly)return!1}return!0}function lYt(o,_){return!!Qi(o,y=>y===_?"quit":Ho(y)||y.parent&&Lo(y.parent)&&!bd(y.parent)&&y.parent.initializer===y)}function uYt(o,_){return Qi(o,y=>y===_?"quit":y===_.initializer||y===_.condition||y===_.incrementor||y===_.statement)}function l7e(o){return Qi(o,_=>!_||ece(_)?"quit":Zw(_,!1))}function dYt(o,_){if(re>=2||!(_.flags&34)||!_.valueDeclaration||Os(_.valueDeclaration)||_.valueDeclaration.parent.kind===299)return;const y=R0(_.valueDeclaration),S=lYt(o,y),D=l7e(y);if(D){if(S){let L=!0;if(s2(y)){const B=Xk(_.valueDeclaration,261);if(B&&B.parent===y){const K=uYt(o.parent,y);if(K){const ne=yr(K);ne.flags|=8192;const ce=ne.capturedBlockScopeBindings||(ne.capturedBlockScopeBindings=[]);mu(ce,_),K===y.initializer&&(L=!1)}}}L&&(yr(D).flags|=4096)}if(s2(y)){const L=Xk(_.valueDeclaration,261);L&&L.parent===y&&hYt(o,y)&&(yr(_.valueDeclaration).flags|=65536)}yr(_.valueDeclaration).flags|=32768}S&&(yr(_.valueDeclaration).flags|=16384)}function fYt(o,_){const y=yr(o);return!!y&&Yt(y.capturedBlockScopeBindings,xr(_))}function hYt(o,_){let y=o;for(;y.parent.kind===217;)y=y.parent;let S=!1;if(fS(y))S=!0;else if(y.parent.kind===224||y.parent.kind===225){const D=y.parent;S=D.operator===46||D.operator===47}return S?!!Qi(y,D=>D===_?"quit":D===_.statement):!1}function u7e(o,_){if(yr(o).flags|=2,_.kind===172||_.kind===176){const y=_.parent;yr(y).flags|=4}else yr(_).flags|=4}function fgt(o){return mI(o)?o:Ho(o)?void 0:Uo(o,fgt)}function d7e(o){const _=xr(o),y=gl(_);return ys(y)===lt}function hgt(o,_,y){const S=_.parent;qT(S)&&!d7e(S)&&wV(o)&&o.flowNode&&!Hme(o.flowNode,!1)&&ft(o,y)}function _Yt(o,_){Lo(_)&&bd(_)&&pe&&_.initializer&&NW(_.initializer,o.pos)&&lm(_.parent)&&ft(o,k.Cannot_use_this_in_a_static_property_initializer_of_a_decorated_class)}function zee(o){const _=vP(o);let y=Hh(o,!0,!0),S=!1,D=!1;for(y.kind===176&&hgt(o,y,k.super_must_be_called_before_accessing_this_in_the_constructor_of_a_derived_class);;){if(y.kind===219&&(y=Hh(y,!1,!D),S=!0),y.kind===167){y=Hh(y,!S,!1),D=!0;continue}break}if(_Yt(o,y),D)ft(o,k.this_cannot_be_referenced_in_a_computed_property_name);else switch(y.kind){case 267:ft(o,k.this_cannot_be_referenced_in_a_module_or_namespace_body);break;case 266:ft(o,k.this_cannot_be_referenced_in_current_location);break}!_&&S&&re<2&&u7e(o,y);const L=f7e(o,!0,y);if(qe){const B=Yn(Me);if(L===B&&S)ft(o,k.The_containing_arrow_function_captures_the_global_value_of_this);else if(!L){const K=ft(o,k.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation);if(!Os(y)){const ne=f7e(y);ne&&ne!==B&&da(K,Kn(y,k.An_outer_value_of_this_is_shadowed_by_this_container))}}}return L||Je}function f7e(o,_=!0,y=Hh(o,!1,!1)){const S=nr(o);if(Ho(y)&&(!p7e(o)||$T(y))){let D=hRe(y)||S&&gYt(y);if(!D){const L=mYt(y);if(S&&L){const B=uo(L).symbol;B&&B.members&&B.flags&16&&(D=gl(B).thisType)}else dy(y)&&(D=gl(wc(y.symbol)).thisType);D||(D=h7e(y))}if(D)return LC(o,D)}if(ss(y.parent)){const D=xr(y.parent),L=pa(y)?Yn(D):gl(D).thisType;return LC(o,L)}if(Os(y))if(y.commonJsModuleIndicator){const D=xr(y);return D&&Yn(D)}else{if(y.externalModuleIndicator)return tt;if(_)return Yn(Me)}}function pYt(o){const _=Hh(o,!1,!1);if(Ho(_)){const y=Dm(_);if(y.thisParameter)return Wme(y.thisParameter)}if(ss(_.parent)){const y=xr(_.parent);return pa(_)?Yn(y):gl(y).thisType}}function mYt(o){if(o.kind===218&&ur(o.parent)&&Bu(o.parent)===3)return o.parent.left.expression.expression;if(o.kind===174&&o.parent.kind===210&&ur(o.parent.parent)&&Bu(o.parent.parent)===6)return o.parent.parent.left.expression;if(o.kind===218&&o.parent.kind===303&&o.parent.parent.kind===210&&ur(o.parent.parent.parent)&&Bu(o.parent.parent.parent)===6)return o.parent.parent.parent.left.expression;if(o.kind===218&&_d(o.parent)&&ot(o.parent.name)&&(o.parent.name.escapedText==="value"||o.parent.name.escapedText==="get"||o.parent.name.escapedText==="set")&&Aa(o.parent.parent)&&la(o.parent.parent.parent)&&o.parent.parent.parent.arguments[2]===o.parent.parent&&Bu(o.parent.parent.parent)===9)return o.parent.parent.parent.arguments[0].expression;if(Nu(o)&&ot(o.name)&&(o.name.escapedText==="value"||o.name.escapedText==="get"||o.name.escapedText==="set")&&Aa(o.parent)&&la(o.parent.parent)&&o.parent.parent.arguments[2]===o.parent&&Bu(o.parent.parent)===9)return o.parent.parent.arguments[0].expression}function gYt(o){const _=cG(o);if(_&&_.typeExpression)return Bs(_.typeExpression);const y=j5(o);if(y)return j2(y)}function yYt(o,_){return!!Qi(o,y=>Qc(y)?"quit":y.kind===169&&y.parent===_)}function qme(o){const _=o.parent.kind===213&&o.parent.expression===o,y=oV(o,!0);let S=y,D=!1,L=!1;if(!_){for(;S&&S.kind===219;)Zr(S,1024)&&(L=!0),S=oV(S,!0),D=re<2;S&&Zr(S,1024)&&(L=!0)}let B=0;if(!S||!ge(S)){const je=Qi(o,Be=>Be===S?"quit":Be.kind===167);return je&&je.kind===167?ft(o,k.super_cannot_be_referenced_in_a_computed_property_name):_?ft(o,k.Super_calls_are_not_permitted_outside_constructors_or_in_nested_functions_inside_constructors):!S||!S.parent||!(ss(S.parent)||S.parent.kind===210)?ft(o,k.super_can_only_be_referenced_in_members_of_derived_classes_or_object_literal_expressions):ft(o,k.super_property_access_is_permitted_only_in_a_constructor_member_function_or_member_accessor_of_a_derived_class),vt}if(!_&&y.kind===176&&hgt(o,S,k.super_must_be_called_before_accessing_a_property_of_super_in_the_constructor_of_a_derived_class),pa(S)||_?(B=32,!_&&re>=2&&re<=8&&(Lo(S)||gu(S))&&rTe(o.parent,je=>{(!Os(je)||Cp(je))&&(yr(je).flags|=2097152)})):B=16,yr(o).flags|=B,S.kind===174&&L&&(G_(o.parent)&&fS(o.parent)?yr(S).flags|=256:yr(S).flags|=128),D&&u7e(o.parent,S),S.parent.kind===210)return re<2?(ft(o,k.super_is_only_allowed_in_members_of_object_literal_expressions_when_option_target_is_ES2015_or_higher),vt):Je;const K=S.parent;if(!qT(K))return ft(o,k.super_can_only_be_referenced_in_a_derived_class),vt;if(d7e(K))return _?vt:lt;const ne=gl(xr(K)),ce=ne&&Ll(ne)[0];if(!ce)return vt;if(S.kind===176&&yYt(o,S))return ft(o,k.super_cannot_be_referenced_in_constructor_arguments),vt;return B===32?ys(ne):Wp(ce,ne.thisType);function ge(je){return _?je.kind===176:ss(je.parent)||je.parent.kind===210?pa(je)?je.kind===174||je.kind===173||je.kind===177||je.kind===178||je.kind===172||je.kind===175:je.kind===174||je.kind===173||je.kind===177||je.kind===178||je.kind===172||je.kind===171||je.kind===176:!1}}function _gt(o){return(o.kind===174||o.kind===177||o.kind===178)&&o.parent.kind===210?o.parent:o.kind===218&&o.parent.kind===303?o.parent.parent:void 0}function pgt(o){return Or(o)&4&&o.target===Hd?Pl(o)[0]:void 0}function vYt(o){return eu(o,_=>_.flags&2097152?O(_.types,pgt):pgt(_))}function mgt(o,_){let y=o,S=_;for(;S;){const D=vYt(S);if(D)return D;if(y.parent.kind!==303)break;y=y.parent.parent,S=X2(y,void 0)}}function h7e(o){if(o.kind===219)return;if(pme(o)){const y=Qz(o);if(y){const S=y.thisParameter;if(S)return Yn(S)}}const _=nr(o);if(qe||_){const y=_gt(o);if(y){const D=X2(y,void 0),L=mgt(y,D);return L?io(L,j8e(tE(y))):lp(D?EC(D):ju(y))}const S=By(o.parent);if(lf(S)){const D=S.left;if(Sl(D)){const{expression:L}=D;if(_&&ot(L)){const B=_n(S);if(B.commonJsModuleIndicator&&Lh(L)===B.symbol)return}return lp(ju(L))}}}}function ggt(o){const _=o.parent;if(!pme(_))return;const y=VT(_);if(y&&y.arguments){const D=age(y),L=_.parameters.indexOf(o);if(o.dotDotDotToken)return V7e(D,L,D.length,Je,void 0,0);const B=yr(y),K=B.resolvedSignature;B.resolvedSignature=ci;const ne=L<D.length?J2(uo(D[L])):o.initializer?void 0:Gt;return B.resolvedSignature=K,ne}const S=Qz(_);if(S){const D=_.parameters.indexOf(o)-($T(_)?1:0);return o.dotDotDotToken&&rl(_.parameters)===o?ste(S,D):nE(S,D)}}function _7e(o,_){const y=dd(o)||(nr(o)?rX(o):void 0);if(y)return Bs(y);switch(o.kind){case 169:return ggt(o);case 208:return bYt(o,_);case 172:if(pa(o))return CYt(o,_)}}function bYt(o,_){const y=o.parent.parent,S=o.propertyName||o.name,D=_7e(y,_)||y.kind!==208&&y.initializer&&eF(y,o.dotDotDotToken?32:0);if(!D||ta(S)||YW(S))return;if(y.name.kind===207){const B=U6(o.parent.elements,o);return B<0?void 0:y7e(D,B)}const L=xC(S);if(Jm(L)){const B=Gm(L);return Yu(D,B)}}function CYt(o,_){const y=jt(o.parent)&&g_(o.parent,_);if(y)return K2(y,xr(o).escapedName)}function SYt(o,_){const y=o.parent;if(aS(y)&&o===y.initializer){const S=_7e(y,_);if(S)return S;if(!(_&8)&&ta(y.name)&&y.name.elements.length>0)return bi(y.name,!0,!1)}}function wYt(o,_){const y=Up(o);if(y){let S=$me(y,_);if(S){const D=nd(y);if(D&1){const L=(D&2)!==0;S.flags&1048576&&(S=ad(S,K=>!!rE(1,K,L)));const B=rE(1,S,(D&2)!==0);if(!B)return;S=B}if(D&2){const L=eu(S,tw);return L&&is([L,P0t(L)])}return S}}}function xYt(o,_){const y=g_(o,_);if(y){const S=tw(y);return S&&is([S,P0t(S)])}}function kYt(o,_){const y=Up(o);if(y){const S=nd(y);let D=$me(y,_);if(D){const L=(S&2)!==0;if(!o.asteriskToken&&D.flags&1048576&&(D=ad(D,B=>!!rE(1,B,L))),o.asteriskToken){const B=D5e(D,L),K=(B==null?void 0:B.yieldType)??Xn,ne=g_(o,_)??Xn,ce=(B==null?void 0:B.nextType)??te,ge=fge(K,ne,ce,!1);if(L){const je=fge(K,ne,ce,!0);return is([ge,je])}return ge}return rE(0,D,L)}}}function p7e(o){let _=!1;for(;o.parent&&!Ho(o.parent);){if($s(o.parent)&&(_||o.parent.initializer===o))return!0;ec(o.parent)&&o.parent.initializer===o&&(_=!0),o=o.parent}return!1}function ygt(o,_){const y=!!(nd(_)&2),S=$me(_,void 0);if(S)return rE(o,S,y)||void 0}function $me(o,_){const y=BO(o);if(y)return y;const S=b7e(o);if(S&&!Qpe(S)){const L=Oc(S),B=nd(o);return B&1?ad(L,K=>!!(K.flags&58998787)||d5e(K,B,void 0)):B&2?ad(L,K=>!!(K.flags&58998787)||!!nF(K)):L}const D=VT(o);if(D)return g_(D,_)}function vgt(o,_){const S=age(o).indexOf(_);return S===-1?void 0:m7e(o,S)}function m7e(o,_){if(zp(o))return _===0?st:_===1?Q_t(!1):Je;const y=yr(o).resolvedSignature===Rn?Rn:QO(o);if(oh(o)&&_===0)return Xme(y,o);const S=y.parameters.length-1;return Ch(y)&&_>=S?ap(Yn(y.parameters[S]),v0(_-S),256):u1(y,_)}function TYt(o){const _=e5e(o);return _?kN(_):void 0}function DYt(o,_){if(o.parent.kind===215)return vgt(o.parent,_)}function EYt(o,_){const y=o.parent,{left:S,operatorToken:D,right:L}=y;switch(D.kind){case 64:case 77:case 76:case 78:return o===L?NYt(y):void 0;case 57:case 61:const B=g_(y,_);return o===L&&(B&&B.pattern||!B&&!ETe(y))?Kf(S):B;case 56:case 28:return o===L?g_(y,_):void 0;default:return}}function IYt(o){if(M0(o)&&o.symbol)return o.symbol;if(ot(o))return Lh(o);if(Nr(o)){const y=Kf(o.expression);return Vs(o.name)?_(y,o.name):Ta(y,o.name.escapedText)}if(wl(o)){const y=ju(o.argumentExpression);if(!Jm(y))return;const S=Kf(o.expression);return Ta(S,Gm(y))}return;function _(y,S){const D=Xee(S.escapedText,S);return D&&nge(y,D)}}function NYt(o){var _,y;const S=Bu(o);switch(S){case 0:case 4:const D=IYt(o.left),L=D&&D.valueDeclaration;if(L&&(Lo(L)||O_(L))){const ne=dd(L);return ne&&io(Bs(ne),Ys(D).mapper)||(Lo(L)?L.initializer&&Kf(o.left):void 0)}return S===0?Kf(o.left):bgt(o);case 5:if(Jme(o,S))return bgt(o);if(!M0(o.left)||!o.left.symbol)return Kf(o.left);{const ne=o.left.symbol.valueDeclaration;if(!ne)return;const ce=ha(o.left,Sl),ge=dd(ne);if(ge)return Bs(ge);if(ot(ce.expression)){const je=ce.expression,Be=_i(je,je.escapedText,111551,void 0,!0);if(Be){const kt=Be.valueDeclaration&&dd(Be.valueDeclaration);if(kt){const Vt=$b(ce);if(Vt!==void 0)return K2(Bs(kt),Vt)}return}}return nr(ne)||ne===o.left?void 0:Kf(o.left)}case 1:case 6:case 3:case 2:let B;S!==2&&(B=M0(o.left)?(_=o.left.symbol)==null?void 0:_.valueDeclaration:void 0),B||(B=(y=o.symbol)==null?void 0:y.valueDeclaration);const K=B&&dd(B);return K?Bs(K):void 0;case 7:case 8:case 9:return j.fail("Does not apply");default:return j.assertNever(S)}}function Jme(o,_=Bu(o)){if(_===4)return!0;if(!nr(o)||_!==5||!ot(o.left.expression))return!1;const y=o.left.expression.escapedText,S=_i(o.left,y,111551,void 0,!0,!0);return GG(S==null?void 0:S.valueDeclaration)}function bgt(o){if(!o.symbol)return Kf(o.left);if(o.symbol.valueDeclaration){const D=dd(o.symbol.valueDeclaration);if(D){const L=Bs(D);if(L)return L}}const _=ha(o.left,Sl);if(!Ig(Hh(_.expression,!1,!1)))return;const y=zee(_.expression),S=$b(_);return S!==void 0&&K2(y,S)||void 0}function LYt(o){return!!(Iu(o)&262144&&!o.links.type&&Hx(o,0)>=0)}function K2(o,_,y){return eu(o,S=>{var D;if(op(S)&&nee(S)!==2){const L=Tm(S),B=Jf(L)||L,K=y||V_(Ws(_));if(Da(K,B))return cme(S,K)}else if(S.flags&3670016){const L=Ta(S,_);if(L)return LYt(L)?void 0:IC(Yn(L),!!(L.flags&16777216));if(Qa(S)&&$v(_)&&+_>=0){const B=K5(S,S.target.fixedLength,0,!1,!0);if(B)return B}return(D=NRe(LRe(S),y||V_(Ws(_))))==null?void 0:D.type}},!0)}function Cgt(o,_){if(j.assert(Ig(o)),!(o.flags&67108864))return g7e(o,_)}function g7e(o,_){const y=o.parent,S=_d(o)&&_7e(o,_);if(S)return S;const D=X2(y,_);if(D){if(RO(o)){const L=xr(o);return K2(D,L.escapedName,Ys(L).nameType)}if(Jb(o)){const L=No(o);if(L&&Ka(L)){const B=uo(L.expression),K=Jm(B)&&K2(D,Gm(B));if(K)return K}}if(o.name){const L=xC(o.name);return eu(D,B=>{var K;return(K=NRe(LRe(B),L))==null?void 0:K.type},!0)}}}function PYt(o){let _,y;for(let S=0;S<o.length;S++)Km(o[S])&&(_??(_=S),y=S);return{first:_,last:y}}function y7e(o,_,y,S,D){return o&&eu(o,L=>{if(Qa(L)){if((S===void 0||_<S)&&_<L.target.fixedLength)return IC(Pl(L)[_],!!L.target.elementFlags[_]);const B=y!==void 0&&(D===void 0||_>D)?y-_:0,K=B>0&&L.target.combinedFlags&12?Pz(L.target,3):0;return B>0&&B<=K?Pl(L)[QS(L)-B]:K5(L,S===void 0?L.target.fixedLength:Math.min(L.target.fixedLength,S),y===void 0||D===void 0?K:Math.min(K,y-D),!1,!0)}return(!S||_<S)&&K2(L,""+_)||S5e(1,L,tt,void 0,!1)},!0)}function AYt(o,_){const y=o.parent;return o===y.whenTrue||o===y.whenFalse?g_(y,_):void 0}function OYt(o,_,y){const S=X2(o.openingElement.attributes,y),D=Jee(BN(o));if(!(S&&!Nc(S)&&D&&D!==""))return;const L=r3(o.children),B=L.indexOf(_),K=K2(S,D);return K&&(L.length===1?K:eu(K,ne=>YS(ne)?ap(ne,v0(B)):ne,!0))}function MYt(o,_){const y=o.parent;return bG(y)?g_(o,_):Gv(y)?OYt(y,o,_):void 0}function Sgt(o,_){if(U1(o)){const y=X2(o.parent,_);return!y||Nc(y)?void 0:K2(y,u7(o.name))}else return g_(o.parent,_)}function Uee(o){switch(o.kind){case 11:case 9:case 10:case 15:case 228:case 112:case 97:case 106:case 80:case 157:return!0;case 211:case 217:return Uee(o.expression);case 294:return!o.expression||Uee(o.expression)}return!1}function RYt(o,_){const y=`D${Sc(o)},${kf(_)}`;return Q0(y)??RS(y,TZt(_,o)??T8e(_,Js(qt($t(o.properties,S=>S.symbol?S.kind===303?Uee(S.initializer)&&X5(_,S.symbol.escapedName):S.kind===304?X5(_,S.symbol.escapedName):!1:!1),S=>[()=>dte(S.kind===303?S.initializer:S.name),S.symbol.escapedName]),qt($t(Ac(_),S=>{var D;return!!(S.flags&16777216)&&!!((D=o==null?void 0:o.symbol)!=null&&D.members)&&!o.symbol.members.has(S.escapedName)&&X5(_,S.escapedName)}),S=>[()=>tt,S.escapedName])),Da))}function FYt(o,_){const y=`D${Sc(o)},${kf(_)}`,S=Q0(y);if(S)return S;const D=Jee(BN(o));return RS(y,T8e(_,Js(qt($t(o.properties,L=>!!L.symbol&&L.kind===291&&X5(_,L.symbol.escapedName)&&(!L.initializer||Uee(L.initializer))),L=>[L.initializer?()=>dte(L.initializer):()=>Pt,L.symbol.escapedName]),qt($t(Ac(_),L=>{var B;if(!(L.flags&16777216)||!((B=o==null?void 0:o.symbol)!=null&&B.members))return!1;const K=o.parent.parent;return L.escapedName===D&&Gv(K)&&r3(K.children).length?!1:!o.symbol.members.has(L.escapedName)&&X5(_,L.escapedName)}),L=>[()=>tt,L.escapedName])),Da))}function X2(o,_){const y=Ig(o)?Cgt(o,_):g_(o,_),S=Gme(y,o,_);if(S&&!(_&&_&2&&S.flags&8650752)){const D=eu(S,L=>Or(L)&32?L:Kh(L),!0);return D.flags&1048576&&Aa(o)?RYt(o,D):D.flags&1048576&&cD(o)?FYt(o,D):D}}function Gme(o,_,y){if(o&&Au(o,465829888)){const S=tE(_);if(S&&y&1&&Ft(S.inferences,kii))return Kme(o,S.nonFixingMapper);if(S!=null&&S.returnMapper){const D=Kme(o,S.returnMapper);return D.flags&1048576&&mb(D.types,Un)&&mb(D.types,Bt)?ad(D,L=>L!==Un&&L!==Bt):D}}return o}function Kme(o,_){return o.flags&465829888?io(o,_):o.flags&1048576?is(qt(o.types,y=>Kme(y,_)),0):o.flags&2097152?Wa(qt(o.types,y=>Kme(y,_))):o}function g_(o,_){var y;if(o.flags&67108864)return;const S=xgt(o,!_);if(S>=0)return dC[S];const{parent:D}=o;switch(D.kind){case 260:case 169:case 172:case 171:case 208:return SYt(o,_);case 219:case 253:return wYt(o,_);case 229:return kYt(D,_);case 223:return xYt(D,_);case 213:case 214:return vgt(D,o);case 170:return TYt(D);case 216:case 234:return O0(D.type)?g_(D,_):Bs(D.type);case 226:return EYt(o,_);case 303:case 304:return g7e(D,_);case 305:return g_(D.parent,_);case 209:{const L=D,B=X2(L,_),K=U6(L.elements,o),ne=(y=yr(L)).spreadIndices??(y.spreadIndices=PYt(L.elements));return y7e(B,K,L.elements.length,ne.first,ne.last)}case 227:return AYt(o,_);case 239:return j.assert(D.parent.kind===228),DYt(D.parent,o);case 217:{if(nr(D)){if(Kce(D))return Bs(Xce(D));const L=Fk(D);if(L&&!O0(L.typeExpression.type))return Bs(L.typeExpression.type)}return g_(D,_)}case 235:return g_(D,_);case 238:return Bs(D.type);case 277:return km(D);case 294:return MYt(D,_);case 291:case 293:return Sgt(D,_);case 286:case 285:return HYt(D,_);case 301:return VYt(D)}}function wgt(o){qee(o,g_(o,void 0),!0)}function qee(o,_,y){Ug[Jy]=o,dC[Jy]=_,k2[Jy]=y,Jy++}function Xz(){Jy--}function xgt(o,_){for(let y=Jy-1;y>=0;y--)if(o===Ug[y]&&(_||!k2[y]))return y;return-1}function BYt(o,_){T2[it]=o,xx[it]=_,it++}function WYt(){it--}function tE(o){for(let _=it-1;_>=0;_--)if(UT(o,T2[_]))return xx[_]}function VYt(o){return K2($Re(!1),sX(o))}function HYt(o,_){if(z1(o)&&_!==4){const y=xgt(o.parent,!_);if(y>=0)return dC[y]}return m7e(o,0)}function Xme(o,_){return a0t(_)!==0?jYt(o,_):qYt(o,_)}function jYt(o,_){let y=Z7e(o,te);y=kgt(_,BN(_),y);const S=iE(hm.IntrinsicAttributes,_);return fe(S)||(y=tee(S,y)),y}function zYt(o,_){if(o.compositeSignatures){const S=[];for(const D of o.compositeSignatures){const L=Oc(D);if(Nc(L))return L;const B=Yu(L,_);if(!B)return;S.push(B)}return Wa(S)}const y=Oc(o);return Nc(y)?y:Yu(y,_)}function UYt(o){if(n4(o.tagName)){const y=Ogt(o),S=cge(o,y);return kN(S)}const _=ju(o.tagName);if(_.flags&128){const y=Agt(_,o);if(!y)return vt;const S=cge(o,y);return kN(S)}return _}function kgt(o,_,y){const S=hei(_);if(S){const D=UYt(o),L=Fgt(S,nr(o),D,y);if(L)return L}return y}function qYt(o,_){const y=BN(_),S=pei(y);let D=S===void 0?Z7e(o,te):S===""?Oc(o):zYt(o,S);if(!D)return S&&P(_.attributes.properties)&&ft(_,k.JSX_element_class_does_not_support_attributes_because_it_does_not_have_a_0_property,Ws(S)),te;if(D=kgt(_,y,D),Nc(D))return D;{let L=D;const B=iE(hm.IntrinsicClassAttributes,_);if(!fe(B)){const ne=y0(B.symbol),ce=Oc(o);let ge;if(ne){const je=$x([ce],ne,sy(ne),nr(_));ge=io(B,cp(ne,je))}else ge=B;L=tee(ge,L)}const K=iE(hm.IntrinsicAttributes,_);return fe(K)||(L=tee(K,L)),L}}function $Yt(o){return ah(Q,"noImplicitAny")?oi(o,(_,y)=>_===y||!_?_:d_t(_.typeParameters,y.typeParameters)?KYt(_,y):void 0):void 0}function JYt(o,_,y){if(!o||!_)return o||_;const S=is([Yn(o),io(Yn(_),y)]);return PN(o,S)}function GYt(o,_,y){const S=dp(o),D=dp(_),L=S>=D?o:_,B=L===o?_:o,K=L===o?S:D,ne=ov(o)||ov(_),ce=ne&&!ov(L),ge=new Array(K+(ce?1:0));for(let je=0;je<K;je++){let Be=nE(L,je);L===_&&(Be=io(Be,y));let kt=nE(B,je)||te;B===_&&(kt=io(kt,y));const Vt=is([Be,kt]),Kt=ne&&!ce&&je===K-1,Oi=je>=fy(L)&&je>=fy(B),Ki=je>=S?void 0:Y5(o,je),qn=je>=D?void 0:Y5(_,je),Qn=Ki===qn?Ki:Ki?qn?void 0:Ki:qn,rn=$a(1|(Oi&&!Kt?16777216:0),Qn||`arg${je}`);rn.links.type=Kt?hh(Vt):Vt,ge[je]=rn}if(ce){const je=$a(1,"args");je.links.type=hh(u1(B,K)),B===_&&(je.links.type=io(je.links.type,y)),ge[K]=je}return ge}function KYt(o,_){const y=o.typeParameters||_.typeParameters;let S;o.typeParameters&&_.typeParameters&&(S=cp(_.typeParameters,o.typeParameters));const D=o.declaration,L=GYt(o,_,S),B=JYt(o.thisParameter,_.thisParameter,S),K=Math.max(o.minArgumentCount,_.minArgumentCount),ne=_b(D,y,B,L,void 0,void 0,K,(o.flags|_.flags)&167);return ne.compositeKind=2097152,ne.compositeSignatures=Js(o.compositeKind===2097152&&o.compositeSignatures||[o],[_]),S&&(ne.mapper=o.compositeKind===2097152&&o.mapper&&o.compositeSignatures?ZD(o.mapper,S):S),ne}function v7e(o,_){const y=ia(o,0),S=$t(y,D=>!XYt(D,_));return S.length===1?S[0]:$Yt(S)}function XYt(o,_){let y=0;for(;y<_.parameters.length;y++){const S=_.parameters[y];if(S.initializer||S.questionToken||S.dotDotDotToken||nX(S))break}return _.parameters.length&&JT(_.parameters[0])&&y--,!ov(o)&&dp(o)<y}function b7e(o){return lx(o)||Ig(o)?Qz(o):void 0}function Qz(o){j.assert(o.kind!==174||Ig(o));const _=j5(o);if(_)return _;const y=X2(o,1);if(!y)return;if(!(y.flags&1048576))return v7e(y,o);let S;const D=y.types;for(const L of D){const B=v7e(L,o);if(B)if(!S)S=[B];else if(Eee(S[0],B,!1,!0,!0,Bz))S.push(B);else return}if(S)return S.length===1?S[0]:l_t(S[0],S)}function QYt(o){const _=_n(o);if(!tk(_)&&!o.isUnterminated){let y;h??(h=Ov(99,!0)),h.setScriptTarget(_.languageVersion),h.setLanguageVariant(_.languageVariant),h.setOnError((S,D,L)=>{const B=h.getTokenEnd();if(S.category===3&&y&&B===y.start&&D===y.length){const K=kP(_.fileName,_.text,B,D,S,L);da(y,K)}else(!y||B!==y.start)&&(y=Md(_,B,D,S,L),lc.add(y))}),h.setText(_.text,o.pos,o.end-o.pos);try{return h.scan(),j.assert(h.reScanSlashToken(!0)===14,"Expected scanner to rescan RegularExpressionLiteral"),!!y}finally{h.setText(""),h.setOnError(void 0)}}return!1}function ZYt(o){const _=yr(o);return _.flags&1||(_.flags|=1,a(()=>QYt(o))),Hu}function YYt(o,_){re<2&&zd(o,Q.downlevelIteration?1536:1024);const y=uo(o.expression,_);return iw(33,y,tt,o.expression)}function eei(o){return o.isSpread?ap(o.type,hi):o.type}function i4(o){return o.kind===208&&!!o.initializer||o.kind===303&&i4(o.initializer)||o.kind===304&&!!o.objectAssignmentInitializer||o.kind===226&&o.operatorToken.kind===64}function tei(o){const _=By(o.parent);return Km(_)&&T1(_.parent)}function Tgt(o,_,y){const S=o.elements,D=S.length,L=[],B=[];wgt(o);const K=fS(o),ne=tF(o),ce=X2(o,void 0),ge=tei(o)||!!ce&&dg(ce,Be=>G5(Be)||op(Be)&&!Be.nameType&&!!Rz(Be.target||Be));let je=!1;for(let Be=0;Be<D;Be++){const kt=S[Be];if(kt.kind===230){re<2&&zd(kt,Q.downlevelIteration?1536:1024);const Vt=uo(kt.expression,_,y);if(YS(Vt))L.push(Vt),B.push(8);else if(K){const Kt=H2(Vt,hi)||S5e(65,Vt,tt,void 0,!1)||te;L.push(Kt),B.push(4)}else L.push(iw(33,Vt,tt,kt.expression)),B.push(4)}else if(Ne&&kt.kind===232)je=!0,L.push(Ue),B.push(2);else{const Vt=iF(kt,_,y);if(L.push(Dd(Vt,!0,je)),B.push(je?2:1),ge&&_&&_&2&&!(_&4)&&Em(kt)){const Kt=tE(o);j.assert(Kt),V8e(Kt,kt,Vt)}}}return Xz(),K?rv(L,B):Dgt(y||ne||ge?rv(L,B,ne&&!(ce&&dg(ce,L8e))):hh(L.length?is(Wr(L,(Be,kt)=>B[kt]&8?Gx(Be,hi)||Je:Be),2):we?Di:Gt,ne))}function Dgt(o){if(!(Or(o)&4))return o;let _=o.literalType;return _||(_=o.literalType=B_t(o),_.objectFlags|=147456),_}function iei(o){switch(o.kind){case 167:return nei(o);case 80:return $v(o.escapedText);case 9:case 11:return $v(o.text);default:return!1}}function nei(o){return Gf(cy(o),296)}function cy(o){const _=yr(o.expression);if(!_.resolvedType){if((a_(o.parent.parent)||ss(o.parent.parent)||zf(o.parent.parent))&&ur(o.expression)&&o.expression.operatorToken.kind===103&&o.parent.kind!==177&&o.parent.kind!==178)return _.resolvedType=vt;if(_.resolvedType=uo(o.expression),Lo(o.parent)&&!bd(o.parent)&&fd(o.parent.parent)){const y=R0(o.parent.parent),S=l7e(y);S&&(yr(S).flags|=4096,yr(o).flags|=32768,yr(o.parent.parent).flags|=32768)}(_.resolvedType.flags&98304||!Gf(_.resolvedType,402665900)&&!Da(_.resolvedType,vi))&&ft(o,k.A_computed_property_name_must_be_of_type_string_number_symbol_or_any)}return _.resolvedType}function rei(o){var _;const y=(_=o.declarations)==null?void 0:_[0];return $v(o.escapedName)||y&&Bf(y)&&iei(y.name)}function Egt(o){var _;const y=(_=o.declarations)==null?void 0:_[0];return LV(o)||y&&Bf(y)&&Ka(y.name)&&Gf(cy(y.name),4096)}function C7e(o,_,y,S){const D=[];for(let B=_;B<y.length;B++){const K=y[B];(S===st&&!Egt(K)||S===hi&&rei(K)||S===wi&&Egt(K))&&D.push(Yn(y[B]))}const L=D.length?is(D,2):tt;return nv(S,L,tF(o))}function Qme(o){j.assert((o.flags&2097152)!==0,"Should only get Alias here.");const _=Ys(o);if(!_.immediateTarget){const y=Dh(o);if(!y)return j.fail();_.immediateTarget=Ox(y,!0)}return _.immediateTarget}function sei(o,_=0){const y=fS(o);moi(o,y);const S=we?_a():void 0;let D=_a(),L=[],B=Jo;wgt(o);const K=X2(o,void 0),ne=K&&K.pattern&&(K.pattern.kind===206||K.pattern.kind===210),ce=tF(o),ge=ce?8:0,je=nr(o)&&!ZG(o),Be=je?Joe(o):void 0,kt=!K&&je&&!Be;let Vt=8192,Kt=!1,Oi=!1,Ki=!1,qn=!1;for(const Ui of o.properties)Ui.name&&Ka(Ui.name)&&cy(Ui.name);let Qn=0;for(const Ui of o.properties){let fr=xr(Ui);const Gr=Ui.name&&Ui.name.kind===167?cy(Ui.name):void 0;if(Ui.kind===303||Ui.kind===304||Ig(Ui)){let vs=Ui.kind===303?J0t(Ui,_):Ui.kind===304?iF(!y&&Ui.objectAssignmentInitializer?Ui.objectAssignmentInitializer:Ui.name,_):G0t(Ui,_);if(je){const fa=Jc(Ui);fa?(Nh(vs,fa,Ui),vs=fa):Be&&Be.typeExpression&&Nh(vs,Bs(Be.typeExpression),Ui)}Vt|=Or(vs)&458752;const Ur=Gr&&Jm(Gr)?Gr:void 0,ga=Ur?$a(4|fr.flags,Gm(Ur),ge|4096):$a(4|fr.flags,fr.escapedName,ge);if(Ur&&(ga.links.nameType=Ur),y&&i4(Ui))ga.flags|=16777216;else if(ne&&!(Or(K)&512)){const fa=Ta(K,fr.escapedName);fa?ga.flags|=fa.flags&16777216:pb(K,st)||ft(Ui.name,k.Object_literal_may_only_specify_known_properties_and_0_does_not_exist_in_type_1,eo(fr),Hn(K))}if(ga.declarations=fr.declarations,ga.parent=fr.parent,fr.valueDeclaration&&(ga.valueDeclaration=fr.valueDeclaration),ga.links.type=vs,ga.links.target=fr,fr=ga,S==null||S.set(ga.escapedName,ga),K&&_&2&&!(_&4)&&(Ui.kind===303||Ui.kind===174)&&Em(Ui)){const fa=tE(o);j.assert(fa);const Al=Ui.kind===303?Ui.initializer:Ui;V8e(fa,Al,vs)}}else if(Ui.kind===305){re<2&&zd(Ui,2),L.length>0&&(B=KD(B,rn(),o.symbol,Vt,ce),L=[],D=_a(),Oi=!1,Ki=!1,qn=!1);const vs=a1(uo(Ui.expression,_&2));if($ee(vs)){const Ur=f8e(vs,ce);if(S&&Ngt(Ur,S,Ui),Qn=L.length,fe(B))continue;B=KD(B,Ur,o.symbol,Vt,ce)}else ft(Ui,k.Spread_types_may_only_be_created_from_object_types),B=vt;continue}else j.assert(Ui.kind===177||Ui.kind===178),s4(Ui);Gr&&!(Gr.flags&8576)?Da(Gr,vi)&&(Da(Gr,hi)?Ki=!0:Da(Gr,wi)?qn=!0:Oi=!0,y&&(Kt=!0)):D.set(fr.escapedName,fr),L.push(fr)}if(Xz(),fe(B))return vt;if(B!==Jo)return L.length>0&&(B=KD(B,rn(),o.symbol,Vt,ce),L=[],D=_a(),Oi=!1,Ki=!1),eu(B,Ui=>Ui===Jo?rn():Ui);return rn();function rn(){const Ui=[];Oi&&Ui.push(C7e(o,Qn,L,st)),Ki&&Ui.push(C7e(o,Qn,L,hi)),qn&&Ui.push(C7e(o,Qn,L,wi));const fr=Xs(o.symbol,D,w,w,Ui);return fr.objectFlags|=Vt|128|131072,kt&&(fr.objectFlags|=4096),Kt&&(fr.objectFlags|=512),y&&(fr.pattern=o),fr}}function $ee(o){const _=mmt(eu(o,iv));return!!(_.flags&126615553||_.flags&3145728&&Ce(_.types,$ee))}function oei(o){x7e(o)}function aei(o,_){return s4(o),Gee(o)||Je}function cei(o){x7e(o.openingElement),n4(o.closingElement.tagName)?Yme(o.closingElement):uo(o.closingElement.tagName),Zme(o)}function lei(o,_){return s4(o),Gee(o)||Je}function uei(o){x7e(o.openingFragment);const _=_n(o);return WK(Q)&&(Q.jsxFactory||_.pragmas.has("jsx"))&&!Q.jsxFragmentFactory&&!_.pragmas.has("jsxfrag")&&ft(o,Q.jsxFactory?k.The_jsxFragmentFactory_compiler_option_must_be_provided_to_use_JSX_fragments_with_the_jsxFactory_compiler_option:k.An_jsxFrag_pragma_is_required_when_using_an_jsx_pragma_with_JSX_fragments),Zme(o),Gee(o)||Je}function S7e(o){return o.includes("-")}function n4(o){return ot(o)&&s3(o.escapedText)||j0(o)}function Igt(o,_){return o.initializer?iF(o.initializer,_):Pt}function dei(o,_=0){const y=o.attributes,S=g_(y,0),D=we?_a():void 0;let L=_a(),B=kh,K=!1,ne,ce=!1,ge=2048;const je=Jee(BN(o));for(const Vt of y.properties){const Kt=Vt.symbol;if(U1(Vt)){const Oi=Igt(Vt,_);ge|=Or(Oi)&458752;const Ki=$a(4|Kt.flags,Kt.escapedName);if(Ki.declarations=Kt.declarations,Ki.parent=Kt.parent,Kt.valueDeclaration&&(Ki.valueDeclaration=Kt.valueDeclaration),Ki.links.type=Oi,Ki.links.target=Kt,L.set(Ki.escapedName,Ki),D==null||D.set(Ki.escapedName,Ki),u7(Vt.name)===je&&(ce=!0),S){const qn=Ta(S,Kt.escapedName);qn&&qn.declarations&&_C(qn)&&ot(Vt.name)&&pC(Vt.name,qn.declarations,Vt.name.escapedText)}if(S&&_&2&&!(_&4)&&Em(Vt)){const qn=tE(y);j.assert(qn);const Qn=Vt.initializer.expression;V8e(qn,Qn,Oi)}}else{j.assert(Vt.kind===293),L.size>0&&(B=KD(B,kt(),y.symbol,ge,!1),L=_a());const Oi=a1(uo(Vt.expression,_&2));Nc(Oi)&&(K=!0),$ee(Oi)?(B=KD(B,Oi,y.symbol,ge,!1),D&&Ngt(Oi,D,Vt)):(ft(Vt.expression,k.Spread_types_may_only_be_created_from_object_types),ne=ne?Wa([ne,Oi]):Oi)}}K||L.size>0&&(B=KD(B,kt(),y.symbol,ge,!1));const Be=o.parent.kind===284?o.parent:void 0;if(Be&&Be.openingElement===o&&r3(Be.children).length>0){const Vt=Zme(Be,_);if(!K&&je&&je!==""){ce&&ft(y,k._0_are_specified_twice_The_attribute_named_0_will_be_overwritten,Ws(je));const Kt=X2(o.attributes,void 0),Oi=Kt&&K2(Kt,je),Ki=$a(4,je);Ki.links.type=Vt.length===1?Vt[0]:Oi&&dg(Oi,G5)?rv(Vt):hh(is(Vt)),Ki.valueDeclaration=G.createPropertySignature(void 0,Ws(je),void 0,void 0),_c(Ki.valueDeclaration,y),Ki.valueDeclaration.symbol=Ki;const qn=_a();qn.set(je,Ki),B=KD(B,Xs(y.symbol,qn,w,w,w),y.symbol,ge,!1)}}if(K)return Je;if(ne&&B!==kh)return Wa([ne,B]);return ne||(B===kh?kt():B);function kt(){ge|=8192;const Vt=Xs(y.symbol,L,w,w,w);return Vt.objectFlags|=ge|128|131072,Vt}}function Zme(o,_){const y=[];for(const S of o.children)if(S.kind===12)S.containsOnlyTriviaWhiteSpaces||y.push(st);else{if(S.kind===294&&!S.expression)continue;y.push(iF(S,_))}return y}function Ngt(o,_,y){for(const S of Ac(o))if(!(S.flags&16777216)){const D=_.get(S.escapedName);if(D){const L=ft(D.valueDeclaration,k._0_is_specified_more_than_once_so_this_usage_will_be_overwritten,Ws(D.escapedName));da(L,Kn(y,k.This_spread_always_overwrites_this_property))}}}function fei(o,_){return dei(o.parent,_)}function iE(o,_){const y=BN(_),S=y&&__(y),D=S&&ff(S,o,788968);return D?gl(D):vt}function Yme(o){const _=yr(o);if(!_.resolvedSymbol){const y=iE(hm.IntrinsicElements,o);if(fe(y))return De&&ft(o,k.JSX_element_implicitly_has_type_any_because_no_interface_JSX_0_exists,Ws(hm.IntrinsicElements)),_.resolvedSymbol=pt;{if(!ot(o.tagName)&&!j0(o.tagName))return j.fail();const S=j0(o.tagName)?LP(o.tagName):o.tagName.escapedText,D=Ta(y,S);if(D)return _.jsxFlags|=1,_.resolvedSymbol=D;const L=tyt(y,V_(Ws(S)));return L?(_.jsxFlags|=2,_.resolvedSymbol=L):HA(y,S)?(_.jsxFlags|=2,_.resolvedSymbol=y.symbol):(ft(o,k.Property_0_does_not_exist_on_type_1,Qce(o.tagName),"JSX."+hm.IntrinsicElements),_.resolvedSymbol=pt)}}return _.resolvedSymbol}function w7e(o){const _=o&&_n(o),y=_&&yr(_);if(y&&y.jsxImplicitImportContainer===!1)return;if(y&&y.jsxImplicitImportContainer)return y.jsxImplicitImportContainer;const S=HK(VK(Q,_),Q);if(!S)return;const L=yh(Q)===1?k.Cannot_find_module_0_Did_you_mean_to_set_the_moduleResolution_option_to_nodenext_or_to_add_aliases_to_the_paths_option:k.Cannot_find_module_0_or_its_corresponding_type_declarations,B=$oi(_,S),K=OA(B||o,S,L,o),ne=K&&K!==pt?wc(Zu(K)):void 0;return y&&(y.jsxImplicitImportContainer=ne||!1),ne}function BN(o){const _=o&&yr(o);if(_&&_.jsxNamespace)return _.jsxNamespace;if(!_||_.jsxNamespace!==!1){let S=w7e(o);if(!S||S===pt){const D=Gy(o);S=_i(o,D,1920,void 0,!1)}if(S){const D=Zu(ff(__(Zu(S)),hm.JSX,1920));if(D&&D!==pt)return _&&(_.jsxNamespace=D),D}_&&(_.jsxNamespace=!1)}const y=Zu(jO(hm.JSX,1920,void 0));if(y!==pt)return y}function Lgt(o,_){const y=_&&ff(_.exports,o,788968),S=y&&gl(y),D=S&&Ac(S);if(D){if(D.length===0)return"";if(D.length===1)return D[0].escapedName;D.length>1&&y.declarations&&ft(y.declarations[0],k.The_global_type_JSX_0_may_not_have_more_than_one_property,Ws(o))}}function hei(o){return o&&ff(o.exports,hm.LibraryManagedAttributes,788968)}function _ei(o){return o&&ff(o.exports,hm.ElementType,788968)}function pei(o){return Lgt(hm.ElementAttributesPropertyNameContainer,o)}function Jee(o){return Lgt(hm.ElementChildrenAttributeNameContainer,o)}function Pgt(o,_){if(o.flags&4)return[ci];if(o.flags&128){const D=Agt(o,_);return D?[cge(_,D)]:(ft(_,k.Property_0_does_not_exist_on_type_1,o.value,"JSX."+hm.IntrinsicElements),w)}const y=Kh(o);let S=ia(y,1);return S.length===0&&(S=ia(y,0)),S.length===0&&y.flags&1048576&&(S=vRe(qt(y.types,D=>Pgt(D,_)))),S}function Agt(o,_){const y=iE(hm.IntrinsicElements,_);if(!fe(y)){const S=o.value,D=Ta(y,cu(S));if(D)return Yn(D);const L=H2(y,st);return L||void 0}return Je}function mei(o,_,y){if(o===1){const D=Rgt(y);D&&lg(_,D,Op,y.tagName,k.Its_return_type_0_is_not_a_valid_JSX_element,S)}else if(o===0){const D=Mgt(y);D&&lg(_,D,Op,y.tagName,k.Its_instance_type_0_is_not_a_valid_JSX_element,S)}else{const D=Rgt(y),L=Mgt(y);if(!D||!L)return;const B=is([D,L]);lg(_,B,Op,y.tagName,k.Its_element_type_0_is_not_a_valid_JSX_element,S)}function S(){const D=uu(y.tagName);return jo(void 0,k._0_cannot_be_used_as_a_JSX_component,D)}}function Ogt(o){var _;j.assert(n4(o.tagName));const y=yr(o);if(!y.resolvedJsxElementAttributesType){const S=Yme(o);if(y.jsxFlags&1)return y.resolvedJsxElementAttributesType=Yn(S)||vt;if(y.jsxFlags&2){const D=j0(o.tagName)?LP(o.tagName):o.tagName.escapedText;return y.resolvedJsxElementAttributesType=((_=UA(iE(hm.IntrinsicElements,o),D))==null?void 0:_.type)||vt}else return y.resolvedJsxElementAttributesType=vt}return y.resolvedJsxElementAttributesType}function Mgt(o){const _=iE(hm.ElementClass,o);if(!fe(_))return _}function Gee(o){return iE(hm.Element,o)}function Rgt(o){const _=Gee(o);if(_)return is([_,Qt])}function gei(o){const _=BN(o);if(!_)return;const y=_ei(_);if(!y)return;const S=Fgt(y,nr(o));if(!(!S||fe(S)))return S}function Fgt(o,_,...y){const S=gl(o);if(o.flags&524288){const D=Ys(o).typeParameters;if(P(D)>=y.length){const L=$x(y,D,y.length,_);return P(L)===0?S:VO(o,L)}}if(P(S.typeParameters)>=y.length){const D=$x(y,S.typeParameters,y.length,_);return wC(S,D)}}function yei(o){const _=iE(hm.IntrinsicElements,o);return _?Ac(_):w}function vei(o){(Q.jsx||0)===0&&ft(o,k.Cannot_use_JSX_unless_the_jsx_flag_is_provided),Gee(o)===void 0&&De&&ft(o,k.JSX_element_implicitly_has_type_any_because_the_global_type_JSX_Element_does_not_exist)}function x7e(o){const _=oh(o);if(_&&goi(o),vei(o),c7e(o),_){const y=o,S=QO(y);uge(S,o);const D=gei(y);if(D!==void 0){const L=y.tagName,B=n4(L)?V_(Qce(L)):uo(L);lg(B,D,Op,L,k.Its_type_0_is_not_a_valid_JSX_element_type,()=>{const K=uu(L);return jo(void 0,k._0_cannot_be_used_as_a_JSX_component,K)})}else mei(a0t(y),Oc(S),y)}}function ege(o,_,y){if(o.flags&524288&&(UD(o,_)||UA(o,_)||Tz(_)&&pb(o,st)||y&&S7e(_)))return!0;if(o.flags&33554432)return ege(o.baseType,_,y);if(o.flags&3145728&&Zz(o)){for(const S of o.types)if(ege(S,_,y))return!0}return!1}function Zz(o){return!!(o.flags&524288&&!(Or(o)&512)||o.flags&67108864||o.flags&33554432&&Zz(o.baseType)||o.flags&1048576&&Ft(o.types,Zz)||o.flags&2097152&&Ce(o.types,Zz))}function bei(o,_){if(voi(o),o.expression){const y=uo(o.expression,_);return o.dotDotDotToken&&y!==Je&&!ug(y)&&ft(o,k.JSX_spread_child_must_be_an_array_type),y}else return vt}function k7e(o){return o.valueDeclaration?oE(o.valueDeclaration):0}function T7e(o){if(o.flags&8192||Iu(o)&4)return!0;if(nr(o.valueDeclaration)){const _=o.valueDeclaration.parent;return _&&ur(_)&&Bu(_)===3}}function D7e(o,_,y,S,D,L=!0){const B=L?o.kind===166?o.right:o.kind===205?o:o.kind===208&&o.propertyName?o.propertyName:o.name:void 0;return Bgt(o,_,y,S,D,B)}function Bgt(o,_,y,S,D,L){var B;const K=$m(D,y);if(_){if(re<2&&Wgt(D))return L&&ft(L,k.Only_public_and_protected_methods_of_the_base_class_are_accessible_via_the_super_keyword),!1;if(K&64)return L&&ft(L,k.Abstract_method_0_in_class_1_cannot_be_accessed_via_super_expression,eo(D),Hn(ZA(D))),!1;if(!(K&256)&&((B=D.declarations)!=null&&B.some(k2e)))return L&&ft(L,k.Class_field_0_defined_by_the_parent_class_is_not_accessible_in_the_child_class_via_super,eo(D)),!1}if(K&64&&Wgt(D)&&(aV(o)||kTe(o)||dm(o.parent)&&GG(o.parent.parent))){const ce=Xb(Qp(D));if(ce&&hsi(o))return L&&ft(L,k.Abstract_property_0_in_class_1_cannot_be_accessed_in_the_constructor,eo(D),Lg(ce.name)),!1}if(!(K&6))return!0;if(K&2){const ce=Xb(Qp(D));return A5e(o,ce)?!0:(L&&ft(L,k.Property_0_is_private_and_only_accessible_within_class_1,eo(D),Hn(ZA(D))),!1)}if(_)return!0;let ne=Y1t(o,ce=>{const ge=gl(xr(ce));return smt(ge,D,y)});return!ne&&(ne=Cei(o),ne=ne&&smt(ne,D,y),K&256||!ne)?(L&&ft(L,k.Property_0_is_protected_and_only_accessible_within_class_1_and_its_subclasses,eo(D),Hn(ZA(D)||S)),!1):K&256?!0:(S.flags&262144&&(S=S.isThisType?m_(S):Jf(S)),!S||!AO(S,ne)?(L&&ft(L,k.Property_0_is_protected_and_only_accessible_through_an_instance_of_class_1_This_is_an_instance_of_class_2,eo(D),Hn(ne),Hn(S)),!1):!0)}function Cei(o){const _=Sei(o);let y=(_==null?void 0:_.type)&&Bs(_.type);if(y)y.flags&262144&&(y=m_(y));else{const S=Hh(o,!1,!1);Ho(S)&&(y=h7e(S))}if(y&&Or(y)&7)return SN(y)}function Sei(o){const _=Hh(o,!1,!1);return _&&Ho(_)?$T(_):void 0}function Wgt(o){return!!Dee(o,_=>!(_.flags&8192))}function KO(o){return ly(uo(o),o)}function Kee(o){return l1(o,50331648)}function E7e(o){return Kee(o)?EC(o):o}function wei(o,_){const y=pl(o)?bp(o):void 0;if(o.kind===106){ft(o,k.The_value_0_cannot_be_used_here,"null");return}if(y!==void 0&&y.length<100){if(ot(o)&&y==="undefined"){ft(o,k.The_value_0_cannot_be_used_here,"undefined");return}ft(o,_&16777216?_&33554432?k._0_is_possibly_null_or_undefined:k._0_is_possibly_undefined:k._0_is_possibly_null,y)}else ft(o,_&16777216?_&33554432?k.Object_is_possibly_null_or_undefined:k.Object_is_possibly_undefined:k.Object_is_possibly_null)}function xei(o,_){ft(o,_&16777216?_&33554432?k.Cannot_invoke_an_object_which_is_possibly_null_or_undefined:k.Cannot_invoke_an_object_which_is_possibly_undefined:k.Cannot_invoke_an_object_which_is_possibly_null)}function Vgt(o,_,y){if(we&&o.flags&2){if(pl(_)){const D=bp(_);if(D.length<100)return ft(_,k._0_is_of_type_unknown,D),vt}return ft(_,k.Object_is_of_type_unknown),vt}const S=qO(o,50331648);if(S&50331648){y(_,S);const D=EC(o);return D.flags&229376?vt:D}return o}function ly(o,_){return Vgt(o,_,wei)}function Hgt(o,_){const y=ly(o,_);if(y.flags&16384){if(pl(_)){const S=bp(_);if(ot(_)&&S==="undefined")return ft(_,k.The_value_0_cannot_be_used_here,S),y;if(S.length<100)return ft(_,k._0_is_possibly_undefined,S),y}ft(_,k.Object_is_possibly_undefined)}return y}function tge(o,_,y){return o.flags&64?kei(o,_):N7e(o,o.expression,KO(o.expression),o.name,_,y)}function kei(o,_){const y=uo(o.expression),S=jz(y,o.expression);return Eme(N7e(o,o.expression,ly(S,o.expression),o.name,_),o,S!==y)}function jgt(o,_){const y=QG(o)&&ix(o.left)?ly(zee(o.left),o.left):KO(o.left);return N7e(o,o.left,y,o.right,_)}function I7e(o){for(;o.parent.kind===217;)o=o.parent;return T1(o.parent)&&o.parent.expression===o}function Xee(o,_){for(let y=$G(_);y;y=Cf(y)){const{symbol:S}=y,D=NV(S,o),L=S.members&&S.members.get(D)||S.exports&&S.exports.get(D);if(L)return L}}function Tei(o){if(!Cf(o))return sn(o,k.Private_identifiers_are_not_allowed_outside_class_bodies);if(!xX(o.parent)){if(!F0(o))return sn(o,k.Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member_declaration_property_access_or_on_the_left_hand_side_of_an_in_expression);const _=ur(o.parent)&&o.parent.operatorToken.kind===103;if(!ige(o)&&!_)return sn(o,k.Cannot_find_name_0,Fr(o))}return!1}function Dei(o){Tei(o);const _=ige(o);return _&&Zee(_,void 0,!1),Je}function ige(o){if(!F0(o))return;const _=yr(o);return _.resolvedSymbol===void 0&&(_.resolvedSymbol=Xee(o.escapedText,o)),_.resolvedSymbol}function nge(o,_){return Ta(o,_.escapedName)}function Eei(o,_,y){let S;const D=Ac(o);D&&O(D,B=>{const K=B.valueDeclaration;if(K&&Bf(K)&&Vs(K.name)&&K.name.escapedText===_.escapedText)return S=B,!0});const L=sg(_);if(S){const B=j.checkDefined(S.valueDeclaration),K=j.checkDefined(Cf(B));if(y!=null&&y.valueDeclaration){const ne=y.valueDeclaration,ce=Cf(ne);if(j.assert(!!ce),Qi(ce,ge=>K===ge)){const ge=ft(_,k.The_property_0_cannot_be_accessed_on_type_1_within_this_class_because_it_is_shadowed_by_another_private_identifier_with_the_same_spelling,L,Hn(o));return da(ge,Kn(ne,k.The_shadowing_declaration_of_0_is_defined_here,L),Kn(B,k.The_declaration_of_0_that_you_probably_intended_to_use_is_defined_here,L)),!0}}return ft(_,k.Property_0_is_not_accessible_outside_class_1_because_it_has_a_private_identifier,L,sg(K.name||Due)),!0}return!1}function zgt(o,_){return(bC(_)||aV(o)&&hb(_))&&Hh(o,!0,!1)===jx(_)}function N7e(o,_,y,S,D,L){const B=yr(_).resolvedSymbol,K=Kk(o),ne=Kh(K!==0||I7e(o)?lp(y):y),ce=Nc(ne)||ne===Xn;let ge;if(Vs(S)){(re<9||re<99||!de)&&(K!==0&&zd(o,1048576),K!==1&&zd(o,524288));const Be=Xee(S.escapedText,S);if(K&&Be&&Be.valueDeclaration&&Nu(Be.valueDeclaration)&&sn(S,k.Cannot_assign_to_private_method_0_Private_methods_are_not_writable,Fr(S)),ce){if(Be)return fe(ne)?vt:ne;if($G(S)===void 0)return sn(S,k.Private_identifiers_are_not_allowed_outside_class_bodies),Je}if(ge=Be&&nge(y,Be),ge===void 0){if(Eei(y,S,Be))return vt;const kt=$G(S);kt&&k8(_n(kt),Q.checkJs)&&sn(S,k.Private_field_0_must_be_declared_in_an_enclosing_class,Fr(S))}else ge.flags&65536&&!(ge.flags&32768)&&K!==1&&ft(o,k.Private_accessor_was_defined_without_a_getter)}else{if(ce)return ot(_)&&B&&t4(o,2,void 0,y),fe(ne)?vt:ne;ge=Ta(ne,S.escapedText,_ge(ne),o.kind===166)}t4(o,2,ge,y);let je;if(ge){const Be=I5e(ge,S);if(_C(Be)&&o8e(o,Be)&&Be.declarations&&pC(S,Be.declarations,S.escapedText),Iei(ge,o,S),Zee(ge,o,Qgt(_,B)),yr(o).resolvedSymbol=ge,D7e(o,_.kind===108,wP(o),ne,ge),B0t(o,ge,K))return ft(S,k.Cannot_assign_to_0_because_it_is_a_read_only_property,Fr(S)),vt;je=zgt(o,ge)?Rt:L||EK(o)?zx(ge):Yn(ge)}else{const Be=!Vs(S)&&(K===0||!EN(y)||l7(y))?UA(ne,S.escapedText):void 0;if(!(Be&&Be.type)){const kt=L7e(o,y.symbol,!0);return!kt&&Az(y)?Je:y.symbol===Me?(Me.exports.has(S.escapedText)&&Me.exports.get(S.escapedText).flags&418?ft(S,k.Property_0_does_not_exist_on_type_1,Ws(S.escapedText),Hn(y)):De&&ft(S,k.Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature,Hn(y)),Je):(S.escapedText&&!iN(o)&&qgt(S,l7(y)?ne:y,kt),vt)}Be.isReadonly&&(fS(o)||$ae(o))&&ft(o,k.Index_signature_in_type_0_only_permits_reading,Hn(ne)),je=Be.type,Q.noUncheckedIndexedAccess&&Kk(o)!==1&&(je=is([je,le])),Q.noPropertyAccessFromIndexSignature&&Nr(o)&&ft(S,k.Property_0_comes_from_an_index_signature_so_it_must_be_accessed_with_0,Ws(S.escapedText)),Be.declaration&&FS(Be.declaration)&&pC(S,[Be.declaration],S.escapedText)}return Ugt(o,ge,je,S,D)}function L7e(o,_,y){var S;const D=_n(o);if(D&&Q.checkJs===void 0&&D.checkJsDirective===void 0&&(D.scriptKind===1||D.scriptKind===2)){const L=O(_==null?void 0:_.declarations,_n),B=!(_!=null&&_.valueDeclaration)||!ss(_.valueDeclaration)||((S=_.valueDeclaration.heritageClauses)==null?void 0:S.length)||dS(!1,_.valueDeclaration);return!(D!==L&&L&&cS(L))&&!(y&&_&&_.flags&32&&B)&&!(o&&y&&Nr(o)&&o.expression.kind===110&&B)}return!1}function Ugt(o,_,y,S,D){const L=Kk(o);if(L===1)return IC(y,!!(_&&_.flags&16777216));if(_&&!(_.flags&98311)&&!(_.flags&8192&&y.flags&1048576)&&!Nge(_.declarations))return y;if(y===Rt)return CC(o,_);y=a7e(y,o,D);let B=!1;if(we&&ke&&Sl(o)&&o.expression.kind===110){const ne=_&&_.valueDeclaration;if(ne&&V1t(ne)&&!pa(ne)){const ce=Gz(o);ce.kind===176&&ce.parent===ne.parent&&!(ne.flags&33554432)&&(B=!0)}}else we&&_&&_.valueDeclaration&&Nr(_.valueDeclaration)&&yV(_.valueDeclaration)&&Gz(o)===Gz(_.valueDeclaration)&&(B=!0);const K=LC(o,y,B?Zx(y):y);return B&&!UO(y)&&UO(K)?(ft(S,k.Property_0_is_used_before_being_assigned,eo(_)),y):L?DC(K):K}function Iei(o,_,y){const{valueDeclaration:S}=o;if(!S||_n(_).isDeclarationFile)return;let D;const L=Fr(y);P7e(_)&&!cKt(S)&&!(Sl(_)&&Sl(_.expression))&&!VS(S,y)&&!(Nu(S)&&Vge(S)&256)&&(de||!Nei(o))?D=ft(y,k.Property_0_is_used_before_its_initialization,L):S.kind===263&&_.parent.kind!==183&&!(S.flags&33554432)&&!VS(S,y)&&(D=ft(y,k.Class_0_used_before_its_declaration,L)),D&&da(D,Kn(S,k._0_is_declared_here,L))}function P7e(o){return!!Qi(o,_=>{switch(_.kind){case 172:return!0;case 303:case 174:case 177:case 178:case 305:case 167:case 239:case 294:case 291:case 292:case 293:case 286:case 233:case 298:return!1;case 219:case 244:return Xo(_.parent)&&gu(_.parent.parent)?!0:"quit";default:return F0(_)?!1:"quit"}})}function Nei(o){if(!(o.parent.flags&32))return!1;let _=Yn(o.parent);for(;;){if(_=_.symbol&&Lei(_),!_)return!1;const y=Ta(_,o.escapedName);if(y&&y.valueDeclaration)return!0}}function Lei(o){const _=Ll(o);if(_.length!==0)return Wa(_)}function qgt(o,_,y){let S,D;if(!Vs(o)&&_.flags&1048576&&!(_.flags&402784252)){for(const B of _.types)if(!Ta(B,o.escapedText)&&!UA(B,o.escapedText)){S=jo(S,k.Property_0_does_not_exist_on_type_1,al(o),Hn(B));break}}if($gt(o.escapedText,_)){const B=al(o),K=Hn(_);S=jo(S,k.Property_0_does_not_exist_on_type_1_Did_you_mean_to_access_the_static_member_2_instead,B,K,K+"."+B)}else{const B=aU(_);if(B&&Ta(B,o.escapedText))S=jo(S,k.Property_0_does_not_exist_on_type_1,al(o),Hn(_)),D=Kn(o,k.Did_you_forget_to_use_await);else{const K=al(o),ne=Hn(_),ce=Oei(K,_);if(ce!==void 0)S=jo(S,k.Property_0_does_not_exist_on_type_1_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_2_or_later,K,ne,ce);else{const ge=A7e(o,_);if(ge!==void 0){const je=Eu(ge),Be=y?k.Property_0_may_not_exist_on_type_1_Did_you_mean_2:k.Property_0_does_not_exist_on_type_1_Did_you_mean_2;S=jo(S,Be,K,ne,je),D=ge.valueDeclaration&&Kn(ge.valueDeclaration,k._0_is_declared_here,je)}else{const je=Pei(_)?k.Property_0_does_not_exist_on_type_1_Try_changing_the_lib_compiler_option_to_include_dom:k.Property_0_does_not_exist_on_type_1;S=jo(ERe(S,_),je,K,ne)}}}}const L=Bv(_n(o),o,S);D&&da(L,D),Z0(!y||S.code!==k.Property_0_may_not_exist_on_type_1_Did_you_mean_2.code,L)}function Pei(o){return Q.lib&&!Q.lib.includes("dom")&&HZt(o,_=>_.symbol&&/^(?:EventTarget|Node|(?:HTML[a-zA-Z]*)?Element)$/.test(Ws(_.symbol.escapedName)))&&TC(o)}function $gt(o,_){const y=_.symbol&&Ta(Yn(_.symbol),o);return y!==void 0&&!!y.valueDeclaration&&pa(y.valueDeclaration)}function Aei(o){const _=sg(o),S=vae().get(_);return S&&D6(S.keys())}function Oei(o,_){const y=Kh(_).symbol;if(!y)return;const S=Eu(y),L=vae().get(S);if(L){for(const[B,K]of L)if(Yt(K,o))return B}}function Jgt(o,_){return Qee(o,Ac(_),106500)}function A7e(o,_){let y=Ac(_);if(typeof o!="string"){const S=o.parent;Nr(S)&&(y=$t(y,D=>Zgt(S,_,D))),o=Fr(o)}return Qee(o,y,111551)}function Ggt(o,_){const y=Oo(o)?o:Fr(o),S=Ac(_);return(y==="for"?Fe(S,L=>Eu(L)==="htmlFor"):y==="class"?Fe(S,L=>Eu(L)==="className"):void 0)??Qee(y,S,111551)}function Kgt(o,_){const y=A7e(o,_);return y&&Eu(y)}function Mei(o,_,y){const S=ff(o,_,y);if(S)return S;let D;return o===$e?D=es(["string","number","boolean","object","bigint","symbol"],B=>o.has(B.charAt(0).toUpperCase()+B.slice(1))?$a(524288,B):void 0).concat(as(o.values())):D=as(o.values()),Qee(Ws(_),D,y)}function Xgt(o,_,y){return j.assert(_!==void 0,"outername should always be defined"),Fi(o,_,y,void 0,!1,!1)}function O7e(o,_){return _.exports&&Qee(Fr(o),Fx(_),2623475)}function Rei(o,_,y){function S(B){const K=UD(o,B);if(K){const ne=VN(Yn(K));return!!ne&&fy(ne)>=1&&Da(y,u1(ne,0))}return!1}const D=fS(_)?"set":"get";if(!S(D))return;let L=jV(_.expression);return L===void 0?L=D:L+="."+D,L}function Fei(o,_){const y=_.types.filter(S=>!!(S.flags&128));return LT(o.value,y,S=>S.value)}function Qee(o,_,y){return LT(o,_,S);function S(D){const L=Eu(D);if(!so(L,'"')){if(D.flags&y)return L;if(D.flags&2097152){const B=xO(D);if(B&&B.flags&y)return L}}}}function Zee(o,_,y){const S=o&&o.flags&106500&&o.valueDeclaration;if(!S)return;const D=xp(S,2),L=o.valueDeclaration&&Bf(o.valueDeclaration)&&Vs(o.valueDeclaration.name);if(!(!D&&!L)&&!(_&&EK(_)&&!(o.flags&65536))){if(y){const B=Qi(_,Qc);if(B&&B.symbol===o)return}(Iu(o)&1?Ys(o).target:o).isReferenced=-1}}function Qgt(o,_){return o.kind===110||!!_&&pl(o)&&_===Lh(K_(o))}function Bei(o,_){switch(o.kind){case 211:return M7e(o,o.expression.kind===108,_,lp(uo(o.expression)));case 166:return M7e(o,!1,_,lp(uo(o.left)));case 205:return M7e(o,!1,_,Bs(o))}}function Zgt(o,_,y){return R7e(o,o.kind===211&&o.expression.kind===108,!1,_,y)}function M7e(o,_,y,S){if(Nc(S))return!0;const D=Ta(S,y);return!!D&&R7e(o,_,!1,S,D)}function R7e(o,_,y,S,D){if(Nc(S))return!0;if(D.valueDeclaration&&Wh(D.valueDeclaration)){const L=Cf(D.valueDeclaration);return!gh(o)&&!!Qi(o,B=>B===L)}return Bgt(o,_,y,S,D)}function Wei(o){const _=o.initializer;if(_.kind===261){const y=_.declarations[0];if(y&&!ta(y.name))return xr(y)}else if(_.kind===80)return Lh(_)}function Vei(o){return Ih(o).length===1&&!!pb(o,hi)}function Hei(o){const _=Dc(o);if(_.kind===80){const y=Lh(_);if(y.flags&3){let S=o,D=o.parent;for(;D;){if(D.kind===249&&S===D.statement&&Wei(D)===y&&Vei(Kf(D.expression)))return!0;S=D,D=D.parent}}}return!1}function jei(o,_){return o.flags&64?zei(o,_):Ygt(o,KO(o.expression),_)}function zei(o,_){const y=uo(o.expression),S=jz(y,o.expression);return Eme(Ygt(o,ly(S,o.expression),_),o,S!==y)}function Ygt(o,_,y){const S=Kk(o)!==0||I7e(o)?lp(_):_,D=o.argumentExpression,L=uo(D);if(fe(S)||S===Xn)return S;if(_ge(S)&&!Bc(D))return ft(D,k.A_const_enum_member_can_only_be_accessed_using_a_string_literal),vt;const B=Hei(D)?hi:L,K=Kk(o);let ne;K===0?ne=32:(ne=4|(EN(S)&&!l7(S)?2:0),K===2&&(ne|=32));const ce=Gx(S,B,ne,o)||vt;return a1t(Ugt(o,yr(o).resolvedSymbol,ce,D,y),o)}function e0t(o){return T1(o)||i2(o)||oh(o)}function WN(o){return e0t(o)&&O(o.typeArguments,za),o.kind===215?uo(o.template):oh(o)?uo(o.attributes):ur(o)?uo(o.left):T1(o)&&O(o.arguments,_=>{uo(_)}),ci}function uy(o){return WN(o),Mi}function Uei(o,_,y){let S,D,L=0,B,K=-1,ne;j.assert(!_.length);for(const ce of o){const ge=ce.declaration&&xr(ce.declaration),je=ce.declaration&&ce.declaration.parent;!D||ge===D?S&&je===S?B=B+1:(S=je,B=L):(B=L=_.length,S=je),D=ge,ret(ce)?(K++,ne=K,L++):ne=B,_.splice(ne,0,y?NGt(ce,y):ce)}}function rge(o){return!!o&&(o.kind===230||o.kind===237&&o.isSpread)}function F7e(o){return ct(o,rge)}function t0t(o){return!!(o.flags&16384)}function qei(o){return!!(o.flags&49155)}function sge(o,_,y,S=!1){let D,L=!1,B=dp(y),K=fy(y);if(o.kind===215)if(D=_.length,o.template.kind===228){const ne=va(o.template.templateSpans);L=lu(ne.literal)||!!ne.literal.isUnterminated}else{const ne=o.template;j.assert(ne.kind===15),L=!!ne.isUnterminated}else if(o.kind===170)D=l0t(o,y);else if(o.kind===226)D=1;else if(oh(o)){if(L=o.attributes.end===o.end,L)return!0;D=K===0?_.length:1,B=_.length===0?B:1,K=Math.min(K,1)}else if(o.arguments){D=S?_.length+1:_.length,L=o.arguments.end===o.end;const ne=F7e(_);if(ne>=0)return ne>=fy(y)&&(ov(y)||ne<dp(y))}else return j.assert(o.kind===214),fy(y)===0;if(!ov(y)&&D>B)return!1;if(L||D>=K)return!0;for(let ne=D;ne<K;ne++){const ce=u1(y,ne);if(ad(ce,nr(o)&&!we?qei:t0t).flags&131072)return!1}return!0}function B7e(o,_){const y=P(o.typeParameters),S=sy(o.typeParameters);return!Ft(_)||_.length>=S&&_.length<=y}function i0t(o,_){let y;return!!(o.target&&(y=nE(o.target,_))&&GA(y))}function VN(o){return Yz(o,0,!1)}function n0t(o){return Yz(o,0,!1)||Yz(o,1,!1)}function Yz(o,_,y){if(o.flags&524288){const S=o1(o);if(y||S.properties.length===0&&S.indexInfos.length===0){if(_===0&&S.callSignatures.length===1&&S.constructSignatures.length===0)return S.callSignatures[0];if(_===1&&S.constructSignatures.length===1&&S.callSignatures.length===0)return S.constructSignatures[0]}}}function r0t(o,_,y,S){const D=qz(O_t(o),o,0,S),L=eU(_),B=y&&(L&&L.flags&262144?y.nonFixingMapper:y.mapper),K=B?QA(_,B):_;return F8e(K,o,(ne,ce)=>{NC(D.inferences,ne,ce)}),y||B8e(_,o,(ne,ce)=>{NC(D.inferences,ne,ce,128)}),Nz(o,X8e(D),nr(_.declaration))}function $ei(o,_,y,S){const D=Xme(_,o),L=ZO(o.attributes,D,S,y);return NC(S.inferences,L,D),X8e(S)}function s0t(o){if(!o)return Ri;const _=uo(o);return lDe(o)?_:_8(o.parent)?EC(_):gh(o.parent)?Dme(_):_}function W7e(o,_,y,S,D){if(oh(o))return $ei(o,_,S,D);if(o.kind!==170&&o.kind!==226){const ne=Ce(_.typeParameters,ge=>!!qD(ge)),ce=g_(o,ne?8:0);if(ce){const ge=Oc(_);if(Yx(ge)){const je=tE(o);if(!(!ne&&g_(o,8)!==ce)){const Kt=j8e(tZt(je,1)),Oi=io(ce,Kt),Ki=VN(Oi),qn=Ki&&Ki.typeParameters?kN(RRe(Ki,Ki.typeParameters)):Oi;NC(D.inferences,qn,ge,128)}const kt=qz(_.typeParameters,_,D.flags),Vt=io(ce,je&&je.returnMapper);NC(kt.inferences,Vt,ge),D.returnMapper=Ft(kt.inferences,YO)?j8e(sZt(kt)):void 0}}}const L=tU(_),B=L?Math.min(dp(_)-1,y.length):y.length;if(L&&L.flags&262144){const ne=Fe(D.inferences,ce=>ce.typeParameter===L);ne&&(ne.impliedArity=ct(y,rge,B)<0?y.length-B:void 0)}const K=j2(_);if(K&&Yx(K)){const ne=c0t(o);NC(D.inferences,s0t(ne),K)}for(let ne=0;ne<B;ne++){const ce=y[ne];if(ce.kind!==232){const ge=u1(_,ne);if(Yx(ge)){const je=ZO(ce,ge,D,S);NC(D.inferences,je,ge)}}}if(L&&Yx(L)){const ne=V7e(y,B,y.length,L,D,S);NC(D.inferences,ne,L)}return X8e(D)}function o0t(o){return o.flags&1048576?eu(o,o0t):o.flags&1||Iee(Jf(o)||o)?o:Qa(o)?rv(GD(o),o.target.elementFlags,!1,o.target.labeledElementDeclarations):rv([o],[8])}function V7e(o,_,y,S,D,L){const B=xN(S);if(_>=y-1){const ge=o[y-1];if(rge(ge)){const je=ge.kind===237?ge.type:ZO(ge.expression,S,D,L);return YS(je)?o0t(je):hh(iw(33,je,tt,ge.kind===230?ge.expression:ge),B)}}const K=[],ne=[],ce=[];for(let ge=_;ge<y;ge++){const je=o[ge];if(rge(je)){const Be=je.kind===237?je.type:uo(je.expression);YS(Be)?(K.push(Be),ne.push(8)):(K.push(iw(33,Be,tt,je.kind===230?je.expression:je)),ne.push(4))}else{const Be=Qa(S)?y7e(S,ge-_,y-_)||te:ap(S,v0(ge-_),256),kt=ZO(je,Be,D,L),Vt=B||Au(Be,406978556);K.push(Vt?Xh(kt):J2(kt)),ne.push(1)}je.kind===237&&je.tupleNameSource?ce.push(je.tupleNameSource):ce.push(void 0)}return rv(K,ne,B&&!dg(S,L8e),ce)}function H7e(o,_,y,S){const D=nr(o.declaration),L=o.typeParameters,B=$x(qt(_,Bs),L,sy(L),D);let K;for(let ne=0;ne<_.length;ne++){j.assert(L[ne]!==void 0,"Should not call checkTypeArguments with too many type arguments");const ce=m_(L[ne]);if(ce){const ge=y&&S?()=>jo(void 0,k.Type_0_does_not_satisfy_the_constraint_1):void 0,je=S||k.Type_0_does_not_satisfy_the_constraint_1;K||(K=cp(L,B));const Be=B[ne];if(!Nh(Be,Wp(io(ce,K),Be),y?_[ne]:void 0,je,ge))return}}return B}function a0t(o){if(n4(o.tagName))return 2;const _=Kh(uo(o.tagName));return P(ia(_,1))?0:P(ia(_,0))?1:2}function Jei(o,_,y,S,D,L,B){const K=Xme(_,o),ne=ZO(o.attributes,K,void 0,S),ce=S&4?Uz(ne):ne;return ge()&&C8e(ce,K,y,D?o.tagName:void 0,o.attributes,void 0,L,B);function ge(){var je;if(w7e(o))return!0;const Be=(z1(o)||PI(o))&&!(n4(o.tagName)||j0(o.tagName))?uo(o.tagName):void 0;if(!Be)return!0;const kt=ia(Be,0);if(!P(kt))return!0;const Vt=hyt(o);if(!Vt)return!0;const Kt=nu(Vt,111551,!0,!1,o);if(!Kt)return!0;const Oi=Yn(Kt),Ki=ia(Oi,0);if(!P(Ki))return!0;let qn=!1,Qn=0;for(const Ui of Ki){const fr=u1(Ui,0),Gr=ia(fr,0);if(P(Gr))for(const vs of Gr){if(qn=!0,ov(vs))return!0;const Ur=dp(vs);Ur>Qn&&(Qn=Ur)}}if(!qn)return!0;let rn=1/0;for(const Ui of kt){const fr=fy(Ui);fr<rn&&(rn=fr)}if(rn<=Qn)return!0;if(D){const Ui=Kn(o.tagName,k.Tag_0_expects_at_least_1_arguments_but_the_JSX_factory_2_provides_at_most_3,bp(o.tagName),rn,bp(Vt),Qn),fr=(je=Zg(o.tagName))==null?void 0:je.valueDeclaration;fr&&da(Ui,Kn(fr,k._0_is_declared_here,bp(o.tagName))),B&&B.skipLogging&&(B.errors||(B.errors=[])).push(Ui),B.skipLogging||lc.add(Ui)}return!1}}function oge(o){return o=Dc(o),wX(o)?Dc(o.expression):o}function Yee(o,_,y,S,D,L,B,K){const ne={errors:void 0,skipLogging:!0};if(oh(o))return Jei(o,y,S,D,L,B,ne)?void 0:(j.assert(!L||!!ne.errors,"jsx should have errors when reporting errors"),ne.errors||w);const ce=j2(y);if(ce&&ce!==Ri&&!(rD(o)||la(o)&&G_(o.expression))){const Vt=c0t(o),Kt=s0t(Vt),Oi=L?Vt||o:void 0,Ki=k.The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1;if(!lg(Kt,ce,S,Oi,Ki,B,ne))return j.assert(!L||!!ne.errors,"this parameter should have errors when reporting errors"),ne.errors||w}const ge=k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1,je=tU(y),Be=je?Math.min(dp(y)-1,_.length):_.length;for(let Vt=0;Vt<Be;Vt++){const Kt=_[Vt];if(Kt.kind!==232){const Oi=u1(y,Vt),Ki=ZO(Kt,Oi,void 0,D),qn=D&4?Uz(Ki):Ki,Qn=K?io(qn,K.nonFixingMapper):qn,rn=oge(Kt);if(!C8e(Qn,Oi,S,L?rn:void 0,rn,ge,B,ne))return j.assert(!L||!!ne.errors,"parameter should have errors when reporting errors"),kt(Kt,Qn,Oi),ne.errors||w}}if(je){const Vt=V7e(_,Be,_.length,je,void 0,D),Kt=_.length-Be,Oi=L?Kt===0?o:Kt===1?oge(_[Be]):V0(ete(o,Vt),_[Be].pos,_[_.length-1].end):void 0;if(!lg(Vt,je,S,Oi,ge,void 0,ne))return j.assert(!L||!!ne.errors,"rest parameter should have errors when reporting errors"),kt(Oi,Vt,je),ne.errors||w}return;function kt(Vt,Kt,Oi){if(Vt&&L&&ne.errors&&ne.errors.length){if(nF(Oi))return;const Ki=nF(Kt);Ki&&ay(Ki,Oi,S)&&da(ne.errors[0],Kn(Vt,k.Did_you_forget_to_use_await))}}}function c0t(o){if(o.kind===226)return o.right;const _=o.kind===213?o.expression:o.kind===215?o.tag:o.kind===170&&!pe?o.expression:void 0;if(_){const y=Vu(_);if(Sl(y))return y.expression}}function ete(o,_,y,S){const D=l2.createSyntheticExpression(_,y,S);return Ht(D,o),_c(D,o),D}function age(o){if(o.kind===215){const S=o.template,D=[ete(S,DKt())];return S.kind===228&&O(S.templateSpans,L=>{D.push(L.expression)}),D}if(o.kind===170)return Gei(o);if(o.kind===226)return[o.left];if(oh(o))return o.attributes.properties.length>0||z1(o)&&o.parent.children.length>0?[o.attributes]:w;const _=o.arguments||w,y=F7e(_);if(y>=0){const S=_.slice(0,y);for(let D=y;D<_.length;D++){const L=_[D],B=L.kind===230&&(jg?uo(L.expression):ju(L.expression));B&&Qa(B)?O(GD(B),(K,ne)=>{var ce;const ge=B.target.elementFlags[ne],je=ete(L,ge&4?hh(K):K,!!(ge&12),(ce=B.target.labeledElementDeclarations)==null?void 0:ce[ne]);S.push(je)}):S.push(L)}return S}return _}function Gei(o){const _=o.expression,y=e5e(o);if(y){const S=[];for(const D of y.parameters){const L=Yn(D);S.push(ete(_,L))}return S}return j.fail()}function l0t(o,_){return Q.experimentalDecorators?Kei(o,_):Math.min(Math.max(dp(_),1),2)}function Kei(o,_){switch(o.parent.kind){case 263:case 231:return 1;case 172:return O1(o.parent)?3:2;case 174:case 177:case 178:return _.parameters.length<=2?2:3;case 169:return 3;default:return j.fail()}}function u0t(o){const _=_n(o),{start:y,length:S}=pI(_,Nr(o.expression)?o.expression.name:o.expression);return{start:y,length:S,sourceFile:_}}function tte(o,_,...y){if(la(o)){const{sourceFile:S,start:D,length:L}=u0t(o);return"message"in _?Md(S,D,L,_,...y):Nae(S,_)}else return"message"in _?Kn(o,_,...y):Bv(_n(o),o,_)}function Xei(o){return T1(o)?Nr(o.expression)?o.expression.name:o.expression:i2(o)?Nr(o.tag)?o.tag.name:o.tag:oh(o)?o.tagName:o}function Qei(o){if(!la(o)||!ot(o.expression))return!1;const _=_i(o.expression,o.expression.escapedText,111551,void 0,!1),y=_==null?void 0:_.valueDeclaration;if(!y||!$s(y)||!lx(y.parent)||!rD(y.parent.parent)||!ot(y.parent.parent.expression))return!1;const S=JRe(!1);return S?Zg(y.parent.parent.expression,!0)===S:!1}function d0t(o,_,y,S){var D;const L=F7e(y);if(L>-1)return Kn(y[L],k.A_spread_argument_must_either_have_a_tuple_type_or_be_passed_to_a_rest_parameter);let B=Number.POSITIVE_INFINITY,K=Number.NEGATIVE_INFINITY,ne=Number.NEGATIVE_INFINITY,ce=Number.POSITIVE_INFINITY,ge;for(const Kt of _){const Oi=fy(Kt),Ki=dp(Kt);Oi<B&&(B=Oi,ge=Kt),K=Math.max(K,Ki),Oi<y.length&&Oi>ne&&(ne=Oi),y.length<Ki&&Ki<ce&&(ce=Ki)}const je=Ft(_,ov),Be=je?B:B<K?B+"-"+K:B,kt=!je&&Be===1&&y.length===0&&Qei(o);if(kt&&nr(o))return tte(o,k.Expected_1_argument_but_got_0_new_Promise_needs_a_JSDoc_hint_to_produce_a_resolve_that_can_be_called_without_arguments);const Vt=Fd(o)?je?k.The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_at_least_0:k.The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_0:je?k.Expected_at_least_0_arguments_but_got_1:kt?k.Expected_0_arguments_but_got_1_Did_you_forget_to_include_void_in_your_type_argument_to_Promise:k.Expected_0_arguments_but_got_1;if(B<y.length&&y.length<K){if(S){let Kt=jo(void 0,k.No_overload_expects_0_arguments_but_overloads_do_exist_that_expect_either_1_or_2_arguments,y.length,ne,ce);return Kt=jo(Kt,S),tte(o,Kt)}return tte(o,k.No_overload_expects_0_arguments_but_overloads_do_exist_that_expect_either_1_or_2_arguments,y.length,ne,ce)}else if(y.length<B){let Kt;if(S){let Ki=jo(void 0,Vt,Be,y.length);Ki=jo(Ki,S),Kt=tte(o,Ki)}else Kt=tte(o,Vt,Be,y.length);const Oi=(D=ge==null?void 0:ge.declaration)==null?void 0:D.parameters[ge.thisParameter?y.length+1:y.length];if(Oi){const Ki=ta(Oi.name)?[k.An_argument_matching_this_binding_pattern_was_not_provided]:Oy(Oi)?[k.Arguments_for_the_rest_parameter_0_were_not_provided,Fr(K_(Oi.name))]:[k.An_argument_for_0_was_not_provided,Oi.name?Fr(K_(Oi.name)):y.length],qn=Kn(Oi,...Ki);return da(Kt,qn)}return Kt}else{const Kt=G.createNodeArray(y.slice(K)),Oi=ya(Kt).pos;let Ki=va(Kt).end;if(Ki===Oi&&Ki++,V0(Kt,Oi,Ki),S){let qn=jo(void 0,Vt,Be,y.length);return qn=jo(qn,S),eV(_n(o),Kt,qn)}return q6(_n(o),Kt,Vt,Be,y.length)}}function Zei(o,_,y,S){const D=y.length;if(_.length===1){const K=_[0],ne=sy(K.typeParameters),ce=P(K.typeParameters);if(S){let ge=jo(void 0,k.Expected_0_type_arguments_but_got_1,ne<ce?ne+"-"+ce:ne,D);return ge=jo(ge,S),eV(_n(o),y,ge)}return q6(_n(o),y,k.Expected_0_type_arguments_but_got_1,ne<ce?ne+"-"+ce:ne,D)}let L=-1/0,B=1/0;for(const K of _){const ne=sy(K.typeParameters),ce=P(K.typeParameters);ne>D?B=Math.min(B,ne):ce<D&&(L=Math.max(L,ce))}if(L!==-1/0&&B!==1/0){if(S){let K=jo(void 0,k.No_overload_expects_0_type_arguments_but_overloads_do_exist_that_expect_either_1_or_2_type_arguments,D,L,B);return K=jo(K,S),eV(_n(o),y,K)}return q6(_n(o),y,k.No_overload_expects_0_type_arguments_but_overloads_do_exist_that_expect_either_1_or_2_type_arguments,D,L,B)}if(S){let K=jo(void 0,k.Expected_0_type_arguments_but_got_1,L===-1/0?B:L,D);return K=jo(K,S),eV(_n(o),y,K)}return q6(_n(o),y,k.Expected_0_type_arguments_but_got_1,L===-1/0?B:L,D)}function XO(o,_,y,S,D,L){const B=o.kind===215,K=o.kind===170,ne=oh(o),ce=o.kind===226,ge=!q&&!y;let je;!K&&!ce&&!mI(o)&&(je=o.typeArguments,(B||ne||o.expression.kind!==108)&&O(je,za));const Be=y||[];Uei(_,Be,D),j.assert(Be.length,"Revert #54442 and add a testcase with whatever triggered this");const kt=age(o),Vt=Be.length===1&&!Be[0].typeParameters;let Kt=!K&&!Vt&&Ft(kt,Em)?4:0,Oi,Ki,qn,Qn;const rn=!!(S&16)&&o.kind===213&&o.arguments.hasTrailingComma;if(Be.length>1&&(Qn=fr(Be,ob,Vt,rn)),Qn||(Qn=fr(Be,Op,Vt,rn)),Qn)return Qn;if(Qn=Yei(o,Be,kt,!!y,S),yr(o).resolvedSignature=Qn,ge)if(!L&&ce&&(L=k.The_left_hand_side_of_an_instanceof_expression_must_be_assignable_to_the_first_argument_of_the_right_hand_side_s_Symbol_hasInstance_method),Oi)if(Oi.length===1||Oi.length>3){const Gr=Oi[Oi.length-1];let vs;Oi.length>3&&(vs=jo(vs,k.The_last_overload_gave_the_following_error),vs=jo(vs,k.No_overload_matches_this_call)),L&&(vs=jo(vs,L));const Ur=Yee(o,kt,Gr,Op,0,!0,()=>vs,void 0);if(Ur)for(const ga of Ur)Gr.declaration&&Oi.length>3&&da(ga,Kn(Gr.declaration,k.The_last_overload_is_declared_here)),Ui(Gr,ga),lc.add(ga);else j.fail("No error for last overload signature")}else{const Gr=[];let vs=0,Ur=Number.MAX_VALUE,ga=0,fa=0;for(const wu of Oi){const Fn=Yee(o,kt,wu,Op,0,!0,()=>jo(void 0,k.Overload_0_of_1_2_gave_the_following_error,fa+1,Be.length,B2(wu)),void 0);Fn?(Fn.length<=Ur&&(Ur=Fn.length,ga=fa),vs=Math.max(vs,Fn.length),Gr.push(Fn)):j.fail("No error for 3 or fewer overload signatures"),fa++}const Al=vs>1?Gr[ga]:Dr(Gr);j.assert(Al.length>0,"No errors reported for 3 or fewer overload signatures");let Po=jo(qt(Al,aTe),k.No_overload_matches_this_call);L&&(Po=jo(Po,L));const fu=[...Rr(Al,wu=>wu.relatedInformation)];let Ef;if(Ce(Al,wu=>wu.start===Al[0].start&&wu.length===Al[0].length&&wu.file===Al[0].file)){const{file:wu,start:bn,length:Fn}=Al[0];Ef={file:wu,start:bn,length:Fn,code:Po.code,category:Po.category,messageText:Po,relatedInformation:fu}}else Ef=Bv(_n(o),Xei(o),Po,fu);Ui(Oi[0],Ef),lc.add(Ef)}else if(Ki)lc.add(d0t(o,[Ki],kt,L));else if(qn)H7e(qn,o.typeArguments,!0,L);else{const Gr=$t(_,vs=>B7e(vs,je));Gr.length===0?lc.add(Zei(o,_,je,L)):lc.add(d0t(o,Gr,kt,L))}return Qn;function Ui(Gr,vs){var Ur,ga;const fa=Oi,Al=Ki,Po=qn,fu=((ga=(Ur=Gr.declaration)==null?void 0:Ur.symbol)==null?void 0:ga.declarations)||w,wu=fu.length>1?Fe(fu,bn=>Qc(bn)&&Dg(bn.body)):void 0;if(wu){const bn=Dm(wu),Fn=!bn.typeParameters;fr([bn],Op,Fn)&&da(vs,Kn(wu,k.The_call_would_have_succeeded_against_this_implementation_but_implementation_signatures_of_overloads_are_not_externally_visible))}Oi=fa,Ki=Al,qn=Po}function fr(Gr,vs,Ur,ga=!1){var fa,Al;if(Oi=void 0,Ki=void 0,qn=void 0,Ur){const Po=Gr[0];if(Ft(je)||!sge(o,kt,Po,ga))return;if(Yee(o,kt,Po,vs,0,!1,void 0,void 0)){Oi=[Po];return}return Po}for(let Po=0;Po<Gr.length;Po++){let fu=Gr[Po];if(!B7e(fu,je)||!sge(o,kt,fu,ga))continue;let Ef,wu;if(fu.typeParameters){const Fn=((Al=(fa=fu.typeParameters[0].symbol.declarations)==null?void 0:fa[0])==null?void 0:Al.parent)||(fu.declaration&&iu(fu.declaration)?fu.declaration.parent:fu.declaration);Fn&&Qi(o,or=>or===Fn)&&(fu=yKt(fu));let js;if(Ft(je)){if(js=H7e(fu,je,!1),!js){qn=fu;continue}}else wu=qz(fu.typeParameters,fu,nr(o)?2:0),js=gb(W7e(o,fu,kt,Kt|8,wu),wu.nonFixingMapper),Kt|=wu.flags&4?8:0;if(Ef=Nz(fu,js,nr(fu.declaration),wu&&wu.inferredTypeParameters),tU(fu)&&!sge(o,kt,Ef,ga)){Ki=Ef;continue}}else Ef=fu;if(Yee(o,kt,Ef,vs,Kt,!1,void 0,wu)){(Oi||(Oi=[])).push(Ef);continue}if(Kt){if(Kt=0,wu){const bn=gb(W7e(o,fu,kt,Kt,wu),wu.mapper);if(Ef=Nz(fu,bn,nr(fu.declaration),wu.inferredTypeParameters),tU(fu)&&!sge(o,kt,Ef,ga)){Ki=Ef;continue}}if(Yee(o,kt,Ef,vs,Kt,!1,void 0,wu)){(Oi||(Oi=[])).push(Ef);continue}}return Gr[Po]=Ef,Ef}}}function Yei(o,_,y,S,D){return j.assert(_.length>0),s4(o),S||_.length===1||_.some(L=>!!L.typeParameters)?iti(o,_,y,D):eti(_)}function eti(o){const _=es(o,ne=>ne.thisParameter);let y;_.length&&(y=f0t(_,_.map(rte)));const{min:S,max:D}=qDe(o,tti),L=[];for(let ne=0;ne<D;ne++){const ce=es(o,ge=>Ch(ge)?ne<ge.parameters.length-1?ge.parameters[ne]:va(ge.parameters):ne<ge.parameters.length?ge.parameters[ne]:void 0);j.assert(ce.length!==0),L.push(f0t(ce,es(o,ge=>nE(ge,ne))))}const B=es(o,ne=>Ch(ne)?va(ne.parameters):void 0);let K=128;if(B.length!==0){const ne=hh(is(es(o,A_t),2));L.push(h0t(B,ne)),K|=1}return o.some(ret)&&(K|=2),_b(o[0].declaration,void 0,y,L,Wa(o.map(Oc)),void 0,S,K)}function tti(o){const _=o.parameters.length;return Ch(o)?_-1:_}function f0t(o,_){return h0t(o,is(_,2))}function h0t(o,_){return PN(ya(o),_)}function iti(o,_,y,S){const D=sti(_,zt===void 0?y.length:zt),L=_[D],{typeParameters:B}=L;if(!B)return L;const K=e0t(o)?o.typeArguments:void 0,ne=K?Zpe(L,nti(K,B,nr(o))):rti(o,B,L,y,S);return _[D]=ne,ne}function nti(o,_,y){const S=o.map(o4);for(;S.length>_.length;)S.pop();for(;S.length<_.length;)S.push(qD(_[S.length])||m_(_[S.length])||K8e(y));return S}function rti(o,_,y,S,D){const L=qz(_,y,nr(o)?2:0),B=W7e(o,y,S,D|4|8,L);return Zpe(y,B)}function sti(o,_){let y=-1,S=-1;for(let D=0;D<o.length;D++){const L=o[D],B=dp(L);if(ov(L)||B>=_)return D;B>S&&(S=B,y=D)}return y}function oti(o,_,y){if(o.expression.kind===108){const ne=qme(o.expression);if(Nc(ne)){for(const ce of o.arguments)uo(ce);return ci}if(!fe(ne)){const ce=L1(Cf(o));if(ce){const ge=Ds(ne,ce.typeArguments,ce);return XO(o,ge,_,y,0)}}return WN(o)}let S,D=uo(o.expression);if(cI(o)){const ne=jz(D,o.expression);S=ne===D?0:p8(o)?16:8,D=ne}else S=0;if(D=Vgt(D,o.expression,xei),D===Xn)return Yr;const L=Kh(D);if(fe(L))return uy(o);const B=ia(L,0),K=ia(L,1).length;if(ite(D,L,B.length,K))return!fe(D)&&o.typeArguments&&ft(o,k.Untyped_function_calls_may_not_accept_type_arguments),WN(o);if(!B.length){if(K)ft(o,k.Value_of_type_0_is_not_callable_Did_you_mean_to_include_new,Hn(D));else{let ne;if(o.arguments.length===1){const ce=_n(o).text;mh(ce.charCodeAt(Va(ce,o.expression.end,!0)-1))&&(ne=Kn(o.expression,k.Are_you_missing_a_semicolon))}z7e(o.expression,L,0,ne)}return uy(o)}return y&8&&!o.typeArguments&&B.some(ati)?(X0t(o,y),Rn):B.some(ne=>nr(ne.declaration)&&!!qoe(ne.declaration))?(ft(o,k.Value_of_type_0_is_not_callable_Did_you_mean_to_include_new,Hn(D)),uy(o)):XO(o,B,_,y,S)}function ati(o){return!!(o.typeParameters&&B5e(Oc(o)))}function ite(o,_,y,S){return Nc(o)||Nc(_)&&!!(o.flags&262144)||!y&&!S&&!(_.flags&1048576)&&!(a1(_).flags&131072)&&Da(o,kd)}function cti(o,_,y){let S=KO(o.expression);if(S===Xn)return Yr;if(S=Kh(S),fe(S))return uy(o);if(Nc(S))return o.typeArguments&&ft(o,k.Untyped_function_calls_may_not_accept_type_arguments),WN(o);const D=ia(S,1);if(D.length){if(!lti(o,D[0]))return uy(o);if(_0t(D,K=>!!(K.flags&4)))return ft(o,k.Cannot_create_an_instance_of_an_abstract_class),uy(o);const B=S.symbol&&Xb(S.symbol);return B&&Zr(B,64)?(ft(o,k.Cannot_create_an_instance_of_an_abstract_class),uy(o)):XO(o,D,_,y,0)}const L=ia(S,0);if(L.length){const B=XO(o,L,_,y,0);return De||(B.declaration&&!dy(B.declaration)&&Oc(B)!==Ri&&ft(o,k.Only_a_void_function_can_be_called_with_the_new_keyword),j2(B)===Ri&&ft(o,k.A_function_that_is_called_with_the_new_keyword_cannot_have_a_this_type_that_is_void)),B}return z7e(o.expression,S,1),uy(o)}function _0t(o,_){return Ao(o)?Ft(o,y=>_0t(y,_)):o.compositeKind===1048576?Ft(o.compositeSignatures,_):_(o)}function j7e(o,_){const y=Ll(_);if(!P(y))return!1;const S=y[0];if(S.flags&2097152){const D=S.types,L=h_t(D);let B=0;for(const K of S.types){if(!L[B]&&Or(K)&3&&(K.symbol===o||j7e(o,K)))return!0;B++}return!1}return S.symbol===o?!0:j7e(o,S)}function lti(o,_){if(!_||!_.declaration)return!0;const y=_.declaration,S=bP(y,6);if(!S||y.kind!==176)return!0;const D=Xb(y.parent.symbol),L=gl(y.parent.symbol);if(!A5e(o,D)){const B=Cf(o);if(B&&S&4){const K=o4(B);if(j7e(y.parent.symbol,K))return!0}return S&2&&ft(o,k.Constructor_of_class_0_is_private_and_only_accessible_within_the_class_declaration,Hn(L)),S&4&&ft(o,k.Constructor_of_class_0_is_protected_and_only_accessible_within_the_class_declaration,Hn(L)),!1}return!0}function p0t(o,_,y){let S;const D=y===0,L=r4(_),B=L&&ia(L,y).length>0;if(_.flags&1048576){const ne=_.types;let ce=!1;for(const ge of ne)if(ia(ge,y).length!==0){if(ce=!0,S)break}else if(S||(S=jo(S,D?k.Type_0_has_no_call_signatures:k.Type_0_has_no_construct_signatures,Hn(ge)),S=jo(S,D?k.Not_all_constituents_of_type_0_are_callable:k.Not_all_constituents_of_type_0_are_constructable,Hn(_))),ce)break;ce||(S=jo(void 0,D?k.No_constituent_of_type_0_is_callable:k.No_constituent_of_type_0_is_constructable,Hn(_))),S||(S=jo(S,D?k.Each_member_of_the_union_type_0_has_signatures_but_none_of_those_signatures_are_compatible_with_each_other:k.Each_member_of_the_union_type_0_has_construct_signatures_but_none_of_those_signatures_are_compatible_with_each_other,Hn(_)))}else S=jo(S,D?k.Type_0_has_no_call_signatures:k.Type_0_has_no_construct_signatures,Hn(_));let K=D?k.This_expression_is_not_callable:k.This_expression_is_not_constructable;if(la(o.parent)&&o.parent.arguments.length===0){const{resolvedSymbol:ne}=yr(o);ne&&ne.flags&32768&&(K=k.This_expression_is_not_callable_because_it_is_a_get_accessor_Did_you_mean_to_use_it_without)}return{messageChain:jo(S,K),relatedMessage:B?k.Did_you_forget_to_use_await:void 0}}function z7e(o,_,y,S){const{messageChain:D,relatedMessage:L}=p0t(o,_,y),B=Bv(_n(o),o,D);if(L&&da(B,Kn(o,L)),la(o.parent)){const{start:K,length:ne}=u0t(o.parent);B.start=K,B.length=ne}lc.add(B),m0t(_,y,S?da(B,S):B)}function m0t(o,_,y){if(!o.symbol)return;const S=Ys(o.symbol).originatingImport;if(S&&!zp(S)){const D=ia(Yn(Ys(o.symbol).target),_);if(!D||!D.length)return;da(y,Kn(S,k.Type_originates_at_this_import_A_namespace_style_import_cannot_be_called_or_constructed_and_will_cause_a_failure_at_runtime_Consider_using_a_default_import_or_import_require_here_instead))}}function uti(o,_,y){const S=uo(o.tag),D=Kh(S);if(fe(D))return uy(o);const L=ia(D,0),B=ia(D,1).length;if(ite(S,D,L.length,B))return WN(o);if(!L.length){if(jf(o.parent)){const K=Kn(o.tag,k.It_is_likely_that_you_are_missing_a_comma_to_separate_these_two_template_expressions_They_form_a_tagged_template_expression_which_cannot_be_invoked);return lc.add(K),uy(o)}return z7e(o.tag,D,0),uy(o)}return XO(o,L,_,y,0)}function dti(o){switch(o.parent.kind){case 263:case 231:return k.Unable_to_resolve_signature_of_class_decorator_when_called_as_an_expression;case 169:return k.Unable_to_resolve_signature_of_parameter_decorator_when_called_as_an_expression;case 172:return k.Unable_to_resolve_signature_of_property_decorator_when_called_as_an_expression;case 174:case 177:case 178:return k.Unable_to_resolve_signature_of_method_decorator_when_called_as_an_expression;default:return j.fail()}}function fti(o,_,y){const S=uo(o.expression),D=Kh(S);if(fe(D))return uy(o);const L=ia(D,0),B=ia(D,1).length;if(ite(S,D,L.length,B))return WN(o);if(pti(o,L)&&!c_(o.expression)){const ne=uu(o.expression,!1);return ft(o,k._0_accepts_too_few_arguments_to_be_used_as_a_decorator_here_Did_you_mean_to_call_it_first_and_write_0,ne),uy(o)}const K=dti(o);if(!L.length){const ne=p0t(o.expression,D,0),ce=jo(ne.messageChain,K),ge=Bv(_n(o.expression),o.expression,ce);return ne.relatedMessage&&da(ge,Kn(o.expression,ne.relatedMessage)),lc.add(ge),m0t(D,0,ge),uy(o)}return XO(o,L,_,y,0,K)}function cge(o,_){const y=BN(o),S=y&&__(y),D=S&&ff(S,hm.Element,788968),L=D&&We.symbolToEntityName(D,788968,o),B=G.createFunctionTypeNode(void 0,[G.createParameterDeclaration(void 0,void 0,"props",void 0,We.typeToTypeNode(_,o))],L?G.createTypeReferenceNode(L,void 0):G.createKeywordTypeNode(133)),K=$a(1,"props");return K.links.type=_,_b(B,void 0,void 0,[K],D?gl(D):vt,void 0,1,0)}function hti(o,_,y){if(n4(o.tagName)){const B=Ogt(o),K=cge(o,B);return Xx(ZO(o.attributes,Xme(K,o),void 0,0),B,o.tagName,o.attributes),P(o.typeArguments)&&(O(o.typeArguments,za),lc.add(q6(_n(o),o.typeArguments,k.Expected_0_type_arguments_but_got_1,0,P(o.typeArguments)))),K}const S=uo(o.tagName),D=Kh(S);if(fe(D))return uy(o);const L=Pgt(S,o);return ite(S,D,L.length,0)?WN(o):L.length===0?(ft(o.tagName,k.JSX_element_type_0_does_not_have_any_construct_or_call_signatures,uu(o.tagName)),uy(o)):XO(o,L,_,y,0)}function _ti(o,_,y){const S=uo(o.right);if(!Nc(S)){const D=s5e(S);if(D){const L=Kh(D);if(fe(L))return uy(o);const B=ia(L,0),K=ia(L,1);if(ite(D,L,B.length,K.length))return WN(o);if(B.length)return XO(o,B,_,y,0)}else if(!(Age(S)||YD(S,kd)))return ft(o.right,k.The_right_hand_side_of_an_instanceof_expression_must_be_either_of_type_any_a_class_function_or_other_type_assignable_to_the_Function_interface_type_or_an_object_type_with_a_Symbol_hasInstance_method),uy(o)}return ci}function pti(o,_){return _.length&&Ce(_,y=>y.minArgumentCount===0&&!Ch(y)&&y.parameters.length<l0t(o,y))}function mti(o,_,y){switch(o.kind){case 213:return oti(o,_,y);case 214:return cti(o,_,y);case 215:return uti(o,_,y);case 170:return fti(o,_,y);case 286:case 285:return hti(o,_,y);case 226:return _ti(o,_,y)}j.assertNever(o,"Branch in 'resolveSignature' should be unreachable.")}function QO(o,_,y){const S=yr(o),D=S.resolvedSignature;if(D&&D!==Rn&&!_)return D;const L=Pr;D||(Pr=nn.length),S.resolvedSignature=Rn;let B=mti(o,_,y||0);return Pr=L,B!==Rn&&(S.resolvedSignature!==Rn&&(B=S.resolvedSignature),S.resolvedSignature=ib===jg?B:D),B}function dy(o){var _;if(!o||!nr(o))return!1;const y=Wu(o)||ml(o)?o:(_s(o)||_d(o))&&o.initializer&&ml(o.initializer)?o.initializer:void 0;if(y){if(qoe(o))return!0;if(_d(By(y.parent)))return!1;const S=xr(y);return!!((_=S==null?void 0:S.members)!=null&&_.size)}return!1}function U7e(o,_){var y,S;if(_){const D=Ys(_);if(!D.inferredClassSymbol||!D.inferredClassSymbol.has(Ba(o))){const L=Rv(o)?o:ab(o);return L.exports=L.exports||_a(),L.members=L.members||_a(),L.flags|=_.flags&32,(y=_.exports)!=null&&y.size&&Jg(L.exports,_.exports),(S=_.members)!=null&&S.size&&Jg(L.members,_.members),(D.inferredClassSymbol||(D.inferredClassSymbol=new Map)).set(Ba(L),L),L}return D.inferredClassSymbol.get(Ba(o))}}function gti(o){var _;const y=o&&lge(o,!0),S=(_=y==null?void 0:y.exports)==null?void 0:_.get("prototype"),D=(S==null?void 0:S.valueDeclaration)&&yti(S.valueDeclaration);return D?xr(D):void 0}function lge(o,_){if(!o.parent)return;let y,S;if(_s(o.parent)&&o.parent.initializer===o){if(!nr(o)&&!(mU(o.parent)&&Qc(o)))return;y=o.parent.name,S=o.parent}else if(ur(o.parent)){const D=o.parent,L=o.parent.operatorToken.kind;if(L===64&&(_||D.right===o))y=D.left,S=y;else if((L===57||L===61)&&(_s(D.parent)&&D.parent.initializer===D?(y=D.parent.name,S=D.parent):ur(D.parent)&&D.parent.operatorToken.kind===64&&(_||D.parent.right===D)&&(y=D.parent.left,S=y),!y||!bI(y)||!Q6(y,D.left)))return}else _&&Wu(o)&&(y=o.name,S=o);if(!(!S||!y||!_&&!$k(o,rx(y))))return p_(S)}function yti(o){if(!o.parent)return!1;let _=o.parent;for(;_&&_.kind===211;)_=_.parent;if(_&&ur(_)&&rx(_.left)&&_.operatorToken.kind===64){const y=Hae(_);return Aa(y)&&y}}function vti(o,_){var y,S,D;wte(o,o.typeArguments);const L=QO(o,void 0,_);if(L===Rn)return Xn;if(uge(L,o),o.expression.kind===108)return Ri;if(o.kind===214){const K=L.declaration;if(K&&K.kind!==176&&K.kind!==180&&K.kind!==185&&!(tC(K)&&((S=(y=t3(K))==null?void 0:y.parent)==null?void 0:S.kind)===176)&&!e3(K)&&!dy(K))return De&&ft(o,k.new_expression_whose_target_lacks_a_construct_signature_implicitly_has_an_any_type),Je}if(nr(o)&&b0t(o))return L_t(o.arguments[0]);const B=Oc(L);if(B.flags&12288&&g0t(o))return _8e(By(o.parent));if(o.kind===213&&!o.questionDotToken&&o.parent.kind===244&&B.flags&16384&&cg(L)){if(!VV(o.expression))ft(o.expression,k.Assertions_require_the_call_target_to_be_an_identifier_or_qualified_name);else if(!Bee(o)){const K=ft(o.expression,k.Assertions_require_every_name_in_the_call_target_to_be_declared_with_an_explicit_type_annotation);Fee(o.expression,K)}}if(nr(o)){const K=lge(o,!1);if((D=K==null?void 0:K.exports)!=null&&D.size){const ne=Xs(K,K.exports,w,w,w);return ne.objectFlags|=4096,Wa([B,ne])}}return B}function uge(o,_){if(!(o.flags&128)&&o.declaration&&o.declaration.flags&536870912){const y=nte(_),S=jV(KG(_));DA(y,o.declaration,S,B2(o))}}function nte(o){switch(o=Dc(o),o.kind){case 213:case 170:case 214:return nte(o.expression);case 215:return nte(o.tag);case 286:case 285:return nte(o.tagName);case 212:return o.argumentExpression;case 211:return o.name;case 183:const _=o;return o_(_.typeName)?_.typeName.right:_;default:return o}}function g0t(o){if(!la(o))return!1;let _=o.expression;if(Nr(_)&&_.name.escapedText==="for"&&(_=_.expression),!ot(_)||_.escapedText!=="Symbol")return!1;const y=Z_t(!1);return y?y===_i(_,"Symbol",111551,void 0,!1):!1}function bti(o){if(Voi(o),o.arguments.length===0)return cte(o,Je);const _=o.arguments[0],y=ju(_),S=o.arguments.length>1?ju(o.arguments[1]):void 0;for(let L=2;L<o.arguments.length;++L)ju(o.arguments[L]);if((y.flags&32768||y.flags&65536||!Da(y,st))&&ft(_,k.Dynamic_import_s_specifier_must_be_of_type_string_but_here_has_type_0,Hn(y)),S){const L=Q_t(!0);L!==Jo&&Nh(S,Lee(L,32768),o.arguments[1])}const D=B_(o,_);if(D){const L=Rx(D,_,!0,!1);if(L)return cte(o,y0t(Yn(L),L,D,_)||v0t(Yn(L),L,D,_))}return cte(o,Je)}function q7e(o,_,y){const S=_a(),D=$a(2097152,"default");return D.parent=_,D.links.nameType=V_("default"),D.links.aliasTarget=Zu(o),S.set("default",D),Xs(y,S,w,w,w)}function y0t(o,_,y,S){if(zS(S)&&o&&!fe(o)){const L=o;if(!L.defaultOnlyType){const B=q7e(_,y);L.defaultOnlyType=B}return L.defaultOnlyType}}function v0t(o,_,y,S){var D;if(Ie&&o&&!fe(o)){const L=o;if(!L.syntheticType){const B=(D=y.declarations)==null?void 0:D.find(Os);if(LD(B,y,!1,S)){const ne=$a(2048,"__type"),ce=q7e(_,y,ne);ne.links.type=ce,L.syntheticType=$ee(o)?KD(o,ce,ne,0,!1):ce}else L.syntheticType=o}return L.syntheticType}return o}function b0t(o){if(!L_(o,!0))return!1;if(!ot(o.expression))return j.fail();const _=_i(o.expression,o.expression.escapedText,111551,void 0,!0);if(_===wt)return!0;if(_.flags&2097152)return!1;const y=_.flags&16?262:_.flags&3?260:0;if(y!==0){const S=ql(_,y);return!!S&&!!(S.flags&33554432)}return!1}function Cti(o){hoi(o)||wte(o,o.typeArguments),re<2&&zd(o,262144);const _=QO(o);return uge(_,o),Oc(_)}function Sti(o,_){if(o.kind===216){const y=_n(o);y&&Ru(y.fileName,[".cts",".mts"])&&sn(o,k.This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Use_an_as_expression_instead)}return C0t(o,_)}function $7e(o){switch(o.kind){case 11:case 15:case 9:case 10:case 112:case 97:case 209:case 210:case 228:return!0;case 217:return $7e(o.expression);case 224:const _=o.operator,y=o.operand;return _===41&&(y.kind===9||y.kind===10)||_===40&&y.kind===9;case 211:case 212:const S=Dc(o.expression),D=pl(S)?nu(S,111551,!0):void 0;return!!(D&&D.flags&384)}return!1}function C0t(o,_){const{type:y,expression:S}=S0t(o),D=uo(S,_);if(O0(y))return $7e(S)||ft(S,k.A_const_assertions_can_only_be_applied_to_references_to_enum_members_or_string_number_boolean_array_or_object_literals),Xh(D);const L=yr(o);return L.assertionExpressionType=D,za(y),s4(o),Bs(y)}function S0t(o){let _,y;switch(o.kind){case 234:case 216:_=o.type,y=o.expression;break;case 217:_=N7(o),y=o.expression;break}return{type:_,expression:y}}function wti(o){const{type:_}=S0t(o),y=c_(o)?_:o,S=yr(o);j.assertIsDefined(S.assertionExpressionType);const D=Uz(DC(S.assertionExpressionType)),L=Bs(_);fe(L)||a(()=>{const B=lp(D);mme(L,B)||Qpt(D,L,y,k.Conversion_of_type_0_to_type_1_may_be_a_mistake_because_neither_type_sufficiently_overlaps_with_the_other_If_this_was_intentional_convert_the_expression_to_unknown_first)})}function xti(o){const _=uo(o.expression),y=jz(_,o.expression);return Eme(EC(y),o,y!==_)}function kti(o){return o.flags&64?xti(o):EC(uo(o.expression))}function w0t(o){if(myt(o),O(o.typeArguments,za),o.kind===233){const y=By(o.parent);y.kind===226&&y.operatorToken.kind===104&&UT(o,y.right)&&ft(o,k.The_right_hand_side_of_an_instanceof_expression_must_not_be_an_instantiation_expression)}const _=o.kind===233?uo(o.expression):ix(o.exprName)?zee(o.exprName):uo(o.exprName);return x0t(_,o)}function x0t(o,_){const y=_.typeArguments;if(o===Xn||fe(o)||!Ft(y))return o;let S=!1,D;const L=K(o),B=S?D:o;return B&&lc.add(q6(_n(_),y,k.Type_0_has_no_signatures_for_which_the_type_argument_list_is_applicable,Hn(B))),L;function K(ce){let ge=!1,je=!1;const Be=kt(ce);return S||(S=je),ge&&!je&&(D??(D=ce)),Be;function kt(Vt){if(Vt.flags&524288){const Kt=o1(Vt),Oi=ne(Kt.callSignatures),Ki=ne(Kt.constructSignatures);if(ge||(ge=Kt.callSignatures.length!==0||Kt.constructSignatures.length!==0),je||(je=Oi.length!==0||Ki.length!==0),Oi!==Kt.callSignatures||Ki!==Kt.constructSignatures){const qn=Xs($a(0,"__instantiationExpression"),Kt.members,Oi,Ki,Kt.indexInfos);return qn.objectFlags|=8388608,qn.node=_,qn}}else if(Vt.flags&58982400){const Kt=Jf(Vt);if(Kt){const Oi=kt(Kt);if(Oi!==Kt)return Oi}}else{if(Vt.flags&1048576)return eu(Vt,K);if(Vt.flags&2097152)return Wa(Wr(Vt.types,kt))}return Vt}}function ne(ce){const ge=$t(ce,je=>!!je.typeParameters&&B7e(je,y));return Wr(ge,je=>{const Be=H7e(je,y,!0);return Be?Nz(je,Be,nr(je.declaration)):je})}}function Tti(o){return za(o.type),J7e(o.expression,o.type)}function J7e(o,_,y){const S=uo(o,y),D=Bs(_);if(fe(D))return D;const L=Qi(_.parent,B=>B.kind===238||B.kind===350);return Xx(S,D,L,o,k.Type_0_does_not_satisfy_the_expected_type_1),S}function Dti(o){return Ioi(o),o.keywordToken===105?G7e(o):o.keywordToken===102?Eti(o):j.assertNever(o.keywordToken)}function k0t(o){switch(o.keywordToken){case 102:return X_t();case 105:const _=G7e(o);return fe(_)?vt:qti(_);default:j.assertNever(o.keywordToken)}}function G7e(o){const _=xTe(o);if(_)if(_.kind===176){const y=xr(_.parent);return Yn(y)}else{const y=xr(_);return Yn(y)}else return ft(o,k.Meta_property_0_is_only_allowed_in_the_body_of_a_function_declaration_function_expression_or_constructor,"new.target"),vt}function Eti(o){oe===100||oe===199?_n(o).impliedNodeFormat!==99&&ft(o,k.The_import_meta_meta_property_is_not_allowed_in_files_which_will_build_into_CommonJS_output):oe<6&&oe!==4&&ft(o,k.The_import_meta_meta_property_is_only_allowed_when_the_module_option_is_es2020_es2022_esnext_system_node16_or_nodenext);const _=_n(o);return j.assert(!!(_.flags&8388608),"Containing file is missing import meta node flag."),o.name.escapedText==="meta"?K_t():vt}function rte(o){const _=o.valueDeclaration;return Dd(Yn(o),!1,!!_&&(aS(_)||g3(_)))}function K7e(o,_,y){switch(o.name.kind){case 80:{const S=o.name.escapedText;return o.dotDotDotToken?y&12?S:`${S}_${_}`:y&3?S:`${S}_n`}case 207:{if(o.dotDotDotToken){const S=o.name.elements,D=Jr(rl(S),ec),L=S.length-(D!=null&&D.dotDotDotToken?1:0);if(_<L){const B=S[_];if(ec(B))return K7e(B,_,y)}else if(D!=null&&D.dotDotDotToken)return K7e(D,_-L,y)}break}}return`arg_${_}`}function X7e(o,_=0,y=3,S){if(!o){const D=Jr(S==null?void 0:S.valueDeclaration,$s);return D?K7e(D,_,y):`${(S==null?void 0:S.escapedName)??"arg"}_${_}`}return j.assert(ot(o.name)),o.name.escapedText}function Y5(o,_,y){var S;const D=o.parameters.length-(Ch(o)?1:0);if(_<D)return o.parameters[_].escapedName;const L=o.parameters[D]||pt,B=y||Yn(L);if(Qa(B)){const K=B.target,ne=_-D,ce=(S=K.labeledElementDeclarations)==null?void 0:S[ne],ge=K.elementFlags[ne];return X7e(ce,ne,ge,L)}return L.escapedName}function Iti(o,_){var y;if(((y=o.declaration)==null?void 0:y.kind)===317)return;const S=o.parameters.length-(Ch(o)?1:0);if(_<S){const K=o.parameters[_],ne=T0t(K);return ne?{parameter:ne,parameterName:K.escapedName,isRestParameter:!1}:void 0}const D=o.parameters[S]||pt,L=T0t(D);if(!L)return;const B=Yn(D);if(Qa(B)){const K=B.target.labeledElementDeclarations,ne=_-S,ce=K==null?void 0:K[ne],ge=!!(ce!=null&&ce.dotDotDotToken);return ce?(j.assert(ot(ce.name)),{parameter:ce.name,parameterName:ce.name.escapedText,isRestParameter:ge}):void 0}if(_===S)return{parameter:L,parameterName:D.escapedName,isRestParameter:!0}}function T0t(o){return o.valueDeclaration&&$s(o.valueDeclaration)&&ot(o.valueDeclaration.name)&&o.valueDeclaration.name}function D0t(o){return o.kind===202||$s(o)&&o.name&&ot(o.name)}function Nti(o,_){const y=o.parameters.length-(Ch(o)?1:0);if(_<y){const L=o.parameters[_].valueDeclaration;return L&&D0t(L)?L:void 0}const S=o.parameters[y]||pt,D=Yn(S);if(Qa(D)){const L=D.target.labeledElementDeclarations,B=_-y;return L&&L[B]}return S.valueDeclaration&&D0t(S.valueDeclaration)?S.valueDeclaration:void 0}function u1(o,_){return nE(o,_)||Je}function nE(o,_){const y=o.parameters.length-(Ch(o)?1:0);if(_<y)return rte(o.parameters[_]);if(Ch(o)){const S=Yn(o.parameters[y]),D=_-y;if(!Qa(S)||S.target.combinedFlags&12||D<S.target.fixedLength)return ap(S,v0(D))}}function ste(o,_,y){const S=dp(o),D=fy(o),L=eU(o);if(L&&_>=S-1)return _===S-1?L:hh(ap(L,hi));const B=[],K=[],ne=[];for(let ce=_;ce<S;ce++)!L||ce<S-1?(B.push(u1(o,ce)),K.push(ce<D?1:2)):(B.push(L),K.push(8)),ne.push(Nti(o,ce));return rv(B,K,y,ne)}function E0t(o,_){const y=ste(o,_),S=y&&Nee(y);return S&&Nc(S)?Je:y}function dp(o){const _=o.parameters.length;if(Ch(o)){const y=Yn(o.parameters[_-1]);if(Qa(y))return _+y.target.fixedLength-(y.target.combinedFlags&12?0:1)}return _}function fy(o,_){const y=_&1,S=_&2;if(S||o.resolvedMinArgumentCount===void 0){let D;if(Ch(o)){const L=Yn(o.parameters[o.parameters.length-1]);if(Qa(L)){const B=ct(L.target.elementFlags,ne=>!(ne&1)),K=B<0?L.target.fixedLength:B;K>0&&(D=o.parameters.length-1+K)}}if(D===void 0){if(!y&&o.flags&32)return 0;D=o.minArgumentCount}if(S)return D;for(let L=D-1;L>=0;L--){const B=u1(o,L);if(ad(B,t0t).flags&131072)break;D=L}o.resolvedMinArgumentCount=D}return o.resolvedMinArgumentCount}function ov(o){if(Ch(o)){const _=Yn(o.parameters[o.parameters.length-1]);return!Qa(_)||!!(_.target.combinedFlags&12)}return!1}function eU(o){if(Ch(o)){const _=Yn(o.parameters[o.parameters.length-1]);if(!Qa(_))return Nc(_)?Yc:_;if(_.target.combinedFlags&12)return $5(_,_.target.fixedLength)}}function tU(o){const _=eU(o);return _&&!ug(_)&&!Nc(_)?_:void 0}function Q7e(o){return Z7e(o,Ai)}function Z7e(o,_){return o.parameters.length>0?u1(o,0):_}function I0t(o,_,y){const S=o.parameters.length-(Ch(o)?1:0);for(let D=0;D<S;D++){const L=o.parameters[D].valueDeclaration,B=dd(L);if(B){const K=Dd(Bs(B),!1,g3(L)),ne=u1(_,D);NC(y.inferences,K,ne)}}}function Lti(o,_){if(_.typeParameters)if(!o.typeParameters)o.typeParameters=_.typeParameters;else return;if(_.thisParameter){const S=o.thisParameter;(!S||S.valueDeclaration&&!S.valueDeclaration.type)&&(S||(o.thisParameter=PN(_.thisParameter,void 0)),ote(o.thisParameter,Yn(_.thisParameter)))}const y=o.parameters.length-(Ch(o)?1:0);for(let S=0;S<y;S++){const D=o.parameters[S],L=D.valueDeclaration;if(!dd(L)){let B=nE(_,S);if(B&&L.initializer){let K=eF(L,0);!Da(K,B)&&Da(B,K=c5e(L,K))&&(B=K)}ote(D,B)}}if(Ch(o)){const S=va(o.parameters);if(S.valueDeclaration?!dd(S.valueDeclaration):Iu(S)&65536){const D=ste(_,y);ote(S,D)}}}function Pti(o){o.thisParameter&&ote(o.thisParameter);for(const _ of o.parameters)ote(_)}function ote(o,_){const y=Ys(o);if(y.type)_&&j.assertEqual(y.type,_,"Parameter symbol already has a cached type which differs from newly assigned type");else{const S=o.valueDeclaration;y.type=Dd(_||(S?lr(S,!0):Yn(o)),!1,!!S&&!S.initializer&&g3(S)),S&&S.name.kind!==80&&(y.type===te&&(y.type=bi(S.name)),N0t(S.name,y.type))}}function N0t(o,_){for(const y of o.elements)if(!Bd(y)){const S=$c(y,_,!1);y.name.kind===80?Ys(xr(y)).type=S:N0t(y.name,S)}}function Ati(o){return WO(UKt(!0),[o])}function Oti(o,_){return WO(qKt(!0),[o,_])}function Mti(o,_){return WO($Kt(!0),[o,_])}function Rti(o,_){return WO(JKt(!0),[o,_])}function Fti(o,_){return WO(GKt(!0),[o,_])}function Bti(o,_){return WO(QKt(!0),[o,_])}function Wti(o,_,y){const S=`${_?"p":"P"}${y?"s":"S"}${o.id}`;let D=Ji.get(S);if(!D){const L=_a();L.set("name",BS("name",o)),L.set("private",BS("private",_?Pt:ln)),L.set("static",BS("static",y?Pt:ln)),D=Xs(void 0,L,w,w,w),Ji.set(S,D)}return D}function L0t(o,_,y){const S=bd(o),D=Vs(o.name),L=D?V_(Fr(o.name)):xC(o.name),B=Nu(o)?Oti(_,y):um(o)?Mti(_,y):kp(o)?Rti(_,y):I_(o)?Fti(_,y):Lo(o)?Bti(_,y):j.failBadSyntaxKind(o),K=Wti(L,D,S);return Wa([B,K])}function Vti(o,_){return WO(KKt(!0),[o,_])}function Hti(o,_){return WO(XKt(!0),[o,_])}function jti(o,_){const y=p0("this",o),S=p0("value",_);return p5e(void 0,y,[S],_,void 0,1)}function Y7e(o,_,y){const S=p0("target",o),D=p0("context",_),L=is([y,Ri]);return lU(void 0,void 0,[S,D],L)}function zti(o){const{parent:_}=o,y=yr(_);if(!y.decoratorSignature)switch(y.decoratorSignature=ci,_.kind){case 263:case 231:{const D=Yn(xr(_)),L=Ati(D);y.decoratorSignature=Y7e(D,L,D);break}case 174:case 177:case 178:{const S=_;if(!ss(S.parent))break;const D=Nu(S)?kN(Dm(S)):o4(S),L=bd(S)?Yn(xr(S.parent)):W_(xr(S.parent)),B=um(S)?u1t(D):kp(S)?d1t(D):D,K=L0t(S,L,D),ne=um(S)?u1t(D):kp(S)?d1t(D):D;y.decoratorSignature=Y7e(B,K,ne);break}case 172:{const S=_;if(!ss(S.parent))break;const D=o4(S),L=bd(S)?Yn(xr(S.parent)):W_(xr(S.parent)),B=O1(S)?Vti(L,D):tt,K=L0t(S,L,D),ne=O1(S)?Hti(L,D):jti(L,D);y.decoratorSignature=Y7e(B,K,ne);break}}return y.decoratorSignature===ci?void 0:y.decoratorSignature}function Uti(o){const{parent:_}=o,y=yr(_);if(!y.decoratorSignature)switch(y.decoratorSignature=ci,_.kind){case 263:case 231:{const D=Yn(xr(_)),L=p0("target",D);y.decoratorSignature=lU(void 0,void 0,[L],is([D,Ri]));break}case 169:{const S=_;if(!iu(S.parent)&&!(Nu(S.parent)||kp(S.parent)&&ss(S.parent.parent))||$T(S.parent)===S)break;const D=$T(S.parent)?S.parent.parameters.indexOf(S)-1:S.parent.parameters.indexOf(S);j.assert(D>=0);const L=iu(S.parent)?Yn(xr(S.parent.parent)):iyt(S.parent),B=iu(S.parent)?tt:nyt(S.parent),K=v0(D),ne=p0("target",L),ce=p0("propertyKey",B),ge=p0("parameterIndex",K);y.decoratorSignature=lU(void 0,void 0,[ne,ce,ge],Ri);break}case 174:case 177:case 178:case 172:{const S=_;if(!ss(S.parent))break;const D=iyt(S),L=p0("target",D),B=nyt(S),K=p0("propertyKey",B),ne=Lo(S)?Ri:spt(o4(S));if(!Lo(_)||O1(_)){const ge=spt(o4(S)),je=p0("descriptor",ge);y.decoratorSignature=lU(void 0,void 0,[L,K,je],is([ne,Ri]))}else y.decoratorSignature=lU(void 0,void 0,[L,K],is([ne,Ri]));break}}return y.decoratorSignature===ci?void 0:y.decoratorSignature}function e5e(o){return pe?Uti(o):zti(o)}function ate(o){const _=fee(!0);return _!==oo?(o=tw(rF(o))||te,wC(_,[o])):te}function P0t(o){const _=ept(!0);return _!==oo?(o=tw(rF(o))||te,wC(_,[o])):te}function cte(o,_){const y=ate(_);return y===te?(ft(o,zp(o)?k.A_dynamic_import_call_returns_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES2015_in_your_lib_option:k.An_async_function_or_method_must_return_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES2015_in_your_lib_option),vt):(JRe(!0)||ft(o,zp(o)?k.A_dynamic_import_call_in_ES5_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option:k.An_async_function_or_method_in_ES5_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option),y)}function qti(o){const _=$a(0,"NewTargetExpression"),y=$a(4,"target",8);y.parent=_,y.links.type=o;const S=_a([y]);return _.members=S,Xs(_,S,w,w,w)}function dge(o,_){if(!o.body)return vt;const y=nd(o),S=(y&2)!==0,D=(y&1)!==0;let L,B,K,ne=Ri;if(o.body.kind!==241)L=ju(o.body,_&&_&-9),S&&(L=rF(cU(L,!1,o,k.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member)));else if(D){const ce=R0t(o,_);ce?ce.length>0&&(L=is(ce,2)):ne=Ai;const{yieldTypes:ge,nextTypes:je}=$ti(o,_);B=Ft(ge)?is(ge,2):void 0,K=Ft(je)?Wa(je):void 0}else{const ce=R0t(o,_);if(!ce)return y&2?cte(o,Ai):Ai;if(ce.length===0){const ge=$me(o,void 0),je=ge&&(yte(ge,y)||Ri).flags&32768?tt:Ri;return y&2?cte(o,je):je}L=is(ce,2)}if(L||B||K){if(B&&Pme(o,B,3),L&&Pme(o,L,1),K&&Pme(o,K,2),L&&c1(L)||B&&c1(B)||K&&c1(K)){const ce=b7e(o),ge=ce?ce===Dm(o)?D?void 0:L:Gme(Oc(ce),o,void 0):void 0;D?(B=M8e(B,ge,0,S),L=M8e(L,ge,1,S),K=M8e(K,ge,2,S)):L=zQt(L,ge,S)}B&&(B=lp(B)),L&&(L=lp(L)),K&&(K=lp(K))}return D?fge(B||Ai,L||ne,K||ygt(2,o)||te,S):S?ate(L||ne):L||ne}function fge(o,_,y,S){const D=S?Ic:Gl,L=D.getGlobalGeneratorType(!1);if(o=D.resolveIterationType(o,void 0)||te,_=D.resolveIterationType(_,void 0)||te,L===oo){const B=D.getGlobalIterableIteratorType(!1);return B!==oo?q5(B,[o,_,y]):(D.getGlobalIterableIteratorType(!0),Jo)}return q5(L,[o,_,y])}function $ti(o,_){const y=[],S=[],D=(nd(o)&2)!==0;return fTe(o.body,L=>{const B=L.expression?uo(L.expression,_):Gt;mu(y,A0t(L,B,Je,D));let K;if(L.asteriskToken){const ne=xge(B,D?19:17,L.expression);K=ne&&ne.nextType}else K=g_(L,void 0);K&&mu(S,K)}),{yieldTypes:y,nextTypes:S}}function A0t(o,_,y,S){const D=o.expression||o,L=o.asteriskToken?iw(S?19:17,_,y,D):_;return S?r4(L,D,o.asteriskToken?k.Type_of_iterated_elements_of_a_yield_Asterisk_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:k.Type_of_yield_operand_in_an_async_generator_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member):L}function O0t(o,_,y){let S=0;for(let D=0;D<y.length;D++){const L=D<o||D>=_?y[D]:void 0;S|=L!==void 0?kNe.get(L)||32768:0}return S}function M0t(o){const _=yr(o);if(_.isExhaustive===void 0){_.isExhaustive=0;const y=Jti(o);_.isExhaustive===0&&(_.isExhaustive=y)}else _.isExhaustive===0&&(_.isExhaustive=!1);return _.isExhaustive}function Jti(o){if(o.expression.kind===221){const S=Umt(o);if(!S)return!1;const D=iv(ju(o.expression.expression)),L=O0t(0,0,S);return D.flags&3?(556800&L)===556800:!dg(D,B=>qO(B,L)===L)}const _=ju(o.expression);if(!Hz(_))return!1;const y=Fme(o);return!y.length||Ft(y,VQt)?!1:WZt(eu(_,Xh),y)}function t5e(o){return o.endFlowNode&&Wee(o.endFlowNode)}function R0t(o,_){const y=nd(o),S=[];let D=t5e(o),L=!1;if(uS(o.body,B=>{let K=B.expression;if(K){if(K=Dc(K,!0),y&2&&K.kind===223&&(K=Dc(K.expression,!0)),K.kind===213&&K.expression.kind===80&&ju(K.expression).symbol===wc(o.symbol)&&(!lx(o.symbol.valueDeclaration)||s7e(K.expression))){L=!0;return}let ne=ju(K,_&&_&-9);y&2&&(ne=rF(cU(ne,!1,o,k.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member))),ne.flags&131072&&(L=!0),mu(S,ne)}else D=!0}),!(S.length===0&&!D&&(L||Gti(o))))return we&&S.length&&D&&!(dy(o)&&S.some(B=>B.symbol===o.symbol))&&mu(S,tt),S}function Gti(o){switch(o.kind){case 218:case 219:return!0;case 174:return o.parent.kind===210;default:return!1}}function Kti(o){switch(o.kind){case 176:case 177:case 178:return}if(nd(o)!==0)return;let y;if(o.body&&o.body.kind!==241)y=o.body;else if(uS(o.body,D=>{if(y||!D.expression)return!0;y=D.expression})||!y||t5e(o))return;return Xti(o,y)}function Xti(o,_){if(_=Dc(_,!0),!!(ju(_).flags&16))return O(o.parameters,(S,D)=>{const L=Yn(S.symbol);if(!L||L.flags&16||!ot(S.name)||Hee(S.symbol)||Oy(S))return;const B=Qti(o,_,S,L);if(B)return Iz(1,Ws(S.name.escapedText),D,B)})}function Qti(o,_,y,S){const D=_.flowNode||_.parent.kind===253&&_.parent.flowNode||zy(2,void 0,void 0),L=zy(32,_,D),B=LC(y.name,S,S,o,L);if(B===S)return;const K=zy(64,_,D);return LC(y.name,S,B,o,K).flags&131072?B:void 0}function i5e(o,_){a(y);return;function y(){const S=nd(o),D=_&&yte(_,S);if(D&&(Au(D,16384)||D.flags&32769)||o.kind===173||lu(o.body)||o.body.kind!==241||!t5e(o))return;const L=o.flags&1024,B=wp(o)||o;if(D&&D.flags&131072)ft(B,k.A_function_returning_never_cannot_have_a_reachable_end_point);else if(D&&!L)ft(B,k.A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value);else if(D&&we&&!Da(tt,D))ft(B,k.Function_lacks_ending_return_statement_and_return_type_does_not_include_undefined);else if(Q.noImplicitReturns){if(!D){if(!L)return;const K=Oc(Dm(o));if(N1t(o,K))return}ft(B,k.Not_all_code_paths_return_a_value)}}}function F0t(o,_){if(j.assert(o.kind!==174||Ig(o)),s4(o),ml(o)&&sF(o,o.name),_&&_&4&&Em(o)){if(!wp(o)&&!eX(o)){const S=Qz(o);if(S&&Yx(Oc(S))){const D=yr(o);if(D.contextFreeType)return D.contextFreeType;const L=dge(o,_),B=_b(void 0,void 0,void 0,w,L,void 0,0,64),K=Xs(o.symbol,X,[B],w,w);return K.objectFlags|=262144,D.contextFreeType=K}}return tc}return!Bge(o)&&o.kind===218&&H5e(o),Zti(o,_),Yn(xr(o))}function Zti(o,_){const y=yr(o);if(!(y.flags&64)){const S=Qz(o);if(!(y.flags&64)){y.flags|=64;const D=Ra(ia(Yn(xr(o)),0));if(!D)return;if(Em(o))if(S){const L=tE(o);let B;if(_&&_&2){I0t(D,S,L);const K=eU(S);K&&K.flags&262144&&(B=QA(S,L.nonFixingMapper))}B||(B=L?QA(S,L.mapper):S),Lti(D,B)}else Pti(D);else if(S&&!o.typeParameters&&S.parameters.length>o.parameters.length){const L=tE(o);_&&_&2&&I0t(D,S,L)}if(S&&!BO(o)&&!D.resolvedReturnType){const L=dge(o,_);D.resolvedReturnType||(D.resolvedReturnType=L)}rU(o)}}}function Yti(o){j.assert(o.kind!==174||Ig(o));const _=nd(o),y=BO(o);if(i5e(o,y),o.body)if(wp(o)||Oc(Dm(o)),o.body.kind===241)za(o.body);else{const S=uo(o.body),D=y&&yte(y,_);if(D){const L=oge(o.body);if((_&3)===2){const B=cU(S,!1,L,k.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member);Xx(B,D,L,L)}else Xx(S,D,L,L)}}}function hge(o,_,y,S=!1){if(!Da(_,qo)){const D=S&&nF(_);return N2(o,!!D&&Da(D,qo),y),!1}return!0}function eii(o){if(!la(o)||!vI(o))return!1;const _=ju(o.arguments[2]);if(Yu(_,"value")){const D=Ta(_,"writable"),L=D&&Yn(D);if(!L||L===ln||L===Un)return!0;if(D&&D.valueDeclaration&&_d(D.valueDeclaration)){const B=D.valueDeclaration.initializer,K=uo(B);if(K===ln||K===Un)return!0}return!1}return!Ta(_,"set")}function d1(o){return!!(Iu(o)&8||o.flags&4&&$m(o)&8||o.flags&3&&k7e(o)&6||o.flags&98304&&!(o.flags&65536)||o.flags&8||Ft(o.declarations,eii))}function B0t(o,_,y){var S,D;if(y===0)return!1;if(d1(_)){if(_.flags&4&&Sl(o)&&o.expression.kind===110){const L=Up(o);if(!(L&&(L.kind===176||dy(L))))return!0;if(_.valueDeclaration){const B=ur(_.valueDeclaration),K=L.parent===_.valueDeclaration.parent,ne=L===_.valueDeclaration.parent,ce=B&&((S=_.parent)==null?void 0:S.valueDeclaration)===L.parent,ge=B&&((D=_.parent)==null?void 0:D.valueDeclaration)===L;return!(K||ne||ce||ge)}}return!0}if(Sl(o)){const L=Dc(o.expression);if(L.kind===80){const B=yr(L).resolvedSymbol;if(B.flags&2097152){const K=Dh(B);return!!K&&K.kind===274}}}return!1}function iU(o,_,y){const S=Vu(o,7);return S.kind!==80&&!Sl(S)?(ft(o,_),!1):S.flags&64?(ft(o,y),!1):!0}function tii(o){uo(o.expression);const _=Dc(o.expression);if(!Sl(_))return ft(_,k.The_operand_of_a_delete_operator_must_be_a_property_reference),fi;Nr(_)&&Vs(_.name)&&ft(_,k.The_operand_of_a_delete_operator_cannot_be_a_private_identifier);const y=yr(_),S=Rp(y.resolvedSymbol);return S&&(d1(S)?ft(_,k.The_operand_of_a_delete_operator_cannot_be_a_read_only_property):iii(_,S)),fi}function iii(o,_){const y=Yn(_);we&&!(y.flags&131075)&&!(Ne?_.flags&16777216:l1(y,16777216))&&ft(o,k.The_operand_of_a_delete_operator_must_be_optional)}function nii(o){return uo(o.expression),YI}function rii(o){return s4(o),Gt}function W0t(o){let _=!1;const y=qG(o);if(y&&gu(y)){const S=hx(o)?k.await_expression_cannot_be_used_inside_a_class_static_block:k.await_using_statements_cannot_be_used_inside_a_class_static_block;ft(o,S),_=!0}else if(!(o.flags&65536))if(JG(o)){const S=_n(o);if(!tk(S)){let D;if(!aP(S,Q)){D??(D=E1(S,o.pos));const L=hx(o)?k.await_expressions_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module:k.await_using_statements_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module,B=Md(S,D.start,D.length,L);lc.add(B),_=!0}switch(oe){case 100:case 199:if(S.impliedNodeFormat===1){D??(D=E1(S,o.pos)),lc.add(Md(S,D.start,D.length,k.The_current_file_is_a_CommonJS_module_and_cannot_use_await_at_the_top_level)),_=!0;break}case 7:case 99:case 200:case 4:if(re>=4)break;default:D??(D=E1(S,o.pos));const L=hx(o)?k.Top_level_await_expressions_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher:k.Top_level_await_using_statements_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher;lc.add(Md(S,D.start,D.length,L)),_=!0;break}}}else{const S=_n(o);if(!tk(S)){const D=E1(S,o.pos),L=hx(o)?k.await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules:k.await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules,B=Md(S,D.start,D.length,L);if(y&&y.kind!==176&&!(nd(y)&2)){const K=Kn(y,k.Did_you_mean_to_mark_this_function_as_async);da(B,K)}lc.add(B),_=!0}}return hx(o)&&p7e(o)&&(ft(o,k.await_expressions_cannot_be_used_in_a_parameter_initializer),_=!0),_}function sii(o){a(()=>W0t(o));const _=uo(o.expression),y=cU(_,!0,o,k.Type_of_await_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member);return y===_&&!fe(y)&&!(_.flags&3)&&Z0(!1,Kn(o,k.await_has_no_effect_on_the_type_of_this_expression)),y}function oii(o){const _=uo(o.operand);if(_===Xn)return Xn;switch(o.operand.kind){case 9:switch(o.operator){case 41:return XA(v0(-o.operand.text));case 40:return XA(v0(+o.operand.text))}break;case 10:if(o.operator===41)return XA(yee({negative:!0,base10Value:s7(o.operand.text)}))}switch(o.operator){case 40:case 41:case 55:return ly(_,o.operand),lte(_,12288)&&ft(o.operand,k.The_0_operator_cannot_be_applied_to_type_symbol,xa(o.operator)),o.operator===40?(lte(_,2112)&&ft(o.operand,k.Operator_0_cannot_be_applied_to_type_1,xa(o.operator),Hn(DC(_))),hi):n5e(_);case 54:b5e(_,o.operand);const y=qO(_,12582912);return y===4194304?ln:y===8388608?Pt:fi;case 46:case 47:return hge(o.operand,ly(_,o.operand),k.An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type)&&iU(o.operand,k.The_operand_of_an_increment_or_decrement_operator_must_be_a_variable_or_a_property_access,k.The_operand_of_an_increment_or_decrement_operator_may_not_be_an_optional_property_access),n5e(_)}return vt}function aii(o){const _=uo(o.operand);return _===Xn?Xn:(hge(o.operand,ly(_,o.operand),k.An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type)&&iU(o.operand,k.The_operand_of_an_increment_or_decrement_operator_must_be_a_variable_or_a_property_access,k.The_operand_of_an_increment_or_decrement_operator_may_not_be_an_optional_property_access),n5e(_))}function n5e(o){return Au(o,2112)?Gf(o,3)||Au(o,296)?qo:vn:hi}function lte(o,_){if(Au(o,_))return!0;const y=iv(o);return!!y&&Au(y,_)}function Au(o,_){if(o.flags&_)return!0;if(o.flags&3145728){const y=o.types;for(const S of y)if(Au(S,_))return!0}return!1}function Gf(o,_,y){return o.flags&_?!0:y&&o.flags&114691?!1:!!(_&296)&&Da(o,hi)||!!(_&2112)&&Da(o,vn)||!!(_&402653316)&&Da(o,st)||!!(_&528)&&Da(o,fi)||!!(_&16384)&&Da(o,Ri)||!!(_&131072)&&Da(o,Ai)||!!(_&65536)&&Da(o,Qt)||!!(_&32768)&&Da(o,tt)||!!(_&4096)&&Da(o,wi)||!!(_&67108864)&&Da(o,zi)}function nU(o,_,y){return o.flags&1048576?Ce(o.types,S=>nU(S,_,y)):Gf(o,_,y)}function _ge(o){return!!(Or(o)&16)&&!!o.symbol&&r5e(o.symbol)}function r5e(o){return(o.flags&128)!==0}function s5e(o){const _=T1t("hasInstance");if(nU(o,67108864)){const y=Ta(o,_);if(y){const S=Yn(y);if(S&&ia(S,0).length!==0)return S}}}function cii(o,_,y,S,D){if(y===Xn||S===Xn)return Xn;!Nc(y)&&nU(y,402784252)&&ft(o,k.The_left_hand_side_of_an_instanceof_expression_must_be_of_type_any_an_object_type_or_a_type_parameter),j.assert(wK(o.parent));const L=QO(o.parent,void 0,D);if(L===Rn)return Xn;const B=Oc(L);return Nh(B,fi,_,k.An_object_s_Symbol_hasInstance_method_must_return_a_boolean_value_for_it_to_be_used_on_the_right_hand_side_of_an_instanceof_expression),fi}function lii(o){return dg(o,_=>_===pc||!!(_.flags&2097152)&&sv(iv(_)))}function uii(o,_,y,S){if(y===Xn||S===Xn)return Xn;if(Vs(o)){if((re<9||re<99||!de)&&zd(o,2097152),!yr(o).resolvedSymbol&&Cf(o)){const D=L7e(o,S.symbol,!0);qgt(o,S,D)}}else Nh(ly(y,o),vi,o);return Nh(ly(S,_),zi,_)&&lii(S)&&ft(_,k.Type_0_may_represent_a_primitive_value_which_is_not_permitted_as_the_right_operand_of_the_in_operator,Hn(S)),fi}function dii(o,_,y){const S=o.properties;if(we&&S.length===0)return ly(_,o);for(let D=0;D<S.length;D++)V0t(o,_,D,S,y);return _}function V0t(o,_,y,S,D=!1){const L=o.properties,B=L[y];if(B.kind===303||B.kind===304){const K=B.name,ne=xC(K);if(Jm(ne)){const je=Gm(ne),Be=Ta(_,je);Be&&(Zee(Be,B,D),D7e(B,!1,!0,_,Be))}const ce=ap(_,ne,32|(i4(B)?16:0),K),ge=an(B,ce);return HN(B.kind===304?B:B.initializer,ge)}else if(B.kind===305)if(y<L.length-1)ft(B,k.A_rest_element_must_be_last_in_a_destructuring_pattern);else{re<5&&zd(B,4);const K=[];if(S)for(const ce of S)Kv(ce)||K.push(ce.name);const ne=mt(_,K,_.symbol);return c4(S,k.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma),HN(B.expression,ne)}else ft(B,k.Property_assignment_expected)}function fii(o,_,y){const S=o.elements;re<2&&Q.downlevelIteration&&zd(o,512);const D=iw(193,_,tt,o)||vt;let L=Q.noUncheckedIndexedAccess?void 0:D;for(let B=0;B<S.length;B++){let K=D;o.elements[B].kind===230&&(K=L=L??(iw(65,_,tt,o)||vt)),H0t(o,_,B,K,y)}return _}function H0t(o,_,y,S,D){const L=o.elements,B=L[y];if(B.kind!==232){if(B.kind!==230){const K=v0(y);if(YS(_)){const ne=32|(i4(B)?16:0),ce=Gx(_,K,ne,ete(B,K))||vt,ge=i4(B)?Qg(ce,524288):ce,je=an(B,ge);return HN(B,je,D)}return HN(B,S,D)}if(y<L.length-1)ft(B,k.A_rest_element_must_be_last_in_a_destructuring_pattern);else{const K=B.expression;if(K.kind===226&&K.operatorToken.kind===64)ft(K.operatorToken,k.A_rest_element_cannot_have_an_initializer);else{c4(o.elements,k.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma);const ne=up(_,Qa)?eu(_,ce=>$5(ce,y)):hh(S);return HN(K,ne,D)}}}}function HN(o,_,y,S){let D;if(o.kind===304){const L=o;L.objectAssignmentInitializer&&(we&&!l1(uo(L.objectAssignmentInitializer),16777216)&&(_=Qg(_,524288)),mii(L.name,L.equalsToken,L.objectAssignmentInitializer,y)),D=o.name}else D=o;return D.kind===226&&D.operatorToken.kind===64&&(He(D,y),D=D.left,we&&(_=Qg(_,524288))),D.kind===210?dii(D,_,S):D.kind===209?fii(D,_,y):hii(D,_,y)}function hii(o,_,y){const S=uo(o,y),D=o.parent.kind===305?k.The_target_of_an_object_rest_assignment_must_be_a_variable_or_a_property_access:k.The_left_hand_side_of_an_assignment_expression_must_be_a_variable_or_a_property_access,L=o.parent.kind===305?k.The_target_of_an_object_rest_assignment_may_not_be_an_optional_property_access:k.The_left_hand_side_of_an_assignment_expression_may_not_be_an_optional_property_access;return iU(o,D,L)&&Xx(_,S,o,o),F6(o)&&zd(o.parent,1048576),_}function ute(o){switch(o=Dc(o),o.kind){case 80:case 11:case 14:case 215:case 228:case 15:case 9:case 10:case 112:case 97:case 106:case 157:case 218:case 231:case 219:case 209:case 210:case 221:case 235:case 285:case 284:return!0;case 227:return ute(o.whenTrue)&&ute(o.whenFalse);case 226:return Gb(o.operatorToken.kind)?!1:ute(o.left)&&ute(o.right);case 224:case 225:switch(o.operator){case 54:case 40:case 41:case 55:return!0}return!1;case 222:case 216:case 234:default:return!1}}function o5e(o,_){return(_.flags&98304)!==0||mme(o,_)}function _ii(){const o=BX(_,y,S,D,L,B);return(Be,kt)=>{const Vt=o(Be,kt);return j.assertIsDefined(Vt),Vt};function _(Be,kt,Vt){return kt?(kt.stackIndex++,kt.skip=!1,ce(kt,void 0),je(kt,void 0)):kt={checkMode:Vt,skip:!1,stackIndex:0,typeStack:[void 0,void 0]},nr(Be)&&dP(Be)?(kt.skip=!0,je(kt,uo(Be.right,Vt)),kt):(pii(Be),Be.operatorToken.kind===64&&(Be.left.kind===210||Be.left.kind===209)&&(kt.skip=!0,je(kt,HN(Be.left,uo(Be.right,Vt),Vt,Be.right.kind===110))),kt)}function y(Be,kt,Vt){if(!kt.skip)return K(kt,Be)}function S(Be,kt,Vt){if(!kt.skip){const Kt=ge(kt);j.assertIsDefined(Kt),ce(kt,Kt),je(kt,void 0);const Oi=Be.kind;if(CK(Oi)){let Ki=Vt.parent;for(;Ki.kind===217||WV(Ki);)Ki=Ki.parent;(Oi===56||r2(Ki))&&v5e(Vt.left,Kt,r2(Ki)?Ki.thenStatement:void 0),BV(Oi)&&b5e(Kt,Vt.left)}}}function D(Be,kt,Vt){if(!kt.skip)return K(kt,Be)}function L(Be,kt){let Vt;if(kt.skip)Vt=ge(kt);else{const Kt=ne(kt);j.assertIsDefined(Kt);const Oi=ge(kt);j.assertIsDefined(Oi),Vt=j0t(Be.left,Be.operatorToken,Be.right,Kt,Oi,kt.checkMode,Be)}return kt.skip=!1,ce(kt,void 0),je(kt,void 0),kt.stackIndex--,Vt}function B(Be,kt,Vt){return je(Be,kt),Be}function K(Be,kt){if(ur(kt))return kt;je(Be,uo(kt,Be.checkMode))}function ne(Be){return Be.typeStack[Be.stackIndex]}function ce(Be,kt){Be.typeStack[Be.stackIndex]=kt}function ge(Be){return Be.typeStack[Be.stackIndex+1]}function je(Be,kt){Be.typeStack[Be.stackIndex+1]=kt}}function pii(o){const{left:_,operatorToken:y,right:S}=o;if(y.kind===61){ur(_)&&(_.operatorToken.kind===57||_.operatorToken.kind===56)&&sn(_,k._0_and_1_operations_cannot_be_mixed_without_parentheses,xa(_.operatorToken.kind),xa(y.kind)),ur(S)&&(S.operatorToken.kind===57||S.operatorToken.kind===56)&&sn(S,k._0_and_1_operations_cannot_be_mixed_without_parentheses,xa(S.operatorToken.kind),xa(y.kind));const D=Vu(_,31),L=a5e(D);L!==3&&(o.parent.kind===226?ft(D,k.This_binary_expression_is_never_nullish_Are_you_missing_parentheses):L===1?ft(D,k.This_expression_is_always_nullish):ft(D,k.Right_operand_of_is_unreachable_because_the_left_operand_is_never_nullish))}}function a5e(o){switch(o=Vu(o),o.kind){case 223:case 213:case 212:case 214:case 211:case 229:case 110:return 3;case 226:switch(o.operatorToken.kind){case 64:case 61:case 78:case 57:case 76:case 56:case 77:return 3}return 2;case 227:return a5e(o.whenTrue)|a5e(o.whenFalse);case 106:return 1;case 80:return Lh(o)===et?1:3}return 2}function mii(o,_,y,S,D){const L=_.kind;if(L===64&&(o.kind===210||o.kind===209))return HN(o,uo(y,S),S,y.kind===110);let B;BV(L)?B=hU(o,S):B=uo(o,S);const K=uo(y,S);return j0t(o,_,y,B,K,S,D)}function j0t(o,_,y,S,D,L,B){const K=_.kind;switch(K){case 42:case 43:case 67:case 68:case 44:case 69:case 45:case 70:case 41:case 66:case 48:case 71:case 49:case 72:case 50:case 73:case 52:case 75:case 53:case 79:case 51:case 74:if(S===Xn||D===Xn)return Xn;S=ly(S,o),D=ly(D,y);let rn;if(S.flags&528&&D.flags&528&&(rn=Be(_.kind))!==void 0)return ft(B||_,k.The_0_operator_is_not_allowed_for_boolean_types_Consider_using_1_instead,xa(_.kind),xa(rn)),hi;{const Gr=hge(o,S,k.The_left_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type,!0),vs=hge(y,D,k.The_right_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type,!0);let Ur;if(Gf(S,3)&&Gf(D,3)||!(Au(S,2112)||Au(D,2112)))Ur=hi;else if(ne(S,D)){switch(K){case 50:case 73:Oi();break;case 43:case 68:re<3&&ft(B,k.Exponentiation_cannot_be_performed_on_bigint_values_unless_the_target_option_is_set_to_es2016_or_later)}Ur=vn}else Oi(ne),Ur=vt;if(Gr&&vs)switch(kt(Ur),K){case 48:case 71:case 49:case 72:case 50:case 73:const ga=Nt(y);typeof ga.value=="number"&&Math.abs(ga.value)>=32&&Z1(SS(By(y.parent.parent)),B||_,k.This_operation_can_be_simplified_This_shift_is_identical_to_0_1_2,uu(o),xa(K),ga.value%32);break}return Ur}case 40:case 65:if(S===Xn||D===Xn)return Xn;!Gf(S,402653316)&&!Gf(D,402653316)&&(S=ly(S,o),D=ly(D,y));let Ui;return Gf(S,296,!0)&&Gf(D,296,!0)?Ui=hi:Gf(S,2112,!0)&&Gf(D,2112,!0)?Ui=vn:Gf(S,402653316,!0)||Gf(D,402653316,!0)?Ui=st:(Nc(S)||Nc(D))&&(Ui=fe(S)||fe(D)?vt:Je),Ui&&!je(K)?Ui:Ui?(K===65&&kt(Ui),Ui):(Oi((vs,Ur)=>Gf(vs,402655727)&&Gf(Ur,402655727)),Je);case 30:case 32:case 33:case 34:return je(K)&&(S=A8e(ly(S,o)),D=A8e(ly(D,y)),Kt((Gr,vs)=>{if(Nc(Gr)||Nc(vs))return!0;const Ur=Da(Gr,qo),ga=Da(vs,qo);return Ur&&ga||!Ur&&!ga&&wee(Gr,vs)})),fi;case 35:case 36:case 37:case 38:if(!(L&&L&64)){if((Yoe(o)||Yoe(y))&&(!nr(o)||K===37||K===38)){const Gr=K===35||K===37;ft(B,k.This_condition_will_always_return_0_since_JavaScript_compares_objects_by_reference_not_value,Gr?"false":"true")}qn(B,K,o,y),Kt((Gr,vs)=>o5e(Gr,vs)||o5e(vs,Gr))}return fi;case 104:return cii(o,y,S,D,L);case 103:return uii(o,y,S,D);case 56:case 77:{const Gr=l1(S,4194304)?is([$Qt(we?S:DC(D)),D]):S;return K===77&&kt(D),Gr}case 57:case 76:{const Gr=l1(S,8388608)?is([EC(mmt(S)),D],2):S;return K===76&&kt(D),Gr}case 61:case 78:{const Gr=l1(S,262144)?is([EC(S),D],2):S;return K===78&&kt(D),Gr}case 64:const fr=ur(o.parent)?Bu(o.parent):0;return ce(fr,D),Vt(fr)?((!(D.flags&524288)||fr!==2&&fr!==6&&!TC(D)&&!e7e(D)&&!(Or(D)&1))&&kt(D),S):(kt(D),D);case 28:if(!Q.allowUnreachableCode&&ute(o)&&!ge(o.parent)){const Gr=_n(o),vs=Gr.text,Ur=Va(vs,o.pos);Gr.parseDiagnostics.some(fa=>fa.code!==k.JSX_expressions_must_have_one_parent_element.code?!1:Woe(fa,Ur))||ft(o,k.Left_side_of_comma_operator_is_unused_and_has_no_side_effects)}return D;default:return j.fail()}function ne(rn,Ui){return Gf(rn,2112)&&Gf(Ui,2112)}function ce(rn,Ui){if(rn===2)for(const fr of XS(Ui)){const Gr=Yn(fr);if(Gr.symbol&&Gr.symbol.flags&32){const vs=fr.escapedName,Ur=_i(fr.valueDeclaration,vs,788968,void 0,!1);Ur!=null&&Ur.declarations&&Ur.declarations.some(MI)&&(Ky(Ur,k.Duplicate_identifier_0,Ws(vs),fr),Ky(fr,k.Duplicate_identifier_0,Ws(vs),Ur))}}}function ge(rn){return rn.parent.kind===217&&A_(rn.left)&&rn.left.text==="0"&&(la(rn.parent.parent)&&rn.parent.parent.expression===rn.parent||rn.parent.parent.kind===215)&&(Sl(rn.right)||ot(rn.right)&&rn.right.escapedText==="eval")}function je(rn){const Ui=lte(S,12288)?o:lte(D,12288)?y:void 0;return Ui?(ft(Ui,k.The_0_operator_cannot_be_applied_to_type_symbol,xa(rn)),!1):!0}function Be(rn){switch(rn){case 52:case 75:return 57;case 53:case 79:return 38;case 51:case 74:return 56;default:return}}function kt(rn){Gb(K)&&a(Ui);function Ui(){let fr=S;if(z7(_.kind)&&o.kind===211&&(fr=tge(o,void 0,!0)),iU(o,k.The_left_hand_side_of_an_assignment_expression_must_be_a_variable_or_a_property_access,k.The_left_hand_side_of_an_assignment_expression_may_not_be_an_optional_property_access)){let Gr;if(Ne&&Nr(o)&&Au(rn,32768)){const vs=Yu(Kf(o.expression),o.name.escapedText);yme(rn,vs)&&(Gr=k.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target)}Xx(rn,fr,o,y,Gr)}}}function Vt(rn){var Ui;switch(rn){case 2:return!0;case 1:case 5:case 6:case 3:case 4:const fr=p_(o),Gr=dP(y);return!!Gr&&Aa(Gr)&&!!((Ui=fr==null?void 0:fr.exports)!=null&&Ui.size);default:return!1}}function Kt(rn){return rn(S,D)?!1:(Oi(rn),!0)}function Oi(rn){let Ui=!1;const fr=B||_;if(rn){const fa=tw(S),Al=tw(D);Ui=!(fa===S&&Al===D)&&!!(fa&&Al)&&rn(fa,Al)}let Gr=S,vs=D;!Ui&&rn&&([Gr,vs]=gii(S,D,rn));const[Ur,ga]=O5(Gr,vs);Ki(fr,Ui,Ur,ga)||N2(fr,Ui,k.Operator_0_cannot_be_applied_to_types_1_and_2,xa(_.kind),Ur,ga)}function Ki(rn,Ui,fr,Gr){switch(_.kind){case 37:case 35:case 38:case 36:return N2(rn,Ui,k.This_comparison_appears_to_be_unintentional_because_the_types_0_and_1_have_no_overlap,fr,Gr);default:return}}function qn(rn,Ui,fr,Gr){const vs=Qn(Dc(fr)),Ur=Qn(Dc(Gr));if(vs||Ur){const ga=ft(rn,k.This_condition_will_always_return_0,xa(Ui===37||Ui===35?97:112));if(vs&&Ur)return;const fa=Ui===38||Ui===36?xa(54):"",Al=vs?Gr:fr,Po=Dc(Al);da(ga,Kn(Al,k.Did_you_mean_0,`${fa}Number.isNaN(${pl(Po)?bp(Po):"..."})`))}}function Qn(rn){if(ot(rn)&&rn.escapedText==="NaN"){const Ui=ZKt();return!!Ui&&Ui===Lh(rn)}return!1}}function gii(o,_,y){let S=o,D=_;const L=DC(o),B=DC(_);return y(L,B)||(S=L,D=B),[S,D]}function yii(o){a(je);const _=Up(o);if(!_)return Je;const y=nd(_);if(!(y&1))return Je;const S=(y&2)!==0;o.asteriskToken&&(S&&re<5&&zd(o,26624),!S&&re<2&&Q.downlevelIteration&&zd(o,256));let D=BO(_);D&&D.flags&1048576&&(D=ad(D,Be=>d5e(Be,y,void 0)));const L=D&&D5e(D,S),B=L&&L.yieldType||Je,K=L&&L.nextType||Je,ne=o.expression?uo(o.expression):Gt,ce=A0t(o,ne,K,S);if(D&&ce&&Xx(ce,B,o.expression||o,o.expression),o.asteriskToken)return w5e(S?19:17,1,ne,o.expression)||Je;if(D)return rE(2,D,S)||Je;let ge=ygt(2,_);return ge||(ge=Je,a(()=>{if(De&&!QDe(o)){const Be=g_(o,void 0);(!Be||Nc(Be))&&ft(o,k.yield_expression_implicitly_results_in_an_any_type_because_its_containing_generator_lacks_a_return_type_annotation)}})),ge;function je(){o.flags&16384||Df(o,k.A_yield_expression_is_only_allowed_in_a_generator_body),p7e(o)&&ft(o,k.yield_expressions_cannot_be_used_in_a_parameter_initializer)}}function vii(o,_){const y=hU(o.condition,_);v5e(o.condition,y,o.whenTrue);const S=uo(o.whenTrue,_),D=uo(o.whenFalse,_);return is([S,D],2)}function z0t(o){const _=o.parent;return c_(_)&&z0t(_)||wl(_)&&_.argumentExpression===o}function bii(o){const _=[o.head.text],y=[];for(const D of o.templateSpans){const L=uo(D.expression);lte(L,12288)&&ft(D.expression,k.Implicit_conversion_of_a_symbol_to_a_string_will_fail_at_runtime_Consider_wrapping_this_expression_in_String),_.push(D.literal.text),y.push(Da(L,Wo)?L:st)}const S=o.parent.kind!==215&&Nt(o).value;return S?XA(V_(S)):tF(o)||z0t(o)||dg(g_(o,void 0)||te,Cii)?TN(_,y):st}function Cii(o){return!!(o.flags&134217856||o.flags&58982400&&Au(Jf(o)||te,402653316))}function Sii(o){return cD(o)&&!PI(o.parent)?o.parent.parent:o}function ZO(o,_,y,S){const D=Sii(o);qee(D,_,!1),BYt(D,y);const L=uo(o,S|1|(y?2:0));y&&y.intraExpressionInferenceSites&&(y.intraExpressionInferenceSites=void 0);const B=Au(L,2944)&&pge(L,Gme(_,o,void 0))?Xh(L):L;return WYt(),Xz(),B}function ju(o,_){if(_)return uo(o,_);const y=yr(o);if(!y.resolvedType){const S=ib,D=bm;ib=jg,bm=void 0,y.resolvedType=uo(o,_),bm=D,ib=S}return y.resolvedType}function U0t(o){return o=Dc(o,!0),o.kind===216||o.kind===234||FI(o)}function eF(o,_,y){const S=pV(o);if(nr(o)){const L=rX(o);if(L)return J7e(S,L,_)}const D=u5e(S)||(y?ZO(S,y,void 0,_||0):ju(S,_));if($s(ec(o)?YL(o):o)){if(o.name.kind===206&&ew(D))return wii(D,o.name);if(o.name.kind===207&&Qa(D))return xii(D,o.name)}return D}function wii(o,_){let y;for(const L of _.elements)if(L.initializer){const B=q0t(L);B&&!Ta(o,B)&&(y=fn(y,L))}if(!y)return o;const S=_a();for(const L of XS(o))S.set(L.escapedName,L);for(const L of y){const B=$a(16777220,q0t(L));B.links.type=ie(L,!1,!1),S.set(B.escapedName,B)}const D=Xs(o.symbol,S,w,w,Ih(o));return D.objectFlags=o.objectFlags,D}function q0t(o){const _=xC(o.propertyName||o.name);return Jm(_)?Gm(_):void 0}function xii(o,_){if(o.target.combinedFlags&12||QS(o)>=_.elements.length)return o;const y=_.elements,S=GD(o).slice(),D=o.target.elementFlags.slice();for(let L=QS(o);L<y.length;L++){const B=y[L];(L<y.length-1||!(B.kind===208&&B.dotDotDotToken))&&(S.push(!Bd(B)&&i4(B)?ie(B,!1,!1):Je),D.push(2),!Bd(B)&&!i4(B)&&G2(B,Je))}return rv(S,D,o.target.readonly)}function c5e(o,_){const y=$0t(o,_);if(nr(o)){if(lmt(y))return G2(o,Je),Je;if(xme(y))return G2(o,Yc),Yc}return y}function $0t(o,_){return oE(o)&6||tV(o)?_:J2(_)}function pge(o,_){if(_){if(_.flags&3145728){const y=_.types;return Ft(y,S=>pge(o,S))}if(_.flags&58982400){const y=Jf(_)||te;return Au(y,4)&&Au(o,128)||Au(y,8)&&Au(o,256)||Au(y,64)&&Au(o,2048)||Au(y,4096)&&Au(o,8192)||pge(o,y)}return!!(_.flags&406847616&&Au(o,128)||_.flags&256&&Au(o,256)||_.flags&2048&&Au(o,2048)||_.flags&512&&Au(o,512)||_.flags&8192&&Au(o,8192))}return!1}function tF(o){const _=o.parent;return Hk(_)&&O0(_.type)||FI(_)&&O0(N7(_))||$7e(o)&&xN(g_(o,0))||(c_(_)||jf(_)||Km(_))&&tF(_)||(_d(_)||lh(_)||w3(_))&&tF(_.parent)}function iF(o,_,y){const S=uo(o,_,y);return tF(o)||pTe(o)?Xh(S):U0t(o)?S:O8e(S,Gme(g_(o,void 0),o,void 0))}function J0t(o,_){return o.name.kind===167&&cy(o.name),iF(o.initializer,_)}function G0t(o,_){vyt(o),o.name.kind===167&&cy(o.name);const y=F0t(o,_);return K0t(o,y,_)}function K0t(o,_,y){if(y&&y&10){const S=Yz(_,0,!0),D=Yz(_,1,!0),L=S||D;if(L&&L.typeParameters){const B=X2(o,2);if(B){const K=Yz(EC(B),S?0:1,!1);if(K&&!K.typeParameters){if(y&8)return X0t(o,y),tc;const ne=tE(o),ce=ne.signature&&Oc(ne.signature),ge=ce&&n0t(ce);if(ge&&!ge.typeParameters&&!Ce(ne.inferences,YO)){const je=Eii(ne,L.typeParameters),Be=RRe(L,je),kt=qt(ne.inferences,Vt=>H8e(Vt.typeParameter));if(F8e(Be,K,(Vt,Kt)=>{NC(kt,Vt,Kt,0,!0)}),Ft(kt,YO)&&(B8e(Be,K,(Vt,Kt)=>{NC(kt,Vt,Kt)}),!Tii(ne.inferences,kt)))return Dii(ne.inferences,kt),ne.inferredTypeParameters=Js(ne.inferredTypeParameters,je),kN(Be)}return kN(r0t(L,K,ne),Rr(xx,je=>je&&qt(je.inferences,Be=>Be.typeParameter)).slice())}}}}return _}function X0t(o,_){if(_&2){const y=tE(o);y.flags|=4}}function YO(o){return!!(o.candidates||o.contraCandidates)}function kii(o){return!!(o.candidates||o.contraCandidates||C_t(o.typeParameter))}function Tii(o,_){for(let y=0;y<o.length;y++)if(YO(o[y])&&YO(_[y]))return!0;return!1}function Dii(o,_){for(let y=0;y<o.length;y++)!YO(o[y])&&YO(_[y])&&(o[y]=_[y])}function Eii(o,_){const y=[];let S,D;for(const L of _){const B=L.symbol.escapedName;if(l5e(o.inferredTypeParameters,B)||l5e(y,B)){const K=Iii(Js(o.inferredTypeParameters,y),B),ne=$a(262144,K),ce=Ut(ne);ce.target=L,S=fn(S,L),D=fn(D,ce),y.push(ce)}else y.push(L)}if(D){const L=cp(S,D);for(const B of D)B.mapper=L}return y}function l5e(o,_){return Ft(o,y=>y.symbol.escapedName===_)}function Iii(o,_){let y=_.length;for(;y>1&&_.charCodeAt(y-1)>=48&&_.charCodeAt(y-1)<=57;)y--;const S=_.slice(0,y);for(let D=1;;D++){const L=S+D;if(!l5e(o,L))return L}}function Q0t(o){const _=VN(o);if(_&&!_.typeParameters)return Oc(_)}function Nii(o){const _=uo(o.expression),y=jz(_,o.expression),S=Q0t(_);return S&&Eme(S,o,y!==_)}function Kf(o){const _=u5e(o);if(_)return _;if(o.flags&268435456&&bm){const D=bm[Sc(o)];if(D)return D}const y=AS,S=uo(o,64);if(AS!==y){const D=bm||(bm=[]);D[Sc(o)]=S,XDe(o,o.flags|268435456)}return S}function u5e(o){let _=Dc(o,!0);if(FI(_)){const y=N7(_);if(!O0(y))return Bs(y)}if(_=Dc(o),hx(_)){const y=u5e(_.expression);return y?r4(y):void 0}if(la(_)&&_.expression.kind!==108&&!L_(_,!0)&&!g0t(_))return cI(_)?Nii(_):Q0t(KO(_.expression));if(Hk(_)&&!O0(_.type))return Bs(_.type);if(lI(o)||C8(o))return uo(o)}function dte(o){const _=yr(o);if(_.contextFreeType)return _.contextFreeType;qee(o,Je,!1);const y=_.contextFreeType=uo(o,4);return Xz(),y}function uo(o,_,y){var S,D;(S=Zn)==null||S.push(Zn.Phase.Check,"checkExpression",{kind:o.kind,pos:o.pos,end:o.end,path:o.tracingPath});const L=H;H=o,N=0;const B=Aii(o,_,y),K=K0t(o,B,_);return _ge(K)&&Lii(o,K),H=L,(D=Zn)==null||D.pop(),K}function Lii(o,_){const y=o.parent.kind===211&&o.parent.expression===o||o.parent.kind===212&&o.parent.expression===o||(o.kind===80||o.kind===166)&&Lge(o)||o.parent.kind===186&&o.parent.exprName===o||o.parent.kind===281;if(y||ft(o,k.const_enums_can_only_be_used_in_property_or_index_access_expressions_or_the_right_hand_side_of_an_import_declaration_or_export_assignment_or_type_query),Q.isolatedModules||Q.verbatimModuleSyntax&&y&&!_i(o,K_(o),2097152,void 0,!1,!0)){j.assert(!!(_.symbol.flags&128));const S=_.symbol.valueDeclaration,D=t.getRedirectReferenceForResolutionFromSourceOfProject(_n(S).resolvedPath);S.flags&33554432&&!Yk(o)&&(!D||!sx(D.commandLine.options))&&ft(o,k.Cannot_access_ambient_const_enums_when_0_is_enabled,Et)}}function Pii(o,_){if(jp(o)){if(Kce(o))return J7e(o.expression,Xce(o),_);if(FI(o))return C0t(o,_)}return uo(o.expression,_)}function Aii(o,_,y){const S=o.kind;if(u)switch(S){case 231:case 218:case 219:u.throwIfCancellationRequested()}switch(S){case 80:return aYt(o,_);case 81:return Dei(o);case 110:return zee(o);case 108:return qme(o);case 106:return lt;case 15:case 11:return $8e(o)?ii:XA(V_(o.text));case 9:return Tyt(o),XA(v0(+o.text));case 10:return Roi(o),XA(yee({negative:!1,base10Value:s7(o.text)}));case 112:return Pt;case 97:return ln;case 228:return bii(o);case 14:return ZYt(o);case 209:return Tgt(o,_,y);case 210:return sei(o,_);case 211:return tge(o,_);case 166:return jgt(o,_);case 212:return jei(o,_);case 213:if(o.expression.kind===102)return bti(o);case 214:return vti(o,_);case 215:return Cti(o);case 217:return Pii(o,_);case 231:return wri(o);case 218:case 219:return F0t(o,_);case 221:return nii(o);case 216:case 234:return Sti(o,_);case 235:return kti(o);case 233:return w0t(o);case 238:return Tti(o);case 236:return Dti(o);case 220:return tii(o);case 222:return rii(o);case 223:return sii(o);case 224:return oii(o);case 225:return aii(o);case 226:return He(o,_);case 227:return vii(o,_);case 230:return YYt(o,_);case 232:return Gt;case 229:return yii(o);case 237:return eei(o);case 294:return bei(o,_);case 284:return lei(o);case 285:return aei(o);case 288:return uei(o);case 292:return fei(o,_);case 286:j.fail("Shouldn't ever directly check a JsxOpeningElement")}return vt}function Z0t(o){bb(o),o.expression&&Df(o.expression,k.Type_expected),za(o.constraint),za(o.default);const _=jD(xr(o));Jf(_),tKt(_)||ft(o.default,k.Type_parameter_0_has_a_circular_default,Hn(_));const y=m_(_),S=qD(_);y&&S&&Nh(S,Wp(io(y,QD(_,S)),S),o.default,k.Type_0_does_not_satisfy_the_constraint_1),s4(o),a(()=>oF(o.name,k.Type_parameter_name_cannot_be_0))}function Oii(o){var _,y;if(zf(o.parent)||ss(o.parent)||Mg(o.parent)){const S=jD(xr(o)),D=I8e(S)&24576;if(D){const L=xr(o.parent);if(Mg(o.parent)&&!(Or(gl(L))&52))ft(o,k.Variance_annotations_are_only_supported_in_type_aliases_for_object_function_constructor_and_mapped_types);else if(D===8192||D===16384){(_=Zn)==null||_.push(Zn.Phase.CheckTypes,"checkTypeParameterDeferred",{parent:kf(gl(L)),id:kf(S)});const B=Tee(L,S,D===16384?_e:Ve),K=Tee(L,S,D===16384?Ve:_e),ne=S;U=S,Nh(B,K,o,k.Type_0_is_not_assignable_to_type_1_as_implied_by_variance_annotation),U=ne,(y=Zn)==null||y.pop()}}}}function Y0t(o){bb(o),mte(o);const _=Up(o);Zr(o,31)&&(_.kind===176&&Dg(_.body)||ft(o,k.A_parameter_property_is_only_allowed_in_a_constructor_implementation),_.kind===176&&ot(o.name)&&o.name.escapedText==="constructor"&&ft(o.name,k.constructor_cannot_be_used_as_a_parameter_property_name)),!o.initializer&&g3(o)&&ta(o.name)&&_.body&&ft(o,k.A_binding_pattern_parameter_cannot_be_optional_in_an_implementation_signature),o.name&&ot(o.name)&&(o.name.escapedText==="this"||o.name.escapedText==="new")&&(_.parameters.indexOf(o)!==0&&ft(o,k.A_0_parameter_must_be_the_first_parameter,o.name.escapedText),(_.kind===176||_.kind===180||_.kind===185)&&ft(o,k.A_constructor_cannot_have_a_this_parameter),_.kind===219&&ft(o,k.An_arrow_function_cannot_have_a_this_parameter),(_.kind===177||_.kind===178)&&ft(o,k.get_and_set_accessors_cannot_declare_this_parameters)),o.dotDotDotToken&&!ta(o.name)&&!Da(a1(Yn(o.symbol)),od)&&ft(o,k.A_rest_parameter_must_be_of_an_array_type)}function Mii(o){const _=Rii(o);if(!_){ft(o,k.A_type_predicate_is_only_allowed_in_return_type_position_for_functions_and_methods);return}const y=Dm(_),S=cg(y);if(!S)return;za(o.type);const{parameterName:D}=o;if(S.kind!==0&&S.kind!==2){if(S.parameterIndex>=0){if(Ch(y)&&S.parameterIndex===y.parameters.length-1)ft(D,k.A_type_predicate_cannot_reference_a_rest_parameter);else if(S.type){const L=()=>jo(void 0,k.A_type_predicate_s_type_must_be_assignable_to_its_parameter_s_type);Nh(S.type,Yn(y.parameters[S.parameterIndex]),o.type,void 0,L)}}else if(D){let L=!1;for(const{name:B}of _.parameters)if(ta(B)&&e1t(B,D,S.parameterName)){L=!0;break}L||ft(o.parameterName,k.Cannot_find_parameter_0,S.parameterName)}}}function Rii(o){switch(o.parent.kind){case 219:case 179:case 262:case 218:case 184:case 174:case 173:const _=o.parent;if(o===_.type)return _}}function e1t(o,_,y){for(const S of o.elements){if(Bd(S))continue;const D=S.name;if(D.kind===80&&D.escapedText===y)return ft(_,k.A_type_predicate_cannot_reference_element_0_in_a_binding_pattern,y),!0;if((D.kind===207||D.kind===206)&&e1t(D,_,y))return!0}}function rU(o){o.kind===181?doi(o):(o.kind===184||o.kind===262||o.kind===185||o.kind===179||o.kind===176||o.kind===180)&&Bge(o);const _=nd(o);_&4||((_&3)===3&&re<5&&zd(o,6144),(_&3)===2&&re<4&&zd(o,64),_&3&&re<2&&zd(o,128)),vte(Jw(o)),bri(o),O(o.parameters,Y0t),o.type&&za(o.type),a(y);function y(){Mni(o);let S=wp(o),D=S;if(nr(o)){const L=Fk(o);if(L&&L.typeExpression&&qp(L.typeExpression.type)){const B=VN(Bs(L.typeExpression));B&&B.declaration&&(S=wp(B.declaration),D=L.typeExpression.type)}}if(De&&!S)switch(o.kind){case 180:ft(o,k.Construct_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type);break;case 179:ft(o,k.Call_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type);break}if(S&&D){const L=nd(o);if((L&5)===1){const B=Bs(S);B===Ri?ft(D,k.A_generator_cannot_have_a_void_type_annotation):d5e(B,L,D)}else(L&3)===2&&hni(o,S,D)}o.kind!==181&&o.kind!==317&&ek(o)}}function d5e(o,_,y){const S=rE(0,o,(_&2)!==0)||Je,D=rE(1,o,(_&2)!==0)||S,L=rE(2,o,(_&2)!==0)||te,B=fge(S,D,L,!!(_&2));return Nh(B,o,y)}function Fii(o){const _=new Map,y=new Map,S=new Map;for(const L of o.members)if(L.kind===176)for(const B of L.parameters)vp(B,L)&&!ta(B.name)&&D(_,B.name,B.name.escapedText,3);else{const B=pa(L),K=L.name;if(!K)continue;const ne=Vs(K),ce=ne&&B?16:0,ge=ne?S:B?y:_,je=K&&q5e(K);if(je)switch(L.kind){case 177:D(ge,K,je,1|ce);break;case 178:D(ge,K,je,2|ce);break;case 172:D(ge,K,je,3|ce);break;case 174:D(ge,K,je,8|ce);break}}function D(L,B,K,ne){const ce=L.get(K);if(ce)if((ce&16)!==(ne&16))ft(B,k.Duplicate_identifier_0_Static_and_instance_elements_cannot_share_the_same_private_name,uu(B));else{const ge=!!(ce&8),je=!!(ne&8);ge||je?ge!==je&&ft(B,k.Duplicate_identifier_0,uu(B)):ce&ne&-17?ft(B,k.Duplicate_identifier_0,uu(B)):L.set(K,ce|ne)}else L.set(K,ne)}}function Bii(o){for(const _ of o.members){const y=_.name;if(pa(_)&&y){const D=q5e(y);switch(D){case"name":case"length":case"caller":case"arguments":if(de)break;case"prototype":const L=k.Static_property_0_conflicts_with_built_in_property_Function_0_of_constructor_function_1,B=Vx(xr(o));ft(y,L,D,B);break}}}}function t1t(o){const _=new Map;for(const y of o.members)if(y.kind===171){let S;const D=y.name;switch(D.kind){case 11:case 9:S=D.text;break;case 80:S=Fr(D);break;default:continue}_.get(S)?(ft(No(y.symbol.valueDeclaration),k.Duplicate_identifier_0,S),ft(y.name,k.Duplicate_identifier_0,S)):_.set(S,!0)}}function f5e(o){if(o.kind===264){const y=xr(o);if(y.declarations&&y.declarations.length>0&&y.declarations[0]!==o)return}const _=FRe(xr(o));if(_!=null&&_.declarations){const y=new Map;for(const S of _.declarations)S.parameters.length===1&&S.parameters[0].type&&RN(Bs(S.parameters[0].type),D=>{const L=y.get(kf(D));L?L.declarations.push(S):y.set(kf(D),{type:D,declarations:[S]})});y.forEach(S=>{if(S.declarations.length>1)for(const D of S.declarations)ft(D,k.Duplicate_index_signature_for_type_0,Hn(S.type))})}}function i1t(o){!bb(o)&&!Aoi(o)&&Wge(o.name),mte(o),mge(o),Zr(o,64)&&o.kind===172&&o.initializer&&ft(o,k.Property_0_cannot_have_an_initializer_because_it_is_marked_abstract,al(o.name))}function Wii(o){return Vs(o.name)&&ft(o,k.Private_identifiers_are_not_allowed_outside_class_bodies),i1t(o)}function Vii(o){vyt(o)||Wge(o.name),Nu(o)&&o.asteriskToken&&ot(o.name)&&Fr(o.name)==="constructor"&&ft(o.name,k.Class_constructor_may_not_be_a_generator),_1t(o),Zr(o,64)&&o.kind===174&&o.body&&ft(o,k.Method_0_cannot_have_an_implementation_because_it_is_marked_abstract,al(o.name)),Vs(o.name)&&!Cf(o)&&ft(o,k.Private_identifiers_are_not_allowed_outside_class_bodies),mge(o)}function mge(o){if(Vs(o.name)&&(re<9||re<99||!de)){for(let _=R0(o);_;_=R0(_))yr(_).flags|=1048576;if(fd(o.parent)){const _=l7e(o.parent);_&&(yr(o.name).flags|=32768,yr(_).flags|=4096)}}}function Hii(o){bb(o),Uo(o,za)}function jii(o){rU(o),Loi(o)||Poi(o),za(o.body);const _=xr(o),y=ql(_,o.kind);if(o===y&&yge(_),lu(o.body))return;a(D);return;function S(L){return Wh(L)?!0:L.kind===172&&!pa(L)&&!!L.initializer}function D(){const L=o.parent;if(qT(L)){u7e(o.parent,L);const B=d7e(L),K=fgt(o.body);if(K){if(B&&ft(K,k.A_constructor_cannot_contain_a_super_call_when_its_class_extends_null),!ue&&(Ft(o.parent.members,S)||Ft(o.parameters,ce=>Zr(ce,31))))if(!zii(K,o.body))ft(K,k.A_super_call_must_be_a_root_level_statement_within_a_constructor_of_a_derived_class_that_contains_initialized_properties_parameter_properties_or_private_identifiers);else{let ce;for(const ge of o.body.statements){if(uf(ge)&&mI(Vu(ge.expression))){ce=ge;break}if(n1t(ge))break}ce===void 0&&ft(o,k.A_super_call_must_be_the_first_statement_in_the_constructor_to_refer_to_super_or_this_when_a_derived_class_contains_initialized_properties_parameter_properties_or_private_identifiers)}}else B||ft(o,k.Constructors_for_derived_classes_must_contain_a_super_call)}}}function zii(o,_){const y=By(o.parent);return uf(y)&&y.parent===_}function n1t(o){return o.kind===108||o.kind===110?!0:wTe(o)?!1:!!Uo(o,n1t)}function r1t(o){ot(o.name)&&Fr(o.name)==="constructor"&&ss(o.parent)&&ft(o.name,k.Class_constructor_may_not_be_an_accessor),a(_),za(o.body),mge(o);function _(){if(!Bge(o)&&!boi(o)&&Wge(o.name),hte(o),rU(o),o.kind===177&&!(o.flags&33554432)&&Dg(o.body)&&o.flags&512&&(o.flags&1024||ft(o.name,k.A_get_accessor_must_return_a_value)),o.name.kind===167&&cy(o.name),RO(o)){const S=xr(o),D=ql(S,177),L=ql(S,178);if(D&&L&&!(a4(D)&1)){yr(D).flags|=1;const B=jh(D),K=jh(L);(B&64)!==(K&64)&&(ft(D.name,k.Accessors_must_both_be_abstract_or_non_abstract),ft(L.name,k.Accessors_must_both_be_abstract_or_non_abstract)),(B&4&&!(K&6)||B&2&&!(K&2))&&(ft(D.name,k.A_get_accessor_must_be_at_least_as_accessible_as_the_setter),ft(L.name,k.A_get_accessor_must_be_at_least_as_accessible_as_the_setter))}}const y=wz(xr(o));o.kind===177&&i5e(o,y)}}function Uii(o){hte(o)}function qii(o,_,y){return o.typeArguments&&y<o.typeArguments.length?Bs(o.typeArguments[y]):gge(o,_)[y]}function gge(o,_){return $x(qt(o.typeArguments,Bs),_,sy(_),nr(o))}function s1t(o,_){let y,S,D=!0;for(let L=0;L<_.length;L++){const B=m_(_[L]);B&&(y||(y=gge(o,_),S=cp(_,y)),D=D&&Nh(y[L],io(B,S),o.typeArguments[L],k.Type_0_does_not_satisfy_the_constraint_1))}return D}function $ii(o,_){if(!fe(o))return _.flags&524288&&Ys(_).typeParameters||(Or(o)&4?o.target.localTypeParameters:void 0)}function h5e(o){const _=Bs(o);if(!fe(_)){const y=yr(o).resolvedSymbol;if(y)return $ii(_,y)}}function _5e(o){if(wte(o,o.typeArguments),o.kind===183&&!nr(o)&&!dV(o)&&o.typeArguments&&o.typeName.end!==o.typeArguments.pos){const _=_n(o);uTe(_,o.typeName.end)===25&&sE(o,Va(_.text,o.typeName.end),1,k.JSDoc_types_can_only_be_used_inside_documentation_comments)}O(o.typeArguments,za),o1t(o)}function o1t(o){const _=Bs(o);if(!fe(_)){o.typeArguments&&a(()=>{const S=h5e(o);S&&s1t(o,S)});const y=yr(o).resolvedSymbol;y&&Ft(y.declarations,S=>NP(S)&&!!(S.flags&536870912))&&pC(nte(o),y.declarations,y.escapedName)}}function Jii(o){const _=Jr(o.parent,xG);if(!_)return;const y=h5e(_);if(!y)return;const S=m_(y[_.typeArguments.indexOf(o)]);return S&&io(S,cp(y,gge(_,y)))}function Gii(o){$_t(o)}function Kii(o){O(o.members,za),a(_);function _(){const y=Rpt(o);kge(y,y.symbol),f5e(o),t1t(o)}}function Xii(o){za(o.elementType)}function Qii(o){let _=!1,y=!1;for(const S of o.elements){let D=XRe(S);if(D&8){const L=Bs(S.type);if(!YS(L)){ft(S,k.A_rest_element_type_must_be_an_array_type);break}(ug(L)||Qa(L)&&L.target.combinedFlags&4)&&(D|=4)}if(D&4){if(y){sn(S,k.A_rest_element_cannot_follow_another_rest_element);break}y=!0}else if(D&2){if(y){sn(S,k.An_optional_element_cannot_follow_a_rest_element);break}_=!0}else if(D&1&&_){sn(S,k.A_required_element_cannot_follow_an_optional_element);break}}O(o.elements,za),Bs(o)}function Zii(o){O(o.types,za),Bs(o)}function a1t(o,_){if(!(o.flags&8388608))return o;const y=o.objectType,S=o.indexType,D=op(y)&&nee(y)===2?bpt(y,0):oy(y,0),L=!!pb(y,hi);if(up(S,B=>Da(B,D)||L&&zA(B,hi)))return _.kind===212&&fS(_)&&Or(y)&32&&tv(y)&1&&ft(_,k.Index_signature_in_type_0_only_permits_reading,Hn(y)),o;if(EN(y)){const B=ame(S,_);if(B){const K=RN(Kh(y),ne=>Ta(ne,B));if(K&&$m(K)&6)return ft(_,k.Private_or_protected_member_0_cannot_be_accessed_on_a_type_parameter,Ws(B)),vt}}return ft(_,k.Type_0_cannot_be_used_to_index_type_1,Hn(S),Hn(y)),vt}function Yii(o){za(o.objectType),za(o.indexType),a1t(Npt(o),o)}function eni(o){tni(o),za(o.typeParameter),za(o.nameType),za(o.type),o.type||G2(o,Je);const _=c8e(o),y=KS(_);if(y)Nh(y,vi,o.nameType);else{const S=Tm(_);Nh(S,vi,R6(o.typeParameter))}}function tni(o){var _;if((_=o.members)!=null&&_.length)return sn(o.members[0],k.A_mapped_type_may_not_declare_properties_or_methods)}function ini(o){p8e(o)}function nni(o){Soi(o),za(o.type)}function rni(o){Uo(o,za)}function sni(o){Qi(o,y=>y.parent&&y.parent.kind===194&&y.parent.extendsType===y)||sn(o,k.infer_declarations_are_only_permitted_in_the_extends_clause_of_a_conditional_type),za(o.typeParameter);const _=xr(o.typeParameter);if(_.declarations&&_.declarations.length>1){const y=Ys(_);if(!y.typeParametersChecked){y.typeParametersChecked=!0;const S=jD(_),D=H2e(_,168);if(!A1t(D,[S],L=>[L])){const L=eo(_);for(const B of D)ft(B.name,k.All_declarations_of_0_must_have_identical_constraints,L)}}}ek(o)}function oni(o){for(const _ of o.templateSpans){za(_.type);const y=Bs(_.type);Nh(y,Wo,_.type)}Bs(o)}function ani(o){za(o.argument),o.attributes&&z3(o.attributes,sn),o1t(o)}function cni(o){o.dotDotDotToken&&o.questionToken&&sn(o,k.A_tuple_member_cannot_be_both_optional_and_rest),o.type.kind===190&&sn(o.type,k.A_labeled_tuple_element_is_declared_as_optional_with_a_question_mark_after_the_name_and_before_the_colon_rather_than_after_the_type),o.type.kind===191&&sn(o.type,k.A_labeled_tuple_element_is_declared_as_rest_with_a_before_the_name_rather_than_before_the_type),za(o.type),Bs(o)}function fte(o){return(xp(o,2)||Wh(o))&&!!(o.flags&33554432)}function sU(o,_){let y=Vge(o);if(o.parent.kind!==264&&o.parent.kind!==263&&o.parent.kind!==231&&o.flags&33554432){const S=FG(o);S&&S.flags&128&&!(y&128)&&!(H1(o.parent)&&rd(o.parent.parent)&&Ry(o.parent.parent))&&(y|=32),y|=128}return y&_}function yge(o){a(()=>lni(o))}function lni(o){function _(rn,Ui){return Ui!==void 0&&Ui.parent===rn[0].parent?Ui:rn[0]}function y(rn,Ui,fr,Gr,vs){if((Gr^vs)!==0){const ga=sU(_(rn,Ui),fr);qs(rn,fa=>_n(fa).fileName).forEach(fa=>{const Al=sU(_(fa,Ui),fr);for(const Po of fa){const fu=sU(Po,fr)^ga,Ef=sU(Po,fr)^Al;Ef&32?ft(No(Po),k.Overload_signatures_must_all_be_exported_or_non_exported):Ef&128?ft(No(Po),k.Overload_signatures_must_all_be_ambient_or_non_ambient):fu&6?ft(No(Po)||Po,k.Overload_signatures_must_all_be_public_private_or_protected):fu&64&&ft(No(Po),k.Overload_signatures_must_all_be_abstract_or_non_abstract)}})}}function S(rn,Ui,fr,Gr){if(fr!==Gr){const vs=_P(_(rn,Ui));O(rn,Ur=>{_P(Ur)!==vs&&ft(No(Ur),k.Overload_signatures_must_all_be_optional_or_required)})}}const D=230;let L=0,B=D,K=!1,ne=!0,ce=!1,ge,je,Be;const kt=o.declarations,Vt=(o.flags&16384)!==0;function Kt(rn){if(rn.name&&lu(rn.name))return;let Ui=!1;const fr=Uo(rn.parent,vs=>{if(Ui)return vs;Ui=vs===rn});if(fr&&fr.pos===rn.end&&fr.kind===rn.kind){const vs=fr.name||fr,Ur=fr.name;if(rn.name&&Ur&&(Vs(rn.name)&&Vs(Ur)&&rn.name.escapedText===Ur.escapedText||Ka(rn.name)&&Ka(Ur)&&yb(cy(rn.name),cy(Ur))||P1(rn.name)&&P1(Ur)&&V8(rn.name)===V8(Ur))){if((rn.kind===174||rn.kind===173)&&pa(rn)!==pa(fr)){const fa=pa(rn)?k.Function_overload_must_be_static:k.Function_overload_must_not_be_static;ft(vs,fa)}return}if(Dg(fr.body)){ft(vs,k.Function_implementation_name_must_be_0,al(rn.name));return}}const Gr=rn.name||rn;Vt?ft(Gr,k.Constructor_implementation_is_missing):Zr(rn,64)?ft(Gr,k.All_declarations_of_an_abstract_method_must_be_consecutive):ft(Gr,k.Function_implementation_is_missing_or_not_immediately_following_the_declaration)}let Oi=!1,Ki=!1,qn=!1;const Qn=[];if(kt)for(const rn of kt){const Ui=rn,fr=Ui.flags&33554432,Gr=Ui.parent&&(Ui.parent.kind===264||Ui.parent.kind===187)||fr;if(Gr&&(Be=void 0),(Ui.kind===263||Ui.kind===231)&&!fr&&(qn=!0),Ui.kind===262||Ui.kind===174||Ui.kind===173||Ui.kind===176){Qn.push(Ui);const vs=sU(Ui,D);L|=vs,B&=vs,K=K||_P(Ui),ne=ne&&_P(Ui);const Ur=Dg(Ui.body);Ur&&ge?Vt?Ki=!0:Oi=!0:(Be==null?void 0:Be.parent)===Ui.parent&&Be.end!==Ui.pos&&Kt(Be),Ur?ge||(ge=Ui):ce=!0,Be=Ui,Gr||(je=Ui)}nr(rn)&&Ho(rn)&&rn.jsDoc&&(ce=P(Uae(rn))>0)}if(Ki&&O(Qn,rn=>{ft(rn,k.Multiple_constructor_implementations_are_not_allowed)}),Oi&&O(Qn,rn=>{ft(No(rn)||rn,k.Duplicate_function_implementation)}),qn&&!Vt&&o.flags&16&&kt){const rn=$t(kt,Ui=>Ui.kind===263).map(Ui=>Kn(Ui,k.Consider_adding_a_declare_modifier_to_this_class));O(kt,Ui=>{const fr=Ui.kind===263?k.Class_declaration_cannot_implement_overload_list_for_0:Ui.kind===262?k.Function_with_bodies_can_only_merge_with_classes_that_are_ambient:void 0;fr&&da(ft(No(Ui)||Ui,fr,Eu(o)),...rn)})}if(je&&!je.body&&!Zr(je,64)&&!je.questionToken&&Kt(je),ce&&(kt&&(y(kt,ge,D,L,B),S(kt,ge,K,ne)),ge)){const rn=$D(o),Ui=Dm(ge);for(const fr of rn)if(!CQt(Ui,fr)){const Gr=fr.declaration&&tC(fr.declaration)?fr.declaration.parent.tagName:fr.declaration;da(ft(Gr,k.This_overload_signature_is_not_compatible_with_its_implementation_signature),Kn(ge,k.The_implementation_signature_is_declared_here));break}}}function oU(o){a(()=>uni(o))}function uni(o){let _=o.localSymbol;if(!_&&(_=xr(o),!_.exportSymbol)||ql(_,o.kind)!==o)return;let y=0,S=0,D=0;for(const ce of _.declarations){const ge=ne(ce),je=sU(ce,2080);je&32?je&2048?D|=ge:y|=ge:S|=ge}const L=y|S,B=y&S,K=D&L;if(B||K)for(const ce of _.declarations){const ge=ne(ce),je=No(ce);ge&K?ft(je,k.Merged_declaration_0_cannot_include_a_default_export_declaration_Consider_adding_a_separate_export_default_0_declaration_instead,al(je)):ge&B&&ft(je,k.Individual_declarations_in_merged_declaration_0_must_be_all_exported_or_all_local,al(je))}function ne(ce){let ge=ce;switch(ge.kind){case 264:case 265:case 346:case 338:case 340:return 2;case 267:return Vh(ge)||nC(ge)!==0?5:4;case 263:case 266:case 306:return 3;case 307:return 7;case 277:case 226:const je=ge,Be=Il(je)?je.expression:je.right;if(!pl(Be))return 1;ge=Be;case 271:case 274:case 273:let kt=0;const Vt=$f(xr(ge));return O(Vt.declarations,Kt=>{kt|=ne(Kt)}),kt;case 260:case 208:case 262:case 276:case 80:return 1;case 173:case 171:return 2;default:return j.failBadSyntaxKind(ge)}}}function nF(o,_,y,...S){const D=aU(o,_);return D&&r4(D,_,y,...S)}function aU(o,_,y){if(Nc(o))return;const S=o;if(S.promisedTypeOfPromise)return S.promisedTypeOfPromise;if(iy(o,fee(!1)))return S.promisedTypeOfPromise=Pl(o)[0];if(nU(iv(o),402915324))return;const D=Yu(o,"then");if(Nc(D))return;const L=D?ia(D,0):w;if(L.length===0){_&&ft(_,k.A_promise_must_have_a_then_method);return}let B,K;for(const ge of L){const je=j2(ge);je&&je!==Ri&&!ay(o,je,ob)?B=je:K=fn(K,ge)}if(!K){j.assertIsDefined(B),y&&(y.value=B),_&&ft(_,k.The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1,Hn(o),Hn(B));return}const ne=Qg(is(qt(K,Q7e)),2097152);if(Nc(ne))return;const ce=ia(ne,0);if(ce.length===0){_&&ft(_,k.The_first_parameter_of_the_then_method_of_a_promise_must_be_a_callback);return}return S.promisedTypeOfPromise=is(qt(ce,Q7e),2)}function cU(o,_,y,S,...D){return(_?r4(o,y,S,...D):tw(o,y,S,...D))||vt}function c1t(o){if(nU(iv(o),402915324))return!1;const _=Yu(o,"then");return!!_&&ia(Qg(_,2097152),0).length>0}function vge(o){var _;if(o.flags&16777216){const y=KRe(!1);return!!y&&o.aliasSymbol===y&&((_=o.aliasTypeArguments)==null?void 0:_.length)===1}return!1}function rF(o){return o.flags&1048576?eu(o,rF):vge(o)?o.aliasTypeArguments[0]:o}function l1t(o){if(Nc(o)||vge(o))return!1;if(EN(o)){const _=Jf(o);if(_?_.flags&3||TC(_)||dg(_,c1t):Au(o,8650752))return!0}return!1}function dni(o){const _=KRe(!0);if(_)return VO(_,[rF(o)])}function fni(o){return l1t(o)?dni(o)??o:(j.assert(vge(o)||aU(o)===void 0,"type provided should not be a non-generic 'promise'-like."),o)}function r4(o,_,y,...S){const D=tw(o,_,y,...S);return D&&fni(D)}function tw(o,_,y,...S){if(Nc(o)||vge(o))return o;const D=o;if(D.awaitedTypeOfType)return D.awaitedTypeOfType;if(o.flags&1048576){if(Dx.lastIndexOf(o.id)>=0){_&&ft(_,k.Type_is_referenced_directly_or_indirectly_in_the_fulfillment_callback_of_its_own_then_method);return}const K=_?ce=>tw(ce,_,y,...S):tw;Dx.push(o.id);const ne=eu(o,K);return Dx.pop(),D.awaitedTypeOfType=ne}if(l1t(o))return D.awaitedTypeOfType=o;const L={value:void 0},B=aU(o,void 0,L);if(B){if(o.id===B.id||Dx.lastIndexOf(B.id)>=0){_&&ft(_,k.Type_is_referenced_directly_or_indirectly_in_the_fulfillment_callback_of_its_own_then_method);return}Dx.push(o.id);const K=tw(B,_,y,...S);return Dx.pop(),K?D.awaitedTypeOfType=K:void 0}if(c1t(o)){if(_){j.assertIsDefined(y);let K;L.value&&(K=jo(K,k.The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1,Hn(o),Hn(L.value))),K=jo(K,y,...S),lc.add(Bv(_n(_),_,K))}return}return D.awaitedTypeOfType=o}function hni(o,_,y){const S=Bs(_);if(re>=2){if(fe(S))return;const L=fee(!0);if(L!==oo&&!iy(S,L)){D(k.The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_Did_you_mean_to_write_Promise_0,_,y,Hn(tw(S)||Ri));return}}else{if(t4(o,5),fe(S))return;const L=cV(_);if(L===void 0){D(k.Type_0_is_not_a_valid_async_function_return_type_in_ES5_because_it_does_not_refer_to_a_Promise_compatible_constructor_value,_,y,Hn(S));return}const B=nu(L,111551,!0),K=B?Yn(B):vt;if(fe(K)){L.kind===80&&L.escapedText==="Promise"&&SN(S)===fee(!1)?ft(y,k.An_async_function_or_method_in_ES5_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option):D(k.Type_0_is_not_a_valid_async_function_return_type_in_ES5_because_it_does_not_refer_to_a_Promise_compatible_constructor_value,_,y,bp(L));return}const ne=IKt(!0);if(ne===Jo){D(k.Type_0_is_not_a_valid_async_function_return_type_in_ES5_because_it_does_not_refer_to_a_Promise_compatible_constructor_value,_,y,bp(L));return}const ce=k.Type_0_is_not_a_valid_async_function_return_type_in_ES5_because_it_does_not_refer_to_a_Promise_compatible_constructor_value;if(!Nh(K,ne,y,ce,()=>_===y?void 0:jo(void 0,k.The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type)))return;const je=L&&K_(L),Be=ff(o.locals,je.escapedText,111551);if(Be){ft(Be.valueDeclaration,k.Duplicate_identifier_0_Compiler_uses_declaration_1_to_support_async_functions,Fr(je),bp(L));return}}cU(S,!1,o,k.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member);function D(L,B,K,ne){if(B===K)ft(K,L,ne);else{const ce=ft(K,k.The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type);da(ce,Kn(B,L,ne))}}}function _ni(o){const _=_n(o);if(!tk(_)){let y=o.expression;if(c_(y))return!1;let S=!0,D;for(;;){if(Yb(y)||$P(y)){y=y.expression;continue}if(la(y)){S||(D=y),y.questionDotToken&&(D=y.questionDotToken),y=y.expression,S=!1;continue}if(Nr(y)){y.questionDotToken&&(D=y.questionDotToken),y=y.expression,S=!1;continue}ot(y)||(D=y);break}if(D)return da(ft(o.expression,k.Expression_must_be_enclosed_in_parentheses_to_be_used_as_a_decorator),Kn(D,k.Invalid_syntax_in_decorator)),!0}return!1}function pni(o){_ni(o);const _=QO(o);uge(_,o);const y=Oc(_);if(y.flags&1)return;const S=e5e(o);if(!(S!=null&&S.resolvedReturnType))return;let D;const L=S.resolvedReturnType;switch(o.parent.kind){case 263:case 231:D=k.Decorator_function_return_type_0_is_not_assignable_to_type_1;break;case 172:if(!pe){D=k.Decorator_function_return_type_0_is_not_assignable_to_type_1;break}case 169:D=k.Decorator_function_return_type_is_0_but_is_expected_to_be_void_or_any;break;case 174:case 177:case 178:D=k.Decorator_function_return_type_0_is_not_assignable_to_type_1;break;default:return j.failBadSyntaxKind(o.parent)}Nh(y,L,o.expression,D)}function lU(o,_,y,S,D,L=y.length,B=0){const K=G.createFunctionTypeNode(void 0,w,G.createKeywordTypeNode(133));return _b(K,o,_,y,S,D,L,B)}function p5e(o,_,y,S,D,L,B){const K=lU(o,_,y,S,D,L,B);return kN(K)}function u1t(o){return p5e(void 0,void 0,w,o)}function d1t(o){const _=p0("value",o);return p5e(void 0,void 0,[_],Ri)}function m5e(o){if(o)switch(o.kind){case 193:case 192:return f1t(o.types);case 194:return f1t([o.trueType,o.falseType]);case 196:case 202:return m5e(o.type);case 183:return o.typeName}}function f1t(o){let _;for(let y of o){for(;y.kind===196||y.kind===202;)y=y.type;if(y.kind===146||!we&&(y.kind===201&&y.literal.kind===106||y.kind===157))continue;const S=m5e(y);if(!S)return;if(_){if(!ot(_)||!ot(S)||_.escapedText!==S.escapedText)return}else _=S}return _}function bge(o){const _=dd(o);return Oy(o)?Aae(_):_}function hte(o){if(!uD(o)||!lm(o)||!o.modifiers||!lV(pe,o,o.parent,o.parent.parent))return;const _=Fe(o.modifiers,Fd);if(_){pe?(zd(_,8),o.kind===169&&zd(_,32)):re<99&&(zd(_,8),hd(o)?o.name?O1t(o)&&zd(_,4194304):zd(_,4194304):fd(o)||(Vs(o.name)&&(Nu(o)||Qw(o)||I_(o))&&zd(_,4194304),Ka(o.name)&&zd(_,8388608))),t4(o,8);for(const y of o.modifiers)Fd(y)&&pni(y)}}function mni(o){a(_);function _(){_1t(o),H5e(o),sF(o,o.name)}}function gni(o){o.typeExpression||ft(o.name,k.JSDoc_typedef_tag_should_either_have_a_type_annotation_or_be_followed_by_property_or_member_tags),o.name&&oF(o.name,k.Type_alias_name_cannot_be_0),za(o.typeExpression),vte(Jw(o))}function yni(o){za(o.constraint);for(const _ of o.typeParameters)za(_)}function vni(o){za(o.typeExpression)}function bni(o){za(o.typeExpression);const _=Gk(o);if(_){const y=uG(_,LX);if(P(y)>1)for(let S=1;S<P(y);S++){const D=y[S].tagName;ft(D,k._0_tag_already_specified,Fr(D))}}}function Cni(o){o.name&&Cte(o.name,!0)}function Sni(o){za(o.typeExpression)}function wni(o){za(o.typeExpression)}function xni(o){a(_),rU(o);function _(){!o.type&&!e3(o)&&G2(o,Je)}}function kni(o){const _=Gk(o);_&&xl(_)&&ft(o.tagName,k.An_arrow_function_cannot_have_a_this_parameter)}function Tni(o){N5e(o)}function Dni(o){const _=Gk(o);(!_||!hd(_)&&!fd(_))&&ft(_,k.JSDoc_0_is_not_attached_to_a_class,Fr(o.tagName))}function Eni(o){const _=Gk(o);if(!_||!hd(_)&&!fd(_)){ft(_,k.JSDoc_0_is_not_attached_to_a_class,Fr(o.tagName));return}const y=Wk(_).filter(KP);j.assert(y.length>0),y.length>1&&ft(y[1],k.Class_declarations_cannot_have_more_than_one_augments_or_extends_tag);const S=h1t(o.class.expression),D=qT(_);if(D){const L=h1t(D.expression);L&&S.escapedText!==L.escapedText&&ft(S,k.JSDoc_0_1_does_not_match_the_extends_2_clause,Fr(o.tagName),Fr(S),Fr(L))}}function Ini(o){const _=zT(o);_&&Wh(_)&&ft(o,k.An_accessibility_modifier_cannot_be_used_with_a_private_identifier)}function h1t(o){switch(o.kind){case 80:return o;case 211:return o.name;default:return}}function _1t(o){var _;hte(o),rU(o);const y=nd(o);if(o.name&&o.name.kind===167&&cy(o.name),RO(o)){const L=xr(o),B=o.localSymbol||L,K=(_=B.declarations)==null?void 0:_.find(ne=>ne.kind===o.kind&&!(ne.flags&524288));o===K&&yge(B),L.parent&&yge(L)}const S=o.kind===173?void 0:o.body;if(za(S),i5e(o,BO(o)),a(D),nr(o)){const L=Fk(o);L&&L.typeExpression&&!v7e(Bs(L.typeExpression),o)&&ft(L.typeExpression.type,k.The_type_of_a_function_declaration_must_match_the_function_s_signature)}function D(){wp(o)||(lu(S)&&!fte(o)&&G2(o,Je),y&1&&Dg(S)&&Oc(Dm(o)))}}function ek(o){a(_);function _(){const y=_n(o);let S=X0.get(y.path);S||(S=[],X0.set(y.path,S)),S.push(o)}}function p1t(o,_){for(const y of o)switch(y.kind){case 263:case 231:Nni(y,_),g5e(y,_);break;case 307:case 267:case 241:case 269:case 248:case 249:case 250:y1t(y,_);break;case 176:case 218:case 262:case 219:case 174:case 177:case 178:y.body&&y1t(y,_),g5e(y,_);break;case 173:case 179:case 180:case 184:case 185:case 265:case 264:g5e(y,_);break;case 195:Lni(y,_);break;default:j.assertNever(y,"Node should not have been registered for unused identifiers check")}}function m1t(o,_,y){const S=No(o)||o,D=NP(o)?k._0_is_declared_but_never_used:k._0_is_declared_but_its_value_is_never_read;y(o,0,Kn(S,D,_))}function uU(o){return ot(o)&&Fr(o).charCodeAt(0)===95}function Nni(o,_){for(const y of o.members)switch(y.kind){case 174:case 172:case 177:case 178:if(y.kind===178&&y.symbol.flags&32768)break;const S=xr(y);!S.isReferenced&&(xp(y,2)||Bf(y)&&Vs(y.name))&&!(y.flags&33554432)&&_(y,0,Kn(y.name,k._0_is_declared_but_its_value_is_never_read,eo(S)));break;case 176:for(const D of y.parameters)!D.symbol.isReferenced&&Zr(D,2)&&_(D,0,Kn(D.name,k.Property_0_is_declared_but_its_value_is_never_read,Eu(D.symbol)));break;case 181:case 240:case 175:break;default:j.fail("Unexpected class member")}}function Lni(o,_){const{typeParameter:y}=o;y5e(y)&&_(o,1,Kn(o,k._0_is_declared_but_its_value_is_never_read,Fr(y.name)))}function g5e(o,_){const y=xr(o).declarations;if(!y||va(y)!==o)return;const S=Jw(o),D=new Set;for(const L of S){if(!y5e(L))continue;const B=Fr(L.name),{parent:K}=L;if(K.kind!==195&&K.typeParameters.every(y5e)){if(of(D,K)){const ne=_n(K),ce=Rg(K)?zce(K):Uce(ne,K.typeParameters),je=K.typeParameters.length===1?[k._0_is_declared_but_its_value_is_never_read,B]:[k.All_type_parameters_are_unused];_(L,1,Md(ne,ce.pos,ce.end-ce.pos,...je))}}else _(L,1,Kn(L,k._0_is_declared_but_its_value_is_never_read,B))}}function y5e(o){return!(wc(o.symbol).isReferenced&262144)&&!uU(o.name)}function _te(o,_,y,S){const D=String(S(_)),L=o.get(D);L?L[1].push(y):o.set(D,[_,[y]])}function g1t(o){return Jr(A1(o),$s)}function Pni(o){return ec(o)?dm(o.parent)?!!(o.propertyName&&uU(o.name)):uU(o.name):Vh(o)||(_s(o)&&fI(o.parent.parent)||v1t(o))&&uU(o.name)}function y1t(o,_){const y=new Map,S=new Map,D=new Map;o.locals.forEach(L=>{if(!(L.flags&262144?!(L.flags&3&&!(L.isReferenced&3)):L.isReferenced||L.exportSymbol)&&L.declarations){for(const B of L.declarations)if(!Pni(B))if(v1t(B))_te(y,Oni(B),B,Sc);else if(ec(B)&&dm(B.parent)){const K=va(B.parent.elements);(B===K||!va(B.parent.elements).dotDotDotToken)&&_te(S,B.parent,B,Sc)}else if(_s(B)){const K=oE(B)&7,ne=No(B);(K!==4&&K!==6||!ne||!uU(ne))&&_te(D,B.parent,B,Sc)}else{const K=L.valueDeclaration&&g1t(L.valueDeclaration),ne=L.valueDeclaration&&No(L.valueDeclaration);K&&ne?!vp(K,K.parent)&&!JT(K)&&!uU(ne)&&(ec(B)&&CS(B.parent)?_te(S,B.parent,B,Sc):_(K,1,Kn(ne,k._0_is_declared_but_its_value_is_never_read,Eu(L)))):m1t(B,Eu(L),_)}}}),y.forEach(([L,B])=>{const K=L.parent;if((L.name?1:0)+(L.namedBindings?L.namedBindings.kind===274?1:L.namedBindings.elements.length:0)===B.length)_(K,0,B.length===1?Kn(K,k._0_is_declared_but_its_value_is_never_read,Fr(ya(B).name)):Kn(K,k.All_imports_in_import_declaration_are_unused));else for(const ce of B)m1t(ce,Fr(ce.name),_)}),S.forEach(([L,B])=>{const K=g1t(L.parent)?1:0;if(L.elements.length===B.length)B.length===1&&L.parent.kind===260&&L.parent.parent.kind===261?_te(D,L.parent.parent,L.parent,Sc):_(L,K,B.length===1?Kn(L,k._0_is_declared_but_its_value_is_never_read,pte(ya(B).name)):Kn(L,k.All_destructured_elements_are_unused));else for(const ne of B)_(ne,K,Kn(ne,k._0_is_declared_but_its_value_is_never_read,pte(ne.name)))}),D.forEach(([L,B])=>{if(L.declarations.length===B.length)_(L,0,B.length===1?Kn(ya(B).name,k._0_is_declared_but_its_value_is_never_read,pte(ya(B).name)):Kn(L.parent.kind===243?L.parent:L,k.All_variables_are_unused));else for(const K of B)_(K,0,Kn(K,k._0_is_declared_but_its_value_is_never_read,pte(K.name)))})}function Ani(){var o;for(const _ of Tx)if(!((o=xr(_))!=null&&o.isReferenced)){const y=YL(_);j.assert(Qk(y),"Only parameter declaration should be checked here");const S=Kn(_.name,k._0_is_an_unused_renaming_of_1_Did_you_intend_to_use_it_as_a_type_annotation,al(_.name),al(_.propertyName));y.type||da(S,Md(_n(y),y.end,0,k.We_can_only_write_a_type_for_0_by_adding_a_type_for_the_entire_parameter_here,al(_.propertyName))),lc.add(S)}}function pte(o){switch(o.kind){case 80:return Fr(o);case 207:case 206:return pte(ha(ya(o.elements),ec).name);default:return j.assertNever(o)}}function v1t(o){return o.kind===273||o.kind===276||o.kind===274}function Oni(o){return o.kind===273?o:o.kind===274?o.parent:o.parent.parent}function Cge(o){if(o.kind===241&&PC(o),iae(o)){const _=uC;O(o.statements,za),uC=_}else O(o.statements,za);o.locals&&ek(o)}function Mni(o){re>=2||!uae(o)||o.flags&33554432||lu(o.body)||O(o.parameters,_=>{_.name&&!ta(_.name)&&_.name.escapedText===ut.escapedName&&I2("noEmit",_,k.Duplicate_identifier_arguments_Compiler_uses_arguments_to_initialize_rest_parameters)})}function dU(o,_,y){if((_==null?void 0:_.escapedText)!==y||o.kind===172||o.kind===171||o.kind===174||o.kind===173||o.kind===177||o.kind===178||o.kind===303||o.flags&33554432||(H0(o)||Wd(o)||l_(o))&&Kw(o))return!1;const S=A1(o);return!($s(S)&&lu(S.parent.body))}function Rni(o){Qi(o,_=>a4(_)&4?(o.kind!==80?ft(No(o),k.Duplicate_identifier_this_Compiler_uses_variable_declaration_this_to_capture_this_reference):ft(o,k.Expression_resolves_to_variable_declaration_this_that_compiler_uses_to_capture_this_reference),!0):!1)}function Fni(o){Qi(o,_=>a4(_)&8?(o.kind!==80?ft(No(o),k.Duplicate_identifier_newTarget_Compiler_uses_variable_declaration_newTarget_to_capture_new_target_meta_property_reference):ft(o,k.Expression_resolves_to_variable_declaration_newTarget_that_compiler_uses_to_capture_new_target_meta_property_reference),!0):!1)}function Bni(o,_){if(t.getEmitModuleFormatOfFile(_n(o))>=5||!_||!dU(o,_,"require")&&!dU(o,_,"exports")||rd(o)&&nC(o)!==1)return;const y=bN(o);y.kind===307&&Cp(y)&&I2("noEmit",_,k.Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module,al(_),al(_))}function Wni(o,_){if(!_||re>=4||!dU(o,_,"Promise")||rd(o)&&nC(o)!==1)return;const y=bN(o);y.kind===307&&Cp(y)&&y.flags&4096&&I2("noEmit",_,k.Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module_containing_async_functions,al(_),al(_))}function Vni(o,_){re<=8&&(dU(o,_,"WeakMap")||dU(o,_,"WeakSet"))&&_0.push(o)}function Hni(o){const _=R0(o);a4(_)&1048576&&(j.assert(Bf(o)&&ot(o.name)&&typeof o.name.escapedText=="string","The target of a WeakMap/WeakSet collision check should be an identifier"),I2("noEmit",o,k.Compiler_reserves_name_0_when_emitting_private_identifier_downlevel,o.name.escapedText))}function jni(o,_){_&&re>=2&&re<=8&&dU(o,_,"Reflect")&&MS.push(o)}function zni(o){let _=!1;if(fd(o)){for(const y of o.members)if(a4(y)&2097152){_=!0;break}}else if(ml(o))a4(o)&2097152&&(_=!0);else{const y=R0(o);y&&a4(y)&2097152&&(_=!0)}_&&(j.assert(Bf(o)&&ot(o.name),"The target of a Reflect collision check should be an identifier"),I2("noEmit",o,k.Duplicate_identifier_0_Compiler_reserves_name_1_when_emitting_super_references_in_static_initializers,al(o.name),"Reflect"))}function sF(o,_){_&&(Bni(o,_),Wni(o,_),Vni(o,_),jni(o,_),ss(o)?(oF(_,k.Class_name_cannot_be_0),o.flags&33554432||vri(_)):sD(o)&&oF(_,k.Enum_name_cannot_be_0))}function Uni(o){if(oE(o)&7||Qk(o))return;const _=xr(o);if(_.flags&1){if(!ot(o.name))return j.fail();const y=_i(o,o.name.escapedText,3,void 0,!1);if(y&&y!==_&&y.flags&2&&k7e(y)&7){const S=Xk(y.valueDeclaration,261),D=S.parent.kind===243&&S.parent.parent?S.parent.parent:void 0;if(!(D&&(D.kind===241&&Ho(D.parent)||D.kind===268||D.kind===267||D.kind===307))){const B=eo(y);ft(o,k.Cannot_initialize_outer_scoped_variable_0_in_the_same_scope_as_block_scoped_declaration_1,B,B)}}}}function fU(o){return o===Rt?Je:o===Pc?Yc:o}function mte(o){var _;if(hte(o),ec(o)||za(o.type),!o.name)return;if(o.name.kind===167&&(cy(o.name),hI(o)&&o.initializer&&ju(o.initializer)),ec(o)){if(o.propertyName&&ot(o.name)&&Qk(o)&&lu(Up(o).body)){Tx.push(o);return}dm(o.parent)&&o.dotDotDotToken&&re<5&&zd(o,4),o.propertyName&&o.propertyName.kind===167&&cy(o.propertyName);const D=o.parent.parent,L=o.dotDotDotToken?32:0,B=Ke(D,L),K=o.propertyName||o.name;if(B&&!ta(K)){const ne=xC(K);if(Jm(ne)){const ce=Gm(ne),ge=Ta(B,ce);ge&&(Zee(ge,void 0,!1),D7e(o,!!D.initializer&&D.initializer.kind===108,!1,B,ge))}}}if(ta(o.name)&&(o.name.kind===207&&re<2&&Q.downlevelIteration&&zd(o,512),O(o.name.elements,za)),o.initializer&&Qk(o)&&lu(Up(o).body)){ft(o,k.A_parameter_initializer_is_only_allowed_in_a_function_or_constructor_implementation);return}if(ta(o.name)){if(Q8e(o))return;const D=hI(o)&&o.initializer&&o.parent.parent.kind!==249,L=!Ft(o.name.elements,zJ(Bd));if(D||L){const B=lr(o);if(D){const K=ju(o.initializer);we&&L?Hgt(K,o):Xx(K,lr(o),o,o.initializer)}L&&(CS(o.name)?iw(65,B,tt,o):we&&Hgt(B,o))}return}const y=xr(o);if(y.flags&2097152&&(HT(o)||DTe(o))){Ege(o);return}o.name.kind===10&&ft(o.name,k.A_bigint_literal_cannot_be_used_as_a_property_name);const S=fU(Yn(y));if(o===y.valueDeclaration){const D=hI(o)&&pV(o);if(D&&!(nr(o)&&Aa(D)&&(D.properties.length===0||rx(o.name))&&!!((_=y.exports)!=null&&_.size))&&o.parent.parent.kind!==249){const B=ju(D);Xx(B,S,o,D,void 0);const K=oE(o)&7;if(K===6){const ne=VKt(!0),ce=npt(!0);if(ne!==Jo&&ce!==Jo){const ge=is([ne,ce,Qt,tt]);Nh(ag(B,o),ge,D,k.The_initializer_of_an_await_using_declaration_must_be_either_an_object_with_a_Symbol_asyncDispose_or_Symbol_dispose_method_or_be_null_or_undefined)}}else if(K===4){const ne=npt(!0);if(ne!==Jo){const ce=is([ne,Qt,tt]);Nh(ag(B,o),ce,D,k.The_initializer_of_a_using_declaration_must_be_either_an_object_with_a_Symbol_dispose_method_or_be_null_or_undefined)}}}y.declarations&&y.declarations.length>1&&Ft(y.declarations,L=>L!==o&&uP(L)&&!C1t(L,o))&&ft(o.name,k.All_declarations_of_0_must_have_identical_modifiers,al(o.name))}else{const D=fU(lr(o));!fe(S)&&!fe(D)&&!yb(S,D)&&!(y.flags&67108864)&&b1t(y.valueDeclaration,S,o,D),hI(o)&&o.initializer&&Xx(ju(o.initializer),D,o,o.initializer,void 0),y.valueDeclaration&&!C1t(o,y.valueDeclaration)&&ft(o.name,k.All_declarations_of_0_must_have_identical_modifiers,al(o.name))}o.kind!==172&&o.kind!==171&&(oU(o),(o.kind===260||o.kind===208)&&Uni(o),sF(o,o.name))}function b1t(o,_,y,S){const D=No(y),L=y.kind===172||y.kind===171?k.Subsequent_property_declarations_must_have_the_same_type_Property_0_must_be_of_type_1_but_here_has_type_2:k.Subsequent_variable_declarations_must_have_the_same_type_Variable_0_must_be_of_type_1_but_here_has_type_2,B=al(D),K=ft(D,L,B,Hn(_),Hn(S));o&&da(K,Kn(o,k._0_was_also_declared_here,B))}function C1t(o,_){if(o.kind===169&&_.kind===260||o.kind===260&&_.kind===169)return!0;if(_P(o)!==_P(_))return!1;const y=1358;return bP(o,y)===bP(_,y)}function qni(o){var _,y;(_=Zn)==null||_.push(Zn.Phase.Check,"checkVariableDeclaration",{kind:o.kind,pos:o.pos,end:o.end,path:o.tracingPath}),Doi(o),mte(o),(y=Zn)==null||y.pop()}function $ni(o){return xoi(o),mte(o)}function Sge(o){const _=zb(o)&7;(_===4||_===6)&&re<99&&zd(o,16777216),O(o.declarations,za)}function Jni(o){!bb(o)&&!U5e(o.declarationList)&&Eoi(o),Sge(o.declarationList)}function Gni(o){PC(o),uo(o.expression)}function Kni(o){PC(o);const _=hU(o.expression);v5e(o.expression,_,o.thenStatement),za(o.thenStatement),o.thenStatement.kind===242&&ft(o.thenStatement,k.The_body_of_an_if_statement_cannot_be_the_empty_statement),za(o.elseStatement)}function v5e(o,_,y){if(!we)return;S(o,y);function S(L,B){for(L=Dc(L),D(L,B);ur(L)&&(L.operatorToken.kind===57||L.operatorToken.kind===61);)L=Dc(L.left),D(L,B)}function D(L,B){const K=WV(L)?Dc(L.right):L;if(Wv(K))return;if(WV(K)){S(K,B);return}const ne=K===L?_:uo(K);if(ne.flags&1024&&Nr(K)&&(yr(K.expression).resolvedSymbol??pt).flags&384){ft(K,k.This_condition_will_always_return_0,ne.value?"true":"false");return}const ce=Nr(K)&&U0t(K.expression);if(!l1(ne,4194304)||ce)return;const ge=ia(ne,0),je=!!nF(ne);if(ge.length===0&&!je)return;const Be=ot(K)?K:Nr(K)?K.name:void 0,kt=Be&&Zg(Be);if(!kt&&!je)return;kt&&ur(L.parent)&&Qni(L.parent,kt)||kt&&B&&Xni(L,B,Be,kt)||(je?N2(K,!0,k.This_condition_will_always_return_true_since_this_0_is_always_defined,EO(ne)):ft(K,k.This_condition_will_always_return_true_since_this_function_is_always_defined_Did_you_mean_to_call_it_instead))}}function Xni(o,_,y,S){return!!Uo(_,function D(L){if(ot(L)){const B=Zg(L);if(B&&B===S){if(ot(o)||ot(y)&&ur(y.parent))return!0;let K=y.parent,ne=L.parent;for(;K&&ne;){if(ot(K)&&ot(ne)||K.kind===110&&ne.kind===110)return Zg(K)===Zg(ne);if(Nr(K)&&Nr(ne)){if(Zg(K.name)!==Zg(ne.name))return!1;ne=ne.expression,K=K.expression}else if(la(K)&&la(ne))ne=ne.expression,K=K.expression;else return!1}}}return Uo(L,D)})}function Qni(o,_){for(;ur(o)&&o.operatorToken.kind===56;){if(Uo(o.right,function S(D){if(ot(D)){const L=Zg(D);if(L&&L===_)return!0}return Uo(D,S)}))return!0;o=o.parent}return!1}function Zni(o){PC(o),za(o.statement),hU(o.expression)}function Yni(o){PC(o),hU(o.expression),za(o.statement)}function b5e(o,_){if(o.flags&16384)ft(_,k.An_expression_of_type_void_cannot_be_tested_for_truthiness);else{const y=C5e(_);y!==3&&ft(_,y===1?k.This_kind_of_expression_is_always_truthy:k.This_kind_of_expression_is_always_falsy)}return o}function C5e(o){switch(o=Vu(o),o.kind){case 9:return o.text==="0"||o.text==="1"?3:1;case 209:case 219:case 10:case 231:case 218:case 284:case 285:case 210:case 14:return 1;case 222:case 106:return 2;case 15:case 11:return o.text?1:2;case 227:return C5e(o.whenTrue)|C5e(o.whenFalse);case 80:return Lh(o)===et?2:3}return 3}function hU(o,_){return b5e(uo(o,_),o)}function eri(o){PC(o)||o.initializer&&o.initializer.kind===261&&U5e(o.initializer),o.initializer&&(o.initializer.kind===261?Sge(o.initializer):uo(o.initializer)),o.condition&&hU(o.condition),o.incrementor&&uo(o.incrementor),za(o.statement),o.locals&&ek(o)}function tri(o){yyt(o);const _=qG(o);if(o.awaitModifier?_&&gu(_)?sn(o.awaitModifier,k.for_await_loops_cannot_be_used_inside_a_class_static_block):(nd(_)&6)===2&&re<5&&zd(o,16384):Q.downlevelIteration&&re<2&&zd(o,256),o.initializer.kind===261)Sge(o.initializer);else{const y=o.initializer,S=gte(o);if(y.kind===209||y.kind===210)HN(y,S||vt);else{const D=uo(y);iU(y,k.The_left_hand_side_of_a_for_of_statement_must_be_a_variable_or_a_property_access,k.The_left_hand_side_of_a_for_of_statement_may_not_be_an_optional_property_access),S&&Xx(S,D,y,o.expression)}}za(o.statement),o.locals&&ek(o)}function iri(o){yyt(o);const _=E7e(uo(o.expression));if(o.initializer.kind===261){const y=o.initializer.declarations[0];y&&ta(y.name)&&ft(y.name,k.The_left_hand_side_of_a_for_in_statement_cannot_be_a_destructuring_pattern),Sge(o.initializer)}else{const y=o.initializer,S=uo(y);y.kind===209||y.kind===210?ft(y,k.The_left_hand_side_of_a_for_in_statement_cannot_be_a_destructuring_pattern):Da(DXt(_),S)?iU(y,k.The_left_hand_side_of_a_for_in_statement_must_be_a_variable_or_a_property_access,k.The_left_hand_side_of_a_for_in_statement_may_not_be_an_optional_property_access):ft(y,k.The_left_hand_side_of_a_for_in_statement_must_be_of_type_string_or_any)}(_===Ai||!Gf(_,126091264))&&ft(o.expression,k.The_right_hand_side_of_a_for_in_statement_must_be_of_type_any_an_object_type_or_a_type_parameter_but_here_has_type_0,Hn(_)),za(o.statement),o.locals&&ek(o)}function gte(o){const _=o.awaitModifier?15:13;return iw(_,KO(o.expression),tt,o.expression)}function iw(o,_,y,S){return Nc(_)?_:S5e(o,_,y,S,!0)||Je}function S5e(o,_,y,S,D){const L=(o&2)!==0;if(_===Ai){S&&k5e(S,_,L);return}const B=re>=2,K=!B&&Q.downlevelIteration,ne=Q.noUncheckedIndexedAccess&&!!(o&128);if(B||K||L){const kt=xge(_,o,B?S:void 0);if(D&&kt){const Vt=o&8?k.Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_for_of_will_always_send_0:o&32?k.Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_spread_will_always_send_0:o&64?k.Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_destructuring_will_always_send_0:o&16?k.Cannot_delegate_iteration_to_value_because_the_next_method_of_its_iterator_expects_type_1_but_the_containing_generator_will_always_send_0:void 0;Vt&&Nh(y,kt.nextType,S,Vt)}if(kt||B)return ne?Jz(kt&&kt.yieldType):kt&&kt.yieldType}let ce=_,ge=!1;if(o&4){if(ce.flags&1048576){const kt=_.types,Vt=$t(kt,Kt=>!(Kt.flags&402653316));Vt!==kt&&(ce=is(Vt,2))}else ce.flags&402653316&&(ce=Ai);if(ge=ce!==_,ge&&ce.flags&131072)return ne?Jz(st):st}if(!YS(ce)){if(S){const kt=!!(o&4)&&!ge,[Vt,Kt]=Be(kt,K);N2(S,Kt&&!!nF(ce),Vt,Hn(ce))}return ge?ne?Jz(st):st:void 0}const je=H2(ce,hi);if(ge&&je)return je.flags&402653316&&!Q.noUncheckedIndexedAccess?st:is(ne?[je,st,tt]:[je,st],2);return o&128?Jz(je):je;function Be(kt,Vt){var Kt;return Vt?kt?[k.Type_0_is_not_an_array_type_or_a_string_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator,!0]:[k.Type_0_is_not_an_array_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator,!0]:w5e(o,0,_,void 0)?[k.Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es2015_or_higher,!1]:nri((Kt=_.symbol)==null?void 0:Kt.escapedName)?[k.Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es2015_or_higher,!0]:kt?[k.Type_0_is_not_an_array_type_or_a_string_type,!0]:[k.Type_0_is_not_an_array_type,!0]}}function nri(o){switch(o){case"Float32Array":case"Float64Array":case"Int16Array":case"Int32Array":case"Int8Array":case"NodeList":case"Uint16Array":case"Uint32Array":case"Uint8Array":case"Uint8ClampedArray":return!0}return!1}function w5e(o,_,y,S){if(Nc(y))return;const D=xge(y,o,S);return D&&D[net(_)]}function Q2(o=Ai,_=Ai,y=te){if(o.flags&67359327&&_.flags&180227&&y.flags&180227){const S=Xg([o,_,y]);let D=Mr.get(S);return D||(D={yieldType:o,returnType:_,nextType:y},Mr.set(S,D)),D}return{yieldType:o,returnType:_,nextType:y}}function S1t(o){let _,y,S;for(const D of o)if(!(D===void 0||D===ds)){if(D===Ks)return Ks;_=fn(_,D.yieldType),y=fn(y,D.returnType),S=fn(S,D.nextType)}return _||y||S?Q2(_&&is(_),y&&is(y),S&&Wa(S)):ds}function wge(o,_){return o[_]}function vb(o,_,y){return o[_]=y}function xge(o,_,y){var S,D;if(Nc(o))return Ks;if(!(o.flags&1048576)){const ce=y?{errors:void 0}:void 0,ge=w1t(o,_,y,ce);if(ge===ds){if(y){const je=k5e(y,o,!!(_&2));ce!=null&&ce.errors&&da(je,...ce.errors)}return}else if((S=ce==null?void 0:ce.errors)!=null&&S.length)for(const je of ce.errors)lc.add(je);return ge}const L=_&2?"iterationTypesOfAsyncIterable":"iterationTypesOfIterable",B=wge(o,L);if(B)return B===ds?void 0:B;let K;for(const ce of o.types){const ge=y?{errors:void 0}:void 0,je=w1t(ce,_,y,ge);if(je===ds){if(y){const Be=k5e(y,o,!!(_&2));ge!=null&&ge.errors&&da(Be,...ge.errors)}vb(o,L,ds);return}else if((D=ge==null?void 0:ge.errors)!=null&&D.length)for(const Be of ge.errors)lc.add(Be);K=fn(K,je)}const ne=K?S1t(K):ds;return vb(o,L,ne),ne===ds?void 0:ne}function x5e(o,_){if(o===ds)return ds;if(o===Ks)return Ks;const{yieldType:y,returnType:S,nextType:D}=o;return _&&KRe(!0),Q2(r4(y,_)||Je,r4(S,_)||Je,D)}function w1t(o,_,y,S){if(Nc(o))return Ks;let D=!1;if(_&2){const L=x1t(o,Ic)||k1t(o,Ic);if(L)if(L===ds&&y)D=!0;else return _&8?x5e(L,y):L}if(_&1){let L=x1t(o,Gl)||k1t(o,Gl);if(L)if(L===ds&&y)D=!0;else if(_&2){if(L!==ds)return L=x5e(L,y),D?L:vb(o,"iterationTypesOfAsyncIterable",L)}else return L}if(_&2){const L=D1t(o,Ic,y,S,D);if(L!==ds)return L}if(_&1){let L=D1t(o,Gl,y,S,D);if(L!==ds)return _&2?(L=x5e(L,y),D?L:vb(o,"iterationTypesOfAsyncIterable",L)):L}return ds}function x1t(o,_){return wge(o,_.iterableCacheKey)}function k1t(o,_){if(iy(o,_.getGlobalIterableType(!1))||iy(o,_.getGlobalIteratorObjectType(!1))||iy(o,_.getGlobalIterableIteratorType(!1))||iy(o,_.getGlobalGeneratorType(!1))){const[y,S,D]=Pl(o);return vb(o,_.iterableCacheKey,Q2(_.resolveIterationType(y,void 0)||y,_.resolveIterationType(S,void 0)||S,D))}if($pe(o,_.getGlobalBuiltinIteratorTypes())){const[y]=Pl(o),S=GRe(),D=te;return vb(o,_.iterableCacheKey,Q2(_.resolveIterationType(y,void 0)||y,_.resolveIterationType(S,void 0)||S,D))}}function T1t(o){const _=Z_t(!1),y=_&&Yu(Yn(_),cu(o));return y&&Jm(y)?Gm(y):`__@${o}`}function D1t(o,_,y,S,D){const L=Ta(o,T1t(_.iteratorSymbolName)),B=L&&!(L.flags&16777216)?Yn(L):void 0;if(Nc(B))return D?Ks:vb(o,_.iterableCacheKey,Ks);const K=B?ia(B,0):void 0;if(!Ft(K))return D?ds:vb(o,_.iterableCacheKey,ds);const ne=Wa(qt(K,Oc)),ce=E1t(ne,_,y,S,D)??ds;return D?ce:vb(o,_.iterableCacheKey,ce)}function k5e(o,_,y){const S=y?k.Type_0_must_have_a_Symbol_asyncIterator_method_that_returns_an_async_iterator:k.Type_0_must_have_a_Symbol_iterator_method_that_returns_an_iterator,D=!!nF(_)||!y&&_H(o.parent)&&o.parent.expression===o&&hee(!1)!==oo&&Da(_,q5(hee(!1),[Je,Je,Je]));return N2(o,D,S,Hn(_))}function rri(o,_,y,S){return E1t(o,_,y,S,!1)}function E1t(o,_,y,S,D){if(Nc(o))return Ks;let L=sri(o,_)||ori(o,_);return L===ds&&y&&(L=void 0,D=!0),L??(L=uri(o,_,y,S,D)),L===ds?void 0:L}function sri(o,_){return wge(o,_.iteratorCacheKey)}function ori(o,_){if(iy(o,_.getGlobalIterableIteratorType(!1))||iy(o,_.getGlobalIteratorType(!1))||iy(o,_.getGlobalIteratorObjectType(!1))||iy(o,_.getGlobalGeneratorType(!1))){const[y,S,D]=Pl(o);return vb(o,_.iteratorCacheKey,Q2(y,S,D))}if($pe(o,_.getGlobalBuiltinIteratorTypes())){const[y]=Pl(o),S=GRe(),D=te;return vb(o,_.iteratorCacheKey,Q2(y,S,D))}}function I1t(o,_){const y=Yu(o,"done")||ln;return Da(_===0?ln:Pt,y)}function ari(o){return I1t(o,0)}function cri(o){return I1t(o,1)}function lri(o){if(Nc(o))return Ks;const _=wge(o,"iterationTypesOfIteratorResult");if(_)return _;if(iy(o,BKt(!1))){const B=Pl(o)[0];return vb(o,"iterationTypesOfIteratorResult",Q2(B,void 0,void 0))}if(iy(o,WKt(!1))){const B=Pl(o)[0];return vb(o,"iterationTypesOfIteratorResult",Q2(void 0,B,void 0))}const y=ad(o,ari),S=y!==Ai?Yu(y,"value"):void 0,D=ad(o,cri),L=D!==Ai?Yu(D,"value"):void 0;return!S&&!L?vb(o,"iterationTypesOfIteratorResult",ds):vb(o,"iterationTypesOfIteratorResult",Q2(S,L||Ri,void 0))}function T5e(o,_,y,S,D){var L,B,K,ne;const ce=Ta(o,y);if(!ce&&y!=="next")return;const ge=ce&&!(y==="next"&&ce.flags&16777216)?y==="next"?Yn(ce):Qg(Yn(ce),2097152):void 0;if(Nc(ge))return Ks;const je=ge?ia(ge,0):w;if(je.length===0){if(S){const rn=y==="next"?_.mustHaveANextMethodDiagnostic:_.mustBeAMethodDiagnostic;D?(D.errors??(D.errors=[]),D.errors.push(Kn(S,rn,y))):ft(S,rn,y)}return y==="next"?ds:void 0}if(ge!=null&&ge.symbol&&je.length===1){const rn=_.getGlobalGeneratorType(!1),Ui=_.getGlobalIteratorType(!1),fr=((B=(L=rn.symbol)==null?void 0:L.members)==null?void 0:B.get(y))===ge.symbol,Gr=!fr&&((ne=(K=Ui.symbol)==null?void 0:K.members)==null?void 0:ne.get(y))===ge.symbol;if(fr||Gr){const vs=fr?rn:Ui,{mapper:Ur}=ge;return Q2(ZS(vs.typeParameters[0],Ur),ZS(vs.typeParameters[1],Ur),y==="next"?ZS(vs.typeParameters[2],Ur):void 0)}}let Be,kt;for(const rn of je)y!=="throw"&&Ft(rn.parameters)&&(Be=fn(Be,u1(rn,0))),kt=fn(kt,Oc(rn));let Vt,Kt;if(y!=="throw"){const rn=Be?is(Be):te;if(y==="next")Kt=rn;else if(y==="return"){const Ui=_.resolveIterationType(rn,S)||Je;Vt=fn(Vt,Ui)}}let Oi;const Ki=kt?Wa(kt):Ai,qn=_.resolveIterationType(Ki,S)||Je,Qn=lri(qn);return Qn===ds?(S&&(D?(D.errors??(D.errors=[]),D.errors.push(Kn(S,_.mustHaveAValueDiagnostic,y))):ft(S,_.mustHaveAValueDiagnostic,y)),Oi=Je,Vt=fn(Vt,Je)):(Oi=Qn.yieldType,Vt=fn(Vt,Qn.returnType)),Q2(Oi,is(Vt),Kt)}function uri(o,_,y,S,D){const L=S1t([T5e(o,_,"next",y,S),T5e(o,_,"return",y,S),T5e(o,_,"throw",y,S)]);return D?L:vb(o,_.iteratorCacheKey,L)}function rE(o,_,y){if(Nc(_))return;const S=D5e(_,y);return S&&S[net(o)]}function D5e(o,_){if(Nc(o))return Ks;const y=_?2:1,S=_?Ic:Gl;return xge(o,y,void 0)||rri(o,S,void 0,void 0)}function dri(o){PC(o)||woi(o)}function yte(o,_){const y=!!(_&1),S=!!(_&2);if(y){const D=rE(1,o,S);return D?S?tw(rF(D)):D:vt}return S?tw(o)||vt:o}function N1t(o,_){const y=yte(_,nd(o));return!!(y&&(Au(y,16384)||y.flags&32769))}function fri(o){if(PC(o))return;const _=qG(o);if(_&&gu(_)){Df(o,k.A_return_statement_cannot_be_used_inside_a_class_static_block);return}if(!_){Df(o,k.A_return_statement_can_only_be_used_within_a_function_body);return}const y=Dm(_),S=Oc(y),D=nd(_);if(we||o.expression||S.flags&131072){const L=o.expression?ju(o.expression):tt;if(_.kind===178)o.expression&&ft(o,k.Setters_cannot_return_a_value);else if(_.kind===176)o.expression&&!Xx(L,S,o,o.expression)&&ft(o,k.Return_type_of_constructor_signature_must_be_assignable_to_the_instance_type_of_the_class);else if(BO(_)){const B=yte(S,D)??S,K=D&2?cU(L,!1,o,k.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member):L;B&&Xx(K,B,o,o.expression)}}else _.kind!==176&&Q.noImplicitReturns&&!N1t(_,S)&&ft(o,k.Not_all_code_paths_return_a_value)}function hri(o){PC(o)||o.flags&65536&&Df(o,k.with_statements_are_not_allowed_in_an_async_function_block),uo(o.expression);const _=_n(o);if(!tk(_)){const y=E1(_,o.pos).start,S=o.statement.pos;sE(_,y,S-y,k.The_with_statement_is_not_supported_All_symbols_in_a_with_block_will_have_type_any)}}function _ri(o){PC(o);let _,y=!1;const S=uo(o.expression);O(o.caseBlock.clauses,D=>{D.kind===297&&!y&&(_===void 0?_=D:(sn(D,k.A_default_clause_cannot_appear_more_than_once_in_a_switch_statement),y=!0)),D.kind===296&&a(L(D)),O(D.statements,za),Q.noFallthroughCasesInSwitch&&D.fallthroughFlowNode&&Wee(D.fallthroughFlowNode)&&ft(D,k.Fallthrough_case_in_switch);function L(B){return()=>{const K=uo(B.expression);o5e(S,K)||Qpt(K,S,B.expression,void 0)}}}),o.caseBlock.locals&&ek(o.caseBlock)}function pri(o){PC(o)||Qi(o.parent,_=>Ho(_)?"quit":_.kind===256&&_.label.escapedText===o.label.escapedText?(sn(o.label,k.Duplicate_label_0,uu(o.label)),!0):!1),za(o.statement)}function mri(o){PC(o)||ot(o.expression)&&!o.expression.escapedText&&Foi(o,k.Line_break_not_permitted_here),o.expression&&uo(o.expression)}function gri(o){PC(o),Cge(o.tryBlock);const _=o.catchClause;if(_){if(_.variableDeclaration){const y=_.variableDeclaration;mte(y);const S=dd(y);if(S){const D=Bs(S);D&&!(D.flags&3)&&Df(S,k.Catch_clause_variable_type_annotation_must_be_any_or_unknown_if_specified)}else if(y.initializer)Df(y.initializer,k.Catch_clause_variable_cannot_have_an_initializer);else{const D=_.block.locals;D&&qb(_.locals,L=>{const B=D.get(L);B!=null&&B.valueDeclaration&&B.flags&2&&sn(B.valueDeclaration,k.Cannot_redeclare_identifier_0_in_catch_clause,Ws(L))})}}Cge(_.block)}o.finallyBlock&&Cge(o.finallyBlock)}function kge(o,_,y){const S=Ih(o);if(S.length===0)return;for(const L of XS(o))y&&L.flags&4194304||L1t(o,L,$A(L,8576,!0),Ux(L));const D=_.valueDeclaration;if(D&&ss(D)){for(const L of D.members)if(!pa(L)&&!RO(L)){const B=xr(L);L1t(o,B,Kf(L.name.expression),Ux(B))}}if(S.length>1)for(const L of S)yri(o,L)}function L1t(o,_,y,S){const D=_.valueDeclaration,L=No(D);if(L&&Vs(L))return;const B=PRe(o,y),K=Or(o)&2?ql(o.symbol,264):void 0,ne=D&&D.kind===226||L&&L.kind===167?D:void 0,ce=Qp(_)===o.symbol?D:void 0;for(const ge of B){const je=ge.declaration&&Qp(xr(ge.declaration))===o.symbol?ge.declaration:void 0,Be=ce||je||(K&&!Ft(Ll(o),kt=>!!UD(kt,_.escapedName)&&!!H2(kt,ge.keyType))?K:void 0);if(Be&&!Da(S,ge.type)){const kt=Q1(Be,k.Property_0_of_type_1_is_not_assignable_to_2_index_type_3,eo(_),Hn(S),Hn(ge.keyType),Hn(ge.type));ne&&Be!==ne&&da(kt,Kn(ne,k._0_is_declared_here,eo(_))),lc.add(kt)}}}function yri(o,_){const y=_.declaration,S=PRe(o,_.keyType),D=Or(o)&2?ql(o.symbol,264):void 0,L=y&&Qp(xr(y))===o.symbol?y:void 0;for(const B of S){if(B===_)continue;const K=B.declaration&&Qp(xr(B.declaration))===o.symbol?B.declaration:void 0,ne=L||K||(D&&!Ft(Ll(o),ce=>!!pb(ce,_.keyType)&&!!H2(ce,B.keyType))?D:void 0);ne&&!Da(_.type,B.type)&&ft(ne,k._0_index_type_1_is_not_assignable_to_2_index_type_3,Hn(_.keyType),Hn(_.type),Hn(B.keyType),Hn(B.type))}}function oF(o,_){switch(o.escapedText){case"any":case"unknown":case"never":case"number":case"bigint":case"boolean":case"string":case"symbol":case"void":case"object":case"undefined":ft(o,_,o.escapedText)}}function vri(o){re>=1&&o.escapedText==="Object"&&t.getEmitModuleFormatOfFile(_n(o))<5&&ft(o,k.Class_name_cannot_be_Object_when_targeting_ES5_with_module_0,bW[oe])}function bri(o){const _=$t(Wk(o),Qm);if(!P(_))return;const y=nr(o),S=new Set,D=new Set;if(O(o.parameters,({name:B},K)=>{ot(B)&&S.add(B.escapedText),ta(B)&&D.add(K)}),MRe(o)){const B=_.length-1,K=_[B];y&&K&&ot(K.name)&&K.typeExpression&&K.typeExpression.type&&!S.has(K.name.escapedText)&&!D.has(B)&&!ug(Bs(K.typeExpression.type))&&ft(K.name,k.JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name_It_would_match_arguments_if_it_had_an_array_type,Fr(K.name))}else O(_,({name:B,isNameFirst:K},ne)=>{D.has(ne)||ot(B)&&S.has(B.escapedText)||(o_(B)?y&&ft(B,k.Qualified_name_0_is_not_allowed_without_a_leading_param_object_1,bp(B),bp(B.left)):K||Z1(y,B,k.JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name,Fr(B)))})}function vte(o){let _=!1;if(o)for(let S=0;S<o.length;S++){const D=o[S];Z0t(D),a(y(D,S))}function y(S,D){return()=>{S.default?(_=!0,Cri(S.default,o,D)):_&&ft(S,k.Required_type_parameters_may_not_follow_optional_type_parameters);for(let L=0;L<D;L++)o[L].symbol===S.symbol&&ft(S.name,k.Duplicate_identifier_0,al(S.name))}}}function Cri(o,_,y){S(o);function S(D){if(D.kind===183){const L=uee(D);if(L.flags&262144)for(let B=y;B<_.length;B++)L.symbol===xr(_[B])&&ft(D,k.Type_parameter_defaults_can_only_reference_previously_declared_type_parameters)}Uo(D,S)}}function P1t(o){if(o.declarations&&o.declarations.length===1)return;const _=Ys(o);if(!_.typeParametersChecked){_.typeParametersChecked=!0;const y=Iri(o);if(!y||y.length<=1)return;const S=gl(o);if(!A1t(y,S.localTypeParameters,Jw)){const D=eo(o);for(const L of y)ft(L.name,k.All_declarations_of_0_must_have_identical_type_parameters,D)}}}function A1t(o,_,y){const S=P(_),D=sy(_);for(const L of o){const B=y(L),K=B.length;if(K<D||K>S)return!1;for(let ne=0;ne<K;ne++){const ce=B[ne],ge=_[ne];if(ce.name.escapedText!==ge.symbol.escapedName)return!1;const je=R6(ce),Be=je&&Bs(je),kt=m_(ge);if(Be&&kt&&!yb(Be,kt))return!1;const Vt=ce.default&&Bs(ce.default),Kt=qD(ge);if(Vt&&Kt&&!yb(Vt,Kt))return!1}}return!0}function O1t(o){const _=!pe&&re<99&&dS(!1,o),y=re<9||re<99,S=!ue;if(_||y)for(const D of o.members){if(_&&Mae(!1,D,o))return Ra($w(o))??o;if(y){if(gu(D))return D;if(pa(D)&&(Wh(D)||S&&HH(D)))return D}}}function Sri(o){if(o.name)return;const _=sIe(o);if(!Zae(_))return;const y=!pe&&re<99;let S;y&&dS(!1,o)?S=Ra($w(o))??o:S=O1t(o),S&&(zd(S,4194304),(_d(_)||Lo(_)||ec(_))&&Ka(_.name)&&zd(S,8388608))}function wri(o){return M1t(o),s4(o),Sri(o),Yn(xr(o))}function xri(o){O(o.members,za),ek(o)}function kri(o){const _=Fe(o.modifiers,Fd);pe&&_&&Ft(o.members,y=>bd(y)&&Wh(y))&&sn(_,k.Class_decorators_can_t_be_used_with_static_private_identifier_Consider_removing_the_experimental_decorator),!o.name&&!Zr(o,2048)&&Df(o,k.A_class_declaration_without_the_default_modifier_must_have_a_name),M1t(o),O(o.members,za),ek(o)}function M1t(o){coi(o),hte(o),sF(o,o.name),vte(Jw(o)),oU(o);const _=xr(o),y=gl(_),S=Wp(y),D=Yn(_);P1t(_),yge(_),Fii(o),!!(o.flags&33554432)||Bii(o);const B=L1(o);if(B){O(B.typeArguments,za),re<2&&zd(B.parent,1);const ce=qT(o);ce&&ce!==B&&uo(ce.expression);const ge=Ll(y);ge.length&&a(()=>{const je=ge[0],Be=ys(y),kt=Kh(Be);if(Dri(kt,B),za(B.expression),Ft(B.typeArguments)){O(B.typeArguments,za);for(const Kt of Vr(kt,B.typeArguments,B))if(!s1t(B,Kt.typeParameters))break}const Vt=Wp(je,y.thisType);if(Nh(S,Vt,void 0)?Nh(D,qpt(kt),o.name||o,k.Class_static_side_0_incorrectly_extends_base_class_static_side_1):B1t(o,S,Vt,k.Class_0_incorrectly_extends_base_class_1),Be.flags&8650752&&(V2(D)?ia(Be,1).some(Oi=>Oi.flags&4)&&!Zr(o,64)&&ft(o.name||o,k.A_mixin_class_that_extends_from_a_type_variable_containing_an_abstract_construct_signature_must_also_be_declared_abstract):ft(o.name||o,k.A_mixin_class_must_have_a_constructor_with_a_single_rest_parameter_of_type_any)),!(kt.symbol&&kt.symbol.flags&32)&&!(Be.flags&8650752)){const Kt=Ds(kt,B.typeArguments,B);O(Kt,Oi=>!dy(Oi.declaration)&&!yb(Oc(Oi),je))&&ft(B.expression,k.Base_constructors_must_all_have_the_same_return_type)}Nri(y,je)})}Tri(o,y,S,D);const K=i3(o);if(K)for(const ce of K)(!pl(ce.expression)||gh(ce.expression))&&ft(ce.expression,k.A_class_can_only_implement_an_identifier_Slashqualified_name_with_optional_type_arguments),_5e(ce),a(ne(ce));a(()=>{kge(y,_),kge(D,_,!0),f5e(o),Ari(o)});function ne(ce){return()=>{const ge=a1(Bs(ce));if(!fe(ge))if(ry(ge)){const je=ge.symbol&&ge.symbol.flags&32?k.Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclass:k.Class_0_incorrectly_implements_interface_1,Be=Wp(ge,y.thisType);Nh(S,Be,void 0)||B1t(o,S,Be,je)}else ft(ce,k.A_class_can_only_implement_an_object_type_or_intersection_of_object_types_with_statically_known_members)}}}function Tri(o,_,y,S){const L=L1(o)&&Ll(_),B=L!=null&&L.length?Wp(ya(L),_.thisType):void 0,K=ys(_);for(const ne of o.members)fce(ne)||(iu(ne)&&O(ne.parameters,ce=>{vp(ce,ne)&&R1t(o,S,K,B,_,y,ce,!0)}),R1t(o,S,K,B,_,y,ne,!1))}function R1t(o,_,y,S,D,L,B,K,ne=!0){const ce=B.name&&Zg(B.name)||Zg(B);return ce?F1t(o,_,y,S,D,L,bK(B),KT(B),pa(B),K,ce,ne?B:void 0):0}function F1t(o,_,y,S,D,L,B,K,ne,ce,ge,je){const Be=nr(o),kt=!!(o.flags&33554432);if(S&&(B||Q.noImplicitOverride)){const Vt=ne?_:L,Kt=ne?y:S,Oi=Ta(Vt,ge.escapedName),Ki=Ta(Kt,ge.escapedName),qn=Hn(S);if(Oi&&!Ki&&B){if(je){const Qn=Jgt(Eu(ge),Kt);Qn?ft(je,Be?k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1:k.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1,qn,eo(Qn)):ft(je,Be?k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0:k.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0,qn)}return 2}else if(Oi&&(Ki!=null&&Ki.declarations)&&Q.noImplicitOverride&&!kt){const Qn=Ft(Ki.declarations,KT);if(B)return 0;if(Qn){if(K&&Qn)return je&&ft(je,k.This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0,qn),1}else{if(je){const rn=ce?Be?k.This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0:k.This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0:Be?k.This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0:k.This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0;ft(je,rn,qn)}return 1}}}else if(B){if(je){const Vt=Hn(D);ft(je,Be?k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class:k.This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class,Vt)}return 2}return 0}function B1t(o,_,y,S){let D=!1;for(const L of o.members){if(pa(L))continue;const B=L.name&&Zg(L.name)||Zg(L);if(B){const K=Ta(_,B.escapedName),ne=Ta(y,B.escapedName);if(K&&ne){const ce=()=>jo(void 0,k.Property_0_in_type_1_is_not_assignable_to_the_same_property_in_base_type_2,eo(B),Hn(_),Hn(y));Nh(Yn(K),Yn(ne),L.name||L,void 0,ce)||(D=!0)}}}D||Nh(_,y,o.name||o,S)}function Dri(o,_){const y=ia(o,1);if(y.length){const S=y[0].declaration;if(S&&xp(S,2)){const D=Xb(o.symbol);A5e(_,D)||ft(_,k.Cannot_extend_a_class_0_Class_constructor_is_marked_as_private,qS(o.symbol))}}}function Eri(o,_,y){if(!_.name)return 0;const S=xr(o),D=gl(S),L=Wp(D),B=Yn(S),ne=L1(o)&&Ll(D),ce=ne!=null&&ne.length?Wp(ya(ne),D.thisType):void 0,ge=ys(D),je=_.parent?bK(_):Zr(_,16);return F1t(o,B,ge,ce,D,L,je,KT(_),pa(_),!1,y)}function eM(o){return Iu(o)&1?o.links.target:o}function Iri(o){return $t(o.declarations,_=>_.kind===263||_.kind===264)}function Nri(o,_){var y,S,D,L,B;const K=Ac(_),ne=new Map;e:for(const ce of K){const ge=eM(ce);if(ge.flags&4194304)continue;const je=UD(o,ge.escapedName);if(!je)continue;const Be=eM(je),kt=$m(ge);if(j.assert(!!Be,"derived should point to something, even if it is the base class' declaration."),Be===ge){const Vt=Xb(o.symbol);if(kt&64&&(!Vt||!Zr(Vt,64))){for(const Qn of Ll(o)){if(Qn===_)continue;const rn=UD(Qn,ge.escapedName),Ui=rn&&eM(rn);if(Ui&&Ui!==ge)continue e}const Kt=Hn(_),Oi=Hn(o),Ki=eo(ce),qn=fn((y=ne.get(Vt))==null?void 0:y.missedProperties,Ki);ne.set(Vt,{baseTypeName:Kt,typeName:Oi,missedProperties:qn})}}else{const Vt=$m(Be);if(kt&2||Vt&2)continue;let Kt;const Oi=ge.flags&98308,Ki=Be.flags&98308;if(Oi&&Ki){if((Iu(ge)&6?(S=ge.declarations)!=null&&S.some(rn=>W1t(rn,kt)):(D=ge.declarations)!=null&&D.every(rn=>W1t(rn,kt)))||Iu(ge)&262144||Be.valueDeclaration&&ur(Be.valueDeclaration))continue;const qn=Oi!==4&&Ki===4;if(qn||Oi===4&&Ki!==4){const rn=qn?k._0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property:k._0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor;ft(No(Be.valueDeclaration)||Be.valueDeclaration,rn,eo(ge),Hn(_),Hn(o))}else if(de){const rn=(L=Be.declarations)==null?void 0:L.find(Ui=>Ui.kind===172&&!Ui.initializer);if(rn&&!(Be.flags&33554432)&&!(kt&64)&&!(Vt&64)&&!((B=Be.declarations)!=null&&B.some(Ui=>!!(Ui.flags&33554432)))){const Ui=nH(Xb(o.symbol)),fr=rn.name;if(rn.exclamationToken||!Ui||!ot(fr)||!we||!H1t(fr,o,Ui)){const Gr=k.Property_0_will_overwrite_the_base_property_in_1_If_this_is_intentional_add_an_initializer_Otherwise_add_a_declare_modifier_or_remove_the_redundant_declaration;ft(No(Be.valueDeclaration)||Be.valueDeclaration,Gr,eo(ge),Hn(_))}}}continue}else if(T7e(ge)){if(T7e(Be)||Be.flags&4)continue;j.assert(!!(Be.flags&98304)),Kt=k.Class_0_defines_instance_member_function_1_but_extended_class_2_defines_it_as_instance_member_accessor}else ge.flags&98304?Kt=k.Class_0_defines_instance_member_accessor_1_but_extended_class_2_defines_it_as_instance_member_function:Kt=k.Class_0_defines_instance_member_property_1_but_extended_class_2_defines_it_as_instance_member_function;ft(No(Be.valueDeclaration)||Be.valueDeclaration,Kt,Hn(_),eo(ge),Hn(o))}}for(const[ce,ge]of ne)if(P(ge.missedProperties)===1)fd(ce)?ft(ce,k.Non_abstract_class_expression_does_not_implement_inherited_abstract_member_0_from_class_1,ya(ge.missedProperties),ge.baseTypeName):ft(ce,k.Non_abstract_class_0_does_not_implement_inherited_abstract_member_1_from_class_2,ge.typeName,ya(ge.missedProperties),ge.baseTypeName);else if(P(ge.missedProperties)>5){const je=qt(ge.missedProperties.slice(0,4),kt=>`'${kt}'`).join(", "),Be=P(ge.missedProperties)-4;fd(ce)?ft(ce,k.Non_abstract_class_expression_is_missing_implementations_for_the_following_members_of_0_Colon_1_and_2_more,ge.baseTypeName,je,Be):ft(ce,k.Non_abstract_class_0_is_missing_implementations_for_the_following_members_of_1_Colon_2_and_3_more,ge.typeName,ge.baseTypeName,je,Be)}else{const je=qt(ge.missedProperties,Be=>`'${Be}'`).join(", ");fd(ce)?ft(ce,k.Non_abstract_class_expression_is_missing_implementations_for_the_following_members_of_0_Colon_1,ge.baseTypeName,je):ft(ce,k.Non_abstract_class_0_is_missing_implementations_for_the_following_members_of_1_Colon_2,ge.typeName,ge.baseTypeName,je)}}function W1t(o,_){return _&64&&(!Lo(o)||!o.initializer)||zf(o.parent)}function Lri(o,_,y){if(!P(_))return y;const S=new Map;O(y,D=>{S.set(D.escapedName,D)});for(const D of _){const L=Ac(Wp(D,o.thisType));for(const B of L){const K=S.get(B.escapedName);K&&B.parent===K.parent&&S.delete(B.escapedName)}}return as(S.values())}function Pri(o,_){const y=Ll(o);if(y.length<2)return!0;const S=new Map;O(gRe(o).declaredProperties,L=>{S.set(L.escapedName,{prop:L,containingType:o})});let D=!0;for(const L of y){const B=Ac(Wp(L,o.thisType));for(const K of B){const ne=S.get(K.escapedName);if(!ne)S.set(K.escapedName,{prop:K,containingType:L});else if(ne.containingType!==o&&!OQt(ne.prop,K)){D=!1;const ge=Hn(ne.containingType),je=Hn(L);let Be=jo(void 0,k.Named_property_0_of_types_1_and_2_are_not_identical,eo(K),ge,je);Be=jo(Be,k.Interface_0_cannot_simultaneously_extend_types_1_and_2,Hn(o),ge,je),lc.add(Bv(_n(_),_,Be))}}}return D}function Ari(o){if(!we||!ke||o.flags&33554432)return;const _=nH(o);for(const y of o.members)if(!(jh(y)&128)&&!pa(y)&&V1t(y)){const S=y.name;if(ot(S)||Vs(S)||Ka(S)){const D=Yn(xr(y));D.flags&3||UO(D)||(!_||!H1t(S,D,_))&&ft(y.name,k.Property_0_has_no_initializer_and_is_not_definitely_assigned_in_the_constructor,al(S))}}}function V1t(o){return o.kind===172&&!KT(o)&&!o.exclamationToken&&!o.initializer}function Ori(o,_,y,S,D){for(const L of y)if(L.pos>=S&&L.pos<=D){const B=G.createPropertyAccessExpression(G.createThis(),o);_c(B.expression,B),_c(B,L),B.flowNode=L.returnFlowNode;const K=LC(B,_,Zx(_));if(!UO(K))return!0}return!1}function H1t(o,_,y){const S=Ka(o)?G.createElementAccessExpression(G.createThis(),o.expression):G.createPropertyAccessExpression(G.createThis(),o);_c(S.expression,S),_c(S,y),S.flowNode=y.returnFlowNode;const D=LC(S,_,Zx(_));return!UO(D)}function Mri(o){bb(o)||poi(o),vte(o.typeParameters),a(()=>{oF(o.name,k.Interface_name_cannot_be_0),oU(o);const _=xr(o);P1t(_);const y=ql(_,264);if(o===y){const S=gl(_),D=Wp(S);if(Pri(S,o.name)){for(const L of Ll(S))Nh(D,Wp(L,S.thisType),o.name,k.Interface_0_incorrectly_extends_interface_1);kge(S,_)}}t1t(o)}),O(B8(o),_=>{(!pl(_.expression)||gh(_.expression))&&ft(_.expression,k.An_interface_can_only_extend_an_identifier_Slashqualified_name_with_optional_type_arguments),_5e(_)}),O(o.members,za),a(()=>{f5e(o),ek(o)})}function Rri(o){if(bb(o),oF(o.name,k.Type_alias_name_cannot_be_0),oU(o),vte(o.typeParameters),o.type.kind===141){const _=P(o.typeParameters);(_===0?o.name.escapedText==="BuiltinIteratorReturn":_===1&&Lue.has(o.name.escapedText))||ft(o.type,k.The_intrinsic_keyword_can_only_be_used_to_declare_compiler_provided_intrinsic_types)}else za(o.type),ek(o)}function j1t(o){const _=yr(o);if(!(_.flags&1024)){_.flags|=1024;let y=0,S;for(const D of o.members){const L=Fri(D,y,S);yr(D).enumMemberValue=L,y=typeof L.value=="number"?L.value+1:void 0,S=D}}}function Fri(o,_,y){if(YW(o.name))ft(o.name,k.Computed_property_names_are_not_allowed_in_enums);else{const S=lP(o.name);$v(S)&&!c7(S)&&ft(o.name,k.An_enum_member_cannot_have_a_numeric_name)}if(o.initializer)return Bri(o);if(o.parent.flags&33554432&&!Uk(o.parent))return Rd(void 0);if(_===void 0)return ft(o.name,k.Enum_member_must_have_initializer),Rd(void 0);if(Ag(Q)&&(y!=null&&y.initializer)){const S=jN(y);typeof S.value=="number"&&!S.resolvedOtherFiles||ft(o.name,k.Enum_member_following_a_non_literal_numeric_member_must_have_an_initializer_when_isolatedModules_is_enabled)}return Rd(_)}function Bri(o){const _=Uk(o.parent),y=o.initializer,S=Nt(y,o);return S.value!==void 0?_&&typeof S.value=="number"&&!isFinite(S.value)?ft(y,isNaN(S.value)?k.const_enum_member_initializer_was_evaluated_to_disallowed_value_NaN:k.const_enum_member_initializer_was_evaluated_to_a_non_finite_value):Ag(Q)&&typeof S.value=="string"&&!S.isSyntacticallyString&&ft(y,k._0_has_a_string_type_but_must_have_syntactically_recognizable_string_syntax_when_isolatedModules_is_enabled,`${Fr(o.parent.name)}.${lP(o.name)}`):_?ft(y,k.const_enum_member_initializers_must_be_constant_expressions):o.parent.flags&33554432?ft(y,k.In_ambient_enum_declarations_member_initializer_must_be_constant_expression):Nh(uo(y),hi,y,k.Type_0_is_not_assignable_to_type_1_as_required_for_computed_enum_member_values),S}function z1t(o,_){const y=nu(o,111551,!0);if(!y)return Rd(void 0);if(o.kind===80){const S=o;if(c7(S.escapedText)&&y===jO(S.escapedText,111551,void 0))return Rd(+S.escapedText,!1)}if(y.flags&8)return _?U1t(o,y,_):jN(y.valueDeclaration);if(e4(y)){const S=y.valueDeclaration;if(S&&_s(S)&&!S.type&&S.initializer&&(!_||S!==_&&VS(S,_))){const D=Nt(S.initializer,S);return _&&_n(_)!==_n(S)?Rd(D.value,!1,!0,!0):Rd(D.value,D.isSyntacticallyString,D.resolvedOtherFiles,!0)}}return Rd(void 0)}function Wri(o,_){const y=o.expression;if(pl(y)&&Bc(o.argumentExpression)){const S=nu(y,111551,!0);if(S&&S.flags&384){const D=cu(o.argumentExpression.text),L=S.exports.get(D);if(L)return j.assert(_n(L.valueDeclaration)===_n(S.valueDeclaration)),_?U1t(o,L,_):jN(L.valueDeclaration)}}return Rd(void 0)}function U1t(o,_,y){const S=_.valueDeclaration;if(!S||S===y)return ft(o,k.Property_0_is_used_before_being_assigned,eo(_)),Rd(void 0);if(!VS(S,y))return ft(o,k.A_member_initializer_in_a_enum_declaration_cannot_reference_members_declared_after_it_including_members_defined_in_other_enums),Rd(0);const D=jN(S);return y.parent!==S.parent?Rd(D.value,D.isSyntacticallyString,D.resolvedOtherFiles,!0):D}function Vri(o){a(()=>Hri(o))}function Hri(o){bb(o),sF(o,o.name),oU(o),o.members.forEach(jri),j1t(o);const _=xr(o),y=ql(_,o.kind);if(o===y){if(_.declarations&&_.declarations.length>1){const D=Uk(o);O(_.declarations,L=>{sD(L)&&Uk(L)!==D&&ft(No(L),k.Enum_declarations_must_all_be_const_or_non_const)})}let S=!1;O(_.declarations,D=>{if(D.kind!==266)return!1;const L=D;if(!L.members.length)return!1;const B=L.members[0];B.initializer||(S?ft(B.name,k.In_an_enum_with_multiple_declarations_only_one_declaration_can_omit_an_initializer_for_its_first_enum_element):S=!0)})}}function jri(o){Vs(o.name)&&ft(o,k.An_enum_member_cannot_be_named_with_a_private_identifier),o.initializer&&uo(o.initializer)}function zri(o){const _=o.declarations;if(_){for(const y of _)if((y.kind===263||y.kind===262&&Dg(y.body))&&!(y.flags&33554432))return y}}function Uri(o,_){const y=R0(o),S=R0(_);return cS(y)?cS(S):cS(S)?!1:y===S}function qri(o){o.body&&(za(o.body),Ry(o)||ek(o)),a(_);function _(){var y,S;const D=Ry(o),L=o.flags&33554432;D&&!L&&ft(o.name,k.Augmentations_for_the_global_scope_should_have_declare_modifier_unless_they_appear_in_already_ambient_context);const B=Vh(o),K=B?k.An_ambient_module_declaration_is_only_allowed_at_the_top_level_in_a_file:k.A_namespace_declaration_is_only_allowed_at_the_top_level_of_a_namespace_or_module;if(bte(o,K))return;if(bb(o)||!L&&o.name.kind===11&&sn(o.name,k.Only_ambient_modules_can_use_quoted_names),ot(o.name)&&(sF(o,o.name),!(o.flags&2080))){const ce=_n(o),ge=K2e(o),je=E1(ce,ge);ED.add(Md(ce,je.start,je.length,k.A_namespace_declaration_should_not_be_declared_using_the_module_keyword_Please_use_the_namespace_keyword_instead))}oU(o);const ne=xr(o);if(ne.flags&512&&!L&&Pue(o,sx(Q))){if(Ag(Q)&&!_n(o).externalModuleIndicator&&ft(o.name,k.Namespaces_are_not_allowed_in_global_script_files_when_0_is_enabled_If_this_file_is_not_intended_to_be_a_global_script_set_moduleDetection_to_force_or_add_an_empty_export_statement,Et),((y=ne.declarations)==null?void 0:y.length)>1){const ce=zri(ne);ce&&(_n(o)!==_n(ce)?ft(o.name,k.A_namespace_declaration_cannot_be_in_a_different_file_from_a_class_or_function_with_which_it_is_merged):o.pos<ce.pos&&ft(o.name,k.A_namespace_declaration_cannot_be_located_prior_to_a_class_or_function_with_which_it_is_merged));const ge=ql(ne,263);ge&&Uri(o,ge)&&(yr(o).flags|=2048)}if(Q.verbatimModuleSyntax&&o.parent.kind===307&&t.getEmitModuleFormatOfFile(o.parent)===1){const ce=(S=o.modifiers)==null?void 0:S.find(ge=>ge.kind===95);ce&&ft(ce,k.A_top_level_export_modifier_cannot_be_used_on_value_declarations_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled)}}if(B)if(BT(o)){if((D||xr(o).flags&33554432)&&o.body)for(const ge of o.body.statements)E5e(ge,D)}else cS(o.parent)?D?ft(o.name,k.Augmentations_for_the_global_scope_can_only_be_directly_nested_in_external_modules_or_ambient_module_declarations):Gd(Lg(o.name))&&ft(o.name,k.Ambient_module_declaration_cannot_specify_relative_module_name):D?ft(o.name,k.Augmentations_for_the_global_scope_can_only_be_directly_nested_in_external_modules_or_ambient_module_declarations):ft(o.name,k.Ambient_modules_cannot_be_nested_in_other_modules_or_namespaces)}}function E5e(o,_){switch(o.kind){case 243:for(const S of o.declarationList.declarations)E5e(S,_);break;case 277:case 278:Df(o,k.Exports_and_export_assignments_are_not_permitted_in_module_augmentations);break;case 271:if(gI(o))break;case 272:Df(o,k.Imports_are_not_permitted_in_module_augmentations_Consider_moving_them_to_the_enclosing_external_module);break;case 208:case 260:const y=o.name;if(ta(y)){for(const S of y.elements)E5e(S,_);break}case 263:case 266:case 262:case 264:case 267:case 265:if(_)return;break}}function $ri(o){switch(o.kind){case 80:return o;case 166:do o=o.left;while(o.kind!==80);return o;case 211:do{if(Wv(o.expression)&&!Vs(o.name))return o.name;o=o.expression}while(o.kind!==80);return o}}function Tge(o){const _=fP(o);if(!_||lu(_))return!1;if(!Ha(_))return ft(_,k.String_literal_expected),!1;const y=o.parent.kind===268&&Vh(o.parent.parent);if(o.parent.kind!==307&&!y)return ft(_,o.kind===278?k.Export_declarations_are_not_permitted_in_a_namespace:k.Import_declarations_in_a_namespace_cannot_reference_a_module),!1;if(y&&Gd(_.text)&&!yN(o))return ft(o,k.Import_or_export_declaration_in_an_ambient_module_declaration_cannot_reference_module_through_relative_module_name),!1;if(!Wd(o)&&o.attributes){const S=o.attributes.token===118?k.Import_attribute_values_must_be_string_literal_expressions:k.Import_assertion_values_must_be_string_literal_expressions;let D=!1;for(const L of o.attributes.elements)Ha(L.value)||(D=!0,ft(L.value,S));return!D}return!0}function Dge(o,_=!0){o===void 0||o.kind!==11||(_?(oe===5||oe===6)&&sn(o,k.String_literal_import_and_export_names_are_not_supported_when_the_module_flag_is_set_to_es2015_or_es2020):sn(o,k.Identifier_expected))}function Ege(o){var _,y,S,D;let L=xr(o);const B=$f(L);if(B!==pt){if(L=wc(L.exportSymbol||L),nr(o)&&!(B.flags&111551)&&!Kw(o)){const ce=Vk(o)?o.propertyName||o.name:Bf(o)?o.name:o;if(j.assert(o.kind!==280),o.kind===281){const ge=ft(ce,k.Types_cannot_appear_in_export_declarations_in_JavaScript_files),je=(y=(_=_n(o).symbol)==null?void 0:_.exports)==null?void 0:y.get(FT(o.propertyName||o.name));if(je===B){const Be=(S=je.declarations)==null?void 0:S.find(W6);Be&&da(ge,Kn(Be,k._0_is_automatically_exported_here,Ws(je.escapedName)))}}else{j.assert(o.kind!==260);const ge=Qi(o,om(du,Wd)),je=(ge&&((D=Z6(ge))==null?void 0:D.text))??"...",Be=Ws(ot(ce)?ce.escapedText:L.escapedName);ft(ce,k._0_is_a_type_and_cannot_be_imported_in_JavaScript_files_Use_1_in_a_JSDoc_type_annotation,Be,`import("${je}").${Be}`)}return}const K=Eh(B),ne=(L.flags&1160127?111551:0)|(L.flags&788968?788968:0)|(L.flags&1920?1920:0);if(K&ne){const ce=o.kind===281?k.Export_declaration_conflicts_with_exported_declaration_of_0:k.Import_declaration_conflicts_with_local_declaration_of_0;ft(o,ce,eo(L))}else o.kind!==281&&Q.isolatedModules&&!Qi(o,Kw)&&L.flags&1160127&&ft(o,k.Import_0_conflicts_with_local_value_so_must_be_declared_with_a_type_only_import_when_isolatedModules_is_enabled,eo(L),Et);if(Ag(Q)&&!Kw(o)&&!(o.flags&33554432)){const ce=ey(L),ge=!(K&111551);if(ge||ce)switch(o.kind){case 273:case 276:case 271:{if(Q.verbatimModuleSyntax){j.assertIsDefined(o.name,"An ImportClause with a symbol should have a name");const je=Q.verbatimModuleSyntax&&gI(o)?k.An_import_alias_cannot_resolve_to_a_type_or_type_only_declaration_when_verbatimModuleSyntax_is_enabled:ge?k._0_is_a_type_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled:k._0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled,Be=tx(o.kind===276&&o.propertyName||o.name);ID(ft(o,je,Be),ge?void 0:ce,Be)}ge&&o.kind===271&&xp(o,32)&&ft(o,k.Cannot_use_export_import_on_a_type_or_type_only_namespace_when_0_is_enabled,Et);break}case 281:if(Q.verbatimModuleSyntax||_n(ce)!==_n(o)){const je=tx(o.propertyName||o.name),Be=ge?ft(o,k.Re_exporting_a_type_when_0_is_enabled_requires_using_export_type,Et):ft(o,k._0_resolves_to_a_type_only_declaration_and_must_be_re_exported_using_a_type_only_re_export_when_1_is_enabled,je,Et);ID(Be,ge?void 0:ce,je);break}}if(Q.verbatimModuleSyntax&&o.kind!==271&&!nr(o)&&t.getEmitModuleFormatOfFile(_n(o))===1?ft(o,k.ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled):oe===200&&o.kind!==271&&o.kind!==260&&t.getEmitModuleFormatOfFile(_n(o))===1&&ft(o,k.ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_module_is_set_to_preserve),Q.verbatimModuleSyntax&&!Kw(o)&&!(o.flags&33554432)&&K&128){const je=B.valueDeclaration,Be=t.getRedirectReferenceForResolutionFromSourceOfProject(_n(je).resolvedPath);je.flags&33554432&&(!Be||!sx(Be.commandLine.options))&&ft(o,k.Cannot_access_ambient_const_enums_when_0_is_enabled,Et)}}if(l_(o)){const ce=I5e(L,o);_C(ce)&&ce.declarations&&pC(o,ce.declarations,ce.escapedName)}}}function I5e(o,_){if(!(o.flags&2097152)||_C(o)||!Dh(o))return o;const y=$f(o);if(y===pt)return y;for(;o.flags&2097152;){const S=Qme(o);if(S){if(S===y)break;if(S.declarations&&P(S.declarations))if(_C(S)){pC(_,S.declarations,S.escapedName);break}else{if(o===y)break;o=S}}else break}return y}function Ige(o){sF(o,o.name),Ege(o),o.kind===276&&(Dge(o.propertyName),My(o.propertyName||o.name)&&zv(Q)&&t.getEmitModuleFormatOfFile(_n(o))<4&&zd(o,131072))}function N5e(o){var _;const y=o.attributes;if(y){const S=$Re(!0);S!==Jo&&Nh(xo(y),Lee(S,32768),y);const D=_de(o),L=z3(y,D?sn:void 0),B=o.attributes.token===118;if(D&&L)return;if((oe===199&&o.moduleSpecifier&&Sm(o.moduleSpecifier))!==99&&oe!==99&&oe!==200){const ce=B?oe===199?k.Import_attributes_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls:k.Import_attributes_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve:oe===199?k.Import_assertions_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls:k.Import_assertions_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve;return sn(y,ce)}if(q1(o)||(du(o)?(_=o.importClause)==null?void 0:_.isTypeOnly:o.isTypeOnly))return sn(y,B?k.Import_attributes_cannot_be_used_with_type_only_imports_or_exports:k.Import_assertions_cannot_be_used_with_type_only_imports_or_exports);if(L)return sn(y,k.resolution_mode_can_only_be_set_for_type_only_imports)}}function Jri(o){return Xh(ju(o.value))}function Gri(o){if(!bte(o,nr(o)?k.An_import_declaration_can_only_be_used_at_the_top_level_of_a_module:k.An_import_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module)){if(!bb(o)&&o.modifiers&&Df(o,k.An_import_declaration_cannot_have_modifiers),Tge(o)){const _=o.importClause;_&&!Woi(_)?(_.name&&Ige(_),_.namedBindings&&(_.namedBindings.kind===274?(Ige(_.namedBindings),t.getEmitModuleFormatOfFile(_n(o))<4&&zv(Q)&&zd(o,65536)):B_(o,o.moduleSpecifier)&&O(_.namedBindings.elements,Ige))):Oe&&!_&&B_(o,o.moduleSpecifier)}N5e(o)}}function Kri(o){if(!bte(o,nr(o)?k.An_import_declaration_can_only_be_used_at_the_top_level_of_a_module:k.An_import_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module)&&(bb(o),gI(o)||Tge(o)))if(Ige(o),t4(o,6),o.moduleReference.kind!==283){const _=$f(xr(o));if(_!==pt){const y=Eh(_);if(y&111551){const S=K_(o.moduleReference);nu(S,112575).flags&1920||ft(S,k.Module_0_is_hidden_by_a_local_declaration_with_the_same_name,al(S))}y&788968&&oF(o.name,k.Import_name_cannot_be_0)}o.isTypeOnly&&sn(o,k.An_import_alias_cannot_use_import_type)}else 5<=oe&&oe<=99&&!o.isTypeOnly&&!(o.flags&33554432)&&sn(o,k.Import_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_import_Asterisk_as_ns_from_mod_import_a_from_mod_import_d_from_mod_or_another_module_format_instead)}function Xri(o){if(!bte(o,nr(o)?k.An_export_declaration_can_only_be_used_at_the_top_level_of_a_module:k.An_export_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module)){if(!bb(o)&&iDe(o)&&Df(o,k.An_export_declaration_cannot_have_modifiers),Qri(o),!o.moduleSpecifier||Tge(o))if(o.exportClause&&!jy(o.exportClause)){O(o.exportClause.elements,Zri);const _=o.parent.kind===268&&Vh(o.parent.parent),y=!_&&o.parent.kind===268&&!o.moduleSpecifier&&o.flags&33554432;o.parent.kind!==307&&!_&&!y&&ft(o,k.Export_declarations_are_not_permitted_in_a_namespace)}else{const _=B_(o,o.moduleSpecifier);_&&_N(_)?ft(o.moduleSpecifier,k.Module_0_uses_export_and_cannot_be_used_with_export_Asterisk,eo(_)):o.exportClause&&(Ege(o.exportClause),Dge(o.exportClause.name)),t.getEmitModuleFormatOfFile(_n(o))<4&&(o.exportClause?zv(Q)&&zd(o,65536):zd(o,32768))}N5e(o)}}function Qri(o){var _;return o.isTypeOnly&&((_=o.exportClause)==null?void 0:_.kind)===279?Dyt(o.exportClause):!1}function bte(o,_){const y=o.parent.kind===307||o.parent.kind===268||o.parent.kind===267;return y||Df(o,_),!y}function Zri(o){Ege(o);const _=o.parent.parent.moduleSpecifier!==void 0;if(Dge(o.propertyName,_),Dge(o.name),Q_(Q)&&NO(o.propertyName||o.name,!0),_)zv(Q)&&t.getEmitModuleFormatOfFile(_n(o))<4&&My(o.propertyName||o.name)&&zd(o,131072);else{const y=o.propertyName||o.name;if(y.kind===11)return;const S=_i(y,y.escapedText,2998271,void 0,!0);S&&(S===et||S===Me||S.declarations&&cS(bN(S.declarations[0])))?ft(y,k.Cannot_export_0_Only_local_declarations_can_be_exported_from_a_module,Fr(y)):t4(o,7)}}function Yri(o){const _=o.isExportEquals?k.An_export_assignment_must_be_at_the_top_level_of_a_file_or_module_declaration:k.A_default_export_must_be_at_the_top_level_of_a_file_or_module_declaration;if(bte(o,_))return;const y=o.parent.kind===307?o.parent:o.parent.parent;if(y.kind===267&&!Vh(y)){o.isExportEquals?ft(o,k.An_export_assignment_cannot_be_used_in_a_namespace):ft(o,k.A_default_export_can_only_be_used_in_an_ECMAScript_style_module);return}!bb(o)&&dce(o)&&Df(o,k.An_export_assignment_cannot_have_modifiers);const S=dd(o);S&&Nh(ju(o.expression),Bs(S),o.expression);const D=!o.isExportEquals&&!(o.flags&33554432)&&Q.verbatimModuleSyntax&&t.getEmitModuleFormatOfFile(_n(o))===1;if(o.expression.kind===80){const L=o.expression,B=Rp(nu(L,-1,!0,!0,o));if(B){t4(o,3);const K=ey(B,111551);if(Eh(B)&111551?(ju(L),!D&&!(o.flags&33554432)&&Q.verbatimModuleSyntax&&K&&ft(L,o.isExportEquals?k.An_export_declaration_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_a_type_only_declaration:k.An_export_default_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_a_type_only_declaration,Fr(L))):!D&&!(o.flags&33554432)&&Q.verbatimModuleSyntax&&ft(L,o.isExportEquals?k.An_export_declaration_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_type:k.An_export_default_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_type,Fr(L)),!D&&!(o.flags&33554432)&&Ag(Q)&&!(B.flags&111551)){const ne=Eh(B,!1,!0);B.flags&2097152&&ne&788968&&!(ne&111551)&&(!K||_n(K)!==_n(o))?ft(L,o.isExportEquals?k._0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_import_type_where_0_is_imported:k._0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_export_type_0_as_default,Fr(L),Et):K&&_n(K)!==_n(o)&&ID(ft(L,o.isExportEquals?k._0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_import_type_where_0_is_imported:k._0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_export_type_0_as_default,Fr(L),Et),K,Fr(L))}}else ju(L);Q_(Q)&&NO(L,!0)}else ju(o.expression);D&&ft(o,k.ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled),q1t(y),o.flags&33554432&&!pl(o.expression)&&sn(o.expression,k.The_expression_of_an_export_assignment_must_be_an_identifier_or_qualified_name_in_an_ambient_context),o.isExportEquals&&(oe>=5&&oe!==200&&(o.flags&33554432&&t.getImpliedNodeFormatForEmit(_n(o))===99||!(o.flags&33554432)&&t.getImpliedNodeFormatForEmit(_n(o))!==1)?sn(o,k.Export_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_export_default_or_another_module_format_instead):oe===4&&!(o.flags&33554432)&&sn(o,k.Export_assignment_is_not_supported_when_module_flag_is_system))}function esi(o){return cf(o.exports,(_,y)=>y!=="export=")}function q1t(o){const _=xr(o),y=Ys(_);if(!y.exportsChecked){const S=_.exports.get("export=");if(S&&esi(_)){const L=Dh(S)||S.valueDeclaration;L&&!yN(L)&&!nr(L)&&ft(L,k.An_export_assignment_cannot_be_used_in_a_module_with_other_exported_elements)}const D=ub(_);D&&D.forEach(({declarations:L,flags:B},K)=>{if(K==="__export"||B&1920)return;const ne=$i(L,jJ(VMt,zJ(zf)));if(!(B&524288&&ne<=2)&&ne>1&&!Nge(L))for(const ce of L)tet(ce)&&lc.add(Kn(ce,k.Cannot_redeclare_exported_variable_0,Ws(K)))}),y.exportsChecked=!0}}function Nge(o){return o&&o.length>1&&o.every(_=>nr(_)&&Sl(_)&&(yI(_.expression)||Wv(_.expression)))}function za(o){if(o){const _=H;H=o,N=0,tsi(o),H=_}}function tsi(o){if(a4(o)&8388608)return;xV(o)&&O(o.jsDoc,({comment:y,tags:S})=>{$1t(y),O(S,D=>{$1t(D.comment),nr(o)&&za(D)})});const _=o.kind;if(u)switch(_){case 267:case 263:case 264:case 262:u.throwIfCancellationRequested()}switch(_>=243&&_<=259&&wV(o)&&o.flowNode&&!Wee(o.flowNode)&&Z1(Q.allowUnreachableCode===!1,o,k.Unreachable_code_detected),_){case 168:return Z0t(o);case 169:return Y0t(o);case 172:return i1t(o);case 171:return Wii(o);case 185:case 184:case 179:case 180:case 181:return rU(o);case 174:case 173:return Vii(o);case 175:return Hii(o);case 176:return jii(o);case 177:case 178:return r1t(o);case 183:return _5e(o);case 182:return Mii(o);case 186:return Gii(o);case 187:return Kii(o);case 188:return Xii(o);case 189:return Qii(o);case 192:case 193:return Zii(o);case 196:case 190:case 191:return za(o.type);case 197:return ini(o);case 198:return nni(o);case 194:return rni(o);case 195:return sni(o);case 203:return oni(o);case 205:return ani(o);case 202:return cni(o);case 328:return Eni(o);case 329:return Dni(o);case 346:case 338:case 340:return gni(o);case 345:return yni(o);case 344:return vni(o);case 324:case 325:case 326:return Cni(o);case 341:return Sni(o);case 348:return wni(o);case 317:xni(o);case 315:case 314:case 312:case 313:case 322:J1t(o),Uo(o,za);return;case 318:isi(o);return;case 309:return za(o.type);case 333:case 335:case 334:return Ini(o);case 350:return bni(o);case 343:return kni(o);case 351:return Tni(o);case 199:return Yii(o);case 200:return eni(o);case 262:return mni(o);case 241:case 268:return Cge(o);case 243:return Jni(o);case 244:return Gni(o);case 245:return Kni(o);case 246:return Zni(o);case 247:return Yni(o);case 248:return eri(o);case 249:return iri(o);case 250:return tri(o);case 251:case 252:return dri(o);case 253:return fri(o);case 254:return hri(o);case 255:return _ri(o);case 256:return pri(o);case 257:return mri(o);case 258:return gri(o);case 260:return qni(o);case 208:return $ni(o);case 263:return kri(o);case 264:return Mri(o);case 265:return Rri(o);case 266:return Vri(o);case 267:return qri(o);case 272:return Gri(o);case 271:return Kri(o);case 278:return Xri(o);case 277:return Yri(o);case 242:case 259:PC(o);return;case 282:return Uii(o)}}function $1t(o){Ao(o)&&O(o,_=>{rP(_)&&za(_)})}function J1t(o){if(!nr(o))if(TX(o)||k3(o)){const _=xa(TX(o)?54:58),y=o.postfix?k._0_at_the_end_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1:k._0_at_the_start_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1,S=o.type,D=Bs(S);sn(o,y,_,Hn(k3(o)&&!(D===Ai||D===Ri)?is(fn([D,tt],o.postfix?void 0:Qt)):D))}else sn(o,k.JSDoc_types_can_only_be_used_inside_documentation_comments)}function isi(o){J1t(o),za(o.type);const{parent:_}=o;if($s(_)&&T3(_.parent)){va(_.parent.parameters)!==_&&ft(o,k.A_rest_parameter_must_be_last_in_a_parameter_list);return}o2(_)||ft(o,k.JSDoc_may_only_appear_in_the_last_parameter_of_a_signature);const y=o.parent.parent;if(!Qm(y)){ft(o,k.JSDoc_may_only_appear_in_the_last_parameter_of_a_signature);return}const S=kV(y);if(!S)return;const D=Jk(y);(!D||va(D.parameters).symbol!==S)&&ft(o,k.A_rest_parameter_must_be_last_in_a_parameter_list)}function nsi(o){const _=Bs(o.type),{parent:y}=o,S=o.parent.parent;if(o2(o.parent)&&Qm(S)){const D=Jk(S),L=ble(S.parent.parent);if(D||L){const B=rl(L?S.parent.parent.typeExpression.parameters:D.parameters),K=kV(S);if(!B||K&&B.symbol===K&&Oy(B))return hh(_)}}return $s(y)&&T3(y.parent)?hh(_):Dd(_)}function s4(o){const _=_n(o),y=yr(_);y.flags&1?j.assert(!y.deferredNodes,"A type-checked file should have no deferred nodes."):(y.deferredNodes||(y.deferredNodes=new Set),y.deferredNodes.add(o))}function G1t(o){const _=yr(o);_.deferredNodes&&_.deferredNodes.forEach(rsi),_.deferredNodes=void 0}function rsi(o){var _,y;(_=Zn)==null||_.push(Zn.Phase.Check,"checkDeferredNode",{kind:o.kind,pos:o.pos,end:o.end,path:o.tracingPath});const S=H;switch(H=o,N=0,o.kind){case 213:case 214:case 215:case 170:case 286:WN(o);break;case 218:case 219:case 174:case 173:Yti(o);break;case 177:case 178:r1t(o);break;case 231:xri(o);break;case 168:Oii(o);break;case 285:oei(o);break;case 284:cei(o);break;case 216:case 234:case 217:wti(o);break;case 222:uo(o.expression);break;case 226:wK(o)&&WN(o);break}H=S,(y=Zn)==null||y.pop()}function ssi(o,_){var y,S;(y=Zn)==null||y.push(Zn.Phase.Check,_?"checkSourceFileNodes":"checkSourceFile",{path:o.path},!0);const D=_?"beforeCheckNodes":"beforeCheck",L=_?"afterCheckNodes":"afterCheck";ou(D),_?asi(o,_):osi(o),ou(L),Wm("Check",D,L),(S=Zn)==null||S.pop()}function K1t(o,_){if(_)return!1;switch(o){case 0:return!!Q.noUnusedLocals;case 1:return!!Q.noUnusedParameters;default:return j.assertNever(o)}}function X1t(o){return X0.get(o.path)||w}function osi(o){const _=yr(o);if(!(_.flags&1)){if(p3(o,Q,t))return;kyt(o),An(fC),An(OS),An(_0),An(MS),An(Tx),_.flags&8388608&&(fC=_.potentialThisCollisions,OS=_.potentialNewTargetCollisions,_0=_.potentialWeakMapSetCollisions,MS=_.potentialReflectCollisions,Tx=_.potentialUnusedRenamedBindingElementsInTypes),O(o.statements,za),za(o.endOfFileToken),G1t(o),Cp(o)&&ek(o),a(()=>{!o.isDeclarationFile&&(Q.noUnusedLocals||Q.noUnusedParameters)&&p1t(X1t(o),(y,S,D)=>{!oP(y)&&K1t(S,!!(y.flags&33554432))&&lc.add(D)}),o.isDeclarationFile||Ani()}),Cp(o)&&q1t(o),fC.length&&(O(fC,Rni),An(fC)),OS.length&&(O(OS,Fni),An(OS)),_0.length&&(O(_0,Hni),An(_0)),MS.length&&(O(MS,zni),An(MS)),_.flags|=1}}function asi(o,_){const y=yr(o);if(!(y.flags&1)){if(p3(o,Q,t))return;kyt(o),An(fC),An(OS),An(_0),An(MS),An(Tx),O(_,za),G1t(o),(y.potentialThisCollisions||(y.potentialThisCollisions=[])).push(...fC),(y.potentialNewTargetCollisions||(y.potentialNewTargetCollisions=[])).push(...OS),(y.potentialWeakMapSetCollisions||(y.potentialWeakMapSetCollisions=[])).push(..._0),(y.potentialReflectCollisions||(y.potentialReflectCollisions=[])).push(...MS),(y.potentialUnusedRenamedBindingElementsInTypes||(y.potentialUnusedRenamedBindingElementsInTypes=[])).push(...Tx),y.flags|=8388608;for(const S of _){const D=yr(S);D.flags|=8388608}}}function Q1t(o,_,y){try{return u=_,csi(o,y)}finally{u=void 0}}function L5e(){for(const o of r)o();r=[]}function P5e(o,_){L5e();const y=a;a=S=>S(),ssi(o,_),a=y}function csi(o,_){if(o){L5e();const y=lc.getGlobalDiagnostics(),S=y.length;P5e(o,_);const D=lc.getDiagnostics(o.fileName);if(_)return D;const L=lc.getGlobalDiagnostics();if(L!==y){const B=T6(y,L,Z8);return Js(B,D)}else if(S===0&&L.length>0)return Js(L,D);return D}return O(t.getSourceFiles(),y=>P5e(y)),lc.getDiagnostics()}function lsi(){return L5e(),lc.getGlobalDiagnostics()}function usi(o,_){if(o.flags&67108864)return[];const y=_a();let S=!1;return D(),y.delete("this"),ARe(y);function D(){for(;o;){switch(Ay(o)&&o.locals&&!cS(o)&&B(o.locals,_),o.kind){case 307:if(!wd(o))break;case 267:K(xr(o).exports,_&2623475);break;case 266:B(xr(o).exports,_&8);break;case 231:o.name&&L(o.symbol,_);case 263:case 264:S||B(qx(xr(o)),_&788968);break;case 218:o.name&&L(o.symbol,_);break}gTe(o)&&L(ut,_),S=pa(o),o=o.parent}B($e,_)}function L(ne,ce){if(l3(ne)&ce){const ge=ne.escapedName;y.has(ge)||y.set(ge,ne)}}function B(ne,ce){ce&&ne.forEach(ge=>{L(ge,ce)})}function K(ne,ce){ce&&ne.forEach(ge=>{!ql(ge,281)&&!ql(ge,280)&&ge.escapedName!=="default"&&L(ge,ce)})}}function dsi(o){return o.kind===80&&NP(o.parent)&&No(o.parent)===o}function Z1t(o){for(;o.parent.kind===166;)o=o.parent;return o.parent.kind===183}function fsi(o){for(;o.parent.kind===211;)o=o.parent;return o.parent.kind===233}function Y1t(o,_){let y,S=Cf(o);for(;S&&!(y=_(S));)S=Cf(S);return y}function hsi(o){return!!Qi(o,_=>iu(_)&&Dg(_.body)||Lo(_)?!0:ss(_)||Qc(_)?"quit":!1)}function A5e(o,_){return!!Y1t(o,y=>y===_)}function _si(o){for(;o.parent.kind===166;)o=o.parent;if(o.parent.kind===271)return o.parent.moduleReference===o?o.parent:void 0;if(o.parent.kind===277)return o.parent.expression===o?o.parent:void 0}function Lge(o){return _si(o)!==void 0}function psi(o){switch(Bu(o.parent.parent)){case 1:case 3:return p_(o.parent);case 5:if(Nr(o.parent)&&u3(o.parent)===o)return;case 4:case 2:return xr(o.parent.parent)}}function msi(o){let _=o.parent;for(;o_(_);)o=_,_=_.parent;if(_&&_.kind===205&&_.qualifier===o)return _}function gsi(o){if(o.expression.kind===110){const _=Hh(o,!1,!1);if(Ho(_)){const y=_gt(_);if(y){const S=X2(y,void 0),D=mgt(y,S);return D&&!Nc(D)}}}}function eyt(o){if(Wy(o))return p_(o.parent);if(nr(o)&&o.parent.kind===211&&o.parent===o.parent.parent.left&&!Vs(o)&&!a2(o)&&!gsi(o.parent)){const _=psi(o);if(_)return _}if(o.parent.kind===277&&pl(o)){const _=nu(o,2998271,!0);if(_&&_!==pt)return _}else if(E_(o)&&Lge(o)){const _=Xk(o,271);return j.assert(_!==void 0),PA(o,!0)}if(E_(o)){const _=msi(o);if(_){Bs(_);const y=yr(o).resolvedSymbol;return y===pt?void 0:y}}for(;cDe(o);)o=o.parent;if(fsi(o)){let _=0;o.parent.kind===233?(_=N1(o)?788968:111551,SK(o.parent)&&(_|=111551)):_=1920,_|=2097152;const y=pl(o)?nu(o,_,!0):void 0;if(y)return y}if(o.parent.kind===341)return kV(o.parent);if(o.parent.kind===168&&o.parent.parent.kind===345){j.assert(!nr(o));const _=OTe(o.parent);return _&&_.symbol}if(F0(o)){if(lu(o))return;const _=Qi(o,om(rP,T7,a2)),y=_?901119:111551;if(o.kind===80){if(X6(o)&&n4(o)){const D=Yme(o.parent);return D===pt?void 0:D}const S=nu(o,y,!0,!0,Jk(o));if(!S&&_){const D=Qi(o,om(ss,zf));if(D)return Cte(o,!0,xr(D))}if(S&&_){const D=zT(o);if(D&&SS(D)&&D===S.valueDeclaration)return nu(o,y,!0,!0,_n(D))||S}return S}else{if(Vs(o))return ige(o);if(o.kind===211||o.kind===166){const S=yr(o);return S.resolvedSymbol?S.resolvedSymbol:(o.kind===211?(tge(o,0),S.resolvedSymbol||(S.resolvedSymbol=tyt(ju(o.expression),xC(o.name)))):jgt(o,0),!S.resolvedSymbol&&_&&o_(o)?Cte(o):S.resolvedSymbol)}else if(a2(o))return Cte(o)}}else if(Z1t(o)){const _=o.parent.kind===183?788968:1920,y=nu(o,_,!1,!0);return y&&y!==pt?y:ime(o)}if(o.parent.kind===182)return nu(o,1)}function tyt(o,_){const y=PRe(o,_);if(y.length&&o.members){const S=Ype(o1(o).members);if(y===Ih(o))return S;if(S){const D=Ys(S),L=es(y,K=>K.declaration),B=qt(L,Sc).join(",");if(D.filteredIndexSymbolCache||(D.filteredIndexSymbolCache=new Map),D.filteredIndexSymbolCache.has(B))return D.filteredIndexSymbolCache.get(B);{const K=$a(131072,"__index");return K.declarations=es(y,ne=>ne.declaration),K.parent=o.aliasSymbol?o.aliasSymbol:o.symbol?o.symbol:Zg(K.declarations[0].parent),D.filteredIndexSymbolCache.set(B,K),K}}}}function Cte(o,_,y){if(E_(o)){let B=nu(o,901119,_,!0,Jk(o));if(!B&&ot(o)&&y&&(B=wc(ff(__(y),o.escapedText,901119))),B)return B}const S=ot(o)?y:Cte(o.left,_,y),D=ot(o)?o.escapedText:o.right.escapedText;if(S){const L=S.flags&111551&&Ta(Yn(S),"prototype"),B=L?Yn(L):gl(S);return Ta(B,D)}}function Zg(o,_){if(Os(o))return wd(o)?wc(o.symbol):void 0;const{parent:y}=o,S=y.parent;if(!(o.flags&67108864)){if(iet(o)){const D=xr(y);return Vk(o.parent)&&o.parent.propertyName===o?Qme(D):D}else if(DV(o))return xr(y.parent);if(o.kind===80){if(Lge(o))return eyt(o);if(y.kind===208&&S.kind===206&&o===y.propertyName){const D=o4(S),L=Ta(D,o.escapedText);if(L)return L}else if(b7(y)&&y.name===o)return y.keywordToken===105&&Fr(o)==="target"?G7e(y).symbol:y.keywordToken===102&&Fr(o)==="meta"?X_t().members.get("meta"):void 0}switch(o.kind){case 80:case 81:case 211:case 166:if(!GT(o))return eyt(o);case 110:const D=Hh(o,!1,!1);if(Ho(D)){const K=Dm(D);if(K.thisParameter)return K.thisParameter}if(XG(o))return uo(o).symbol;case 197:return p8e(o).symbol;case 108:return uo(o).symbol;case 137:const L=o.parent;return L&&L.kind===176?L.parent.symbol:void 0;case 11:case 15:if(qk(o.parent.parent)&&L8(o.parent.parent)===o||(o.parent.kind===272||o.parent.kind===278)&&o.parent.moduleSpecifier===o||nr(o)&&q1(o.parent)&&o.parent.moduleSpecifier===o||nr(o)&&L_(o.parent,!1)||zp(o.parent)||bS(o.parent)&&lS(o.parent.parent)&&o.parent.parent.argument===o.parent)return B_(o,o,_);if(la(y)&&vI(y)&&y.arguments[1]===o)return xr(y);case 9:const B=wl(y)?y.argumentExpression===o?Kf(y.expression):void 0:bS(y)&&nD(S)?Bs(S.objectType):void 0;return B&&Ta(B,cu(o.text));case 90:case 100:case 39:case 86:return p_(o.parent);case 205:return lS(o)?Zg(o.argument.literal,_):void 0;case 95:return Il(o.parent)?j.checkDefined(o.parent.symbol):void 0;case 102:case 105:return b7(o.parent)?k0t(o.parent).symbol:void 0;case 104:if(ur(o.parent)){const K=Kf(o.parent.right),ne=s5e(K);return(ne==null?void 0:ne.symbol)??K.symbol}return;case 236:return uo(o).symbol;case 295:if(X6(o)&&n4(o)){const K=Yme(o.parent);return K===pt?void 0:K}default:return}}}function ysi(o){if(ot(o)&&Nr(o.parent)&&o.parent.name===o){const _=xC(o),y=Kf(o.parent.expression),S=y.flags&1048576?y.types:[y];return Rr(S,D=>$t(Ih(D),L=>zA(_,L.keyType)))}}function vsi(o){if(o&&o.kind===304)return nu(o.name,2208703)}function bsi(o){if(vh(o)){const _=o.propertyName||o.name;return o.parent.parent.moduleSpecifier?lb(o.parent.parent,o):_.kind===11?void 0:nu(_,2998271)}else return nu(o,2998271)}function o4(o){if(Os(o)&&!wd(o)||o.flags&67108864)return vt;const _=mce(o),y=_&&W_(xr(_.class));if(N1(o)){const S=Bs(o);return y?Wp(S,y.thisType):S}if(F0(o))return O5e(o);if(y&&!_.isImplements){const S=Ra(Ll(y));return S?Wp(S,y.thisType):vt}if(NP(o)){const S=xr(o);return gl(S)}if(dsi(o)){const S=Zg(o);return S?gl(S):vt}if(ec(o))return xm(o,!0,0)||vt;if(Wf(o)){const S=xr(o);return S?Yn(S):vt}if(iet(o)){const S=Zg(o);return S?Yn(S):vt}if(ta(o))return xm(o.parent,!0,0)||vt;if(Lge(o)){const S=Zg(o);if(S){const D=gl(S);return fe(D)?Yn(S):D}}return b7(o.parent)&&o.parent.keywordToken===o.kind?k0t(o.parent):LI(o)?$Re(!1):vt}function Pge(o){if(j.assert(o.kind===210||o.kind===209),o.parent.kind===250){const D=gte(o.parent);return HN(o,D||vt)}if(o.parent.kind===226){const D=Kf(o.parent.right);return HN(o,D||vt)}if(o.parent.kind===303){const D=ha(o.parent.parent,Aa),L=Pge(D)||vt,B=U6(D.properties,o.parent);return V0t(D,L,B)}const _=ha(o.parent,jf),y=Pge(_)||vt,S=iw(65,y,tt,o.parent)||vt;return H0t(_,y,_.elements.indexOf(o),S)}function Csi(o){const _=Pge(ha(o.parent.parent,S8));return _&&Ta(_,o.escapedText)}function O5e(o){return q8(o)&&(o=o.parent),Xh(Kf(o))}function iyt(o){const _=p_(o.parent);return pa(o)?Yn(_):gl(_)}function nyt(o){const _=o.name;switch(_.kind){case 80:return V_(Fr(_));case 9:case 11:return V_(_.text);case 167:const y=cy(_);return Gf(y,12288)?y:st;default:return j.fail("Unsupported property name.")}}function M5e(o){o=Kh(o);const _=_a(Ac(o)),y=ia(o,0).length?eg:ia(o,1).length?Ye:void 0;return y&&O(Ac(y),S=>{_.has(S.escapedName)||_.set(S.escapedName,S)}),gs(_)}function Age(o){return ia(o,0).length!==0||ia(o,1).length!==0}function ryt(o){const _=Ssi(o);return _?Rr(_,ryt):[o]}function Ssi(o){if(Iu(o)&6)return es(Ys(o).containingType.types,_=>Ta(_,o.escapedName));if(o.flags&33554432){const{links:{leftSpread:_,rightSpread:y,syntheticOrigin:S}}=o;return _?[_,y]:S?[S]:KL(wsi(o))}}function wsi(o){let _,y=o;for(;y=Ys(y).target;)_=y;return _}function xsi(o){if(zl(o))return!1;const _=Mo(o,ot);if(!_)return!1;const y=_.parent;return y?!((Nr(y)||_d(y))&&y.name===_)&&pU(_)===ut:!1}function ksi(o){return qW(o.parent)&&o===o.parent.name}function Tsi(o,_){var y;const S=Mo(o,ot);if(S){let D=pU(S,ksi(S));if(D){if(D.flags&1048576){const B=wc(D.exportSymbol);if(!_&&B.flags&944&&!(B.flags&3))return;D=B}const L=Qp(D);if(L){if(L.flags&512&&((y=L.valueDeclaration)==null?void 0:y.kind)===307){const B=L.valueDeclaration,K=_n(S);return B!==K?void 0:B}return Qi(S.parent,B=>qW(B)&&xr(B)===L)}}}}function Dsi(o){const _=SEe(o);if(_)return _;const y=Mo(o,ot);if(y){const S=zsi(y);if(PD(S,111551)&&!ey(S,111551))return Dh(S)}}function Esi(o){return o.valueDeclaration&&ec(o.valueDeclaration)&&YL(o.valueDeclaration).parent.kind===299}function syt(o){if(o.flags&418&&o.valueDeclaration&&!Os(o.valueDeclaration)){const _=Ys(o);if(_.isDeclarationWithCollidingName===void 0){const y=R0(o.valueDeclaration);if($2e(y)||Esi(o))if(_i(y.parent,o.escapedName,111551,void 0,!1))_.isDeclarationWithCollidingName=!0;else if(R5e(o.valueDeclaration,16384)){const S=R5e(o.valueDeclaration,32768),D=Zw(y,!1),L=y.kind===241&&Zw(y.parent,!1);_.isDeclarationWithCollidingName=!eTe(y)&&(!S||!D&&!L)}else _.isDeclarationWithCollidingName=!1}return _.isDeclarationWithCollidingName}return!1}function Isi(o){if(!zl(o)){const _=Mo(o,ot);if(_){const y=pU(_);if(y&&syt(y))return y.valueDeclaration}}}function Nsi(o){const _=Mo(o,Wf);if(_){const y=xr(_);if(y)return syt(y)}return!1}function oyt(o){switch(j.assert(dt),o.kind){case 271:return Oge(xr(o));case 273:case 274:case 276:case 281:const _=xr(o);return!!_&&Oge(_,!0);case 278:const y=o.exportClause;return!!y&&(jy(y)||Ft(y.elements,oyt));case 277:return o.expression&&o.expression.kind===80?Oge(xr(o),!0):!0}return!1}function Lsi(o){const _=Mo(o,Wd);return _===void 0||_.parent.kind!==307||!gI(_)?!1:Oge(xr(_))&&_.moduleReference&&!lu(_.moduleReference)}function Oge(o,_){if(!o)return!1;const y=_n(o.valueDeclaration),S=y&&xr(y);sp(S);const D=Rp($f(o));return D===pt?!_||!ey(o):!!(Eh(o,_,!0)&111551)&&(sx(Q)||!_U(D))}function _U(o){return r5e(o)||!!o.constEnumOnlyModule}function ayt(o,_){if(j.assert(dt),Xp(o)){const y=xr(o),S=y&&Ys(y);if(S!=null&&S.referenced)return!0;const D=Ys(y).aliasTarget;if(D&&jh(o)&32&&Eh(D)&111551&&(sx(Q)||!_U(D)))return!0}return _?!!Uo(o,y=>ayt(y,_)):!1}function cyt(o){if(Dg(o.body)){if(oS(o)||D1(o))return!1;const _=xr(o),y=$D(_);return y.length>1||y.length===1&&y[0].declaration!==o}return!1}function Psi(o){const _=Rge(o);if(!_)return!1;const y=Bs(_);return UO(y)}function Mge(o,_){return(Asi(o,_)||Osi(o))&&!Psi(o)}function Asi(o,_){return!we||cee(o)||Qm(o)||!o.initializer?!1:Zr(o,31)?!!_&&Qc(_):!0}function Osi(o){return we&&cee(o)&&(Qm(o)||!o.initializer)&&Zr(o,31)}function lyt(o){const _=Mo(o,S=>Wu(S)||_s(S));if(!_)return!1;let y;if(_s(_)){if(_.type||!nr(_)&&!mU(_))return!1;const S=A8(_);if(!S||!M0(S))return!1;y=xr(S)}else y=xr(_);return!y||!(y.flags&16|3)?!1:!!cf(__(y),S=>S.flags&111551&&PP(S.valueDeclaration))}function Msi(o){const _=Mo(o,Wu);if(!_)return w;const y=xr(_);return y&&Ac(Yn(y))||w}function a4(o){var _;const y=o.id||0;return y<0||y>=ll.length?0:((_=ll[y])==null?void 0:_.flags)||0}function R5e(o,_){return Rsi(o,_),!!(a4(o)&_)}function Rsi(o,_){if(!Q.noCheck&&r7(_n(o),Q)||yr(o).calculatedFlags&_)return;switch(_){case 16:case 32:return B(o);case 128:case 256:case 2097152:return L(o);case 512:case 8192:case 65536:case 262144:return ne(o);case 536870912:return ge(o);case 4096:case 32768:case 16384:return Be(o);default:return j.assertNever(_,`Unhandled node check flag calculation: ${j.formatNodeCheckFlags(_)}`)}function S(Vt,Kt){const Oi=Kt(Vt,Vt.parent);if(Oi!=="skip")return Oi||QP(Vt,Kt)}function D(Vt){const Kt=yr(Vt);if(Kt.calculatedFlags&_)return"skip";Kt.calculatedFlags|=2097536,B(Vt)}function L(Vt){S(Vt,D)}function B(Vt){const Kt=yr(Vt);Kt.calculatedFlags|=48,Vt.kind===108&&qme(Vt)}function K(Vt){const Kt=yr(Vt);if(Kt.calculatedFlags&_)return"skip";Kt.calculatedFlags|=336384,ge(Vt)}function ne(Vt){S(Vt,K)}function ce(Vt){return F0(Vt)||lh(Vt.parent)&&(Vt.parent.objectAssignmentInitializer??Vt.parent.name)===Vt}function ge(Vt){const Kt=yr(Vt);if(Kt.calculatedFlags|=536870912,ot(Vt)&&(Kt.calculatedFlags|=49152,ce(Vt)&&!(Nr(Vt.parent)&&Vt.parent.name===Vt))){const Oi=Lh(Vt);Oi&&Oi!==pt&&ugt(Vt,Oi)}}function je(Vt){const Kt=yr(Vt);if(Kt.calculatedFlags&_)return"skip";Kt.calculatedFlags|=53248,kt(Vt)}function Be(Vt){const Kt=R0(Wy(Vt)?Vt.parent:Vt);S(Kt,je)}function kt(Vt){ge(Vt),Ka(Vt)&&cy(Vt),Vs(Vt)&&Od(Vt.parent)&&mge(Vt.parent)}}function jN(o){return j1t(o.parent),yr(o).enumMemberValue??Rd(void 0)}function uyt(o){switch(o.kind){case 306:case 211:case 212:return!0}return!1}function F5e(o){if(o.kind===306)return jN(o).value;yr(o).resolvedSymbol||ju(o);const _=yr(o).resolvedSymbol||(pl(o)?nu(o,111551,!0):void 0);if(_&&_.flags&8){const y=_.valueDeclaration;if(Uk(y.parent))return jN(y).value}}function B5e(o){return!!(o.flags&524288)&&ia(o,0).length>0}function Fsi(o,_){var y;const S=Mo(o,E_);if(!S||_&&(_=Mo(_),!_))return 0;let D=!1;if(o_(S)){const ge=nu(K_(S),111551,!0,!0,_);D=!!((y=ge==null?void 0:ge.declarations)!=null&&y.every(Kw))}const L=nu(S,111551,!0,!0,_),B=L&&L.flags&2097152?$f(L):L;D||(D=!!(L&&ey(L,111551)));const K=nu(S,788968,!0,!0,_),ne=K&&K.flags&2097152?$f(K):K;if(L||D||(D=!!(K&&ey(K,788968))),B&&B===ne){const ge=JRe(!1);if(ge&&B===ge)return 9;const je=Yn(B);if(je&&gn(je))return D?10:1}if(!ne)return D?11:0;const ce=gl(ne);return fe(ce)?D?11:0:ce.flags&3?11:Gf(ce,245760)?2:Gf(ce,528)?6:Gf(ce,296)?3:Gf(ce,2112)?4:Gf(ce,402653316)?5:Qa(ce)?7:Gf(ce,12288)?8:B5e(ce)?10:ug(ce)?7:11}function Bsi(o,_,y,S,D){const L=Mo(o,_Te);if(!L)return G.createToken(133);const B=xr(L),K=B&&!(B.flags&133120)?J2(Yn(B)):vt;return We.serializeTypeForDeclaration(L,K,B,_,y|1024,S,D)}function Wsi(o){return Ho(o)||Il(o)||uP(o)}function Ste(o){o=Mo(o,FW);const _=o.kind===178?177:178,y=ql(xr(o),_),S=y&&y.pos<o.pos?y:o,D=y&&y.pos<o.pos?o:y,L=o.kind===178?o:y,B=o.kind===177?o:y;return{firstAccessor:S,secondAccessor:D,setAccessor:L,getAccessor:B}}function dyt(o){return Ho(o)&&!D1(o)?fyt(o):Il(o)?o.expression:o.initializer?o.initializer:$s(o)&&D1(o.parent)?fyt(Ste(o.parent).getAccessor):void 0}function fyt(o){let _;if(o&&!lu(o.body)){if(nd(o)&3)return;const y=o.body;y&&Xo(y)?uS(y,S=>{if(!_)_=S.expression;else return _=void 0,!0}):_=y}return _}function Vsi(o,_,y,S,D){const L=Mo(o,Ho);return L?We.serializeReturnTypeForSignature(Dm(L),_,y|1024,S,D):G.createToken(133)}function Hsi(o,_,y,S,D){const L=Mo(o,jt);if(!L)return G.createToken(133);const B=lp(O5e(L));return We.expressionOrTypeToTypeNode(L,B,void 0,_,y|1024,S,D)}function jsi(o){return $e.has(cu(o))}function pU(o,_){const y=yr(o).resolvedSymbol;if(y)return y;let S=o;if(_){const D=o.parent;Wf(D)&&o===D.name&&(S=bN(D))}return _i(S,o.escapedText,3257279,void 0,!0)}function zsi(o){const _=yr(o).resolvedSymbol;return _&&_!==pt?_:_i(o,o.escapedText,3257279,void 0,!0,void 0)}function Usi(o){if(!zl(o)){const _=Mo(o,ot);if(_){const y=pU(_);if(y)return Rp(y).valueDeclaration}}}function qsi(o){if(!zl(o)){const _=Mo(o,ot);if(_){const y=pU(_);if(y)return $t(Rp(y).declarations,S=>{switch(S.kind){case 260:case 169:case 208:case 172:case 303:case 304:case 306:case 210:case 262:case 218:case 219:case 263:case 231:case 266:case 174:case 177:case 178:case 267:return!0}return!1})}}}function $si(o){return tV(o)||_s(o)&&mU(o)?XD(Yn(xr(o))):!1}function Jsi(o,_,y){const S=o.flags&1056?We.symbolToExpression(o.symbol,111551,_,void 0,void 0,y):o===Pt?G.createTrue():o===ln&&G.createFalse();if(S)return S;const D=o.value;return typeof D=="object"?G.createBigIntLiteral(D):typeof D=="string"?G.createStringLiteral(D):D<0?G.createPrefixUnaryExpression(41,G.createNumericLiteral(-D)):G.createNumericLiteral(D)}function Gsi(o,_){const y=Yn(xr(o));return Jsi(y,o,_)}function hyt(o){return o?(Gy(o),_n(o).localJsxFactory||sb):sb}function W5e(o){if(o){const _=_n(o);if(_){if(_.localJsxFragmentFactory)return _.localJsxFragmentFactory;const y=_.pragmas.get("jsxfrag"),S=Ao(y)?y[0]:y;if(S)return _.localJsxFragmentFactory=YP(S.arguments.factory,re),_.localJsxFragmentFactory}}if(Q.jsxFragmentFactory)return YP(Q.jsxFragmentFactory,re)}function Rge(o){const _=dd(o);if(_)return _;if(o.kind===169&&o.parent.kind===178){const y=Ste(o.parent).getAccessor;if(y)return wp(y)}}function Ksi(o){const _=wp(o);if(_)return _;if(o.kind===177){const y=Ste(o).setAccessor;if(y){const S=a3(y);if(S)return dd(S)}}}function Xsi(){return{getReferencedExportContainer:Tsi,getReferencedImportDeclaration:Dsi,getReferencedDeclarationWithCollidingName:Isi,isDeclarationWithCollidingName:Nsi,isValueAliasDeclaration:_=>{const y=Mo(_);return y&&dt?oyt(y):!0},hasGlobalName:jsi,isReferencedAliasDeclaration:(_,y)=>{const S=Mo(_);return S&&dt?ayt(S,y):!0},hasNodeCheckFlag:(_,y)=>{const S=Mo(_);return S?R5e(S,y):!1},isTopLevelValueImportEqualsWithEntityName:Lsi,isDeclarationVisible:g0,isImplementationOfOverload:cyt,requiresAddingImplicitUndefined:Mge,isExpandoFunctionDeclaration:lyt,getPropertiesOfContainerFunction:Msi,createTypeOfDeclaration:Bsi,createReturnTypeOfSignatureDeclaration:Vsi,createTypeOfExpression:Hsi,createLiteralConstValue:Gsi,isSymbolAccessible:n1,isEntityNameVisible:yz,getConstantValue:_=>{const y=Mo(_,uyt);return y?F5e(y):void 0},getEnumMemberValue:_=>{const y=Mo(_,SS);return y?jN(y):void 0},collectLinkedAliases:NO,markLinkedReferences:_=>{const y=Mo(_);return y&&t4(y,0)},getReferencedValueDeclaration:Usi,getReferencedValueDeclarations:qsi,getTypeReferenceSerializationKind:Fsi,isOptionalParameter:cee,isArgumentsLocalBinding:xsi,getExternalModuleFileFromDeclaration:_=>{const y=Mo(_,nTe);return y&&V5e(y)},isLiteralConstDeclaration:$si,isLateBound:_=>{const y=Mo(_,Wf),S=y&&xr(y);return!!(S&&Iu(S)&4096)},getJsxFactoryEntity:hyt,getJsxFragmentFactoryEntity:W5e,isBindingCapturedByNode:(_,y)=>{const S=Mo(_),D=Mo(y);return!!S&&!!D&&(_s(D)||ec(D))&&fYt(S,D)},getDeclarationStatementsForSourceFile:(_,y,S,D)=>{const L=Mo(_);j.assert(L&&L.kind===307,"Non-sourcefile node passed into getDeclarationsForSourceFile");const B=xr(_);return B?(sp(B),B.exports?We.symbolTableToDeclarationStatements(B.exports,_,y,S,D):[]):_.locals?We.symbolTableToDeclarationStatements(_.locals,_,y,S,D):[]},isImportRequiredByAugmentation:o,isDefinitelyReferenceToGlobalSymbolObject:Xd};function o(_){const y=_n(_);if(!y.symbol)return!1;const S=V5e(_);if(!S||S===y)return!1;const D=ub(y.symbol);for(const L of as(D.values()))if(L.mergeId){const B=wc(L);if(B.declarations){for(const K of B.declarations)if(_n(K)===S)return!0}}return!1}}function V5e(o){const _=o.kind===267?Jr(o.name,Ha):fP(o),y=AD(_,_,void 0);if(y)return ql(y,307)}function Qsi(){for(const _ of t.getSourceFiles())vNe(_,Q);Pu=new Map;let o;for(const _ of t.getSourceFiles())if(!_.redirectInfo){if(!Cp(_)){const y=_.locals.get("globalThis");if(y!=null&&y.declarations)for(const S of y.declarations)lc.add(Kn(S,k.Declaration_name_conflicts_with_built_in_global_identifier_0,"globalThis"));Jg($e,_.locals)}_.jsGlobalAugmentations&&Jg($e,_.jsGlobalAugmentations),_.patternAmbientModules&&_.patternAmbientModules.length&&(Cu=Js(Cu,_.patternAmbientModules)),_.moduleAugmentations.length&&(o||(o=[])).push(_.moduleAugmentations),_.symbol&&_.symbol.globalExports&&_.symbol.globalExports.forEach((S,D)=>{$e.has(D)||$e.set(D,S)})}if(o)for(const _ of o)for(const y of _)Ry(y.parent)&&IA(y);if(WS(),Ys(et).type=Gt,Ys(ut).type=Su("IArguments",0,!0),Ys(pt).type=vt,Ys(Me).type=V(16,Me),li=Su("Array",1,!0),Qu=Su("Object",0,!0),kd=Su("Function",0,!0),eg=Ae&&Su("CallableFunction",0,!0)||kd,Ye=Ae&&Su("NewableFunction",0,!0)||kd,po=Su("String",0,!0),ic=Su("Number",0,!0),sc=Su("Boolean",0,!0),Hu=Su("RegExp",0,!0),Yc=hh(Je),Pc=hh(Rt),Pc===Jo&&(Pc=Xs(void 0,X,w,w,w)),En=rpt("ReadonlyArray",1)||li,od=En?q5(En,[Je]):Yc,Hd=rpt("ThisType",1),o)for(const _ of o)for(const y of _)Ry(y.parent)||IA(y);Pu.forEach(({firstFile:_,secondFile:y,conflictingSymbols:S})=>{if(S.size<8)S.forEach(({isBlockScoped:D,firstFileLocations:L,secondFileLocations:B},K)=>{const ne=D?k.Cannot_redeclare_block_scoped_variable_0:k.Duplicate_identifier_0;for(const ce of L)EA(ce,ne,K,B);for(const ce of B)EA(ce,ne,K,L)});else{const D=as(S.keys()).join(", ");lc.add(da(Kn(_,k.Definitions_of_the_following_identifiers_conflict_with_those_in_another_file_Colon_0,D),Kn(y,k.Conflicts_are_in_this_file))),lc.add(da(Kn(y,k.Definitions_of_the_following_identifiers_conflict_with_those_in_another_file_Colon_0,D),Kn(_,k.Conflicts_are_in_this_file)))}}),Pu=void 0}function zd(o,_){if(Q.importHelpers){const y=_n(o);if(aP(y,Q)&&!(o.flags&33554432)){const S=Ysi(y,o);if(S!==pt){const D=Ys(S);if(D.requestedExternalEmitHelpers??(D.requestedExternalEmitHelpers=0),(D.requestedExternalEmitHelpers&_)!==_){const L=_&~D.requestedExternalEmitHelpers;for(let B=1;B<=16777216;B<<=1)if(L&B)for(const K of Zsi(B)){const ne=Zu(ff(ub(S),cu(K),111551));ne?B&524288?Ft($D(ne),ce=>dp(ce)>3)||ft(o,k.This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_one_in_0_Consider_upgrading_your_version_of_0,jk,K,4):B&1048576?Ft($D(ne),ce=>dp(ce)>4)||ft(o,k.This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_one_in_0_Consider_upgrading_your_version_of_0,jk,K,5):B&1024&&(Ft($D(ne),ce=>dp(ce)>2)||ft(o,k.This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_one_in_0_Consider_upgrading_your_version_of_0,jk,K,3)):ft(o,k.This_syntax_requires_an_imported_helper_named_1_which_does_not_exist_in_0_Consider_upgrading_your_version_of_0,jk,K)}}D.requestedExternalEmitHelpers|=_}}}}function Zsi(o){switch(o){case 1:return["__extends"];case 2:return["__assign"];case 4:return["__rest"];case 8:return pe?["__decorate"]:["__esDecorate","__runInitializers"];case 16:return["__metadata"];case 32:return["__param"];case 64:return["__awaiter"];case 128:return["__generator"];case 256:return["__values"];case 512:return["__read"];case 1024:return["__spreadArray"];case 2048:return["__await"];case 4096:return["__asyncGenerator"];case 8192:return["__asyncDelegator"];case 16384:return["__asyncValues"];case 32768:return["__exportStar"];case 65536:return["__importStar"];case 131072:return["__importDefault"];case 262144:return["__makeTemplateObject"];case 524288:return["__classPrivateFieldGet"];case 1048576:return["__classPrivateFieldSet"];case 2097152:return["__classPrivateFieldIn"];case 4194304:return["__setFunctionName"];case 8388608:return["__propKey"];case 16777216:return["__addDisposableResource","__disposeResources"];default:return j.fail("Unrecognized helper")}}function Ysi(o,_){const y=yr(o);return y.externalHelpersModule||(y.externalHelpersModule=OA(Joi(o),jk,k.This_syntax_requires_an_imported_helper_but_module_0_cannot_be_found,_)||pt),y.externalHelpersModule}function bb(o){var _;const y=ioi(o)||eoi(o);if(y!==void 0)return y;if($s(o)&&JT(o))return Df(o,k.Neither_decorators_nor_modifiers_may_be_applied_to_this_parameters);const S=Lu(o)?o.declarationList.flags&7:0;let D,L,B,K,ne,ce=0,ge=!1,je=!1;for(const Be of o.modifiers)if(Fd(Be)){if(lV(pe,o,o.parent,o.parent.parent)){if(pe&&(o.kind===177||o.kind===178)){const kt=Ste(o);if(lm(kt.firstAccessor)&&o===kt.secondAccessor)return Df(o,k.Decorators_cannot_be_applied_to_multiple_get_Slashset_accessors_of_the_same_name)}}else return o.kind===174&&!Dg(o.body)?Df(o,k.A_decorator_can_only_decorate_a_method_implementation_not_an_overload):Df(o,k.Decorators_are_not_valid_here);if(ce&-34849)return sn(Be,k.Decorators_are_not_valid_here);if(je&&ce&98303){j.assertIsDefined(ne);const kt=_n(Be);return tk(kt)?!1:(da(ft(Be,k.Decorators_may_not_appear_after_export_or_export_default_if_they_also_appear_before_export),Kn(ne,k.Decorator_used_before_export_here)),!0)}ce|=32768,ce&98303?ce&32&&(ge=!0):je=!0,ne??(ne=Be)}else{if(Be.kind!==148){if(o.kind===171||o.kind===173)return sn(Be,k._0_modifier_cannot_appear_on_a_type_member,xa(Be.kind));if(o.kind===181&&(Be.kind!==126||!ss(o.parent)))return sn(Be,k._0_modifier_cannot_appear_on_an_index_signature,xa(Be.kind))}if(Be.kind!==103&&Be.kind!==147&&Be.kind!==87&&o.kind===168)return sn(Be,k._0_modifier_cannot_appear_on_a_type_parameter,xa(Be.kind));switch(Be.kind){case 87:{if(o.kind!==266&&o.kind!==168)return sn(o,k.A_class_member_cannot_have_the_0_keyword,xa(87));const Kt=Rg(o.parent)&&Gk(o.parent)||o.parent;if(o.kind===168&&!(Qc(Kt)||ss(Kt)||Hy(Kt)||v3(Kt)||VP(Kt)||fH(Kt)||W1(Kt)))return sn(Be,k._0_modifier_can_only_appear_on_a_type_parameter_of_a_function_method_or_class,xa(Be.kind));break}case 164:if(ce&16)return sn(Be,k._0_modifier_already_seen,"override");if(ce&128)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"override","declare");if(ce&8)return sn(Be,k._0_modifier_must_precede_1_modifier,"override","readonly");if(ce&512)return sn(Be,k._0_modifier_must_precede_1_modifier,"override","accessor");if(ce&1024)return sn(Be,k._0_modifier_must_precede_1_modifier,"override","async");ce|=16,K=Be;break;case 125:case 124:case 123:const kt=R5(CP(Be.kind));if(ce&7)return sn(Be,k.Accessibility_modifier_already_seen);if(ce&16)return sn(Be,k._0_modifier_must_precede_1_modifier,kt,"override");if(ce&256)return sn(Be,k._0_modifier_must_precede_1_modifier,kt,"static");if(ce&512)return sn(Be,k._0_modifier_must_precede_1_modifier,kt,"accessor");if(ce&8)return sn(Be,k._0_modifier_must_precede_1_modifier,kt,"readonly");if(ce&1024)return sn(Be,k._0_modifier_must_precede_1_modifier,kt,"async");if(o.parent.kind===268||o.parent.kind===307)return sn(Be,k._0_modifier_cannot_appear_on_a_module_or_namespace_element,kt);if(ce&64)return Be.kind===123?sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,kt,"abstract"):sn(Be,k._0_modifier_must_precede_1_modifier,kt,"abstract");if(Wh(o))return sn(Be,k.An_accessibility_modifier_cannot_be_used_with_a_private_identifier);ce|=CP(Be.kind);break;case 126:if(ce&256)return sn(Be,k._0_modifier_already_seen,"static");if(ce&8)return sn(Be,k._0_modifier_must_precede_1_modifier,"static","readonly");if(ce&1024)return sn(Be,k._0_modifier_must_precede_1_modifier,"static","async");if(ce&512)return sn(Be,k._0_modifier_must_precede_1_modifier,"static","accessor");if(o.parent.kind===268||o.parent.kind===307)return sn(Be,k._0_modifier_cannot_appear_on_a_module_or_namespace_element,"static");if(o.kind===169)return sn(Be,k._0_modifier_cannot_appear_on_a_parameter,"static");if(ce&64)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"static","abstract");if(ce&16)return sn(Be,k._0_modifier_must_precede_1_modifier,"static","override");ce|=256,D=Be;break;case 129:if(ce&512)return sn(Be,k._0_modifier_already_seen,"accessor");if(ce&8)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"accessor","readonly");if(ce&128)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"accessor","declare");if(o.kind!==172)return sn(Be,k.accessor_modifier_can_only_appear_on_a_property_declaration);ce|=512;break;case 148:if(ce&8)return sn(Be,k._0_modifier_already_seen,"readonly");if(o.kind!==172&&o.kind!==171&&o.kind!==181&&o.kind!==169)return sn(Be,k.readonly_modifier_can_only_appear_on_a_property_declaration_or_index_signature);if(ce&512)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"readonly","accessor");ce|=8;break;case 95:if(Q.verbatimModuleSyntax&&!(o.flags&33554432)&&o.kind!==265&&o.kind!==264&&o.kind!==267&&o.parent.kind===307&&t.getEmitModuleFormatOfFile(_n(o))===1)return sn(Be,k.A_top_level_export_modifier_cannot_be_used_on_value_declarations_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled);if(ce&32)return sn(Be,k._0_modifier_already_seen,"export");if(ce&128)return sn(Be,k._0_modifier_must_precede_1_modifier,"export","declare");if(ce&64)return sn(Be,k._0_modifier_must_precede_1_modifier,"export","abstract");if(ce&1024)return sn(Be,k._0_modifier_must_precede_1_modifier,"export","async");if(ss(o.parent))return sn(Be,k._0_modifier_cannot_appear_on_class_elements_of_this_kind,"export");if(o.kind===169)return sn(Be,k._0_modifier_cannot_appear_on_a_parameter,"export");if(S===4)return sn(Be,k._0_modifier_cannot_appear_on_a_using_declaration,"export");if(S===6)return sn(Be,k._0_modifier_cannot_appear_on_an_await_using_declaration,"export");ce|=32;break;case 90:const Vt=o.parent.kind===307?o.parent:o.parent.parent;if(Vt.kind===267&&!Vh(Vt))return sn(Be,k.A_default_export_can_only_be_used_in_an_ECMAScript_style_module);if(S===4)return sn(Be,k._0_modifier_cannot_appear_on_a_using_declaration,"default");if(S===6)return sn(Be,k._0_modifier_cannot_appear_on_an_await_using_declaration,"default");if(ce&32){if(ge)return sn(ne,k.Decorators_are_not_valid_here)}else return sn(Be,k._0_modifier_must_precede_1_modifier,"export","default");ce|=2048;break;case 138:if(ce&128)return sn(Be,k._0_modifier_already_seen,"declare");if(ce&1024)return sn(Be,k._0_modifier_cannot_be_used_in_an_ambient_context,"async");if(ce&16)return sn(Be,k._0_modifier_cannot_be_used_in_an_ambient_context,"override");if(ss(o.parent)&&!Lo(o))return sn(Be,k._0_modifier_cannot_appear_on_class_elements_of_this_kind,"declare");if(o.kind===169)return sn(Be,k._0_modifier_cannot_appear_on_a_parameter,"declare");if(S===4)return sn(Be,k._0_modifier_cannot_appear_on_a_using_declaration,"declare");if(S===6)return sn(Be,k._0_modifier_cannot_appear_on_an_await_using_declaration,"declare");if(o.parent.flags&33554432&&o.parent.kind===268)return sn(Be,k.A_declare_modifier_cannot_be_used_in_an_already_ambient_context);if(Wh(o))return sn(Be,k._0_modifier_cannot_be_used_with_a_private_identifier,"declare");if(ce&512)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"declare","accessor");ce|=128,L=Be;break;case 128:if(ce&64)return sn(Be,k._0_modifier_already_seen,"abstract");if(o.kind!==263&&o.kind!==185){if(o.kind!==174&&o.kind!==172&&o.kind!==177&&o.kind!==178)return sn(Be,k.abstract_modifier_can_only_appear_on_a_class_method_or_property_declaration);if(!(o.parent.kind===263&&Zr(o.parent,64))){const Kt=o.kind===172?k.Abstract_properties_can_only_appear_within_an_abstract_class:k.Abstract_methods_can_only_appear_within_an_abstract_class;return sn(Be,Kt)}if(ce&256)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"static","abstract");if(ce&2)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"private","abstract");if(ce&1024&&B)return sn(B,k._0_modifier_cannot_be_used_with_1_modifier,"async","abstract");if(ce&16)return sn(Be,k._0_modifier_must_precede_1_modifier,"abstract","override");if(ce&512)return sn(Be,k._0_modifier_must_precede_1_modifier,"abstract","accessor")}if(Bf(o)&&o.name.kind===81)return sn(Be,k._0_modifier_cannot_be_used_with_a_private_identifier,"abstract");ce|=64;break;case 134:if(ce&1024)return sn(Be,k._0_modifier_already_seen,"async");if(ce&128||o.parent.flags&33554432)return sn(Be,k._0_modifier_cannot_be_used_in_an_ambient_context,"async");if(o.kind===169)return sn(Be,k._0_modifier_cannot_appear_on_a_parameter,"async");if(ce&64)return sn(Be,k._0_modifier_cannot_be_used_with_1_modifier,"async","abstract");ce|=1024,B=Be;break;case 103:case 147:{const Kt=Be.kind===103?8192:16384,Oi=Be.kind===103?"in":"out",Ki=Rg(o.parent)&&(Gk(o.parent)||Fe((_=t3(o.parent))==null?void 0:_.tags,MI))||o.parent;if(o.kind!==168||Ki&&!(zf(Ki)||ss(Ki)||Mg(Ki)||MI(Ki)))return sn(Be,k._0_modifier_can_only_appear_on_a_type_parameter_of_a_class_interface_or_type_alias,Oi);if(ce&Kt)return sn(Be,k._0_modifier_already_seen,Oi);if(Kt&8192&&ce&16384)return sn(Be,k._0_modifier_must_precede_1_modifier,"in","out");ce|=Kt;break}}}return o.kind===176?ce&256?sn(D,k._0_modifier_cannot_appear_on_a_constructor_declaration,"static"):ce&16?sn(K,k._0_modifier_cannot_appear_on_a_constructor_declaration,"override"):ce&1024?sn(B,k._0_modifier_cannot_appear_on_a_constructor_declaration,"async"):!1:(o.kind===272||o.kind===271)&&ce&128?sn(L,k.A_0_modifier_cannot_be_used_with_an_import_declaration,"declare"):o.kind===169&&ce&31&&ta(o.name)?sn(o,k.A_parameter_property_may_not_be_declared_using_a_binding_pattern):o.kind===169&&ce&31&&o.dotDotDotToken?sn(o,k.A_parameter_property_cannot_be_declared_using_a_rest_parameter):ce&1024?roi(o,B):!1}function eoi(o){if(!o.modifiers)return!1;const _=toi(o);return _&&Df(_,k.Modifiers_cannot_appear_here)}function Fge(o,_){const y=Fe(o.modifiers,Fa);return y&&y.kind!==_?y:void 0}function toi(o){switch(o.kind){case 177:case 178:case 176:case 172:case 171:case 174:case 173:case 181:case 267:case 272:case 271:case 278:case 277:case 218:case 219:case 169:case 168:return;case 175:case 303:case 304:case 270:case 282:return Fe(o.modifiers,Fa);default:if(o.parent.kind===268||o.parent.kind===307)return;switch(o.kind){case 262:return Fge(o,134);case 263:case 185:return Fge(o,128);case 231:case 264:case 265:return Fe(o.modifiers,Fa);case 243:return o.declarationList.flags&4?Fge(o,135):Fe(o.modifiers,Fa);case 266:return Fge(o,87);default:j.assertNever(o)}}}function ioi(o){const _=noi(o);return _&&Df(_,k.Decorators_are_not_valid_here)}function noi(o){return Fle(o)?Fe(o.modifiers,Fd):void 0}function roi(o,_){switch(o.kind){case 174:case 262:case 218:case 219:return!1}return sn(_,k._0_modifier_cannot_be_used_here,"async")}function c4(o,_=k.Trailing_comma_not_allowed){return o&&o.hasTrailingComma?sE(o[0],o.end-1,1,_):!1}function _yt(o,_){if(o&&o.length===0){const y=o.pos-1,S=Va(_.text,o.end)+1;return sE(_,y,S-y,k.Type_parameter_list_cannot_be_empty)}return!1}function soi(o){let _=!1;const y=o.length;for(let S=0;S<y;S++){const D=o[S];if(D.dotDotDotToken){if(S!==y-1)return sn(D.dotDotDotToken,k.A_rest_parameter_must_be_last_in_a_parameter_list);if(D.flags&33554432||c4(o,k.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma),D.questionToken)return sn(D.questionToken,k.A_rest_parameter_cannot_be_optional);if(D.initializer)return sn(D.name,k.A_rest_parameter_cannot_have_an_initializer)}else if(ORe(D)){if(_=!0,D.questionToken&&D.initializer)return sn(D.name,k.Parameter_cannot_have_question_mark_and_initializer)}else if(_&&!D.initializer)return sn(D.name,k.A_required_parameter_cannot_follow_an_optional_parameter)}}function ooi(o){return $t(o,_=>!!_.initializer||ta(_.name)||Oy(_))}function aoi(o){if(re>=3){const _=o.body&&Xo(o.body)&&Ale(o.body.statements);if(_){const y=ooi(o.parameters);if(P(y)){O(y,D=>{da(ft(D,k.This_parameter_is_not_allowed_with_use_strict_directive),Kn(_,k.use_strict_directive_used_here))});const S=y.map((D,L)=>L===0?Kn(D,k.Non_simple_parameter_declared_here):Kn(D,k.and_here));return da(ft(_,k.use_strict_directive_cannot_be_used_with_non_simple_parameter_list),...S),!0}}}return!1}function Bge(o){const _=_n(o);return bb(o)||_yt(o.typeParameters,_)||soi(o.parameters)||loi(o,_)||Qc(o)&&aoi(o)}function coi(o){const _=_n(o);return _oi(o)||_yt(o.typeParameters,_)}function loi(o,_){if(!xl(o))return!1;o.typeParameters&&!(P(o.typeParameters)>1||o.typeParameters.hasTrailingComma||o.typeParameters[0].constraint)&&_&&Ru(_.fileName,[".mts",".cts"])&&sn(o.typeParameters[0],k.This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Add_a_trailing_comma_or_explicit_constraint);const{equalsGreaterThanToken:y}=o,S=Ia(_,y.pos).line,D=Ia(_,y.end).line;return S!==D&&sn(y,k.Line_terminator_not_permitted_before_arrow)}function uoi(o){const _=o.parameters[0];if(o.parameters.length!==1)return sn(_?_.name:o,k.An_index_signature_must_have_exactly_one_parameter);if(c4(o.parameters,k.An_index_signature_cannot_have_a_trailing_comma),_.dotDotDotToken)return sn(_.dotDotDotToken,k.An_index_signature_cannot_have_a_rest_parameter);if(dce(_))return sn(_.name,k.An_index_signature_parameter_cannot_have_an_accessibility_modifier);if(_.questionToken)return sn(_.questionToken,k.An_index_signature_parameter_cannot_have_a_question_mark);if(_.initializer)return sn(_.name,k.An_index_signature_parameter_cannot_have_an_initializer);if(!_.type)return sn(_.name,k.An_index_signature_parameter_must_have_a_type_annotation);const y=Bs(_.type);return dg(y,S=>!!(S.flags&8576))||GA(y)?sn(_.name,k.An_index_signature_parameter_type_cannot_be_a_literal_type_or_generic_type_Consider_using_a_mapped_object_type_instead):up(y,eme)?o.type?!1:sn(o,k.An_index_signature_must_have_a_type_annotation):sn(_.name,k.An_index_signature_parameter_type_must_be_string_number_symbol_or_a_template_literal_type)}function doi(o){return bb(o)||uoi(o)}function foi(o,_){if(_&&_.length===0){const y=_n(o),S=_.pos-1,D=Va(y.text,_.end)+1;return sE(y,S,D-S,k.Type_argument_list_cannot_be_empty)}return!1}function wte(o,_){return c4(_)||foi(o,_)}function hoi(o){return o.questionDotToken||o.flags&64?sn(o.template,k.Tagged_template_expressions_are_not_permitted_in_an_optional_chain):!1}function pyt(o){const _=o.types;if(c4(_))return!0;if(_&&_.length===0){const y=xa(o.token);return sE(o,_.pos,0,k._0_list_cannot_be_empty,y)}return Ft(_,myt)}function myt(o){return Yb(o)&&y7(o.expression)&&o.typeArguments?sn(o,k.This_use_of_import_is_invalid_import_calls_can_be_written_but_they_must_have_parentheses_and_cannot_have_type_arguments):wte(o,o.typeArguments)}function _oi(o){let _=!1,y=!1;if(!bb(o)&&o.heritageClauses)for(const S of o.heritageClauses){if(S.token===96){if(_)return Df(S,k.extends_clause_already_seen);if(y)return Df(S,k.extends_clause_must_precede_implements_clause);if(S.types.length>1)return Df(S.types[1],k.Classes_can_only_extend_a_single_class);_=!0}else{if(j.assert(S.token===119),y)return Df(S,k.implements_clause_already_seen);y=!0}pyt(S)}}function poi(o){let _=!1;if(o.heritageClauses)for(const y of o.heritageClauses){if(y.token===96){if(_)return Df(y,k.extends_clause_already_seen);_=!0}else return j.assert(y.token===119),Df(y,k.Interface_declaration_cannot_have_implements_clause);pyt(y)}return!1}function Wge(o){if(o.kind!==167)return!1;const _=o;return _.expression.kind===226&&_.expression.operatorToken.kind===28?sn(_.expression,k.A_comma_expression_is_not_allowed_in_a_computed_property_name):!1}function H5e(o){if(o.asteriskToken){if(j.assert(o.kind===262||o.kind===218||o.kind===174),o.flags&33554432)return sn(o.asteriskToken,k.Generators_are_not_allowed_in_an_ambient_context);if(!o.body)return sn(o.asteriskToken,k.An_overload_signature_cannot_be_declared_as_a_generator)}}function j5e(o,_){return!!o&&sn(o,_)}function gyt(o,_){return!!o&&sn(o,_)}function moi(o,_){const y=new Map;for(const S of o.properties){if(S.kind===305){if(_){const B=Dc(S.expression);if(jf(B)||Aa(B))return sn(S.expression,k.A_rest_element_cannot_contain_a_binding_pattern)}continue}const D=S.name;if(D.kind===167&&Wge(D),S.kind===304&&!_&&S.objectAssignmentInitializer&&sn(S.equalsToken,k.Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_part_of_a_destructuring_pattern),D.kind===81&&sn(D,k.Private_identifiers_are_not_allowed_outside_class_bodies),Fg(S)&&S.modifiers)for(const B of S.modifiers)Fa(B)&&(B.kind!==134||S.kind!==174)&&sn(B,k._0_modifier_cannot_be_used_here,uu(B));else if(cIe(S)&&S.modifiers)for(const B of S.modifiers)Fa(B)&&sn(B,k._0_modifier_cannot_be_used_here,uu(B));let L;switch(S.kind){case 304:case 303:gyt(S.exclamationToken,k.A_definite_assignment_assertion_is_not_permitted_in_this_context),j5e(S.questionToken,k.An_object_member_cannot_be_declared_optional),D.kind===9&&Tyt(D),D.kind===10&&Z0(!0,Kn(D,k.A_bigint_literal_cannot_be_used_as_a_property_name)),L=4;break;case 174:L=8;break;case 177:L=1;break;case 178:L=2;break;default:j.assertNever(S,"Unexpected syntax kind:"+S.kind)}if(!_){const B=q5e(D);if(B===void 0)continue;const K=y.get(B);if(!K)y.set(B,L);else if(L&8&&K&8)sn(D,k.Duplicate_identifier_0,uu(D));else if(L&4&&K&4)sn(D,k.An_object_literal_cannot_have_multiple_properties_with_the_same_name,uu(D));else if(L&3&&K&3)if(K!==3&&L!==K)y.set(B,L|K);else return sn(D,k.An_object_literal_cannot_have_multiple_get_Slashset_accessors_with_the_same_name);else return sn(D,k.An_object_literal_cannot_have_property_and_accessor_with_the_same_name)}}}function goi(o){yoi(o.tagName),wte(o,o.typeArguments);const _=new Map;for(const y of o.attributes.properties){if(y.kind===293)continue;const{name:S,initializer:D}=y,L=u7(S);if(!_.get(L))_.set(L,!0);else return sn(S,k.JSX_elements_cannot_have_multiple_attributes_with_the_same_name);if(D&&D.kind===294&&!D.expression)return sn(D,k.JSX_attributes_must_only_be_assigned_a_non_empty_expression)}}function yoi(o){if(Nr(o)&&j0(o.expression))return sn(o.expression,k.JSX_property_access_expressions_cannot_include_JSX_namespace_names);if(j0(o)&&WK(Q)&&!s3(o.namespace.escapedText))return sn(o,k.React_components_cannot_include_JSX_namespace_names)}function voi(o){if(o.expression&&I7(o.expression))return sn(o.expression,k.JSX_expressions_may_not_use_the_comma_operator_Did_you_mean_to_write_an_array)}function yyt(o){if(PC(o))return!0;if(o.kind===250&&o.awaitModifier&&!(o.flags&65536)){const _=_n(o);if(JG(o)){if(!tk(_))switch(aP(_,Q)||lc.add(Kn(o.awaitModifier,k.for_await_loops_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module)),oe){case 100:case 199:if(_.impliedNodeFormat===1){lc.add(Kn(o.awaitModifier,k.The_current_file_is_a_CommonJS_module_and_cannot_use_await_at_the_top_level));break}case 7:case 99:case 200:case 4:if(re>=4)break;default:lc.add(Kn(o.awaitModifier,k.Top_level_for_await_loops_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher));break}}else if(!tk(_)){const y=Kn(o.awaitModifier,k.for_await_loops_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules),S=Up(o);if(S&&S.kind!==176){j.assert((nd(S)&2)===0,"Enclosing function should never be an async function.");const D=Kn(S,k.Did_you_mean_to_mark_this_function_as_async);da(y,D)}return lc.add(y),!0}}if(_H(o)&&!(o.flags&65536)&&ot(o.initializer)&&o.initializer.escapedText==="async")return sn(o.initializer,k.The_left_hand_side_of_a_for_of_statement_may_not_be_async),!1;if(o.initializer.kind===261){const _=o.initializer;if(!U5e(_)){const y=_.declarations;if(!y.length)return!1;if(y.length>1){const D=o.kind===249?k.Only_a_single_variable_declaration_is_allowed_in_a_for_in_statement:k.Only_a_single_variable_declaration_is_allowed_in_a_for_of_statement;return Df(_.declarations[1],D)}const S=y[0];if(S.initializer){const D=o.kind===249?k.The_variable_declaration_of_a_for_in_statement_cannot_have_an_initializer:k.The_variable_declaration_of_a_for_of_statement_cannot_have_an_initializer;return sn(S.name,D)}if(S.type){const D=o.kind===249?k.The_left_hand_side_of_a_for_in_statement_cannot_use_a_type_annotation:k.The_left_hand_side_of_a_for_of_statement_cannot_use_a_type_annotation;return sn(S,D)}}}return!1}function boi(o){if(!(o.flags&33554432)&&o.parent.kind!==187&&o.parent.kind!==264){if(re<2&&Vs(o.name))return sn(o.name,k.Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher);if(o.body===void 0&&!Zr(o,64))return sE(o,o.end-1,1,k._0_expected,"{")}if(o.body){if(Zr(o,64))return sn(o,k.An_abstract_accessor_cannot_have_an_implementation);if(o.parent.kind===187||o.parent.kind===264)return sn(o.body,k.An_implementation_cannot_be_declared_in_ambient_contexts)}if(o.typeParameters)return sn(o.name,k.An_accessor_cannot_have_type_parameters);if(!Coi(o))return sn(o.name,o.kind===177?k.A_get_accessor_cannot_have_parameters:k.A_set_accessor_must_have_exactly_one_parameter);if(o.kind===178){if(o.type)return sn(o.name,k.A_set_accessor_cannot_have_a_return_type_annotation);const _=j.checkDefined(a3(o),"Return value does not match parameter count assertion.");if(_.dotDotDotToken)return sn(_.dotDotDotToken,k.A_set_accessor_cannot_have_rest_parameter);if(_.questionToken)return sn(_.questionToken,k.A_set_accessor_cannot_have_an_optional_parameter);if(_.initializer)return sn(o.name,k.A_set_accessor_parameter_cannot_have_an_initializer)}return!1}function Coi(o){return z5e(o)||o.parameters.length===(o.kind===177?0:1)}function z5e(o){if(o.parameters.length===(o.kind===177?1:2))return $T(o)}function Soi(o){if(o.operator===158){if(o.type.kind!==155)return sn(o.type,k._0_expected,xa(155));let _=TV(o.parent);if(nr(_)&&o2(_)){const y=zT(_);y&&(_=pP(y)||y)}switch(_.kind){case 260:const y=_;if(y.name.kind!==80)return sn(o,k.unique_symbol_types_may_not_be_used_on_a_variable_declaration_with_a_binding_name);if(!E8(y))return sn(o,k.unique_symbol_types_are_only_allowed_on_variables_in_a_variable_statement);if(!(y.parent.flags&2))return sn(_.name,k.A_variable_whose_type_is_a_unique_symbol_type_must_be_const);break;case 172:if(!pa(_)||!z8(_))return sn(_.name,k.A_property_of_a_class_whose_type_is_a_unique_symbol_type_must_be_both_static_and_readonly);break;case 171:if(!Zr(_,8))return sn(_.name,k.A_property_of_an_interface_or_type_literal_whose_type_is_a_unique_symbol_type_must_be_readonly);break;default:return sn(o,k.unique_symbol_types_are_not_allowed_here)}}else if(o.operator===148&&o.type.kind!==188&&o.type.kind!==189)return Df(o,k.readonly_type_modifier_is_only_permitted_on_array_and_tuple_literal_types,xa(155))}function aF(o,_){if(TGt(o))return sn(o,_)}function vyt(o){if(Bge(o))return!0;if(o.kind===174){if(o.parent.kind===210){if(o.modifiers&&!(o.modifiers.length===1&&ya(o.modifiers).kind===134))return Df(o,k.Modifiers_cannot_appear_here);if(j5e(o.questionToken,k.An_object_member_cannot_be_declared_optional))return!0;if(gyt(o.exclamationToken,k.A_definite_assignment_assertion_is_not_permitted_in_this_context))return!0;if(o.body===void 0)return sE(o,o.end-1,1,k._0_expected,"{")}if(H5e(o))return!0}if(ss(o.parent)){if(re<2&&Vs(o.name))return sn(o.name,k.Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher);if(o.flags&33554432)return aF(o.name,k.A_computed_property_name_in_an_ambient_context_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type);if(o.kind===174&&!o.body)return aF(o.name,k.A_computed_property_name_in_a_method_overload_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type)}else{if(o.parent.kind===264)return aF(o.name,k.A_computed_property_name_in_an_interface_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type);if(o.parent.kind===187)return aF(o.name,k.A_computed_property_name_in_a_type_literal_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type)}}function woi(o){let _=o;for(;_;){if(B6(_))return sn(o,k.Jump_target_cannot_cross_function_boundary);switch(_.kind){case 256:if(o.label&&_.label.escapedText===o.label.escapedText)return o.kind===251&&!Zw(_.statement,!0)?sn(o,k.A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement):!1;break;case 255:if(o.kind===252&&!o.label)return!1;break;default:if(Zw(_,!1)&&!o.label)return!1;break}_=_.parent}if(o.label){const y=o.kind===252?k.A_break_statement_can_only_jump_to_a_label_of_an_enclosing_statement:k.A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement;return sn(o,y)}else{const y=o.kind===252?k.A_break_statement_can_only_be_used_within_an_enclosing_iteration_or_switch_statement:k.A_continue_statement_can_only_be_used_within_an_enclosing_iteration_statement;return sn(o,y)}}function xoi(o){if(o.dotDotDotToken){const _=o.parent.elements;if(o!==va(_))return sn(o,k.A_rest_element_must_be_last_in_a_destructuring_pattern);if(c4(_,k.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma),o.propertyName)return sn(o.name,k.A_rest_element_cannot_have_a_property_name)}if(o.dotDotDotToken&&o.initializer)return sE(o,o.initializer.pos-1,1,k.A_rest_element_cannot_have_an_initializer)}function byt(o){return cm(o)||o.kind===224&&o.operator===41&&o.operand.kind===9}function koi(o){return o.kind===10||o.kind===224&&o.operator===41&&o.operand.kind===10}function Toi(o){if((Nr(o)||wl(o)&&byt(o.argumentExpression))&&pl(o.expression))return!!(ju(o).flags&1056)}function Cyt(o){const _=o.initializer;if(_){const y=!(byt(_)||Toi(_)||_.kind===112||_.kind===97||koi(_));if((tV(o)||_s(o)&&mU(o))&&!o.type){if(y)return sn(_,k.A_const_initializer_in_an_ambient_context_must_be_a_string_or_numeric_literal_or_literal_enum_reference)}else return sn(_,k.Initializers_are_not_allowed_in_ambient_contexts)}}function Doi(o){const _=oE(o),y=_&7;if(ta(o.name))switch(y){case 6:return sn(o,k._0_declarations_may_not_have_binding_patterns,"await using");case 4:return sn(o,k._0_declarations_may_not_have_binding_patterns,"using")}if(o.parent.parent.kind!==249&&o.parent.parent.kind!==250){if(_&33554432)Cyt(o);else if(!o.initializer){if(ta(o.name)&&!ta(o.parent))return sn(o,k.A_destructuring_declaration_must_have_an_initializer);switch(y){case 6:return sn(o,k._0_declarations_must_be_initialized,"await using");case 4:return sn(o,k._0_declarations_must_be_initialized,"using");case 2:return sn(o,k._0_declarations_must_be_initialized,"const")}}}if(o.exclamationToken&&(o.parent.parent.kind!==243||!o.type||o.initializer||_&33554432)){const S=o.initializer?k.Declarations_with_initializers_cannot_also_have_definite_assignment_assertions:o.type?k.A_definite_assignment_assertion_is_not_permitted_in_this_context:k.Declarations_with_definite_assignment_assertions_must_also_have_type_annotations;return sn(o.exclamationToken,S)}return t.getEmitModuleFormatOfFile(_n(o))<4&&!(o.parent.parent.flags&33554432)&&Zr(o.parent.parent,32)&&Syt(o.name),!!y&&wyt(o.name)}function Syt(o){if(o.kind===80){if(Fr(o)==="__esModule")return Noi("noEmit",o,k.Identifier_expected_esModule_is_reserved_as_an_exported_marker_when_transforming_ECMAScript_modules)}else{const _=o.elements;for(const y of _)if(!Bd(y))return Syt(y.name)}return!1}function wyt(o){if(o.kind===80){if(o.escapedText==="let")return sn(o,k.let_is_not_allowed_to_be_used_as_a_name_in_let_or_const_declarations)}else{const _=o.elements;for(const y of _)Bd(y)||wyt(y.name)}return!1}function U5e(o){const _=o.declarations;if(c4(o.declarations))return!0;if(!o.declarations.length)return sE(o,_.pos,_.end-_.pos,k.Variable_declaration_list_cannot_be_empty);const y=o.flags&7;return(y===4||y===6)&&xX(o.parent)?sn(o,y===4?k.The_left_hand_side_of_a_for_in_statement_cannot_be_a_using_declaration:k.The_left_hand_side_of_a_for_in_statement_cannot_be_an_await_using_declaration):y===6?W0t(o):!1}function xyt(o){switch(o.kind){case 245:case 246:case 247:case 254:case 248:case 249:case 250:return!1;case 256:return xyt(o.parent)}return!0}function Eoi(o){if(!xyt(o.parent)){const _=oE(o.declarationList)&7;if(_){const y=_===1?"let":_===2?"const":_===4?"using":_===6?"await using":j.fail("Unknown BlockScope flag");return sn(o,k._0_declarations_can_only_be_declared_inside_a_block,y)}}}function Ioi(o){const _=o.name.escapedText;switch(o.keywordToken){case 105:if(_!=="target")return sn(o.name,k._0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2,Ws(o.name.escapedText),xa(o.keywordToken),"target");break;case 102:if(_!=="meta")return sn(o.name,k._0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2,Ws(o.name.escapedText),xa(o.keywordToken),"meta");break}}function tk(o){return o.parseDiagnostics.length>0}function Df(o,_,...y){const S=_n(o);if(!tk(S)){const D=E1(S,o.pos);return lc.add(Md(S,D.start,D.length,_,...y)),!0}return!1}function sE(o,_,y,S,...D){const L=_n(o);return tk(L)?!1:(lc.add(Md(L,_,y,S,...D)),!0)}function Noi(o,_,y,...S){const D=_n(_);return tk(D)?!1:(I2(o,_,y,...S),!0)}function sn(o,_,...y){const S=_n(o);return tk(S)?!1:(lc.add(Kn(o,_,...y)),!0)}function Loi(o){const _=nr(o)?vK(o):void 0,y=o.typeParameters||_&&Ra(_);if(y){const S=y.pos===y.end?y.pos:Va(_n(o).text,y.pos);return sE(o,S,y.end-S,k.Type_parameters_cannot_appear_on_a_constructor_declaration)}}function Poi(o){const _=o.type||wp(o);if(_)return sn(_,k.Type_annotation_cannot_appear_on_a_constructor_declaration)}function Aoi(o){if(Ka(o.name)&&ur(o.name.expression)&&o.name.expression.operatorToken.kind===103)return sn(o.parent.members[0],k.A_mapped_type_may_not_declare_properties_or_methods);if(ss(o.parent)){if(Ha(o.name)&&o.name.text==="constructor")return sn(o.name,k.Classes_may_not_have_a_field_named_constructor);if(aF(o.name,k.A_computed_property_name_in_a_class_property_declaration_must_have_a_simple_literal_type_or_a_unique_symbol_type))return!0;if(re<2&&Vs(o.name))return sn(o.name,k.Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher);if(re<2&&I_(o))return sn(o.name,k.Properties_with_the_accessor_modifier_are_only_available_when_targeting_ECMAScript_2015_and_higher);if(I_(o)&&j5e(o.questionToken,k.An_accessor_property_cannot_be_declared_optional))return!0}else if(o.parent.kind===264){if(aF(o.name,k.A_computed_property_name_in_an_interface_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type))return!0;if(j.assertNode(o,O_),o.initializer)return sn(o.initializer,k.An_interface_property_cannot_have_an_initializer)}else if(a_(o.parent)){if(aF(o.name,k.A_computed_property_name_in_a_type_literal_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type))return!0;if(j.assertNode(o,O_),o.initializer)return sn(o.initializer,k.A_type_literal_property_cannot_have_an_initializer)}if(o.flags&33554432&&Cyt(o),Lo(o)&&o.exclamationToken&&(!ss(o.parent)||!o.type||o.initializer||o.flags&33554432||pa(o)||KT(o))){const _=o.initializer?k.Declarations_with_initializers_cannot_also_have_definite_assignment_assertions:o.type?k.A_definite_assignment_assertion_is_not_permitted_in_this_context:k.Declarations_with_definite_assignment_assertions_must_also_have_type_annotations;return sn(o.exclamationToken,_)}}function Ooi(o){return o.kind===264||o.kind===265||o.kind===272||o.kind===271||o.kind===278||o.kind===277||o.kind===270||Zr(o,2208)?!1:Df(o,k.Top_level_declarations_in_d_ts_files_must_start_with_either_a_declare_or_export_modifier)}function Moi(o){for(const _ of o.statements)if((Wf(_)||_.kind===243)&&Ooi(_))return!0;return!1}function kyt(o){return!!(o.flags&33554432)&&Moi(o)}function PC(o){if(o.flags&33554432){if(!yr(o).hasReportedStatementInAmbientContext&&(Ho(o.parent)||Qw(o.parent)))return yr(o).hasReportedStatementInAmbientContext=Df(o,k.An_implementation_cannot_be_declared_in_ambient_contexts);if(o.parent.kind===241||o.parent.kind===268||o.parent.kind===307){const y=yr(o.parent);if(!y.hasReportedStatementInAmbientContext)return y.hasReportedStatementInAmbientContext=Df(o,k.Statements_are_not_allowed_in_ambient_contexts)}}return!1}function Tyt(o){const _=uu(o).includes("."),y=o.numericLiteralFlags&16;_||y||+o.text<=2**53-1||Z0(!1,Kn(o,k.Numeric_literals_with_absolute_values_equal_to_2_53_or_greater_are_too_large_to_be_represented_accurately_as_integers))}function Roi(o){return!!(!(bS(o.parent)||n2(o.parent)&&bS(o.parent.parent))&&re<7&&sn(o,k.BigInt_literals_are_not_available_when_targeting_lower_than_ES2020))}function Foi(o,_,...y){const S=_n(o);if(!tk(S)){const D=E1(S,o.pos);return lc.add(Md(S,au(D),0,_,...y)),!0}return!1}function Boi(){return Wl||(Wl=[],$e.forEach((o,_)=>{xNe.test(_)&&Wl.push(o)})),Wl}function Woi(o){var _;return o.isTypeOnly&&o.name&&o.namedBindings?sn(o,k.A_type_only_import_can_specify_a_default_import_or_named_bindings_but_not_both):o.isTypeOnly&&((_=o.namedBindings)==null?void 0:_.kind)===275?Dyt(o.namedBindings):!1}function Dyt(o){return!!O(o.elements,_=>{if(_.isTypeOnly)return Df(_,_.kind===276?k.The_type_modifier_cannot_be_used_on_a_named_import_when_import_type_is_used_on_its_import_statement:k.The_type_modifier_cannot_be_used_on_a_named_export_when_export_type_is_used_on_its_export_statement)})}function Voi(o){if(Q.verbatimModuleSyntax&&oe===1)return sn(o,k.ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled);if(oe===5)return sn(o,k.Dynamic_imports_are_only_supported_when_the_module_flag_is_set_to_es2020_es2022_esnext_commonjs_amd_system_umd_node16_or_nodenext);if(o.typeArguments)return sn(o,k.This_use_of_import_is_invalid_import_calls_can_be_written_but_they_must_have_parentheses_and_cannot_have_type_arguments);const _=o.arguments;if(oe!==99&&oe!==199&&oe!==100&&oe!==200&&(c4(_),_.length>1)){const S=_[1];return sn(S,k.Dynamic_imports_only_support_a_second_argument_when_the_module_option_is_set_to_esnext_node16_nodenext_or_preserve)}if(_.length===0||_.length>2)return sn(o,k.Dynamic_imports_can_only_accept_a_module_specifier_and_an_optional_set_of_attributes_as_arguments);const y=Fe(_,Km);return y?sn(y,k.Argument_of_dynamic_import_cannot_be_spread_element):!1}function Hoi(o,_){const y=Or(o);if(y&20&&_.flags&1048576)return Fe(_.types,S=>{if(S.flags&524288){const D=y&Or(S);if(D&4)return o.target===S.target;if(D&16)return!!o.aliasSymbol&&o.aliasSymbol===S.aliasSymbol}return!1})}function joi(o,_){if(Or(o)&128&&dg(_,YS))return Fe(_.types,y=>!YS(y))}function zoi(o,_){let y=0;if(ia(o,y).length>0||(y=1,ia(o,y).length>0))return Fe(_.types,D=>ia(D,y).length>0)}function Uoi(o,_){let y;if(!(o.flags&406978556)){let S=0;for(const D of _.types)if(!(D.flags&406978556)){const L=Wa([oy(o),oy(D)]);if(L.flags&4194304)return D;if(c1(L)||L.flags&1048576){const B=L.flags&1048576?$i(L.types,c1):1;B>=S&&(y=D,S=B)}}}return y}function qoi(o){if(Au(o,67108864)){const _=ad(o,y=>!(y.flags&402784252));if(!(_.flags&131072))return _}return o}function Eyt(o,_,y){if(_.flags&1048576&&o.flags&2621440){const S=Pmt(_,o);if(S)return S;const D=Ac(o);if(D){const L=Lmt(D,_);if(L){const B=T8e(_,qt(L,K=>[()=>Yn(K),K.escapedName]),y);if(B!==_)return B}}}}function q5e(o){const _=CI(o);return _||(Ka(o)?Z8e(Kf(o.expression)):void 0)}function Vge(o){return xn===o||(xn=o,Pn=Rk(o)),Pn}function oE(o){return Ei===o||(Ei=o,tn=zb(o)),tn}function mU(o){const _=oE(o)&7;return _===2||_===4||_===6}function $oi(o,_){const y=Q.importHelpers?1:0,S=o==null?void 0:o.imports[y];return S&&j.assert(cl(S)&&S.text===_,`Expected sourceFile.imports[${y}] to be the synthesized JSX runtime import`),S}function Joi(o){j.assert(Q.importHelpers,"Expected importHelpers to be enabled");const _=o.imports[0];return j.assert(_&&cl(_)&&_.text==="tslib","Expected sourceFile.imports[0] to be the synthesized tslib import"),_}}function jMt(t){return!Qw(t)}function tet(t){return t.kind!==262&&t.kind!==174||!!t.body}function iet(t){switch(t.parent.kind){case 276:case 281:return ot(t)||t.kind===11;default:return Wy(t)}}var hm;(t=>{t.JSX="JSX",t.IntrinsicElements="IntrinsicElements",t.ElementClass="ElementClass",t.ElementAttributesPropertyNameContainer="ElementAttributesProperty",t.ElementChildrenAttributeNameContainer="ElementChildrenAttribute",t.Element="Element",t.ElementType="ElementType",t.IntrinsicAttributes="IntrinsicAttributes",t.IntrinsicClassAttributes="IntrinsicClassAttributes",t.LibraryManagedAttributes="LibraryManagedAttributes"})(hm||(hm={}));function net(t){switch(t){case 0:return"yieldType";case 1:return"returnType";case 2:return"nextType"}}function Ch(t){return!!(t.flags&1)}function ret(t){return!!(t.flags&2)}function zMt(t){return{getCommonSourceDirectory:t.getCommonSourceDirectory?()=>t.getCommonSourceDirectory():()=>"",getCurrentDirectory:()=>t.getCurrentDirectory(),getSymlinkCache:to(t,t.getSymlinkCache),getPackageJsonInfoCache:()=>{var r;return(r=t.getPackageJsonInfoCache)==null?void 0:r.call(t)},useCaseSensitiveFileNames:to(t,t.useCaseSensitiveFileNames),redirectTargetsMap:t.redirectTargetsMap,getProjectReferenceRedirect:r=>t.getProjectReferenceRedirect(r),isSourceOfProjectReferenceRedirect:r=>t.isSourceOfProjectReferenceRedirect(r),fileExists:r=>t.fileExists(r),getFileIncludeReasons:()=>t.getFileIncludeReasons(),readFile:t.readFile?r=>t.readFile(r):void 0,getDefaultResolutionModeForFile:r=>t.getDefaultResolutionModeForFile(r),getModeForResolutionAtIndex:(r,a)=>t.getModeForResolutionAtIndex(r,a)}}var DNe=class aAt{constructor(r,a,u){this.moduleResolverHost=void 0,this.inner=void 0,this.disableTrackSymbol=!1;for(var h;a instanceof aAt;)a=a.inner;this.inner=a,this.moduleResolverHost=u,this.context=r,this.canTrackSymbol=!!((h=this.inner)!=null&&h.trackSymbol)}trackSymbol(r,a,u){var h,p;if((h=this.inner)!=null&&h.trackSymbol&&!this.disableTrackSymbol){if(this.inner.trackSymbol(r,a,u))return this.onDiagnosticReported(),!0;r.flags&262144||((p=this.context).trackedSymbols??(p.trackedSymbols=[])).push([r,a,u])}return!1}reportInaccessibleThisError(){var r;(r=this.inner)!=null&&r.reportInaccessibleThisError&&(this.onDiagnosticReported(),this.inner.reportInaccessibleThisError())}reportPrivateInBaseOfClassExpression(r){var a;(a=this.inner)!=null&&a.reportPrivateInBaseOfClassExpression&&(this.onDiagnosticReported(),this.inner.reportPrivateInBaseOfClassExpression(r))}reportInaccessibleUniqueSymbolError(){var r;(r=this.inner)!=null&&r.reportInaccessibleUniqueSymbolError&&(this.onDiagnosticReported(),this.inner.reportInaccessibleUniqueSymbolError())}reportCyclicStructureError(){var r;(r=this.inner)!=null&&r.reportCyclicStructureError&&(this.onDiagnosticReported(),this.inner.reportCyclicStructureError())}reportLikelyUnsafeImportRequiredError(r){var a;(a=this.inner)!=null&&a.reportLikelyUnsafeImportRequiredError&&(this.onDiagnosticReported(),this.inner.reportLikelyUnsafeImportRequiredError(r))}reportTruncationError(){var r;(r=this.inner)!=null&&r.reportTruncationError&&(this.onDiagnosticReported(),this.inner.reportTruncationError())}reportNonlocalAugmentation(r,a,u){var h;(h=this.inner)!=null&&h.reportNonlocalAugmentation&&(this.onDiagnosticReported(),this.inner.reportNonlocalAugmentation(r,a,u))}reportNonSerializableProperty(r){var a;(a=this.inner)!=null&&a.reportNonSerializableProperty&&(this.onDiagnosticReported(),this.inner.reportNonSerializableProperty(r))}onDiagnosticReported(){this.context.reportedDiagnostic=!0}reportInferenceFallback(r){var a;(a=this.inner)!=null&&a.reportInferenceFallback&&this.inner.reportInferenceFallback(r)}};function bt(t,r,a,u){if(t===void 0)return t;const h=r(t);let p;if(h!==void 0)return Ao(h)?p=(u||KMt)(h):p=h,j.assertNode(p,a),p}function Dn(t,r,a,u,h){if(t===void 0)return t;const p=t.length;(u===void 0||u<0)&&(u=0),(h===void 0||h>p-u)&&(h=p-u);let g,C=-1,b=-1;u>0||h<p?g=t.hasTrailingComma&&u+h===p:(C=t.pos,b=t.end,g=t.hasTrailingComma);const T=set(t,r,a,u,h);if(T!==t){const E=G.createNodeArray(T,g);return V0(E,C,b),E}return t}function VH(t,r,a,u,h){if(t===void 0)return t;const p=t.length;return(u===void 0||u<0)&&(u=0),(h===void 0||h>p-u)&&(h=p-u),set(t,r,a,u,h)}function set(t,r,a,u,h){let p;const g=t.length;(u>0||h<g)&&(p=[]);for(let C=0;C<h;C++){const b=t[C+u],T=b!==void 0?r?r(b):b:void 0;if((p!==void 0||T===void 0||T!==b)&&(p===void 0&&(p=t.slice(0,C),j.assertEachNode(p,a)),T))if(Ao(T))for(const E of T)j.assertNode(E,a),p.push(E);else j.assertNode(T,a),p.push(T)}return p||(j.assertEachNode(t,a),t)}function Aue(t,r,a,u,h,p=Dn){return a.startLexicalEnvironment(),t=p(t,r,Ps,u),h&&(t=a.factory.ensureUseStrict(t)),G.mergeLexicalEnvironment(t,a.endLexicalEnvironment())}function yu(t,r,a,u=Dn){let h;return a.startLexicalEnvironment(),t&&(a.setLexicalEnvironmentFlags(1,!0),h=u(t,r,$s),a.getLexicalEnvironmentFlags()&2&&Ja(a.getCompilerOptions())>=2&&(h=UMt(h,a)),a.setLexicalEnvironmentFlags(1,!1)),a.suspendLexicalEnvironment(),h}function UMt(t,r){let a;for(let u=0;u<t.length;u++){const h=t[u],p=qMt(h,r);(a||p!==h)&&(a||(a=t.slice(0,u)),a[u]=p)}return a?Ht(r.factory.createNodeArray(a,t.hasTrailingComma),t):t}function qMt(t,r){return t.dotDotDotToken?t:ta(t.name)?$Mt(t,r):t.initializer?JMt(t,t.name,t.initializer,r):t}function $Mt(t,r){const{factory:a}=r;return r.addInitializationStatement(a.createVariableStatement(void 0,a.createVariableDeclarationList([a.createVariableDeclaration(t.name,void 0,t.type,t.initializer?a.createConditionalExpression(a.createStrictEquality(a.getGeneratedNameForNode(t),a.createVoidZero()),void 0,t.initializer,void 0,a.getGeneratedNameForNode(t)):a.getGeneratedNameForNode(t))]))),a.updateParameterDeclaration(t,t.modifiers,t.dotDotDotToken,a.getGeneratedNameForNode(t),t.questionToken,t.type,void 0)}function JMt(t,r,a,u){const h=u.factory;return u.addInitializationStatement(h.createIfStatement(h.createTypeCheck(h.cloneNode(r),"undefined"),tr(Ht(h.createBlock([h.createExpressionStatement(tr(Ht(h.createAssignment(tr(h.cloneNode(r),96),tr(a,96|Ya(a)|3072)),t),3072))]),t),3905))),h.updateParameterDeclaration(t,t.modifiers,t.dotDotDotToken,t.name,t.questionToken,t.type,void 0)}function _m(t,r,a,u=bt){a.resumeLexicalEnvironment();const h=u(t,r,vG),p=a.endLexicalEnvironment();if(Ft(p)){if(!h)return a.factory.createBlock(p);const g=a.factory.converters.convertToFunctionBlock(h),C=G.mergeLexicalEnvironment(g.statements,p);return a.factory.updateBlock(g,C)}return h}function u_(t,r,a,u=bt){a.startBlockScope();const h=u(t,r,Ps,a.factory.liftToBlock);j.assert(h);const p=a.endBlockScope();return Ft(p)?Xo(h)?(p.push(...h.statements),a.factory.updateBlock(h,p)):(p.push(h),a.factory.createBlock(p)):h}function mQ(t,r,a=r){if(a===r||t.length<=1)return Dn(t,r,jt);let u=0;const h=t.length;return Dn(t,p=>{const g=u<h-1;return u++,g?a(p):r(p)},jt)}function dn(t,r,a=JH,u=Dn,h,p=bt){if(t===void 0)return;const g=GMt[t.kind];return g===void 0?t:g(t,r,a,u,p,h)}var GMt={166:function(r,a,u,h,p,g){return u.factory.updateQualifiedName(r,j.checkDefined(p(r.left,a,E_)),j.checkDefined(p(r.right,a,ot)))},167:function(r,a,u,h,p,g){return u.factory.updateComputedPropertyName(r,j.checkDefined(p(r.expression,a,jt)))},168:function(r,a,u,h,p,g){return u.factory.updateTypeParameterDeclaration(r,h(r.modifiers,a,Fa),j.checkDefined(p(r.name,a,ot)),p(r.constraint,a,ws),p(r.default,a,ws))},169:function(r,a,u,h,p,g){return u.factory.updateParameterDeclaration(r,h(r.modifiers,a,Ul),g?p(r.dotDotDotToken,g,mX):r.dotDotDotToken,j.checkDefined(p(r.name,a,dI)),g?p(r.questionToken,g,dx):r.questionToken,p(r.type,a,ws),p(r.initializer,a,jt))},170:function(r,a,u,h,p,g){return u.factory.updateDecorator(r,j.checkDefined(p(r.expression,a,jt)))},171:function(r,a,u,h,p,g){return u.factory.updatePropertySignature(r,h(r.modifiers,a,Fa),j.checkDefined(p(r.name,a,id)),g?p(r.questionToken,g,dx):r.questionToken,p(r.type,a,ws))},172:function(r,a,u,h,p,g){return u.factory.updatePropertyDeclaration(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.name,a,id)),g?p(r.questionToken??r.exclamationToken,g,lIe):r.questionToken??r.exclamationToken,p(r.type,a,ws),p(r.initializer,a,jt))},173:function(r,a,u,h,p,g){return u.factory.updateMethodSignature(r,h(r.modifiers,a,Fa),j.checkDefined(p(r.name,a,id)),g?p(r.questionToken,g,dx):r.questionToken,h(r.typeParameters,a,$l),h(r.parameters,a,$s),p(r.type,a,ws))},174:function(r,a,u,h,p,g){return u.factory.updateMethodDeclaration(r,h(r.modifiers,a,Ul),g?p(r.asteriskToken,g,uH):r.asteriskToken,j.checkDefined(p(r.name,a,id)),g?p(r.questionToken,g,dx):r.questionToken,h(r.typeParameters,a,$l),yu(r.parameters,a,u,h),p(r.type,a,ws),_m(r.body,a,u,p))},176:function(r,a,u,h,p,g){return u.factory.updateConstructorDeclaration(r,h(r.modifiers,a,Ul),yu(r.parameters,a,u,h),_m(r.body,a,u,p))},177:function(r,a,u,h,p,g){return u.factory.updateGetAccessorDeclaration(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.name,a,id)),yu(r.parameters,a,u,h),p(r.type,a,ws),_m(r.body,a,u,p))},178:function(r,a,u,h,p,g){return u.factory.updateSetAccessorDeclaration(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.name,a,id)),yu(r.parameters,a,u,h),_m(r.body,a,u,p))},175:function(r,a,u,h,p,g){return u.startLexicalEnvironment(),u.suspendLexicalEnvironment(),u.factory.updateClassStaticBlockDeclaration(r,_m(r.body,a,u,p))},179:function(r,a,u,h,p,g){return u.factory.updateCallSignature(r,h(r.typeParameters,a,$l),h(r.parameters,a,$s),p(r.type,a,ws))},180:function(r,a,u,h,p,g){return u.factory.updateConstructSignature(r,h(r.typeParameters,a,$l),h(r.parameters,a,$s),p(r.type,a,ws))},181:function(r,a,u,h,p,g){return u.factory.updateIndexSignature(r,h(r.modifiers,a,Ul),h(r.parameters,a,$s),j.checkDefined(p(r.type,a,ws)))},182:function(r,a,u,h,p,g){return u.factory.updateTypePredicateNode(r,p(r.assertsModifier,a,NEe),j.checkDefined(p(r.parameterName,a,uIe)),p(r.type,a,ws))},183:function(r,a,u,h,p,g){return u.factory.updateTypeReferenceNode(r,j.checkDefined(p(r.typeName,a,E_)),h(r.typeArguments,a,ws))},184:function(r,a,u,h,p,g){return u.factory.updateFunctionTypeNode(r,h(r.typeParameters,a,$l),h(r.parameters,a,$s),j.checkDefined(p(r.type,a,ws)))},185:function(r,a,u,h,p,g){return u.factory.updateConstructorTypeNode(r,h(r.modifiers,a,Fa),h(r.typeParameters,a,$l),h(r.parameters,a,$s),j.checkDefined(p(r.type,a,ws)))},186:function(r,a,u,h,p,g){return u.factory.updateTypeQueryNode(r,j.checkDefined(p(r.exprName,a,E_)),h(r.typeArguments,a,ws))},187:function(r,a,u,h,p,g){return u.factory.updateTypeLiteralNode(r,h(r.members,a,OT))},188:function(r,a,u,h,p,g){return u.factory.updateArrayTypeNode(r,j.checkDefined(p(r.elementType,a,ws)))},189:function(r,a,u,h,p,g){return u.factory.updateTupleTypeNode(r,h(r.elements,a,ws))},190:function(r,a,u,h,p,g){return u.factory.updateOptionalTypeNode(r,j.checkDefined(p(r.type,a,ws)))},191:function(r,a,u,h,p,g){return u.factory.updateRestTypeNode(r,j.checkDefined(p(r.type,a,ws)))},192:function(r,a,u,h,p,g){return u.factory.updateUnionTypeNode(r,h(r.types,a,ws))},193:function(r,a,u,h,p,g){return u.factory.updateIntersectionTypeNode(r,h(r.types,a,ws))},194:function(r,a,u,h,p,g){return u.factory.updateConditionalTypeNode(r,j.checkDefined(p(r.checkType,a,ws)),j.checkDefined(p(r.extendsType,a,ws)),j.checkDefined(p(r.trueType,a,ws)),j.checkDefined(p(r.falseType,a,ws)))},195:function(r,a,u,h,p,g){return u.factory.updateInferTypeNode(r,j.checkDefined(p(r.typeParameter,a,$l)))},205:function(r,a,u,h,p,g){return u.factory.updateImportTypeNode(r,j.checkDefined(p(r.argument,a,ws)),p(r.attributes,a,LI),p(r.qualifier,a,E_),h(r.typeArguments,a,ws),r.isTypeOf)},302:function(r,a,u,h,p,g){return u.factory.updateImportTypeAssertionContainer(r,j.checkDefined(p(r.assertClause,a,VEe)),r.multiLine)},202:function(r,a,u,h,p,g){return u.factory.updateNamedTupleMember(r,g?p(r.dotDotDotToken,g,mX):r.dotDotDotToken,j.checkDefined(p(r.name,a,ot)),g?p(r.questionToken,g,dx):r.questionToken,j.checkDefined(p(r.type,a,ws)))},196:function(r,a,u,h,p,g){return u.factory.updateParenthesizedType(r,j.checkDefined(p(r.type,a,ws)))},198:function(r,a,u,h,p,g){return u.factory.updateTypeOperatorNode(r,j.checkDefined(p(r.type,a,ws)))},199:function(r,a,u,h,p,g){return u.factory.updateIndexedAccessTypeNode(r,j.checkDefined(p(r.objectType,a,ws)),j.checkDefined(p(r.indexType,a,ws)))},200:function(r,a,u,h,p,g){return u.factory.updateMappedTypeNode(r,g?p(r.readonlyToken,g,dIe):r.readonlyToken,j.checkDefined(p(r.typeParameter,a,$l)),p(r.nameType,a,ws),g?p(r.questionToken,g,fIe):r.questionToken,p(r.type,a,ws),h(r.members,a,OT))},201:function(r,a,u,h,p,g){return u.factory.updateLiteralTypeNode(r,j.checkDefined(p(r.literal,a,N2e)))},203:function(r,a,u,h,p,g){return u.factory.updateTemplateLiteralType(r,j.checkDefined(p(r.head,a,FP)),h(r.templateSpans,a,fle))},204:function(r,a,u,h,p,g){return u.factory.updateTemplateLiteralTypeSpan(r,j.checkDefined(p(r.type,a,ws)),j.checkDefined(p(r.literal,a,pG)))},206:function(r,a,u,h,p,g){return u.factory.updateObjectBindingPattern(r,h(r.elements,a,ec))},207:function(r,a,u,h,p,g){return u.factory.updateArrayBindingPattern(r,h(r.elements,a,gG))},208:function(r,a,u,h,p,g){return u.factory.updateBindingElement(r,g?p(r.dotDotDotToken,g,mX):r.dotDotDotToken,p(r.propertyName,a,id),j.checkDefined(p(r.name,a,dI)),p(r.initializer,a,jt))},209:function(r,a,u,h,p,g){return u.factory.updateArrayLiteralExpression(r,h(r.elements,a,jt))},210:function(r,a,u,h,p,g){return u.factory.updateObjectLiteralExpression(r,h(r.properties,a,Ub))},211:function(r,a,u,h,p,g){return dG(r)?u.factory.updatePropertyAccessChain(r,j.checkDefined(p(r.expression,a,jt)),g?p(r.questionDotToken,g,gX):r.questionDotToken,j.checkDefined(p(r.name,a,Mv))):u.factory.updatePropertyAccessExpression(r,j.checkDefined(p(r.expression,a,jt)),j.checkDefined(p(r.name,a,Mv)))},212:function(r,a,u,h,p,g){return Koe(r)?u.factory.updateElementAccessChain(r,j.checkDefined(p(r.expression,a,jt)),g?p(r.questionDotToken,g,gX):r.questionDotToken,j.checkDefined(p(r.argumentExpression,a,jt))):u.factory.updateElementAccessExpression(r,j.checkDefined(p(r.expression,a,jt)),j.checkDefined(p(r.argumentExpression,a,jt)))},213:function(r,a,u,h,p,g){return cI(r)?u.factory.updateCallChain(r,j.checkDefined(p(r.expression,a,jt)),g?p(r.questionDotToken,g,gX):r.questionDotToken,h(r.typeArguments,a,ws),h(r.arguments,a,jt)):u.factory.updateCallExpression(r,j.checkDefined(p(r.expression,a,jt)),h(r.typeArguments,a,ws),h(r.arguments,a,jt))},214:function(r,a,u,h,p,g){return u.factory.updateNewExpression(r,j.checkDefined(p(r.expression,a,jt)),h(r.typeArguments,a,ws),h(r.arguments,a,jt))},215:function(r,a,u,h,p,g){return u.factory.updateTaggedTemplateExpression(r,j.checkDefined(p(r.tag,a,jt)),h(r.typeArguments,a,ws),j.checkDefined(p(r.template,a,nP)))},216:function(r,a,u,h,p,g){return u.factory.updateTypeAssertion(r,j.checkDefined(p(r.type,a,ws)),j.checkDefined(p(r.expression,a,jt)))},217:function(r,a,u,h,p,g){return u.factory.updateParenthesizedExpression(r,j.checkDefined(p(r.expression,a,jt)))},218:function(r,a,u,h,p,g){return u.factory.updateFunctionExpression(r,h(r.modifiers,a,Fa),g?p(r.asteriskToken,g,uH):r.asteriskToken,p(r.name,a,ot),h(r.typeParameters,a,$l),yu(r.parameters,a,u,h),p(r.type,a,ws),_m(r.body,a,u,p))},219:function(r,a,u,h,p,g){return u.factory.updateArrowFunction(r,h(r.modifiers,a,Fa),h(r.typeParameters,a,$l),yu(r.parameters,a,u,h),p(r.type,a,ws),g?j.checkDefined(p(r.equalsGreaterThanToken,g,IEe)):r.equalsGreaterThanToken,_m(r.body,a,u,p))},220:function(r,a,u,h,p,g){return u.factory.updateDeleteExpression(r,j.checkDefined(p(r.expression,a,jt)))},221:function(r,a,u,h,p,g){return u.factory.updateTypeOfExpression(r,j.checkDefined(p(r.expression,a,jt)))},222:function(r,a,u,h,p,g){return u.factory.updateVoidExpression(r,j.checkDefined(p(r.expression,a,jt)))},223:function(r,a,u,h,p,g){return u.factory.updateAwaitExpression(r,j.checkDefined(p(r.expression,a,jt)))},224:function(r,a,u,h,p,g){return u.factory.updatePrefixUnaryExpression(r,j.checkDefined(p(r.operand,a,jt)))},225:function(r,a,u,h,p,g){return u.factory.updatePostfixUnaryExpression(r,j.checkDefined(p(r.operand,a,jt)))},226:function(r,a,u,h,p,g){return u.factory.updateBinaryExpression(r,j.checkDefined(p(r.left,a,jt)),g?j.checkDefined(p(r.operatorToken,g,_Ie)):r.operatorToken,j.checkDefined(p(r.right,a,jt)))},227:function(r,a,u,h,p,g){return u.factory.updateConditionalExpression(r,j.checkDefined(p(r.condition,a,jt)),g?j.checkDefined(p(r.questionToken,g,dx)):r.questionToken,j.checkDefined(p(r.whenTrue,a,jt)),g?j.checkDefined(p(r.colonToken,g,EEe)):r.colonToken,j.checkDefined(p(r.whenFalse,a,jt)))},228:function(r,a,u,h,p,g){return u.factory.updateTemplateExpression(r,j.checkDefined(p(r.head,a,FP)),h(r.templateSpans,a,w3))},229:function(r,a,u,h,p,g){return u.factory.updateYieldExpression(r,g?p(r.asteriskToken,g,uH):r.asteriskToken,p(r.expression,a,jt))},230:function(r,a,u,h,p,g){return u.factory.updateSpreadElement(r,j.checkDefined(p(r.expression,a,jt)))},231:function(r,a,u,h,p,g){return u.factory.updateClassExpression(r,h(r.modifiers,a,Ul),p(r.name,a,ot),h(r.typeParameters,a,$l),h(r.heritageClauses,a,Tp),h(r.members,a,Od))},233:function(r,a,u,h,p,g){return u.factory.updateExpressionWithTypeArguments(r,j.checkDefined(p(r.expression,a,jt)),h(r.typeArguments,a,ws))},234:function(r,a,u,h,p,g){return u.factory.updateAsExpression(r,j.checkDefined(p(r.expression,a,jt)),j.checkDefined(p(r.type,a,ws)))},238:function(r,a,u,h,p,g){return u.factory.updateSatisfiesExpression(r,j.checkDefined(p(r.expression,a,jt)),j.checkDefined(p(r.type,a,ws)))},235:function(r,a,u,h,p,g){return gh(r)?u.factory.updateNonNullChain(r,j.checkDefined(p(r.expression,a,jt))):u.factory.updateNonNullExpression(r,j.checkDefined(p(r.expression,a,jt)))},236:function(r,a,u,h,p,g){return u.factory.updateMetaProperty(r,j.checkDefined(p(r.name,a,ot)))},239:function(r,a,u,h,p,g){return u.factory.updateTemplateSpan(r,j.checkDefined(p(r.expression,a,jt)),j.checkDefined(p(r.literal,a,pG)))},241:function(r,a,u,h,p,g){return u.factory.updateBlock(r,h(r.statements,a,Ps))},243:function(r,a,u,h,p,g){return u.factory.updateVariableStatement(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.declarationList,a,Sf)))},244:function(r,a,u,h,p,g){return u.factory.updateExpressionStatement(r,j.checkDefined(p(r.expression,a,jt)))},245:function(r,a,u,h,p,g){return u.factory.updateIfStatement(r,j.checkDefined(p(r.expression,a,jt)),j.checkDefined(p(r.thenStatement,a,Ps,u.factory.liftToBlock)),p(r.elseStatement,a,Ps,u.factory.liftToBlock))},246:function(r,a,u,h,p,g){return u.factory.updateDoStatement(r,u_(r.statement,a,u,p),j.checkDefined(p(r.expression,a,jt)))},247:function(r,a,u,h,p,g){return u.factory.updateWhileStatement(r,j.checkDefined(p(r.expression,a,jt)),u_(r.statement,a,u,p))},248:function(r,a,u,h,p,g){return u.factory.updateForStatement(r,p(r.initializer,a,Hm),p(r.condition,a,jt),p(r.incrementor,a,jt),u_(r.statement,a,u,p))},249:function(r,a,u,h,p,g){return u.factory.updateForInStatement(r,j.checkDefined(p(r.initializer,a,Hm)),j.checkDefined(p(r.expression,a,jt)),u_(r.statement,a,u,p))},250:function(r,a,u,h,p,g){return u.factory.updateForOfStatement(r,g?p(r.awaitModifier,g,dle):r.awaitModifier,j.checkDefined(p(r.initializer,a,Hm)),j.checkDefined(p(r.expression,a,jt)),u_(r.statement,a,u,p))},251:function(r,a,u,h,p,g){return u.factory.updateContinueStatement(r,p(r.label,a,ot))},252:function(r,a,u,h,p,g){return u.factory.updateBreakStatement(r,p(r.label,a,ot))},253:function(r,a,u,h,p,g){return u.factory.updateReturnStatement(r,p(r.expression,a,jt))},254:function(r,a,u,h,p,g){return u.factory.updateWithStatement(r,j.checkDefined(p(r.expression,a,jt)),j.checkDefined(p(r.statement,a,Ps,u.factory.liftToBlock)))},255:function(r,a,u,h,p,g){return u.factory.updateSwitchStatement(r,j.checkDefined(p(r.expression,a,jt)),j.checkDefined(p(r.caseBlock,a,w7)))},256:function(r,a,u,h,p,g){return u.factory.updateLabeledStatement(r,j.checkDefined(p(r.label,a,ot)),j.checkDefined(p(r.statement,a,Ps,u.factory.liftToBlock)))},257:function(r,a,u,h,p,g){return u.factory.updateThrowStatement(r,j.checkDefined(p(r.expression,a,jt)))},258:function(r,a,u,h,p,g){return u.factory.updateTryStatement(r,j.checkDefined(p(r.tryBlock,a,Xo)),p(r.catchClause,a,lD),p(r.finallyBlock,a,Xo))},260:function(r,a,u,h,p,g){return u.factory.updateVariableDeclaration(r,j.checkDefined(p(r.name,a,dI)),g?p(r.exclamationToken,g,dH):r.exclamationToken,p(r.type,a,ws),p(r.initializer,a,jt))},261:function(r,a,u,h,p,g){return u.factory.updateVariableDeclarationList(r,h(r.declarations,a,_s))},262:function(r,a,u,h,p,g){return u.factory.updateFunctionDeclaration(r,h(r.modifiers,a,Fa),g?p(r.asteriskToken,g,uH):r.asteriskToken,p(r.name,a,ot),h(r.typeParameters,a,$l),yu(r.parameters,a,u,h),p(r.type,a,ws),_m(r.body,a,u,p))},263:function(r,a,u,h,p,g){return u.factory.updateClassDeclaration(r,h(r.modifiers,a,Ul),p(r.name,a,ot),h(r.typeParameters,a,$l),h(r.heritageClauses,a,Tp),h(r.members,a,Od))},264:function(r,a,u,h,p,g){return u.factory.updateInterfaceDeclaration(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.name,a,ot)),h(r.typeParameters,a,$l),h(r.heritageClauses,a,Tp),h(r.members,a,OT))},265:function(r,a,u,h,p,g){return u.factory.updateTypeAliasDeclaration(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.name,a,ot)),h(r.typeParameters,a,$l),j.checkDefined(p(r.type,a,ws)))},266:function(r,a,u,h,p,g){return u.factory.updateEnumDeclaration(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.name,a,ot)),h(r.members,a,SS))},267:function(r,a,u,h,p,g){return u.factory.updateModuleDeclaration(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.name,a,hIe)),p(r.body,a,P2e))},268:function(r,a,u,h,p,g){return u.factory.updateModuleBlock(r,h(r.statements,a,Ps))},269:function(r,a,u,h,p,g){return u.factory.updateCaseBlock(r,h(r.clauses,a,CG))},270:function(r,a,u,h,p,g){return u.factory.updateNamespaceExportDeclaration(r,j.checkDefined(p(r.name,a,ot)))},271:function(r,a,u,h,p,g){return u.factory.updateImportEqualsDeclaration(r,h(r.modifiers,a,Ul),r.isTypeOnly,j.checkDefined(p(r.name,a,ot)),j.checkDefined(p(r.moduleReference,a,F2e)))},272:function(r,a,u,h,p,g){return u.factory.updateImportDeclaration(r,h(r.modifiers,a,Ul),p(r.importClause,a,H0),j.checkDefined(p(r.moduleSpecifier,a,jt)),p(r.attributes,a,LI))},300:function(r,a,u,h,p,g){return u.factory.updateImportAttributes(r,h(r.elements,a,HEe),r.multiLine)},301:function(r,a,u,h,p,g){return u.factory.updateImportAttribute(r,j.checkDefined(p(r.name,a,x2e)),j.checkDefined(p(r.value,a,jt)))},273:function(r,a,u,h,p,g){return u.factory.updateImportClause(r,r.isTypeOnly,p(r.name,a,ot),p(r.namedBindings,a,cae))},274:function(r,a,u,h,p,g){return u.factory.updateNamespaceImport(r,j.checkDefined(p(r.name,a,ot)))},280:function(r,a,u,h,p,g){return u.factory.updateNamespaceExport(r,j.checkDefined(p(r.name,a,ot)))},275:function(r,a,u,h,p,g){return u.factory.updateNamedImports(r,h(r.elements,a,l_))},276:function(r,a,u,h,p,g){return u.factory.updateImportSpecifier(r,r.isTypeOnly,p(r.propertyName,a,kX),j.checkDefined(p(r.name,a,ot)))},277:function(r,a,u,h,p,g){return u.factory.updateExportAssignment(r,h(r.modifiers,a,Ul),j.checkDefined(p(r.expression,a,jt)))},278:function(r,a,u,h,p,g){return u.factory.updateExportDeclaration(r,h(r.modifiers,a,Ul),r.isTypeOnly,p(r.exportClause,a,Qoe),p(r.moduleSpecifier,a,jt),p(r.attributes,a,LI))},279:function(r,a,u,h,p,g){return u.factory.updateNamedExports(r,h(r.elements,a,vh))},281:function(r,a,u,h,p,g){return u.factory.updateExportSpecifier(r,r.isTypeOnly,p(r.propertyName,a,kX),j.checkDefined(p(r.name,a,kX)))},283:function(r,a,u,h,p,g){return u.factory.updateExternalModuleReference(r,j.checkDefined(p(r.expression,a,jt)))},284:function(r,a,u,h,p,g){return u.factory.updateJsxElement(r,j.checkDefined(p(r.openingElement,a,z1)),h(r.children,a,JW),j.checkDefined(p(r.closingElement,a,oD)))},285:function(r,a,u,h,p,g){return u.factory.updateJsxSelfClosingElement(r,j.checkDefined(p(r.tagName,a,w8)),h(r.typeArguments,a,ws),j.checkDefined(p(r.attributes,a,cD)))},286:function(r,a,u,h,p,g){return u.factory.updateJsxOpeningElement(r,j.checkDefined(p(r.tagName,a,w8)),h(r.typeArguments,a,ws),j.checkDefined(p(r.attributes,a,cD)))},287:function(r,a,u,h,p,g){return u.factory.updateJsxClosingElement(r,j.checkDefined(p(r.tagName,a,w8)))},295:function(r,a,u,h,p,g){return u.factory.updateJsxNamespacedName(r,j.checkDefined(p(r.namespace,a,ot)),j.checkDefined(p(r.name,a,ot)))},288:function(r,a,u,h,p,g){return u.factory.updateJsxFragment(r,j.checkDefined(p(r.openingFragment,a,AI)),h(r.children,a,JW),j.checkDefined(p(r.closingFragment,a,zEe)))},291:function(r,a,u,h,p,g){return u.factory.updateJsxAttribute(r,j.checkDefined(p(r.name,a,iEe)),p(r.initializer,a,B2e))},292:function(r,a,u,h,p,g){return u.factory.updateJsxAttributes(r,h(r.properties,a,bG))},293:function(r,a,u,h,p,g){return u.factory.updateJsxSpreadAttribute(r,j.checkDefined(p(r.expression,a,jt)))},294:function(r,a,u,h,p,g){return u.factory.updateJsxExpression(r,p(r.expression,a,jt))},296:function(r,a,u,h,p,g){return u.factory.updateCaseClause(r,j.checkDefined(p(r.expression,a,jt)),h(r.statements,a,Ps))},297:function(r,a,u,h,p,g){return u.factory.updateDefaultClause(r,h(r.statements,a,Ps))},298:function(r,a,u,h,p,g){return u.factory.updateHeritageClause(r,h(r.types,a,Yb))},299:function(r,a,u,h,p,g){return u.factory.updateCatchClause(r,p(r.variableDeclaration,a,_s),j.checkDefined(p(r.block,a,Xo)))},303:function(r,a,u,h,p,g){return u.factory.updatePropertyAssignment(r,j.checkDefined(p(r.name,a,id)),j.checkDefined(p(r.initializer,a,jt)))},304:function(r,a,u,h,p,g){return u.factory.updateShorthandPropertyAssignment(r,j.checkDefined(p(r.name,a,ot)),p(r.objectAssignmentInitializer,a,jt))},305:function(r,a,u,h,p,g){return u.factory.updateSpreadAssignment(r,j.checkDefined(p(r.expression,a,jt)))},306:function(r,a,u,h,p,g){return u.factory.updateEnumMember(r,j.checkDefined(p(r.name,a,id)),p(r.initializer,a,jt))},307:function(r,a,u,h,p,g){return u.factory.updateSourceFile(r,Aue(r.statements,a,u))},354:function(r,a,u,h,p,g){return u.factory.updatePartiallyEmittedExpression(r,j.checkDefined(p(r.expression,a,jt)))},355:function(r,a,u,h,p,g){return u.factory.updateCommaListExpression(r,h(r.elements,a,jt))}};function KMt(t){return j.assert(t.length<=1,"Too many nodes written to output."),Bm(t)}function ENe(t,r,a,u,h){var{enter:p,exit:g}=h.extendedDiagnostics?ooe("Source Map","beforeSourcemap","afterSourcemap"):Dxe,C=[],b=[],T=new Map,E,N=[],R,F=[],H="",U=0,q=0,X=0,Z=0,Q=0,re=0,oe=!1,pe=0,de=0,ue=0,Ie=0,we=0,he=0,Ae=!1,ke=!1,Te=!1;return{getSources:()=>C,addSource:De,setSourceContent:qe,addName:se,addMapping:He,appendSourceMap:Pe,toJSON:et,toString:()=>JSON.stringify(et())};function De(ut){p();const wt=QL(u,ut,t.getCurrentDirectory(),t.getCanonicalFileName,!0);let Et=T.get(wt);return Et===void 0&&(Et=b.length,b.push(wt),C.push(ut),T.set(wt,Et)),g(),Et}function qe(ut,wt){if(p(),wt!==null){for(E||(E=[]);E.length<ut;)E.push(null);E[ut]=wt}g()}function se(ut){p(),R||(R=new Map);let wt=R.get(ut);return wt===void 0&&(wt=N.length,N.push(ut),R.set(ut,wt)),g(),wt}function Ne(ut,wt){return!Ae||pe!==ut||de!==wt}function Oe(ut,wt,Et){return ut!==void 0&&wt!==void 0&&Et!==void 0&&ue===ut&&(Ie>wt||Ie===wt&&we>Et)}function He(ut,wt,Et,dt,zt,Ei){j.assert(ut>=pe,"generatedLine cannot backtrack"),j.assert(wt>=0,"generatedCharacter cannot be negative"),j.assert(Et===void 0||Et>=0,"sourceIndex cannot be negative"),j.assert(dt===void 0||dt>=0,"sourceLine cannot be negative"),j.assert(zt===void 0||zt>=0,"sourceCharacter cannot be negative"),p(),(Ne(ut,wt)||Oe(Et,dt,zt))&&(Nt(),pe=ut,de=wt,ke=!1,Te=!1,Ae=!0),Et!==void 0&&dt!==void 0&&zt!==void 0&&(ue=Et,Ie=dt,we=zt,ke=!0,Ei!==void 0&&(he=Ei,Te=!0)),g()}function Pe(ut,wt,Et,dt,zt,Ei){j.assert(ut>=pe,"generatedLine cannot backtrack"),j.assert(wt>=0,"generatedCharacter cannot be negative"),p();const tn=[];let xn;const Pn=Fue(Et.mappings);for(const _i of Pn){if(Ei&&(_i.generatedLine>Ei.line||_i.generatedLine===Ei.line&&_i.generatedCharacter>Ei.character))break;if(zt&&(_i.generatedLine<zt.line||zt.line===_i.generatedLine&&_i.generatedCharacter<zt.character))continue;let Fi,On,pn,qr;if(_i.sourceIndex!==void 0){if(Fi=tn[_i.sourceIndex],Fi===void 0){const Mn=Et.sources[_i.sourceIndex],Zs=Et.sourceRoot?zr(Et.sourceRoot,Mn):Mn,at=zr(ts(dt),Zs);tn[_i.sourceIndex]=Fi=De(at),Et.sourcesContent&&typeof Et.sourcesContent[_i.sourceIndex]=="string"&&qe(Fi,Et.sourcesContent[_i.sourceIndex])}On=_i.sourceLine,pn=_i.sourceCharacter,Et.names&&_i.nameIndex!==void 0&&(xn||(xn=[]),qr=xn[_i.nameIndex],qr===void 0&&(xn[_i.nameIndex]=qr=se(Et.names[_i.nameIndex])))}const Fs=_i.generatedLine-(zt?zt.line:0),ko=Fs+ut,ls=zt&&zt.line===_i.generatedLine?_i.generatedCharacter-zt.character:_i.generatedCharacter,Qs=Fs===0?ls+wt:ls;He(ko,Qs,Fi,On,pn,qr)}g()}function We(){return!oe||U!==pe||q!==de||X!==ue||Z!==Ie||Q!==we||re!==he}function ze(ut){F.push(ut),F.length>=1024&&$e()}function Nt(){if(!(!Ae||!We())){if(p(),U<pe){do ze(59),U++;while(U<pe);q=0}else j.assertEqual(U,pe,"generatedLine cannot backtrack"),oe&&ze(44);Me(de-q),q=de,ke&&(Me(ue-X),X=ue,Me(Ie-Z),Z=Ie,Me(we-Q),Q=we,Te&&(Me(he-re),re=he)),oe=!0,g()}}function $e(){F.length>0&&(H+=String.fromCharCode.apply(void 0,F),F.length=0)}function et(){return Nt(),$e(),{version:3,file:r,sourceRoot:a,sources:b,names:N,mappings:H,sourcesContent:E}}function Me(ut){ut<0?ut=(-ut<<1)+1:ut=ut<<1;do{let wt=ut&31;ut=ut>>5,ut>0&&(wt=wt|32),ze(ZMt(wt))}while(ut>0)}}var INe=/\/\/[@#] source[M]appingURL=(.+)\r?\n?$/,Oue=/^\/\/[@#] source[M]appingURL=(.+)\r?\n?$/,Mue=/^\s*(\/\/[@#] .*)?$/;function Rue(t,r){return{getLineCount:()=>r.length,getLineText:a=>t.substring(r[a],r[a+1])}}function NNe(t){for(let r=t.getLineCount()-1;r>=0;r--){const a=t.getLineText(r),u=Oue.exec(a);if(u)return u[1].trimEnd();if(!a.match(Mue))break}}function XMt(t){return typeof t=="string"||t===null}function QMt(t){return t!==null&&typeof t=="object"&&t.version===3&&typeof t.file=="string"&&typeof t.mappings=="string"&&Ao(t.sources)&&Ce(t.sources,Oo)&&(t.sourceRoot===void 0||t.sourceRoot===null||typeof t.sourceRoot=="string")&&(t.sourcesContent===void 0||t.sourcesContent===null||Ao(t.sourcesContent)&&Ce(t.sourcesContent,XMt))&&(t.names===void 0||t.names===null||Ao(t.names)&&Ce(t.names,Oo))}function LNe(t){try{const r=JSON.parse(t);if(QMt(r))return r}catch{}}function Fue(t){let r=!1,a=0,u=0,h=0,p=0,g=0,C=0,b=0,T;return{get pos(){return a},get error(){return T},get state(){return E(!0,!0)},next(){for(;!r&&a<t.length;){const X=t.charCodeAt(a);if(X===59){u++,h=0,a++;continue}if(X===44){a++;continue}let Z=!1,Q=!1;if(h+=q(),H())return N();if(h<0)return F("Invalid generatedCharacter found");if(!U()){if(Z=!0,p+=q(),H())return N();if(p<0)return F("Invalid sourceIndex found");if(U())return F("Unsupported Format: No entries after sourceIndex");if(g+=q(),H())return N();if(g<0)return F("Invalid sourceLine found");if(U())return F("Unsupported Format: No entries after sourceLine");if(C+=q(),H())return N();if(C<0)return F("Invalid sourceCharacter found");if(!U()){if(Q=!0,b+=q(),H())return N();if(b<0)return F("Invalid nameIndex found");if(!U())return F("Unsupported Error Format: Entries after nameIndex")}}return{value:E(Z,Q),done:r}}return N()},[Symbol.iterator](){return this}};function E(X,Z){return{generatedLine:u,generatedCharacter:h,sourceIndex:X?p:void 0,sourceLine:X?g:void 0,sourceCharacter:X?C:void 0,nameIndex:Z?b:void 0}}function N(){return r=!0,{value:void 0,done:!0}}function R(X){T===void 0&&(T=X)}function F(X){return R(X),N()}function H(){return T!==void 0}function U(){return a===t.length||t.charCodeAt(a)===44||t.charCodeAt(a)===59}function q(){let X=!0,Z=0,Q=0;for(;X;a++){if(a>=t.length)return R("Error in decoding base64VLQFormatDecode, past the mapping string"),-1;const re=YMt(t.charCodeAt(a));if(re===-1)return R("Invalid character in VLQ"),-1;X=(re&32)!==0,Q=Q|(re&31)<<Z,Z+=5}return Q&1?(Q=Q>>1,Q=-Q):Q=Q>>1,Q}}function oet(t,r){return t===r||t.generatedLine===r.generatedLine&&t.generatedCharacter===r.generatedCharacter&&t.sourceIndex===r.sourceIndex&&t.sourceLine===r.sourceLine&&t.sourceCharacter===r.sourceCharacter&&t.nameIndex===r.nameIndex}function PNe(t){return t.sourceIndex!==void 0&&t.sourceLine!==void 0&&t.sourceCharacter!==void 0}function ZMt(t){return t>=0&&t<26?65+t:t>=26&&t<52?97+t-26:t>=52&&t<62?48+t-52:t===62?43:t===63?47:j.fail(`${t}: not a base64 value`)}function YMt(t){return t>=65&&t<=90?t-65:t>=97&&t<=122?t-97+26:t>=48&&t<=57?t-48+52:t===43?62:t===47?63:-1}function aet(t){return t.sourceIndex!==void 0&&t.sourcePosition!==void 0}function cet(t,r){return t.generatedPosition===r.generatedPosition&&t.sourceIndex===r.sourceIndex&&t.sourcePosition===r.sourcePosition}function eRt(t,r){return j.assert(t.sourceIndex===r.sourceIndex),_l(t.sourcePosition,r.sourcePosition)}function tRt(t,r){return _l(t.generatedPosition,r.generatedPosition)}function iRt(t){return t.sourcePosition}function nRt(t){return t.generatedPosition}function ANe(t,r,a){const u=ts(a),h=r.sourceRoot?wo(r.sourceRoot,u):u,p=wo(r.file,u),g=t.getSourceFileLike(p),C=r.sources.map(Z=>wo(Z,h)),b=new Map(C.map((Z,Q)=>[t.getCanonicalFileName(Z),Q]));let T,E,N;return{getSourcePosition:X,getGeneratedPosition:q};function R(Z){const Q=g!==void 0?xW(g,Z.generatedLine,Z.generatedCharacter,!0):-1;let re,oe;if(PNe(Z)){const pe=t.getSourceFileLike(C[Z.sourceIndex]);re=r.sources[Z.sourceIndex],oe=pe!==void 0?xW(pe,Z.sourceLine,Z.sourceCharacter,!0):-1}return{generatedPosition:Q,source:re,sourceIndex:Z.sourceIndex,sourcePosition:oe,nameIndex:Z.nameIndex}}function F(){if(T===void 0){const Z=Fue(r.mappings),Q=as(Z,R);Z.error!==void 0?(t.log&&t.log(`Encountered error while decoding sourcemap: ${Z.error}`),T=w):T=Q}return T}function H(Z){if(N===void 0){const Q=[];for(const re of F()){if(!aet(re))continue;let oe=Q[re.sourceIndex];oe||(Q[re.sourceIndex]=oe=[]),oe.push(re)}N=Q.map(re=>Iy(re,eRt,cet))}return N[Z]}function U(){if(E===void 0){const Z=[];for(const Q of F())Z.push(Q);E=Iy(Z,tRt,cet)}return E}function q(Z){const Q=b.get(t.getCanonicalFileName(Z.fileName));if(Q===void 0)return Z;const re=H(Q);if(!Ft(re))return Z;let oe=ti(re,Z.pos,iRt,_l);oe<0&&(oe=~oe);const pe=re[oe];return pe===void 0||pe.sourceIndex!==Q?Z:{fileName:p,pos:pe.generatedPosition}}function X(Z){const Q=U();if(!Ft(Q))return Z;let re=ti(Q,Z.pos,nRt,_l);re<0&&(re=~re);const oe=Q[re];return oe===void 0||!aet(oe)?Z:{fileName:C[oe.sourceIndex],pos:oe.sourcePosition}}}var Bue={getSourcePosition:hl,getGeneratedPosition:hl};function d_(t){return t=Ql(t),t?Sc(t):0}function uet(t){return!t||!j1(t)&&!Xm(t)?!1:Ft(t.elements,det)}function det(t){return My(t.propertyName||t.name)}function q0(t,r){return a;function a(h){return h.kind===307?r(h):u(h)}function u(h){return t.factory.createBundle(qt(h.sourceFiles,r))}}function ONe(t){return!!Y6(t)}function gQ(t){if(Y6(t))return!0;const r=t.importClause&&t.importClause.namedBindings;if(!r||!j1(r))return!1;let a=0;for(const u of r.elements)det(u)&&a++;return a>0&&a!==r.elements.length||!!(r.elements.length-a)&&hP(t)}function Wue(t){return!gQ(t)&&(hP(t)||!!t.importClause&&j1(t.importClause.namedBindings)&&uet(t.importClause.namedBindings))}function Vue(t,r){const a=t.getEmitResolver(),u=t.getCompilerOptions(),h=[],p=new rRt,g=[],C=new Map,b=new Set;let T,E=!1,N,R=!1,F=!1,H=!1;for(const Z of r.statements)switch(Z.kind){case 272:h.push(Z),!F&&gQ(Z)&&(F=!0),!H&&Wue(Z)&&(H=!0);break;case 271:Z.moduleReference.kind===283&&h.push(Z);break;case 278:if(Z.moduleSpecifier)if(!Z.exportClause)h.push(Z),R=!0;else if(h.push(Z),Xm(Z.exportClause))q(Z),H||(H=uet(Z.exportClause));else{const Q=Z.exportClause.name,re=tx(Q);C.get(re)||(j7(g,d_(Z),Q),C.set(re,!0),T=fn(T,Q)),F=!0}else q(Z);break;case 277:Z.isExportEquals&&!N&&(N=Z);break;case 243:if(Zr(Z,32))for(const Q of Z.declarationList.declarations)T=fet(Q,C,T,g);break;case 262:Zr(Z,32)&&X(Z,void 0,Zr(Z,2048));break;case 263:if(Zr(Z,32))if(Zr(Z,2048))E||(j7(g,d_(Z),t.factory.getDeclarationName(Z)),E=!0);else{const Q=Z.name;Q&&!C.get(Fr(Q))&&(j7(g,d_(Z),Q),C.set(Fr(Q),!0),T=fn(T,Q))}break}const U=Ole(t.factory,t.getEmitHelperFactory(),r,u,R,F,H);return U&&h.unshift(U),{externalImports:h,exportSpecifiers:p,exportEquals:N,hasExportStarsToExportValues:R,exportedBindings:g,exportedNames:T,exportedFunctions:b,externalHelpersImportDeclaration:U};function q(Z){for(const Q of ha(Z.exportClause,Xm).elements){const re=tx(Q.name);if(!C.get(re)){const oe=Q.propertyName||Q.name;if(oe.kind!==11){Z.moduleSpecifier||p.add(oe,Q);const pe=a.getReferencedImportDeclaration(oe)||a.getReferencedValueDeclaration(oe);if(pe){if(pe.kind===262){X(pe,Q.name,My(Q.name));continue}j7(g,d_(pe),Q.name)}}C.set(re,!0),T=fn(T,Q.name)}}}function X(Z,Q,re){if(b.add(Ql(Z,Wu)),re)E||(j7(g,d_(Z),Q??t.factory.getDeclarationName(Z)),E=!0);else{Q??(Q=Z.name);const oe=tx(Q);C.get(oe)||(j7(g,d_(Z),Q),C.set(oe,!0))}}}function fet(t,r,a,u){if(ta(t.name))for(const h of t.name.elements)Bd(h)||(a=fet(h,r,a,u));else if(!zl(t.name)){const h=Fr(t.name);r.get(h)||(r.set(h,!0),a=fn(a,t.name),iC(t.name)&&j7(u,d_(t),t.name))}return a}function j7(t,r,a){let u=t[r];return u?u.push(a):t[r]=u=[a],u}var V3=class hM{constructor(){this._map=new Map}get size(){return this._map.size}has(r){return this._map.has(hM.toKey(r))}get(r){return this._map.get(hM.toKey(r))}set(r,a){return this._map.set(hM.toKey(r),a),this}delete(r){var a;return((a=this._map)==null?void 0:a.delete(hM.toKey(r)))??!1}clear(){this._map.clear()}values(){return this._map.values()}static toKey(r){if(uI(r)||zl(r)){const a=r.emitNode.autoGenerate;if((a.flags&7)===4){const u=wH(r),h=Mv(u)&&u!==r?hM.toKey(u):`(generated@${Sc(u)})`;return c2(!1,a.prefix,h,a.suffix,hM.toKey)}else{const u=`(auto@${a.id})`;return c2(!1,a.prefix,u,a.suffix,hM.toKey)}}return Vs(r)?Fr(r).slice(1):Fr(r)}},rRt=class extends V3{add(t,r){let a=this.get(t);return a?a.push(r):this.set(t,a=[r]),a}remove(t,r){const a=this.get(t);a&&(GL(a,r),a.length||this.delete(t))}};function fD(t){return Bc(t)||t.kind===9||P_(t.kind)||ot(t)}function Uy(t){return!ot(t)&&fD(t)}function z7(t){return t>=65&&t<=79}function U7(t){switch(t){case 65:return 40;case 66:return 41;case 67:return 42;case 68:return 43;case 69:return 44;case 70:return 45;case 71:return 48;case 72:return 49;case 73:return 50;case 74:return 51;case 75:return 52;case 79:return 53;case 76:return 57;case 77:return 56;case 78:return 61}}function yQ(t){if(!uf(t))return;const r=Dc(t.expression);return mI(r)?r:void 0}function het(t,r,a){for(let u=r;u<t.length;u+=1){const h=t[u];if(yQ(h))return a.unshift(u),!0;if(NI(h)&&het(h.tryBlock.statements,0,a))return a.unshift(u),!0}return!1}function vQ(t,r){const a=[];return het(t,r,a),a}function Hue(t,r,a){return $t(t.members,u=>oRt(u,r,a))}function sRt(t){return aRt(t)||gu(t)}function bQ(t){return $t(t.members,sRt)}function oRt(t,r,a){return Lo(t)&&(!!t.initializer||!r)&&bd(t)===a}function aRt(t){return Lo(t)&&bd(t)}function HH(t){return t.kind===172&&t.initializer!==void 0}function MNe(t){return!pa(t)&&(iP(t)||I_(t))&&Vs(t.name)}function RNe(t){let r;if(t){const a=t.parameters,u=a.length>0&&JT(a[0]),h=u?1:0,p=u?a.length-1:a.length;for(let g=0;g<p;g++){const C=a[g+h];(r||lm(C))&&(r||(r=new Array(p)),r[g]=$w(C))}}return r}function jue(t){const r=$w(t),a=RNe(Vv(t));if(!(!Ft(r)&&!Ft(a)))return{decorators:r,parameters:a}}function CQ(t,r,a){switch(t.kind){case 177:case 178:return a?cRt(t,r):_et(t);case 174:return _et(t);case 172:return lRt(t);default:return}}function cRt(t,r){if(!t.body)return;const{firstAccessor:a,secondAccessor:u,getAccessor:h,setAccessor:p}=nx(r.members,t),g=lm(a)?a:u&&lm(u)?u:void 0;if(!g||t!==g)return;const C=$w(g),b=RNe(p);if(!(!Ft(C)&&!Ft(b)))return{decorators:C,parameters:b,getDecorators:h&&$w(h),setDecorators:p&&$w(p)}}function _et(t){if(!t.body)return;const r=$w(t),a=RNe(t);if(!(!Ft(r)&&!Ft(a)))return{decorators:r,parameters:a}}function lRt(t){const r=$w(t);if(Ft(r))return{decorators:r}}function uRt(t,r){for(;t;){const a=r(t);if(a!==void 0)return a;t=t.previous}}function FNe(t){return{data:t}}function zue(t,r){var a,u;return uI(r)?(a=t==null?void 0:t.generatedIdentifiers)==null?void 0:a.get(wH(r)):(u=t==null?void 0:t.identifiers)==null?void 0:u.get(r.escapedText)}function VI(t,r,a){uI(r)?(t.generatedIdentifiers??(t.generatedIdentifiers=new Map),t.generatedIdentifiers.set(wH(r),a)):(t.identifiers??(t.identifiers=new Map),t.identifiers.set(r.escapedText,a))}function BNe(t,r){return uRt(t,a=>zue(a.privateEnv,r))}function dRt(t){return!t.initializer&&ot(t.name)}function jH(t){return Ce(t,dRt)}var WNe=(t=>(t[t.All=0]="All",t[t.ObjectRest=1]="ObjectRest",t))(WNe||{});function HI(t,r,a,u,h,p){let g=t,C;if(pS(t))for(C=t.right;uDe(t.left)||yce(t.left);)if(pS(C))g=t=C,C=t.right;else return j.checkDefined(bt(C,r,jt));let b;const T={context:a,level:u,downlevelIteration:!!a.getCompilerOptions().downlevelIteration,hoistTempVariables:!0,emitExpression:E,emitBindingOrAssignment:N,createArrayBindingOrAssignmentPattern:R=>vRt(a.factory,R),createObjectBindingOrAssignmentPattern:R=>CRt(a.factory,R),createArrayBindingOrAssignmentElement:wRt,visitor:r};if(C&&(C=bt(C,r,jt),j.assert(C),ot(C)&&VNe(t,C.escapedText)||HNe(t)?C=rA(T,C,!1,g):h?C=rA(T,C,!0,g):cl(t)&&(g=C)),q7(T,t,C,g,pS(t)),C&&h){if(!Ft(b))return C;b.push(C)}return a.factory.inlineExpressions(b)||a.factory.createOmittedExpression();function E(R){b=fn(b,R)}function N(R,F,H,U){j.assertNode(R,p?ot:jt);const q=p?p(R,F,H):Ht(a.factory.createAssignment(j.checkDefined(bt(R,r,jt)),F),H);q.original=U,E(q)}}function VNe(t,r){const a=px(t);return VW(a)?fRt(a,r):ot(a)?a.escapedText===r:!1}function fRt(t,r){const a=N3(t);for(const u of a)if(VNe(u,r))return!0;return!1}function HNe(t){const r=FX(t);if(r&&Ka(r)&&!lI(r.expression))return!0;const a=px(t);return!!a&&VW(a)&&hRt(a)}function hRt(t){return!!O(N3(t),HNe)}function hD(t,r,a,u,h,p=!1,g){let C;const b=[],T=[],E={context:a,level:u,downlevelIteration:!!a.getCompilerOptions().downlevelIteration,hoistTempVariables:p,emitExpression:N,emitBindingOrAssignment:R,createArrayBindingOrAssignmentPattern:F=>yRt(a.factory,F),createObjectBindingOrAssignmentPattern:F=>bRt(a.factory,F),createArrayBindingOrAssignmentElement:F=>SRt(a.factory,F),visitor:r};if(_s(t)){let F=CH(t);F&&(ot(F)&&VNe(t,F.escapedText)||HNe(t))&&(F=rA(E,j.checkDefined(bt(F,E.visitor,jt)),!1,F),t=a.factory.updateVariableDeclaration(t,t.name,void 0,void 0,F))}if(q7(E,t,h,t,g),C){const F=a.factory.createTempVariable(void 0);if(p){const H=a.factory.inlineExpressions(C);C=void 0,R(F,H,void 0,void 0)}else{a.hoistVariableDeclaration(F);const H=va(b);H.pendingExpressions=fn(H.pendingExpressions,a.factory.createAssignment(F,H.value)),Sr(H.pendingExpressions,C),H.value=F}}for(const{pendingExpressions:F,name:H,value:U,location:q,original:X}of b){const Z=a.factory.createVariableDeclaration(H,void 0,void 0,F?a.factory.inlineExpressions(fn(F,U)):U);Z.original=X,Ht(Z,q),T.push(Z)}return T;function N(F){C=fn(C,F)}function R(F,H,U,q){j.assertNode(F,dI),C&&(H=a.factory.inlineExpressions(fn(C,H)),C=void 0),b.push({pendingExpressions:C,name:F,value:H,location:U,original:q})}}function q7(t,r,a,u,h){const p=px(r);if(!h){const g=bt(CH(r),t.visitor,jt);g?a?(a=mRt(t,a,g,u),!Uy(g)&&VW(p)&&(a=rA(t,a,!0,u))):a=g:a||(a=t.context.factory.createVoidZero())}nae(p)?_Rt(t,r,p,a,u):rae(p)?pRt(t,r,p,a,u):t.emitBindingOrAssignment(p,a,u,r)}function _Rt(t,r,a,u,h){const p=N3(a),g=p.length;if(g!==1){const T=!WW(r)||g!==0;u=rA(t,u,T,h)}let C,b;for(let T=0;T<g;T++){const E=p[T];if(RX(E)){if(T===g-1){C&&(t.emitBindingOrAssignment(t.createObjectBindingOrAssignmentPattern(C),u,h,a),C=void 0);const N=t.context.getEmitHelperFactory().createRestHelper(u,p,b,a);q7(t,E,N,E)}}else{const N=Mle(E);if(t.level>=1&&!(E.transformFlags&98304)&&!(px(E).transformFlags&98304)&&!Ka(N))C=fn(C,bt(E,t.visitor,D2e));else{C&&(t.emitBindingOrAssignment(t.createObjectBindingOrAssignmentPattern(C),u,h,a),C=void 0);const R=gRt(t,u,N);Ka(N)&&(b=fn(b,R.argumentExpression)),q7(t,E,R,E)}}}C&&t.emitBindingOrAssignment(t.createObjectBindingOrAssignmentPattern(C),u,h,a)}function pRt(t,r,a,u,h){const p=N3(a),g=p.length;if(t.level<1&&t.downlevelIteration)u=rA(t,Ht(t.context.getEmitHelperFactory().createReadHelper(u,g>0&&RX(p[g-1])?void 0:g),h),!1,h);else if(g!==1&&(t.level<1||g===0)||Ce(p,Bd)){const T=!WW(r)||g!==0;u=rA(t,u,T,h)}let C,b;for(let T=0;T<g;T++){const E=p[T];if(t.level>=1)if(E.transformFlags&65536||t.hasTransformedPriorElement&&!pet(E)){t.hasTransformedPriorElement=!0;const N=t.context.factory.createTempVariable(void 0);t.hoistTempVariables&&t.context.hoistVariableDeclaration(N),b=fn(b,[N,E]),C=fn(C,t.createArrayBindingOrAssignmentElement(N))}else C=fn(C,E);else{if(Bd(E))continue;if(RX(E)){if(T===g-1){const N=t.context.factory.createArraySliceCall(u,T);q7(t,E,N,E)}}else{const N=t.context.factory.createElementAccessExpression(u,T);q7(t,E,N,E)}}}if(C&&t.emitBindingOrAssignment(t.createArrayBindingOrAssignmentPattern(C),u,h,a),b)for(const[T,E]of b)q7(t,E,T,E)}function pet(t){const r=px(t);if(!r||Bd(r))return!0;const a=FX(t);if(a&&!P1(a))return!1;const u=CH(t);return u&&!Uy(u)?!1:VW(r)?Ce(N3(r),pet):ot(r)}function mRt(t,r,a,u){return r=rA(t,r,!0,u),t.context.factory.createConditionalExpression(t.context.factory.createTypeCheck(r,"undefined"),void 0,a,void 0,r)}function gRt(t,r,a){const{factory:u}=t.context;if(Ka(a)){const h=rA(t,j.checkDefined(bt(a.expression,t.visitor,jt)),!1,a);return t.context.factory.createElementAccessExpression(r,h)}else if(cm(a)||p7(a)){const h=u.cloneNode(a);return t.context.factory.createElementAccessExpression(r,h)}else{const h=t.context.factory.createIdentifier(Fr(a));return t.context.factory.createPropertyAccessExpression(r,h)}}function rA(t,r,a,u){if(ot(r)&&a)return r;{const h=t.context.factory.createTempVariable(void 0);return t.hoistTempVariables?(t.context.hoistVariableDeclaration(h),t.emitExpression(Ht(t.context.factory.createAssignment(h,r),u))):t.emitBindingOrAssignment(h,r,u,void 0),h}}function yRt(t,r){return j.assertEachNode(r,gG),t.createArrayBindingPattern(r)}function vRt(t,r){return j.assertEachNode(r,jW),t.createArrayLiteralExpression(qt(r,t.converters.convertToArrayAssignmentElement))}function bRt(t,r){return j.assertEachNode(r,ec),t.createObjectBindingPattern(r)}function CRt(t,r){return j.assertEachNode(r,HW),t.createObjectLiteralExpression(qt(r,t.converters.convertToObjectAssignmentElement))}function SRt(t,r){return t.createBindingElement(void 0,void 0,r)}function wRt(t){return t}function xRt(t,r,a=t.createThis()){const u=t.createAssignment(r,a),h=t.createExpressionStatement(u),p=t.createBlock([h],!1),g=t.createClassStaticBlockDeclaration(p);return ch(g).classThis=r,g}function $7(t){var r;if(!gu(t)||t.body.statements.length!==1)return!1;const a=t.body.statements[0];return uf(a)&&lf(a.expression,!0)&&ot(a.expression.left)&&((r=t.emitNode)==null?void 0:r.classThis)===a.expression.left&&a.expression.right.kind===110}function Uue(t){var r;return!!((r=t.emitNode)!=null&&r.classThis)&&Ft(t.members,$7)}function jNe(t,r,a,u){if(Uue(r))return r;const h=xRt(t,a,u);r.name&&Ga(h.body.statements[0],r.name);const p=t.createNodeArray([h,...r.members]);Ht(p,r.members);const g=hd(r)?t.updateClassDeclaration(r,r.modifiers,r.name,r.typeParameters,r.heritageClauses,p):t.updateClassExpression(r,r.modifiers,r.name,r.typeParameters,r.heritageClauses,p);return ch(g).classThis=a,g}function SQ(t,r,a){const u=Ql(Vu(a));return(hd(u)||Wu(u))&&!u.name&&Zr(u,2048)?t.createStringLiteral("default"):t.createStringLiteralFromNode(r)}function met(t,r,a){const{factory:u}=t;if(a!==void 0)return{assignedName:u.createStringLiteral(a),name:r};if(P1(r)||Vs(r))return{assignedName:u.createStringLiteralFromNode(r),name:r};if(P1(r.expression)&&!ot(r.expression))return{assignedName:u.createStringLiteralFromNode(r.expression),name:r};const h=u.getGeneratedNameForNode(r);t.hoistVariableDeclaration(h);const p=t.getEmitHelperFactory().createPropKeyHelper(r.expression),g=u.createAssignment(h,p),C=u.updateComputedPropertyName(r,g);return{assignedName:h,name:C}}function kRt(t,r,a=t.factory.createThis()){const{factory:u}=t,h=t.getEmitHelperFactory().createSetFunctionNameHelper(a,r),p=u.createExpressionStatement(h),g=u.createBlock([p],!1),C=u.createClassStaticBlockDeclaration(g);return ch(C).assignedName=r,C}function sA(t){var r;if(!gu(t)||t.body.statements.length!==1)return!1;const a=t.body.statements[0];return uf(a)&&_7(a.expression,"___setFunctionName")&&a.expression.arguments.length>=2&&a.expression.arguments[1]===((r=t.emitNode)==null?void 0:r.assignedName)}function wQ(t){var r;return!!((r=t.emitNode)!=null&&r.assignedName)&&Ft(t.members,sA)}function que(t){return!!t.name||wQ(t)}function xQ(t,r,a,u){if(wQ(r))return r;const{factory:h}=t,p=kRt(t,a,u);r.name&&Ga(p.body.statements[0],r.name);const g=ct(r.members,$7)+1,C=r.members.slice(0,g),b=r.members.slice(g),T=h.createNodeArray([...C,p,...b]);return Ht(T,r.members),r=hd(r)?h.updateClassDeclaration(r,r.modifiers,r.name,r.typeParameters,r.heritageClauses,T):h.updateClassExpression(r,r.modifiers,r.name,r.typeParameters,r.heritageClauses,T),ch(r).assignedName=a,r}function H3(t,r,a,u){if(u&&Ha(a)&&Rae(a))return r;const{factory:h}=t,p=Vu(r),g=fd(p)?ha(xQ(t,p,a),fd):t.getEmitHelperFactory().createSetFunctionNameHelper(p,a);return h.restoreOuterExpressions(r,g)}function TRt(t,r,a,u){const{factory:h}=t,{assignedName:p,name:g}=met(t,r.name,u),C=H3(t,r.initializer,p,a);return h.updatePropertyAssignment(r,g,C)}function DRt(t,r,a,u){const{factory:h}=t,p=u!==void 0?h.createStringLiteral(u):SQ(h,r.name,r.objectAssignmentInitializer),g=H3(t,r.objectAssignmentInitializer,p,a);return h.updateShorthandPropertyAssignment(r,r.name,g)}function ERt(t,r,a,u){const{factory:h}=t,p=u!==void 0?h.createStringLiteral(u):SQ(h,r.name,r.initializer),g=H3(t,r.initializer,p,a);return h.updateVariableDeclaration(r,r.name,r.exclamationToken,r.type,g)}function IRt(t,r,a,u){const{factory:h}=t,p=u!==void 0?h.createStringLiteral(u):SQ(h,r.name,r.initializer),g=H3(t,r.initializer,p,a);return h.updateParameterDeclaration(r,r.modifiers,r.dotDotDotToken,r.name,r.questionToken,r.type,g)}function NRt(t,r,a,u){const{factory:h}=t,p=u!==void 0?h.createStringLiteral(u):SQ(h,r.name,r.initializer),g=H3(t,r.initializer,p,a);return h.updateBindingElement(r,r.dotDotDotToken,r.propertyName,r.name,g)}function LRt(t,r,a,u){const{factory:h}=t,{assignedName:p,name:g}=met(t,r.name,u),C=H3(t,r.initializer,p,a);return h.updatePropertyDeclaration(r,r.modifiers,g,r.questionToken??r.exclamationToken,r.type,C)}function PRt(t,r,a,u){const{factory:h}=t,p=u!==void 0?h.createStringLiteral(u):SQ(h,r.left,r.right),g=H3(t,r.right,p,a);return h.updateBinaryExpression(r,r.left,r.operatorToken,g)}function ARt(t,r,a,u){const{factory:h}=t,p=u!==void 0?h.createStringLiteral(u):h.createStringLiteral(r.isExportEquals?"":"default"),g=H3(t,r.expression,p,a);return h.updateExportAssignment(r,r.modifiers,g)}function Dp(t,r,a,u){switch(r.kind){case 303:return TRt(t,r,a,u);case 304:return DRt(t,r,a,u);case 260:return ERt(t,r,a,u);case 169:return IRt(t,r,a,u);case 208:return NRt(t,r,a,u);case 172:return LRt(t,r,a,u);case 226:return PRt(t,r,a,u);case 277:return ARt(t,r,a,u)}}var zNe=(t=>(t[t.LiftRestriction=0]="LiftRestriction",t[t.All=1]="All",t))(zNe||{});function $ue(t,r,a,u,h,p){const g=bt(r.tag,a,jt);j.assert(g);const C=[void 0],b=[],T=[],E=r.template;if(p===0&&!rce(E))return dn(r,a,t);const{factory:N}=t;if(TI(E))b.push(UNe(N,E)),T.push(qNe(N,E,u));else{b.push(UNe(N,E.head)),T.push(qNe(N,E.head,u));for(const F of E.templateSpans)b.push(UNe(N,F.literal)),T.push(qNe(N,F.literal,u)),C.push(j.checkDefined(bt(F.expression,a,jt)))}const R=t.getEmitHelperFactory().createTemplateObjectHelper(N.createArrayLiteralExpression(b),N.createArrayLiteralExpression(T));if(wd(u)){const F=N.createUniqueName("templateObject");h(F),C[0]=N.createLogicalOr(F,N.createAssignment(F,R))}else C[0]=R;return N.createCallExpression(g,void 0,C)}function UNe(t,r){return r.templateFlags&26656?t.createVoidZero():t.createStringLiteral(r.text)}function qNe(t,r,a){let u=r.rawText;if(u===void 0){j.assertIsDefined(a,"Template literal node is missing 'rawText' and does not have a source file. Possibly bad transform."),u=RT(a,r);const h=r.kind===15||r.kind===18;u=u.substring(1,u.length-(h?1:2))}return u=u.replace(/\r\n?/g,`
+`),Ht(t.createStringLiteral(u),r)}function $Ne(t){const{factory:r,getEmitHelperFactory:a,startLexicalEnvironment:u,resumeLexicalEnvironment:h,endLexicalEnvironment:p,hoistVariableDeclaration:g}=t,C=t.getEmitResolver(),b=t.getCompilerOptions(),T=Ja(b),E=zh(b),N=!!b.experimentalDecorators,R=b.emitDecoratorMetadata?GNe(t):void 0,F=t.onEmitNode,H=t.onSubstituteNode;t.onEmitNode=md,t.onSubstituteNode=go,t.enableSubstitution(211),t.enableSubstitution(212);let U,q,X,Z,Q,re,oe;return pe;function pe(Ve){return Ve.kind===308?de(Ve):ue(Ve)}function de(Ve){return r.createBundle(Ve.sourceFiles.map(ue))}function ue(Ve){if(Ve.isDeclarationFile)return Ve;U=Ve;const _e=Ie(Ve,Me);return Zb(_e,t.readEmitHelpers()),U=void 0,_e}function Ie(Ve,_e){const Lt=Z,ci=Q;we(Ve);const Mi=_e(Ve);return Z!==Lt&&(Q=ci),Z=Lt,Mi}function we(Ve){switch(Ve.kind){case 307:case 269:case 268:case 241:Z=Ve,Q=void 0;break;case 263:case 262:if(Zr(Ve,128))break;Ve.name?le(Ve):j.assert(Ve.kind===263||Zr(Ve,2048));break}}function he(Ve){return Ie(Ve,Ae)}function Ae(Ve){return Ve.transformFlags&1?et(Ve):Ve}function ke(Ve){return Ie(Ve,Te)}function Te(Ve){switch(Ve.kind){case 272:case 271:case 277:case 278:return qe(Ve);default:return Ae(Ve)}}function De(Ve){const _e=Mo(Ve);if(_e===Ve||Il(Ve))return!1;if(!_e||_e.kind!==Ve.kind)return!0;switch(Ve.kind){case 272:if(j.assertNode(_e,du),Ve.importClause!==_e.importClause||Ve.attributes!==_e.attributes)return!0;break;case 271:if(j.assertNode(_e,Wd),Ve.name!==_e.name||Ve.isTypeOnly!==_e.isTypeOnly||Ve.moduleReference!==_e.moduleReference&&(E_(Ve.moduleReference)||E_(_e.moduleReference)))return!0;break;case 278:if(j.assertNode(_e,Ju),Ve.exportClause!==_e.exportClause||Ve.attributes!==_e.attributes)return!0;break}return!1}function qe(Ve){if(De(Ve))return Ve.transformFlags&1?dn(Ve,he,t):Ve;switch(Ve.kind){case 272:return vn(Ve);case 271:return on(Ve);case 277:return Bt(Ve);case 278:return fi(Ve);default:j.fail("Unhandled ellided statement")}}function se(Ve){return Ie(Ve,Ne)}function Ne(Ve){if(!(Ve.kind===278||Ve.kind===272||Ve.kind===273||Ve.kind===271&&Ve.moduleReference.kind===283))return Ve.transformFlags&1||Zr(Ve,32)?et(Ve):Ve}function Oe(Ve){return _e=>Ie(_e,Lt=>He(Lt,Ve))}function He(Ve,_e){switch(Ve.kind){case 176:return at(Ve);case 172:return Zs(Ve,_e);case 177:return Gs(Ve,_e);case 178:return ma(Ve,_e);case 174:return us(Ve,_e);case 175:return dn(Ve,he,t);case 240:return Ve;case 181:return;default:return j.failBadSyntaxKind(Ve)}}function Pe(Ve){return _e=>Ie(_e,Lt=>We(Lt,Ve))}function We(Ve,_e){switch(Ve.kind){case 303:case 304:case 305:return he(Ve);case 177:return Gs(Ve,_e);case 178:return ma(Ve,_e);case 174:return us(Ve,_e);default:return j.failBadSyntaxKind(Ve)}}function ze(Ve){return Fd(Ve)?void 0:he(Ve)}function Nt(Ve){return Fa(Ve)?void 0:he(Ve)}function $e(Ve){if(!Fd(Ve)&&!(CP(Ve.kind)&28895)&&!(q&&Ve.kind===95))return Ve}function et(Ve){if(Ps(Ve)&&Zr(Ve,128))return r.createNotEmittedStatement(Ve);switch(Ve.kind){case 95:case 90:return q?void 0:Ve;case 125:case 123:case 124:case 128:case 164:case 87:case 138:case 148:case 103:case 147:case 188:case 189:case 190:case 191:case 187:case 182:case 168:case 133:case 159:case 136:case 154:case 150:case 146:case 116:case 155:case 185:case 184:case 186:case 183:case 192:case 193:case 194:case 196:case 197:case 198:case 199:case 200:case 201:case 181:return;case 265:return r.createNotEmittedStatement(Ve);case 270:return;case 264:return r.createNotEmittedStatement(Ve);case 263:return zt(Ve);case 231:return Ei(Ve);case 298:return ls(Ve);case 233:return Qs(Ve);case 210:return ut(Ve);case 176:case 172:case 174:case 177:case 178:case 175:return j.fail("Class and object literal elements must be visited with their respective visitors");case 262:return ka(Ve);case 218:return Ji(Ve);case 219:return ac(Ve);case 169:return St(Ve);case 217:return Se(Ve);case 216:case 234:return Ze(Ve);case 238:return Dt(Ve);case 213:return Je(Ve);case 214:return Rt(Ve);case 215:return Ct(Ve);case 235:return gt(Ve);case 266:return ji(Ve);case 243:return ri(Ve);case 260:return pt(Ve);case 267:return lt(Ve);case 271:return on(Ve);case 285:return ii(Ve);case 286:return vt(Ve);default:return dn(Ve,he,t)}}function Me(Ve){const _e=ah(b,"alwaysStrict")&&!(wd(Ve)&&E>=5)&&!Eg(Ve);return r.updateSourceFile(Ve,Aue(Ve.statements,ke,t,0,_e))}function ut(Ve){return r.updateObjectLiteralExpression(Ve,Dn(Ve.properties,Pe(Ve),Ub))}function wt(Ve){let _e=0;Ft(Hue(Ve,!0,!0))&&(_e|=1);const Lt=L1(Ve);return Lt&&Vu(Lt.expression).kind!==106&&(_e|=64),dS(N,Ve)&&(_e|=2),N8(N,Ve)&&(_e|=4),zi(Ve)?_e|=8:qo(Ve)?_e|=32:vi(Ve)&&(_e|=16),_e}function Et(Ve){return!!(Ve.transformFlags&8192)}function dt(Ve){return lm(Ve)||Ft(Ve.typeParameters)||Ft(Ve.heritageClauses,Et)||Ft(Ve.members,Et)}function zt(Ve){const _e=wt(Ve),Lt=T<=1&&!!(_e&7);if(!dt(Ve)&&!dS(N,Ve)&&!zi(Ve))return r.updateClassDeclaration(Ve,Dn(Ve.modifiers,$e,Fa),Ve.name,void 0,Dn(Ve.heritageClauses,he,Tp),Dn(Ve.members,Oe(Ve),Od));Lt&&t.startLexicalEnvironment();const ci=Lt||_e&8;let Mi=ci?Dn(Ve.modifiers,Nt,Ul):Dn(Ve.modifiers,he,Ul);_e&2&&(Mi=xn(Mi,Ve));const Yr=ci&&!Ve.name||_e&4||_e&1?Ve.name??r.getGeneratedNameForNode(Ve):Ve.name,Xi=r.updateClassDeclaration(Ve,Mi,Yr,void 0,Dn(Ve.heritageClauses,he,Tp),tn(Ve));let Mr=Ya(Ve);_e&1&&(Mr|=64),tr(Xi,Mr);let ds;if(Lt){const Ks=[Xi],Ic=bce(Va(U.text,Ve.members.end),20),Gl=r.getInternalName(Ve),Pu=r.createPartiallyEmittedExpression(Gl);m3(Pu,Ic.end),tr(Pu,3072);const Ku=r.createReturnStatement(Pu);o7(Ku,Ic.pos),tr(Ku,3840),Ks.push(Ku),Fv(Ks,t.endLexicalEnvironment());const Xu=r.createImmediatelyInvokedArrowFunction(Ks);aH(Xu,1);const Wl=r.createVariableDeclaration(r.getLocalName(Ve,!1,!1),void 0,void 0,Xu);Ir(Wl,Ve);const Cu=r.createVariableStatement(void 0,r.createVariableDeclarationList([Wl],1));Ir(Cu,Ve),Sd(Cu,Ve),Ga(Cu,Kb(Ve)),bh(Cu),ds=Cu}else ds=Xi;if(ci){if(_e&8)return[ds,Wo(Ve)];if(_e&32)return[ds,r.createExportDefault(r.getLocalName(Ve,!1,!0))];if(_e&16)return[ds,r.createExternalModuleExport(r.getDeclarationName(Ve,!1,!0))]}return ds}function Ei(Ve){let _e=Dn(Ve.modifiers,Nt,Ul);return dS(N,Ve)&&(_e=xn(_e,Ve)),r.updateClassExpression(Ve,_e,Ve.name,void 0,Dn(Ve.heritageClauses,he,Tp),tn(Ve))}function tn(Ve){const _e=Dn(Ve.members,Oe(Ve),Od);let Lt;const ci=Vv(Ve),Mi=ci&&$t(ci.parameters,Rn=>vp(Rn,ci));if(Mi)for(const Rn of Mi){const Yr=r.createPropertyDeclaration(void 0,Rn.name,void 0,void 0,void 0);Ir(Yr,Rn),Lt=fn(Lt,Yr)}return Lt?(Lt=Sr(Lt,_e),Ht(r.createNodeArray(Lt),Ve.members)):_e}function xn(Ve,_e){const Lt=_i(_e,_e);if(Ft(Lt)){const ci=[];Sr(ci,roe(Ve,SH)),Sr(ci,$t(Ve,Fd)),Sr(ci,Lt),Sr(ci,$t(bxe(Ve,SH),Fa)),Ve=Ht(r.createNodeArray(ci),Ve)}return Ve}function Pn(Ve,_e,Lt){if(ss(Lt)&&Mae(N,_e,Lt)){const ci=_i(_e,Lt);if(Ft(ci)){const Mi=[];Sr(Mi,$t(Ve,Fd)),Sr(Mi,ci),Sr(Mi,$t(Ve,Fa)),Ve=Ht(r.createNodeArray(Mi),Ve)}}return Ve}function _i(Ve,_e){if(N)return Fi(Ve,_e)}function Fi(Ve,_e){if(R){let Lt;if(On(Ve)){const ci=a().createMetadataHelper("design:type",R.serializeTypeOfNode({currentLexicalScope:Z,currentNameScope:_e},Ve,_e));Lt=fn(Lt,r.createDecorator(ci))}if(qr(Ve)){const ci=a().createMetadataHelper("design:paramtypes",R.serializeParameterTypesOfNode({currentLexicalScope:Z,currentNameScope:_e},Ve,_e));Lt=fn(Lt,r.createDecorator(ci))}if(pn(Ve)){const ci=a().createMetadataHelper("design:returntype",R.serializeReturnTypeOfNode({currentLexicalScope:Z,currentNameScope:_e},Ve));Lt=fn(Lt,r.createDecorator(ci))}return Lt}}function On(Ve){const _e=Ve.kind;return _e===174||_e===177||_e===178||_e===172}function pn(Ve){return Ve.kind===174}function qr(Ve){switch(Ve.kind){case 263:case 231:return Vv(Ve)!==void 0;case 174:case 177:case 178:return!0}return!1}function Fs(Ve,_e){const Lt=Ve.name;return Vs(Lt)?r.createIdentifier(""):Ka(Lt)?Lt.expression:ot(Lt)?r.createStringLiteral(Fr(Lt)):r.cloneNode(Lt)}function ko(Ve){const _e=Ve.name;if(N&&Ka(_e)&&lm(Ve)){const Lt=bt(_e.expression,he,jt);j.assert(Lt);const ci=l0(Lt);if(!Uy(ci)){const Mi=r.getGeneratedNameForNode(_e);return g(Mi),r.updateComputedPropertyName(_e,r.createAssignment(Mi,Lt))}}return j.checkDefined(bt(_e,he,id))}function ls(Ve){if(Ve.token!==119)return dn(Ve,he,t)}function Qs(Ve){return r.updateExpressionWithTypeArguments(Ve,j.checkDefined(bt(Ve.expression,he,N_)),void 0)}function Mn(Ve){return!lu(Ve.body)}function Zs(Ve,_e){const Lt=Ve.flags&33554432||Zr(Ve,64);if(Lt&&!(N&&lm(Ve)))return;let ci=ss(_e)?Lt?Dn(Ve.modifiers,Nt,Ul):Dn(Ve.modifiers,he,Ul):Dn(Ve.modifiers,ze,Ul);return ci=Pn(ci,Ve,_e),Lt?r.updatePropertyDeclaration(Ve,Js(ci,r.createModifiersFromModifierFlags(128)),j.checkDefined(bt(Ve.name,he,id)),void 0,void 0,void 0):r.updatePropertyDeclaration(Ve,ci,ko(Ve),void 0,void 0,bt(Ve.initializer,he,jt))}function at(Ve){if(Mn(Ve))return r.updateConstructorDeclaration(Ve,void 0,yu(Ve.parameters,he,t),Sn(Ve.body,Ve))}function yi(Ve,_e,Lt,ci,Mi,Rn){const Yr=ci[Mi],Xi=_e[Yr];if(Sr(Ve,Dn(_e,he,Ps,Lt,Yr-Lt)),NI(Xi)){const Mr=[];yi(Mr,Xi.tryBlock.statements,0,ci,Mi+1,Rn);const ds=r.createNodeArray(Mr);Ht(ds,Xi.tryBlock.statements),Ve.push(r.updateTryStatement(Xi,r.updateBlock(Xi.tryBlock,Mr),bt(Xi.catchClause,he,lD),bt(Xi.finallyBlock,he,Xo)))}else Sr(Ve,Dn(_e,he,Ps,Yr,1)),Sr(Ve,Rn);Sr(Ve,Dn(_e,he,Ps,Yr+1))}function Sn(Ve,_e){const Lt=_e&&$t(_e.parameters,Mr=>vp(Mr,_e));if(!Ft(Lt))return _m(Ve,he,t);let ci=[];h();const Mi=r.copyPrologue(Ve.statements,ci,!1,he),Rn=vQ(Ve.statements,Mi),Yr=es(Lt,dr);Rn.length?yi(ci,Ve.statements,Mi,Rn,0,Yr):(Sr(ci,Yr),Sr(ci,Dn(Ve.statements,he,Ps,Mi))),ci=r.mergeLexicalEnvironment(ci,p());const Xi=r.createBlock(Ht(r.createNodeArray(ci),Ve.statements),!0);return Ht(Xi,Ve),Ir(Xi,Ve),Xi}function dr(Ve){const _e=Ve.name;if(!ot(_e))return;const Lt=_c(Ht(r.cloneNode(_e),_e),_e.parent);tr(Lt,3168);const ci=_c(Ht(r.cloneNode(_e),_e),_e.parent);return tr(ci,3072),bh(oH(Ht(Ir(r.createExpressionStatement(r.createAssignment(Ht(r.createPropertyAccessExpression(r.createThis(),Lt),Ve.name),ci)),Ve),Zk(Ve,-1))))}function us(Ve,_e){if(!(Ve.transformFlags&1))return Ve;if(!Mn(Ve))return;let Lt=ss(_e)?Dn(Ve.modifiers,he,Ul):Dn(Ve.modifiers,ze,Ul);return Lt=Pn(Lt,Ve,_e),r.updateMethodDeclaration(Ve,Lt,Ve.asteriskToken,ko(Ve),void 0,void 0,yu(Ve.parameters,he,t),void 0,_m(Ve.body,he,t))}function Xr(Ve){return!(lu(Ve.body)&&Zr(Ve,64))}function Gs(Ve,_e){if(!(Ve.transformFlags&1))return Ve;if(!Xr(Ve))return;let Lt=ss(_e)?Dn(Ve.modifiers,he,Ul):Dn(Ve.modifiers,ze,Ul);return Lt=Pn(Lt,Ve,_e),r.updateGetAccessorDeclaration(Ve,Lt,ko(Ve),yu(Ve.parameters,he,t),void 0,_m(Ve.body,he,t)||r.createBlock([]))}function ma(Ve,_e){if(!(Ve.transformFlags&1))return Ve;if(!Xr(Ve))return;let Lt=ss(_e)?Dn(Ve.modifiers,he,Ul):Dn(Ve.modifiers,ze,Ul);return Lt=Pn(Lt,Ve,_e),r.updateSetAccessorDeclaration(Ve,Lt,ko(Ve),yu(Ve.parameters,he,t),_m(Ve.body,he,t)||r.createBlock([]))}function ka(Ve){if(!Mn(Ve))return r.createNotEmittedStatement(Ve);const _e=r.updateFunctionDeclaration(Ve,Dn(Ve.modifiers,$e,Fa),Ve.asteriskToken,Ve.name,void 0,yu(Ve.parameters,he,t),void 0,_m(Ve.body,he,t)||r.createBlock([]));if(zi(Ve)){const Lt=[_e];return $o(Lt,Ve),Lt}return _e}function Ji(Ve){return Mn(Ve)?r.updateFunctionExpression(Ve,Dn(Ve.modifiers,$e,Fa),Ve.asteriskToken,Ve.name,void 0,yu(Ve.parameters,he,t),void 0,_m(Ve.body,he,t)||r.createBlock([])):r.createOmittedExpression()}function ac(Ve){return r.updateArrowFunction(Ve,Dn(Ve.modifiers,$e,Fa),void 0,yu(Ve.parameters,he,t),void 0,Ve.equalsGreaterThanToken,_m(Ve.body,he,t))}function St(Ve){if(JT(Ve))return;const _e=r.updateParameterDeclaration(Ve,Dn(Ve.modifiers,Lt=>Fd(Lt)?he(Lt):void 0,Ul),Ve.dotDotDotToken,j.checkDefined(bt(Ve.name,he,dI)),void 0,void 0,bt(Ve.initializer,he,jt));return _e!==Ve&&(Sd(_e,Ve),Ht(_e,R1(Ve)),Ga(_e,R1(Ve)),tr(_e.name,64)),_e}function ri(Ve){if(zi(Ve)){const _e=K8(Ve.declarationList);return _e.length===0?void 0:Ht(r.createExpressionStatement(r.inlineExpressions(qt(_e,xe))),Ve)}else return dn(Ve,he,t)}function xe(Ve){const _e=Ve.name;return ta(_e)?HI(Ve,he,t,0,!1,vu):Ht(r.createAssignment(Gu(_e),j.checkDefined(bt(Ve.initializer,he,jt))),Ve)}function pt(Ve){const _e=r.updateVariableDeclaration(Ve,j.checkDefined(bt(Ve.name,he,dI)),void 0,void 0,bt(Ve.initializer,he,jt));return Ve.type&&vEe(_e.name,Ve.type),_e}function Se(Ve){const _e=Vu(Ve.expression,-23);if(Hk(_e)||wX(_e)){const Lt=bt(Ve.expression,he,jt);return j.assert(Lt),r.createPartiallyEmittedExpression(Lt,Ve)}return dn(Ve,he,t)}function Ze(Ve){const _e=bt(Ve.expression,he,jt);return j.assert(_e),r.createPartiallyEmittedExpression(_e,Ve)}function gt(Ve){const _e=bt(Ve.expression,he,N_);return j.assert(_e),r.createPartiallyEmittedExpression(_e,Ve)}function Dt(Ve){const _e=bt(Ve.expression,he,jt);return j.assert(_e),r.createPartiallyEmittedExpression(_e,Ve)}function Je(Ve){return r.updateCallExpression(Ve,j.checkDefined(bt(Ve.expression,he,jt)),void 0,Dn(Ve.arguments,he,jt))}function Rt(Ve){return r.updateNewExpression(Ve,j.checkDefined(bt(Ve.expression,he,jt)),void 0,Dn(Ve.arguments,he,jt))}function Ct(Ve){return r.updateTaggedTemplateExpression(Ve,j.checkDefined(bt(Ve.tag,he,jt)),void 0,j.checkDefined(bt(Ve.template,he,nP)))}function ii(Ve){return r.updateJsxSelfClosingElement(Ve,j.checkDefined(bt(Ve.tagName,he,w8)),void 0,j.checkDefined(bt(Ve.attributes,he,cD)))}function vt(Ve){return r.updateJsxOpeningElement(Ve,j.checkDefined(bt(Ve.tagName,he,w8)),void 0,j.checkDefined(bt(Ve.attributes,he,cD)))}function Ni(Ve){return!Uk(Ve)||sx(b)}function ji(Ve){if(!Ni(Ve))return r.createNotEmittedStatement(Ve);const _e=[];let Lt=4;const ci=Qt(_e,Ve);ci&&(E!==4||Z!==U)&&(Lt|=1024);const Mi=Zc(Ve),Rn=Ls(Ve),Yr=zi(Ve)?r.getExternalModuleOrNamespaceExportName(X,Ve,!1,!0):r.getDeclarationName(Ve,!1,!0);let Xi=r.createLogicalOr(Yr,r.createAssignment(Yr,r.createObjectLiteralExpression()));if(zi(Ve)){const ds=r.getLocalName(Ve,!1,!0);Xi=r.createAssignment(ds,Xi)}const Mr=r.createExpressionStatement(r.createCallExpression(r.createFunctionExpression(void 0,void 0,void 0,void 0,[r.createParameterDeclaration(void 0,void 0,Mi)],void 0,gr(Ve,Rn)),void 0,[Xi]));return Ir(Mr,Ve),ci&&(e2(Mr,void 0),OP(Mr,void 0)),Ht(Mr,Ve),F1(Mr,Lt),_e.push(Mr),_e}function gr(Ve,_e){const Lt=X;X=_e;const ci=[];u();const Mi=qt(Ve.members,te);return Fv(ci,p()),Sr(ci,Mi),X=Lt,r.createBlock(Ht(r.createNodeArray(ci),Ve.members),!0)}function te(Ve){const _e=Fs(Ve),Lt=C.getEnumMemberValue(Ve),ci=tt(Ve,Lt==null?void 0:Lt.value),Mi=r.createAssignment(r.createElementAccessExpression(X,_e),ci),Rn=typeof(Lt==null?void 0:Lt.value)=="string"||Lt!=null&&Lt.isSyntacticallyString?Mi:r.createAssignment(r.createElementAccessExpression(X,Mi),_e);return Ht(r.createExpressionStatement(Ht(Rn,Ve)),Ve)}function tt(Ve,_e){return _e!==void 0?typeof _e=="string"?r.createStringLiteral(_e):_e<0?r.createPrefixUnaryExpression(41,r.createNumericLiteral(-_e)):r.createNumericLiteral(_e):(ja(),Ve.initializer?j.checkDefined(bt(Ve.initializer,he,jt)):r.createVoidZero())}function Gt(Ve){const _e=Mo(Ve,rd);return _e?Pue(_e,sx(b)):!0}function le(Ve){Q||(Q=new Map);const _e=be(Ve);Q.has(_e)||Q.set(_e,Ve)}function Ue(Ve){if(Q){const _e=be(Ve);return Q.get(_e)===Ve}return!0}function be(Ve){return j.assertNode(Ve.name,ot),Ve.name.escapedText}function Qt(Ve,_e){const Lt=r.createVariableDeclaration(r.getLocalName(_e,!1,!0)),ci=Z.kind===307?0:1,Mi=r.createVariableStatement(Dn(_e.modifiers,$e,Fa),r.createVariableDeclarationList([Lt],ci));return Ir(Lt,_e),e2(Lt,void 0),OP(Lt,void 0),Ir(Mi,_e),le(_e),Ue(_e)?(_e.kind===266?Ga(Mi.declarationList,_e):Ga(Mi,_e),Sd(Mi,_e),F1(Mi,2048),Ve.push(Mi),!0):!1}function lt(Ve){if(!Gt(Ve))return r.createNotEmittedStatement(Ve);j.assertNode(Ve.name,ot,"A TypeScript namespace should have an Identifier name."),wf();const _e=[];let Lt=4;const ci=Qt(_e,Ve);ci&&(E!==4||Z!==U)&&(Lt|=1024);const Mi=Zc(Ve),Rn=Ls(Ve),Yr=zi(Ve)?r.getExternalModuleOrNamespaceExportName(X,Ve,!1,!0):r.getDeclarationName(Ve,!1,!0);let Xi=r.createLogicalOr(Yr,r.createAssignment(Yr,r.createObjectLiteralExpression()));if(zi(Ve)){const ds=r.getLocalName(Ve,!1,!0);Xi=r.createAssignment(ds,Xi)}const Mr=r.createExpressionStatement(r.createCallExpression(r.createFunctionExpression(void 0,void 0,void 0,void 0,[r.createParameterDeclaration(void 0,void 0,Mi)],void 0,st(Ve,Rn)),void 0,[Xi]));return Ir(Mr,Ve),ci&&(e2(Mr,void 0),OP(Mr,void 0)),Ht(Mr,Ve),F1(Mr,Lt),_e.push(Mr),_e}function st(Ve,_e){const Lt=X,ci=q,Mi=Q;X=_e,q=Ve,Q=void 0;const Rn=[];u();let Yr,Xi;if(Ve.body)if(Ve.body.kind===268)Ie(Ve.body,ds=>Sr(Rn,Dn(ds.statements,se,Ps))),Yr=Ve.body.statements,Xi=Ve.body;else{const ds=lt(Ve.body);ds&&(Ao(ds)?Sr(Rn,ds):Rn.push(ds));const Ks=hi(Ve).body;Yr=Zk(Ks.statements,-1)}Fv(Rn,p()),X=Lt,q=ci,Q=Mi;const Mr=r.createBlock(Ht(r.createNodeArray(Rn),Yr),!0);return Ht(Mr,Xi),(!Ve.body||Ve.body.kind!==268)&&tr(Mr,Ya(Mr)|3072),Mr}function hi(Ve){if(Ve.body.kind===267)return hi(Ve.body)||Ve.body}function vn(Ve){if(!Ve.importClause)return Ve;if(Ve.importClause.isTypeOnly)return;const _e=bt(Ve.importClause,ln,H0);return _e?r.updateImportDeclaration(Ve,void 0,_e,Ve.moduleSpecifier,Ve.attributes):void 0}function ln(Ve){j.assert(!Ve.isTypeOnly);const _e=Nl(Ve)?Ve.name:void 0,Lt=bt(Ve.namedBindings,Un,cae);return _e||Lt?r.updateImportClause(Ve,!1,_e,Lt):void 0}function Un(Ve){if(Ve.kind===274)return Nl(Ve)?Ve:void 0;{const _e=b.verbatimModuleSyntax,Lt=Dn(Ve.elements,Pt,l_);return _e||Ft(Lt)?r.updateNamedImports(Ve,Lt):void 0}}function Pt(Ve){return!Ve.isTypeOnly&&Nl(Ve)?Ve:void 0}function Bt(Ve){return b.verbatimModuleSyntax||C.isValueAliasDeclaration(Ve)?dn(Ve,he,t):void 0}function fi(Ve){if(Ve.isTypeOnly)return;if(!Ve.exportClause||jy(Ve.exportClause))return Ve;const _e=!!b.verbatimModuleSyntax,Lt=bt(Ve.exportClause,ci=>Ai(ci,_e),Qoe);return Lt?r.updateExportDeclaration(Ve,void 0,Ve.isTypeOnly,Lt,Ve.moduleSpecifier,Ve.attributes):void 0}function wi(Ve,_e){const Lt=Dn(Ve.elements,Xn,vh);return _e||Ft(Lt)?r.updateNamedExports(Ve,Lt):void 0}function Ri(Ve){return r.updateNamespaceExport(Ve,j.checkDefined(bt(Ve.name,he,ot)))}function Ai(Ve,_e){return jy(Ve)?Ri(Ve):wi(Ve,_e)}function Xn(Ve){return!Ve.isTypeOnly&&(b.verbatimModuleSyntax||C.isValueAliasDeclaration(Ve))?Ve:void 0}function Di(Ve){return Nl(Ve)||!wd(U)&&C.isTopLevelValueImportEqualsWithEntityName(Ve)}function on(Ve){if(Ve.isTypeOnly)return;if(qk(Ve))return Nl(Ve)?dn(Ve,he,t):void 0;if(!Di(Ve))return;const _e=yH(r,Ve.moduleReference);return tr(_e,7168),vi(Ve)||!zi(Ve)?Ir(Ht(r.createVariableStatement(Dn(Ve.modifiers,$e,Fa),r.createVariableDeclarationList([Ir(r.createVariableDeclaration(Ve.name,void 0,void 0,_e),Ve)])),Ve),Ve):Ir(Lc(Ve.name,_e,Ve),Ve)}function zi(Ve){return q!==void 0&&Zr(Ve,32)}function cn(Ve){return q===void 0&&Zr(Ve,32)}function vi(Ve){return cn(Ve)&&!Zr(Ve,2048)}function qo(Ve){return cn(Ve)&&Zr(Ve,2048)}function Wo(Ve){const _e=r.createAssignment(r.getExternalModuleOrNamespaceExportName(X,Ve,!1,!0),r.getLocalName(Ve));Ga(_e,Um(Ve.name?Ve.name.pos:Ve.pos,Ve.end));const Lt=r.createExpressionStatement(_e);return Ga(Lt,Um(-1,Ve.end)),Lt}function $o(Ve,_e){Ve.push(Wo(_e))}function Lc(Ve,_e,Lt){return Ht(r.createExpressionStatement(r.createAssignment(r.getNamespaceMemberName(X,Ve,!1,!0),_e)),Lt)}function vu(Ve,_e,Lt){return Ht(r.createAssignment(Gu(Ve),_e),Lt)}function Gu(Ve){return r.getNamespaceMemberName(X,Ve,!1,!0)}function Zc(Ve){const _e=r.getGeneratedNameForNode(Ve);return Ga(_e,Ve.name),_e}function Ls(Ve){return r.getGeneratedNameForNode(Ve)}function ja(){re&8||(re|=8,t.enableSubstitution(80))}function wf(){re&2||(re|=2,t.enableSubstitution(80),t.enableSubstitution(304),t.enableEmitNotification(267))}function Jo(Ve){return Ql(Ve).kind===267}function kh(Ve){return Ql(Ve).kind===266}function md(Ve,_e,Lt){const ci=oe,Mi=U;Os(_e)&&(U=_e),re&2&&Jo(_e)&&(oe|=2),re&8&&kh(_e)&&(oe|=8),F(Ve,_e,Lt),oe=ci,U=Mi}function go(Ve,_e){return _e=H(Ve,_e),Ve===1?mc(_e):lh(_e)?pc(_e):_e}function pc(Ve){if(re&2){const _e=Ve.name,Lt=tc(_e);if(Lt){if(Ve.objectAssignmentInitializer){const ci=r.createAssignment(Lt,Ve.objectAssignmentInitializer);return Ht(r.createPropertyAssignment(_e,ci),Ve)}return Ht(r.createPropertyAssignment(_e,Lt),Ve)}}return Ve}function mc(Ve){switch(Ve.kind){case 80:return oo(Ve);case 211:return ki(Ve);case 212:return Gc(Ve)}return Ve}function oo(Ve){return tc(Ve)||Ve}function tc(Ve){if(re&oe&&!zl(Ve)&&!iC(Ve)){const _e=C.getReferencedExportContainer(Ve,!1);if(_e&&_e.kind!==307&&(oe&2&&_e.kind===267||oe&8&&_e.kind===266))return Ht(r.createPropertyAccessExpression(r.getGeneratedNameForNode(_e),Ve),Ve)}}function ki(Ve){return sd(Ve)}function Gc(Ve){return sd(Ve)}function uh(Ve){return Ve.replace(/\*\//g,"*_/")}function sd(Ve){const _e=Pp(Ve);if(_e!==void 0){gEe(Ve,_e);const Lt=typeof _e=="string"?r.createStringLiteral(_e):_e<0?r.createPrefixUnaryExpression(41,r.createNumericLiteral(-_e)):r.createNumericLiteral(_e);if(!b.removeComments){const ci=Ql(Ve,Sl);uX(Lt,3,` ${uh(uu(ci))} `)}return Lt}return Ve}function Pp(Ve){if(!Ag(b))return Nr(Ve)||wl(Ve)?C.getConstantValue(Ve):void 0}function Nl(Ve){return b.verbatimModuleSyntax||nr(Ve)||C.isReferencedAliasDeclaration(Ve)}}function JNe(t){const{factory:r,getEmitHelperFactory:a,hoistVariableDeclaration:u,endLexicalEnvironment:h,startLexicalEnvironment:p,resumeLexicalEnvironment:g,addBlockScopedVariable:C}=t,b=t.getEmitResolver(),T=t.getCompilerOptions(),E=Ja(T),N=GV(T),R=!!T.experimentalDecorators,F=!N,H=N&&E<9,U=F||H,q=E<9,X=E<99?-1:N?0:3,Z=E<9,Q=Z&&E>=2,re=U||q||X===-1,oe=t.onSubstituteNode;t.onSubstituteNode=uh;const pe=t.onEmitNode;t.onEmitNode=Gc;let de=!1,ue,Ie,we,he,Ae;const ke=new Map,Te=new Set;let De,qe,se=!1,Ne=!1;return q0(t,Oe);function Oe(_e){if(_e.isDeclarationFile||(Ae=void 0,de=!!(u0(_e)&32),!re&&!de))return _e;const Lt=dn(_e,Pe,t);return Zb(Lt,t.readEmitHelpers()),Lt}function He(_e){switch(_e.kind){case 129:return yi()?void 0:_e;default:return Jr(_e,Fa)}}function Pe(_e){if(!(_e.transformFlags&16777216)&&!(_e.transformFlags&134234112))return _e;switch(_e.kind){case 263:return ji(_e);case 231:return te(_e);case 175:case 172:return j.fail("Use `classElementVisitor` instead.");case 303:return dt(_e);case 243:return zt(_e);case 260:return Ei(_e);case 169:return tn(_e);case 208:return xn(_e);case 277:return Pn(_e);case 81:return wt(_e);case 211:return ma(_e);case 212:return ka(_e);case 224:case 225:return Ji(_e,!1);case 226:return gt(_e,!1);case 217:return Je(_e,!1);case 213:return xe(_e);case 244:return St(_e);case 215:return pt(_e);case 248:return ac(_e);case 110:return le(_e);case 262:case 218:return Fs(void 0,We,_e);case 176:case 174:case 177:case 178:return Fs(_e,We,_e);default:return We(_e)}}function We(_e){return dn(_e,Pe,t)}function ze(_e){switch(_e.kind){case 224:case 225:return Ji(_e,!0);case 226:return gt(_e,!0);case 355:return Dt(_e);case 217:return Je(_e,!0);default:return Pe(_e)}}function Nt(_e){switch(_e.kind){case 298:return dn(_e,Nt,t);case 233:return vt(_e);default:return Pe(_e)}}function $e(_e){switch(_e.kind){case 210:case 209:return ki(_e);default:return Pe(_e)}}function et(_e){switch(_e.kind){case 176:return Fs(_e,On,_e);case 177:case 178:case 174:return Fs(_e,qr,_e);case 172:return Fs(_e,Sn,_e);case 175:return Fs(_e,Gt,_e);case 167:return Fi(_e);case 240:return _e;default:return Ul(_e)?He(_e):Pe(_e)}}function Me(_e){switch(_e.kind){case 167:return Fi(_e);default:return Pe(_e)}}function ut(_e){switch(_e.kind){case 172:return at(_e);case 177:case 178:return et(_e);default:j.assertMissingNode(_e,"Expected node to either be a PropertyDeclaration, GetAccessorDeclaration, or SetAccessorDeclaration");break}}function wt(_e){return!q||Ps(_e.parent)?_e:Ir(r.createIdentifier(""),_e)}function Et(_e){const Lt=ja(_e.left);if(Lt){const ci=bt(_e.right,Pe,jt);return Ir(a().createClassPrivateFieldInHelper(Lt.brandCheckIdentifier,ci),_e)}return dn(_e,Pe,t)}function dt(_e){return Sp(_e,Ze)&&(_e=Dp(t,_e)),dn(_e,Pe,t)}function zt(_e){const Lt=he;he=[];const ci=dn(_e,Pe,t),Mi=Ft(he)?[ci,...he]:ci;return he=Lt,Mi}function Ei(_e){return Sp(_e,Ze)&&(_e=Dp(t,_e)),dn(_e,Pe,t)}function tn(_e){return Sp(_e,Ze)&&(_e=Dp(t,_e)),dn(_e,Pe,t)}function xn(_e){return Sp(_e,Ze)&&(_e=Dp(t,_e)),dn(_e,Pe,t)}function Pn(_e){return Sp(_e,Ze)&&(_e=Dp(t,_e,!0,_e.isExportEquals?"":"default")),dn(_e,Pe,t)}function _i(_e){return Ft(we)&&(c_(_e)?(we.push(_e.expression),_e=r.updateParenthesizedExpression(_e,r.inlineExpressions(we))):(we.push(_e),_e=r.inlineExpressions(we)),we=void 0),_e}function Fi(_e){const Lt=bt(_e.expression,Pe,jt);return r.updateComputedPropertyName(_e,_i(Lt))}function On(_e){return De?Qt(_e,De):We(_e)}function pn(_e){return!!(q||bd(_e)&&u0(_e)&32)}function qr(_e){if(j.assert(!lm(_e)),!Wh(_e)||!pn(_e))return dn(_e,et,t);const Lt=ja(_e.name);if(j.assert(Lt,"Undeclared private name for property declaration."),!Lt.isValid)return _e;const ci=ko(_e);ci&&cn().push(r.createAssignment(ci,r.createFunctionExpression($t(_e.modifiers,Mi=>Fa(Mi)&&!WP(Mi)&&!OEe(Mi)),_e.asteriskToken,ci,void 0,yu(_e.parameters,Pe,t),void 0,_m(_e.body,Pe,t))))}function Fs(_e,Lt,ci){if(_e!==qe){const Mi=qe;qe=_e;const Rn=Lt(ci);return qe=Mi,Rn}return Lt(ci)}function ko(_e){j.assert(Vs(_e.name));const Lt=ja(_e.name);if(j.assert(Lt,"Undeclared private name for property declaration."),Lt.kind==="m")return Lt.methodName;if(Lt.kind==="a"){if(oS(_e))return Lt.getterName;if(D1(_e))return Lt.setterName}}function ls(){const _e=on();return _e.classThis??_e.classConstructor??(De==null?void 0:De.name)}function Qs(_e){const Lt=B1(_e),ci=yS(_e),Mi=_e.name;let Rn=Mi,Yr=Mi;if(Ka(Mi)&&!Uy(Mi.expression)){const Pu=WX(Mi);if(Pu)Rn=r.updateComputedPropertyName(Mi,bt(Mi.expression,Pe,jt)),Yr=r.updateComputedPropertyName(Mi,Pu.left);else{const Ku=r.createTempVariable(u);Ga(Ku,Mi.expression);const Xu=bt(Mi.expression,Pe,jt),Wl=r.createAssignment(Ku,Xu);Ga(Wl,Mi.expression),Rn=r.updateComputedPropertyName(Mi,Wl),Yr=r.updateComputedPropertyName(Mi,Ku)}}const Xi=Dn(_e.modifiers,He,Fa),Mr=Vle(r,_e,Xi,_e.initializer);Ir(Mr,_e),tr(Mr,3072),Ga(Mr,ci);const ds=pa(_e)?ls()??r.createThis():r.createThis(),Ks=mIe(r,_e,Xi,Rn,ds);Ir(Ks,_e),Sd(Ks,Lt),Ga(Ks,ci);const Ic=r.createModifiersFromModifierFlags(M1(Xi)),Gl=gIe(r,_e,Ic,Yr,ds);return Ir(Gl,_e),tr(Gl,3072),Ga(Gl,ci),VH([Mr,Ks,Gl],ut,Od)}function Mn(_e){if(pn(_e)){const Lt=ja(_e.name);if(j.assert(Lt,"Undeclared private name for property declaration."),!Lt.isValid)return _e;if(Lt.isStatic&&!q){const ci=vn(_e,r.createThis());if(ci)return r.createClassStaticBlockDeclaration(r.createBlock([ci],!0))}return}return F&&!pa(_e)&&(Ae!=null&&Ae.data)&&Ae.data.facts&16?r.updatePropertyDeclaration(_e,Dn(_e.modifiers,Pe,Ul),_e.name,void 0,void 0,void 0):(Sp(_e,Ze)&&(_e=Dp(t,_e)),r.updatePropertyDeclaration(_e,Dn(_e.modifiers,He,Fa),bt(_e.name,Me,id),void 0,void 0,bt(_e.initializer,Pe,jt)))}function Zs(_e){if(U&&!I_(_e)){const Lt=Ai(_e.name,!!_e.initializer||N);if(Lt&&cn().push(...yIe(Lt)),pa(_e)&&!q){const ci=vn(_e,r.createThis());if(ci){const Mi=r.createClassStaticBlockDeclaration(r.createBlock([ci]));return Ir(Mi,_e),Sd(Mi,_e),Sd(ci,{pos:-1,end:-1}),e2(ci,void 0),OP(ci,void 0),Mi}}return}return r.updatePropertyDeclaration(_e,Dn(_e.modifiers,He,Fa),bt(_e.name,Me,id),void 0,void 0,bt(_e.initializer,Pe,jt))}function at(_e){return j.assert(!lm(_e),"Decorators should already have been transformed and elided."),Wh(_e)?Mn(_e):Zs(_e)}function yi(){return X===-1||X===3&&!!(Ae!=null&&Ae.data)&&!!(Ae.data.facts&16)}function Sn(_e){return I_(_e)&&(yi()||bd(_e)&&u0(_e)&32)?Qs(_e):at(_e)}function dr(){return!!qe&&bd(qe)&&Qw(qe)&&I_(Ql(qe))}function us(_e){if(dr()){const Lt=Vu(_e);Lt.kind===110&&Te.add(Lt)}}function Xr(_e,Lt){return Lt=bt(Lt,Pe,jt),us(Lt),Gs(_e,Lt)}function Gs(_e,Lt){switch(Sd(Lt,Zk(Lt,-1)),_e.kind){case"a":return a().createClassPrivateFieldGetHelper(Lt,_e.brandCheckIdentifier,_e.kind,_e.getterName);case"m":return a().createClassPrivateFieldGetHelper(Lt,_e.brandCheckIdentifier,_e.kind,_e.methodName);case"f":return a().createClassPrivateFieldGetHelper(Lt,_e.brandCheckIdentifier,_e.kind,_e.isStatic?_e.variableName:void 0);case"untransformed":return j.fail("Access helpers should not be created for untransformed private elements");default:j.assertNever(_e,"Unknown private element type")}}function ma(_e){if(Vs(_e.name)){const Lt=ja(_e.name);if(Lt)return Ht(Ir(Xr(Lt,_e.expression),_e),_e)}if(Q&&qe&&G_(_e)&&ot(_e.name)&&J7(qe)&&(Ae!=null&&Ae.data)){const{classConstructor:Lt,superClassReference:ci,facts:Mi}=Ae.data;if(Mi&1)return Ri(_e);if(Lt&&ci){const Rn=r.createReflectGetCall(ci,r.createStringLiteralFromNode(_e.name),Lt);return Ir(Rn,_e.expression),Ht(Rn,_e.expression),Rn}}return dn(_e,Pe,t)}function ka(_e){if(Q&&qe&&G_(_e)&&J7(qe)&&(Ae!=null&&Ae.data)){const{classConstructor:Lt,superClassReference:ci,facts:Mi}=Ae.data;if(Mi&1)return Ri(_e);if(Lt&&ci){const Rn=r.createReflectGetCall(ci,bt(_e.argumentExpression,Pe,jt),Lt);return Ir(Rn,_e.expression),Ht(Rn,_e.expression),Rn}}return dn(_e,Pe,t)}function Ji(_e,Lt){if(_e.operator===46||_e.operator===47){const ci=Dc(_e.operand);if(F6(ci)){let Mi;if(Mi=ja(ci.name)){const Rn=bt(ci.expression,Pe,jt);us(Rn);const{readExpression:Yr,initializeExpression:Xi}=ri(Rn);let Mr=Xr(Mi,Yr);const ds=n2(_e)||Lt?void 0:r.createTempVariable(u);return Mr=PX(r,_e,Mr,u,ds),Mr=Rt(Mi,Xi||Yr,Mr,64),Ir(Mr,_e),Ht(Mr,_e),ds&&(Mr=r.createComma(Mr,ds),Ht(Mr,_e)),Mr}}else if(Q&&qe&&G_(ci)&&J7(qe)&&(Ae!=null&&Ae.data)){const{classConstructor:Mi,superClassReference:Rn,facts:Yr}=Ae.data;if(Yr&1){const Xi=Ri(ci);return n2(_e)?r.updatePrefixUnaryExpression(_e,Xi):r.updatePostfixUnaryExpression(_e,Xi)}if(Mi&&Rn){let Xi,Mr;if(Nr(ci)?ot(ci.name)&&(Mr=Xi=r.createStringLiteralFromNode(ci.name)):Uy(ci.argumentExpression)?Mr=Xi=ci.argumentExpression:(Mr=r.createTempVariable(u),Xi=r.createAssignment(Mr,bt(ci.argumentExpression,Pe,jt))),Xi&&Mr){let ds=r.createReflectGetCall(Rn,Mr,Mi);Ht(ds,ci);const Ks=Lt?void 0:r.createTempVariable(u);return ds=PX(r,_e,ds,u,Ks),ds=r.createReflectSetCall(Rn,Xi,ds,Mi),Ir(ds,_e),Ht(ds,_e),Ks&&(ds=r.createComma(ds,Ks),Ht(ds,_e)),ds}}}}return dn(_e,Pe,t)}function ac(_e){return r.updateForStatement(_e,bt(_e.initializer,ze,Hm),bt(_e.condition,Pe,jt),bt(_e.incrementor,ze,jt),u_(_e.statement,Pe,t))}function St(_e){return r.updateExpressionStatement(_e,bt(_e.expression,ze,jt))}function ri(_e){const Lt=cl(_e)?_e:r.cloneNode(_e);if(_e.kind===110&&Te.has(_e)&&Te.add(Lt),Uy(_e))return{readExpression:Lt,initializeExpression:void 0};const ci=r.createTempVariable(u),Mi=r.createAssignment(ci,Lt);return{readExpression:ci,initializeExpression:Mi}}function xe(_e){var Lt;if(F6(_e.expression)&&ja(_e.expression.name)){const{thisArg:ci,target:Mi}=r.createCallBinding(_e.expression,u,E);return cI(_e)?r.updateCallChain(_e,r.createPropertyAccessChain(bt(Mi,Pe,jt),_e.questionDotToken,"call"),void 0,void 0,[bt(ci,Pe,jt),...Dn(_e.arguments,Pe,jt)]):r.updateCallExpression(_e,r.createPropertyAccessExpression(bt(Mi,Pe,jt),"call"),void 0,[bt(ci,Pe,jt),...Dn(_e.arguments,Pe,jt)])}if(Q&&qe&&G_(_e.expression)&&J7(qe)&&((Lt=Ae==null?void 0:Ae.data)!=null&&Lt.classConstructor)){const ci=r.createFunctionCallCall(bt(_e.expression,Pe,jt),Ae.data.classConstructor,Dn(_e.arguments,Pe,jt));return Ir(ci,_e),Ht(ci,_e),ci}return dn(_e,Pe,t)}function pt(_e){var Lt;if(F6(_e.tag)&&ja(_e.tag.name)){const{thisArg:ci,target:Mi}=r.createCallBinding(_e.tag,u,E);return r.updateTaggedTemplateExpression(_e,r.createCallExpression(r.createPropertyAccessExpression(bt(Mi,Pe,jt),"bind"),void 0,[bt(ci,Pe,jt)]),void 0,bt(_e.template,Pe,nP))}if(Q&&qe&&G_(_e.tag)&&J7(qe)&&((Lt=Ae==null?void 0:Ae.data)!=null&&Lt.classConstructor)){const ci=r.createFunctionBindCall(bt(_e.tag,Pe,jt),Ae.data.classConstructor,[]);return Ir(ci,_e),Ht(ci,_e),r.updateTaggedTemplateExpression(_e,ci,void 0,bt(_e.template,Pe,nP))}return dn(_e,Pe,t)}function Se(_e){if(Ae&&ke.set(Ql(_e),Ae),q){if($7(_e)){const Mi=bt(_e.body.statements[0].expression,Pe,jt);return lf(Mi,!0)&&Mi.left===Mi.right?void 0:Mi}if(sA(_e))return bt(_e.body.statements[0].expression,Pe,jt);p();let Lt=Fs(_e,Mi=>Dn(Mi,Pe,Ps),_e.body.statements);Lt=r.mergeLexicalEnvironment(Lt,h());const ci=r.createImmediatelyInvokedArrowFunction(Lt);return Ir(Dc(ci.expression),_e),F1(Dc(ci.expression),4),Ir(ci,_e),Ht(ci,_e),ci}}function Ze(_e){if(fd(_e)&&!_e.name){const Lt=bQ(_e);return Ft(Lt,sA)?!1:(q||!!u0(_e))&&Ft(Lt,Mi=>gu(Mi)||Wh(Mi)||U&&HH(Mi))}return!1}function gt(_e,Lt){if(pS(_e)){const ci=we;we=void 0,_e=r.updateBinaryExpression(_e,bt(_e.left,$e,jt),_e.operatorToken,bt(_e.right,Pe,jt));const Mi=Ft(we)?r.inlineExpressions(Rw([...we,_e])):_e;return we=ci,Mi}if(lf(_e)){Sp(_e,Ze)&&(_e=Dp(t,_e),j.assertNode(_e,lf));const ci=Vu(_e.left,9);if(F6(ci)){const Mi=ja(ci.name);if(Mi)return Ht(Ir(Rt(Mi,ci.expression,_e.right,_e.operatorToken.kind),_e),_e)}else if(Q&&qe&&G_(_e.left)&&J7(qe)&&(Ae!=null&&Ae.data)){const{classConstructor:Mi,superClassReference:Rn,facts:Yr}=Ae.data;if(Yr&1)return r.updateBinaryExpression(_e,Ri(_e.left),_e.operatorToken,bt(_e.right,Pe,jt));if(Mi&&Rn){let Xi=wl(_e.left)?bt(_e.left.argumentExpression,Pe,jt):ot(_e.left.name)?r.createStringLiteralFromNode(_e.left.name):void 0;if(Xi){let Mr=bt(_e.right,Pe,jt);if(z7(_e.operatorToken.kind)){let Ks=Xi;Uy(Xi)||(Ks=r.createTempVariable(u),Xi=r.createAssignment(Ks,Xi));const Ic=r.createReflectGetCall(Rn,Ks,Mi);Ir(Ic,_e.left),Ht(Ic,_e.left),Mr=r.createBinaryExpression(Ic,U7(_e.operatorToken.kind),Mr),Ht(Mr,_e)}const ds=Lt?void 0:r.createTempVariable(u);return ds&&(Mr=r.createAssignment(ds,Mr),Ht(ds,_e)),Mr=r.createReflectSetCall(Rn,Xi,Mr,Mi),Ir(Mr,_e),Ht(Mr,_e),ds&&(Mr=r.createComma(Mr,ds),Ht(Mr,_e)),Mr}}}}return BRt(_e)?Et(_e):dn(_e,Pe,t)}function Dt(_e,Lt){const ci=mQ(_e.elements,ze);return r.updateCommaListExpression(_e,ci)}function Je(_e,Lt){const ci=Lt?ze:Pe,Mi=bt(_e.expression,ci,jt);return r.updateParenthesizedExpression(_e,Mi)}function Rt(_e,Lt,ci,Mi){if(Lt=bt(Lt,Pe,jt),ci=bt(ci,Pe,jt),us(Lt),z7(Mi)){const{readExpression:Rn,initializeExpression:Yr}=ri(Lt);Lt=Yr||Rn,ci=r.createBinaryExpression(Gs(_e,Rn),U7(Mi),ci)}switch(Sd(Lt,Zk(Lt,-1)),_e.kind){case"a":return a().createClassPrivateFieldSetHelper(Lt,_e.brandCheckIdentifier,ci,_e.kind,_e.setterName);case"m":return a().createClassPrivateFieldSetHelper(Lt,_e.brandCheckIdentifier,ci,_e.kind,void 0);case"f":return a().createClassPrivateFieldSetHelper(Lt,_e.brandCheckIdentifier,ci,_e.kind,_e.isStatic?_e.variableName:void 0);case"untransformed":return j.fail("Access helpers should not be created for untransformed private elements");default:j.assertNever(_e,"Unknown private element type")}}function Ct(_e){return $t(_e.members,MNe)}function ii(_e){var Lt;let ci=0;const Mi=Ql(_e);ss(Mi)&&dS(R,Mi)&&(ci|=1),q&&(Uue(_e)||wQ(_e))&&(ci|=2);let Rn=!1,Yr=!1,Xi=!1,Mr=!1;for(const Ks of _e.members)pa(Ks)?((Ks.name&&(Vs(Ks.name)||I_(Ks))&&q||I_(Ks)&&X===-1&&!_e.name&&!((Lt=_e.emitNode)!=null&&Lt.classThis))&&(ci|=2),(Lo(Ks)||gu(Ks))&&(Z&&Ks.transformFlags&16384&&(ci|=8,ci&1||(ci|=2)),Q&&Ks.transformFlags&134217728&&(ci&1||(ci|=6)))):KT(Ql(Ks))||(I_(Ks)?(Mr=!0,Xi||(Xi=Wh(Ks))):Wh(Ks)?(Xi=!0,b.hasNodeCheckFlag(Ks,262144)&&(ci|=2)):Lo(Ks)&&(Rn=!0,Yr||(Yr=!!Ks.initializer)));return(H&&Rn||F&&Yr||q&&Xi||q&&Mr&&X===-1)&&(ci|=16),ci}function vt(_e){var Lt;if((((Lt=Ae==null?void 0:Ae.data)==null?void 0:Lt.facts)||0)&4){const Mi=r.createTempVariable(u,!0);return on().superClassReference=Mi,r.updateExpressionWithTypeArguments(_e,r.createAssignment(Mi,bt(_e.expression,Pe,jt)),void 0)}return dn(_e,Pe,t)}function Ni(_e,Lt){var ci;const Mi=De,Rn=we,Yr=Ae;De=_e,we=void 0,Xn();const Xi=u0(_e)&32;if(q||Xi){const Ks=No(_e);if(Ks&&ot(Ks))zi().data.className=Ks;else if((ci=_e.emitNode)!=null&&ci.assignedName&&Ha(_e.emitNode.assignedName)){if(_e.emitNode.assignedName.textSourceNode&&ot(_e.emitNode.assignedName.textSourceNode))zi().data.className=_e.emitNode.assignedName.textSourceNode;else if(J_(_e.emitNode.assignedName.text,E)){const Ic=r.createIdentifier(_e.emitNode.assignedName.text);zi().data.className=Ic}}}if(q){const Ks=Ct(_e);Ft(Ks)&&(zi().data.weakSetName=Zc("instances",Ks[0].name))}const Mr=ii(_e);Mr&&(on().facts=Mr),Mr&8&&fi();const ds=Lt(_e,Mr);return Di(),j.assert(Ae===Yr),De=Mi,we=Rn,ds}function ji(_e){return Ni(_e,gr)}function gr(_e,Lt){var ci,Mi;let Rn;if(Lt&2)if(q&&((ci=_e.emitNode)!=null&&ci.classThis))on().classConstructor=_e.emitNode.classThis,Rn=r.createAssignment(_e.emitNode.classThis,r.getInternalName(_e));else{const Wl=r.createTempVariable(u,!0);on().classConstructor=r.cloneNode(Wl),Rn=r.createAssignment(Wl,r.getInternalName(_e))}(Mi=_e.emitNode)!=null&&Mi.classThis&&(on().classThis=_e.emitNode.classThis);const Yr=b.hasNodeCheckFlag(_e,262144),Xi=Zr(_e,32),Mr=Zr(_e,2048);let ds=Dn(_e.modifiers,He,Fa);const Ks=Dn(_e.heritageClauses,Nt,Tp),{members:Ic,prologue:Gl}=Ue(_e),Pu=[];if(Rn&&cn().unshift(Rn),Ft(we)&&Pu.push(r.createExpressionStatement(r.inlineExpressions(we))),F||q||u0(_e)&32){const Wl=bQ(_e);Ft(Wl)&&hi(Pu,Wl,r.getInternalName(_e))}Pu.length>0&&Xi&&Mr&&(ds=Dn(ds,Wl=>SH(Wl)?void 0:Wl,Fa),Pu.push(r.createExportAssignment(void 0,!1,r.getLocalName(_e,!1,!0))));const Ku=on().classConstructor;Yr&&Ku&&(Bt(),Ie[d_(_e)]=Ku);const Xu=r.updateClassDeclaration(_e,ds,_e.name,void 0,Ks,Ic);return Pu.unshift(Xu),Gl&&Pu.unshift(r.createExpressionStatement(Gl)),Pu}function te(_e){return Ni(_e,tt)}function tt(_e,Lt){var ci,Mi,Rn;const Yr=!!(Lt&1),Xi=bQ(_e),Mr=b.hasNodeCheckFlag(_e,262144),ds=b.hasNodeCheckFlag(_e,32768);let Ks;function Ic(){var Qu;if(q&&((Qu=_e.emitNode)!=null&&Qu.classThis))return on().classConstructor=_e.emitNode.classThis;const kd=r.createTempVariable(ds?C:u,!0);return on().classConstructor=r.cloneNode(kd),kd}(ci=_e.emitNode)!=null&&ci.classThis&&(on().classThis=_e.emitNode.classThis),Lt&2&&(Ks??(Ks=Ic()));const Gl=Dn(_e.modifiers,He,Fa),Pu=Dn(_e.heritageClauses,Nt,Tp),{members:Ku,prologue:Xu}=Ue(_e),Wl=r.updateClassExpression(_e,Gl,_e.name,void 0,Pu,Ku),Cu=[];if(Xu&&Cu.push(Xu),(q||u0(_e)&32)&&Ft(Xi,Qu=>gu(Qu)||Wh(Qu)||U&&HH(Qu))||Ft(we))if(Yr)j.assertIsDefined(he,"Decorated classes transformed by TypeScript are expected to be within a variable declaration."),Ft(we)&&Sr(he,qt(we,r.createExpressionStatement)),Ft(Xi)&&hi(he,Xi,((Mi=_e.emitNode)==null?void 0:Mi.classThis)??r.getInternalName(_e)),Ks?Cu.push(r.createAssignment(Ks,Wl)):q&&((Rn=_e.emitNode)!=null&&Rn.classThis)?Cu.push(r.createAssignment(_e.emitNode.classThis,Wl)):Cu.push(Wl);else{if(Ks??(Ks=Ic()),Mr){Bt();const Qu=r.cloneNode(Ks);Qu.emitNode.autoGenerate.flags&=-9,Ie[d_(_e)]=Qu}Cu.push(r.createAssignment(Ks,Wl)),Sr(Cu,we),Sr(Cu,ln(Xi,Ks)),Cu.push(r.cloneNode(Ks))}else Cu.push(Wl);return Cu.length>1&&(F1(Wl,131072),Cu.forEach(bh)),r.inlineExpressions(Cu)}function Gt(_e){if(!q)return dn(_e,Pe,t)}function le(_e){if(Z&&qe&&gu(qe)&&(Ae!=null&&Ae.data)){const{classThis:Lt,classConstructor:ci}=Ae.data;return Lt??ci??_e}return _e}function Ue(_e){const Lt=!!(u0(_e)&32);if(q||de){for(const Xi of _e.members)if(Wh(Xi))if(pn(Xi))Gu(Xi,Xi.name,vi);else{const Mr=zi();VI(Mr,Xi.name,{kind:"untransformed"})}if(q&&Ft(Ct(_e))&&be(),yi()){for(const Xi of _e.members)if(I_(Xi)){const Mr=r.getGeneratedPrivateNameForNode(Xi.name,void 0,"_accessor_storage");if(q||Lt&&bd(Xi))Gu(Xi,Mr,qo);else{const ds=zi();VI(ds,Mr,{kind:"untransformed"})}}}}let ci=Dn(_e.members,et,Od),Mi;Ft(ci,iu)||(Mi=Qt(void 0,_e));let Rn,Yr;if(!q&&Ft(we)){let Xi=r.createExpressionStatement(r.inlineExpressions(we));if(Xi.transformFlags&134234112){const ds=r.createTempVariable(u),Ks=r.createArrowFunction(void 0,void 0,[],void 0,void 0,r.createBlock([Xi]));Rn=r.createAssignment(ds,Ks),Xi=r.createExpressionStatement(r.createCallExpression(ds,void 0,[]))}const Mr=r.createBlock([Xi]);Yr=r.createClassStaticBlockDeclaration(Mr),we=void 0}if(Mi||Yr){let Xi;const Mr=Fe(ci,$7),ds=Fe(ci,sA);Xi=fn(Xi,Mr),Xi=fn(Xi,ds),Xi=fn(Xi,Mi),Xi=fn(Xi,Yr);const Ks=Mr||ds?$t(ci,Ic=>Ic!==Mr&&Ic!==ds):ci;Xi=Sr(Xi,Ks),ci=Ht(r.createNodeArray(Xi),_e.members)}return{members:ci,prologue:Rn}}function be(){const{weakSetName:_e}=zi().data;j.assert(_e,"weakSetName should be set in private identifier environment"),cn().push(r.createAssignment(_e,r.createNewExpression(r.createIdentifier("WeakSet"),void 0,[])))}function Qt(_e,Lt){if(_e=bt(_e,Pe,iu),!(Ae!=null&&Ae.data)||!(Ae.data.facts&16))return _e;const ci=L1(Lt),Mi=!!(ci&&Vu(ci.expression).kind!==106),Rn=yu(_e?_e.parameters:void 0,Pe,t),Yr=st(Lt,_e,Mi);return Yr?_e?(j.assert(Rn),r.updateConstructorDeclaration(_e,void 0,Rn,Yr)):bh(Ir(Ht(r.createConstructorDeclaration(void 0,Rn??[],Yr),_e||Lt),_e)):_e}function lt(_e,Lt,ci,Mi,Rn,Yr,Xi){const Mr=Mi[Rn],ds=Lt[Mr];if(Sr(_e,Dn(Lt,Pe,Ps,ci,Mr-ci)),ci=Mr+1,NI(ds)){const Ks=[];lt(Ks,ds.tryBlock.statements,0,Mi,Rn+1,Yr,Xi);const Ic=r.createNodeArray(Ks);Ht(Ic,ds.tryBlock.statements),_e.push(r.updateTryStatement(ds,r.updateBlock(ds.tryBlock,Ks),bt(ds.catchClause,Pe,lD),bt(ds.finallyBlock,Pe,Xo)))}else{for(Sr(_e,Dn(Lt,Pe,Ps,Mr,1));ci<Lt.length;){const Ks=Lt[ci];if(vp(Ql(Ks),Xi))ci++;else break}Sr(_e,Yr)}Sr(_e,Dn(Lt,Pe,Ps,ci))}function st(_e,Lt,ci){var Mi;const Rn=Hue(_e,!1,!1);let Yr=Rn;N||(Yr=$t(Yr,Xu=>!!Xu.initializer||Vs(Xu.name)||O1(Xu)));const Xi=Ct(_e),Mr=Ft(Yr)||Ft(Xi);if(!Lt&&!Mr)return _m(void 0,Pe,t);g();const ds=!Lt&&ci;let Ks=0,Ic=[];const Gl=[],Pu=r.createThis();if(wi(Gl,Xi,Pu),Lt){const Xu=$t(Rn,Cu=>vp(Ql(Cu),Lt)),Wl=$t(Yr,Cu=>!vp(Ql(Cu),Lt));hi(Gl,Xu,Pu),hi(Gl,Wl,Pu)}else hi(Gl,Yr,Pu);if(Lt!=null&&Lt.body){Ks=r.copyPrologue(Lt.body.statements,Ic,!1,Pe);const Xu=vQ(Lt.body.statements,Ks);if(Xu.length)lt(Ic,Lt.body.statements,Ks,Xu,0,Gl,Lt);else{for(;Ks<Lt.body.statements.length;){const Wl=Lt.body.statements[Ks];if(vp(Ql(Wl),Lt))Ks++;else break}Sr(Ic,Gl),Sr(Ic,Dn(Lt.body.statements,Pe,Ps,Ks))}}else ds&&Ic.push(r.createExpressionStatement(r.createCallExpression(r.createSuper(),void 0,[r.createSpreadElement(r.createIdentifier("arguments"))]))),Sr(Ic,Gl);if(Ic=r.mergeLexicalEnvironment(Ic,h()),Ic.length===0&&!Lt)return;const Ku=Lt!=null&&Lt.body&&Lt.body.statements.length>=Ic.length?Lt.body.multiLine??Ic.length>0:Ic.length>0;return Ht(r.createBlock(Ht(r.createNodeArray(Ic),((Mi=Lt==null?void 0:Lt.body)==null?void 0:Mi.statements)??_e.members),Ku),Lt==null?void 0:Lt.body)}function hi(_e,Lt,ci){for(const Mi of Lt){if(pa(Mi)&&!q)continue;const Rn=vn(Mi,ci);Rn&&_e.push(Rn)}}function vn(_e,Lt){const ci=gu(_e)?Fs(_e,Se,_e):Un(_e,Lt);if(!ci)return;const Mi=r.createExpressionStatement(ci);Ir(Mi,_e),F1(Mi,Ya(_e)&3072),Sd(Mi,_e);const Rn=Ql(_e);return $s(Rn)?(Ga(Mi,Rn),oH(Mi)):Ga(Mi,R1(_e)),e2(ci,void 0),OP(ci,void 0),O1(Rn)&&F1(Mi,3072),Mi}function ln(_e,Lt){const ci=[];for(const Mi of _e){const Rn=gu(Mi)?Fs(Mi,Se,Mi):Fs(Mi,()=>Un(Mi,Lt),void 0);Rn&&(bh(Rn),Ir(Rn,Mi),F1(Rn,Ya(Mi)&3072),Ga(Rn,R1(Mi)),Sd(Rn,Mi),ci.push(Rn))}return ci}function Un(_e,Lt){var ci;const Mi=qe,Rn=Pt(_e,Lt);return Rn&&bd(_e)&&((ci=Ae==null?void 0:Ae.data)!=null&&ci.facts)&&(Ir(Rn,_e),F1(Rn,4),Ga(Rn,yS(_e.name)),ke.set(Ql(_e),Ae)),qe=Mi,Rn}function Pt(_e,Lt){const ci=!N;Sp(_e,Ze)&&(_e=Dp(t,_e));const Mi=O1(_e)?r.getGeneratedPrivateNameForNode(_e.name):Ka(_e.name)&&!Uy(_e.name.expression)?r.updateComputedPropertyName(_e.name,r.getGeneratedNameForNode(_e.name)):_e.name;if(bd(_e)&&(qe=_e),Vs(Mi)&&pn(_e)){const Xi=ja(Mi);if(Xi)return Xi.kind==="f"?Xi.isStatic?ORt(r,Xi.variableName,bt(_e.initializer,Pe,jt)):MRt(r,Lt,bt(_e.initializer,Pe,jt),Xi.brandCheckIdentifier):void 0;j.fail("Undeclared private name for property declaration.")}if((Vs(Mi)||bd(_e))&&!_e.initializer)return;const Rn=Ql(_e);if(Zr(Rn,64))return;let Yr=bt(_e.initializer,Pe,jt);if(vp(Rn,Rn.parent)&&ot(Mi)){const Xi=r.cloneNode(Mi);Yr?(c_(Yr)&&vH(Yr.expression)&&_7(Yr.expression.left,"___runInitializers")&&UP(Yr.expression.right)&&A_(Yr.expression.right.expression)&&(Yr=Yr.expression.left),Yr=r.inlineExpressions([Yr,Xi])):Yr=Xi,tr(Mi,3168),Ga(Xi,Rn.name),tr(Xi,3072)}else Yr??(Yr=r.createVoidZero());if(ci||Vs(Mi)){const Xi=RI(r,Lt,Mi,Mi);return F1(Xi,1024),r.createAssignment(Xi,Yr)}else{const Xi=Ka(Mi)?Mi.expression:ot(Mi)?r.createStringLiteral(Ws(Mi.escapedText)):Mi,Mr=r.createPropertyDescriptor({value:Yr,configurable:!0,writable:!0,enumerable:!0});return r.createObjectDefinePropertyCall(Lt,Xi,Mr)}}function Bt(){ue&1||(ue|=1,t.enableSubstitution(80),Ie=[])}function fi(){ue&2||(ue|=2,t.enableSubstitution(110),t.enableEmitNotification(262),t.enableEmitNotification(218),t.enableEmitNotification(176),t.enableEmitNotification(177),t.enableEmitNotification(178),t.enableEmitNotification(174),t.enableEmitNotification(172),t.enableEmitNotification(167))}function wi(_e,Lt,ci){if(!q||!Ft(Lt))return;const{weakSetName:Mi}=zi().data;j.assert(Mi,"weakSetName should be set in private identifier environment"),_e.push(r.createExpressionStatement(RRt(r,ci,Mi)))}function Ri(_e){return Nr(_e)?r.updatePropertyAccessExpression(_e,r.createVoidZero(),_e.name):r.updateElementAccessExpression(_e,r.createVoidZero(),bt(_e.argumentExpression,Pe,jt))}function Ai(_e,Lt){if(Ka(_e)){const ci=WX(_e),Mi=bt(_e.expression,Pe,jt),Rn=l0(Mi),Yr=Uy(Rn);if(!(!!ci||lf(Rn)&&zl(Rn.left))&&!Yr&&Lt){const Mr=r.getGeneratedNameForNode(_e);return b.hasNodeCheckFlag(_e,32768)?C(Mr):u(Mr),r.createAssignment(Mr,Mi)}return Yr||ot(Rn)?void 0:Mi}}function Xn(){Ae={previous:Ae,data:void 0}}function Di(){Ae=Ae==null?void 0:Ae.previous}function on(){return j.assert(Ae),Ae.data??(Ae.data={facts:0,classConstructor:void 0,classThis:void 0,superClassReference:void 0})}function zi(){return j.assert(Ae),Ae.privateEnv??(Ae.privateEnv=FNe({className:void 0,weakSetName:void 0}))}function cn(){return we??(we=[])}function vi(_e,Lt,ci,Mi,Rn,Yr,Xi){I_(_e)?vu(_e,Lt,ci,Mi,Rn,Yr):Lo(_e)?qo(_e,Lt,ci,Mi,Rn,Yr):Nu(_e)?Wo(_e,Lt,ci,Mi,Rn,Yr):um(_e)?$o(_e,Lt,ci,Mi,Rn,Yr,Xi):kp(_e)&&Lc(_e,Lt,ci,Mi,Rn,Yr,Xi)}function qo(_e,Lt,ci,Mi,Rn,Yr,Xi){if(Rn){const Mr=j.checkDefined(ci.classThis??ci.classConstructor,"classConstructor should be set in private identifier environment"),ds=Ls(Lt);VI(Mi,Lt,{kind:"f",isStatic:!0,brandCheckIdentifier:Mr,variableName:ds,isValid:Yr})}else{const Mr=Ls(Lt);VI(Mi,Lt,{kind:"f",isStatic:!1,brandCheckIdentifier:Mr,isValid:Yr}),cn().push(r.createAssignment(Mr,r.createNewExpression(r.createIdentifier("WeakMap"),void 0,[])))}}function Wo(_e,Lt,ci,Mi,Rn,Yr,Xi){const Mr=Ls(Lt),ds=Rn?j.checkDefined(ci.classThis??ci.classConstructor,"classConstructor should be set in private identifier environment"):j.checkDefined(Mi.data.weakSetName,"weakSetName should be set in private identifier environment");VI(Mi,Lt,{kind:"m",methodName:Mr,brandCheckIdentifier:ds,isStatic:Rn,isValid:Yr})}function $o(_e,Lt,ci,Mi,Rn,Yr,Xi){const Mr=Ls(Lt,"_get"),ds=Rn?j.checkDefined(ci.classThis??ci.classConstructor,"classConstructor should be set in private identifier environment"):j.checkDefined(Mi.data.weakSetName,"weakSetName should be set in private identifier environment");(Xi==null?void 0:Xi.kind)==="a"&&Xi.isStatic===Rn&&!Xi.getterName?Xi.getterName=Mr:VI(Mi,Lt,{kind:"a",getterName:Mr,setterName:void 0,brandCheckIdentifier:ds,isStatic:Rn,isValid:Yr})}function Lc(_e,Lt,ci,Mi,Rn,Yr,Xi){const Mr=Ls(Lt,"_set"),ds=Rn?j.checkDefined(ci.classThis??ci.classConstructor,"classConstructor should be set in private identifier environment"):j.checkDefined(Mi.data.weakSetName,"weakSetName should be set in private identifier environment");(Xi==null?void 0:Xi.kind)==="a"&&Xi.isStatic===Rn&&!Xi.setterName?Xi.setterName=Mr:VI(Mi,Lt,{kind:"a",getterName:void 0,setterName:Mr,brandCheckIdentifier:ds,isStatic:Rn,isValid:Yr})}function vu(_e,Lt,ci,Mi,Rn,Yr,Xi){const Mr=Ls(Lt,"_get"),ds=Ls(Lt,"_set"),Ks=Rn?j.checkDefined(ci.classThis??ci.classConstructor,"classConstructor should be set in private identifier environment"):j.checkDefined(Mi.data.weakSetName,"weakSetName should be set in private identifier environment");VI(Mi,Lt,{kind:"a",getterName:Mr,setterName:ds,brandCheckIdentifier:Ks,isStatic:Rn,isValid:Yr})}function Gu(_e,Lt,ci){const Mi=on(),Rn=zi(),Yr=zue(Rn,Lt),Xi=bd(_e),Mr=!FRt(Lt)&&Yr===void 0;ci(_e,Lt,Mi,Rn,Xi,Mr,Yr)}function Zc(_e,Lt,ci){const{className:Mi}=zi().data,Rn=Mi?{prefix:"_",node:Mi,suffix:"_"}:"_",Yr=typeof _e=="object"?r.getGeneratedNameForNode(_e,24,Rn,ci):typeof _e=="string"?r.createUniqueName(_e,16,Rn,ci):r.createTempVariable(void 0,!0,Rn,ci);return b.hasNodeCheckFlag(Lt,32768)?C(Yr):u(Yr),Yr}function Ls(_e,Lt){const ci=D8(_e);return Zc((ci==null?void 0:ci.substring(1))??_e,_e,Lt)}function ja(_e){const Lt=BNe(Ae,_e);return(Lt==null?void 0:Lt.kind)==="untransformed"?void 0:Lt}function wf(_e){const Lt=r.getGeneratedNameForNode(_e),ci=ja(_e.name);if(!ci)return dn(_e,Pe,t);let Mi=_e.expression;return(aV(_e)||G_(_e)||!fD(_e.expression))&&(Mi=r.createTempVariable(u,!0),cn().push(r.createBinaryExpression(Mi,64,bt(_e.expression,Pe,jt)))),r.createAssignmentTargetWrapper(Lt,Rt(ci,Mi,Lt,64))}function Jo(_e){if(Aa(_e)||jf(_e))return ki(_e);if(F6(_e))return wf(_e);if(Q&&qe&&G_(_e)&&J7(qe)&&(Ae!=null&&Ae.data)){const{classConstructor:Lt,superClassReference:ci,facts:Mi}=Ae.data;if(Mi&1)return Ri(_e);if(Lt&&ci){const Rn=wl(_e)?bt(_e.argumentExpression,Pe,jt):ot(_e.name)?r.createStringLiteralFromNode(_e.name):void 0;if(Rn){const Yr=r.createTempVariable(void 0);return r.createAssignmentTargetWrapper(Yr,r.createReflectSetCall(ci,Rn,Yr,Lt))}}}return dn(_e,Pe,t)}function kh(_e){if(Sp(_e,Ze)&&(_e=Dp(t,_e)),lf(_e,!0)){const Lt=Jo(_e.left),ci=bt(_e.right,Pe,jt);return r.updateBinaryExpression(_e,Lt,_e.operatorToken,ci)}return Jo(_e)}function md(_e){if(N_(_e.expression)){const Lt=Jo(_e.expression);return r.updateSpreadElement(_e,Lt)}return dn(_e,Pe,t)}function go(_e){if(jW(_e)){if(Km(_e))return md(_e);if(!Bd(_e))return kh(_e)}return dn(_e,Pe,t)}function pc(_e){const Lt=bt(_e.name,Pe,id);if(lf(_e.initializer,!0)){const ci=kh(_e.initializer);return r.updatePropertyAssignment(_e,Lt,ci)}if(N_(_e.initializer)){const ci=Jo(_e.initializer);return r.updatePropertyAssignment(_e,Lt,ci)}return dn(_e,Pe,t)}function mc(_e){return Sp(_e,Ze)&&(_e=Dp(t,_e)),dn(_e,Pe,t)}function oo(_e){if(N_(_e.expression)){const Lt=Jo(_e.expression);return r.updateSpreadAssignment(_e,Lt)}return dn(_e,Pe,t)}function tc(_e){return j.assertNode(_e,HW),Kv(_e)?oo(_e):lh(_e)?mc(_e):_d(_e)?pc(_e):dn(_e,Pe,t)}function ki(_e){return jf(_e)?r.updateArrayLiteralExpression(_e,Dn(_e.elements,go,jt)):r.updateObjectLiteralExpression(_e,Dn(_e.properties,tc,Ub))}function Gc(_e,Lt,ci){const Mi=Ql(Lt),Rn=ke.get(Mi);if(Rn){const Yr=Ae,Xi=Ne;Ae=Rn,Ne=se,se=!gu(Mi)||!(u0(Mi)&32),pe(_e,Lt,ci),se=Ne,Ne=Xi,Ae=Yr;return}switch(Lt.kind){case 218:if(xl(Mi)||Ya(Lt)&524288)break;case 262:case 176:case 177:case 178:case 174:case 172:{const Yr=Ae,Xi=Ne;Ae=void 0,Ne=se,se=!1,pe(_e,Lt,ci),se=Ne,Ne=Xi,Ae=Yr;return}case 167:{const Yr=Ae,Xi=se;Ae=Ae==null?void 0:Ae.previous,se=Ne,pe(_e,Lt,ci),se=Xi,Ae=Yr;return}}pe(_e,Lt,ci)}function uh(_e,Lt){return Lt=oe(_e,Lt),_e===1?sd(Lt):Lt}function sd(_e){switch(_e.kind){case 80:return Nl(_e);case 110:return Pp(_e)}return _e}function Pp(_e){if(ue&2&&(Ae!=null&&Ae.data)&&!Te.has(_e)){const{facts:Lt,classConstructor:ci,classThis:Mi}=Ae.data,Rn=se?Mi??ci:ci;if(Rn)return Ht(Ir(r.cloneNode(Rn),_e),_e);if(Lt&1&&R)return r.createParenthesizedExpression(r.createVoidZero())}return _e}function Nl(_e){return Ve(_e)||_e}function Ve(_e){if(ue&1&&b.hasNodeCheckFlag(_e,536870912)){const Lt=b.getReferencedValueDeclaration(_e);if(Lt){const ci=Ie[Lt.id];if(ci){const Mi=r.cloneNode(ci);return Ga(Mi,_e),Sd(Mi,_e),Mi}}}}}function ORt(t,r,a){return t.createAssignment(r,t.createObjectLiteralExpression([t.createPropertyAssignment("value",a||t.createVoidZero())]))}function MRt(t,r,a,u){return t.createCallExpression(t.createPropertyAccessExpression(u,"set"),void 0,[r,a||t.createVoidZero()])}function RRt(t,r,a){return t.createCallExpression(t.createPropertyAccessExpression(a,"add"),void 0,[r])}function FRt(t){return!uI(t)&&t.escapedText==="#constructor"}function BRt(t){return Vs(t.left)&&t.operatorToken.kind===103}function WRt(t){return Lo(t)&&bd(t)}function J7(t){return gu(t)||WRt(t)}function GNe(t){const{factory:r,hoistVariableDeclaration:a}=t,u=t.getEmitResolver(),h=t.getCompilerOptions(),p=Ja(h),g=ah(h,"strictNullChecks");let C,b;return{serializeTypeNode:(we,he)=>T(we,U,he),serializeTypeOfNode:(we,he,Ae)=>T(we,N,he,Ae),serializeParameterTypesOfNode:(we,he,Ae)=>T(we,R,he,Ae),serializeReturnTypeOfNode:(we,he)=>T(we,H,he)};function T(we,he,Ae,ke){const Te=C,De=b;C=we.currentLexicalScope,b=we.currentNameScope;const qe=ke===void 0?he(Ae):he(Ae,ke);return C=Te,b=De,qe}function E(we,he){const Ae=nx(he.members,we);return Ae.setAccessor&&QTe(Ae.setAccessor)||Ae.getAccessor&&wp(Ae.getAccessor)}function N(we,he){switch(we.kind){case 172:case 169:return U(we.type);case 178:case 177:return U(E(we,he));case 263:case 231:case 174:return r.createIdentifier("Function");default:return r.createVoidZero()}}function R(we,he){const Ae=ss(we)?Vv(we):Ho(we)&&Dg(we.body)?we:void 0,ke=[];if(Ae){const Te=F(Ae,he),De=Te.length;for(let qe=0;qe<De;qe++){const se=Te[qe];qe===0&&ot(se.name)&&se.name.escapedText==="this"||(se.dotDotDotToken?ke.push(U(Aae(se.type))):ke.push(N(se,he)))}}return r.createArrayLiteralExpression(ke)}function F(we,he){if(he&&we.kind===177){const{setAccessor:Ae}=nx(he.members,we);if(Ae)return Ae.parameters}return we.parameters}function H(we){return Ho(we)&&we.type?U(we.type):W8(we)?r.createIdentifier("Promise"):r.createVoidZero()}function U(we){if(we===void 0)return r.createIdentifier("Object");switch(we=M8(we),we.kind){case 116:case 157:case 146:return r.createVoidZero();case 184:case 185:return r.createIdentifier("Function");case 188:case 189:return r.createIdentifier("Array");case 182:return we.assertsModifier?r.createVoidZero():r.createIdentifier("Boolean");case 136:return r.createIdentifier("Boolean");case 203:case 154:return r.createIdentifier("String");case 151:return r.createIdentifier("Object");case 201:return q(we.literal);case 150:return r.createIdentifier("Number");case 163:return Ie("BigInt",7);case 155:return Ie("Symbol",2);case 183:return Q(we);case 193:return X(we.types,!0);case 192:return X(we.types,!1);case 194:return X([we.trueType,we.falseType],!1);case 198:if(we.operator===148)return U(we.type);break;case 186:case 199:case 200:case 187:case 133:case 159:case 197:case 205:break;case 312:case 313:case 317:case 318:case 319:break;case 314:case 315:case 316:return U(we.type);default:return j.failBadSyntaxKind(we)}return r.createIdentifier("Object")}function q(we){switch(we.kind){case 11:case 15:return r.createIdentifier("String");case 224:{const he=we.operand;switch(he.kind){case 9:case 10:return q(he);default:return j.failBadSyntaxKind(he)}}case 9:return r.createIdentifier("Number");case 10:return Ie("BigInt",7);case 112:case 97:return r.createIdentifier("Boolean");case 106:return r.createVoidZero();default:return j.failBadSyntaxKind(we)}}function X(we,he){let Ae;for(let ke of we){if(ke=M8(ke),ke.kind===146){if(he)return r.createVoidZero();continue}if(ke.kind===159){if(!he)return r.createIdentifier("Object");continue}if(ke.kind===133)return r.createIdentifier("Object");if(!g&&(bS(ke)&&ke.literal.kind===106||ke.kind===157))continue;const Te=U(ke);if(ot(Te)&&Te.escapedText==="Object")return Te;if(Ae){if(!Z(Ae,Te))return r.createIdentifier("Object")}else Ae=Te}return Ae??r.createVoidZero()}function Z(we,he){return zl(we)?zl(he):ot(we)?ot(he)&&we.escapedText===he.escapedText:Nr(we)?Nr(he)&&Z(we.expression,he.expression)&&Z(we.name,he.name):UP(we)?UP(he)&&A_(we.expression)&&we.expression.text==="0"&&A_(he.expression)&&he.expression.text==="0":Ha(we)?Ha(he)&&we.text===he.text:S3(we)?S3(he)&&Z(we.expression,he.expression):c_(we)?c_(he)&&Z(we.expression,he.expression):qP(we)?qP(he)&&Z(we.condition,he.condition)&&Z(we.whenTrue,he.whenTrue)&&Z(we.whenFalse,he.whenFalse):ur(we)?ur(he)&&we.operatorToken.kind===he.operatorToken.kind&&Z(we.left,he.left)&&Z(we.right,he.right):!1}function Q(we){const he=u.getTypeReferenceSerializationKind(we.typeName,b??C);switch(he){case 0:if(Qi(we,Te=>Te.parent&&iD(Te.parent)&&(Te.parent.trueType===Te||Te.parent.falseType===Te)))return r.createIdentifier("Object");const Ae=oe(we.typeName),ke=r.createTempVariable(a);return r.createConditionalExpression(r.createTypeCheck(r.createAssignment(ke,Ae),"function"),void 0,ke,void 0,r.createIdentifier("Object"));case 1:return pe(we.typeName);case 2:return r.createVoidZero();case 4:return Ie("BigInt",7);case 6:return r.createIdentifier("Boolean");case 3:return r.createIdentifier("Number");case 5:return r.createIdentifier("String");case 7:return r.createIdentifier("Array");case 8:return Ie("Symbol",2);case 10:return r.createIdentifier("Function");case 9:return r.createIdentifier("Promise");case 11:return r.createIdentifier("Object");default:return j.assertNever(he)}}function re(we,he){return r.createLogicalAnd(r.createStrictInequality(r.createTypeOfExpression(we),r.createStringLiteral("undefined")),he)}function oe(we){if(we.kind===80){const ke=pe(we);return re(ke,ke)}if(we.left.kind===80)return re(pe(we.left),pe(we));const he=oe(we.left),Ae=r.createTempVariable(a);return r.createLogicalAnd(r.createLogicalAnd(he.left,r.createStrictInequality(r.createAssignment(Ae,he.right),r.createVoidZero())),r.createPropertyAccessExpression(Ae,we.right))}function pe(we){switch(we.kind){case 80:const he=_c(Ht(l2.cloneNode(we),we),we.parent);return he.original=void 0,_c(he,Mo(C)),he;case 166:return de(we)}}function de(we){return r.createPropertyAccessExpression(pe(we.left),we.right)}function ue(we){return r.createConditionalExpression(r.createTypeCheck(r.createIdentifier(we),"function"),void 0,r.createIdentifier(we),void 0,r.createIdentifier("Object"))}function Ie(we,he){return p<he?ue(we):r.createIdentifier(we)}}function KNe(t){const{factory:r,getEmitHelperFactory:a,hoistVariableDeclaration:u}=t,h=t.getEmitResolver(),p=t.getCompilerOptions(),g=Ja(p),C=t.onSubstituteNode;t.onSubstituteNode=Me;let b;return q0(t,T);function T(dt){const zt=dn(dt,N,t);return Zb(zt,t.readEmitHelpers()),zt}function E(dt){return Fd(dt)?void 0:dt}function N(dt){if(!(dt.transformFlags&33554432))return dt;switch(dt.kind){case 170:return;case 263:return R(dt);case 231:return Q(dt);case 176:return re(dt);case 174:return pe(dt);case 178:return ue(dt);case 177:return de(dt);case 172:return Ie(dt);case 169:return we(dt);default:return dn(dt,N,t)}}function R(dt){if(!(dS(!0,dt)||N8(!0,dt)))return dn(dt,N,t);const zt=dS(!0,dt)?Z(dt,dt.name):X(dt,dt.name);return Sg(zt)}function F(dt){return!!(dt.transformFlags&536870912)}function H(dt){return Ft(dt,F)}function U(dt){for(const zt of dt.members){if(!uD(zt))continue;const Ei=CQ(zt,dt,!0);if(Ft(Ei==null?void 0:Ei.decorators,F)||Ft(Ei==null?void 0:Ei.parameters,H))return!0}return!1}function q(dt,zt){let Ei=[];return ke(Ei,dt,!1),ke(Ei,dt,!0),U(dt)&&(zt=Ht(r.createNodeArray([...zt,r.createClassStaticBlockDeclaration(r.createBlock(Ei,!0))]),zt),Ei=void 0),{decorationStatements:Ei,members:zt}}function X(dt,zt){const Ei=Dn(dt.modifiers,E,Fa),tn=Dn(dt.heritageClauses,N,Tp);let xn=Dn(dt.members,N,Od),Pn=[];({members:xn,decorationStatements:Pn}=q(dt,xn));const _i=r.updateClassDeclaration(dt,Ei,zt,void 0,tn,xn);return Sr([_i],Pn)}function Z(dt,zt){const Ei=Zr(dt,32),tn=Zr(dt,2048),xn=Dn(dt.modifiers,yi=>SH(yi)||Fd(yi)?void 0:yi,Ul),Pn=R1(dt),_i=Nt(dt),Fi=g<2?r.getInternalName(dt,!1,!0):r.getLocalName(dt,!1,!0),On=Dn(dt.heritageClauses,N,Tp);let pn=Dn(dt.members,N,Od),qr=[];({members:pn,decorationStatements:qr}=q(dt,pn));const Fs=g>=9&&!!_i&&Ft(pn,yi=>Lo(yi)&&Zr(yi,256)||gu(yi));Fs&&(pn=Ht(r.createNodeArray([r.createClassStaticBlockDeclaration(r.createBlock([r.createExpressionStatement(r.createAssignment(_i,r.createThis()))])),...pn]),pn));const ko=r.createClassExpression(xn,zt&&zl(zt)?void 0:zt,void 0,On,pn);Ir(ko,dt),Ht(ko,Pn);const ls=_i&&!Fs?r.createAssignment(_i,ko):ko,Qs=r.createVariableDeclaration(Fi,void 0,void 0,ls);Ir(Qs,dt);const Mn=r.createVariableDeclarationList([Qs],1),Zs=r.createVariableStatement(void 0,Mn);Ir(Zs,dt),Ht(Zs,Pn),Sd(Zs,dt);const at=[Zs];if(Sr(at,qr),Ne(at,dt),Ei)if(tn){const yi=r.createExportDefault(Fi);at.push(yi)}else{const yi=r.createExternalModuleExport(r.getDeclarationName(dt));at.push(yi)}return at}function Q(dt){return r.updateClassExpression(dt,Dn(dt.modifiers,E,Fa),dt.name,void 0,Dn(dt.heritageClauses,N,Tp),Dn(dt.members,N,Od))}function re(dt){return r.updateConstructorDeclaration(dt,Dn(dt.modifiers,E,Fa),Dn(dt.parameters,N,$s),bt(dt.body,N,Xo))}function oe(dt,zt){return dt!==zt&&(Sd(dt,zt),Ga(dt,R1(zt))),dt}function pe(dt){return oe(r.updateMethodDeclaration(dt,Dn(dt.modifiers,E,Fa),dt.asteriskToken,j.checkDefined(bt(dt.name,N,id)),void 0,void 0,Dn(dt.parameters,N,$s),void 0,bt(dt.body,N,Xo)),dt)}function de(dt){return oe(r.updateGetAccessorDeclaration(dt,Dn(dt.modifiers,E,Fa),j.checkDefined(bt(dt.name,N,id)),Dn(dt.parameters,N,$s),void 0,bt(dt.body,N,Xo)),dt)}function ue(dt){return oe(r.updateSetAccessorDeclaration(dt,Dn(dt.modifiers,E,Fa),j.checkDefined(bt(dt.name,N,id)),Dn(dt.parameters,N,$s),bt(dt.body,N,Xo)),dt)}function Ie(dt){if(!(dt.flags&33554432||Zr(dt,128)))return oe(r.updatePropertyDeclaration(dt,Dn(dt.modifiers,E,Fa),j.checkDefined(bt(dt.name,N,id)),void 0,void 0,bt(dt.initializer,N,jt)),dt)}function we(dt){const zt=r.updateParameterDeclaration(dt,pIe(r,dt.modifiers),dt.dotDotDotToken,j.checkDefined(bt(dt.name,N,dI)),void 0,void 0,bt(dt.initializer,N,jt));return zt!==dt&&(Sd(zt,dt),Ht(zt,R1(dt)),Ga(zt,R1(dt)),tr(zt.name,64)),zt}function he(dt){return _7(dt.expression,"___metadata")}function Ae(dt){if(!dt)return;const{false:zt,true:Ei}=ra(dt.decorators,he),tn=[];return Sr(tn,qt(zt,He)),Sr(tn,Rr(dt.parameters,Pe)),Sr(tn,qt(Ei,He)),tn}function ke(dt,zt,Ei){Sr(dt,qt(qe(zt,Ei),tn=>r.createExpressionStatement(tn)))}function Te(dt,zt,Ei){return uV(!0,dt,Ei)&&zt===pa(dt)}function De(dt,zt){return $t(dt.members,Ei=>Te(Ei,zt,dt))}function qe(dt,zt){const Ei=De(dt,zt);let tn;for(const xn of Ei)tn=fn(tn,se(dt,xn));return tn}function se(dt,zt){const Ei=CQ(zt,dt,!0),tn=Ae(Ei);if(!tn)return;const xn=et(dt,zt),Pn=We(zt,!Zr(zt,128)),_i=Lo(zt)&&!O1(zt)?r.createVoidZero():r.createNull(),Fi=a().createDecorateHelper(tn,xn,Pn,_i);return tr(Fi,3072),Ga(Fi,R1(zt)),Fi}function Ne(dt,zt){const Ei=Oe(zt);Ei&&dt.push(Ir(r.createExpressionStatement(Ei),zt))}function Oe(dt){const zt=jue(dt),Ei=Ae(zt);if(!Ei)return;const tn=b&&b[d_(dt)],xn=g<2?r.getInternalName(dt,!1,!0):r.getDeclarationName(dt,!1,!0),Pn=a().createDecorateHelper(Ei,xn),_i=r.createAssignment(xn,tn?r.createAssignment(tn,Pn):Pn);return tr(_i,3072),Ga(_i,R1(dt)),_i}function He(dt){return j.checkDefined(bt(dt.expression,N,jt))}function Pe(dt,zt){let Ei;if(dt){Ei=[];for(const tn of dt){const xn=a().createParamHelper(He(tn),zt);Ht(xn,tn.expression),tr(xn,3072),Ei.push(xn)}}return Ei}function We(dt,zt){const Ei=dt.name;return Vs(Ei)?r.createIdentifier(""):Ka(Ei)?zt&&!Uy(Ei.expression)?r.getGeneratedNameForNode(Ei):Ei.expression:ot(Ei)?r.createStringLiteral(Fr(Ei)):r.cloneNode(Ei)}function ze(){b||(t.enableSubstitution(80),b=[])}function Nt(dt){if(h.hasNodeCheckFlag(dt,262144)){ze();const zt=r.createUniqueName(dt.name&&!zl(dt.name)?Fr(dt.name):"default");return b[d_(dt)]=zt,u(zt),zt}}function $e(dt){return r.createPropertyAccessExpression(r.getDeclarationName(dt),"prototype")}function et(dt,zt){return pa(zt)?r.getDeclarationName(dt):$e(dt)}function Me(dt,zt){return zt=C(dt,zt),dt===1?ut(zt):zt}function ut(dt){switch(dt.kind){case 80:return wt(dt)}return dt}function wt(dt){return Et(dt)??dt}function Et(dt){if(b&&h.hasNodeCheckFlag(dt,536870912)){const zt=h.getReferencedValueDeclaration(dt);if(zt){const Ei=b[zt.id];if(Ei){const tn=r.cloneNode(Ei);return Ga(tn,dt),Sd(tn,dt),tn}}}}}function XNe(t){const{factory:r,getEmitHelperFactory:a,startLexicalEnvironment:u,endLexicalEnvironment:h,hoistVariableDeclaration:p}=t,g=Ja(t.getCompilerOptions());let C,b,T,E,N,R;return q0(t,F);function F(le){C=void 0,R=!1;const Ue=dn(le,ue,t);return Zb(Ue,t.readEmitHelpers()),R&&(AP(Ue,32),R=!1),Ue}function H(){switch(b=void 0,T=void 0,E=void 0,C==null?void 0:C.kind){case"class":b=C.classInfo;break;case"class-element":b=C.next.classInfo,T=C.classThis,E=C.classSuper;break;case"name":const le=C.next.next.next;(le==null?void 0:le.kind)==="class-element"&&(b=le.next.classInfo,T=le.classThis,E=le.classSuper);break}}function U(le){C={kind:"class",next:C,classInfo:le,savedPendingExpressions:N},N=void 0,H()}function q(){j.assert((C==null?void 0:C.kind)==="class","Incorrect value for top.kind.",()=>`Expected top.kind to be 'class' but got '${C==null?void 0:C.kind}' instead.`),N=C.savedPendingExpressions,C=C.next,H()}function X(le){var Ue,be;j.assert((C==null?void 0:C.kind)==="class","Incorrect value for top.kind.",()=>`Expected top.kind to be 'class' but got '${C==null?void 0:C.kind}' instead.`),C={kind:"class-element",next:C},(gu(le)||Lo(le)&&bd(le))&&(C.classThis=(Ue=C.next.classInfo)==null?void 0:Ue.classThis,C.classSuper=(be=C.next.classInfo)==null?void 0:be.classSuper),H()}function Z(){var le;j.assert((C==null?void 0:C.kind)==="class-element","Incorrect value for top.kind.",()=>`Expected top.kind to be 'class-element' but got '${C==null?void 0:C.kind}' instead.`),j.assert(((le=C.next)==null?void 0:le.kind)==="class","Incorrect value for top.next.kind.",()=>{var Ue;return`Expected top.next.kind to be 'class' but got '${(Ue=C.next)==null?void 0:Ue.kind}' instead.`}),C=C.next,H()}function Q(){j.assert((C==null?void 0:C.kind)==="class-element","Incorrect value for top.kind.",()=>`Expected top.kind to be 'class-element' but got '${C==null?void 0:C.kind}' instead.`),C={kind:"name",next:C},H()}function re(){j.assert((C==null?void 0:C.kind)==="name","Incorrect value for top.kind.",()=>`Expected top.kind to be 'name' but got '${C==null?void 0:C.kind}' instead.`),C=C.next,H()}function oe(){(C==null?void 0:C.kind)==="other"?(j.assert(!N),C.depth++):(C={kind:"other",next:C,depth:0,savedPendingExpressions:N},N=void 0,H())}function pe(){j.assert((C==null?void 0:C.kind)==="other","Incorrect value for top.kind.",()=>`Expected top.kind to be 'other' but got '${C==null?void 0:C.kind}' instead.`),C.depth>0?(j.assert(!N),C.depth--):(N=C.savedPendingExpressions,C=C.next,H())}function de(le){return!!(le.transformFlags&33554432)||!!T&&!!(le.transformFlags&16384)||!!T&&!!E&&!!(le.transformFlags&134217728)}function ue(le){if(!de(le))return le;switch(le.kind){case 170:return j.fail("Use `modifierVisitor` instead.");case 263:return Oe(le);case 231:return He(le);case 176:case 172:case 175:return j.fail("Not supported outside of a class. Use 'classElementVisitor' instead.");case 169:return Pn(le);case 226:return qr(le,!1);case 303:return Zs(le);case 260:return at(le);case 208:return yi(le);case 277:return St(le);case 110:return dt(le);case 248:return On(le);case 244:return pn(le);case 355:return ko(le,!1);case 217:return ri(le,!1);case 354:return xe(le);case 213:return zt(le);case 215:return Ei(le);case 224:case 225:return Fs(le,!1);case 211:return tn(le);case 212:return xn(le);case 167:return Mn(le);case 174:case 178:case 177:case 218:case 262:{oe();const Ue=dn(le,Ie,t);return pe(),Ue}default:return dn(le,Ie,t)}}function Ie(le){switch(le.kind){case 170:return;default:return ue(le)}}function we(le){switch(le.kind){case 170:return;default:return le}}function he(le){switch(le.kind){case 176:return ze(le);case 174:return et(le);case 177:return Me(le);case 178:return ut(le);case 172:return Et(le);case 175:return wt(le);default:return ue(le)}}function Ae(le){switch(le.kind){case 224:case 225:return Fs(le,!0);case 226:return qr(le,!0);case 355:return ko(le,!0);case 217:return ri(le,!0);default:return ue(le)}}function ke(le){let Ue=le.name&&ot(le.name)&&!zl(le.name)?Fr(le.name):le.name&&Vs(le.name)&&!zl(le.name)?Fr(le.name).slice(1):le.name&&Ha(le.name)&&J_(le.name.text,99)?le.name.text:ss(le)?"class":"member";return oS(le)&&(Ue=`get_${Ue}`),D1(le)&&(Ue=`set_${Ue}`),le.name&&Vs(le.name)&&(Ue=`private_${Ue}`),pa(le)&&(Ue=`static_${Ue}`),"_"+Ue}function Te(le,Ue){return r.createUniqueName(`${ke(le)}_${Ue}`,24)}function De(le,Ue){return r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(le,void 0,void 0,Ue)],1))}function qe(le){const Ue=r.createUniqueName("_metadata",48);let be,Qt,lt=!1,st=!1,hi=!1,vn,ln,Un;if(K6(!1,le)){const Pt=Ft(le.members,Bt=>(Wh(Bt)||I_(Bt))&&bd(Bt));vn=r.createUniqueName("_classThis",Pt?24:48)}for(const Pt of le.members){if(iP(Pt)&&uV(!1,Pt,le))if(bd(Pt)){if(!Qt){Qt=r.createUniqueName("_staticExtraInitializers",48);const Bt=a().createRunInitializersHelper(vn??r.createThis(),Qt);Ga(Bt,le.name??Kb(le)),ln??(ln=[]),ln.push(Bt)}}else{if(!be){be=r.createUniqueName("_instanceExtraInitializers",48);const Bt=a().createRunInitializersHelper(r.createThis(),be);Ga(Bt,le.name??Kb(le)),Un??(Un=[]),Un.push(Bt)}be??(be=r.createUniqueName("_instanceExtraInitializers",48))}if(gu(Pt)?sA(Pt)||(lt=!0):Lo(Pt)&&(bd(Pt)?lt||(lt=!!Pt.initializer||lm(Pt)):st||(st=!Tae(Pt))),(Wh(Pt)||I_(Pt))&&bd(Pt)&&(hi=!0),Qt&&be&&lt&&st&&hi)break}return{class:le,classThis:vn,metadataReference:Ue,instanceMethodExtraInitializersName:be,staticMethodExtraInitializersName:Qt,hasStaticInitializers:lt,hasNonAmbientInstanceFields:st,hasStaticPrivateClassElements:hi,pendingStaticInitializers:ln,pendingInstanceInitializers:Un}}function se(le){u(),!que(le)&&dS(!1,le)&&(le=xQ(t,le,r.createStringLiteral("")));const Ue=r.getLocalName(le,!1,!1,!0),be=qe(le),Qt=[];let lt,st,hi,vn,ln=!1;const Un=gt(jue(le));Un&&(be.classDecoratorsName=r.createUniqueName("_classDecorators",48),be.classDescriptorName=r.createUniqueName("_classDescriptor",48),be.classExtraInitializersName=r.createUniqueName("_classExtraInitializers",48),j.assertIsDefined(be.classThis),Qt.push(De(be.classDecoratorsName,r.createArrayLiteralExpression(Un)),De(be.classDescriptorName),De(be.classExtraInitializersName,r.createArrayLiteralExpression()),De(be.classThis)),be.hasStaticPrivateClassElements&&(ln=!0,R=!0));const Pt=IV(le.heritageClauses,96),Bt=Pt&&Ra(Pt.types),fi=Bt&&bt(Bt.expression,ue,jt);if(fi){be.classSuper=r.createUniqueName("_classSuper",48);const zi=Vu(fi),cn=fd(zi)&&!zi.name||ml(zi)&&!zi.name||xl(zi)?r.createComma(r.createNumericLiteral(0),fi):fi;Qt.push(De(be.classSuper,cn));const vi=r.updateExpressionWithTypeArguments(Bt,be.classSuper,void 0),qo=r.updateHeritageClause(Pt,[vi]);vn=r.createNodeArray([qo])}const wi=be.classThis??r.createThis();U(be),lt=fn(lt,te(be.metadataReference,be.classSuper));let Ri=le.members;if(Ri=Dn(Ri,zi=>iu(zi)?zi:he(zi),Od),Ri=Dn(Ri,zi=>iu(zi)?he(zi):zi,Od),N){let zi;for(let cn of N){cn=bt(cn,function qo(Wo){if(!(Wo.transformFlags&16384))return Wo;switch(Wo.kind){case 110:return zi||(zi=r.createUniqueName("_outerThis",16),Qt.unshift(De(zi,r.createThis()))),zi;default:return dn(Wo,qo,t)}},jt);const vi=r.createExpressionStatement(cn);lt=fn(lt,vi)}N=void 0}if(q(),Ft(be.pendingInstanceInitializers)&&!Vv(le)){const zi=Pe(le,be);if(zi){const cn=L1(le),vi=!!(cn&&Vu(cn.expression).kind!==106),qo=[];if(vi){const $o=r.createSpreadElement(r.createIdentifier("arguments")),Lc=r.createCallExpression(r.createSuper(),void 0,[$o]);qo.push(r.createExpressionStatement(Lc))}Sr(qo,zi);const Wo=r.createBlock(qo,!0);hi=r.createConstructorDeclaration(void 0,[],Wo)}}if(be.staticMethodExtraInitializersName&&Qt.push(De(be.staticMethodExtraInitializersName,r.createArrayLiteralExpression())),be.instanceMethodExtraInitializersName&&Qt.push(De(be.instanceMethodExtraInitializersName,r.createArrayLiteralExpression())),be.memberInfos&&cf(be.memberInfos,(zi,cn)=>{pa(cn)&&(Qt.push(De(zi.memberDecoratorsName)),zi.memberInitializersName&&Qt.push(De(zi.memberInitializersName,r.createArrayLiteralExpression())),zi.memberExtraInitializersName&&Qt.push(De(zi.memberExtraInitializersName,r.createArrayLiteralExpression())),zi.memberDescriptorName&&Qt.push(De(zi.memberDescriptorName)))}),be.memberInfos&&cf(be.memberInfos,(zi,cn)=>{pa(cn)||(Qt.push(De(zi.memberDecoratorsName)),zi.memberInitializersName&&Qt.push(De(zi.memberInitializersName,r.createArrayLiteralExpression())),zi.memberExtraInitializersName&&Qt.push(De(zi.memberExtraInitializersName,r.createArrayLiteralExpression())),zi.memberDescriptorName&&Qt.push(De(zi.memberDescriptorName)))}),lt=Sr(lt,be.staticNonFieldDecorationStatements),lt=Sr(lt,be.nonStaticNonFieldDecorationStatements),lt=Sr(lt,be.staticFieldDecorationStatements),lt=Sr(lt,be.nonStaticFieldDecorationStatements),be.classDescriptorName&&be.classDecoratorsName&&be.classExtraInitializersName&&be.classThis){lt??(lt=[]);const zi=r.createPropertyAssignment("value",wi),cn=r.createObjectLiteralExpression([zi]),vi=r.createAssignment(be.classDescriptorName,cn),qo=r.createPropertyAccessExpression(wi,"name"),Wo=a().createESDecorateHelper(r.createNull(),vi,be.classDecoratorsName,{kind:"class",name:qo,metadata:be.metadataReference},r.createNull(),be.classExtraInitializersName),$o=r.createExpressionStatement(Wo);Ga($o,Kb(le)),lt.push($o);const Lc=r.createPropertyAccessExpression(be.classDescriptorName,"value"),vu=r.createAssignment(be.classThis,Lc),Gu=r.createAssignment(Ue,vu);lt.push(r.createExpressionStatement(Gu))}if(lt.push(tt(wi,be.metadataReference)),Ft(be.pendingStaticInitializers)){for(const zi of be.pendingStaticInitializers){const cn=r.createExpressionStatement(zi);Ga(cn,yS(zi)),st=fn(st,cn)}be.pendingStaticInitializers=void 0}if(be.classExtraInitializersName){const zi=a().createRunInitializersHelper(wi,be.classExtraInitializersName),cn=r.createExpressionStatement(zi);Ga(cn,le.name??Kb(le)),st=fn(st,cn)}lt&&st&&!be.hasStaticInitializers&&(Sr(lt,st),st=void 0);const Ai=lt&&r.createClassStaticBlockDeclaration(r.createBlock(lt,!0));Ai&&ln&&aH(Ai,32);const Xn=st&&r.createClassStaticBlockDeclaration(r.createBlock(st,!0));if(Ai||hi||Xn){const zi=[],cn=Ri.findIndex(sA);Ai?(Sr(zi,Ri,0,cn+1),zi.push(Ai),Sr(zi,Ri,cn+1)):Sr(zi,Ri),hi&&zi.push(hi),Xn&&zi.push(Xn),Ri=Ht(r.createNodeArray(zi),Ri)}const Di=h();let on;if(Un){on=r.createClassExpression(void 0,void 0,void 0,vn,Ri),be.classThis&&(on=jNe(r,on,be.classThis));const zi=r.createVariableDeclaration(Ue,void 0,void 0,on),cn=r.createVariableDeclarationList([zi]),vi=be.classThis?r.createAssignment(Ue,be.classThis):Ue;Qt.push(r.createVariableStatement(void 0,cn),r.createReturnStatement(vi))}else on=r.createClassExpression(void 0,le.name,void 0,vn,Ri),Qt.push(r.createReturnStatement(on));if(ln){AP(on,32);for(const zi of on.members)(Wh(zi)||I_(zi))&&bd(zi)&&AP(zi,32)}return Ir(on,le),r.createImmediatelyInvokedArrowFunction(r.mergeLexicalEnvironment(Qt,Di))}function Ne(le){return dS(!1,le)||N8(!1,le)}function Oe(le){if(Ne(le)){const Ue=[],be=Ql(le,ss)??le,Qt=be.name?r.createStringLiteralFromNode(be.name):r.createStringLiteral("default"),lt=Zr(le,32),st=Zr(le,2048);if(le.name||(le=xQ(t,le,Qt)),lt&&st){const hi=se(le);if(le.name){const vn=r.createVariableDeclaration(r.getLocalName(le),void 0,void 0,hi);Ir(vn,le);const ln=r.createVariableDeclarationList([vn],1),Un=r.createVariableStatement(void 0,ln);Ue.push(Un);const Pt=r.createExportDefault(r.getDeclarationName(le));Ir(Pt,le),Sd(Pt,B1(le)),Ga(Pt,Kb(le)),Ue.push(Pt)}else{const vn=r.createExportDefault(hi);Ir(vn,le),Sd(vn,B1(le)),Ga(vn,Kb(le)),Ue.push(vn)}}else{j.assertIsDefined(le.name,"A class declaration that is not a default export must have a name.");const hi=se(le),vn=lt?wi=>BP(wi)?void 0:we(wi):we,ln=Dn(le.modifiers,vn,Fa),Un=r.getLocalName(le,!1,!0),Pt=r.createVariableDeclaration(Un,void 0,void 0,hi);Ir(Pt,le);const Bt=r.createVariableDeclarationList([Pt],1),fi=r.createVariableStatement(ln,Bt);if(Ir(fi,le),Sd(fi,B1(le)),Ue.push(fi),lt){const wi=r.createExternalModuleExport(Un);Ir(wi,le),Ue.push(wi)}}return Sg(Ue)}else{const Ue=Dn(le.modifiers,we,Fa),be=Dn(le.heritageClauses,ue,Tp);U(void 0);const Qt=Dn(le.members,he,Od);return q(),r.updateClassDeclaration(le,Ue,le.name,void 0,be,Qt)}}function He(le){if(Ne(le)){const Ue=se(le);return Ir(Ue,le),Ue}else{const Ue=Dn(le.modifiers,we,Fa),be=Dn(le.heritageClauses,ue,Tp);U(void 0);const Qt=Dn(le.members,he,Od);return q(),r.updateClassExpression(le,Ue,le.name,void 0,be,Qt)}}function Pe(le,Ue){if(Ft(Ue.pendingInstanceInitializers)){const be=[];return be.push(r.createExpressionStatement(r.inlineExpressions(Ue.pendingInstanceInitializers))),Ue.pendingInstanceInitializers=void 0,be}}function We(le,Ue,be,Qt,lt,st){const hi=Qt[lt],vn=Ue[hi];if(Sr(le,Dn(Ue,ue,Ps,be,hi-be)),NI(vn)){const ln=[];We(ln,vn.tryBlock.statements,0,Qt,lt+1,st);const Un=r.createNodeArray(ln);Ht(Un,vn.tryBlock.statements),le.push(r.updateTryStatement(vn,r.updateBlock(vn.tryBlock,ln),bt(vn.catchClause,ue,lD),bt(vn.finallyBlock,ue,Xo)))}else Sr(le,Dn(Ue,ue,Ps,hi,1)),Sr(le,st);Sr(le,Dn(Ue,ue,Ps,hi+1))}function ze(le){X(le);const Ue=Dn(le.modifiers,we,Fa),be=Dn(le.parameters,ue,$s);let Qt;if(le.body&&b){const lt=Pe(b.class,b);if(lt){const st=[],hi=r.copyPrologue(le.body.statements,st,!1,ue),vn=vQ(le.body.statements,hi);vn.length>0?We(st,le.body.statements,hi,vn,0,lt):(Sr(st,lt),Sr(st,Dn(le.body.statements,ue,Ps))),Qt=r.createBlock(st,!0),Ir(Qt,le.body),Ht(Qt,le.body)}}return Qt??(Qt=bt(le.body,ue,Xo)),Z(),r.updateConstructorDeclaration(le,Ue,be,Qt)}function Nt(le,Ue){return le!==Ue&&(Sd(le,Ue),Ga(le,Kb(Ue))),le}function $e(le,Ue,be){let Qt,lt,st,hi,vn,ln;if(!Ue){const Bt=Dn(le.modifiers,we,Fa);return Q(),lt=Qs(le.name),re(),{modifiers:Bt,referencedName:Qt,name:lt,initializersName:st,descriptorName:ln,thisArg:vn}}const Un=gt(CQ(le,Ue.class,!1)),Pt=Dn(le.modifiers,we,Fa);if(Un){const Bt=Te(le,"decorators"),fi=r.createArrayLiteralExpression(Un),wi=r.createAssignment(Bt,fi),Ri={memberDecoratorsName:Bt};Ue.memberInfos??(Ue.memberInfos=new Map),Ue.memberInfos.set(le,Ri),N??(N=[]),N.push(wi);const Ai=iP(le)||I_(le)?pa(le)?Ue.staticNonFieldDecorationStatements??(Ue.staticNonFieldDecorationStatements=[]):Ue.nonStaticNonFieldDecorationStatements??(Ue.nonStaticNonFieldDecorationStatements=[]):Lo(le)&&!I_(le)?pa(le)?Ue.staticFieldDecorationStatements??(Ue.staticFieldDecorationStatements=[]):Ue.nonStaticFieldDecorationStatements??(Ue.nonStaticFieldDecorationStatements=[]):j.fail(),Xn=um(le)?"getter":kp(le)?"setter":Nu(le)?"method":I_(le)?"accessor":Lo(le)?"field":j.fail();let Di;if(ot(le.name)||Vs(le.name))Di={computed:!1,name:le.name};else if(P1(le.name))Di={computed:!0,name:r.createStringLiteralFromNode(le.name)};else{const zi=le.name.expression;P1(zi)&&!ot(zi)?Di={computed:!0,name:r.createStringLiteralFromNode(zi)}:(Q(),{referencedName:Qt,name:lt}=ls(le.name),Di={computed:!0,name:Qt},re())}const on={kind:Xn,name:Di,static:pa(le),private:Vs(le.name),access:{get:Lo(le)||um(le)||Nu(le),set:Lo(le)||kp(le)},metadata:Ue.metadataReference};if(iP(le)){const zi=pa(le)?Ue.staticMethodExtraInitializersName:Ue.instanceMethodExtraInitializersName;j.assertIsDefined(zi);let cn;Wh(le)&&be&&(cn=be(le,Dn(Pt,Wo=>Jr(Wo,m7),Fa)),Ri.memberDescriptorName=ln=Te(le,"descriptor"),cn=r.createAssignment(ln,cn));const vi=a().createESDecorateHelper(r.createThis(),cn??r.createNull(),Bt,on,r.createNull(),zi),qo=r.createExpressionStatement(vi);Ga(qo,Kb(le)),Ai.push(qo)}else if(Lo(le)){st=Ri.memberInitializersName??(Ri.memberInitializersName=Te(le,"initializers")),hi=Ri.memberExtraInitializersName??(Ri.memberExtraInitializersName=Te(le,"extraInitializers")),pa(le)&&(vn=Ue.classThis);let zi;Wh(le)&&O1(le)&&be&&(zi=be(le,void 0),Ri.memberDescriptorName=ln=Te(le,"descriptor"),zi=r.createAssignment(ln,zi));const cn=a().createESDecorateHelper(I_(le)?r.createThis():r.createNull(),zi??r.createNull(),Bt,on,st,hi),vi=r.createExpressionStatement(cn);Ga(vi,Kb(le)),Ai.push(vi)}}return lt===void 0&&(Q(),lt=Qs(le.name),re()),!Ft(Pt)&&(Nu(le)||Lo(le))&&tr(lt,1024),{modifiers:Pt,referencedName:Qt,name:lt,initializersName:st,extraInitializersName:hi,descriptorName:ln,thisArg:vn}}function et(le){X(le);const{modifiers:Ue,name:be,descriptorName:Qt}=$e(le,b,Rt);if(Qt)return Z(),Nt(Ni(Ue,be,Qt),le);{const lt=Dn(le.parameters,ue,$s),st=bt(le.body,ue,Xo);return Z(),Nt(r.updateMethodDeclaration(le,Ue,le.asteriskToken,be,void 0,void 0,lt,void 0,st),le)}}function Me(le){X(le);const{modifiers:Ue,name:be,descriptorName:Qt}=$e(le,b,Ct);if(Qt)return Z(),Nt(ji(Ue,be,Qt),le);{const lt=Dn(le.parameters,ue,$s),st=bt(le.body,ue,Xo);return Z(),Nt(r.updateGetAccessorDeclaration(le,Ue,be,lt,void 0,st),le)}}function ut(le){X(le);const{modifiers:Ue,name:be,descriptorName:Qt}=$e(le,b,ii);if(Qt)return Z(),Nt(gr(Ue,be,Qt),le);{const lt=Dn(le.parameters,ue,$s),st=bt(le.body,ue,Xo);return Z(),Nt(r.updateSetAccessorDeclaration(le,Ue,be,lt,st),le)}}function wt(le){X(le);let Ue;if(sA(le))Ue=dn(le,ue,t);else if($7(le)){const be=T;T=void 0,Ue=dn(le,ue,t),T=be}else if(le=dn(le,ue,t),Ue=le,b&&(b.hasStaticInitializers=!0,Ft(b.pendingStaticInitializers))){const be=[];for(const st of b.pendingStaticInitializers){const hi=r.createExpressionStatement(st);Ga(hi,yS(st)),be.push(hi)}const Qt=r.createBlock(be,!0);Ue=[r.createClassStaticBlockDeclaration(Qt),Ue],b.pendingStaticInitializers=void 0}return Z(),Ue}function Et(le){Sp(le,_i)&&(le=Dp(t,le,Fi(le.initializer))),X(le),j.assert(!Tae(le),"Not yet implemented.");const{modifiers:Ue,name:be,initializersName:Qt,extraInitializersName:lt,descriptorName:st,thisArg:hi}=$e(le,b,O1(le)?vt:void 0);u();let vn=bt(le.initializer,ue,jt);Qt&&(vn=a().createRunInitializersHelper(hi??r.createThis(),Qt,vn??r.createVoidZero())),pa(le)&&b&&vn&&(b.hasStaticInitializers=!0);const ln=h();if(Ft(ln)&&(vn=r.createImmediatelyInvokedArrowFunction([...ln,r.createReturnStatement(vn)])),b&&(pa(le)?(vn=Ze(b,!0,vn),lt&&(b.pendingStaticInitializers??(b.pendingStaticInitializers=[]),b.pendingStaticInitializers.push(a().createRunInitializersHelper(b.classThis??r.createThis(),lt)))):(vn=Ze(b,!1,vn),lt&&(b.pendingInstanceInitializers??(b.pendingInstanceInitializers=[]),b.pendingInstanceInitializers.push(a().createRunInitializersHelper(r.createThis(),lt))))),Z(),O1(le)&&st){const Un=B1(le),Pt=yS(le),Bt=le.name;let fi=Bt,wi=Bt;if(Ka(Bt)&&!Uy(Bt.expression)){const on=WX(Bt);if(on)fi=r.updateComputedPropertyName(Bt,bt(Bt.expression,ue,jt)),wi=r.updateComputedPropertyName(Bt,on.left);else{const zi=r.createTempVariable(p);Ga(zi,Bt.expression);const cn=bt(Bt.expression,ue,jt),vi=r.createAssignment(zi,cn);Ga(vi,Bt.expression),fi=r.updateComputedPropertyName(Bt,vi),wi=r.updateComputedPropertyName(Bt,zi)}}const Ri=Dn(Ue,on=>on.kind!==129?on:void 0,Fa),Ai=Vle(r,le,Ri,vn);Ir(Ai,le),tr(Ai,3072),Ga(Ai,Pt),Ga(Ai.name,le.name);const Xn=ji(Ri,fi,st);Ir(Xn,le),Sd(Xn,Un),Ga(Xn,Pt);const Di=gr(Ri,wi,st);return Ir(Di,le),tr(Di,3072),Ga(Di,Pt),[Ai,Xn,Di]}return Nt(r.updatePropertyDeclaration(le,Ue,be,void 0,void 0,vn),le)}function dt(le){return T??le}function zt(le){if(G_(le.expression)&&T){const Ue=bt(le.expression,ue,jt),be=Dn(le.arguments,ue,jt),Qt=r.createFunctionCallCall(Ue,T,be);return Ir(Qt,le),Ht(Qt,le),Qt}return dn(le,ue,t)}function Ei(le){if(G_(le.tag)&&T){const Ue=bt(le.tag,ue,jt),be=r.createFunctionBindCall(Ue,T,[]);Ir(be,le),Ht(be,le);const Qt=bt(le.template,ue,nP);return r.updateTaggedTemplateExpression(le,be,void 0,Qt)}return dn(le,ue,t)}function tn(le){if(G_(le)&&ot(le.name)&&T&&E){const Ue=r.createStringLiteralFromNode(le.name),be=r.createReflectGetCall(E,Ue,T);return Ir(be,le.expression),Ht(be,le.expression),be}return dn(le,ue,t)}function xn(le){if(G_(le)&&T&&E){const Ue=bt(le.argumentExpression,ue,jt),be=r.createReflectGetCall(E,Ue,T);return Ir(be,le.expression),Ht(be,le.expression),be}return dn(le,ue,t)}function Pn(le){Sp(le,_i)&&(le=Dp(t,le,Fi(le.initializer)));const Ue=r.updateParameterDeclaration(le,void 0,le.dotDotDotToken,bt(le.name,ue,dI),void 0,void 0,bt(le.initializer,ue,jt));return Ue!==le&&(Sd(Ue,le),Ht(Ue,R1(le)),Ga(Ue,R1(le)),tr(Ue.name,64)),Ue}function _i(le){return fd(le)&&!le.name&&Ne(le)}function Fi(le){const Ue=Vu(le);return fd(Ue)&&!Ue.name&&!dS(!1,Ue)}function On(le){return r.updateForStatement(le,bt(le.initializer,Ae,Hm),bt(le.condition,ue,jt),bt(le.incrementor,Ae,jt),u_(le.statement,ue,t))}function pn(le){return dn(le,Ae,t)}function qr(le,Ue){if(pS(le)){const be=ac(le.left),Qt=bt(le.right,ue,jt);return r.updateBinaryExpression(le,be,le.operatorToken,Qt)}if(lf(le)){if(Sp(le,_i))return le=Dp(t,le,Fi(le.right)),dn(le,ue,t);if(G_(le.left)&&T&&E){let be=wl(le.left)?bt(le.left.argumentExpression,ue,jt):ot(le.left.name)?r.createStringLiteralFromNode(le.left.name):void 0;if(be){let Qt=bt(le.right,ue,jt);if(z7(le.operatorToken.kind)){let st=be;Uy(be)||(st=r.createTempVariable(p),be=r.createAssignment(st,be));const hi=r.createReflectGetCall(E,st,T);Ir(hi,le.left),Ht(hi,le.left),Qt=r.createBinaryExpression(hi,U7(le.operatorToken.kind),Qt),Ht(Qt,le)}const lt=Ue?void 0:r.createTempVariable(p);return lt&&(Qt=r.createAssignment(lt,Qt),Ht(lt,le)),Qt=r.createReflectSetCall(E,be,Qt,T),Ir(Qt,le),Ht(Qt,le),lt&&(Qt=r.createComma(Qt,lt),Ht(Qt,le)),Qt}}}if(le.operatorToken.kind===28){const be=bt(le.left,Ae,jt),Qt=bt(le.right,Ue?Ae:ue,jt);return r.updateBinaryExpression(le,be,le.operatorToken,Qt)}return dn(le,ue,t)}function Fs(le,Ue){if(le.operator===46||le.operator===47){const be=Dc(le.operand);if(G_(be)&&T&&E){let Qt=wl(be)?bt(be.argumentExpression,ue,jt):ot(be.name)?r.createStringLiteralFromNode(be.name):void 0;if(Qt){let lt=Qt;Uy(Qt)||(lt=r.createTempVariable(p),Qt=r.createAssignment(lt,Qt));let st=r.createReflectGetCall(E,lt,T);Ir(st,le),Ht(st,le);const hi=Ue?void 0:r.createTempVariable(p);return st=PX(r,le,st,p,hi),st=r.createReflectSetCall(E,Qt,st,T),Ir(st,le),Ht(st,le),hi&&(st=r.createComma(st,hi),Ht(st,le)),st}}}return dn(le,ue,t)}function ko(le,Ue){const be=Ue?mQ(le.elements,Ae):mQ(le.elements,ue,Ae);return r.updateCommaListExpression(le,be)}function ls(le){if(P1(le)||Vs(le)){const st=r.createStringLiteralFromNode(le),hi=bt(le,ue,id);return{referencedName:st,name:hi}}if(P1(le.expression)&&!ot(le.expression)){const st=r.createStringLiteralFromNode(le.expression),hi=bt(le,ue,id);return{referencedName:st,name:hi}}const Ue=r.getGeneratedNameForNode(le);p(Ue);const be=a().createPropKeyHelper(bt(le.expression,ue,jt)),Qt=r.createAssignment(Ue,be),lt=r.updateComputedPropertyName(le,Se(Qt));return{referencedName:Ue,name:lt}}function Qs(le){return Ka(le)?Mn(le):bt(le,ue,id)}function Mn(le){let Ue=bt(le.expression,ue,jt);return Uy(Ue)||(Ue=Se(Ue)),r.updateComputedPropertyName(le,Ue)}function Zs(le){return Sp(le,_i)&&(le=Dp(t,le,Fi(le.initializer))),dn(le,ue,t)}function at(le){return Sp(le,_i)&&(le=Dp(t,le,Fi(le.initializer))),dn(le,ue,t)}function yi(le){return Sp(le,_i)&&(le=Dp(t,le,Fi(le.initializer))),dn(le,ue,t)}function Sn(le){if(Aa(le)||jf(le))return ac(le);if(G_(le)&&T&&E){const Ue=wl(le)?bt(le.argumentExpression,ue,jt):ot(le.name)?r.createStringLiteralFromNode(le.name):void 0;if(Ue){const be=r.createTempVariable(void 0),Qt=r.createAssignmentTargetWrapper(be,r.createReflectSetCall(E,Ue,be,T));return Ir(Qt,le),Ht(Qt,le),Qt}}return dn(le,ue,t)}function dr(le){if(lf(le,!0)){Sp(le,_i)&&(le=Dp(t,le,Fi(le.right)));const Ue=Sn(le.left),be=bt(le.right,ue,jt);return r.updateBinaryExpression(le,Ue,le.operatorToken,be)}else return Sn(le)}function us(le){if(N_(le.expression)){const Ue=Sn(le.expression);return r.updateSpreadElement(le,Ue)}return dn(le,ue,t)}function Xr(le){return j.assertNode(le,jW),Km(le)?us(le):Bd(le)?dn(le,ue,t):dr(le)}function Gs(le){const Ue=bt(le.name,ue,id);if(lf(le.initializer,!0)){const be=dr(le.initializer);return r.updatePropertyAssignment(le,Ue,be)}if(N_(le.initializer)){const be=Sn(le.initializer);return r.updatePropertyAssignment(le,Ue,be)}return dn(le,ue,t)}function ma(le){return Sp(le,_i)&&(le=Dp(t,le,Fi(le.objectAssignmentInitializer))),dn(le,ue,t)}function ka(le){if(N_(le.expression)){const Ue=Sn(le.expression);return r.updateSpreadAssignment(le,Ue)}return dn(le,ue,t)}function Ji(le){return j.assertNode(le,HW),Kv(le)?ka(le):lh(le)?ma(le):_d(le)?Gs(le):dn(le,ue,t)}function ac(le){if(jf(le)){const Ue=Dn(le.elements,Xr,jt);return r.updateArrayLiteralExpression(le,Ue)}else{const Ue=Dn(le.properties,Ji,Ub);return r.updateObjectLiteralExpression(le,Ue)}}function St(le){return Sp(le,_i)&&(le=Dp(t,le,Fi(le.expression))),dn(le,ue,t)}function ri(le,Ue){const be=Ue?Ae:ue,Qt=bt(le.expression,be,jt);return r.updateParenthesizedExpression(le,Qt)}function xe(le,Ue){const be=ue,Qt=bt(le.expression,be,jt);return r.updatePartiallyEmittedExpression(le,Qt)}function pt(le,Ue){return Ft(le)&&(Ue?c_(Ue)?(le.push(Ue.expression),Ue=r.updateParenthesizedExpression(Ue,r.inlineExpressions(le))):(le.push(Ue),Ue=r.inlineExpressions(le)):Ue=r.inlineExpressions(le)),Ue}function Se(le){const Ue=pt(N,le);return j.assertIsDefined(Ue),Ue!==le&&(N=void 0),Ue}function Ze(le,Ue,be){const Qt=pt(Ue?le.pendingStaticInitializers:le.pendingInstanceInitializers,be);return Qt!==be&&(Ue?le.pendingStaticInitializers=void 0:le.pendingInstanceInitializers=void 0),Qt}function gt(le){if(!le)return;const Ue=[];return Sr(Ue,qt(le.decorators,Dt)),Ue}function Dt(le){const Ue=bt(le.expression,ue,jt);tr(Ue,3072);const be=Vu(Ue);if(Sl(be)){const{target:Qt,thisArg:lt}=r.createCallBinding(Ue,p,g,!0);return r.restoreOuterExpressions(Ue,r.createFunctionBindCall(Qt,lt,[]))}return Ue}function Je(le,Ue,be,Qt,lt,st,hi){const vn=r.createFunctionExpression(be,Qt,void 0,void 0,st,void 0,hi??r.createBlock([]));Ir(vn,le),Ga(vn,Kb(le)),tr(vn,3072);const ln=lt==="get"||lt==="set"?lt:void 0,Un=r.createStringLiteralFromNode(Ue,void 0),Pt=a().createSetFunctionNameHelper(vn,Un,ln),Bt=r.createPropertyAssignment(r.createIdentifier(lt),Pt);return Ir(Bt,le),Ga(Bt,Kb(le)),tr(Bt,3072),Bt}function Rt(le,Ue){return r.createObjectLiteralExpression([Je(le,le.name,Ue,le.asteriskToken,"value",Dn(le.parameters,ue,$s),bt(le.body,ue,Xo))])}function Ct(le,Ue){return r.createObjectLiteralExpression([Je(le,le.name,Ue,void 0,"get",[],bt(le.body,ue,Xo))])}function ii(le,Ue){return r.createObjectLiteralExpression([Je(le,le.name,Ue,void 0,"set",Dn(le.parameters,ue,$s),bt(le.body,ue,Xo))])}function vt(le,Ue){return r.createObjectLiteralExpression([Je(le,le.name,Ue,void 0,"get",[],r.createBlock([r.createReturnStatement(r.createPropertyAccessExpression(r.createThis(),r.getGeneratedPrivateNameForNode(le.name)))])),Je(le,le.name,Ue,void 0,"set",[r.createParameterDeclaration(void 0,void 0,"value")],r.createBlock([r.createExpressionStatement(r.createAssignment(r.createPropertyAccessExpression(r.createThis(),r.getGeneratedPrivateNameForNode(le.name)),r.createIdentifier("value")))]))])}function Ni(le,Ue,be){return le=Dn(le,Qt=>WP(Qt)?Qt:void 0,Fa),r.createGetAccessorDeclaration(le,Ue,[],void 0,r.createBlock([r.createReturnStatement(r.createPropertyAccessExpression(be,r.createIdentifier("value")))]))}function ji(le,Ue,be){return le=Dn(le,Qt=>WP(Qt)?Qt:void 0,Fa),r.createGetAccessorDeclaration(le,Ue,[],void 0,r.createBlock([r.createReturnStatement(r.createFunctionCallCall(r.createPropertyAccessExpression(be,r.createIdentifier("get")),r.createThis(),[]))]))}function gr(le,Ue,be){return le=Dn(le,Qt=>WP(Qt)?Qt:void 0,Fa),r.createSetAccessorDeclaration(le,Ue,[r.createParameterDeclaration(void 0,void 0,"value")],r.createBlock([r.createReturnStatement(r.createFunctionCallCall(r.createPropertyAccessExpression(be,r.createIdentifier("set")),r.createThis(),[r.createIdentifier("value")]))]))}function te(le,Ue){const be=r.createVariableDeclaration(le,void 0,void 0,r.createConditionalExpression(r.createLogicalAnd(r.createTypeCheck(r.createIdentifier("Symbol"),"function"),r.createPropertyAccessExpression(r.createIdentifier("Symbol"),"metadata")),r.createToken(58),r.createCallExpression(r.createPropertyAccessExpression(r.createIdentifier("Object"),"create"),void 0,[Ue?Gt(Ue):r.createNull()]),r.createToken(59),r.createVoidZero()));return r.createVariableStatement(void 0,r.createVariableDeclarationList([be],2))}function tt(le,Ue){const be=r.createObjectDefinePropertyCall(le,r.createPropertyAccessExpression(r.createIdentifier("Symbol"),"metadata"),r.createPropertyDescriptor({configurable:!0,writable:!0,enumerable:!0,value:Ue},!0));return tr(r.createIfStatement(Ue,r.createExpressionStatement(be)),1)}function Gt(le){return r.createBinaryExpression(r.createElementAccessExpression(le,r.createPropertyAccessExpression(r.createIdentifier("Symbol"),"metadata")),61,r.createNull())}}function QNe(t){const{factory:r,getEmitHelperFactory:a,resumeLexicalEnvironment:u,endLexicalEnvironment:h,hoistVariableDeclaration:p}=t,g=t.getEmitResolver(),C=t.getCompilerOptions(),b=Ja(C);let T,E=0,N,R,F,H;const U=[];let q=0;const X=t.onEmitNode,Z=t.onSubstituteNode;return t.onEmitNode=pn,t.onSubstituteNode=qr,q0(t,Q);function Q(at){if(at.isDeclarationFile)return at;re(1,!1),re(2,!kae(at,C));const yi=dn(at,he,t);return Zb(yi,t.readEmitHelpers()),yi}function re(at,yi){q=yi?q|at:q&~at}function oe(at){return(q&at)!==0}function pe(){return!oe(1)}function de(){return oe(2)}function ue(at,yi,Sn){const dr=at&~q;if(dr){re(dr,!0);const us=yi(Sn);return re(dr,!1),us}return yi(Sn)}function Ie(at){return dn(at,he,t)}function we(at){switch(at.kind){case 218:case 262:case 174:case 177:case 178:case 176:return at;case 169:case 208:case 260:break;case 80:if(H&&g.isArgumentsLocalBinding(at))return H;break}return dn(at,we,t)}function he(at){if(!(at.transformFlags&256))return H?we(at):at;switch(at.kind){case 134:return;case 223:return Ne(at);case 174:return ue(3,He,at);case 262:return ue(3,ze,at);case 218:return ue(3,Nt,at);case 219:return ue(1,$e,at);case 211:return R&&Nr(at)&&at.expression.kind===108&&R.add(at.name.escapedText),dn(at,he,t);case 212:return R&&at.expression.kind===108&&(F=!0),dn(at,he,t);case 177:return ue(3,Pe,at);case 178:return ue(3,We,at);case 176:return ue(3,Oe,at);case 263:case 231:return ue(3,Ie,at);default:return dn(at,he,t)}}function Ae(at){if(FTe(at))switch(at.kind){case 243:return Te(at);case 248:return se(at);case 249:return De(at);case 250:return qe(at);case 299:return ke(at);case 241:case 255:case 269:case 296:case 297:case 258:case 246:case 247:case 245:case 254:case 256:return dn(at,Ae,t);default:return j.assertNever(at,"Unhandled node.")}return he(at)}function ke(at){const yi=new Set;et(at.variableDeclaration,yi);let Sn;if(yi.forEach((dr,us)=>{N.has(us)&&(Sn||(Sn=new Set(N)),Sn.delete(us))}),Sn){const dr=N;N=Sn;const us=dn(at,Ae,t);return N=dr,us}else return dn(at,Ae,t)}function Te(at){if(Me(at.declarationList)){const yi=ut(at.declarationList,!1);return yi?r.createExpressionStatement(yi):void 0}return dn(at,he,t)}function De(at){return r.updateForInStatement(at,Me(at.initializer)?ut(at.initializer,!0):j.checkDefined(bt(at.initializer,he,Hm)),j.checkDefined(bt(at.expression,he,jt)),u_(at.statement,Ae,t))}function qe(at){return r.updateForOfStatement(at,bt(at.awaitModifier,he,dle),Me(at.initializer)?ut(at.initializer,!0):j.checkDefined(bt(at.initializer,he,Hm)),j.checkDefined(bt(at.expression,he,jt)),u_(at.statement,Ae,t))}function se(at){const yi=at.initializer;return r.updateForStatement(at,Me(yi)?ut(yi,!1):bt(at.initializer,he,Hm),bt(at.condition,he,jt),bt(at.incrementor,he,jt),u_(at.statement,Ae,t))}function Ne(at){return pe()?dn(at,he,t):Ir(Ht(r.createYieldExpression(void 0,bt(at.expression,he,jt)),at),at)}function Oe(at){const yi=H;H=void 0;const Sn=r.updateConstructorDeclaration(at,Dn(at.modifiers,he,Fa),yu(at.parameters,he,t),Ei(at));return H=yi,Sn}function He(at){let yi;const Sn=nd(at),dr=H;H=void 0;const us=r.updateMethodDeclaration(at,Dn(at.modifiers,he,Ul),at.asteriskToken,at.name,void 0,void 0,yi=Sn&2?xn(at):yu(at.parameters,he,t),void 0,Sn&2?Pn(at,yi):Ei(at));return H=dr,us}function Pe(at){const yi=H;H=void 0;const Sn=r.updateGetAccessorDeclaration(at,Dn(at.modifiers,he,Ul),at.name,yu(at.parameters,he,t),void 0,Ei(at));return H=yi,Sn}function We(at){const yi=H;H=void 0;const Sn=r.updateSetAccessorDeclaration(at,Dn(at.modifiers,he,Ul),at.name,yu(at.parameters,he,t),Ei(at));return H=yi,Sn}function ze(at){let yi;const Sn=H;H=void 0;const dr=nd(at),us=r.updateFunctionDeclaration(at,Dn(at.modifiers,he,Ul),at.asteriskToken,at.name,void 0,yi=dr&2?xn(at):yu(at.parameters,he,t),void 0,dr&2?Pn(at,yi):_m(at.body,he,t));return H=Sn,us}function Nt(at){let yi;const Sn=H;H=void 0;const dr=nd(at),us=r.updateFunctionExpression(at,Dn(at.modifiers,he,Fa),at.asteriskToken,at.name,void 0,yi=dr&2?xn(at):yu(at.parameters,he,t),void 0,dr&2?Pn(at,yi):_m(at.body,he,t));return H=Sn,us}function $e(at){let yi;const Sn=nd(at);return r.updateArrowFunction(at,Dn(at.modifiers,he,Fa),void 0,yi=Sn&2?xn(at):yu(at.parameters,he,t),void 0,at.equalsGreaterThanToken,Sn&2?Pn(at,yi):_m(at.body,he,t))}function et({name:at},yi){if(ot(at))yi.add(at.escapedText);else for(const Sn of at.elements)Bd(Sn)||et(Sn,yi)}function Me(at){return!!at&&Sf(at)&&!(at.flags&7)&&at.declarations.some(zt)}function ut(at,yi){wt(at);const Sn=K8(at);return Sn.length===0?yi?bt(r.converters.convertToAssignmentElementTarget(at.declarations[0].name),he,jt):void 0:r.inlineExpressions(qt(Sn,dt))}function wt(at){O(at.declarations,Et)}function Et({name:at}){if(ot(at))p(at);else for(const yi of at.elements)Bd(yi)||Et(yi)}function dt(at){const yi=Ga(r.createAssignment(r.converters.convertToAssignmentElementTarget(at.name),at.initializer),at);return j.checkDefined(bt(yi,he,jt))}function zt({name:at}){if(ot(at))return N.has(at.escapedText);for(const yi of at.elements)if(!Bd(yi)&&zt(yi))return!0;return!1}function Ei(at){j.assertIsDefined(at.body);const yi=R,Sn=F;R=new Set,F=!1;let dr=_m(at.body,he,t);const us=Ql(at,Qc);if(b>=2&&(g.hasNodeCheckFlag(at,256)||g.hasNodeCheckFlag(at,128))&&(nd(us)&3)!==3){if(On(),R.size){const Gs=kQ(r,g,at,R);U[Sc(Gs)]=!0;const ma=dr.statements.slice();Fv(ma,[Gs]),dr=r.updateBlock(dr,ma)}F&&(g.hasNodeCheckFlag(at,256)?MP(dr,_X):g.hasNodeCheckFlag(at,128)&&MP(dr,hX))}return R=yi,F=Sn,dr}function tn(){j.assert(H);const at=r.createVariableDeclaration(H,void 0,void 0,r.createIdentifier("arguments")),yi=r.createVariableStatement(void 0,[at]);return bh(yi),F1(yi,2097152),yi}function xn(at){if(jH(at.parameters))return yu(at.parameters,he,t);const yi=[];for(const dr of at.parameters){if(dr.initializer||dr.dotDotDotToken){if(at.kind===219){const Xr=r.createParameterDeclaration(void 0,r.createToken(26),r.createUniqueName("args",8));yi.push(Xr)}break}const us=r.createParameterDeclaration(void 0,void 0,r.getGeneratedNameForNode(dr.name,8));yi.push(us)}const Sn=r.createNodeArray(yi);return Ht(Sn,at.parameters),Sn}function Pn(at,yi){const Sn=jH(at.parameters)?void 0:yu(at.parameters,he,t);u();const us=Ql(at,Ho).type,Xr=b<2?Fi(us):void 0,Gs=at.kind===219,ma=H,Ji=g.hasNodeCheckFlag(at,512)&&!H;Ji&&(H=r.createUniqueName("arguments"));let ac;if(Sn)if(Gs){const gt=[];j.assert(yi.length<=at.parameters.length);for(let Dt=0;Dt<at.parameters.length;Dt++){j.assert(Dt<yi.length);const Je=at.parameters[Dt],Rt=yi[Dt];if(j.assertNode(Rt.name,ot),Je.initializer||Je.dotDotDotToken){j.assert(Dt===yi.length-1),gt.push(r.createSpreadElement(Rt.name));break}gt.push(Rt.name)}ac=r.createArrayLiteralExpression(gt)}else ac=r.createIdentifier("arguments");const St=N;N=new Set;for(const gt of at.parameters)et(gt,N);const ri=R,xe=F;Gs||(R=new Set,F=!1);const pt=de();let Se=_i(at.body);Se=r.updateBlock(Se,r.mergeLexicalEnvironment(Se.statements,h()));let Ze;if(Gs){if(Ze=a().createAwaiterHelper(pt,ac,Xr,Sn,Se),Ji){const gt=r.converters.convertToFunctionBlock(Ze);Ze=r.updateBlock(gt,r.mergeLexicalEnvironment(gt.statements,[tn()]))}}else{const gt=[];gt.push(r.createReturnStatement(a().createAwaiterHelper(pt,ac,Xr,Sn,Se)));const Dt=b>=2&&(g.hasNodeCheckFlag(at,256)||g.hasNodeCheckFlag(at,128));if(Dt&&(On(),R.size)){const Rt=kQ(r,g,at,R);U[Sc(Rt)]=!0,Fv(gt,[Rt])}Ji&&Fv(gt,[tn()]);const Je=r.createBlock(gt,!0);Ht(Je,at.body),Dt&&F&&(g.hasNodeCheckFlag(at,256)?MP(Je,_X):g.hasNodeCheckFlag(at,128)&&MP(Je,hX)),Ze=Je}return N=St,Gs||(R=ri,F=xe,H=ma),Ze}function _i(at,yi){return Xo(at)?r.updateBlock(at,Dn(at.statements,Ae,Ps,yi)):r.converters.convertToFunctionBlock(j.checkDefined(bt(at,Ae,vG)))}function Fi(at){const yi=at&&cV(at);if(yi&&E_(yi)){const Sn=g.getTypeReferenceSerializationKind(yi);if(Sn===1||Sn===0)return yi}}function On(){T&1||(T|=1,t.enableSubstitution(213),t.enableSubstitution(211),t.enableSubstitution(212),t.enableEmitNotification(263),t.enableEmitNotification(174),t.enableEmitNotification(177),t.enableEmitNotification(178),t.enableEmitNotification(176),t.enableEmitNotification(243))}function pn(at,yi,Sn){if(T&1&&Mn(yi)){const dr=(g.hasNodeCheckFlag(yi,128)?128:0)|(g.hasNodeCheckFlag(yi,256)?256:0);if(dr!==E){const us=E;E=dr,X(at,yi,Sn),E=us;return}}else if(T&&U[Sc(yi)]){const dr=E;E=0,X(at,yi,Sn),E=dr;return}X(at,yi,Sn)}function qr(at,yi){return yi=Z(at,yi),at===1&&E?Fs(yi):yi}function Fs(at){switch(at.kind){case 211:return ko(at);case 212:return ls(at);case 213:return Qs(at)}return at}function ko(at){return at.expression.kind===108?Ht(r.createPropertyAccessExpression(r.createUniqueName("_super",48),at.name),at):at}function ls(at){return at.expression.kind===108?Zs(at.argumentExpression,at):at}function Qs(at){const yi=at.expression;if(G_(yi)){const Sn=Nr(yi)?ko(yi):ls(yi);return r.createCallExpression(r.createPropertyAccessExpression(Sn,"call"),void 0,[r.createThis(),...at.arguments])}return at}function Mn(at){const yi=at.kind;return yi===263||yi===176||yi===174||yi===177||yi===178}function Zs(at,yi){return E&256?Ht(r.createPropertyAccessExpression(r.createCallExpression(r.createUniqueName("_superIndex",48),void 0,[at]),"value"),yi):Ht(r.createCallExpression(r.createUniqueName("_superIndex",48),void 0,[at]),yi)}}function kQ(t,r,a,u){const h=r.hasNodeCheckFlag(a,256),p=[];return u.forEach((g,C)=>{const b=Ws(C),T=[];T.push(t.createPropertyAssignment("get",t.createArrowFunction(void 0,void 0,[],void 0,void 0,tr(t.createPropertyAccessExpression(tr(t.createSuper(),8),b),8)))),h&&T.push(t.createPropertyAssignment("set",t.createArrowFunction(void 0,void 0,[t.createParameterDeclaration(void 0,void 0,"v",void 0,void 0,void 0)],void 0,void 0,t.createAssignment(tr(t.createPropertyAccessExpression(tr(t.createSuper(),8),b),8),t.createIdentifier("v"))))),p.push(t.createPropertyAssignment(b,t.createObjectLiteralExpression(T)))}),t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(t.createUniqueName("_super",48),void 0,void 0,t.createCallExpression(t.createPropertyAccessExpression(t.createIdentifier("Object"),"create"),void 0,[t.createNull(),t.createObjectLiteralExpression(p,!0)]))],2))}function ZNe(t){const{factory:r,getEmitHelperFactory:a,resumeLexicalEnvironment:u,endLexicalEnvironment:h,hoistVariableDeclaration:p}=t,g=t.getEmitResolver(),C=t.getCompilerOptions(),b=Ja(C),T=t.onEmitNode;t.onEmitNode=ma;const E=t.onSubstituteNode;t.onSubstituteNode=ka;let N=!1,R,F,H,U=0,q=0,X,Z,Q,re;const oe=[];return q0(t,we);function pe(Se,Ze){return q!==(q&~Se|Ze)}function de(Se,Ze){const gt=q;return q=(q&~Se|Ze)&3,gt}function ue(Se){q=Se}function Ie(Se){Z=fn(Z,r.createVariableDeclaration(Se))}function we(Se){if(Se.isDeclarationFile)return Se;X=Se;const Ze=$e(Se);return Zb(Ze,t.readEmitHelpers()),X=void 0,Z=void 0,Ze}function he(Se){return qe(Se,!1)}function Ae(Se){return qe(Se,!0)}function ke(Se){if(Se.kind!==134)return Se}function Te(Se,Ze,gt,Dt){if(pe(gt,Dt)){const Je=de(gt,Dt),Rt=Se(Ze);return ue(Je),Rt}return Se(Ze)}function De(Se){return dn(Se,he,t)}function qe(Se,Ze){if(!(Se.transformFlags&128))return Se;switch(Se.kind){case 223:return se(Se);case 229:return Ne(Se);case 253:return Oe(Se);case 256:return He(Se);case 210:return We(Se);case 226:return Me(Se,Ze);case 355:return ut(Se,Ze);case 299:return wt(Se);case 243:return Et(Se);case 260:return dt(Se);case 246:case 247:case 249:return Te(De,Se,0,2);case 250:return xn(Se,void 0);case 248:return Te(Ei,Se,0,2);case 222:return tn(Se);case 176:return Te(ko,Se,2,1);case 174:return Te(Mn,Se,2,1);case 177:return Te(ls,Se,2,1);case 178:return Te(Qs,Se,2,1);case 262:return Te(Zs,Se,2,1);case 218:return Te(yi,Se,2,1);case 219:return Te(at,Se,2,0);case 169:return qr(Se);case 244:return ze(Se);case 217:return Nt(Se,Ze);case 215:return et(Se);case 211:return Q&&Nr(Se)&&Se.expression.kind===108&&Q.add(Se.name.escapedText),dn(Se,he,t);case 212:return Q&&Se.expression.kind===108&&(re=!0),dn(Se,he,t);case 263:case 231:return Te(De,Se,2,1);default:return dn(Se,he,t)}}function se(Se){return F&2&&F&1?Ir(Ht(r.createYieldExpression(void 0,a().createAwaitHelper(bt(Se.expression,he,jt))),Se),Se):dn(Se,he,t)}function Ne(Se){if(F&2&&F&1){if(Se.asteriskToken){const Ze=bt(j.checkDefined(Se.expression),he,jt);return Ir(Ht(r.createYieldExpression(void 0,a().createAwaitHelper(r.updateYieldExpression(Se,Se.asteriskToken,Ht(a().createAsyncDelegatorHelper(Ht(a().createAsyncValuesHelper(Ze),Ze)),Ze)))),Se),Se)}return Ir(Ht(r.createYieldExpression(void 0,Fi(Se.expression?bt(Se.expression,he,jt):r.createVoidZero())),Se),Se)}return dn(Se,he,t)}function Oe(Se){return F&2&&F&1?r.updateReturnStatement(Se,Fi(Se.expression?bt(Se.expression,he,jt):r.createVoidZero())):dn(Se,he,t)}function He(Se){if(F&2){const Ze=Oae(Se);return Ze.kind===250&&Ze.awaitModifier?xn(Ze,Se):r.restoreEnclosingLabel(bt(Ze,he,Ps,r.liftToBlock),Se)}return dn(Se,he,t)}function Pe(Se){let Ze;const gt=[];for(const Dt of Se)if(Dt.kind===305){Ze&&(gt.push(r.createObjectLiteralExpression(Ze)),Ze=void 0);const Je=Dt.expression;gt.push(bt(Je,he,jt))}else Ze=fn(Ze,Dt.kind===303?r.createPropertyAssignment(Dt.name,bt(Dt.initializer,he,jt)):bt(Dt,he,Ub));return Ze&&gt.push(r.createObjectLiteralExpression(Ze)),gt}function We(Se){if(Se.transformFlags&65536){const Ze=Pe(Se.properties);Ze.length&&Ze[0].kind!==210&&Ze.unshift(r.createObjectLiteralExpression());let gt=Ze[0];if(Ze.length>1){for(let Dt=1;Dt<Ze.length;Dt++)gt=a().createAssignHelper([gt,Ze[Dt]]);return gt}else return a().createAssignHelper(Ze)}return dn(Se,he,t)}function ze(Se){return dn(Se,Ae,t)}function Nt(Se,Ze){return dn(Se,Ze?Ae:he,t)}function $e(Se){const Ze=de(2,kae(Se,C)?0:1);N=!1;const gt=dn(Se,he,t),Dt=Js(gt.statements,Z&&[r.createVariableStatement(void 0,r.createVariableDeclarationList(Z))]),Je=r.updateSourceFile(gt,Ht(r.createNodeArray(Dt),Se.statements));return ue(Ze),Je}function et(Se){return $ue(t,Se,he,X,Ie,0)}function Me(Se,Ze){return pS(Se)&&xH(Se.left)?HI(Se,he,t,1,!Ze):Se.operatorToken.kind===28?r.updateBinaryExpression(Se,bt(Se.left,Ae,jt),Se.operatorToken,bt(Se.right,Ze?Ae:he,jt)):dn(Se,he,t)}function ut(Se,Ze){if(Ze)return dn(Se,Ae,t);let gt;for(let Je=0;Je<Se.elements.length;Je++){const Rt=Se.elements[Je],Ct=bt(Rt,Je<Se.elements.length-1?Ae:he,jt);(gt||Ct!==Rt)&&(gt||(gt=Se.elements.slice(0,Je)),gt.push(Ct))}const Dt=gt?Ht(r.createNodeArray(gt),Se.elements):Se.elements;return r.updateCommaListExpression(Se,Dt)}function wt(Se){if(Se.variableDeclaration&&ta(Se.variableDeclaration.name)&&Se.variableDeclaration.name.transformFlags&65536){const Ze=r.getGeneratedNameForNode(Se.variableDeclaration.name),gt=r.updateVariableDeclaration(Se.variableDeclaration,Se.variableDeclaration.name,void 0,void 0,Ze),Dt=hD(gt,he,t,1);let Je=bt(Se.block,he,Xo);return Ft(Dt)&&(Je=r.updateBlock(Je,[r.createVariableStatement(void 0,Dt),...Je.statements])),r.updateCatchClause(Se,r.updateVariableDeclaration(Se.variableDeclaration,Ze,void 0,void 0,void 0),Je)}return dn(Se,he,t)}function Et(Se){if(Zr(Se,32)){const Ze=N;N=!0;const gt=dn(Se,he,t);return N=Ze,gt}return dn(Se,he,t)}function dt(Se){if(N){const Ze=N;N=!1;const gt=zt(Se,!0);return N=Ze,gt}return zt(Se,!1)}function zt(Se,Ze){return ta(Se.name)&&Se.name.transformFlags&65536?hD(Se,he,t,1,void 0,Ze):dn(Se,he,t)}function Ei(Se){return r.updateForStatement(Se,bt(Se.initializer,Ae,Hm),bt(Se.condition,he,jt),bt(Se.incrementor,Ae,jt),u_(Se.statement,he,t))}function tn(Se){return dn(Se,Ae,t)}function xn(Se,Ze){const gt=de(0,2);(Se.initializer.transformFlags&65536||S8(Se.initializer)&&xH(Se.initializer))&&(Se=Pn(Se));const Dt=Se.awaitModifier?On(Se,Ze,gt):r.restoreEnclosingLabel(dn(Se,he,t),Ze);return ue(gt),Dt}function Pn(Se){const Ze=Dc(Se.initializer);if(Sf(Ze)||S8(Ze)){let gt,Dt;const Je=r.createTempVariable(void 0),Rt=[Nle(r,Ze,Je)];return Xo(Se.statement)?(Sr(Rt,Se.statement.statements),gt=Se.statement,Dt=Se.statement.statements):Se.statement&&(fn(Rt,Se.statement),gt=Se.statement,Dt=Se.statement),r.updateForOfStatement(Se,Se.awaitModifier,Ht(r.createVariableDeclarationList([Ht(r.createVariableDeclaration(Je),Se.initializer)],1),Se.initializer),Se.expression,Ht(r.createBlock(Ht(r.createNodeArray(Rt),Dt),!0),gt))}return Se}function _i(Se,Ze,gt){const Dt=r.createTempVariable(p),Je=r.createAssignment(Dt,Ze),Rt=r.createExpressionStatement(Je);Ga(Rt,Se.expression);const Ct=r.createAssignment(gt,r.createFalse()),ii=r.createExpressionStatement(Ct);Ga(ii,Se.expression);const vt=[Rt,ii],Ni=Nle(r,Se.initializer,Dt);vt.push(bt(Ni,he,Ps));let ji,gr;const te=u_(Se.statement,he,t);return Xo(te)?(Sr(vt,te.statements),ji=te,gr=te.statements):vt.push(te),Ht(r.createBlock(Ht(r.createNodeArray(vt),gr),!0),ji)}function Fi(Se){return F&1?r.createYieldExpression(void 0,a().createAwaitHelper(Se)):r.createAwaitExpression(Se)}function On(Se,Ze,gt){const Dt=bt(Se.expression,he,jt),Je=ot(Dt)?r.getGeneratedNameForNode(Dt):r.createTempVariable(void 0),Rt=ot(Dt)?r.getGeneratedNameForNode(Je):r.createTempVariable(void 0),Ct=r.createTempVariable(void 0),ii=r.createTempVariable(p),vt=r.createUniqueName("e"),Ni=r.getGeneratedNameForNode(vt),ji=r.createTempVariable(void 0),gr=Ht(a().createAsyncValuesHelper(Dt),Se.expression),te=r.createCallExpression(r.createPropertyAccessExpression(Je,"next"),void 0,[]),tt=r.createPropertyAccessExpression(Rt,"done"),Gt=r.createPropertyAccessExpression(Rt,"value"),le=r.createFunctionCallCall(ji,Je,[]);p(vt),p(ji);const Ue=gt&2?r.inlineExpressions([r.createAssignment(vt,r.createVoidZero()),gr]):gr,be=tr(Ht(r.createForStatement(tr(Ht(r.createVariableDeclarationList([r.createVariableDeclaration(Ct,void 0,void 0,r.createTrue()),Ht(r.createVariableDeclaration(Je,void 0,void 0,Ue),Se.expression),r.createVariableDeclaration(Rt)]),Se.expression),4194304),r.inlineExpressions([r.createAssignment(Rt,Fi(te)),r.createAssignment(ii,tt),r.createLogicalNot(ii)]),r.createAssignment(Ct,r.createTrue()),_i(Se,Gt,Ct)),Se),512);return Ir(be,Se),r.createTryStatement(r.createBlock([r.restoreEnclosingLabel(be,Ze)]),r.createCatchClause(r.createVariableDeclaration(Ni),tr(r.createBlock([r.createExpressionStatement(r.createAssignment(vt,r.createObjectLiteralExpression([r.createPropertyAssignment("error",Ni)])))]),1)),r.createBlock([r.createTryStatement(r.createBlock([tr(r.createIfStatement(r.createLogicalAnd(r.createLogicalAnd(r.createLogicalNot(Ct),r.createLogicalNot(ii)),r.createAssignment(ji,r.createPropertyAccessExpression(Je,"return"))),r.createExpressionStatement(Fi(le))),1)]),void 0,tr(r.createBlock([tr(r.createIfStatement(vt,r.createThrowStatement(r.createPropertyAccessExpression(vt,"error"))),1)]),1))]))}function pn(Se){return j.assertNode(Se,$s),qr(Se)}function qr(Se){return H!=null&&H.has(Se)?r.updateParameterDeclaration(Se,void 0,Se.dotDotDotToken,ta(Se.name)?r.getGeneratedNameForNode(Se):Se.name,void 0,void 0,void 0):Se.transformFlags&65536?r.updateParameterDeclaration(Se,void 0,Se.dotDotDotToken,r.getGeneratedNameForNode(Se),void 0,void 0,bt(Se.initializer,he,jt)):dn(Se,he,t)}function Fs(Se){let Ze;for(const gt of Se.parameters)Ze?Ze.add(gt):gt.transformFlags&65536&&(Ze=new Set);return Ze}function ko(Se){const Ze=F,gt=H;F=nd(Se),H=Fs(Se);const Dt=r.updateConstructorDeclaration(Se,Se.modifiers,yu(Se.parameters,pn,t),us(Se));return F=Ze,H=gt,Dt}function ls(Se){const Ze=F,gt=H;F=nd(Se),H=Fs(Se);const Dt=r.updateGetAccessorDeclaration(Se,Se.modifiers,bt(Se.name,he,id),yu(Se.parameters,pn,t),void 0,us(Se));return F=Ze,H=gt,Dt}function Qs(Se){const Ze=F,gt=H;F=nd(Se),H=Fs(Se);const Dt=r.updateSetAccessorDeclaration(Se,Se.modifiers,bt(Se.name,he,id),yu(Se.parameters,pn,t),us(Se));return F=Ze,H=gt,Dt}function Mn(Se){const Ze=F,gt=H;F=nd(Se),H=Fs(Se);const Dt=r.updateMethodDeclaration(Se,F&1?Dn(Se.modifiers,ke,Ul):Se.modifiers,F&2?void 0:Se.asteriskToken,bt(Se.name,he,id),bt(void 0,he,dx),void 0,F&2&&F&1?Sn(Se):yu(Se.parameters,pn,t),void 0,F&2&&F&1?dr(Se):us(Se));return F=Ze,H=gt,Dt}function Zs(Se){const Ze=F,gt=H;F=nd(Se),H=Fs(Se);const Dt=r.updateFunctionDeclaration(Se,F&1?Dn(Se.modifiers,ke,Fa):Se.modifiers,F&2?void 0:Se.asteriskToken,Se.name,void 0,F&2&&F&1?Sn(Se):yu(Se.parameters,pn,t),void 0,F&2&&F&1?dr(Se):us(Se));return F=Ze,H=gt,Dt}function at(Se){const Ze=F,gt=H;F=nd(Se),H=Fs(Se);const Dt=r.updateArrowFunction(Se,Se.modifiers,void 0,yu(Se.parameters,pn,t),void 0,Se.equalsGreaterThanToken,us(Se));return F=Ze,H=gt,Dt}function yi(Se){const Ze=F,gt=H;F=nd(Se),H=Fs(Se);const Dt=r.updateFunctionExpression(Se,F&1?Dn(Se.modifiers,ke,Fa):Se.modifiers,F&2?void 0:Se.asteriskToken,Se.name,void 0,F&2&&F&1?Sn(Se):yu(Se.parameters,pn,t),void 0,F&2&&F&1?dr(Se):us(Se));return F=Ze,H=gt,Dt}function Sn(Se){if(jH(Se.parameters))return yu(Se.parameters,he,t);const Ze=[];for(const Dt of Se.parameters){if(Dt.initializer||Dt.dotDotDotToken)break;const Je=r.createParameterDeclaration(void 0,void 0,r.getGeneratedNameForNode(Dt.name,8));Ze.push(Je)}const gt=r.createNodeArray(Ze);return Ht(gt,Se.parameters),gt}function dr(Se){const Ze=jH(Se.parameters)?void 0:yu(Se.parameters,he,t);u();const gt=Q,Dt=re;Q=new Set,re=!1;const Je=[];let Rt=r.updateBlock(Se.body,Dn(Se.body.statements,he,Ps));Rt=r.updateBlock(Rt,r.mergeLexicalEnvironment(Rt.statements,Xr(h(),Se)));const Ct=r.createReturnStatement(a().createAsyncGeneratorHelper(r.createFunctionExpression(void 0,r.createToken(42),Se.name&&r.getGeneratedNameForNode(Se.name),void 0,Ze??[],void 0,Rt),!!(q&1))),ii=b>=2&&(g.hasNodeCheckFlag(Se,256)||g.hasNodeCheckFlag(Se,128));if(ii){Gs();const Ni=kQ(r,g,Se,Q);oe[Sc(Ni)]=!0,Fv(Je,[Ni])}Je.push(Ct);const vt=r.updateBlock(Se.body,Je);return ii&&re&&(g.hasNodeCheckFlag(Se,256)?MP(vt,_X):g.hasNodeCheckFlag(Se,128)&&MP(vt,hX)),Q=gt,re=Dt,vt}function us(Se){u();let Ze=0;const gt=[],Dt=bt(Se.body,he,vG)??r.createBlock([]);Xo(Dt)&&(Ze=r.copyPrologue(Dt.statements,gt,!1,he)),Sr(gt,Xr(void 0,Se));const Je=h();if(Ze>0||Ft(gt)||Ft(Je)){const Rt=r.converters.convertToFunctionBlock(Dt,!0);return Fv(gt,Je),Sr(gt,Rt.statements.slice(Ze)),r.updateBlock(Rt,Ht(r.createNodeArray(gt),Rt.statements))}return Dt}function Xr(Se,Ze){let gt=!1;for(const Dt of Ze.parameters)if(gt){if(ta(Dt.name)){if(Dt.name.elements.length>0){const Je=hD(Dt,he,t,0,r.getGeneratedNameForNode(Dt));if(Ft(Je)){const Rt=r.createVariableDeclarationList(Je),Ct=r.createVariableStatement(void 0,Rt);tr(Ct,2097152),Se=fn(Se,Ct)}}else if(Dt.initializer){const Je=r.getGeneratedNameForNode(Dt),Rt=bt(Dt.initializer,he,jt),Ct=r.createAssignment(Je,Rt),ii=r.createExpressionStatement(Ct);tr(ii,2097152),Se=fn(Se,ii)}}else if(Dt.initializer){const Je=r.cloneNode(Dt.name);Ht(Je,Dt.name),tr(Je,96);const Rt=bt(Dt.initializer,he,jt);F1(Rt,3168);const Ct=r.createAssignment(Je,Rt);Ht(Ct,Dt),tr(Ct,3072);const ii=r.createBlock([r.createExpressionStatement(Ct)]);Ht(ii,Dt),tr(ii,3905);const vt=r.createTypeCheck(r.cloneNode(Dt.name),"undefined"),Ni=r.createIfStatement(vt,ii);bh(Ni),Ht(Ni,Dt),tr(Ni,2101056),Se=fn(Se,Ni)}}else if(Dt.transformFlags&65536){gt=!0;const Je=hD(Dt,he,t,1,r.getGeneratedNameForNode(Dt),!1,!0);if(Ft(Je)){const Rt=r.createVariableDeclarationList(Je),Ct=r.createVariableStatement(void 0,Rt);tr(Ct,2097152),Se=fn(Se,Ct)}}return Se}function Gs(){R&1||(R|=1,t.enableSubstitution(213),t.enableSubstitution(211),t.enableSubstitution(212),t.enableEmitNotification(263),t.enableEmitNotification(174),t.enableEmitNotification(177),t.enableEmitNotification(178),t.enableEmitNotification(176),t.enableEmitNotification(243))}function ma(Se,Ze,gt){if(R&1&&xe(Ze)){const Dt=(g.hasNodeCheckFlag(Ze,128)?128:0)|(g.hasNodeCheckFlag(Ze,256)?256:0);if(Dt!==U){const Je=U;U=Dt,T(Se,Ze,gt),U=Je;return}}else if(R&&oe[Sc(Ze)]){const Dt=U;U=0,T(Se,Ze,gt),U=Dt;return}T(Se,Ze,gt)}function ka(Se,Ze){return Ze=E(Se,Ze),Se===1&&U?Ji(Ze):Ze}function Ji(Se){switch(Se.kind){case 211:return ac(Se);case 212:return St(Se);case 213:return ri(Se)}return Se}function ac(Se){return Se.expression.kind===108?Ht(r.createPropertyAccessExpression(r.createUniqueName("_super",48),Se.name),Se):Se}function St(Se){return Se.expression.kind===108?pt(Se.argumentExpression,Se):Se}function ri(Se){const Ze=Se.expression;if(G_(Ze)){const gt=Nr(Ze)?ac(Ze):St(Ze);return r.createCallExpression(r.createPropertyAccessExpression(gt,"call"),void 0,[r.createThis(),...Se.arguments])}return Se}function xe(Se){const Ze=Se.kind;return Ze===263||Ze===176||Ze===174||Ze===177||Ze===178}function pt(Se,Ze){return U&256?Ht(r.createPropertyAccessExpression(r.createCallExpression(r.createIdentifier("_superIndex"),void 0,[Se]),"value"),Ze):Ht(r.createCallExpression(r.createIdentifier("_superIndex"),void 0,[Se]),Ze)}}function YNe(t){const r=t.factory;return q0(t,a);function a(p){return p.isDeclarationFile?p:dn(p,u,t)}function u(p){if(!(p.transformFlags&64))return p;switch(p.kind){case 299:return h(p);default:return dn(p,u,t)}}function h(p){return p.variableDeclaration?dn(p,u,t):r.updateCatchClause(p,r.createVariableDeclaration(r.createTempVariable(void 0)),bt(p.block,u,Xo))}}function eLe(t){const{factory:r,hoistVariableDeclaration:a}=t;return q0(t,u);function u(H){return H.isDeclarationFile?H:dn(H,h,t)}function h(H){if(!(H.transformFlags&32))return H;switch(H.kind){case 213:{const U=b(H,!1);return j.assertNotNode(U,JP),U}case 211:case 212:if(gh(H)){const U=E(H,!1,!1);return j.assertNotNode(U,JP),U}return dn(H,h,t);case 226:return H.operatorToken.kind===61?R(H):dn(H,h,t);case 220:return F(H);default:return dn(H,h,t)}}function p(H){j.assertNotNode(H,hG);const U=[H];for(;!H.questionDotToken&&!i2(H);)H=ha(l0(H.expression),gh),j.assertNotNode(H,hG),U.unshift(H);return{expression:H.expression,chain:U}}function g(H,U,q){const X=T(H.expression,U,q);return JP(X)?r.createSyntheticReferenceExpression(r.updateParenthesizedExpression(H,X.expression),X.thisArg):r.updateParenthesizedExpression(H,X)}function C(H,U,q){if(gh(H))return E(H,U,q);let X=bt(H.expression,h,jt);j.assertNotNode(X,JP);let Z;return U&&(fD(X)?Z=X:(Z=r.createTempVariable(a),X=r.createAssignment(Z,X))),X=H.kind===211?r.updatePropertyAccessExpression(H,X,bt(H.name,h,ot)):r.updateElementAccessExpression(H,X,bt(H.argumentExpression,h,jt)),Z?r.createSyntheticReferenceExpression(X,Z):X}function b(H,U){if(gh(H))return E(H,U,!1);if(c_(H.expression)&&gh(Dc(H.expression))){const q=g(H.expression,!0,!1),X=Dn(H.arguments,h,jt);return JP(q)?Ht(r.createFunctionCallCall(q.expression,q.thisArg,X),H):r.updateCallExpression(H,q,void 0,X)}return dn(H,h,t)}function T(H,U,q){switch(H.kind){case 217:return g(H,U,q);case 211:case 212:return C(H,U,q);case 213:return b(H,U);default:return bt(H,h,jt)}}function E(H,U,q){const{expression:X,chain:Z}=p(H),Q=T(l0(X),cI(Z[0]),!1);let re=JP(Q)?Q.thisArg:void 0,oe=JP(Q)?Q.expression:Q,pe=r.restoreOuterExpressions(X,oe,8);fD(oe)||(oe=r.createTempVariable(a),pe=r.createAssignment(oe,pe));let de=oe,ue;for(let we=0;we<Z.length;we++){const he=Z[we];switch(he.kind){case 211:case 212:we===Z.length-1&&U&&(fD(de)?ue=de:(ue=r.createTempVariable(a),de=r.createAssignment(ue,de))),de=he.kind===211?r.createPropertyAccessExpression(de,bt(he.name,h,ot)):r.createElementAccessExpression(de,bt(he.argumentExpression,h,jt));break;case 213:we===0&&re?(zl(re)||(re=r.cloneNode(re),F1(re,3072)),de=r.createFunctionCallCall(de,re.kind===108?r.createThis():re,Dn(he.arguments,h,jt))):de=r.createCallExpression(de,void 0,Dn(he.arguments,h,jt));break}Ir(de,he)}const Ie=q?r.createConditionalExpression(N(pe,oe,!0),void 0,r.createTrue(),void 0,r.createDeleteExpression(de)):r.createConditionalExpression(N(pe,oe,!0),void 0,r.createVoidZero(),void 0,de);return Ht(Ie,H),ue?r.createSyntheticReferenceExpression(Ie,ue):Ie}function N(H,U,q){return r.createBinaryExpression(r.createBinaryExpression(H,r.createToken(q?37:38),r.createNull()),r.createToken(q?57:56),r.createBinaryExpression(U,r.createToken(q?37:38),r.createVoidZero()))}function R(H){let U=bt(H.left,h,jt),q=U;return fD(U)||(q=r.createTempVariable(a),U=r.createAssignment(q,U)),Ht(r.createConditionalExpression(N(U,q),void 0,q,void 0,bt(H.right,h,jt)),H)}function F(H){return gh(Dc(H.expression))?Ir(T(H.expression,!1,!0),H):r.updateDeleteExpression(H,bt(H.expression,h,jt))}}function tLe(t){const{hoistVariableDeclaration:r,factory:a}=t;return q0(t,u);function u(g){return g.isDeclarationFile?g:dn(g,h,t)}function h(g){return g.transformFlags&16?_ce(g)?p(g):dn(g,h,t):g}function p(g){const C=g.operatorToken,b=U7(C.kind);let T=Dc(bt(g.left,h,N_)),E=T;const N=Dc(bt(g.right,h,jt));if(Sl(T)){const R=fD(T.expression),F=R?T.expression:a.createTempVariable(r),H=R?T.expression:a.createAssignment(F,T.expression);if(Nr(T))E=a.createPropertyAccessExpression(F,T.name),T=a.createPropertyAccessExpression(H,T.name);else{const U=fD(T.argumentExpression),q=U?T.argumentExpression:a.createTempVariable(r);E=a.createElementAccessExpression(F,q),T=a.createElementAccessExpression(H,U?T.argumentExpression:a.createAssignment(q,T.argumentExpression))}}return a.createBinaryExpression(T,b,a.createParenthesizedExpression(a.createAssignment(E,N)))}}function iLe(t){const{factory:r,getEmitHelperFactory:a,hoistVariableDeclaration:u,startLexicalEnvironment:h,endLexicalEnvironment:p}=t;let g,C,b,T;return q0(t,E);function E(Te){if(Te.isDeclarationFile)return Te;const De=bt(Te,N,Os);return Zb(De,t.readEmitHelpers()),C=void 0,g=void 0,b=void 0,De}function N(Te){if(!(Te.transformFlags&4))return Te;switch(Te.kind){case 307:return R(Te);case 241:return F(Te);case 248:return H(Te);case 250:return U(Te);case 255:return X(Te);default:return dn(Te,N,t)}}function R(Te){const De=Jue(Te.statements);if(De){h(),g=new V3,C=[];const qe=get(Te.statements),se=[];Sr(se,VH(Te.statements,N,Ps,0,qe));let Ne=qe;for(;Ne<Te.statements.length;){const Pe=Te.statements[Ne];if(rLe(Pe)!==0){Ne>qe&&Sr(se,Dn(Te.statements,N,Ps,qe,Ne-qe));break}Ne++}j.assert(Ne<Te.statements.length,"Should have encountered at least one 'using' statement.");const Oe=Ae(),He=Z(Te.statements,Ne,Te.statements.length,Oe,se);return g.size&&fn(se,r.createExportDeclaration(void 0,!1,r.createNamedExports(as(g.values())))),Sr(se,p()),C.length&&se.push(r.createVariableStatement(r.createModifiersFromModifierFlags(32),r.createVariableDeclarationList(C,1))),Sr(se,ke(He,Oe,De===2)),T&&se.push(r.createExportAssignment(void 0,!0,T)),r.updateSourceFile(Te,se)}return dn(Te,N,t)}function F(Te){const De=Jue(Te.statements);if(De){const qe=get(Te.statements),se=Ae();return r.updateBlock(Te,[...VH(Te.statements,N,Ps,0,qe),...ke(Z(Te.statements,qe,Te.statements.length,se,void 0),se,De===2)])}return dn(Te,N,t)}function H(Te){return Te.initializer&&yet(Te.initializer)?bt(r.createBlock([r.createVariableStatement(void 0,Te.initializer),r.updateForStatement(Te,void 0,Te.condition,Te.incrementor,Te.statement)]),N,Ps):dn(Te,N,t)}function U(Te){if(yet(Te.initializer)){const De=Te.initializer,qe=Ra(De.declarations)||r.createVariableDeclaration(r.createTempVariable(void 0)),se=nLe(De)===2,Ne=r.getGeneratedNameForNode(qe.name),Oe=r.updateVariableDeclaration(qe,qe.name,void 0,void 0,Ne),He=r.createVariableDeclarationList([Oe],se?6:4),Pe=r.createVariableStatement(void 0,He);return bt(r.updateForOfStatement(Te,Te.awaitModifier,r.createVariableDeclarationList([r.createVariableDeclaration(Ne)],2),Te.expression,Xo(Te.statement)?r.updateBlock(Te.statement,[Pe,...Te.statement.statements]):r.createBlock([Pe,Te.statement],!0)),N,Ps)}return dn(Te,N,t)}function q(Te,De){return Jue(Te.statements)!==0?x3(Te)?r.updateCaseClause(Te,bt(Te.expression,N,jt),Z(Te.statements,0,Te.statements.length,De,void 0)):r.updateDefaultClause(Te,Z(Te.statements,0,Te.statements.length,De,void 0)):dn(Te,N,t)}function X(Te){const De=HRt(Te.caseBlock.clauses);if(De){const qe=Ae();return ke([r.updateSwitchStatement(Te,bt(Te.expression,N,jt),r.updateCaseBlock(Te.caseBlock,Te.caseBlock.clauses.map(se=>q(se,qe))))],qe,De===2)}return dn(Te,N,t)}function Z(Te,De,qe,se,Ne){const Oe=[];for(let We=De;We<qe;We++){const ze=Te[We],Nt=rLe(ze);if(Nt){j.assertNode(ze,Lu);const et=[];for(let Me of ze.declarationList.declarations){if(!ot(Me.name)){et.length=0;break}Sp(Me)&&(Me=Dp(t,Me));const ut=bt(Me.initializer,N,jt)??r.createVoidZero();et.push(r.updateVariableDeclaration(Me,Me.name,void 0,void 0,a().createAddDisposableResourceHelper(se,ut,Nt===2)))}if(et.length){const Me=r.createVariableDeclarationList(et,2);Ir(Me,ze.declarationList),Ht(Me,ze.declarationList),He(r.updateVariableStatement(ze,void 0,Me));continue}}const $e=N(ze);Ao($e)?$e.forEach(He):$e&&He($e)}return Oe;function He(We){j.assertNode(We,Ps),fn(Oe,Pe(We))}function Pe(We){if(!Ne)return We;switch(We.kind){case 272:case 271:case 278:case 262:return Q(We,Ne);case 277:return re(We);case 263:return de(We);case 243:return ue(We)}return We}}function Q(Te,De){De.push(Te)}function re(Te){return Te.isExportEquals?pe(Te):oe(Te)}function oe(Te){if(b)return Te;b=r.createUniqueName("_default",56),he(b,!0,"default",Te);let De=Te.expression,qe=Vu(De);Sp(qe)&&(qe=Dp(t,qe,!1,"default"),De=r.restoreOuterExpressions(De,qe));const se=r.createAssignment(b,De);return r.createExpressionStatement(se)}function pe(Te){if(T)return Te;T=r.createUniqueName("_default",56),u(T);const De=r.createAssignment(T,Te.expression);return r.createExpressionStatement(De)}function de(Te){if(!Te.name&&b)return Te;const De=Zr(Te,32),qe=Zr(Te,2048);let se=r.converters.convertToClassExpression(Te);return Te.name&&(he(r.getLocalName(Te),De&&!qe,void 0,Te),se=r.createAssignment(r.getDeclarationName(Te),se),Sp(se)&&(se=Dp(t,se,!1)),Ir(se,Te),Ga(se,Te),Sd(se,Te)),qe&&!b&&(b=r.createUniqueName("_default",56),he(b,!0,"default",Te),se=r.createAssignment(b,se),Sp(se)&&(se=Dp(t,se,!1,"default")),Ir(se,Te)),r.createExpressionStatement(se)}function ue(Te){let De;const qe=Zr(Te,32);for(const se of Te.declarationList.declarations)we(se,qe,se),se.initializer&&(De=fn(De,Ie(se)));if(De){const se=r.createExpressionStatement(r.inlineExpressions(De));return Ir(se,Te),Sd(se,Te),Ga(se,Te),se}}function Ie(Te){j.assertIsDefined(Te.initializer);let De;ot(Te.name)?(De=r.cloneNode(Te.name),tr(De,Ya(De)&-114689)):De=r.converters.convertToAssignmentPattern(Te.name);const qe=r.createAssignment(De,Te.initializer);return Ir(qe,Te),Sd(qe,Te),Ga(qe,Te),qe}function we(Te,De,qe){if(ta(Te.name))for(const se of Te.name.elements)Bd(se)||we(se,De,qe);else he(Te.name,De,void 0,qe)}function he(Te,De,qe,se){const Ne=zl(Te)?Te:r.cloneNode(Te);if(De){if(qe===void 0&&!iC(Ne)){const We=r.createVariableDeclaration(Ne);se&&Ir(We,se),C.push(We);return}const Oe=qe!==void 0?Ne:void 0,He=qe!==void 0?qe:Ne,Pe=r.createExportSpecifier(!1,Oe,He);se&&Ir(Pe,se),g.set(Ne,Pe)}u(Ne)}function Ae(){return r.createUniqueName("env")}function ke(Te,De,qe){const se=[],Ne=r.createObjectLiteralExpression([r.createPropertyAssignment("stack",r.createArrayLiteralExpression()),r.createPropertyAssignment("error",r.createVoidZero()),r.createPropertyAssignment("hasError",r.createFalse())]),Oe=r.createVariableDeclaration(De,void 0,void 0,Ne),He=r.createVariableDeclarationList([Oe],2),Pe=r.createVariableStatement(void 0,He);se.push(Pe);const We=r.createBlock(Te,!0),ze=r.createUniqueName("e"),Nt=r.createCatchClause(ze,r.createBlock([r.createExpressionStatement(r.createAssignment(r.createPropertyAccessExpression(De,"error"),ze)),r.createExpressionStatement(r.createAssignment(r.createPropertyAccessExpression(De,"hasError"),r.createTrue()))],!0));let $e;if(qe){const Me=r.createUniqueName("result");$e=r.createBlock([r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(Me,void 0,void 0,a().createDisposeResourcesHelper(De))],2)),r.createIfStatement(Me,r.createExpressionStatement(r.createAwaitExpression(Me)))],!0)}else $e=r.createBlock([r.createExpressionStatement(a().createDisposeResourcesHelper(De))],!0);const et=r.createTryStatement(We,Nt,$e);return se.push(et),se}}function get(t){for(let r=0;r<t.length;r++)if(!I1(t[r])&&!rV(t[r]))return r;return 0}function yet(t){return Sf(t)&&nLe(t)!==0}function nLe(t){return(t.flags&7)===6?2:(t.flags&7)===4?1:0}function VRt(t){return nLe(t.declarationList)}function rLe(t){return Lu(t)?VRt(t):0}function Jue(t){let r=0;for(const a of t){const u=rLe(a);if(u===2)return 2;u>r&&(r=u)}return r}function HRt(t){let r=0;for(const a of t){const u=Jue(a.statements);if(u===2)return 2;u>r&&(r=u)}return r}function sLe(t){const{factory:r,getEmitHelperFactory:a}=t,u=t.getCompilerOptions();let h,p;return q0(t,N);function g(){if(p.filenameDeclaration)return p.filenameDeclaration.name;const et=r.createVariableDeclaration(r.createUniqueName("_jsxFileName",48),void 0,void 0,r.createStringLiteral(h.fileName));return p.filenameDeclaration=et,p.filenameDeclaration.name}function C(et){return u.jsx===5?"jsxDEV":et?"jsxs":"jsx"}function b(et){const Me=C(et);return E(Me)}function T(){return E("Fragment")}function E(et){var Me,ut;const wt=et==="createElement"?p.importSpecifier:HK(p.importSpecifier,u),Et=(ut=(Me=p.utilizedImplicitRuntimeImports)==null?void 0:Me.get(wt))==null?void 0:ut.get(et);if(Et)return Et.name;p.utilizedImplicitRuntimeImports||(p.utilizedImplicitRuntimeImports=new Map);let dt=p.utilizedImplicitRuntimeImports.get(wt);dt||(dt=new Map,p.utilizedImplicitRuntimeImports.set(wt,dt));const zt=r.createUniqueName(`_${et}`,112),Ei=r.createImportSpecifier(!1,r.createIdentifier(et),zt);return CEe(zt,Ei),dt.set(et,Ei),zt}function N(et){if(et.isDeclarationFile)return et;h=et,p={},p.importSpecifier=VK(u,et);let Me=dn(et,R,t);Zb(Me,t.readEmitHelpers());let ut=Me.statements;if(p.filenameDeclaration&&(ut=_I(ut.slice(),r.createVariableStatement(void 0,r.createVariableDeclarationList([p.filenameDeclaration],2)))),p.utilizedImplicitRuntimeImports){for(const[wt,Et]of as(p.utilizedImplicitRuntimeImports.entries()))if(wd(et)){const dt=r.createImportDeclaration(void 0,r.createImportClause(!1,void 0,r.createNamedImports(as(Et.values()))),r.createStringLiteral(wt),void 0);Qb(dt,!1),ut=_I(ut.slice(),dt)}else if(Cp(et)){const dt=r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(r.createObjectBindingPattern(as(Et.values(),zt=>r.createBindingElement(void 0,zt.propertyName,zt.name))),void 0,void 0,r.createCallExpression(r.createIdentifier("require"),void 0,[r.createStringLiteral(wt)]))],2));Qb(dt,!1),ut=_I(ut.slice(),dt)}}return ut!==Me.statements&&(Me=r.updateSourceFile(Me,ut)),p=void 0,Me}function R(et){return et.transformFlags&2?F(et):et}function F(et){switch(et.kind){case 284:return Z(et,!1);case 285:return Q(et,!1);case 288:return re(et,!1);case 294:return $e(et);default:return dn(et,R,t)}}function H(et){switch(et.kind){case 12:return Ne(et);case 294:return $e(et);case 284:return Z(et,!0);case 285:return Q(et,!0);case 288:return re(et,!0);default:return j.failBadSyntaxKind(et)}}function U(et){return et.properties.some(Me=>_d(Me)&&(ot(Me.name)&&Fr(Me.name)==="__proto__"||Ha(Me.name)&&Me.name.text==="__proto__"))}function q(et){let Me=!1;for(const ut of et.attributes.properties)if(GP(ut)&&(!Aa(ut.expression)||ut.expression.properties.some(Kv)))Me=!0;else if(Me&&U1(ut)&&ot(ut.name)&&ut.name.escapedText==="key")return!0;return!1}function X(et){return p.importSpecifier===void 0||q(et)}function Z(et,Me){return(X(et.openingElement)?Ie:de)(et.openingElement,et.children,Me,et)}function Q(et,Me){return(X(et)?Ie:de)(et,void 0,Me,et)}function re(et,Me){return(p.importSpecifier===void 0?he:we)(et.openingFragment,et.children,Me,et)}function oe(et){const Me=pe(et);return Me&&r.createObjectLiteralExpression([Me])}function pe(et){const Me=r3(et);if(P(Me)===1&&!Me[0].dotDotDotToken){const wt=H(Me[0]);return wt&&r.createPropertyAssignment("children",wt)}const ut=es(et,H);return P(ut)?r.createPropertyAssignment("children",r.createArrayLiteralExpression(ut)):void 0}function de(et,Me,ut,wt){const Et=ze(et),dt=Me&&Me.length?pe(Me):void 0,zt=Fe(et.attributes.properties,xn=>!!xn.name&&ot(xn.name)&&xn.name.escapedText==="key"),Ei=zt?$t(et.attributes.properties,xn=>xn!==zt):et.attributes.properties,tn=P(Ei)?ke(Ei,dt):r.createObjectLiteralExpression(dt?[dt]:w);return ue(Et,tn,zt,Me||w,ut,wt)}function ue(et,Me,ut,wt,Et,dt){var zt;const Ei=r3(wt),tn=P(Ei)>1||!!((zt=Ei[0])!=null&&zt.dotDotDotToken),xn=[et,Me];if(ut&&xn.push(se(ut.initializer)),u.jsx===5){const _i=Ql(h);if(_i&&Os(_i)){ut===void 0&&xn.push(r.createVoidZero()),xn.push(tn?r.createTrue():r.createFalse());const Fi=Ia(_i,dt.pos);xn.push(r.createObjectLiteralExpression([r.createPropertyAssignment("fileName",g()),r.createPropertyAssignment("lineNumber",r.createNumericLiteral(Fi.line+1)),r.createPropertyAssignment("columnNumber",r.createNumericLiteral(Fi.character+1))])),xn.push(r.createThis())}}const Pn=Ht(r.createCallExpression(b(tn),void 0,xn),dt);return Et&&bh(Pn),Pn}function Ie(et,Me,ut,wt){const Et=ze(et),dt=et.attributes.properties,zt=P(dt)?ke(dt):r.createNull(),Ei=p.importSpecifier===void 0?Ile(r,t.getEmitResolver().getJsxFactoryEntity(h),u.reactNamespace,et):E("createElement"),tn=tIe(r,Ei,Et,zt,es(Me,H),wt);return ut&&bh(tn),tn}function we(et,Me,ut,wt){let Et;if(Me&&Me.length){const dt=oe(Me);dt&&(Et=dt)}return ue(T(),Et||r.createObjectLiteralExpression([]),void 0,Me,ut,wt)}function he(et,Me,ut,wt){const Et=iIe(r,t.getEmitResolver().getJsxFactoryEntity(h),t.getEmitResolver().getJsxFragmentFactoryEntity(h),u.reactNamespace,es(Me,H),et,wt);return ut&&bh(Et),Et}function Ae(et){return Aa(et.expression)&&!U(et.expression)?Wr(et.expression.properties,Me=>j.checkDefined(bt(Me,R,Ub))):r.createSpreadAssignment(j.checkDefined(bt(et.expression,R,jt)))}function ke(et,Me){const ut=Ja(u);return ut&&ut>=5?r.createObjectLiteralExpression(Te(et,Me)):De(et,Me)}function Te(et,Me){const ut=Dr(nm(et,GP,(wt,Et)=>Dr(qt(wt,dt=>Et?Ae(dt):qe(dt)))));return Me&&ut.push(Me),ut}function De(et,Me){const ut=[];let wt=[];for(const dt of et){if(GP(dt)){if(Aa(dt.expression)&&!U(dt.expression)){for(const zt of dt.expression.properties){if(Kv(zt)){Et(),ut.push(j.checkDefined(bt(zt.expression,R,jt)));continue}wt.push(j.checkDefined(bt(zt,R)))}continue}Et(),ut.push(j.checkDefined(bt(dt.expression,R,jt)));continue}wt.push(qe(dt))}return Me&&wt.push(Me),Et(),ut.length&&!Aa(ut[0])&&ut.unshift(r.createObjectLiteralExpression()),Bm(ut)||a().createAssignHelper(ut);function Et(){wt.length&&(ut.push(r.createObjectLiteralExpression(wt)),wt=[])}}function qe(et){const Me=Nt(et),ut=se(et.initializer);return r.createPropertyAssignment(Me,ut)}function se(et){if(et===void 0)return r.createTrue();if(et.kind===11){const Me=et.singleQuote!==void 0?et.singleQuote:!tK(et,h),ut=r.createStringLiteral(We(et.text)||et.text,Me);return Ht(ut,et)}return et.kind===294?et.expression===void 0?r.createTrue():j.checkDefined(bt(et.expression,R,jt)):Gv(et)?Z(et,!1):PI(et)?Q(et,!1):aD(et)?re(et,!1):j.failBadSyntaxKind(et)}function Ne(et){const Me=Oe(et.text);return Me===void 0?void 0:r.createStringLiteral(Me)}function Oe(et){let Me,ut=0,wt=-1;for(let Et=0;Et<et.length;Et++){const dt=et.charCodeAt(Et);mh(dt)?(ut!==-1&&wt!==-1&&(Me=He(Me,et.substr(ut,wt-ut+1))),ut=-1):k1(dt)||(wt=Et,ut===-1&&(ut=Et))}return ut!==-1?He(Me,et.substr(ut)):Me}function He(et,Me){const ut=Pe(Me);return et===void 0?ut:et+" "+ut}function Pe(et){return et.replace(/&((#((\d+)|x([\da-fA-F]+)))|(\w+));/g,(Me,ut,wt,Et,dt,zt,Ei)=>{if(dt)return d8(parseInt(dt,10));if(zt)return d8(parseInt(zt,16));{const tn=jRt.get(Ei);return tn?d8(tn):Me}})}function We(et){const Me=Pe(et);return Me===et?void 0:Me}function ze(et){if(et.kind===284)return ze(et.openingElement);{const Me=et.tagName;return ot(Me)&&s3(Me.escapedText)?r.createStringLiteral(Fr(Me)):j0(Me)?r.createStringLiteral(Fr(Me.namespace)+":"+Fr(Me.name)):yH(r,Me)}}function Nt(et){const Me=et.name;if(ot(Me)){const ut=Fr(Me);return/^[A-Z_]\w*$/i.test(ut)?Me:r.createStringLiteral(ut)}return r.createStringLiteral(Fr(Me.namespace)+":"+Fr(Me.name))}function $e(et){const Me=bt(et.expression,R,jt);return et.dotDotDotToken?r.createSpreadElement(Me):Me}}var jRt=new Map(Object.entries({quot:34,amp:38,apos:39,lt:60,gt:62,nbsp:160,iexcl:161,cent:162,pound:163,curren:164,yen:165,brvbar:166,sect:167,uml:168,copy:169,ordf:170,laquo:171,not:172,shy:173,reg:174,macr:175,deg:176,plusmn:177,sup2:178,sup3:179,acute:180,micro:181,para:182,middot:183,cedil:184,sup1:185,ordm:186,raquo:187,frac14:188,frac12:189,frac34:190,iquest:191,Agrave:192,Aacute:193,Acirc:194,Atilde:195,Auml:196,Aring:197,AElig:198,Ccedil:199,Egrave:200,Eacute:201,Ecirc:202,Euml:203,Igrave:204,Iacute:205,Icirc:206,Iuml:207,ETH:208,Ntilde:209,Ograve:210,Oacute:211,Ocirc:212,Otilde:213,Ouml:214,times:215,Oslash:216,Ugrave:217,Uacute:218,Ucirc:219,Uuml:220,Yacute:221,THORN:222,szlig:223,agrave:224,aacute:225,acirc:226,atilde:227,auml:228,aring:229,aelig:230,ccedil:231,egrave:232,eacute:233,ecirc:234,euml:235,igrave:236,iacute:237,icirc:238,iuml:239,eth:240,ntilde:241,ograve:242,oacute:243,ocirc:244,otilde:245,ouml:246,divide:247,oslash:248,ugrave:249,uacute:250,ucirc:251,uuml:252,yacute:253,thorn:254,yuml:255,OElig:338,oelig:339,Scaron:352,scaron:353,Yuml:376,fnof:402,circ:710,tilde:732,Alpha:913,Beta:914,Gamma:915,Delta:916,Epsilon:917,Zeta:918,Eta:919,Theta:920,Iota:921,Kappa:922,Lambda:923,Mu:924,Nu:925,Xi:926,Omicron:927,Pi:928,Rho:929,Sigma:931,Tau:932,Upsilon:933,Phi:934,Chi:935,Psi:936,Omega:937,alpha:945,beta:946,gamma:947,delta:948,epsilon:949,zeta:950,eta:951,theta:952,iota:953,kappa:954,lambda:955,mu:956,nu:957,xi:958,omicron:959,pi:960,rho:961,sigmaf:962,sigma:963,tau:964,upsilon:965,phi:966,chi:967,psi:968,omega:969,thetasym:977,upsih:978,piv:982,ensp:8194,emsp:8195,thinsp:8201,zwnj:8204,zwj:8205,lrm:8206,rlm:8207,ndash:8211,mdash:8212,lsquo:8216,rsquo:8217,sbquo:8218,ldquo:8220,rdquo:8221,bdquo:8222,dagger:8224,Dagger:8225,bull:8226,hellip:8230,permil:8240,prime:8242,Prime:8243,lsaquo:8249,rsaquo:8250,oline:8254,frasl:8260,euro:8364,image:8465,weierp:8472,real:8476,trade:8482,alefsym:8501,larr:8592,uarr:8593,rarr:8594,darr:8595,harr:8596,crarr:8629,lArr:8656,uArr:8657,rArr:8658,dArr:8659,hArr:8660,forall:8704,part:8706,exist:8707,empty:8709,nabla:8711,isin:8712,notin:8713,ni:8715,prod:8719,sum:8721,minus:8722,lowast:8727,radic:8730,prop:8733,infin:8734,ang:8736,and:8743,or:8744,cap:8745,cup:8746,int:8747,there4:8756,sim:8764,cong:8773,asymp:8776,ne:8800,equiv:8801,le:8804,ge:8805,sub:8834,sup:8835,nsub:8836,sube:8838,supe:8839,oplus:8853,otimes:8855,perp:8869,sdot:8901,lceil:8968,rceil:8969,lfloor:8970,rfloor:8971,lang:9001,rang:9002,loz:9674,spades:9824,clubs:9827,hearts:9829,diams:9830}));function oLe(t){const{factory:r,hoistVariableDeclaration:a}=t;return q0(t,u);function u(b){return b.isDeclarationFile?b:dn(b,h,t)}function h(b){if(!(b.transformFlags&512))return b;switch(b.kind){case 226:return p(b);default:return dn(b,h,t)}}function p(b){switch(b.operatorToken.kind){case 68:return g(b);case 43:return C(b);default:return dn(b,h,t)}}function g(b){let T,E;const N=bt(b.left,h,jt),R=bt(b.right,h,jt);if(wl(N)){const F=r.createTempVariable(a),H=r.createTempVariable(a);T=Ht(r.createElementAccessExpression(Ht(r.createAssignment(F,N.expression),N.expression),Ht(r.createAssignment(H,N.argumentExpression),N.argumentExpression)),N),E=Ht(r.createElementAccessExpression(F,H),N)}else if(Nr(N)){const F=r.createTempVariable(a);T=Ht(r.createPropertyAccessExpression(Ht(r.createAssignment(F,N.expression),N.expression),N.name),N),E=Ht(r.createPropertyAccessExpression(F,N.name),N)}else T=N,E=N;return Ht(r.createAssignment(T,Ht(r.createGlobalMethodCall("Math","pow",[E,R]),b)),b)}function C(b){const T=bt(b.left,h,jt),E=bt(b.right,h,jt);return Ht(r.createGlobalMethodCall("Math","pow",[T,E]),b)}}function vet(t,r){return{kind:t,expression:r}}function aLe(t){const{factory:r,getEmitHelperFactory:a,startLexicalEnvironment:u,resumeLexicalEnvironment:h,endLexicalEnvironment:p,hoistVariableDeclaration:g}=t,C=t.getCompilerOptions(),b=t.getEmitResolver(),T=t.onSubstituteNode,E=t.onEmitNode;t.onEmitNode=Y_,t.onSubstituteNode=tg;let N,R,F,H;function U(ve){H=fn(H,r.createVariableDeclaration(ve))}let q,X;return q0(t,Z);function Z(ve){if(ve.isDeclarationFile)return ve;N=ve,R=ve.text;const Ot=ke(ve);return Zb(Ot,t.readEmitHelpers()),N=void 0,R=void 0,H=void 0,F=0,Ot}function Q(ve,Ot){const mi=F;return F=(F&~ve|Ot)&32767,mi}function re(ve,Ot,mi){F=(F&~Ot|mi)&-32768|ve}function oe(ve){return(F&8192)!==0&&ve.kind===253&&!ve.expression}function pe(ve){return ve.transformFlags&4194304&&(Og(ve)||r2(ve)||WEe(ve)||S7(ve)||w7(ve)||x3(ve)||k7(ve)||NI(ve)||lD(ve)||_x(ve)||Zw(ve,!1)||Xo(ve))}function de(ve){return(ve.transformFlags&1024)!==0||q!==void 0||F&8192&&pe(ve)||Zw(ve,!1)&&Ls(ve)||(u0(ve)&1)!==0}function ue(ve){return de(ve)?Ae(ve,!1):ve}function Ie(ve){return de(ve)?Ae(ve,!0):ve}function we(ve){if(de(ve)){const Ot=Ql(ve);if(Lo(Ot)&&bd(Ot)){const mi=Q(32670,16449),Ci=Ae(ve,!1);return re(mi,229376,0),Ci}return Ae(ve,!1)}return ve}function he(ve){return ve.kind===108?Ap(ve,!0):ue(ve)}function Ae(ve,Ot){switch(ve.kind){case 126:return;case 263:return ze(ve);case 231:return Nt(ve);case 169:return ka(ve);case 262:return ji(ve);case 219:return vt(ve);case 218:return Ni(ve);case 260:return Un(ve);case 80:return Pe(ve);case 261:return st(ve);case 255:return Te(ve);case 269:return De(ve);case 241:return tt(ve);case 252:case 251:return We(ve);case 256:return fi(ve);case 246:case 247:return Ai(ve,void 0);case 248:return Xn(ve,void 0);case 249:return on(ve,void 0);case 250:return zi(ve,void 0);case 244:return Gt(ve);case 210:return $o(ve);case 299:return ds(ve);case 304:return Pu(ve);case 167:return Ku(ve);case 209:return Wl(ve);case 213:return Cu(ve);case 214:return kd(ve);case 217:return le(ve,Ot);case 226:return Ue(ve,Ot);case 355:return be(ve,Ot);case 15:case 16:case 17:case 18:return sc(ve);case 11:return Hu(ve);case 9:return Hd(ve);case 215:return Yc(ve);case 228:return Pc(ve);case 229:return Xu(ve);case 230:return ic(ve);case 108:return Ap(ve,!1);case 110:return Oe(ve);case 236:return Vg(ve);case 174:return Ic(ve);case 177:case 178:return Gl(ve);case 243:return lt(ve);case 253:return Ne(ve);case 222:return He(ve);default:return dn(ve,ue,t)}}function ke(ve){const Ot=Q(8064,64),mi=[],Ci=[];u();const hn=r.copyPrologue(ve.statements,mi,!1,ue);return Sr(Ci,Dn(ve.statements,ue,Ps,hn)),H&&Ci.push(r.createVariableStatement(void 0,r.createVariableDeclarationList(H))),r.mergeLexicalEnvironment(mi,p()),Se(mi,ve),re(Ot,0,0),r.updateSourceFile(ve,Ht(r.createNodeArray(Js(mi,Ci)),ve.statements))}function Te(ve){if(q!==void 0){const Ot=q.allowedNonLabeledJumps;q.allowedNonLabeledJumps|=2;const mi=dn(ve,ue,t);return q.allowedNonLabeledJumps=Ot,mi}return dn(ve,ue,t)}function De(ve){const Ot=Q(7104,0),mi=dn(ve,ue,t);return re(Ot,0,0),mi}function qe(ve){return Ir(r.createReturnStatement(se()),ve)}function se(){return r.createUniqueName("_this",48)}function Ne(ve){return q?(q.nonLocalJumps|=8,oe(ve)&&(ve=qe(ve)),r.createReturnStatement(r.createObjectLiteralExpression([r.createPropertyAssignment(r.createIdentifier("value"),ve.expression?j.checkDefined(bt(ve.expression,ue,jt)):r.createVoidZero())]))):oe(ve)?qe(ve):dn(ve,ue,t)}function Oe(ve){return F|=65536,F&2&&!(F&16384)&&(F|=131072),q?F&2?(q.containsLexicalThis=!0,ve):q.thisName||(q.thisName=r.createUniqueName("this")):ve}function He(ve){return dn(ve,Ie,t)}function Pe(ve){return q&&b.isArgumentsLocalBinding(ve)?q.argumentsName||(q.argumentsName=r.createUniqueName("arguments")):ve.flags&256?Ir(Ht(r.createIdentifier(Ws(ve.escapedText)),ve),ve):ve}function We(ve){if(q){const Ot=ve.kind===252?2:4;if(!(ve.label&&q.labels&&q.labels.get(Fr(ve.label))||!ve.label&&q.allowedNonLabeledJumps&Ot)){let Ci;const hn=ve.label;hn?ve.kind===252?(Ci=`break-${hn.escapedText}`,Lt(q,!0,Fr(hn),Ci)):(Ci=`continue-${hn.escapedText}`,Lt(q,!1,Fr(hn),Ci)):ve.kind===252?(q.nonLocalJumps|=2,Ci="break"):(q.nonLocalJumps|=4,Ci="continue");let Wn=r.createStringLiteral(Ci);if(q.loopOutParameters.length){const Lr=q.loopOutParameters;let ps;for(let ee=0;ee<Lr.length;ee++){const Xe=Pp(Lr[ee],1);ee===0?ps=Xe:ps=r.createBinaryExpression(ps,28,Xe)}Wn=r.createBinaryExpression(ps,28,Wn)}return r.createReturnStatement(Wn)}}return dn(ve,ue,t)}function ze(ve){const Ot=r.createVariableDeclaration(r.getLocalName(ve,!0),void 0,void 0,$e(ve));Ir(Ot,ve);const mi=[],Ci=r.createVariableStatement(void 0,r.createVariableDeclarationList([Ot]));if(Ir(Ci,ve),Ht(Ci,ve),bh(Ci),mi.push(Ci),Zr(ve,32)){const hn=Zr(ve,2048)?r.createExportDefault(r.getLocalName(ve)):r.createExternalModuleExport(r.getLocalName(ve));Ir(hn,Ci),mi.push(hn)}return Sg(mi)}function Nt(ve){return $e(ve)}function $e(ve){ve.name&&Jp();const Ot=qT(ve),mi=r.createFunctionExpression(void 0,void 0,void 0,void 0,Ot?[r.createParameterDeclaration(void 0,void 0,od())]:[],void 0,et(ve,Ot));tr(mi,Ya(ve)&131072|1048576);const Ci=r.createPartiallyEmittedExpression(mi);m3(Ci,ve.end),tr(Ci,3072);const hn=r.createPartiallyEmittedExpression(Ci);m3(hn,Va(R,ve.pos)),tr(hn,3072);const Wn=r.createParenthesizedExpression(r.createCallExpression(hn,void 0,Ot?[j.checkDefined(bt(Ot.expression,ue,jt))]:[]));return h7(Wn,3,"* @class "),Wn}function et(ve,Ot){const mi=[],Ci=r.getInternalName(ve),hn=Qae(Ci)?r.getGeneratedNameForNode(Ci):Ci;u(),Me(mi,ve,Ot),ut(mi,ve,hn,Ot),Dt(mi,ve);const Wn=bce(Va(R,ve.members.end),20),Lr=r.createPartiallyEmittedExpression(hn);m3(Lr,Wn.end),tr(Lr,3072);const ps=r.createReturnStatement(Lr);o7(ps,Wn.pos),tr(ps,3840),mi.push(ps),Fv(mi,p());const ee=r.createBlock(Ht(r.createNodeArray(mi),ve.members),!0);return tr(ee,3072),ee}function Me(ve,Ot,mi){mi&&ve.push(Ht(r.createExpressionStatement(a().createExtendsHelper(r.getInternalName(Ot))),mi))}function ut(ve,Ot,mi,Ci){const hn=q;q=void 0;const Wn=Q(32662,73),Lr=Vv(Ot),ps=vm(Lr,Ci!==void 0),ee=r.createFunctionDeclaration(void 0,void 0,mi,void 0,wt(Lr,ps),void 0,Ei(Lr,Ot,Ci,ps));Ht(ee,Lr||Ot),Ci&&tr(ee,16),ve.push(ee),re(Wn,229376,0),q=hn}function wt(ve,Ot){return yu(ve&&!Ot?ve.parameters:void 0,ue,t)||[]}function Et(ve,Ot){const mi=[];h(),r.mergeLexicalEnvironment(mi,p()),Ot&&mi.push(r.createReturnStatement(ma()));const Ci=r.createNodeArray(mi);Ht(Ci,ve.members);const hn=r.createBlock(Ci,!0);return Ht(hn,ve),tr(hn,3072),hn}function dt(ve){return Lu(ve)&&Ce(ve.declarationList.declarations,Ot=>ot(Ot.name)&&!Ot.initializer)}function zt(ve){if(mI(ve))return!0;if(!(ve.transformFlags&134217728))return!1;switch(ve.kind){case 219:case 218:case 262:case 176:case 175:return!1;case 177:case 178:case 174:case 172:{const Ot=ve;return Ka(Ot.name)?!!Uo(Ot.name,zt):!1}}return!!Uo(ve,zt)}function Ei(ve,Ot,mi,Ci){const hn=!!mi&&Vu(mi.expression).kind!==106;if(!ve)return Et(Ot,hn);const Wn=[],Lr=[];h();const ps=r.copyStandardPrologue(ve.body.statements,Wn,0);(Ci||zt(ve.body))&&(F|=8192),Sr(Lr,Dn(ve.body.statements,ue,Ps,ps));const ee=hn||F&8192;ac(Wn,ve),pt(Wn,ve,Ci),gt(Wn,ve),ee?Ze(Wn,ve,Gs()):Se(Wn,ve),r.mergeLexicalEnvironment(Wn,p()),ee&&!Xr(ve.body)&&Lr.push(r.createReturnStatement(se()));const Xe=r.createBlock(Ht(r.createNodeArray([...Wn,...Lr]),ve.body.statements),!0);return Ht(Xe,ve.body),us(Xe,ve.body,Ci)}function tn(ve){return zl(ve)&&Fr(ve)==="_this"}function xn(ve){return zl(ve)&&Fr(ve)==="_super"}function Pn(ve){return Lu(ve)&&ve.declarationList.declarations.length===1&&_i(ve.declarationList.declarations[0])}function _i(ve){return _s(ve)&&tn(ve.name)&&!!ve.initializer}function Fi(ve){return lf(ve,!0)&&tn(ve.left)}function On(ve){return la(ve)&&Nr(ve.expression)&&xn(ve.expression.expression)&&ot(ve.expression.name)&&(Fr(ve.expression.name)==="call"||Fr(ve.expression.name)==="apply")&&ve.arguments.length>=1&&ve.arguments[0].kind===110}function pn(ve){return ur(ve)&&ve.operatorToken.kind===57&&ve.right.kind===110&&On(ve.left)}function qr(ve){return ur(ve)&&ve.operatorToken.kind===56&&ur(ve.left)&&ve.left.operatorToken.kind===38&&xn(ve.left.left)&&ve.left.right.kind===106&&On(ve.right)&&Fr(ve.right.expression.name)==="apply"}function Fs(ve){return ur(ve)&&ve.operatorToken.kind===57&&ve.right.kind===110&&qr(ve.left)}function ko(ve){return Fi(ve)&&pn(ve.right)}function ls(ve){return Fi(ve)&&Fs(ve.right)}function Qs(ve){return On(ve)||pn(ve)||ko(ve)||qr(ve)||Fs(ve)||ls(ve)}function Mn(ve){for(let Ot=0;Ot<ve.statements.length-1;Ot++){const mi=ve.statements[Ot];if(!Pn(mi))continue;const Ci=mi.declarationList.declarations[0];if(Ci.initializer.kind!==110)continue;const hn=Ot;let Wn=Ot+1;for(;Wn<ve.statements.length;){const Is=ve.statements[Wn];if(uf(Is)&&Qs(Vu(Is.expression)))break;if(dt(Is)){Wn++;continue}return ve}const Lr=ve.statements[Wn];let ps=Lr.expression;Fi(ps)&&(ps=ps.right);const ee=r.updateVariableDeclaration(Ci,Ci.name,void 0,void 0,ps),Xe=r.updateVariableDeclarationList(mi.declarationList,[ee]),Zt=r.createVariableStatement(mi.modifiers,Xe);Ir(Zt,Lr),Ht(Zt,Lr);const Nn=r.createNodeArray([...ve.statements.slice(0,hn),...ve.statements.slice(hn+1,Wn),Zt,...ve.statements.slice(Wn+1)]);return Ht(Nn,ve.statements),r.updateBlock(ve,Nn)}return ve}function Zs(ve,Ot){for(const Ci of Ot.statements)if(Ci.transformFlags&134217728&&!yQ(Ci))return ve;const mi=!(Ot.transformFlags&16384)&&!(F&65536)&&!(F&131072);for(let Ci=ve.statements.length-1;Ci>0;Ci--){const hn=ve.statements[Ci];if(Og(hn)&&hn.expression&&tn(hn.expression)){const Wn=ve.statements[Ci-1];let Lr;if(uf(Wn)&&ko(Vu(Wn.expression)))Lr=Wn.expression;else if(mi&&Pn(Wn)){const Xe=Wn.declarationList.declarations[0];Qs(Vu(Xe.initializer))&&(Lr=r.createAssignment(se(),Xe.initializer))}if(!Lr)break;const ps=r.createReturnStatement(Lr);Ir(ps,Wn),Ht(ps,Wn);const ee=r.createNodeArray([...ve.statements.slice(0,Ci-1),ps,...ve.statements.slice(Ci+1)]);return Ht(ee,ve.statements),r.updateBlock(ve,ee)}}return ve}function at(ve){if(Pn(ve)){if(ve.declarationList.declarations[0].initializer.kind===110)return}else if(Fi(ve))return r.createPartiallyEmittedExpression(ve.right,ve);switch(ve.kind){case 219:case 218:case 262:case 176:case 175:return ve;case 177:case 178:case 174:case 172:{const Ot=ve;return Ka(Ot.name)?r.replacePropertyName(Ot,dn(Ot.name,at,void 0)):ve}}return dn(ve,at,void 0)}function yi(ve,Ot){if(Ot.transformFlags&16384||F&65536||F&131072)return ve;for(const mi of Ot.statements)if(mi.transformFlags&134217728&&!yQ(mi))return ve;return r.updateBlock(ve,Dn(ve.statements,at,Ps))}function Sn(ve){if(On(ve)&&ve.arguments.length===2&&ot(ve.arguments[1])&&Fr(ve.arguments[1])==="arguments")return r.createLogicalAnd(r.createStrictInequality(od(),r.createNull()),ve);switch(ve.kind){case 219:case 218:case 262:case 176:case 175:return ve;case 177:case 178:case 174:case 172:{const Ot=ve;return Ka(Ot.name)?r.replacePropertyName(Ot,dn(Ot.name,Sn,void 0)):ve}}return dn(ve,Sn,void 0)}function dr(ve){return r.updateBlock(ve,Dn(ve.statements,Sn,Ps))}function us(ve,Ot,mi){const Ci=ve;return ve=Mn(ve),ve=Zs(ve,Ot),ve!==Ci&&(ve=yi(ve,Ot)),mi&&(ve=dr(ve)),ve}function Xr(ve){if(ve.kind===253)return!0;if(ve.kind===245){const Ot=ve;if(Ot.elseStatement)return Xr(Ot.thenStatement)&&Xr(Ot.elseStatement)}else if(ve.kind===241){const Ot=rl(ve.statements);if(Ot&&Xr(Ot))return!0}return!1}function Gs(){return tr(r.createThis(),8)}function ma(){return r.createLogicalOr(r.createLogicalAnd(r.createStrictInequality(od(),r.createNull()),r.createFunctionApplyCall(od(),Gs(),r.createIdentifier("arguments"))),Gs())}function ka(ve){if(!ve.dotDotDotToken)return ta(ve.name)?Ir(Ht(r.createParameterDeclaration(void 0,void 0,r.getGeneratedNameForNode(ve),void 0,void 0,void 0),ve),ve):ve.initializer?Ir(Ht(r.createParameterDeclaration(void 0,void 0,ve.name,void 0,void 0,void 0),ve),ve):ve}function Ji(ve){return ve.initializer!==void 0||ta(ve.name)}function ac(ve,Ot){if(!Ft(Ot.parameters,Ji))return!1;let mi=!1;for(const Ci of Ot.parameters){const{name:hn,initializer:Wn,dotDotDotToken:Lr}=Ci;Lr||(ta(hn)?mi=St(ve,Ci,hn,Wn)||mi:Wn&&(ri(ve,Ci,hn,Wn),mi=!0))}return mi}function St(ve,Ot,mi,Ci){return mi.elements.length>0?(_I(ve,tr(r.createVariableStatement(void 0,r.createVariableDeclarationList(hD(Ot,ue,t,0,r.getGeneratedNameForNode(Ot)))),2097152)),!0):Ci?(_I(ve,tr(r.createExpressionStatement(r.createAssignment(r.getGeneratedNameForNode(Ot),j.checkDefined(bt(Ci,ue,jt)))),2097152)),!0):!1}function ri(ve,Ot,mi,Ci){Ci=j.checkDefined(bt(Ci,ue,jt));const hn=r.createIfStatement(r.createTypeCheck(r.cloneNode(mi),"undefined"),tr(Ht(r.createBlock([r.createExpressionStatement(tr(Ht(r.createAssignment(tr(_c(Ht(r.cloneNode(mi),mi),mi.parent),96),tr(Ci,96|Ya(Ci)|3072)),Ot),3072))]),Ot),3905));bh(hn),Ht(hn,Ot),tr(hn,2101056),_I(ve,hn)}function xe(ve,Ot){return!!(ve&&ve.dotDotDotToken&&!Ot)}function pt(ve,Ot,mi){const Ci=[],hn=rl(Ot.parameters);if(!xe(hn,mi))return!1;const Wn=hn.name.kind===80?_c(Ht(r.cloneNode(hn.name),hn.name),hn.name.parent):r.createTempVariable(void 0);tr(Wn,96);const Lr=hn.name.kind===80?r.cloneNode(hn.name):Wn,ps=Ot.parameters.length-1,ee=r.createLoopVariable();Ci.push(tr(Ht(r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(Wn,void 0,void 0,r.createArrayLiteralExpression([]))])),hn),2097152));const Xe=r.createForStatement(Ht(r.createVariableDeclarationList([r.createVariableDeclaration(ee,void 0,void 0,r.createNumericLiteral(ps))]),hn),Ht(r.createLessThan(ee,r.createPropertyAccessExpression(r.createIdentifier("arguments"),"length")),hn),Ht(r.createPostfixIncrement(ee),hn),r.createBlock([bh(Ht(r.createExpressionStatement(r.createAssignment(r.createElementAccessExpression(Lr,ps===0?ee:r.createSubtract(ee,r.createNumericLiteral(ps))),r.createElementAccessExpression(r.createIdentifier("arguments"),ee))),hn))]));return tr(Xe,2097152),bh(Xe),Ci.push(Xe),hn.name.kind!==80&&Ci.push(tr(Ht(r.createVariableStatement(void 0,r.createVariableDeclarationList(hD(hn,ue,t,0,Lr))),hn),2097152)),mae(ve,Ci),!0}function Se(ve,Ot){return F&131072&&Ot.kind!==219?(Ze(ve,Ot,r.createThis()),!0):!1}function Ze(ve,Ot,mi){ym();const Ci=r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(se(),void 0,void 0,mi)]));tr(Ci,2100224),Ga(Ci,Ot),_I(ve,Ci)}function gt(ve,Ot){if(F&32768){let mi;switch(Ot.kind){case 219:return ve;case 174:case 177:case 178:mi=r.createVoidZero();break;case 176:mi=r.createPropertyAccessExpression(tr(r.createThis(),8),"constructor");break;case 262:case 218:mi=r.createConditionalExpression(r.createLogicalAnd(tr(r.createThis(),8),r.createBinaryExpression(tr(r.createThis(),8),104,r.getLocalName(Ot))),void 0,r.createPropertyAccessExpression(tr(r.createThis(),8),"constructor"),void 0,r.createVoidZero());break;default:return j.failBadSyntaxKind(Ot)}const Ci=r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(r.createUniqueName("_newTarget",48),void 0,void 0,mi)]));tr(Ci,2100224),_I(ve,Ci)}return ve}function Dt(ve,Ot){for(const mi of Ot.members)switch(mi.kind){case 240:ve.push(Je(mi));break;case 174:ve.push(Rt(Yv(Ot,mi),mi,Ot));break;case 177:case 178:const Ci=nx(Ot.members,mi);mi===Ci.firstAccessor&&ve.push(Ct(Yv(Ot,mi),Ci,Ot));break;case 176:case 175:break;default:j.failBadSyntaxKind(mi,N&&N.fileName);break}}function Je(ve){return Ht(r.createEmptyStatement(),ve)}function Rt(ve,Ot,mi){const Ci=B1(Ot),hn=yS(Ot),Wn=gr(Ot,Ot,void 0,mi),Lr=bt(Ot.name,ue,id);j.assert(Lr);let ps;if(!Vs(Lr)&&GV(t.getCompilerOptions())){const Xe=Ka(Lr)?Lr.expression:ot(Lr)?r.createStringLiteral(Ws(Lr.escapedText)):Lr;ps=r.createObjectDefinePropertyCall(ve,Xe,r.createPropertyDescriptor({value:Wn,enumerable:!1,writable:!0,configurable:!0}))}else{const Xe=RI(r,ve,Lr,Ot.name);ps=r.createAssignment(Xe,Wn)}tr(Wn,3072),Ga(Wn,hn);const ee=Ht(r.createExpressionStatement(ps),Ot);return Ir(ee,Ot),Sd(ee,Ci),tr(ee,96),ee}function Ct(ve,Ot,mi){const Ci=r.createExpressionStatement(ii(ve,Ot,mi,!1));return tr(Ci,3072),Ga(Ci,yS(Ot.firstAccessor)),Ci}function ii(ve,{firstAccessor:Ot,getAccessor:mi,setAccessor:Ci},hn,Wn){const Lr=_c(Ht(r.cloneNode(ve),ve),ve.parent);tr(Lr,3136),Ga(Lr,Ot.name);const ps=bt(Ot.name,ue,id);if(j.assert(ps),Vs(ps))return j.failBadSyntaxKind(ps,"Encountered unhandled private identifier while transforming ES2015.");const ee=Lle(r,ps);tr(ee,3104),Ga(ee,Ot.name);const Xe=[];if(mi){const Nn=gr(mi,void 0,void 0,hn);Ga(Nn,yS(mi)),tr(Nn,1024);const Is=r.createPropertyAssignment("get",Nn);Sd(Is,B1(mi)),Xe.push(Is)}if(Ci){const Nn=gr(Ci,void 0,void 0,hn);Ga(Nn,yS(Ci)),tr(Nn,1024);const Is=r.createPropertyAssignment("set",Nn);Sd(Is,B1(Ci)),Xe.push(Is)}Xe.push(r.createPropertyAssignment("enumerable",mi||Ci?r.createFalse():r.createTrue()),r.createPropertyAssignment("configurable",r.createTrue()));const Zt=r.createCallExpression(r.createPropertyAccessExpression(r.createIdentifier("Object"),"defineProperty"),void 0,[Lr,ee,r.createObjectLiteralExpression(Xe,!0)]);return Wn&&bh(Zt),Zt}function vt(ve){ve.transformFlags&16384&&!(F&16384)&&(F|=131072);const Ot=q;q=void 0;const mi=Q(15232,66),Ci=r.createFunctionExpression(void 0,void 0,void 0,void 0,yu(ve.parameters,ue,t),void 0,te(ve));return Ht(Ci,ve),Ir(Ci,ve),tr(Ci,16),re(mi,0,0),q=Ot,Ci}function Ni(ve){const Ot=Ya(ve)&524288?Q(32662,69):Q(32670,65),mi=q;q=void 0;const Ci=yu(ve.parameters,ue,t),hn=te(ve),Wn=F&32768?r.getLocalName(ve):ve.name;return re(Ot,229376,0),q=mi,r.updateFunctionExpression(ve,void 0,ve.asteriskToken,Wn,void 0,Ci,void 0,hn)}function ji(ve){const Ot=q;q=void 0;const mi=Q(32670,65),Ci=yu(ve.parameters,ue,t),hn=te(ve),Wn=F&32768?r.getLocalName(ve):ve.name;return re(mi,229376,0),q=Ot,r.updateFunctionDeclaration(ve,Dn(ve.modifiers,ue,Fa),ve.asteriskToken,Wn,void 0,Ci,void 0,hn)}function gr(ve,Ot,mi,Ci){const hn=q;q=void 0;const Wn=Ci&&ss(Ci)&&!pa(ve)?Q(32670,73):Q(32670,65),Lr=yu(ve.parameters,ue,t),ps=te(ve);return F&32768&&!mi&&(ve.kind===262||ve.kind===218)&&(mi=r.getGeneratedNameForNode(ve)),re(Wn,229376,0),q=hn,Ir(Ht(r.createFunctionExpression(void 0,ve.asteriskToken,mi,void 0,Lr,void 0,ps),Ot),ve)}function te(ve){let Ot=!1,mi=!1,Ci,hn;const Wn=[],Lr=[],ps=ve.body;let ee;if(h(),Xo(ps)&&(ee=r.copyStandardPrologue(ps.statements,Wn,0,!1),ee=r.copyCustomPrologue(ps.statements,Lr,ee,ue,VG),ee=r.copyCustomPrologue(ps.statements,Lr,ee,ue,HG)),Ot=ac(Lr,ve)||Ot,Ot=pt(Lr,ve,!1)||Ot,Xo(ps))ee=r.copyCustomPrologue(ps.statements,Lr,ee,ue),Ci=ps.statements,Sr(Lr,Dn(ps.statements,ue,Ps,ee)),!Ot&&ps.multiLine&&(Ot=!0);else{j.assert(ve.kind===219),Ci=TK(ps,-1);const Zt=ve.equalsGreaterThanToken;!cl(Zt)&&!cl(ps)&&(zV(Zt,ps,N)?mi=!0:Ot=!0);const Nn=bt(ps,ue,jt),Is=r.createReturnStatement(Nn);Ht(Is,ps),pEe(Is,ps),tr(Is,2880),Lr.push(Is),hn=ps}if(r.mergeLexicalEnvironment(Wn,p()),gt(Wn,ve),Se(Wn,ve),Ft(Wn)&&(Ot=!0),Lr.unshift(...Wn),Xo(ps)&&i_(Lr,ps.statements))return ps;const Xe=r.createBlock(Ht(r.createNodeArray(Lr),Ci),Ot);return Ht(Xe,ve.body),!Ot&&mi&&tr(Xe,1),hn&&_Ee(Xe,20,hn),Ir(Xe,ve.body),Xe}function tt(ve,Ot){const mi=F&256?Q(7104,512):Q(6976,128),Ci=dn(ve,ue,t);return re(mi,0,0),Ci}function Gt(ve){return dn(ve,Ie,t)}function le(ve,Ot){return dn(ve,Ot?Ie:ue,t)}function Ue(ve,Ot){return pS(ve)?HI(ve,ue,t,0,!Ot):ve.operatorToken.kind===28?r.updateBinaryExpression(ve,j.checkDefined(bt(ve.left,Ie,jt)),ve.operatorToken,j.checkDefined(bt(ve.right,Ot?Ie:ue,jt))):dn(ve,ue,t)}function be(ve,Ot){if(Ot)return dn(ve,Ie,t);let mi;for(let hn=0;hn<ve.elements.length;hn++){const Wn=ve.elements[hn],Lr=bt(Wn,hn<ve.elements.length-1?Ie:ue,jt);(mi||Lr!==Wn)&&(mi||(mi=ve.elements.slice(0,hn)),j.assert(Lr),mi.push(Lr))}const Ci=mi?Ht(r.createNodeArray(mi),ve.elements):ve.elements;return r.updateCommaListExpression(ve,Ci)}function Qt(ve){return ve.declarationList.declarations.length===1&&!!ve.declarationList.declarations[0].initializer&&!!(u0(ve.declarationList.declarations[0].initializer)&1)}function lt(ve){const Ot=Q(0,Zr(ve,32)?32:0);let mi;if(q&&!(ve.declarationList.flags&7)&&!Qt(ve)){let Ci;for(const hn of ve.declarationList.declarations)if(wf(q,hn),hn.initializer){let Wn;ta(hn.name)?Wn=HI(hn,ue,t,0):(Wn=r.createBinaryExpression(hn.name,64,j.checkDefined(bt(hn.initializer,ue,jt))),Ht(Wn,hn)),Ci=fn(Ci,Wn)}Ci?mi=Ht(r.createExpressionStatement(r.inlineExpressions(Ci)),ve):mi=void 0}else mi=dn(ve,ue,t);return re(Ot,0,0),mi}function st(ve){if(ve.flags&7||ve.transformFlags&524288){ve.flags&7&&Jp();const Ot=Dn(ve.declarations,ve.flags&1?ln:Un,_s),mi=r.createVariableDeclarationList(Ot);return Ir(mi,ve),Ht(mi,ve),Sd(mi,ve),ve.transformFlags&524288&&(ta(ve.declarations[0].name)||ta(va(ve.declarations).name))&&Ga(mi,hi(Ot)),mi}return dn(ve,ue,t)}function hi(ve){let Ot=-1,mi=-1;for(const Ci of ve)Ot=Ot===-1?Ci.pos:Ci.pos===-1?Ot:Math.min(Ot,Ci.pos),mi=Math.max(mi,Ci.end);return Um(Ot,mi)}function vn(ve){const Ot=b.hasNodeCheckFlag(ve,16384),mi=b.hasNodeCheckFlag(ve,32768);return!((F&64)!==0||Ot&&mi&&(F&512)!==0)&&(F&4096)===0&&(!b.isDeclarationWithCollidingName(ve)||mi&&!Ot&&(F&6144)===0)}function ln(ve){const Ot=ve.name;return ta(Ot)?Un(ve):!ve.initializer&&vn(ve)?r.updateVariableDeclaration(ve,ve.name,void 0,void 0,r.createVoidZero()):dn(ve,ue,t)}function Un(ve){const Ot=Q(32,0);let mi;return ta(ve.name)?mi=hD(ve,ue,t,0,void 0,(Ot&32)!==0):mi=dn(ve,ue,t),re(Ot,0,0),mi}function Pt(ve){q.labels.set(Fr(ve.label),!0)}function Bt(ve){q.labels.set(Fr(ve.label),!1)}function fi(ve){q&&!q.labels&&(q.labels=new Map);const Ot=Oae(ve,q&&Pt);return Zw(Ot,!1)?wi(Ot,ve):r.restoreEnclosingLabel(bt(Ot,ue,Ps,r.liftToBlock)??Ht(r.createEmptyStatement(),Ot),ve,q&&Bt)}function wi(ve,Ot){switch(ve.kind){case 246:case 247:return Ai(ve,Ot);case 248:return Xn(ve,Ot);case 249:return on(ve,Ot);case 250:return zi(ve,Ot)}}function Ri(ve,Ot,mi,Ci,hn){const Wn=Q(ve,Ot),Lr=Jo(mi,Ci,Wn,hn);return re(Wn,0,0),Lr}function Ai(ve,Ot){return Ri(0,1280,ve,Ot)}function Xn(ve,Ot){return Ri(5056,3328,ve,Ot)}function Di(ve){return r.updateForStatement(ve,bt(ve.initializer,Ie,Hm),bt(ve.condition,ue,jt),bt(ve.incrementor,Ie,jt),j.checkDefined(bt(ve.statement,ue,Ps,r.liftToBlock)))}function on(ve,Ot){return Ri(3008,5376,ve,Ot)}function zi(ve,Ot){return Ri(3008,5376,ve,Ot,C.downlevelIteration?Wo:qo)}function cn(ve,Ot,mi){const Ci=[],hn=ve.initializer;if(Sf(hn)){ve.initializer.flags&7&&Jp();const Wn=Ra(hn.declarations);if(Wn&&ta(Wn.name)){const Lr=hD(Wn,ue,t,0,Ot),ps=Ht(r.createVariableDeclarationList(Lr),ve.initializer);Ir(ps,ve.initializer),Ga(ps,Um(Lr[0].pos,va(Lr).end)),Ci.push(r.createVariableStatement(void 0,ps))}else Ci.push(Ht(r.createVariableStatement(void 0,Ir(Ht(r.createVariableDeclarationList([r.createVariableDeclaration(Wn?Wn.name:r.createTempVariable(void 0),void 0,void 0,Ot)]),Zk(hn,-1)),hn)),TK(hn,-1)))}else{const Wn=r.createAssignment(hn,Ot);pS(Wn)?Ci.push(r.createExpressionStatement(Ue(Wn,!0))):(m3(Wn,hn.end),Ci.push(Ht(r.createExpressionStatement(j.checkDefined(bt(Wn,ue,jt))),TK(hn,-1))))}if(mi)return vi(Sr(Ci,mi));{const Wn=bt(ve.statement,ue,Ps,r.liftToBlock);return j.assert(Wn),Xo(Wn)?r.updateBlock(Wn,Ht(r.createNodeArray(Js(Ci,Wn.statements)),Wn.statements)):(Ci.push(Wn),vi(Ci))}}function vi(ve){return tr(r.createBlock(r.createNodeArray(ve),!0),864)}function qo(ve,Ot,mi){const Ci=bt(ve.expression,ue,jt);j.assert(Ci);const hn=r.createLoopVariable(),Wn=ot(Ci)?r.getGeneratedNameForNode(Ci):r.createTempVariable(void 0);tr(Ci,96|Ya(Ci));const Lr=Ht(r.createForStatement(tr(Ht(r.createVariableDeclarationList([Ht(r.createVariableDeclaration(hn,void 0,void 0,r.createNumericLiteral(0)),Zk(ve.expression,-1)),Ht(r.createVariableDeclaration(Wn,void 0,void 0,Ci),ve.expression)]),ve.expression),4194304),Ht(r.createLessThan(hn,r.createPropertyAccessExpression(Wn,"length")),ve.expression),Ht(r.createPostfixIncrement(hn),ve.expression),cn(ve,r.createElementAccessExpression(Wn,hn),mi)),ve);return tr(Lr,512),Ht(Lr,ve),r.restoreEnclosingLabel(Lr,Ot,q&&Bt)}function Wo(ve,Ot,mi,Ci){const hn=bt(ve.expression,ue,jt);j.assert(hn);const Wn=ot(hn)?r.getGeneratedNameForNode(hn):r.createTempVariable(void 0),Lr=ot(hn)?r.getGeneratedNameForNode(Wn):r.createTempVariable(void 0),ps=r.createUniqueName("e"),ee=r.getGeneratedNameForNode(ps),Xe=r.createTempVariable(void 0),Zt=Ht(a().createValuesHelper(hn),ve.expression),Nn=r.createCallExpression(r.createPropertyAccessExpression(Wn,"next"),void 0,[]);g(ps),g(Xe);const Is=Ci&1024?r.inlineExpressions([r.createAssignment(ps,r.createVoidZero()),Zt]):Zt,Qo=tr(Ht(r.createForStatement(tr(Ht(r.createVariableDeclarationList([Ht(r.createVariableDeclaration(Wn,void 0,void 0,Is),ve.expression),r.createVariableDeclaration(Lr,void 0,void 0,Nn)]),ve.expression),4194304),r.createLogicalNot(r.createPropertyAccessExpression(Lr,"done")),r.createAssignment(Lr,Nn),cn(ve,r.createPropertyAccessExpression(Lr,"value"),mi)),ve),512);return r.createTryStatement(r.createBlock([r.restoreEnclosingLabel(Qo,Ot,q&&Bt)]),r.createCatchClause(r.createVariableDeclaration(ee),tr(r.createBlock([r.createExpressionStatement(r.createAssignment(ps,r.createObjectLiteralExpression([r.createPropertyAssignment("error",ee)])))]),1)),r.createBlock([r.createTryStatement(r.createBlock([tr(r.createIfStatement(r.createLogicalAnd(r.createLogicalAnd(Lr,r.createLogicalNot(r.createPropertyAccessExpression(Lr,"done"))),r.createAssignment(Xe,r.createPropertyAccessExpression(Wn,"return"))),r.createExpressionStatement(r.createFunctionCallCall(Xe,Wn,[]))),1)]),void 0,tr(r.createBlock([tr(r.createIfStatement(ps,r.createThrowStatement(r.createPropertyAccessExpression(ps,"error"))),1)]),1))]))}function $o(ve){const Ot=ve.properties;let mi=-1,Ci=!1;for(let ps=0;ps<Ot.length;ps++){const ee=Ot[ps];if(ee.transformFlags&1048576&&F&4||(Ci=j.checkDefined(ee.name).kind===167)){mi=ps;break}}if(mi<0)return dn(ve,ue,t);const hn=r.createTempVariable(g),Wn=[],Lr=r.createAssignment(hn,tr(r.createObjectLiteralExpression(Dn(Ot,ue,Ub,0,mi),ve.multiLine),Ci?131072:0));return ve.multiLine&&bh(Lr),Wn.push(Lr),Rn(Wn,ve,hn,mi),Wn.push(ve.multiLine?bh(_c(Ht(r.cloneNode(hn),hn),hn.parent)):hn),r.inlineExpressions(Wn)}function Lc(ve){return b.hasNodeCheckFlag(ve,8192)}function vu(ve){return s2(ve)&&!!ve.initializer&&Lc(ve.initializer)}function Gu(ve){return s2(ve)&&!!ve.condition&&Lc(ve.condition)}function Zc(ve){return s2(ve)&&!!ve.incrementor&&Lc(ve.incrementor)}function Ls(ve){return ja(ve)||vu(ve)}function ja(ve){return b.hasNodeCheckFlag(ve,4096)}function wf(ve,Ot){ve.hoistedLocalVariables||(ve.hoistedLocalVariables=[]),mi(Ot.name);function mi(Ci){if(Ci.kind===80)ve.hoistedLocalVariables.push(Ci);else for(const hn of Ci.elements)Bd(hn)||mi(hn.name)}}function Jo(ve,Ot,mi,Ci){if(!Ls(ve)){let Zt;q&&(Zt=q.allowedNonLabeledJumps,q.allowedNonLabeledJumps=6);const Nn=Ci?Ci(ve,Ot,void 0,mi):r.restoreEnclosingLabel(s2(ve)?Di(ve):dn(ve,ue,t),Ot,q&&Bt);return q&&(q.allowedNonLabeledJumps=Zt),Nn}const hn=tc(ve),Wn=[],Lr=q;q=hn;const ps=vu(ve)?uh(ve,hn):void 0,ee=ja(ve)?sd(ve,hn,Lr):void 0;q=Lr,ps&&Wn.push(ps.functionDeclaration),ee&&Wn.push(ee.functionDeclaration),ki(Wn,hn,Lr),ps&&Wn.push(Ve(ps.functionName,ps.containsYield));let Xe;if(ee)if(Ci)Xe=Ci(ve,Ot,ee.part,mi);else{const Zt=kh(ve,ps,r.createBlock(ee.part,!0));Xe=r.restoreEnclosingLabel(Zt,Ot,q&&Bt)}else{const Zt=kh(ve,ps,j.checkDefined(bt(ve.statement,ue,Ps,r.liftToBlock)));Xe=r.restoreEnclosingLabel(Zt,Ot,q&&Bt)}return Wn.push(Xe),Wn}function kh(ve,Ot,mi){switch(ve.kind){case 248:return md(ve,Ot,mi);case 249:return pc(ve,mi);case 250:return go(ve,mi);case 246:return mc(ve,mi);case 247:return oo(ve,mi);default:return j.failBadSyntaxKind(ve,"IterationStatement expected")}}function md(ve,Ot,mi){const Ci=ve.condition&&Lc(ve.condition),hn=Ci||ve.incrementor&&Lc(ve.incrementor);return r.updateForStatement(ve,bt(Ot?Ot.part:ve.initializer,Ie,Hm),bt(Ci?void 0:ve.condition,ue,jt),bt(hn?void 0:ve.incrementor,Ie,jt),mi)}function go(ve,Ot){return r.updateForOfStatement(ve,void 0,j.checkDefined(bt(ve.initializer,ue,Hm)),j.checkDefined(bt(ve.expression,ue,jt)),Ot)}function pc(ve,Ot){return r.updateForInStatement(ve,j.checkDefined(bt(ve.initializer,ue,Hm)),j.checkDefined(bt(ve.expression,ue,jt)),Ot)}function mc(ve,Ot){return r.updateDoStatement(ve,Ot,j.checkDefined(bt(ve.expression,ue,jt)))}function oo(ve,Ot){return r.updateWhileStatement(ve,j.checkDefined(bt(ve.expression,ue,jt)),Ot)}function tc(ve){let Ot;switch(ve.kind){case 248:case 249:case 250:const Wn=ve.initializer;Wn&&Wn.kind===261&&(Ot=Wn);break}const mi=[],Ci=[];if(Ot&&zb(Ot)&7){const Wn=vu(ve)||Gu(ve)||Zc(ve);for(const Lr of Ot.declarations)Mi(ve,Lr,mi,Ci,Wn)}const hn={loopParameters:mi,loopOutParameters:Ci};return q&&(q.argumentsName&&(hn.argumentsName=q.argumentsName),q.thisName&&(hn.thisName=q.thisName),q.hoistedLocalVariables&&(hn.hoistedLocalVariables=q.hoistedLocalVariables)),hn}function ki(ve,Ot,mi){let Ci;if(Ot.argumentsName&&(mi?mi.argumentsName=Ot.argumentsName:(Ci||(Ci=[])).push(r.createVariableDeclaration(Ot.argumentsName,void 0,void 0,r.createIdentifier("arguments")))),Ot.thisName&&(mi?mi.thisName=Ot.thisName:(Ci||(Ci=[])).push(r.createVariableDeclaration(Ot.thisName,void 0,void 0,r.createIdentifier("this")))),Ot.hoistedLocalVariables)if(mi)mi.hoistedLocalVariables=Ot.hoistedLocalVariables;else{Ci||(Ci=[]);for(const hn of Ot.hoistedLocalVariables)Ci.push(r.createVariableDeclaration(hn))}if(Ot.loopOutParameters.length){Ci||(Ci=[]);for(const hn of Ot.loopOutParameters)Ci.push(r.createVariableDeclaration(hn.outParamName))}Ot.conditionVariable&&(Ci||(Ci=[]),Ci.push(r.createVariableDeclaration(Ot.conditionVariable,void 0,void 0,r.createFalse()))),Ci&&ve.push(r.createVariableStatement(void 0,r.createVariableDeclarationList(Ci)))}function Gc(ve){return r.createVariableDeclaration(ve.originalName,void 0,void 0,ve.outParamName)}function uh(ve,Ot){const mi=r.createUniqueName("_loop_init"),Ci=(ve.initializer.transformFlags&1048576)!==0;let hn=0;Ot.containsLexicalThis&&(hn|=16),Ci&&F&4&&(hn|=524288);const Wn=[];Wn.push(r.createVariableStatement(void 0,ve.initializer)),Nl(Ot.loopOutParameters,2,1,Wn);const Lr=r.createVariableStatement(void 0,tr(r.createVariableDeclarationList([r.createVariableDeclaration(mi,void 0,void 0,tr(r.createFunctionExpression(void 0,Ci?r.createToken(42):void 0,void 0,void 0,void 0,void 0,j.checkDefined(bt(r.createBlock(Wn,!0),ue,Xo))),hn))]),4194304)),ps=r.createVariableDeclarationList(qt(Ot.loopOutParameters,Gc));return{functionName:mi,containsYield:Ci,functionDeclaration:Lr,part:ps}}function sd(ve,Ot,mi){const Ci=r.createUniqueName("_loop");u();const hn=bt(ve.statement,ue,Ps,r.liftToBlock),Wn=p(),Lr=[];(Gu(ve)||Zc(ve))&&(Ot.conditionVariable=r.createUniqueName("inc"),ve.incrementor?Lr.push(r.createIfStatement(Ot.conditionVariable,r.createExpressionStatement(j.checkDefined(bt(ve.incrementor,ue,jt))),r.createExpressionStatement(r.createAssignment(Ot.conditionVariable,r.createTrue())))):Lr.push(r.createIfStatement(r.createLogicalNot(Ot.conditionVariable),r.createExpressionStatement(r.createAssignment(Ot.conditionVariable,r.createTrue())))),Gu(ve)&&Lr.push(r.createIfStatement(r.createPrefixUnaryExpression(54,j.checkDefined(bt(ve.condition,ue,jt))),j.checkDefined(bt(r.createBreakStatement(),ue,Ps))))),j.assert(hn),Xo(hn)?Sr(Lr,hn.statements):Lr.push(hn),Nl(Ot.loopOutParameters,1,1,Lr),Fv(Lr,Wn);const ps=r.createBlock(Lr,!0);Xo(hn)&&Ir(ps,hn);const ee=(ve.statement.transformFlags&1048576)!==0;let Xe=1048576;Ot.containsLexicalThis&&(Xe|=16),ee&&F&4&&(Xe|=524288);const Zt=r.createVariableStatement(void 0,tr(r.createVariableDeclarationList([r.createVariableDeclaration(Ci,void 0,void 0,tr(r.createFunctionExpression(void 0,ee?r.createToken(42):void 0,void 0,void 0,Ot.loopParameters,void 0,ps),Xe))]),4194304)),Nn=_e(Ci,Ot,mi,ee);return{functionName:Ci,containsYield:ee,functionDeclaration:Zt,part:Nn}}function Pp(ve,Ot){const mi=Ot===0?ve.outParamName:ve.originalName,Ci=Ot===0?ve.originalName:ve.outParamName;return r.createBinaryExpression(Ci,64,mi)}function Nl(ve,Ot,mi,Ci){for(const hn of ve)hn.flags&Ot&&Ci.push(r.createExpressionStatement(Pp(hn,mi)))}function Ve(ve,Ot){const mi=r.createCallExpression(ve,void 0,[]),Ci=Ot?r.createYieldExpression(r.createToken(42),tr(mi,8388608)):mi;return r.createExpressionStatement(Ci)}function _e(ve,Ot,mi,Ci){const hn=[],Wn=!(Ot.nonLocalJumps&-5)&&!Ot.labeledNonLocalBreaks&&!Ot.labeledNonLocalContinues,Lr=r.createCallExpression(ve,void 0,qt(Ot.loopParameters,ee=>ee.name)),ps=Ci?r.createYieldExpression(r.createToken(42),tr(Lr,8388608)):Lr;if(Wn)hn.push(r.createExpressionStatement(ps)),Nl(Ot.loopOutParameters,1,0,hn);else{const ee=r.createUniqueName("state"),Xe=r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(ee,void 0,void 0,ps)]));if(hn.push(Xe),Nl(Ot.loopOutParameters,1,0,hn),Ot.nonLocalJumps&8){let Zt;mi?(mi.nonLocalJumps|=8,Zt=r.createReturnStatement(ee)):Zt=r.createReturnStatement(r.createPropertyAccessExpression(ee,"value")),hn.push(r.createIfStatement(r.createTypeCheck(ee,"object"),Zt))}if(Ot.nonLocalJumps&2&&hn.push(r.createIfStatement(r.createStrictEquality(ee,r.createStringLiteral("break")),r.createBreakStatement())),Ot.labeledNonLocalBreaks||Ot.labeledNonLocalContinues){const Zt=[];ci(Ot.labeledNonLocalBreaks,!0,ee,mi,Zt),ci(Ot.labeledNonLocalContinues,!1,ee,mi,Zt),hn.push(r.createSwitchStatement(ee,r.createCaseBlock(Zt)))}}return hn}function Lt(ve,Ot,mi,Ci){Ot?(ve.labeledNonLocalBreaks||(ve.labeledNonLocalBreaks=new Map),ve.labeledNonLocalBreaks.set(mi,Ci)):(ve.labeledNonLocalContinues||(ve.labeledNonLocalContinues=new Map),ve.labeledNonLocalContinues.set(mi,Ci))}function ci(ve,Ot,mi,Ci,hn){ve&&ve.forEach((Wn,Lr)=>{const ps=[];if(!Ci||Ci.labels&&Ci.labels.get(Lr)){const ee=r.createIdentifier(Lr);ps.push(Ot?r.createBreakStatement(ee):r.createContinueStatement(ee))}else Lt(Ci,Ot,Lr,Wn),ps.push(r.createReturnStatement(mi));hn.push(r.createCaseClause(r.createStringLiteral(Wn),ps))})}function Mi(ve,Ot,mi,Ci,hn){const Wn=Ot.name;if(ta(Wn))for(const Lr of Wn.elements)Bd(Lr)||Mi(ve,Lr,mi,Ci,hn);else{mi.push(r.createParameterDeclaration(void 0,void 0,Wn));const Lr=b.hasNodeCheckFlag(Ot,65536);if(Lr||hn){const ps=r.createUniqueName("out_"+Fr(Wn));let ee=0;Lr&&(ee|=1),s2(ve)&&(ve.initializer&&b.isBindingCapturedByNode(ve.initializer,Ot)&&(ee|=2),(ve.condition&&b.isBindingCapturedByNode(ve.condition,Ot)||ve.incrementor&&b.isBindingCapturedByNode(ve.incrementor,Ot))&&(ee|=1)),Ci.push({flags:ee,originalName:Wn,outParamName:ps})}}}function Rn(ve,Ot,mi,Ci){const hn=Ot.properties,Wn=hn.length;for(let Lr=Ci;Lr<Wn;Lr++){const ps=hn[Lr];switch(ps.kind){case 177:case 178:const ee=nx(Ot.properties,ps);ps===ee.firstAccessor&&ve.push(ii(mi,ee,Ot,!!Ot.multiLine));break;case 174:ve.push(Mr(ps,mi,Ot,Ot.multiLine));break;case 303:ve.push(Yr(ps,mi,Ot.multiLine));break;case 304:ve.push(Xi(ps,mi,Ot.multiLine));break;default:j.failBadSyntaxKind(Ot);break}}}function Yr(ve,Ot,mi){const Ci=r.createAssignment(RI(r,Ot,j.checkDefined(bt(ve.name,ue,id))),j.checkDefined(bt(ve.initializer,ue,jt)));return Ht(Ci,ve),mi&&bh(Ci),Ci}function Xi(ve,Ot,mi){const Ci=r.createAssignment(RI(r,Ot,j.checkDefined(bt(ve.name,ue,id))),r.cloneNode(ve.name));return Ht(Ci,ve),mi&&bh(Ci),Ci}function Mr(ve,Ot,mi,Ci){const hn=r.createAssignment(RI(r,Ot,j.checkDefined(bt(ve.name,ue,id))),gr(ve,ve,void 0,mi));return Ht(hn,ve),Ci&&bh(hn),hn}function ds(ve){const Ot=Q(7104,0);let mi;if(j.assert(!!ve.variableDeclaration,"Catch clause variable should always be present when downleveling ES2015."),ta(ve.variableDeclaration.name)){const Ci=r.createTempVariable(void 0),hn=r.createVariableDeclaration(Ci);Ht(hn,ve.variableDeclaration);const Wn=hD(ve.variableDeclaration,ue,t,0,Ci),Lr=r.createVariableDeclarationList(Wn);Ht(Lr,ve.variableDeclaration);const ps=r.createVariableStatement(void 0,Lr);mi=r.updateCatchClause(ve,hn,Ks(ve.block,ps))}else mi=dn(ve,ue,t);return re(Ot,0,0),mi}function Ks(ve,Ot){const mi=Dn(ve.statements,ue,Ps);return r.updateBlock(ve,[Ot,...mi])}function Ic(ve){j.assert(!Ka(ve.name));const Ot=gr(ve,Zk(ve,-1),void 0,void 0);return tr(Ot,1024|Ya(Ot)),Ht(r.createPropertyAssignment(ve.name,Ot),ve)}function Gl(ve){j.assert(!Ka(ve.name));const Ot=q;q=void 0;const mi=Q(32670,65);let Ci;const hn=yu(ve.parameters,ue,t),Wn=te(ve);return ve.kind===177?Ci=r.updateGetAccessorDeclaration(ve,ve.modifiers,ve.name,hn,ve.type,Wn):Ci=r.updateSetAccessorDeclaration(ve,ve.modifiers,ve.name,hn,Wn),re(mi,229376,0),q=Ot,Ci}function Pu(ve){return Ht(r.createPropertyAssignment(ve.name,Pe(r.cloneNode(ve.name))),ve)}function Ku(ve){return dn(ve,ue,t)}function Xu(ve){return dn(ve,ue,t)}function Wl(ve){return Ft(ve.elements,Km)?eg(ve.elements,!1,!!ve.multiLine,!!ve.elements.hasTrailingComma):dn(ve,ue,t)}function Cu(ve){if(u0(ve)&1)return gm(ve);const Ot=Vu(ve.expression);return Ot.kind===108||G_(Ot)||Ft(ve.arguments,Km)?Qu(ve):r.updateCallExpression(ve,j.checkDefined(bt(ve.expression,he,jt)),void 0,Dn(ve.arguments,ue,jt))}function gm(ve){const Ot=ha(ha(Vu(ve.expression),xl).body,Xo),mi=Yl=>Lu(Yl)&&!!ya(Yl.declarationList.declarations).initializer,Ci=q;q=void 0;const hn=Dn(Ot.statements,we,Ps);q=Ci;const Wn=$t(hn,mi),Lr=$t(hn,Yl=>!mi(Yl)),ee=ha(ya(Wn),Lu).declarationList.declarations[0],Xe=Vu(ee.initializer);let Zt=Jr(Xe,lf);!Zt&&ur(Xe)&&Xe.operatorToken.kind===28&&(Zt=Jr(Xe.left,lf));const Nn=ha(Zt?Vu(Zt.right):Xe,la),Is=ha(Vu(Nn.expression),ml),Qo=Is.body.statements;let To=0,Zo=-1;const uc=[];if(Zt){const Yl=Jr(Qo[To],uf);Yl&&(uc.push(Yl),To++),uc.push(Qo[To]),To++,uc.push(r.createExpressionStatement(r.createAssignment(Zt.left,ha(ee.name,ot))))}for(;!Og(sm(Qo,Zo));)Zo--;Sr(uc,Qo,To,Zo),Zo<-1&&Sr(uc,Qo,Zo+1);const Xa=Jr(sm(Qo,Zo),Og);for(const Yl of Lr)Og(Yl)&&(Xa!=null&&Xa.expression)&&!ot(Xa.expression)?uc.push(Xa):uc.push(Yl);return Sr(uc,Wn,1),r.restoreOuterExpressions(ve.expression,r.restoreOuterExpressions(ee.initializer,r.restoreOuterExpressions(Zt&&Zt.right,r.updateCallExpression(Nn,r.restoreOuterExpressions(Nn.expression,r.updateFunctionExpression(Is,void 0,void 0,void 0,void 0,Is.parameters,void 0,r.updateBlock(Is.body,uc))),void 0,Nn.arguments))))}function Qu(ve,Ot){if(ve.transformFlags&32768||ve.expression.kind===108||G_(Vu(ve.expression))){const{target:mi,thisArg:Ci}=r.createCallBinding(ve.expression,g);ve.expression.kind===108&&tr(Ci,8);let hn;if(ve.transformFlags&32768?hn=r.createFunctionApplyCall(j.checkDefined(bt(mi,he,jt)),ve.expression.kind===108?Ci:j.checkDefined(bt(Ci,ue,jt)),eg(ve.arguments,!0,!1,!1)):hn=Ht(r.createFunctionCallCall(j.checkDefined(bt(mi,he,jt)),ve.expression.kind===108?Ci:j.checkDefined(bt(Ci,ue,jt)),Dn(ve.arguments,ue,jt)),ve),ve.expression.kind===108){const Wn=r.createLogicalOr(hn,Gs());hn=r.createAssignment(se(),Wn)}return Ir(hn,ve)}return mI(ve)&&(F|=131072),dn(ve,ue,t)}function kd(ve){if(Ft(ve.arguments,Km)){const{target:Ot,thisArg:mi}=r.createCallBinding(r.createPropertyAccessExpression(ve.expression,"bind"),g);return r.createNewExpression(r.createFunctionApplyCall(j.checkDefined(bt(Ot,ue,jt)),mi,eg(r.createNodeArray([r.createVoidZero(),...ve.arguments]),!0,!1,!1)),void 0,[])}return dn(ve,ue,t)}function eg(ve,Ot,mi,Ci){const hn=ve.length,Wn=Dr(nm(ve,Ye,(Xe,Zt,Nn,Is)=>Zt(Xe,mi,Ci&&Is===hn)));if(Wn.length===1){const Xe=Wn[0];if(Ot&&!C.downlevelIteration||Jce(Xe.expression)||_7(Xe.expression,"___spreadArray"))return Xe.expression}const Lr=a(),ps=Wn[0].kind!==0;let ee=ps?r.createArrayLiteralExpression():Wn[0].expression;for(let Xe=ps?0:1;Xe<Wn.length;Xe++){const Zt=Wn[Xe];ee=Lr.createSpreadArrayHelper(ee,Zt.expression,Zt.kind===1&&!Ot)}return ee}function Ye(ve){return Km(ve)?li:po}function li(ve){return qt(ve,En)}function En(ve){j.assertNode(ve,Km);let Ot=bt(ve.expression,ue,jt);j.assert(Ot);const mi=_7(Ot,"___read");let Ci=mi||Jce(Ot)?2:1;return C.downlevelIteration&&Ci===1&&!jf(Ot)&&!mi&&(Ot=a().createReadHelper(Ot,void 0),Ci=2),vet(Ci,Ot)}function po(ve,Ot,mi){const Ci=r.createArrayLiteralExpression(Dn(r.createNodeArray(ve,mi),ue,jt),Ot);return vet(0,Ci)}function ic(ve){return bt(ve.expression,ue,jt)}function sc(ve){return Ht(r.createStringLiteral(ve.text),ve)}function Hu(ve){return ve.hasExtendedUnicodeEscape?Ht(r.createStringLiteral(ve.text),ve):ve}function Hd(ve){return ve.numericLiteralFlags&384?Ht(r.createNumericLiteral(ve.text),ve):ve}function Yc(ve){return $ue(t,ve,ue,N,U,1)}function Pc(ve){let Ot=r.createStringLiteral(ve.head.text);for(const mi of ve.templateSpans){const Ci=[j.checkDefined(bt(mi.expression,ue,jt))];mi.literal.text.length>0&&Ci.push(r.createStringLiteral(mi.literal.text)),Ot=r.createCallExpression(r.createPropertyAccessExpression(Ot,"concat"),void 0,Ci)}return Ht(Ot,ve)}function od(){return r.createUniqueName("_super",48)}function Ap(ve,Ot){const mi=F&8&&!Ot?r.createPropertyAccessExpression(Ir(od(),ve),"prototype"):od();return Ir(mi,ve),Sd(mi,ve),Ga(mi,ve),mi}function Vg(ve){return ve.keywordToken===105&&ve.name.escapedText==="target"?(F|=32768,r.createUniqueName("_newTarget",48)):ve}function Y_(ve,Ot,mi){if(X&1&&Ho(Ot)){const Ci=Q(32670,Ya(Ot)&16?81:65);E(ve,Ot,mi),re(Ci,0,0);return}E(ve,Ot,mi)}function Jp(){X&2||(X|=2,t.enableSubstitution(80))}function ym(){X&1||(X|=1,t.enableSubstitution(110),t.enableEmitNotification(176),t.enableEmitNotification(174),t.enableEmitNotification(177),t.enableEmitNotification(178),t.enableEmitNotification(219),t.enableEmitNotification(218),t.enableEmitNotification(262))}function tg(ve,Ot){return Ot=T(ve,Ot),ve===1?F_(Ot):ot(Ot)?Zv(Ot):Ot}function Zv(ve){if(X&2&&!Ple(ve)){const Ot=Mo(ve,ot);if(Ot&&Hg(Ot))return Ht(r.getGeneratedNameForNode(Ot),ve)}return ve}function Hg(ve){switch(ve.parent.kind){case 208:case 263:case 266:case 260:return ve.parent.name===ve&&b.isDeclarationWithCollidingName(ve.parent)}return!1}function F_(ve){switch(ve.kind){case 80:return jd(ve);case 110:return h_(ve)}return ve}function jd(ve){if(X&2&&!Ple(ve)){const Ot=b.getReferencedDeclarationWithCollidingName(ve);if(Ot&&!(ss(Ot)&&lC(Ot,ve)))return Ht(r.getGeneratedNameForNode(No(Ot)),ve)}return ve}function lC(ve,Ot){let mi=Mo(Ot);if(!mi||mi===ve||mi.end<=ve.pos||mi.pos>=ve.end)return!1;const Ci=R0(ve);for(;mi;){if(mi===Ci||mi===ve)return!1;if(Od(mi)&&mi.parent===ve)return!0;mi=mi.parent}return!1}function h_(ve){return X&1&&F&16?Ht(se(),ve):ve}function Yv(ve,Ot){return pa(Ot)?r.getInternalName(ve):r.createPropertyAccessExpression(r.getInternalName(ve),"prototype")}function vm(ve,Ot){if(!ve||!Ot||Ft(ve.parameters))return!1;const mi=Ra(ve.body.statements);if(!mi||!cl(mi)||mi.kind!==244)return!1;const Ci=mi.expression;if(!cl(Ci)||Ci.kind!==213)return!1;const hn=Ci.expression;if(!cl(hn)||hn.kind!==108)return!1;const Wn=Bm(Ci.arguments);if(!Wn||!cl(Wn)||Wn.kind!==230)return!1;const Lr=Wn.expression;return ot(Lr)&&Lr.escapedText==="arguments"}}function zRt(t){switch(t){case 2:return"return";case 3:return"break";case 4:return"yield";case 5:return"yield*";case 7:return"endfinally";default:return}}function cLe(t){const{factory:r,getEmitHelperFactory:a,resumeLexicalEnvironment:u,endLexicalEnvironment:h,hoistFunctionDeclaration:p,hoistVariableDeclaration:g}=t,C=t.getCompilerOptions(),b=Ja(C),T=t.getEmitResolver(),E=t.onSubstituteNode;t.onSubstituteNode=Gt;let N,R,F,H,U,q,X,Z,Q,re,oe=1,pe,de,ue,Ie,we=0,he=0,Ae,ke,Te,De,qe,se,Ne,Oe;return q0(t,He);function He(Ye){if(Ye.isDeclarationFile||!(Ye.transformFlags&2048))return Ye;const li=dn(Ye,Pe,t);return Zb(li,t.readEmitHelpers()),li}function Pe(Ye){const li=Ye.transformFlags;return H?We(Ye):F?ze(Ye):Qc(Ye)&&Ye.asteriskToken?$e(Ye):li&2048?dn(Ye,Pe,t):Ye}function We(Ye){switch(Ye.kind){case 246:return ma(Ye);case 247:return Ji(Ye);case 255:return ii(Ye);case 256:return Ni(Ye);default:return ze(Ye)}}function ze(Ye){switch(Ye.kind){case 262:return et(Ye);case 218:return Me(Ye);case 177:case 178:return ut(Ye);case 243:return Et(Ye);case 248:return St(Ye);case 249:return xe(Ye);case 252:return gt(Ye);case 251:return Se(Ye);case 253:return Je(Ye);default:return Ye.transformFlags&1048576?Nt(Ye):Ye.transformFlags&4196352?dn(Ye,Pe,t):Ye}}function Nt(Ye){switch(Ye.kind){case 226:return dt(Ye);case 355:return xn(Ye);case 227:return _i(Ye);case 229:return Fi(Ye);case 209:return On(Ye);case 210:return qr(Ye);case 212:return Fs(Ye);case 213:return ko(Ye);case 214:return ls(Ye);default:return dn(Ye,Pe,t)}}function $e(Ye){switch(Ye.kind){case 262:return et(Ye);case 218:return Me(Ye);default:return j.failBadSyntaxKind(Ye)}}function et(Ye){if(Ye.asteriskToken)Ye=Ir(Ht(r.createFunctionDeclaration(Ye.modifiers,void 0,Ye.name,void 0,yu(Ye.parameters,Pe,t),void 0,wt(Ye.body)),Ye),Ye);else{const li=F,En=H;F=!1,H=!1,Ye=dn(Ye,Pe,t),F=li,H=En}if(F){p(Ye);return}else return Ye}function Me(Ye){if(Ye.asteriskToken)Ye=Ir(Ht(r.createFunctionExpression(void 0,void 0,Ye.name,void 0,yu(Ye.parameters,Pe,t),void 0,wt(Ye.body)),Ye),Ye);else{const li=F,En=H;F=!1,H=!1,Ye=dn(Ye,Pe,t),F=li,H=En}return Ye}function ut(Ye){const li=F,En=H;return F=!1,H=!1,Ye=dn(Ye,Pe,t),F=li,H=En,Ye}function wt(Ye){const li=[],En=F,po=H,ic=U,sc=q,Hu=X,Hd=Z,Yc=Q,Pc=re,od=oe,Ap=pe,Vg=de,Y_=ue,Jp=Ie;F=!0,H=!1,U=void 0,q=void 0,X=void 0,Z=void 0,Q=void 0,re=void 0,oe=1,pe=void 0,de=void 0,ue=void 0,Ie=r.createTempVariable(void 0),u();const ym=r.copyPrologue(Ye.statements,li,!1,Pe);Qs(Ye.statements,ym);const tg=Lt();return Fv(li,h()),li.push(r.createReturnStatement(tg)),F=En,H=po,U=ic,q=sc,X=Hu,Z=Hd,Q=Yc,re=Pc,oe=od,pe=Ap,de=Vg,ue=Y_,Ie=Jp,Ht(r.createBlock(li,Ye.multiLine),Ye)}function Et(Ye){if(Ye.transformFlags&1048576){dr(Ye.declarationList);return}else{if(Ya(Ye)&2097152)return Ye;for(const En of Ye.declarationList.declarations)g(En.name);const li=K8(Ye.declarationList);return li.length===0?void 0:Ga(r.createExpressionStatement(r.inlineExpressions(qt(li,us))),Ye)}}function dt(Ye){const li=tce(Ye);switch(li){case 0:return Ei(Ye);case 1:return zt(Ye);default:return j.assertNever(li)}}function zt(Ye){const{left:li,right:En}=Ye;if(te(En)){let po;switch(li.kind){case 211:po=r.updatePropertyAccessExpression(li,be(j.checkDefined(bt(li.expression,Pe,N_))),li.name);break;case 212:po=r.updateElementAccessExpression(li,be(j.checkDefined(bt(li.expression,Pe,N_))),be(j.checkDefined(bt(li.argumentExpression,Pe,jt))));break;default:po=j.checkDefined(bt(li,Pe,jt));break}const ic=Ye.operatorToken.kind;return z7(ic)?Ht(r.createAssignment(po,Ht(r.createBinaryExpression(be(po),U7(ic),j.checkDefined(bt(En,Pe,jt))),Ye)),Ye):r.updateBinaryExpression(Ye,po,Ye.operatorToken,j.checkDefined(bt(En,Pe,jt)))}return dn(Ye,Pe,t)}function Ei(Ye){return te(Ye.right)?aDe(Ye.operatorToken.kind)?Pn(Ye):Ye.operatorToken.kind===28?tn(Ye):r.updateBinaryExpression(Ye,be(j.checkDefined(bt(Ye.left,Pe,jt))),Ye.operatorToken,j.checkDefined(bt(Ye.right,Pe,jt))):dn(Ye,Pe,t)}function tn(Ye){let li=[];return En(Ye.left),En(Ye.right),r.inlineExpressions(li);function En(po){ur(po)&&po.operatorToken.kind===28?(En(po.left),En(po.right)):(te(po)&&li.length>0&&(_e(1,[r.createExpressionStatement(r.inlineExpressions(li))]),li=[]),li.push(j.checkDefined(bt(po,Pe,jt))))}}function xn(Ye){let li=[];for(const En of Ye.elements)ur(En)&&En.operatorToken.kind===28?li.push(tn(En)):(te(En)&&li.length>0&&(_e(1,[r.createExpressionStatement(r.inlineExpressions(li))]),li=[]),li.push(j.checkDefined(bt(En,Pe,jt))));return r.inlineExpressions(li)}function Pn(Ye){const li=lt(),En=Qt();return oo(En,j.checkDefined(bt(Ye.left,Pe,jt)),Ye.left),Ye.operatorToken.kind===56?Gc(li,En,Ye.left):ki(li,En,Ye.left),oo(En,j.checkDefined(bt(Ye.right,Pe,jt)),Ye.right),st(li),En}function _i(Ye){if(te(Ye.whenTrue)||te(Ye.whenFalse)){const li=lt(),En=lt(),po=Qt();return Gc(li,j.checkDefined(bt(Ye.condition,Pe,jt)),Ye.condition),oo(po,j.checkDefined(bt(Ye.whenTrue,Pe,jt)),Ye.whenTrue),tc(En),st(li),oo(po,j.checkDefined(bt(Ye.whenFalse,Pe,jt)),Ye.whenFalse),st(En),po}return dn(Ye,Pe,t)}function Fi(Ye){const li=lt(),En=bt(Ye.expression,Pe,jt);if(Ye.asteriskToken){const po=Ya(Ye.expression)&8388608?En:Ht(a().createValuesHelper(En),Ye);uh(po,Ye)}else sd(En,Ye);return st(li),go(Ye)}function On(Ye){return pn(Ye.elements,void 0,void 0,Ye.multiLine)}function pn(Ye,li,En,po){const ic=tt(Ye);let sc;if(ic>0){sc=Qt();const Yc=Dn(Ye,Pe,jt,0,ic);oo(sc,r.createArrayLiteralExpression(li?[li,...Yc]:Yc)),li=void 0}const Hu=oi(Ye,Hd,[],ic);return sc?r.createArrayConcatCall(sc,[r.createArrayLiteralExpression(Hu,po)]):Ht(r.createArrayLiteralExpression(li?[li,...Hu]:Hu,po),En);function Hd(Yc,Pc){if(te(Pc)&&Yc.length>0){const od=sc!==void 0;sc||(sc=Qt()),oo(sc,od?r.createArrayConcatCall(sc,[r.createArrayLiteralExpression(Yc,po)]):r.createArrayLiteralExpression(li?[li,...Yc]:Yc,po)),li=void 0,Yc=[]}return Yc.push(j.checkDefined(bt(Pc,Pe,jt))),Yc}}function qr(Ye){const li=Ye.properties,En=Ye.multiLine,po=tt(li),ic=Qt();oo(ic,r.createObjectLiteralExpression(Dn(li,Pe,Ub,0,po),En));const sc=oi(li,Hu,[],po);return sc.push(En?bh(_c(Ht(r.cloneNode(ic),ic),ic.parent)):ic),r.inlineExpressions(sc);function Hu(Hd,Yc){te(Yc)&&Hd.length>0&&(mc(r.createExpressionStatement(r.inlineExpressions(Hd))),Hd=[]);const Pc=nIe(r,Ye,Yc,ic),od=bt(Pc,Pe,jt);return od&&(En&&bh(od),Hd.push(od)),Hd}}function Fs(Ye){return te(Ye.argumentExpression)?r.updateElementAccessExpression(Ye,be(j.checkDefined(bt(Ye.expression,Pe,N_))),j.checkDefined(bt(Ye.argumentExpression,Pe,jt))):dn(Ye,Pe,t)}function ko(Ye){if(!zp(Ye)&&O(Ye.arguments,te)){const{target:li,thisArg:En}=r.createCallBinding(Ye.expression,g,b,!0);return Ir(Ht(r.createFunctionApplyCall(be(j.checkDefined(bt(li,Pe,N_))),En,pn(Ye.arguments)),Ye),Ye)}return dn(Ye,Pe,t)}function ls(Ye){if(O(Ye.arguments,te)){const{target:li,thisArg:En}=r.createCallBinding(r.createPropertyAccessExpression(Ye.expression,"bind"),g);return Ir(Ht(r.createNewExpression(r.createFunctionApplyCall(be(j.checkDefined(bt(li,Pe,jt))),En,pn(Ye.arguments,r.createVoidZero())),void 0,[]),Ye),Ye)}return dn(Ye,Pe,t)}function Qs(Ye,li=0){const En=Ye.length;for(let po=li;po<En;po++)Zs(Ye[po])}function Mn(Ye){Xo(Ye)?Qs(Ye.statements):Zs(Ye)}function Zs(Ye){const li=H;H||(H=te(Ye)),at(Ye),H=li}function at(Ye){switch(Ye.kind){case 241:return yi(Ye);case 244:return Sn(Ye);case 245:return Xr(Ye);case 246:return Gs(Ye);case 247:return ka(Ye);case 248:return ac(Ye);case 249:return ri(Ye);case 251:return pt(Ye);case 252:return Ze(Ye);case 253:return Dt(Ye);case 254:return Rt(Ye);case 255:return Ct(Ye);case 256:return vt(Ye);case 257:return ji(Ye);case 258:return gr(Ye);default:return mc(bt(Ye,Pe,Ps))}}function yi(Ye){te(Ye)?Qs(Ye.statements):mc(bt(Ye,Pe,Ps))}function Sn(Ye){mc(bt(Ye,Pe,Ps))}function dr(Ye){for(const sc of Ye.declarations){const Hu=r.cloneNode(sc.name);Sd(Hu,sc.name),g(Hu)}const li=K8(Ye),En=li.length;let po=0,ic=[];for(;po<En;){for(let sc=po;sc<En;sc++){const Hu=li[sc];if(te(Hu.initializer)&&ic.length>0)break;ic.push(us(Hu))}ic.length&&(mc(r.createExpressionStatement(r.inlineExpressions(ic))),po+=ic.length,ic=[])}}function us(Ye){return Ga(r.createAssignment(Ga(r.cloneNode(Ye.name),Ye.name),j.checkDefined(bt(Ye.initializer,Pe,jt))),Ye)}function Xr(Ye){if(te(Ye))if(te(Ye.thenStatement)||te(Ye.elseStatement)){const li=lt(),En=Ye.elseStatement?lt():void 0;Gc(Ye.elseStatement?En:li,j.checkDefined(bt(Ye.expression,Pe,jt)),Ye.expression),Mn(Ye.thenStatement),Ye.elseStatement&&(tc(li),st(En),Mn(Ye.elseStatement)),st(li)}else mc(bt(Ye,Pe,Ps));else mc(bt(Ye,Pe,Ps))}function Gs(Ye){if(te(Ye)){const li=lt(),En=lt();Di(li),st(En),Mn(Ye.statement),st(li),ki(En,j.checkDefined(bt(Ye.expression,Pe,jt))),on()}else mc(bt(Ye,Pe,Ps))}function ma(Ye){return H?(Xn(),Ye=dn(Ye,Pe,t),on(),Ye):dn(Ye,Pe,t)}function ka(Ye){if(te(Ye)){const li=lt(),En=Di(li);st(li),Gc(En,j.checkDefined(bt(Ye.expression,Pe,jt))),Mn(Ye.statement),tc(li),on()}else mc(bt(Ye,Pe,Ps))}function Ji(Ye){return H?(Xn(),Ye=dn(Ye,Pe,t),on(),Ye):dn(Ye,Pe,t)}function ac(Ye){if(te(Ye)){const li=lt(),En=lt(),po=Di(En);if(Ye.initializer){const ic=Ye.initializer;Sf(ic)?dr(ic):mc(Ht(r.createExpressionStatement(j.checkDefined(bt(ic,Pe,jt))),ic))}st(li),Ye.condition&&Gc(po,j.checkDefined(bt(Ye.condition,Pe,jt))),Mn(Ye.statement),st(En),Ye.incrementor&&mc(Ht(r.createExpressionStatement(j.checkDefined(bt(Ye.incrementor,Pe,jt))),Ye.incrementor)),tc(li),on()}else mc(bt(Ye,Pe,Ps))}function St(Ye){H&&Xn();const li=Ye.initializer;if(li&&Sf(li)){for(const po of li.declarations)g(po.name);const En=K8(li);Ye=r.updateForStatement(Ye,En.length>0?r.inlineExpressions(qt(En,us)):void 0,bt(Ye.condition,Pe,jt),bt(Ye.incrementor,Pe,jt),u_(Ye.statement,Pe,t))}else Ye=dn(Ye,Pe,t);return H&&on(),Ye}function ri(Ye){if(te(Ye)){const li=Qt(),En=Qt(),po=Qt(),ic=r.createLoopVariable(),sc=Ye.initializer;g(ic),oo(li,j.checkDefined(bt(Ye.expression,Pe,jt))),oo(En,r.createArrayLiteralExpression()),mc(r.createForInStatement(po,li,r.createExpressionStatement(r.createCallExpression(r.createPropertyAccessExpression(En,"push"),void 0,[po])))),oo(ic,r.createNumericLiteral(0));const Hu=lt(),Hd=lt(),Yc=Di(Hd);st(Hu),Gc(Yc,r.createLessThan(ic,r.createPropertyAccessExpression(En,"length"))),oo(po,r.createElementAccessExpression(En,ic)),Gc(Hd,r.createBinaryExpression(po,103,li));let Pc;if(Sf(sc)){for(const od of sc.declarations)g(od.name);Pc=r.cloneNode(sc.declarations[0].name)}else Pc=j.checkDefined(bt(sc,Pe,jt)),j.assert(N_(Pc));oo(Pc,po),Mn(Ye.statement),st(Hd),mc(r.createExpressionStatement(r.createPostfixIncrement(ic))),tc(Hu),on()}else mc(bt(Ye,Pe,Ps))}function xe(Ye){H&&Xn();const li=Ye.initializer;if(Sf(li)){for(const En of li.declarations)g(En.name);Ye=r.updateForInStatement(Ye,li.declarations[0].name,j.checkDefined(bt(Ye.expression,Pe,jt)),j.checkDefined(bt(Ye.statement,Pe,Ps,r.liftToBlock)))}else Ye=dn(Ye,Pe,t);return H&&on(),Ye}function pt(Ye){const li=ja(Ye.label?Fr(Ye.label):void 0);li>0?tc(li,Ye):mc(Ye)}function Se(Ye){if(H){const li=ja(Ye.label&&Fr(Ye.label));if(li>0)return kh(li,Ye)}return dn(Ye,Pe,t)}function Ze(Ye){const li=Ls(Ye.label?Fr(Ye.label):void 0);li>0?tc(li,Ye):mc(Ye)}function gt(Ye){if(H){const li=Ls(Ye.label&&Fr(Ye.label));if(li>0)return kh(li,Ye)}return dn(Ye,Pe,t)}function Dt(Ye){Pp(bt(Ye.expression,Pe,jt),Ye)}function Je(Ye){return md(bt(Ye.expression,Pe,jt),Ye)}function Rt(Ye){te(Ye)?(Pt(be(j.checkDefined(bt(Ye.expression,Pe,jt)))),Mn(Ye.statement),Bt()):mc(bt(Ye,Pe,Ps))}function Ct(Ye){if(te(Ye.caseBlock)){const li=Ye.caseBlock,En=li.clauses.length,po=cn(),ic=be(j.checkDefined(bt(Ye.expression,Pe,jt))),sc=[];let Hu=-1;for(let Pc=0;Pc<En;Pc++){const od=li.clauses[Pc];sc.push(lt()),od.kind===297&&Hu===-1&&(Hu=Pc)}let Hd=0,Yc=[];for(;Hd<En;){let Pc=0;for(let od=Hd;od<En;od++){const Ap=li.clauses[od];if(Ap.kind===296){if(te(Ap.expression)&&Yc.length>0)break;Yc.push(r.createCaseClause(j.checkDefined(bt(Ap.expression,Pe,jt)),[kh(sc[od],Ap.expression)]))}else Pc++}Yc.length&&(mc(r.createSwitchStatement(ic,r.createCaseBlock(Yc))),Hd+=Yc.length,Yc=[]),Pc>0&&(Hd+=Pc,Pc=0)}Hu>=0?tc(sc[Hu]):tc(po);for(let Pc=0;Pc<En;Pc++)st(sc[Pc]),Qs(li.clauses[Pc].statements);vi()}else mc(bt(Ye,Pe,Ps))}function ii(Ye){return H&&zi(),Ye=dn(Ye,Pe,t),H&&vi(),Ye}function vt(Ye){te(Ye)?(Wo(Fr(Ye.label)),Mn(Ye.statement),$o()):mc(bt(Ye,Pe,Ps))}function Ni(Ye){return H&&qo(Fr(Ye.label)),Ye=dn(Ye,Pe,t),H&&$o(),Ye}function ji(Ye){Nl(j.checkDefined(bt(Ye.expression??r.createVoidZero(),Pe,jt)),Ye)}function gr(Ye){te(Ye)?(fi(),Mn(Ye.tryBlock),Ye.catchClause&&(wi(Ye.catchClause.variableDeclaration),Mn(Ye.catchClause.block)),Ye.finallyBlock&&(Ri(),Mn(Ye.finallyBlock)),Ai()):mc(dn(Ye,Pe,t))}function te(Ye){return!!Ye&&(Ye.transformFlags&1048576)!==0}function tt(Ye){const li=Ye.length;for(let En=0;En<li;En++)if(te(Ye[En]))return En;return-1}function Gt(Ye,li){return li=E(Ye,li),Ye===1?le(li):li}function le(Ye){return ot(Ye)?Ue(Ye):Ye}function Ue(Ye){if(!zl(Ye)&&N&&N.has(Fr(Ye))){const li=Ql(Ye);if(ot(li)&&li.parent){const En=T.getReferencedValueDeclaration(li);if(En){const po=R[d_(En)];if(po){const ic=_c(Ht(r.cloneNode(po),po),po.parent);return Ga(ic,Ye),Sd(ic,Ye),ic}}}}return Ye}function be(Ye){if(zl(Ye)||Ya(Ye)&8192)return Ye;const li=r.createTempVariable(g);return oo(li,Ye,Ye),li}function Qt(Ye){const li=Ye?r.createUniqueName(Ye):r.createTempVariable(void 0);return g(li),li}function lt(){Q||(Q=[]);const Ye=oe;return oe++,Q[Ye]=-1,Ye}function st(Ye){j.assert(Q!==void 0,"No labels were defined."),Q[Ye]=pe?pe.length:0}function hi(Ye){U||(U=[],X=[],q=[],Z=[]);const li=X.length;return X[li]=0,q[li]=pe?pe.length:0,U[li]=Ye,Z.push(Ye),li}function vn(){const Ye=ln();if(Ye===void 0)return j.fail("beginBlock was never called.");const li=X.length;return X[li]=1,q[li]=pe?pe.length:0,U[li]=Ye,Z.pop(),Ye}function ln(){return rl(Z)}function Un(){const Ye=ln();return Ye&&Ye.kind}function Pt(Ye){const li=lt(),En=lt();st(li),hi({kind:1,expression:Ye,startLabel:li,endLabel:En})}function Bt(){j.assert(Un()===1);const Ye=vn();st(Ye.endLabel)}function fi(){const Ye=lt(),li=lt();return st(Ye),hi({kind:0,state:0,startLabel:Ye,endLabel:li}),pc(),li}function wi(Ye){j.assert(Un()===0);let li;if(zl(Ye.name))li=Ye.name,g(Ye.name);else{const sc=Fr(Ye.name);li=Qt(sc),N||(N=new Map,R=[],t.enableSubstitution(80)),N.set(sc,!0),R[d_(Ye)]=li}const En=ln();j.assert(En.state<1);const po=En.endLabel;tc(po);const ic=lt();st(ic),En.state=1,En.catchVariable=li,En.catchLabel=ic,oo(li,r.createCallExpression(r.createPropertyAccessExpression(Ie,"sent"),void 0,[])),pc()}function Ri(){j.assert(Un()===0);const Ye=ln();j.assert(Ye.state<2);const li=Ye.endLabel;tc(li);const En=lt();st(En),Ye.state=2,Ye.finallyLabel=En}function Ai(){j.assert(Un()===0);const Ye=vn();Ye.state<2?tc(Ye.endLabel):Ve(),st(Ye.endLabel),pc(),Ye.state=3}function Xn(){hi({kind:3,isScript:!0,breakLabel:-1,continueLabel:-1})}function Di(Ye){const li=lt();return hi({kind:3,isScript:!1,breakLabel:li,continueLabel:Ye}),li}function on(){j.assert(Un()===3);const Ye=vn(),li=Ye.breakLabel;Ye.isScript||st(li)}function zi(){hi({kind:2,isScript:!0,breakLabel:-1})}function cn(){const Ye=lt();return hi({kind:2,isScript:!1,breakLabel:Ye}),Ye}function vi(){j.assert(Un()===2);const Ye=vn(),li=Ye.breakLabel;Ye.isScript||st(li)}function qo(Ye){hi({kind:4,isScript:!0,labelText:Ye,breakLabel:-1})}function Wo(Ye){const li=lt();hi({kind:4,isScript:!1,labelText:Ye,breakLabel:li})}function $o(){j.assert(Un()===4);const Ye=vn();Ye.isScript||st(Ye.breakLabel)}function Lc(Ye){return Ye.kind===2||Ye.kind===3}function vu(Ye){return Ye.kind===4}function Gu(Ye){return Ye.kind===3}function Zc(Ye,li){for(let En=li;En>=0;En--){const po=Z[En];if(vu(po)){if(po.labelText===Ye)return!0}else break}return!1}function Ls(Ye){if(Z)if(Ye)for(let li=Z.length-1;li>=0;li--){const En=Z[li];if(vu(En)&&En.labelText===Ye)return En.breakLabel;if(Lc(En)&&Zc(Ye,li-1))return En.breakLabel}else for(let li=Z.length-1;li>=0;li--){const En=Z[li];if(Lc(En))return En.breakLabel}return 0}function ja(Ye){if(Z)if(Ye)for(let li=Z.length-1;li>=0;li--){const En=Z[li];if(Gu(En)&&Zc(Ye,li-1))return En.continueLabel}else for(let li=Z.length-1;li>=0;li--){const En=Z[li];if(Gu(En))return En.continueLabel}return 0}function wf(Ye){if(Ye!==void 0&&Ye>0){re===void 0&&(re=[]);const li=r.createNumericLiteral(Number.MAX_SAFE_INTEGER);return re[Ye]===void 0?re[Ye]=[li]:re[Ye].push(li),li}return r.createOmittedExpression()}function Jo(Ye){const li=r.createNumericLiteral(Ye);return uX(li,3,zRt(Ye)),li}function kh(Ye,li){return j.assertLessThan(0,Ye,"Invalid label"),Ht(r.createReturnStatement(r.createArrayLiteralExpression([Jo(3),wf(Ye)])),li)}function md(Ye,li){return Ht(r.createReturnStatement(r.createArrayLiteralExpression(Ye?[Jo(2),Ye]:[Jo(2)])),li)}function go(Ye){return Ht(r.createCallExpression(r.createPropertyAccessExpression(Ie,"sent"),void 0,[]),Ye)}function pc(){_e(0)}function mc(Ye){Ye?_e(1,[Ye]):pc()}function oo(Ye,li,En){_e(2,[Ye,li],En)}function tc(Ye,li){_e(3,[Ye],li)}function ki(Ye,li,En){_e(4,[Ye,li],En)}function Gc(Ye,li,En){_e(5,[Ye,li],En)}function uh(Ye,li){_e(7,[Ye],li)}function sd(Ye,li){_e(6,[Ye],li)}function Pp(Ye,li){_e(8,[Ye],li)}function Nl(Ye,li){_e(9,[Ye],li)}function Ve(){_e(10)}function _e(Ye,li,En){pe===void 0&&(pe=[],de=[],ue=[]),Q===void 0&&st(lt());const po=pe.length;pe[po]=Ye,de[po]=li,ue[po]=En}function Lt(){we=0,he=0,Ae=void 0,ke=!1,Te=!1,De=void 0,qe=void 0,se=void 0,Ne=void 0,Oe=void 0;const Ye=ci();return a().createGeneratorHelper(tr(r.createFunctionExpression(void 0,void 0,void 0,void 0,[r.createParameterDeclaration(void 0,void 0,Ie)],void 0,r.createBlock(Ye,Ye.length>0)),1048576))}function ci(){if(pe){for(let Ye=0;Ye<pe.length;Ye++)Ic(Ye);Rn(pe.length)}else Rn(0);if(De){const Ye=r.createPropertyAccessExpression(Ie,"label"),li=r.createSwitchStatement(Ye,r.createCaseBlock(De));return[bh(li)]}return qe||[]}function Mi(){qe&&(Xi(!ke),ke=!1,Te=!1,he++)}function Rn(Ye){Yr(Ye)&&(Mr(Ye),Oe=void 0,Xu(void 0,void 0)),qe&&De&&Xi(!1),ds()}function Yr(Ye){if(!Te)return!0;if(!Q||!re)return!1;for(let li=0;li<Q.length;li++)if(Q[li]===Ye&&re[li])return!0;return!1}function Xi(Ye){if(De||(De=[]),qe){if(Oe)for(let li=Oe.length-1;li>=0;li--){const En=Oe[li];qe=[r.createWithStatement(En.expression,r.createBlock(qe))]}if(Ne){const{startLabel:li,catchLabel:En,finallyLabel:po,endLabel:ic}=Ne;qe.unshift(r.createExpressionStatement(r.createCallExpression(r.createPropertyAccessExpression(r.createPropertyAccessExpression(Ie,"trys"),"push"),void 0,[r.createArrayLiteralExpression([wf(li),wf(En),wf(po),wf(ic)])]))),Ne=void 0}Ye&&qe.push(r.createExpressionStatement(r.createAssignment(r.createPropertyAccessExpression(Ie,"label"),r.createNumericLiteral(he+1))))}De.push(r.createCaseClause(r.createNumericLiteral(he),qe||[])),qe=void 0}function Mr(Ye){if(Q)for(let li=0;li<Q.length;li++)Q[li]===Ye&&(Mi(),Ae===void 0&&(Ae=[]),Ae[he]===void 0?Ae[he]=[li]:Ae[he].push(li))}function ds(){if(re!==void 0&&Ae!==void 0)for(let Ye=0;Ye<Ae.length;Ye++){const li=Ae[Ye];if(li!==void 0)for(const En of li){const po=re[En];if(po!==void 0)for(const ic of po)ic.text=String(Ye)}}}function Ks(Ye){if(U)for(;we<X.length&&q[we]<=Ye;we++){const li=U[we],En=X[we];switch(li.kind){case 0:En===0?(se||(se=[]),qe||(qe=[]),se.push(Ne),Ne=li):En===1&&(Ne=se.pop());break;case 1:En===0?(Oe||(Oe=[]),Oe.push(li)):En===1&&Oe.pop();break}}}function Ic(Ye){if(Mr(Ye),Ks(Ye),ke)return;ke=!1,Te=!1;const li=pe[Ye];if(li===0)return;if(li===10)return eg();const En=de[Ye];if(li===1)return Gl(En[0]);const po=ue[Ye];switch(li){case 2:return Pu(En[0],En[1],po);case 3:return Wl(En[0],po);case 4:return Cu(En[0],En[1],po);case 5:return gm(En[0],En[1],po);case 6:return Qu(En[0],po);case 7:return kd(En[0],po);case 8:return Xu(En[0],po);case 9:return Ku(En[0],po)}}function Gl(Ye){Ye&&(qe?qe.push(Ye):qe=[Ye])}function Pu(Ye,li,En){Gl(Ht(r.createExpressionStatement(r.createAssignment(Ye,li)),En))}function Ku(Ye,li){ke=!0,Te=!0,Gl(Ht(r.createThrowStatement(Ye),li))}function Xu(Ye,li){ke=!0,Te=!0,Gl(tr(Ht(r.createReturnStatement(r.createArrayLiteralExpression(Ye?[Jo(2),Ye]:[Jo(2)])),li),768))}function Wl(Ye,li){ke=!0,Gl(tr(Ht(r.createReturnStatement(r.createArrayLiteralExpression([Jo(3),wf(Ye)])),li),768))}function Cu(Ye,li,En){Gl(tr(r.createIfStatement(li,tr(Ht(r.createReturnStatement(r.createArrayLiteralExpression([Jo(3),wf(Ye)])),En),768)),1))}function gm(Ye,li,En){Gl(tr(r.createIfStatement(r.createLogicalNot(li),tr(Ht(r.createReturnStatement(r.createArrayLiteralExpression([Jo(3),wf(Ye)])),En),768)),1))}function Qu(Ye,li){ke=!0,Gl(tr(Ht(r.createReturnStatement(r.createArrayLiteralExpression(Ye?[Jo(4),Ye]:[Jo(4)])),li),768))}function kd(Ye,li){ke=!0,Gl(tr(Ht(r.createReturnStatement(r.createArrayLiteralExpression([Jo(5),Ye])),li),768))}function eg(){ke=!0,Gl(r.createReturnStatement(r.createArrayLiteralExpression([Jo(7)])))}}function Gue(t){function r(te){switch(te){case 2:return pe;case 3:return de;default:return oe}}const{factory:a,getEmitHelperFactory:u,startLexicalEnvironment:h,endLexicalEnvironment:p,hoistVariableDeclaration:g}=t,C=t.getCompilerOptions(),b=t.getEmitResolver(),T=t.getEmitHost(),E=Ja(C),N=zh(C),R=t.onSubstituteNode,F=t.onEmitNode;t.onSubstituteNode=Je,t.onEmitNode=Dt,t.enableSubstitution(213),t.enableSubstitution(215),t.enableSubstitution(80),t.enableSubstitution(226),t.enableSubstitution(304),t.enableEmitNotification(307);const H=[];let U,q;const X=[];let Z;return q0(t,Q);function Q(te){if(te.isDeclarationFile||!(aP(te,C)||te.transformFlags&8388608||Eg(te)&&RK(C)&&C.outFile))return te;U=te,q=Vue(t,te),H[d_(te)]=q;const Gt=r(N)(te);return U=void 0,q=void 0,Z=!1,Gt}function re(){return Uv(U.fileName)&&U.commonJsModuleIndicator&&(!U.externalModuleIndicator||U.externalModuleIndicator===!0)?!1:!!(!q.exportEquals&&wd(U))}function oe(te){h();const tt=[],Gt=ah(C,"alwaysStrict")||wd(U),le=a.copyPrologue(te.statements,tt,Gt&&!Eg(te),Ae);if(re()&&fn(tt,pt()),Ft(q.exportedNames))for(let Qt=0;Qt<q.exportedNames.length;Qt+=50)fn(tt,a.createExpressionStatement(oi(q.exportedNames.slice(Qt,Qt+50),(lt,st)=>st.kind===11?a.createAssignment(a.createElementAccessExpression(a.createIdentifier("exports"),a.createStringLiteral(st.text)),lt):a.createAssignment(a.createPropertyAccessExpression(a.createIdentifier("exports"),a.createIdentifier(Fr(st))),lt),a.createVoidZero())));for(const be of q.exportedFunctions)St(tt,be);fn(tt,bt(q.externalHelpersImportDeclaration,Ae,Ps)),Sr(tt,Dn(te.statements,Ae,Ps,le)),he(tt,!1),Fv(tt,p());const Ue=a.updateSourceFile(te,Ht(a.createNodeArray(tt),te.statements));return Zb(Ue,t.readEmitHelpers()),Ue}function pe(te){const tt=a.createIdentifier("define"),Gt=bH(a,te,T,C),le=Eg(te)&&te,{aliasedModuleNames:Ue,unaliasedModuleNames:be,importAliasNames:Qt}=ue(te,!0),lt=a.updateSourceFile(te,Ht(a.createNodeArray([a.createExpressionStatement(a.createCallExpression(tt,void 0,[...Gt?[Gt]:[],a.createArrayLiteralExpression(le?w:[a.createStringLiteral("require"),a.createStringLiteral("exports"),...Ue,...be]),le?le.statements.length?le.statements[0].expression:a.createObjectLiteralExpression():a.createFunctionExpression(void 0,void 0,void 0,void 0,[a.createParameterDeclaration(void 0,void 0,"require"),a.createParameterDeclaration(void 0,void 0,"exports"),...Qt],void 0,we(te))]))]),te.statements));return Zb(lt,t.readEmitHelpers()),lt}function de(te){const{aliasedModuleNames:tt,unaliasedModuleNames:Gt,importAliasNames:le}=ue(te,!1),Ue=bH(a,te,T,C),be=a.createFunctionExpression(void 0,void 0,void 0,void 0,[a.createParameterDeclaration(void 0,void 0,"factory")],void 0,Ht(a.createBlock([a.createIfStatement(a.createLogicalAnd(a.createTypeCheck(a.createIdentifier("module"),"object"),a.createTypeCheck(a.createPropertyAccessExpression(a.createIdentifier("module"),"exports"),"object")),a.createBlock([a.createVariableStatement(void 0,[a.createVariableDeclaration("v",void 0,void 0,a.createCallExpression(a.createIdentifier("factory"),void 0,[a.createIdentifier("require"),a.createIdentifier("exports")]))]),tr(a.createIfStatement(a.createStrictInequality(a.createIdentifier("v"),a.createIdentifier("undefined")),a.createExpressionStatement(a.createAssignment(a.createPropertyAccessExpression(a.createIdentifier("module"),"exports"),a.createIdentifier("v")))),1)]),a.createIfStatement(a.createLogicalAnd(a.createTypeCheck(a.createIdentifier("define"),"function"),a.createPropertyAccessExpression(a.createIdentifier("define"),"amd")),a.createBlock([a.createExpressionStatement(a.createCallExpression(a.createIdentifier("define"),void 0,[...Ue?[Ue]:[],a.createArrayLiteralExpression([a.createStringLiteral("require"),a.createStringLiteral("exports"),...tt,...Gt]),a.createIdentifier("factory")]))])))],!0),void 0)),Qt=a.updateSourceFile(te,Ht(a.createNodeArray([a.createExpressionStatement(a.createCallExpression(be,void 0,[a.createFunctionExpression(void 0,void 0,void 0,void 0,[a.createParameterDeclaration(void 0,void 0,"require"),a.createParameterDeclaration(void 0,void 0,"exports"),...le],void 0,we(te))]))]),te.statements));return Zb(Qt,t.readEmitHelpers()),Qt}function ue(te,tt){const Gt=[],le=[],Ue=[];for(const be of te.amdDependencies)be.name?(Gt.push(a.createStringLiteral(be.path)),Ue.push(a.createParameterDeclaration(void 0,void 0,be.name))):le.push(a.createStringLiteral(be.path));for(const be of q.externalImports){const Qt=XP(a,be,U,T,b,C),lt=I3(a,be,U);Qt&&(tt&&lt?(tr(lt,8),Gt.push(Qt),Ue.push(a.createParameterDeclaration(void 0,void 0,lt))):le.push(Qt))}return{aliasedModuleNames:Gt,unaliasedModuleNames:le,importAliasNames:Ue}}function Ie(te){if(Wd(te)||Ju(te)||!XP(a,te,U,T,b,C))return;const tt=I3(a,te,U),Gt=ko(te,tt);if(Gt!==tt)return a.createExpressionStatement(a.createAssignment(tt,Gt))}function we(te){h();const tt=[],Gt=a.copyPrologue(te.statements,tt,!0,Ae);re()&&fn(tt,pt()),Ft(q.exportedNames)&&fn(tt,a.createExpressionStatement(oi(q.exportedNames,(Ue,be)=>be.kind===11?a.createAssignment(a.createElementAccessExpression(a.createIdentifier("exports"),a.createStringLiteral(be.text)),Ue):a.createAssignment(a.createPropertyAccessExpression(a.createIdentifier("exports"),a.createIdentifier(Fr(be))),Ue),a.createVoidZero())));for(const Ue of q.exportedFunctions)St(tt,Ue);fn(tt,bt(q.externalHelpersImportDeclaration,Ae,Ps)),N===2&&Sr(tt,es(q.externalImports,Ie)),Sr(tt,Dn(te.statements,Ae,Ps,Gt)),he(tt,!0),Fv(tt,p());const le=a.createBlock(tt,!0);return Z&&MP(le,URt),le}function he(te,tt){if(q.exportEquals){const Gt=bt(q.exportEquals.expression,De,jt);if(Gt)if(tt){const le=a.createReturnStatement(Gt);Ht(le,q.exportEquals),tr(le,3840),te.push(le)}else{const le=a.createExpressionStatement(a.createAssignment(a.createPropertyAccessExpression(a.createIdentifier("module"),"exports"),Gt));Ht(le,q.exportEquals),tr(le,3072),te.push(le)}}}function Ae(te){switch(te.kind){case 272:return ls(te);case 271:return Mn(te);case 278:return Zs(te);case 277:return at(te);default:return ke(te)}}function ke(te){switch(te.kind){case 243:return dr(te);case 262:return yi(te);case 263:return Sn(te);case 248:return Oe(te,!0);case 249:return He(te);case 250:return Pe(te);case 246:return We(te);case 247:return ze(te);case 256:return Nt(te);case 254:return $e(te);case 245:return et(te);case 255:return Me(te);case 269:return ut(te);case 296:return wt(te);case 297:return Et(te);case 258:return dt(te);case 299:return zt(te);case 241:return Ei(te);default:return De(te)}}function Te(te,tt){if(!(te.transformFlags&276828160))return te;switch(te.kind){case 248:return Oe(te,!1);case 244:return tn(te);case 217:return xn(te,tt);case 354:return Pn(te,tt);case 213:if(zp(te)&&T.shouldTransformImportCall(U))return Fi(te);break;case 226:if(pS(te))return Ne(te,tt);break;case 224:case 225:return _i(te,tt)}return dn(te,De,t)}function De(te){return Te(te,!1)}function qe(te){return Te(te,!0)}function se(te){if(Aa(te))for(const tt of te.properties)switch(tt.kind){case 303:if(se(tt.initializer))return!0;break;case 304:if(se(tt.name))return!0;break;case 305:if(se(tt.expression))return!0;break;case 174:case 177:case 178:return!1;default:j.assertNever(tt,"Unhandled object member kind")}else if(jf(te)){for(const tt of te.elements)if(Km(tt)){if(se(tt.expression))return!0}else if(se(tt))return!0}else if(ot(te))return P(gr(te))>(AX(te)?1:0);return!1}function Ne(te,tt){return se(te.left)?HI(te,De,t,0,!tt,us):dn(te,De,t)}function Oe(te,tt){if(tt&&te.initializer&&Sf(te.initializer)&&!(te.initializer.flags&7)){const Gt=Ji(void 0,te.initializer,!1);if(Gt){const le=[],Ue=bt(te.initializer,qe,Sf),be=a.createVariableStatement(void 0,Ue);le.push(be),Sr(le,Gt);const Qt=bt(te.condition,De,jt),lt=bt(te.incrementor,qe,jt),st=u_(te.statement,tt?ke:De,t);return le.push(a.updateForStatement(te,void 0,Qt,lt,st)),le}}return a.updateForStatement(te,bt(te.initializer,qe,Hm),bt(te.condition,De,jt),bt(te.incrementor,qe,jt),u_(te.statement,tt?ke:De,t))}function He(te){if(Sf(te.initializer)&&!(te.initializer.flags&7)){const tt=Ji(void 0,te.initializer,!0);if(Ft(tt)){const Gt=bt(te.initializer,qe,Hm),le=bt(te.expression,De,jt),Ue=u_(te.statement,ke,t),be=Xo(Ue)?a.updateBlock(Ue,[...tt,...Ue.statements]):a.createBlock([...tt,Ue],!0);return a.updateForInStatement(te,Gt,le,be)}}return a.updateForInStatement(te,bt(te.initializer,qe,Hm),bt(te.expression,De,jt),u_(te.statement,ke,t))}function Pe(te){if(Sf(te.initializer)&&!(te.initializer.flags&7)){const tt=Ji(void 0,te.initializer,!0),Gt=bt(te.initializer,qe,Hm),le=bt(te.expression,De,jt);let Ue=u_(te.statement,ke,t);return Ft(tt)&&(Ue=Xo(Ue)?a.updateBlock(Ue,[...tt,...Ue.statements]):a.createBlock([...tt,Ue],!0)),a.updateForOfStatement(te,te.awaitModifier,Gt,le,Ue)}return a.updateForOfStatement(te,te.awaitModifier,bt(te.initializer,qe,Hm),bt(te.expression,De,jt),u_(te.statement,ke,t))}function We(te){return a.updateDoStatement(te,u_(te.statement,ke,t),bt(te.expression,De,jt))}function ze(te){return a.updateWhileStatement(te,bt(te.expression,De,jt),u_(te.statement,ke,t))}function Nt(te){return a.updateLabeledStatement(te,te.label,bt(te.statement,ke,Ps,a.liftToBlock)??Ht(a.createEmptyStatement(),te.statement))}function $e(te){return a.updateWithStatement(te,bt(te.expression,De,jt),j.checkDefined(bt(te.statement,ke,Ps,a.liftToBlock)))}function et(te){return a.updateIfStatement(te,bt(te.expression,De,jt),bt(te.thenStatement,ke,Ps,a.liftToBlock)??a.createBlock([]),bt(te.elseStatement,ke,Ps,a.liftToBlock))}function Me(te){return a.updateSwitchStatement(te,bt(te.expression,De,jt),j.checkDefined(bt(te.caseBlock,ke,w7)))}function ut(te){return a.updateCaseBlock(te,Dn(te.clauses,ke,CG))}function wt(te){return a.updateCaseClause(te,bt(te.expression,De,jt),Dn(te.statements,ke,Ps))}function Et(te){return dn(te,ke,t)}function dt(te){return dn(te,ke,t)}function zt(te){return a.updateCatchClause(te,te.variableDeclaration,j.checkDefined(bt(te.block,ke,Xo)))}function Ei(te){return te=dn(te,ke,t),te}function tn(te){return a.updateExpressionStatement(te,bt(te.expression,qe,jt))}function xn(te,tt){return a.updateParenthesizedExpression(te,bt(te.expression,tt?qe:De,jt))}function Pn(te,tt){return a.updatePartiallyEmittedExpression(te,bt(te.expression,tt?qe:De,jt))}function _i(te,tt){if((te.operator===46||te.operator===47)&&ot(te.operand)&&!zl(te.operand)&&!iC(te.operand)&&!Sce(te.operand)){const Gt=gr(te.operand);if(Gt){let le,Ue=bt(te.operand,De,jt);n2(te)?Ue=a.updatePrefixUnaryExpression(te,Ue):(Ue=a.updatePostfixUnaryExpression(te,Ue),tt||(le=a.createTempVariable(g),Ue=a.createAssignment(le,Ue),Ht(Ue,te)),Ue=a.createComma(Ue,a.cloneNode(te.operand)),Ht(Ue,te));for(const be of Gt)X[Sc(Ue)]=!0,Ue=Ze(be,Ue),Ht(Ue,te);return le&&(X[Sc(Ue)]=!0,Ue=a.createComma(Ue,le),Ht(Ue,te)),Ue}}return dn(te,De,t)}function Fi(te){if(N===0&&E>=7)return dn(te,De,t);const tt=XP(a,te,U,T,b,C),Gt=bt(Ra(te.arguments),De,jt),le=tt&&(!Gt||!Ha(Gt)||Gt.text!==tt.text)?tt:Gt,Ue=!!(te.transformFlags&16384);switch(C.module){case 2:return pn(le,Ue);case 3:return On(le??a.createVoidZero(),Ue);case 1:default:return qr(le)}}function On(te,tt){if(Z=!0,fD(te)){const Gt=zl(te)?te:Ha(te)?a.createStringLiteralFromNode(te):tr(Ht(a.cloneNode(te),te),3072);return a.createConditionalExpression(a.createIdentifier("__syncRequire"),void 0,qr(te),void 0,pn(Gt,tt))}else{const Gt=a.createTempVariable(g);return a.createComma(a.createAssignment(Gt,te),a.createConditionalExpression(a.createIdentifier("__syncRequire"),void 0,qr(Gt,!0),void 0,pn(Gt,tt)))}}function pn(te,tt){const Gt=a.createUniqueName("resolve"),le=a.createUniqueName("reject"),Ue=[a.createParameterDeclaration(void 0,void 0,Gt),a.createParameterDeclaration(void 0,void 0,le)],be=a.createBlock([a.createExpressionStatement(a.createCallExpression(a.createIdentifier("require"),void 0,[a.createArrayLiteralExpression([te||a.createOmittedExpression()]),Gt,le]))]);let Qt;E>=2?Qt=a.createArrowFunction(void 0,void 0,Ue,void 0,void 0,be):(Qt=a.createFunctionExpression(void 0,void 0,void 0,void 0,Ue,void 0,be),tt&&tr(Qt,16));const lt=a.createNewExpression(a.createIdentifier("Promise"),void 0,[Qt]);return zv(C)?a.createCallExpression(a.createPropertyAccessExpression(lt,a.createIdentifier("then")),void 0,[u().createImportStarCallbackHelper()]):lt}function qr(te,tt){const Gt=te&&!Uy(te)&&!tt,le=a.createCallExpression(a.createPropertyAccessExpression(a.createIdentifier("Promise"),"resolve"),void 0,Gt?E>=2?[a.createTemplateExpression(a.createTemplateHead(""),[a.createTemplateSpan(te,a.createTemplateTail(""))])]:[a.createCallExpression(a.createPropertyAccessExpression(a.createStringLiteral(""),"concat"),void 0,[te])]:[]);let Ue=a.createCallExpression(a.createIdentifier("require"),void 0,Gt?[a.createIdentifier("s")]:te?[te]:[]);zv(C)&&(Ue=u().createImportStarHelper(Ue));const be=Gt?[a.createParameterDeclaration(void 0,void 0,"s")]:[];let Qt;return E>=2?Qt=a.createArrowFunction(void 0,void 0,be,void 0,void 0,Ue):Qt=a.createFunctionExpression(void 0,void 0,void 0,void 0,be,void 0,a.createBlock([a.createReturnStatement(Ue)])),a.createCallExpression(a.createPropertyAccessExpression(le,"then"),void 0,[Qt])}function Fs(te,tt){return!zv(C)||u0(te)&2?tt:ONe(te)?u().createImportStarHelper(tt):tt}function ko(te,tt){return!zv(C)||u0(te)&2?tt:gQ(te)?u().createImportStarHelper(tt):Wue(te)?u().createImportDefaultHelper(tt):tt}function ls(te){let tt;const Gt=Y6(te);if(N!==2)if(te.importClause){const le=[];Gt&&!hP(te)?le.push(a.createVariableDeclaration(a.cloneNode(Gt.name),void 0,void 0,ko(te,Qs(te)))):(le.push(a.createVariableDeclaration(a.getGeneratedNameForNode(te),void 0,void 0,ko(te,Qs(te)))),Gt&&hP(te)&&le.push(a.createVariableDeclaration(a.cloneNode(Gt.name),void 0,void 0,a.getGeneratedNameForNode(te)))),tt=fn(tt,Ir(Ht(a.createVariableStatement(void 0,a.createVariableDeclarationList(le,E>=2?2:0)),te),te))}else return Ir(Ht(a.createExpressionStatement(Qs(te)),te),te);else Gt&&hP(te)&&(tt=fn(tt,a.createVariableStatement(void 0,a.createVariableDeclarationList([Ir(Ht(a.createVariableDeclaration(a.cloneNode(Gt.name),void 0,void 0,a.getGeneratedNameForNode(te)),te),te)],E>=2?2:0))));return tt=Gs(tt,te),Sg(tt)}function Qs(te){const tt=XP(a,te,U,T,b,C),Gt=[];return tt&&Gt.push(tt),a.createCallExpression(a.createIdentifier("require"),void 0,Gt)}function Mn(te){j.assert(qk(te),"import= for internal module references should be handled in an earlier transformer.");let tt;return N!==2?Zr(te,32)?tt=fn(tt,Ir(Ht(a.createExpressionStatement(Ze(te.name,Qs(te))),te),te)):tt=fn(tt,Ir(Ht(a.createVariableStatement(void 0,a.createVariableDeclarationList([a.createVariableDeclaration(a.cloneNode(te.name),void 0,void 0,Qs(te))],E>=2?2:0)),te),te)):Zr(te,32)&&(tt=fn(tt,Ir(Ht(a.createExpressionStatement(Ze(a.getExportName(te),a.getLocalName(te))),te),te))),tt=ma(tt,te),Sg(tt)}function Zs(te){if(!te.moduleSpecifier)return;const tt=a.getGeneratedNameForNode(te);if(te.exportClause&&Xm(te.exportClause)){const Gt=[];N!==2&&Gt.push(Ir(Ht(a.createVariableStatement(void 0,a.createVariableDeclarationList([a.createVariableDeclaration(tt,void 0,void 0,Qs(te))])),te),te));for(const le of te.exportClause.elements){const Ue=le.propertyName||le.name,Qt=!!zv(C)&&!(u0(te)&2)&&My(Ue)?u().createImportDefaultHelper(tt):tt,lt=Ue.kind===11?a.createElementAccessExpression(Qt,Ue):a.createPropertyAccessExpression(Qt,Ue);Gt.push(Ir(Ht(a.createExpressionStatement(Ze(le.name.kind===11?a.cloneNode(le.name):a.getExportName(le),lt,void 0,!0)),le),le))}return Sg(Gt)}else if(te.exportClause){const Gt=[];return Gt.push(Ir(Ht(a.createExpressionStatement(Ze(a.cloneNode(te.exportClause.name),Fs(te,N!==2?Qs(te):OG(te)||te.exportClause.name.kind===11?tt:a.createIdentifier(Fr(te.exportClause.name))))),te),te)),Sg(Gt)}else return Ir(Ht(a.createExpressionStatement(u().createExportStarHelper(N!==2?Qs(te):tt)),te),te)}function at(te){if(!te.isExportEquals)return Se(a.createIdentifier("default"),bt(te.expression,De,jt),te,!0)}function yi(te){let tt;return Zr(te,32)?tt=fn(tt,Ir(Ht(a.createFunctionDeclaration(Dn(te.modifiers,gt,Fa),te.asteriskToken,a.getDeclarationName(te,!0,!0),void 0,Dn(te.parameters,De,$s),void 0,dn(te.body,De,t)),te),te)):tt=fn(tt,dn(te,De,t)),Sg(tt)}function Sn(te){let tt;return Zr(te,32)?tt=fn(tt,Ir(Ht(a.createClassDeclaration(Dn(te.modifiers,gt,Ul),a.getDeclarationName(te,!0,!0),void 0,Dn(te.heritageClauses,De,Tp),Dn(te.members,De,Od)),te),te)):tt=fn(tt,dn(te,De,t)),tt=St(tt,te),Sg(tt)}function dr(te){let tt,Gt,le;if(Zr(te,32)){let Ue,be=!1;for(const Qt of te.declarationList.declarations)if(ot(Qt.name)&&iC(Qt.name))if(Ue||(Ue=Dn(te.modifiers,gt,Fa)),Qt.initializer){const lt=a.updateVariableDeclaration(Qt,Qt.name,void 0,void 0,Ze(Qt.name,bt(Qt.initializer,De,jt)));Gt=fn(Gt,lt)}else Gt=fn(Gt,Qt);else if(Qt.initializer)if(!ta(Qt.name)&&(xl(Qt.initializer)||ml(Qt.initializer)||fd(Qt.initializer))){const lt=a.createAssignment(Ht(a.createPropertyAccessExpression(a.createIdentifier("exports"),Qt.name),Qt.name),a.createIdentifier(Lg(Qt.name))),st=a.createVariableDeclaration(Qt.name,Qt.exclamationToken,Qt.type,bt(Qt.initializer,De,jt));Gt=fn(Gt,st),le=fn(le,lt),be=!0}else le=fn(le,Xr(Qt));if(Gt&&(tt=fn(tt,a.updateVariableStatement(te,Ue,a.updateVariableDeclarationList(te.declarationList,Gt)))),le){const Qt=Ir(Ht(a.createExpressionStatement(a.inlineExpressions(le)),te),te);be&&oH(Qt),tt=fn(tt,Qt)}}else tt=fn(tt,dn(te,De,t));return tt=ka(tt,te),Sg(tt)}function us(te,tt,Gt){const le=gr(te);if(le){let Ue=AX(te)?tt:a.createAssignment(te,tt);for(const be of le)tr(Ue,8),Ue=Ze(be,Ue,Gt);return Ue}return a.createAssignment(te,tt)}function Xr(te){return ta(te.name)?HI(bt(te,De,UV),De,t,0,!1,us):a.createAssignment(Ht(a.createPropertyAccessExpression(a.createIdentifier("exports"),te.name),te.name),te.initializer?bt(te.initializer,De,jt):a.createVoidZero())}function Gs(te,tt){if(q.exportEquals)return te;const Gt=tt.importClause;if(!Gt)return te;const le=new V3;Gt.name&&(te=ri(te,le,Gt));const Ue=Gt.namedBindings;if(Ue)switch(Ue.kind){case 274:te=ri(te,le,Ue);break;case 275:for(const be of Ue.elements)te=ri(te,le,be,!0);break}return te}function ma(te,tt){return q.exportEquals?te:ri(te,new V3,tt)}function ka(te,tt){return Ji(te,tt.declarationList,!1)}function Ji(te,tt,Gt){if(q.exportEquals)return te;for(const le of tt.declarations)te=ac(te,le,Gt);return te}function ac(te,tt,Gt){if(q.exportEquals)return te;if(ta(tt.name))for(const le of tt.name.elements)Bd(le)||(te=ac(te,le,Gt));else!zl(tt.name)&&(!_s(tt)||tt.initializer||Gt)&&(te=ri(te,new V3,tt));return te}function St(te,tt){if(q.exportEquals)return te;const Gt=new V3;if(Zr(tt,32)){const le=Zr(tt,2048)?a.createIdentifier("default"):a.getDeclarationName(tt);te=xe(te,Gt,le,a.getLocalName(tt),tt)}return tt.name&&(te=ri(te,Gt,tt)),te}function ri(te,tt,Gt,le){const Ue=a.getDeclarationName(Gt),be=q.exportSpecifiers.get(Ue);if(be)for(const Qt of be)te=xe(te,tt,Qt.name,Ue,Qt.name,void 0,le);return te}function xe(te,tt,Gt,le,Ue,be,Qt){if(Gt.kind!==11){if(tt.has(Gt))return te;tt.set(Gt,!0)}return te=fn(te,Se(Gt,le,Ue,be,Qt)),te}function pt(){const te=a.createExpressionStatement(a.createCallExpression(a.createPropertyAccessExpression(a.createIdentifier("Object"),"defineProperty"),void 0,[a.createIdentifier("exports"),a.createStringLiteral("__esModule"),a.createObjectLiteralExpression([a.createPropertyAssignment("value",a.createTrue())])]));return tr(te,2097152),te}function Se(te,tt,Gt,le,Ue){const be=Ht(a.createExpressionStatement(Ze(te,tt,void 0,Ue)),Gt);return bh(be),le||tr(be,3072),be}function Ze(te,tt,Gt,le){return Ht(le?a.createCallExpression(a.createPropertyAccessExpression(a.createIdentifier("Object"),"defineProperty"),void 0,[a.createIdentifier("exports"),a.createStringLiteralFromNode(te),a.createObjectLiteralExpression([a.createPropertyAssignment("enumerable",a.createTrue()),a.createPropertyAssignment("get",a.createFunctionExpression(void 0,void 0,void 0,void 0,[],void 0,a.createBlock([a.createReturnStatement(tt)])))])]):a.createAssignment(te.kind===11?a.createElementAccessExpression(a.createIdentifier("exports"),a.cloneNode(te)):a.createPropertyAccessExpression(a.createIdentifier("exports"),a.cloneNode(te)),tt),Gt)}function gt(te){switch(te.kind){case 95:case 90:return}return te}function Dt(te,tt,Gt){tt.kind===307?(U=tt,q=H[d_(U)],F(te,tt,Gt),U=void 0,q=void 0):F(te,tt,Gt)}function Je(te,tt){return tt=R(te,tt),tt.id&&X[tt.id]?tt:te===1?Ct(tt):lh(tt)?Rt(tt):tt}function Rt(te){const tt=te.name,Gt=Ni(tt);if(Gt!==tt){if(te.objectAssignmentInitializer){const le=a.createAssignment(Gt,te.objectAssignmentInitializer);return Ht(a.createPropertyAssignment(tt,le),te)}return Ht(a.createPropertyAssignment(tt,Gt),te)}return te}function Ct(te){switch(te.kind){case 80:return Ni(te);case 213:return ii(te);case 215:return vt(te);case 226:return ji(te)}return te}function ii(te){if(ot(te.expression)){const tt=Ni(te.expression);if(X[Sc(tt)]=!0,!ot(tt)&&!(Ya(te.expression)&8192))return AP(a.updateCallExpression(te,tt,void 0,te.arguments),16)}return te}function vt(te){if(ot(te.tag)){const tt=Ni(te.tag);if(X[Sc(tt)]=!0,!ot(tt)&&!(Ya(te.tag)&8192))return AP(a.updateTaggedTemplateExpression(te,tt,void 0,te.template),16)}return te}function Ni(te){var tt,Gt;if(Ya(te)&8192){const le=MX(U);return le?a.createPropertyAccessExpression(le,te):te}else if(!(zl(te)&&!(te.emitNode.autoGenerate.flags&64))&&!iC(te)){const le=b.getReferencedExportContainer(te,AX(te));if(le&&le.kind===307)return Ht(a.createPropertyAccessExpression(a.createIdentifier("exports"),a.cloneNode(te)),te);const Ue=b.getReferencedImportDeclaration(te);if(Ue){if(H0(Ue))return Ht(a.createPropertyAccessExpression(a.getGeneratedNameForNode(Ue.parent),a.createIdentifier("default")),te);if(l_(Ue)){const be=Ue.propertyName||Ue.name,Qt=a.getGeneratedNameForNode(((Gt=(tt=Ue.parent)==null?void 0:tt.parent)==null?void 0:Gt.parent)||Ue);return Ht(be.kind===11?a.createElementAccessExpression(Qt,a.cloneNode(be)):a.createPropertyAccessExpression(Qt,a.cloneNode(be)),te)}}}return te}function ji(te){if(Gb(te.operatorToken.kind)&&ot(te.left)&&(!zl(te.left)||BW(te.left))&&!iC(te.left)){const tt=gr(te.left);if(tt){let Gt=te;for(const le of tt)X[Sc(Gt)]=!0,Gt=Ze(le,Gt,te);return Gt}}return te}function gr(te){if(zl(te)){if(BW(te)){const tt=q==null?void 0:q.exportSpecifiers.get(te);if(tt){const Gt=[];for(const le of tt)Gt.push(le.name);return Gt}}}else{const tt=b.getReferencedImportDeclaration(te);if(tt)return q==null?void 0:q.exportedBindings[d_(tt)];const Gt=new Set,le=b.getReferencedValueDeclarations(te);if(le){for(const Ue of le){const be=q==null?void 0:q.exportedBindings[d_(Ue)];if(be)for(const Qt of be)Gt.add(Qt)}if(Gt.size)return as(Gt)}}}}var URt={name:"typescript:dynamicimport-sync-require",scoped:!0,text:`
+ var __syncRequire = typeof module === "object" && typeof module.exports === "object";`};function lLe(t){const{factory:r,startLexicalEnvironment:a,endLexicalEnvironment:u,hoistVariableDeclaration:h}=t,p=t.getCompilerOptions(),g=t.getEmitResolver(),C=t.getEmitHost(),b=t.onSubstituteNode,T=t.onEmitNode;t.onSubstituteNode=Ze,t.onEmitNode=Se,t.enableSubstitution(80),t.enableSubstitution(304),t.enableSubstitution(226),t.enableSubstitution(236),t.enableEmitNotification(307);const E=[],N=[],R=[],F=[];let H,U,q,X,Z,Q,re;return q0(t,oe);function oe(te){if(te.isDeclarationFile||!(aP(te,p)||te.transformFlags&8388608))return te;const tt=d_(te);H=te,Q=te,U=E[tt]=Vue(t,te),q=r.createUniqueName("exports"),N[tt]=q,X=F[tt]=r.createUniqueName("context");const Gt=pe(U.externalImports),le=de(te,Gt),Ue=r.createFunctionExpression(void 0,void 0,void 0,void 0,[r.createParameterDeclaration(void 0,void 0,q),r.createParameterDeclaration(void 0,void 0,X)],void 0,le),be=bH(r,te,C,p),Qt=r.createArrayLiteralExpression(qt(Gt,st=>st.name)),lt=tr(r.updateSourceFile(te,Ht(r.createNodeArray([r.createExpressionStatement(r.createCallExpression(r.createPropertyAccessExpression(r.createIdentifier("System"),"register"),void 0,be?[be,Qt,Ue]:[Qt,Ue]))]),te.statements)),2048);return p.outFile||yEe(lt,le,st=>!st.scoped),re&&(R[tt]=re,re=void 0),H=void 0,U=void 0,q=void 0,X=void 0,Z=void 0,Q=void 0,lt}function pe(te){const tt=new Map,Gt=[];for(const le of te){const Ue=XP(r,le,H,C,g,p);if(Ue){const be=Ue.text,Qt=tt.get(be);Qt!==void 0?Gt[Qt].externalImports.push(le):(tt.set(be,Gt.length),Gt.push({name:Ue,externalImports:[le]}))}}return Gt}function de(te,tt){const Gt=[];a();const le=ah(p,"alwaysStrict")||wd(H),Ue=r.copyPrologue(te.statements,Gt,le,he);Gt.push(r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration("__moduleName",void 0,void 0,r.createLogicalAnd(X,r.createPropertyAccessExpression(X,"id")))]))),bt(U.externalHelpersImportDeclaration,he,Ps);const be=Dn(te.statements,he,Ps,Ue);Sr(Gt,Z),Fv(Gt,u());const Qt=ue(Gt),lt=te.transformFlags&2097152?r.createModifiersFromModifierFlags(1024):void 0,st=r.createObjectLiteralExpression([r.createPropertyAssignment("setters",we(Qt,tt)),r.createPropertyAssignment("execute",r.createFunctionExpression(lt,void 0,void 0,void 0,[],void 0,r.createBlock(be,!0)))],!0);return Gt.push(r.createReturnStatement(st)),r.createBlock(Gt,!0)}function ue(te){if(!U.hasExportStarsToExportValues)return;if(!Ft(U.exportedNames)&&U.exportedFunctions.size===0&&U.exportSpecifiers.size===0){let Ue=!1;for(const be of U.externalImports)if(be.kind===278&&be.exportClause){Ue=!0;break}if(!Ue){const be=Ie(void 0);return te.push(be),be.name}}const tt=[];if(U.exportedNames)for(const Ue of U.exportedNames)My(Ue)||tt.push(r.createPropertyAssignment(r.createStringLiteralFromNode(Ue),r.createTrue()));for(const Ue of U.exportedFunctions)Zr(Ue,2048)||(j.assert(!!Ue.name),tt.push(r.createPropertyAssignment(r.createStringLiteralFromNode(Ue.name),r.createTrue())));const Gt=r.createUniqueName("exportedNames");te.push(r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(Gt,void 0,void 0,r.createObjectLiteralExpression(tt,!0))])));const le=Ie(Gt);return te.push(le),le.name}function Ie(te){const tt=r.createUniqueName("exportStar"),Gt=r.createIdentifier("m"),le=r.createIdentifier("n"),Ue=r.createIdentifier("exports");let be=r.createStrictInequality(le,r.createStringLiteral("default"));return te&&(be=r.createLogicalAnd(be,r.createLogicalNot(r.createCallExpression(r.createPropertyAccessExpression(te,"hasOwnProperty"),void 0,[le])))),r.createFunctionDeclaration(void 0,void 0,tt,void 0,[r.createParameterDeclaration(void 0,void 0,Gt)],void 0,r.createBlock([r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(Ue,void 0,void 0,r.createObjectLiteralExpression([]))])),r.createForInStatement(r.createVariableDeclarationList([r.createVariableDeclaration(le)]),Gt,r.createBlock([tr(r.createIfStatement(be,r.createExpressionStatement(r.createAssignment(r.createElementAccessExpression(Ue,le),r.createElementAccessExpression(Gt,le)))),1)])),r.createExpressionStatement(r.createCallExpression(q,void 0,[Ue]))],!0))}function we(te,tt){const Gt=[];for(const le of tt){const Ue=O(le.externalImports,lt=>I3(r,lt,H)),be=Ue?r.getGeneratedNameForNode(Ue):r.createUniqueName(""),Qt=[];for(const lt of le.externalImports){const st=I3(r,lt,H);switch(lt.kind){case 272:if(!lt.importClause)break;case 271:j.assert(st!==void 0),Qt.push(r.createExpressionStatement(r.createAssignment(st,be))),Zr(lt,32)&&Qt.push(r.createExpressionStatement(r.createCallExpression(q,void 0,[r.createStringLiteral(Fr(st)),be])));break;case 278:if(j.assert(st!==void 0),lt.exportClause)if(Xm(lt.exportClause)){const hi=[];for(const vn of lt.exportClause.elements)hi.push(r.createPropertyAssignment(r.createStringLiteral(tx(vn.name)),r.createElementAccessExpression(be,r.createStringLiteral(tx(vn.propertyName||vn.name)))));Qt.push(r.createExpressionStatement(r.createCallExpression(q,void 0,[r.createObjectLiteralExpression(hi,!0)])))}else Qt.push(r.createExpressionStatement(r.createCallExpression(q,void 0,[r.createStringLiteral(tx(lt.exportClause.name)),be])));else Qt.push(r.createExpressionStatement(r.createCallExpression(te,void 0,[be])));break}}Gt.push(r.createFunctionExpression(void 0,void 0,void 0,void 0,[r.createParameterDeclaration(void 0,void 0,be)],void 0,r.createBlock(Qt,!0)))}return r.createArrayLiteralExpression(Gt,!0)}function he(te){switch(te.kind){case 272:return Ae(te);case 271:return Te(te);case 278:return ke(te);case 277:return De(te);default:return tn(te)}}function Ae(te){let tt;return te.importClause&&h(I3(r,te,H)),Sg($e(tt,te))}function ke(te){j.assertIsDefined(te)}function Te(te){j.assert(qk(te),"import= for internal module references should be handled in an earlier transformer.");let tt;return h(I3(r,te,H)),Sg(et(tt,te))}function De(te){if(te.isExportEquals)return;const tt=bt(te.expression,Xr,jt);return zt(r.createIdentifier("default"),tt,!0)}function qe(te){Zr(te,32)?Z=fn(Z,r.updateFunctionDeclaration(te,Dn(te.modifiers,pt,Ul),te.asteriskToken,r.getDeclarationName(te,!0,!0),void 0,Dn(te.parameters,Xr,$s),void 0,bt(te.body,Xr,Xo))):Z=fn(Z,dn(te,Xr,t)),Z=wt(Z,te)}function se(te){let tt;const Gt=r.getLocalName(te);return h(Gt),tt=fn(tt,Ht(r.createExpressionStatement(r.createAssignment(Gt,Ht(r.createClassExpression(Dn(te.modifiers,pt,Ul),te.name,void 0,Dn(te.heritageClauses,Xr,Tp),Dn(te.members,Xr,Od)),te))),te)),tt=wt(tt,te),Sg(tt)}function Ne(te){if(!He(te.declarationList))return bt(te,Xr,Ps);let tt;if(nV(te.declarationList)||iV(te.declarationList)){const Gt=Dn(te.modifiers,pt,Ul),le=[];for(const be of te.declarationList.declarations)le.push(r.updateVariableDeclaration(be,r.getGeneratedNameForNode(be.name),void 0,void 0,Pe(be,!1)));const Ue=r.updateVariableDeclarationList(te.declarationList,le);tt=fn(tt,r.updateVariableStatement(te,Gt,Ue))}else{let Gt;const le=Zr(te,32);for(const Ue of te.declarationList.declarations)Ue.initializer?Gt=fn(Gt,Pe(Ue,le)):Oe(Ue);Gt&&(tt=fn(tt,Ht(r.createExpressionStatement(r.inlineExpressions(Gt)),te)))}return tt=Me(tt,te,!1),Sg(tt)}function Oe(te){if(ta(te.name))for(const tt of te.name.elements)Bd(tt)||Oe(tt);else h(r.cloneNode(te.name))}function He(te){return(Ya(te)&4194304)===0&&(Q.kind===307||(Ql(te).flags&7)===0)}function Pe(te,tt){const Gt=tt?We:ze;return ta(te.name)?HI(te,Xr,t,0,!1,Gt):te.initializer?Gt(te.name,bt(te.initializer,Xr,jt)):te.name}function We(te,tt,Gt){return Nt(te,tt,Gt,!0)}function ze(te,tt,Gt){return Nt(te,tt,Gt,!1)}function Nt(te,tt,Gt,le){return h(r.cloneNode(te)),le?Ei(te,ji(Ht(r.createAssignment(te,tt),Gt))):ji(Ht(r.createAssignment(te,tt),Gt))}function $e(te,tt){if(U.exportEquals)return te;const Gt=tt.importClause;if(!Gt)return te;Gt.name&&(te=Et(te,Gt));const le=Gt.namedBindings;if(le)switch(le.kind){case 274:te=Et(te,le);break;case 275:for(const Ue of le.elements)te=Et(te,Ue);break}return te}function et(te,tt){return U.exportEquals?te:Et(te,tt)}function Me(te,tt,Gt){if(U.exportEquals)return te;for(const le of tt.declarationList.declarations)(le.initializer||Gt)&&(te=ut(te,le));return te}function ut(te,tt,Gt){if(U.exportEquals)return te;if(ta(tt.name))for(const le of tt.name.elements)Bd(le)||(te=ut(te,le));else zl(tt.name)||(te=Et(te,tt,void 0));return te}function wt(te,tt){if(U.exportEquals)return te;let Gt;if(Zr(tt,32)){const le=Zr(tt,2048)?r.createStringLiteral("default"):tt.name;te=dt(te,le,r.getLocalName(tt)),Gt=Lg(le)}return tt.name&&(te=Et(te,tt,Gt)),te}function Et(te,tt,Gt){if(U.exportEquals)return te;const le=r.getDeclarationName(tt),Ue=U.exportSpecifiers.get(le);if(Ue)for(const be of Ue)tx(be.name)!==Gt&&(te=dt(te,be.name,le));return te}function dt(te,tt,Gt,le){return te=fn(te,zt(tt,Gt,le)),te}function zt(te,tt,Gt){const le=r.createExpressionStatement(Ei(te,tt));return bh(le),Gt||tr(le,3072),le}function Ei(te,tt){const Gt=ot(te)?r.createStringLiteralFromNode(te):te;return tr(tt,Ya(tt)|3072),Sd(r.createCallExpression(q,void 0,[Gt,tt]),tt)}function tn(te){switch(te.kind){case 243:return Ne(te);case 262:return qe(te);case 263:return se(te);case 248:return xn(te,!0);case 249:return Pn(te);case 250:return _i(te);case 246:return pn(te);case 247:return qr(te);case 256:return Fs(te);case 254:return ko(te);case 245:return ls(te);case 255:return Qs(te);case 269:return Mn(te);case 296:return Zs(te);case 297:return at(te);case 258:return yi(te);case 299:return Sn(te);case 241:return dr(te);default:return Xr(te)}}function xn(te,tt){const Gt=Q;return Q=te,te=r.updateForStatement(te,bt(te.initializer,tt?On:Gs,Hm),bt(te.condition,Xr,jt),bt(te.incrementor,Gs,jt),u_(te.statement,tt?tn:Xr,t)),Q=Gt,te}function Pn(te){const tt=Q;return Q=te,te=r.updateForInStatement(te,On(te.initializer),bt(te.expression,Xr,jt),u_(te.statement,tn,t)),Q=tt,te}function _i(te){const tt=Q;return Q=te,te=r.updateForOfStatement(te,te.awaitModifier,On(te.initializer),bt(te.expression,Xr,jt),u_(te.statement,tn,t)),Q=tt,te}function Fi(te){return Sf(te)&&He(te)}function On(te){if(Fi(te)){let tt;for(const Gt of te.declarations)tt=fn(tt,Pe(Gt,!1)),Gt.initializer||Oe(Gt);return tt?r.inlineExpressions(tt):r.createOmittedExpression()}else return bt(te,Gs,Hm)}function pn(te){return r.updateDoStatement(te,u_(te.statement,tn,t),bt(te.expression,Xr,jt))}function qr(te){return r.updateWhileStatement(te,bt(te.expression,Xr,jt),u_(te.statement,tn,t))}function Fs(te){return r.updateLabeledStatement(te,te.label,bt(te.statement,tn,Ps,r.liftToBlock)??r.createExpressionStatement(r.createIdentifier("")))}function ko(te){return r.updateWithStatement(te,bt(te.expression,Xr,jt),j.checkDefined(bt(te.statement,tn,Ps,r.liftToBlock)))}function ls(te){return r.updateIfStatement(te,bt(te.expression,Xr,jt),bt(te.thenStatement,tn,Ps,r.liftToBlock)??r.createBlock([]),bt(te.elseStatement,tn,Ps,r.liftToBlock))}function Qs(te){return r.updateSwitchStatement(te,bt(te.expression,Xr,jt),j.checkDefined(bt(te.caseBlock,tn,w7)))}function Mn(te){const tt=Q;return Q=te,te=r.updateCaseBlock(te,Dn(te.clauses,tn,CG)),Q=tt,te}function Zs(te){return r.updateCaseClause(te,bt(te.expression,Xr,jt),Dn(te.statements,tn,Ps))}function at(te){return dn(te,tn,t)}function yi(te){return dn(te,tn,t)}function Sn(te){const tt=Q;return Q=te,te=r.updateCatchClause(te,te.variableDeclaration,j.checkDefined(bt(te.block,tn,Xo))),Q=tt,te}function dr(te){const tt=Q;return Q=te,te=dn(te,tn,t),Q=tt,te}function us(te,tt){if(!(te.transformFlags&276828160))return te;switch(te.kind){case 248:return xn(te,!1);case 244:return ma(te);case 217:return ka(te,tt);case 354:return Ji(te,tt);case 226:if(pS(te))return St(te,tt);break;case 213:if(zp(te))return ac(te);break;case 224:case 225:return xe(te,tt)}return dn(te,Xr,t)}function Xr(te){return us(te,!1)}function Gs(te){return us(te,!0)}function ma(te){return r.updateExpressionStatement(te,bt(te.expression,Gs,jt))}function ka(te,tt){return r.updateParenthesizedExpression(te,bt(te.expression,tt?Gs:Xr,jt))}function Ji(te,tt){return r.updatePartiallyEmittedExpression(te,bt(te.expression,tt?Gs:Xr,jt))}function ac(te){const tt=XP(r,te,H,C,g,p),Gt=bt(Ra(te.arguments),Xr,jt),le=tt&&(!Gt||!Ha(Gt)||Gt.text!==tt.text)?tt:Gt;return r.createCallExpression(r.createPropertyAccessExpression(X,r.createIdentifier("import")),void 0,le?[le]:[])}function St(te,tt){return ri(te.left)?HI(te,Xr,t,0,!tt):dn(te,Xr,t)}function ri(te){if(lf(te,!0))return ri(te.left);if(Km(te))return ri(te.expression);if(Aa(te))return Ft(te.properties,ri);if(jf(te))return Ft(te.elements,ri);if(lh(te))return ri(te.name);if(_d(te))return ri(te.initializer);if(ot(te)){const tt=g.getReferencedExportContainer(te);return tt!==void 0&&tt.kind===307}else return!1}function xe(te,tt){if((te.operator===46||te.operator===47)&&ot(te.operand)&&!zl(te.operand)&&!iC(te.operand)&&!Sce(te.operand)){const Gt=vt(te.operand);if(Gt){let le,Ue=bt(te.operand,Xr,jt);n2(te)?Ue=r.updatePrefixUnaryExpression(te,Ue):(Ue=r.updatePostfixUnaryExpression(te,Ue),tt||(le=r.createTempVariable(h),Ue=r.createAssignment(le,Ue),Ht(Ue,te)),Ue=r.createComma(Ue,r.cloneNode(te.operand)),Ht(Ue,te));for(const be of Gt)Ue=Ei(be,ji(Ue));return le&&(Ue=r.createComma(Ue,le),Ht(Ue,te)),Ue}}return dn(te,Xr,t)}function pt(te){switch(te.kind){case 95:case 90:return}return te}function Se(te,tt,Gt){if(tt.kind===307){const le=d_(tt);H=tt,U=E[le],q=N[le],re=R[le],X=F[le],re&&delete R[le],T(te,tt,Gt),H=void 0,U=void 0,q=void 0,X=void 0,re=void 0}else T(te,tt,Gt)}function Ze(te,tt){return tt=b(te,tt),gr(tt)?tt:te===1?Je(tt):te===4?gt(tt):tt}function gt(te){switch(te.kind){case 304:return Dt(te)}return te}function Dt(te){var tt,Gt;const le=te.name;if(!zl(le)&&!iC(le)){const Ue=g.getReferencedImportDeclaration(le);if(Ue){if(H0(Ue))return Ht(r.createPropertyAssignment(r.cloneNode(le),r.createPropertyAccessExpression(r.getGeneratedNameForNode(Ue.parent),r.createIdentifier("default"))),te);if(l_(Ue)){const be=Ue.propertyName||Ue.name,Qt=r.getGeneratedNameForNode(((Gt=(tt=Ue.parent)==null?void 0:tt.parent)==null?void 0:Gt.parent)||Ue);return Ht(r.createPropertyAssignment(r.cloneNode(le),be.kind===11?r.createElementAccessExpression(Qt,r.cloneNode(be)):r.createPropertyAccessExpression(Qt,r.cloneNode(be))),te)}}}return te}function Je(te){switch(te.kind){case 80:return Rt(te);case 226:return Ct(te);case 236:return ii(te)}return te}function Rt(te){var tt,Gt;if(Ya(te)&8192){const le=MX(H);return le?r.createPropertyAccessExpression(le,te):te}if(!zl(te)&&!iC(te)){const le=g.getReferencedImportDeclaration(te);if(le){if(H0(le))return Ht(r.createPropertyAccessExpression(r.getGeneratedNameForNode(le.parent),r.createIdentifier("default")),te);if(l_(le)){const Ue=le.propertyName||le.name,be=r.getGeneratedNameForNode(((Gt=(tt=le.parent)==null?void 0:tt.parent)==null?void 0:Gt.parent)||le);return Ht(Ue.kind===11?r.createElementAccessExpression(be,r.cloneNode(Ue)):r.createPropertyAccessExpression(be,r.cloneNode(Ue)),te)}}}return te}function Ct(te){if(Gb(te.operatorToken.kind)&&ot(te.left)&&(!zl(te.left)||BW(te.left))&&!iC(te.left)){const tt=vt(te.left);if(tt){let Gt=te;for(const le of tt)Gt=Ei(le,ji(Gt));return Gt}}return te}function ii(te){return J6(te)?r.createPropertyAccessExpression(X,r.createIdentifier("meta")):te}function vt(te){let tt;const Gt=Ni(te);if(Gt){const le=g.getReferencedExportContainer(te,!1);le&&le.kind===307&&(tt=fn(tt,r.getDeclarationName(Gt))),tt=Sr(tt,U==null?void 0:U.exportedBindings[d_(Gt)])}else if(zl(te)&&BW(te)){const le=U==null?void 0:U.exportSpecifiers.get(te);if(le){const Ue=[];for(const be of le)Ue.push(be.name);return Ue}}return tt}function Ni(te){if(!zl(te)){const tt=g.getReferencedImportDeclaration(te);if(tt)return tt;const Gt=g.getReferencedValueDeclaration(te);if(Gt&&(U!=null&&U.exportedBindings[d_(Gt)]))return Gt;const le=g.getReferencedValueDeclarations(te);if(le){for(const Ue of le)if(Ue!==Gt&&(U!=null&&U.exportedBindings[d_(Ue)]))return Ue}return Gt}}function ji(te){return re===void 0&&(re=[]),re[Sc(te)]=!0,te}function gr(te){return re&&te.id&&re[te.id]}}function Kue(t){const{factory:r,getEmitHelperFactory:a}=t,u=t.getEmitHost(),h=t.getEmitResolver(),p=t.getCompilerOptions(),g=Ja(p),C=t.onEmitNode,b=t.onSubstituteNode;t.onEmitNode=re,t.onSubstituteNode=oe,t.enableEmitNotification(307),t.enableSubstitution(80);let T,E,N;return q0(t,R);function R(de){if(de.isDeclarationFile)return de;if(wd(de)||Ag(p)){E=de,N=void 0;let ue=F(de);return E=void 0,N&&(ue=r.updateSourceFile(ue,Ht(r.createNodeArray(mae(ue.statements.slice(),N)),ue.statements))),!wd(de)||zh(p)===200||Ft(ue.statements,UW)?ue:r.updateSourceFile(ue,Ht(r.createNodeArray([...ue.statements,gH(r)]),ue.statements))}return de}function F(de){const ue=Ole(r,a(),de,p);if(ue){const Ie=[],we=r.copyPrologue(de.statements,Ie);return fn(Ie,ue),Sr(Ie,Dn(de.statements,H,Ps,we)),r.updateSourceFile(de,Ht(r.createNodeArray(Ie),de.statements))}else return dn(de,H,t)}function H(de){switch(de.kind){case 271:return zh(p)>=100?q(de):void 0;case 277:return Z(de);case 278:return Q(de)}return de}function U(de){const ue=XP(r,de,j.checkDefined(E),u,h,p),Ie=[];if(ue&&Ie.push(ue),zh(p)===200)return r.createCallExpression(r.createIdentifier("require"),void 0,Ie);if(!N){const he=r.createUniqueName("_createRequire",48),Ae=r.createImportDeclaration(void 0,r.createImportClause(!1,void 0,r.createNamedImports([r.createImportSpecifier(!1,r.createIdentifier("createRequire"),he)])),r.createStringLiteral("module"),void 0),ke=r.createUniqueName("__require",48),Te=r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(ke,void 0,void 0,r.createCallExpression(r.cloneNode(he),void 0,[r.createPropertyAccessExpression(r.createMetaProperty(102,r.createIdentifier("meta")),r.createIdentifier("url"))]))],g>=2?2:0));N=[Ae,Te]}const we=N[1].declarationList.declarations[0].name;return j.assertNode(we,ot),r.createCallExpression(r.cloneNode(we),void 0,Ie)}function q(de){j.assert(qk(de),"import= for internal module references should be handled in an earlier transformer.");let ue;return ue=fn(ue,Ir(Ht(r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(r.cloneNode(de.name),void 0,void 0,U(de))],g>=2?2:0)),de),de)),ue=X(ue,de),Sg(ue)}function X(de,ue){return Zr(ue,32)&&(de=fn(de,r.createExportDeclaration(void 0,ue.isTypeOnly,r.createNamedExports([r.createExportSpecifier(!1,void 0,Fr(ue.name))])))),de}function Z(de){return de.isExportEquals?zh(p)===200?Ir(r.createExpressionStatement(r.createAssignment(r.createPropertyAccessExpression(r.createIdentifier("module"),"exports"),de.expression)),de):void 0:de}function Q(de){if(p.module!==void 0&&p.module>5||!de.exportClause||!jy(de.exportClause)||!de.moduleSpecifier)return de;const ue=de.exportClause.name,Ie=r.getGeneratedNameForNode(ue),we=r.createImportDeclaration(void 0,r.createImportClause(!1,void 0,r.createNamespaceImport(Ie)),de.moduleSpecifier,de.attributes);Ir(we,de.exportClause);const he=OG(de)?r.createExportDefault(Ie):r.createExportDeclaration(void 0,!1,r.createNamedExports([r.createExportSpecifier(!1,Ie,ue)]));return Ir(he,de),[we,he]}function re(de,ue,Ie){Os(ue)?((wd(ue)||Ag(p))&&p.importHelpers&&(T=new Map),C(de,ue,Ie),T=void 0):C(de,ue,Ie)}function oe(de,ue){return ue=b(de,ue),T&&ot(ue)&&Ya(ue)&8192?pe(ue):ue}function pe(de){const ue=Fr(de);let Ie=T.get(ue);return Ie||T.set(ue,Ie=r.createUniqueName(ue,48)),Ie}}function uLe(t){const r=t.onSubstituteNode,a=t.onEmitNode,u=Kue(t),h=t.onSubstituteNode,p=t.onEmitNode;t.onSubstituteNode=r,t.onEmitNode=a;const g=Gue(t),C=t.onSubstituteNode,b=t.onEmitNode,T=X=>t.getEmitHost().getEmitModuleFormatOfFile(X);t.onSubstituteNode=N,t.onEmitNode=R,t.enableSubstitution(307),t.enableEmitNotification(307);let E;return U;function N(X,Z){return Os(Z)?(E=Z,r(X,Z)):E?T(E)>=5?h(X,Z):C(X,Z):r(X,Z)}function R(X,Z,Q){return Os(Z)&&(E=Z),E?T(E)>=5?p(X,Z,Q):b(X,Z,Q):a(X,Z,Q)}function F(X){return T(X)>=5?u:g}function H(X){if(X.isDeclarationFile)return X;E=X;const Z=F(X)(X);return E=void 0,j.assert(Os(Z)),Z}function U(X){return X.kind===307?H(X):q(X)}function q(X){return t.factory.createBundle(qt(X.sourceFiles,H))}}function TQ(t){return _s(t)||Lo(t)||O_(t)||ec(t)||D1(t)||oS(t)||fH(t)||VP(t)||Nu(t)||W1(t)||Wu(t)||$s(t)||$l(t)||Yb(t)||Wd(t)||Mg(t)||iu(t)||eD(t)||Nr(t)||wl(t)||ur(t)||Ng(t)}function dLe(t){if(D1(t)||oS(t))return r;return W1(t)||Nu(t)?u:xS(t);function r(p){const g=a(p);return g!==void 0?{diagnosticMessage:g,errorNode:t,typeName:t.name}:void 0}function a(p){return pa(t)?p.errorModuleName?p.accessibility===2?k.Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:k.Public_static_property_0_of_exported_class_has_or_is_using_private_name_1:t.parent.kind===263?p.errorModuleName?p.accessibility===2?k.Public_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Public_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:k.Public_property_0_of_exported_class_has_or_is_using_private_name_1:p.errorModuleName?k.Property_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:k.Property_0_of_exported_interface_has_or_is_using_private_name_1}function u(p){const g=h(p);return g!==void 0?{diagnosticMessage:g,errorNode:t,typeName:t.name}:void 0}function h(p){return pa(t)?p.errorModuleName?p.accessibility===2?k.Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:k.Public_static_method_0_of_exported_class_has_or_is_using_private_name_1:t.parent.kind===263?p.errorModuleName?p.accessibility===2?k.Public_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Public_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:k.Public_method_0_of_exported_class_has_or_is_using_private_name_1:p.errorModuleName?k.Method_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:k.Method_0_of_exported_interface_has_or_is_using_private_name_1}}function xS(t){if(_s(t)||Lo(t)||O_(t)||Nr(t)||wl(t)||ur(t)||ec(t)||iu(t))return a;return D1(t)||oS(t)?u:fH(t)||VP(t)||Nu(t)||W1(t)||Wu(t)||eD(t)?h:$s(t)?vp(t,t.parent)&&Zr(t.parent,2)?a:p:$l(t)?C:Yb(t)?b:Wd(t)?T:Mg(t)||Ng(t)?E:j.assertNever(t,`Attempted to set a declaration diagnostic context for unhandled node kind: ${j.formatSyntaxKind(t.kind)}`);function r(N){if(t.kind===260||t.kind===208)return N.errorModuleName?N.accessibility===2?k.Exported_variable_0_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Exported_variable_0_has_or_is_using_name_1_from_private_module_2:k.Exported_variable_0_has_or_is_using_private_name_1;if(t.kind===172||t.kind===211||t.kind===212||t.kind===226||t.kind===171||t.kind===169&&Zr(t.parent,2))return pa(t)?N.errorModuleName?N.accessibility===2?k.Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:k.Public_static_property_0_of_exported_class_has_or_is_using_private_name_1:t.parent.kind===263||t.kind===169?N.errorModuleName?N.accessibility===2?k.Public_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Public_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:k.Public_property_0_of_exported_class_has_or_is_using_private_name_1:N.errorModuleName?k.Property_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:k.Property_0_of_exported_interface_has_or_is_using_private_name_1}function a(N){const R=r(N);return R!==void 0?{diagnosticMessage:R,errorNode:t,typeName:t.name}:void 0}function u(N){let R;return t.kind===178?pa(t)?R=N.errorModuleName?k.Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:k.Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_private_name_1:R=N.errorModuleName?k.Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:k.Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_private_name_1:pa(t)?R=N.errorModuleName?N.accessibility===2?k.Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:k.Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_private_name_1:R=N.errorModuleName?N.accessibility===2?k.Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:k.Return_type_of_public_getter_0_from_exported_class_has_or_is_using_private_name_1,{diagnosticMessage:R,errorNode:t.name,typeName:t.name}}function h(N){let R;switch(t.kind){case 180:R=N.errorModuleName?k.Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:k.Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_0;break;case 179:R=N.errorModuleName?k.Return_type_of_call_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:k.Return_type_of_call_signature_from_exported_interface_has_or_is_using_private_name_0;break;case 181:R=N.errorModuleName?k.Return_type_of_index_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:k.Return_type_of_index_signature_from_exported_interface_has_or_is_using_private_name_0;break;case 174:case 173:pa(t)?R=N.errorModuleName?N.accessibility===2?k.Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:k.Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_private_module_1:k.Return_type_of_public_static_method_from_exported_class_has_or_is_using_private_name_0:t.parent.kind===263?R=N.errorModuleName?N.accessibility===2?k.Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:k.Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_private_module_1:k.Return_type_of_public_method_from_exported_class_has_or_is_using_private_name_0:R=N.errorModuleName?k.Return_type_of_method_from_exported_interface_has_or_is_using_name_0_from_private_module_1:k.Return_type_of_method_from_exported_interface_has_or_is_using_private_name_0;break;case 262:R=N.errorModuleName?N.accessibility===2?k.Return_type_of_exported_function_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:k.Return_type_of_exported_function_has_or_is_using_name_0_from_private_module_1:k.Return_type_of_exported_function_has_or_is_using_private_name_0;break;default:return j.fail("This is unknown kind for signature: "+t.kind)}return{diagnosticMessage:R,errorNode:t.name||t}}function p(N){const R=g(N);return R!==void 0?{diagnosticMessage:R,errorNode:t,typeName:t.name}:void 0}function g(N){switch(t.parent.kind){case 176:return N.errorModuleName?N.accessibility===2?k.Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_constructor_from_exported_class_has_or_is_using_private_name_1;case 180:case 185:return N.errorModuleName?k.Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1;case 179:return N.errorModuleName?k.Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1;case 181:return N.errorModuleName?k.Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_private_name_1;case 174:case 173:return pa(t.parent)?N.errorModuleName?N.accessibility===2?k.Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1:t.parent.parent.kind===263?N.errorModuleName?N.accessibility===2?k.Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1:N.errorModuleName?k.Parameter_0_of_method_from_exported_interface_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1;case 262:case 184:return N.errorModuleName?N.accessibility===2?k.Parameter_0_of_exported_function_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Parameter_0_of_exported_function_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_exported_function_has_or_is_using_private_name_1;case 178:case 177:return N.errorModuleName?N.accessibility===2?k.Parameter_0_of_accessor_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:k.Parameter_0_of_accessor_has_or_is_using_name_1_from_private_module_2:k.Parameter_0_of_accessor_has_or_is_using_private_name_1;default:return j.fail(`Unknown parent for parameter: ${j.formatSyntaxKind(t.parent.kind)}`)}}function C(){let N;switch(t.parent.kind){case 263:N=k.Type_parameter_0_of_exported_class_has_or_is_using_private_name_1;break;case 264:N=k.Type_parameter_0_of_exported_interface_has_or_is_using_private_name_1;break;case 200:N=k.Type_parameter_0_of_exported_mapped_object_type_is_using_private_name_1;break;case 185:case 180:N=k.Type_parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1;break;case 179:N=k.Type_parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1;break;case 174:case 173:pa(t.parent)?N=k.Type_parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1:t.parent.parent.kind===263?N=k.Type_parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1:N=k.Type_parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1;break;case 184:case 262:N=k.Type_parameter_0_of_exported_function_has_or_is_using_private_name_1;break;case 195:N=k.Extends_clause_for_inferred_type_0_has_or_is_using_private_name_1;break;case 265:N=k.Type_parameter_0_of_exported_type_alias_has_or_is_using_private_name_1;break;default:return j.fail("This is unknown parent for type parameter: "+t.parent.kind)}return{diagnosticMessage:N,errorNode:t,typeName:t.name}}function b(){let N;return hd(t.parent.parent)?N=Tp(t.parent)&&t.parent.token===119?k.Implements_clause_of_exported_class_0_has_or_is_using_private_name_1:t.parent.parent.name?k.extends_clause_of_exported_class_0_has_or_is_using_private_name_1:k.extends_clause_of_exported_class_has_or_is_using_private_name_0:N=k.extends_clause_of_exported_interface_0_has_or_is_using_private_name_1,{diagnosticMessage:N,errorNode:t,typeName:No(t.parent.parent)}}function T(){return{diagnosticMessage:k.Import_declaration_0_is_using_private_name_1,errorNode:t,typeName:t.name}}function E(N){return{diagnosticMessage:N.errorModuleName?k.Exported_type_alias_0_has_or_is_using_private_name_1_from_module_2:k.Exported_type_alias_0_has_or_is_using_private_name_1,errorNode:Ng(t)?j.checkDefined(t.typeExpression):t.type,typeName:Ng(t)?No(t):t.name}}}function fLe(t){const r={219:k.Add_a_return_type_to_the_function_expression,218:k.Add_a_return_type_to_the_function_expression,174:k.Add_a_return_type_to_the_method,177:k.Add_a_return_type_to_the_get_accessor_declaration,178:k.Add_a_type_to_parameter_of_the_set_accessor_declaration,262:k.Add_a_return_type_to_the_function_declaration,180:k.Add_a_return_type_to_the_function_declaration,169:k.Add_a_type_annotation_to_the_parameter_0,260:k.Add_a_type_annotation_to_the_variable_0,172:k.Add_a_type_annotation_to_the_property_0,171:k.Add_a_type_annotation_to_the_property_0,277:k.Move_the_expression_in_default_export_to_a_variable_and_add_a_type_annotation_to_it},a={218:k.Function_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations,262:k.Function_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations,219:k.Function_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations,174:k.Method_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations,180:k.Method_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations,177:k.At_least_one_accessor_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations,178:k.At_least_one_accessor_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations,169:k.Parameter_must_have_an_explicit_type_annotation_with_isolatedDeclarations,260:k.Variable_must_have_an_explicit_type_annotation_with_isolatedDeclarations,172:k.Property_must_have_an_explicit_type_annotation_with_isolatedDeclarations,171:k.Property_must_have_an_explicit_type_annotation_with_isolatedDeclarations,167:k.Computed_property_names_on_class_or_object_literals_cannot_be_inferred_with_isolatedDeclarations,305:k.Objects_that_contain_spread_assignments_can_t_be_inferred_with_isolatedDeclarations,304:k.Objects_that_contain_shorthand_properties_can_t_be_inferred_with_isolatedDeclarations,209:k.Only_const_arrays_can_be_inferred_with_isolatedDeclarations,277:k.Default_exports_can_t_be_inferred_with_isolatedDeclarations,230:k.Arrays_with_spread_elements_can_t_inferred_with_isolatedDeclarations};return u;function u(q){if(Qi(q,Tp))return Kn(q,k.Extends_clause_can_t_contain_an_expression_with_isolatedDeclarations);if((N1(q)||tD(q.parent))&&(E_(q)||pl(q)))return H(q);switch(j.type(q),q.kind){case 177:case 178:return p(q);case 167:case 304:case 305:return C(q);case 209:case 230:return b(q);case 174:case 180:case 218:case 219:case 262:return T(q);case 208:return E(q);case 172:case 260:return N(q);case 169:return R(q);case 303:return U(q.initializer);case 231:return F(q);default:return U(q)}}function h(q){const X=Qi(q,Z=>Il(Z)||Ps(Z)||_s(Z)||Lo(Z)||$s(Z));if(X)return Il(X)?X:Og(X)?Qi(X,Z=>Qc(Z)&&!iu(Z)):Ps(X)?void 0:X}function p(q){const{getAccessor:X,setAccessor:Z}=nx(q.symbol.declarations,q),Q=(D1(q)?q.parameters[0]:q)??q,re=Kn(Q,a[q.kind]);return Z&&da(re,Kn(Z,r[Z.kind])),X&&da(re,Kn(X,r[X.kind])),re}function g(q,X){const Z=h(q);if(Z){const Q=Il(Z)||!Z.name?"":uu(Z.name,!1);da(X,Kn(Z,r[Z.kind],Q))}return X}function C(q){const X=Kn(q,a[q.kind]);return g(q,X),X}function b(q){const X=Kn(q,a[q.kind]);return g(q,X),X}function T(q){const X=Kn(q,a[q.kind]);return g(q,X),da(X,Kn(q,r[q.kind])),X}function E(q){return Kn(q,k.Binding_elements_can_t_be_exported_directly_with_isolatedDeclarations)}function N(q){const X=Kn(q,a[q.kind]),Z=uu(q.name,!1);return da(X,Kn(q,r[q.kind],Z)),X}function R(q){if(D1(q.parent))return p(q.parent);const X=t.requiresAddingImplicitUndefined(q,void 0);if(!X&&q.initializer)return U(q.initializer);const Z=X?k.Declaration_emit_for_this_parameter_requires_implicitly_adding_undefined_to_it_s_type_This_is_not_supported_with_isolatedDeclarations:a[q.kind],Q=Kn(q,Z),re=uu(q.name,!1);return da(Q,Kn(q,r[q.kind],re)),Q}function F(q){return U(q,k.Inference_from_class_expressions_is_not_supported_with_isolatedDeclarations)}function H(q){const X=Kn(q,k.Type_containing_private_name_0_can_t_be_used_with_isolatedDeclarations,uu(q,!1));return g(q,X),X}function U(q,X){const Z=h(q);let Q;if(Z){const re=Il(Z)||!Z.name?"":uu(Z.name,!1),oe=Qi(q.parent,pe=>Il(pe)||(Ps(pe)?"quit":!c_(pe)&&!hle(pe)&&!v7(pe)));Z===oe?(Q=Kn(q,X??a[Z.kind]),da(Q,Kn(Z,r[Z.kind],re))):(Q=Kn(q,X??k.Expression_type_can_t_be_inferred_with_isolatedDeclarations),da(Q,Kn(Z,r[Z.kind],re)),da(Q,Kn(q,k.Add_satisfies_and_a_type_assertion_to_this_expression_satisfies_T_as_T_to_make_the_type_explicit)))}else Q=Kn(q,X??k.Expression_type_can_t_be_inferred_with_isolatedDeclarations);return Q}}function hLe(t,r,a){const u=t.getCompilerOptions(),h=$t(mK(t,a),YG);return Yt(h,a)?$H(r,t,G,u,[a],[Xue],!1).diagnostics:void 0}var zH=531469,UH=8;function Xue(t){const r=()=>j.fail("Diagnostic emitted without context");let a=r,u=!0,h=!1,p=!1,g=!1,C=!1,b,T,E,N;const{factory:R}=t,F=t.getEmitHost(),H={trackSymbol:ke,reportInaccessibleThisError:Ne,reportInaccessibleUniqueSymbolError:qe,reportCyclicStructureError:se,reportPrivateInBaseOfClassExpression:Te,reportLikelyUnsafeImportRequiredError:Oe,reportTruncationError:He,moduleResolverHost:F,reportNonlocalAugmentation:Pe,reportNonSerializableProperty:We,reportInferenceFallback:he};let U,q,X,Z,Q,re;const oe=t.getEmitResolver(),pe=t.getCompilerOptions(),de=fLe(oe),{stripInternal:ue,isolatedDeclarations:Ie}=pe;return Nt;function we(xe){oe.getPropertiesOfContainerFunction(xe).forEach(pt=>{if(PP(pt.valueDeclaration)){const Se=ur(pt.valueDeclaration)?pt.valueDeclaration.left:pt.valueDeclaration;t.addDiagnostic(Kn(Se,k.Assigning_properties_to_functions_without_declaring_them_is_not_supported_with_isolatedDeclarations_Add_an_explicit_declaration_for_the_properties_assigned_to_this_function))}})}function he(xe){!Ie||r_(X)||_n(xe)===X&&(_s(xe)&&oe.isExpandoFunctionDeclaration(xe)?we(xe):t.addDiagnostic(de(xe)))}function Ae(xe){if(xe.accessibility===0){if(xe.aliasesToMakeVisible)if(!T)T=xe.aliasesToMakeVisible;else for(const pt of xe.aliasesToMakeVisible)mu(T,pt)}else if(xe.accessibility!==3){const pt=a(xe);if(pt)return pt.typeName?t.addDiagnostic(Kn(xe.errorNode||pt.errorNode,pt.diagnosticMessage,uu(pt.typeName),xe.errorSymbolName,xe.errorModuleName)):t.addDiagnostic(Kn(xe.errorNode||pt.errorNode,pt.diagnosticMessage,xe.errorSymbolName,xe.errorModuleName)),!0}return!1}function ke(xe,pt,Se){return xe.flags&262144?!1:Ae(oe.isSymbolAccessible(xe,pt,Se,!0))}function Te(xe){(U||q)&&t.addDiagnostic(da(Kn(U||q,k.Property_0_of_exported_anonymous_class_type_may_not_be_private_or_protected,xe),..._s((U||q).parent)?[Kn(U||q,k.Add_a_type_annotation_to_the_variable_0,De())]:[]))}function De(){return U?al(U):q&&No(q)?al(No(q)):q&&Il(q)?q.isExportEquals?"export=":"default":"(Missing)"}function qe(){(U||q)&&t.addDiagnostic(Kn(U||q,k.The_inferred_type_of_0_references_an_inaccessible_1_type_A_type_annotation_is_necessary,De(),"unique symbol"))}function se(){(U||q)&&t.addDiagnostic(Kn(U||q,k.The_inferred_type_of_0_references_a_type_with_a_cyclic_structure_which_cannot_be_trivially_serialized_A_type_annotation_is_necessary,De()))}function Ne(){(U||q)&&t.addDiagnostic(Kn(U||q,k.The_inferred_type_of_0_references_an_inaccessible_1_type_A_type_annotation_is_necessary,De(),"this"))}function Oe(xe){(U||q)&&t.addDiagnostic(Kn(U||q,k.The_inferred_type_of_0_cannot_be_named_without_a_reference_to_1_This_is_likely_not_portable_A_type_annotation_is_necessary,De(),xe))}function He(){(U||q)&&t.addDiagnostic(Kn(U||q,k.The_inferred_type_of_this_node_exceeds_the_maximum_length_the_compiler_will_serialize_An_explicit_type_annotation_is_needed))}function Pe(xe,pt,Se){var Ze;const gt=(Ze=pt.declarations)==null?void 0:Ze.find(Je=>_n(Je)===xe),Dt=$t(Se.declarations,Je=>_n(Je)!==xe);if(gt&&Dt)for(const Je of Dt)t.addDiagnostic(da(Kn(Je,k.Declaration_augments_declaration_in_another_file_This_cannot_be_serialized),Kn(gt,k.This_is_the_declaration_being_augmented_Consider_moving_the_augmenting_declaration_into_the_same_file)))}function We(xe){(U||q)&&t.addDiagnostic(Kn(U||q,k.The_type_of_this_node_cannot_be_serialized_because_its_property_0_cannot_be_serialized,xe))}function ze(xe){const pt=a;a=Ze=>Ze.errorNode&&TQ(Ze.errorNode)?xS(Ze.errorNode)(Ze):{diagnosticMessage:Ze.errorModuleName?k.Declaration_emit_for_this_file_requires_using_private_name_0_from_module_1_An_explicit_type_annotation_may_unblock_declaration_emit:k.Declaration_emit_for_this_file_requires_using_private_name_0_An_explicit_type_annotation_may_unblock_declaration_emit,errorNode:Ze.errorNode||xe};const Se=oe.getDeclarationStatementsForSourceFile(xe,zH,UH,H);return a=pt,Se}function Nt(xe){if(xe.kind===307&&xe.isDeclarationFile)return xe;if(xe.kind===308){h=!0,Z=[],Q=[],re=[];let Ct=!1;const ii=R.createBundle(qt(xe.sourceFiles,Ni=>{if(Ni.isDeclarationFile)return;if(Ct=Ct||Ni.hasNoDefaultLib,X=Ni,b=Ni,T=void 0,N=!1,E=new Map,a=r,g=!1,C=!1,Ze(Ni),Cp(Ni)||Eg(Ni)){p=!1,u=!1;const gr=r_(Ni)?R.createNodeArray(ze(Ni)):Dn(Ni.statements,Mn,Ps);return R.updateSourceFile(Ni,[R.createModuleDeclaration([R.createModifier(138)],R.createStringLiteral(ace(t.getEmitHost(),Ni)),R.createModuleBlock(Ht(R.createNodeArray(ko(gr)),Ni.statements)))],!0,[],[],!1,[])}u=!0;const ji=r_(Ni)?R.createNodeArray(ze(Ni)):Dn(Ni.statements,Mn,Ps);return R.updateSourceFile(Ni,ko(ji),!0,[],[],!1,[])})),vt=ts(Rf(K7(xe,F,!0).declarationFilePath));return ii.syntheticFileReferences=Rt(vt),ii.syntheticTypeReferences=Dt(),ii.syntheticLibReferences=Je(),ii.hasNoDefaultLib=Ct,ii}u=!0,g=!1,C=!1,b=xe,X=xe,a=r,h=!1,p=!1,N=!1,T=void 0,E=new Map,Z=[],Q=[],re=[],Ze(X);let pt;if(r_(X))pt=R.createNodeArray(ze(xe));else{const Ct=Dn(xe.statements,Mn,Ps);pt=Ht(R.createNodeArray(ko(Ct)),xe.statements),wd(xe)&&(!p||g&&!C)&&(pt=Ht(R.createNodeArray([...pt,gH(R)]),pt))}const Se=ts(Rf(K7(xe,F,!0).declarationFilePath));return R.updateSourceFile(xe,pt,!0,Rt(Se),Dt(),xe.hasNoDefaultLib,Je());function Ze(Ct){Z=Js(Z,qt(Ct.referencedFiles,ii=>[Ct,ii])),Q=Js(Q,Ct.typeReferenceDirectives),re=Js(re,Ct.libReferenceDirectives)}function gt(Ct){const ii={...Ct};return ii.pos=-1,ii.end=-1,ii}function Dt(){return es(Q,Ct=>{if(Ct.preserve)return gt(Ct)})}function Je(){return es(re,Ct=>{if(Ct.preserve)return gt(Ct)})}function Rt(Ct){return es(Z,([ii,vt])=>{if(!vt.preserve)return;const Ni=F.getSourceFileFromReference(ii,vt);if(!Ni)return;let ji;if(Ni.isDeclarationFile)ji=Ni.fileName;else{if(h&&Yt(xe.sourceFiles,Ni))return;const tt=K7(Ni,F,!0);ji=tt.declarationFilePath||tt.jsFilePath||Ni.fileName}if(!ji)return;const gr=QL(Ct,ji,F.getCurrentDirectory(),F.getCanonicalFileName,!1),te=gt(vt);return te.fileName=gr,te})}}function $e(xe){if(xe.kind===80)return xe;return xe.kind===207?R.updateArrayBindingPattern(xe,Dn(xe.elements,pt,gG)):R.updateObjectBindingPattern(xe,Dn(xe.elements,pt,ec));function pt(Se){return Se.kind===232?Se:(Se.propertyName&&Ka(Se.propertyName)&&pl(Se.propertyName.expression)&&_i(Se.propertyName.expression,b),R.updateBindingElement(Se,Se.dotDotDotToken,Se.propertyName,$e(Se.name),void 0))}}function et(xe,pt,Se){let Ze;N||(Ze=a,a=xS(xe));const gt=R.updateParameterDeclaration(xe,$Rt(R,xe,pt),xe.dotDotDotToken,$e(xe.name),oe.isOptionalParameter(xe)?xe.questionToken||R.createToken(58):void 0,wt(xe,Se||xe.type,!0),ut(xe));return N||(a=Ze),gt}function Me(xe){return Cet(xe)&&!!xe.initializer&&oe.isLiteralConstDeclaration(Mo(xe))}function ut(xe){if(Me(xe)){const pt=oEe(xe.initializer);return oX(pt)||he(xe),oe.createLiteralConstValue(Mo(xe,Cet),H)}}function wt(xe,pt,Se){if(!Se&&xp(xe,2)||Me(xe))return;const Ze=xe.kind===169&&oe.requiresAddingImplicitUndefined(xe,b);if(pt&&!Ze)return bt(pt,ls,ws);U=xe.name;let gt;N||(gt=a,a=xS(xe));let Dt;switch(xe.kind){case 169:case 171:case 172:case 208:case 260:Dt=oe.createTypeOfDeclaration(xe,b,zH,UH,H);break;case 262:case 180:case 173:case 174:case 177:case 179:Dt=oe.createReturnTypeOfSignatureDeclaration(xe,b,zH,UH,H);break;default:j.assertNever(xe)}return U=void 0,N||(a=gt),Dt??R.createKeywordTypeNode(133)}function Et(xe){switch(xe=Mo(xe),xe.kind){case 262:case 267:case 264:case 263:case 265:case 266:return!oe.isDeclarationVisible(xe);case 260:return!zt(xe);case 271:case 272:case 278:case 277:return!1;case 175:return!0}return!1}function dt(xe){var pt;if(xe.body)return!0;const Se=(pt=xe.symbol.declarations)==null?void 0:pt.filter(Ze=>Wu(Ze)&&!Ze.body);return!Se||Se.indexOf(xe)===Se.length-1}function zt(xe){return Bd(xe)?!1:ta(xe.name)?Ft(xe.name.elements,zt):oe.isDeclarationVisible(xe)}function Ei(xe,pt,Se){if(xp(xe,2))return R.createNodeArray();const Ze=qt(pt,gt=>et(gt,Se));return Ze?R.createNodeArray(Ze,pt.hasTrailingComma):R.createNodeArray()}function tn(xe,pt){let Se;if(!pt){const Ze=$T(xe);Ze&&(Se=[et(Ze)])}if(kp(xe)){let Ze;if(!pt){const gt=a3(xe);if(gt){const Dt=St(xe,nx(Aa(xe.parent)?xe.parent.properties:xe.parent.members,xe));Ze=et(gt,void 0,Dt)}}Ze||(Ze=R.createParameterDeclaration(void 0,void 0,"value")),Se=fn(Se,Ze)}return R.createNodeArray(Se||w)}function xn(xe,pt){return xp(xe,2)?void 0:Dn(pt,ls,$l)}function Pn(xe){return Os(xe)||Mg(xe)||rd(xe)||hd(xe)||zf(xe)||Ho(xe)||eD(xe)||II(xe)}function _i(xe,pt){const Se=oe.isEntityNameVisible(xe,pt);Ae(Se)}function Fi(xe,pt){return jp(xe)&&jp(pt)&&(xe.jsDoc=pt.jsDoc),Sd(xe,B1(pt))}function On(xe,pt){if(pt){if(p=p||xe.kind!==267&&xe.kind!==205,Bc(pt)&&h){const Se=$Te(t.getEmitHost(),oe,xe);if(Se)return R.createStringLiteral(Se)}return pt}}function pn(xe){if(oe.isDeclarationVisible(xe))if(xe.moduleReference.kind===283){const pt=L8(xe);return R.updateImportEqualsDeclaration(xe,xe.modifiers,xe.isTypeOnly,xe.name,R.updateExternalModuleReference(xe.moduleReference,On(xe,pt)))}else{const pt=a;return a=xS(xe),_i(xe.moduleReference,b),a=pt,xe}}function qr(xe){if(!xe.importClause)return R.updateImportDeclaration(xe,xe.modifiers,xe.importClause,On(xe,xe.moduleSpecifier),Fs(xe.attributes));const pt=xe.importClause&&xe.importClause.name&&oe.isDeclarationVisible(xe.importClause)?xe.importClause.name:void 0;if(!xe.importClause.namedBindings)return pt&&R.updateImportDeclaration(xe,xe.modifiers,R.updateImportClause(xe.importClause,xe.importClause.isTypeOnly,pt,void 0),On(xe,xe.moduleSpecifier),Fs(xe.attributes));if(xe.importClause.namedBindings.kind===274){const Ze=oe.isDeclarationVisible(xe.importClause.namedBindings)?xe.importClause.namedBindings:void 0;return pt||Ze?R.updateImportDeclaration(xe,xe.modifiers,R.updateImportClause(xe.importClause,xe.importClause.isTypeOnly,pt,Ze),On(xe,xe.moduleSpecifier),Fs(xe.attributes)):void 0}const Se=es(xe.importClause.namedBindings.elements,Ze=>oe.isDeclarationVisible(Ze)?Ze:void 0);if(Se&&Se.length||pt)return R.updateImportDeclaration(xe,xe.modifiers,R.updateImportClause(xe.importClause,xe.importClause.isTypeOnly,pt,Se&&Se.length?R.updateNamedImports(xe.importClause.namedBindings,Se):void 0),On(xe,xe.moduleSpecifier),Fs(xe.attributes));if(oe.isImportRequiredByAugmentation(xe))return Ie&&t.addDiagnostic(Kn(xe,k.Declaration_emit_for_this_file_requires_preserving_this_import_for_augmentations_This_is_not_supported_with_isolatedDeclarations)),R.updateImportDeclaration(xe,xe.modifiers,void 0,On(xe,xe.moduleSpecifier),Fs(xe.attributes))}function Fs(xe){const pt=z3(xe);return xe&&pt!==void 0?xe:void 0}function ko(xe){for(;P(T);){const Se=T.shift();if(!RG(Se))return j.fail(`Late replaced statement was found which is not handled by the declaration transformer!: ${j.formatSyntaxKind(Se.kind)}`);const Ze=u;u=Se.parent&&Os(Se.parent)&&!(wd(Se.parent)&&h);const gt=yi(Se);u=Ze,E.set(d_(Se),gt)}return Dn(xe,pt,Ps);function pt(Se){if(RG(Se)){const Ze=d_(Se);if(E.has(Ze)){const gt=E.get(Ze);return E.delete(Ze),gt&&((Ao(gt)?Ft(gt,yG):yG(gt))&&(g=!0),Os(Se.parent)&&(Ao(gt)?Ft(gt,UW):UW(gt))&&(p=!0)),gt}}return Se}}function ls(xe){if(Gs(xe))return;if(Wf(xe)){if(Et(xe))return;if(Jb(xe)){if(Ie){if(!oe.isDefinitelyReferenceToGlobalSymbolObject(xe.name.expression)){if(hd(xe.parent)||Aa(xe.parent)){t.addDiagnostic(Kn(xe,k.Computed_property_names_on_class_or_object_literals_cannot_be_inferred_with_isolatedDeclarations));return}else if((zf(xe.parent)||a_(xe.parent))&&!pl(xe.name.expression)){t.addDiagnostic(Kn(xe,k.Computed_properties_must_be_number_or_string_literals_variables_or_dotted_expressions_with_isolatedDeclarations));return}}}else if(!oe.isLateBound(Mo(xe))||!pl(xe.name.expression))return}}if(Ho(xe)&&oe.isImplementationOfOverload(xe)||BEe(xe))return;let pt;Pn(xe)&&(pt=b,b=xe);const Se=a,Ze=TQ(xe),gt=N;let Dt=(xe.kind===187||xe.kind===200)&&xe.parent.kind!==265;if((Nu(xe)||W1(xe))&&xp(xe,2))return xe.symbol&&xe.symbol.declarations&&xe.symbol.declarations[0]!==xe?void 0:Je(R.createPropertyDeclaration(Ji(xe),xe.name,void 0,void 0,void 0));if(Ze&&!N&&(a=xS(xe)),tD(xe)&&_i(xe.exprName,b),Dt&&(N=!0),GRt(xe))switch(xe.kind){case 233:{(E_(xe.expression)||pl(xe.expression))&&_i(xe.expression,b);const Rt=dn(xe,ls,t);return Je(R.updateExpressionWithTypeArguments(Rt,Rt.expression,Rt.typeArguments))}case 183:{_i(xe.typeName,b);const Rt=dn(xe,ls,t);return Je(R.updateTypeReferenceNode(Rt,Rt.typeName,Rt.typeArguments))}case 180:return Je(R.updateConstructSignature(xe,xn(xe,xe.typeParameters),Ei(xe,xe.parameters),wt(xe,xe.type)));case 176:{const Rt=R.createConstructorDeclaration(Ji(xe),Ei(xe,xe.parameters,0),void 0);return Je(Rt)}case 174:{if(Vs(xe.name))return Je(void 0);const Rt=R.createMethodDeclaration(Ji(xe),void 0,xe.name,xe.questionToken,xn(xe,xe.typeParameters),Ei(xe,xe.parameters),wt(xe,xe.type),void 0);return Je(Rt)}case 177:{if(Vs(xe.name))return Je(void 0);const Rt=St(xe,nx(Aa(xe.parent)?xe.parent.properties:xe.parent.members,xe));return Je(R.updateGetAccessorDeclaration(xe,Ji(xe),xe.name,tn(xe,xp(xe,2)),wt(xe,Rt),void 0))}case 178:return Vs(xe.name)?Je(void 0):Je(R.updateSetAccessorDeclaration(xe,Ji(xe),xe.name,tn(xe,xp(xe,2)),void 0));case 172:return Vs(xe.name)?Je(void 0):Je(R.updatePropertyDeclaration(xe,Ji(xe),xe.name,xe.questionToken,wt(xe,xe.type),ut(xe)));case 171:return Vs(xe.name)?Je(void 0):Je(R.updatePropertySignature(xe,Ji(xe),xe.name,xe.questionToken,wt(xe,xe.type)));case 173:return Vs(xe.name)?Je(void 0):Je(R.updateMethodSignature(xe,Ji(xe),xe.name,xe.questionToken,xn(xe,xe.typeParameters),Ei(xe,xe.parameters),wt(xe,xe.type)));case 179:return Je(R.updateCallSignature(xe,xn(xe,xe.typeParameters),Ei(xe,xe.parameters),wt(xe,xe.type)));case 181:return Je(R.updateIndexSignature(xe,Ji(xe),Ei(xe,xe.parameters),bt(xe.type,ls,ws)||R.createKeywordTypeNode(133)));case 260:return ta(xe.name)?dr(xe.name):(Dt=!0,N=!0,Je(R.updateVariableDeclaration(xe,xe.name,void 0,wt(xe,xe.type),ut(xe))));case 168:return Qs(xe)&&(xe.default||xe.constraint)?Je(R.updateTypeParameterDeclaration(xe,xe.modifiers,xe.name,void 0,void 0)):Je(dn(xe,ls,t));case 194:{const Rt=bt(xe.checkType,ls,ws),Ct=bt(xe.extendsType,ls,ws),ii=b;b=xe.trueType;const vt=bt(xe.trueType,ls,ws);b=ii;const Ni=bt(xe.falseType,ls,ws);return j.assert(Rt),j.assert(Ct),j.assert(vt),j.assert(Ni),Je(R.updateConditionalTypeNode(xe,Rt,Ct,vt,Ni))}case 184:return Je(R.updateFunctionTypeNode(xe,Dn(xe.typeParameters,ls,$l),Ei(xe,xe.parameters),j.checkDefined(bt(xe.type,ls,ws))));case 185:return Je(R.updateConstructorTypeNode(xe,Ji(xe),Dn(xe.typeParameters,ls,$l),Ei(xe,xe.parameters),j.checkDefined(bt(xe.type,ls,ws))));case 205:return lS(xe)?Je(R.updateImportTypeNode(xe,R.updateLiteralTypeNode(xe.argument,On(xe,xe.argument.literal)),xe.attributes,xe.qualifier,Dn(xe.typeArguments,ls,ws),xe.isTypeOf)):Je(xe);default:j.assertNever(xe,`Attempted to process unhandled node kind: ${j.formatSyntaxKind(xe.kind)}`)}return jP(xe)&&Ia(X,xe.pos).line===Ia(X,xe.end).line&&tr(xe,1),Je(dn(xe,ls,t));function Je(Rt){return Rt&&Ze&&Jb(xe)&&Xr(xe),Pn(xe)&&(b=pt),Ze&&!N&&(a=Se),Dt&&(N=gt),Rt===xe?Rt:Rt&&Ir(Fi(Rt,xe),xe)}}function Qs(xe){return xe.parent.kind===174&&xp(xe.parent,2)}function Mn(xe){if(!JRt(xe)||Gs(xe))return;switch(xe.kind){case 278:return Os(xe.parent)&&(p=!0),C=!0,R.updateExportDeclaration(xe,xe.modifiers,xe.isTypeOnly,xe.exportClause,On(xe,xe.moduleSpecifier),Fs(xe.attributes));case 277:{if(Os(xe.parent)&&(p=!0),C=!0,xe.expression.kind===80)return xe;{const Se=R.createUniqueName("_default",16);a=()=>({diagnosticMessage:k.Default_export_of_the_module_has_or_is_using_private_name_0,errorNode:xe}),q=xe;const Ze=R.createVariableDeclaration(Se,void 0,oe.createTypeOfExpression(xe.expression,xe,zH,UH,H),void 0);q=void 0;const gt=R.createVariableStatement(u?[R.createModifier(138)]:[],R.createVariableDeclarationList([Ze],2));return Fi(gt,xe),oH(xe),[gt,R.updateExportAssignment(xe,xe.modifiers,Se)]}}}const pt=yi(xe);return E.set(d_(xe),pt),xe}function Zs(xe){if(Wd(xe)||xp(xe,2048)||!Fg(xe))return xe;const pt=R.createModifiersFromModifierFlags(jh(xe)&131039);return R.replaceModifiers(xe,pt)}function at(xe,pt,Se,Ze){const gt=R.updateModuleDeclaration(xe,pt,Se,Ze);if(Vh(gt)||gt.flags&32)return gt;const Dt=R.createModuleDeclaration(gt.modifiers,gt.name,gt.body,gt.flags|32);return Ir(Dt,gt),Ht(Dt,gt),Dt}function yi(xe){if(T)for(;GR(T,xe););if(Gs(xe))return;switch(xe.kind){case 271:return pn(xe);case 272:return qr(xe)}if(Wf(xe)&&Et(xe)||q1(xe)||Ho(xe)&&oe.isImplementationOfOverload(xe))return;let pt;Pn(xe)&&(pt=b,b=xe);const Se=TQ(xe),Ze=a;Se&&(a=xS(xe));const gt=u;switch(xe.kind){case 265:{u=!1;const Je=Dt(R.updateTypeAliasDeclaration(xe,Ji(xe),xe.name,Dn(xe.typeParameters,ls,$l),j.checkDefined(bt(xe.type,ls,ws))));return u=gt,Je}case 264:return Dt(R.updateInterfaceDeclaration(xe,Ji(xe),xe.name,xn(xe,xe.typeParameters),ri(xe.heritageClauses),Dn(xe.members,ls,OT)));case 262:{const Je=Dt(R.updateFunctionDeclaration(xe,Ji(xe),void 0,xe.name,xn(xe,xe.typeParameters),Ei(xe,xe.parameters),wt(xe,xe.type),void 0));if(Je&&oe.isExpandoFunctionDeclaration(xe)&&dt(xe)){const Rt=oe.getPropertiesOfContainerFunction(xe);Ie&&we(xe);const Ct=l2.createModuleDeclaration(void 0,Je.name||R.createIdentifier("_default"),R.createModuleBlock([]),32);_c(Ct,b),Ct.locals=_a(Rt),Ct.symbol=Rt[0].parent;const ii=[];let vt=es(Rt,Gt=>{if(!PP(Gt.valueDeclaration))return;const le=Ws(Gt.escapedName);if(!J_(le,99))return;a=xS(Gt.valueDeclaration);const Ue=oe.createTypeOfDeclaration(Gt.valueDeclaration,Ct,zH,UH|2,H);a=Ze;const be=gP(le),Qt=be?R.getGeneratedNameForNode(Gt.valueDeclaration):R.createIdentifier(le);be&&ii.push([Qt,le]);const lt=R.createVariableDeclaration(Qt,void 0,Ue,void 0);return R.createVariableStatement(be?void 0:[R.createToken(95)],R.createVariableDeclarationList([lt]))});ii.length?vt.push(R.createExportDeclaration(void 0,!1,R.createNamedExports(qt(ii,([Gt,le])=>R.createExportSpecifier(!1,Gt,le))))):vt=es(vt,Gt=>R.replaceModifiers(Gt,0));const Ni=R.createModuleDeclaration(Ji(xe),xe.name,R.createModuleBlock(vt),32);if(!xp(Je,2048))return[Je,Ni];const ji=R.createModifiersFromModifierFlags(jh(Je)&-2081|128),gr=R.updateFunctionDeclaration(Je,ji,void 0,Je.name,Je.typeParameters,Je.parameters,Je.type,void 0),te=R.updateModuleDeclaration(Ni,ji,Ni.name,Ni.body),tt=R.createExportAssignment(void 0,!1,Ni.name);return Os(xe.parent)&&(p=!0),C=!0,[gr,te,tt]}else return Je}case 267:{u=!1;const Je=xe.body;if(Je&&Je.kind===268){const Rt=g,Ct=C;C=!1,g=!1;const ii=Dn(Je.statements,Mn,Ps);let vt=ko(ii);xe.flags&33554432&&(g=!1),!Ry(xe)&&!ka(vt)&&!C&&(g?vt=R.createNodeArray([...vt,gH(R)]):vt=Dn(vt,Zs,Ps));const Ni=R.updateModuleBlock(Je,vt);u=gt,g=Rt,C=Ct;const ji=Ji(xe);return Dt(at(xe,ji,BT(xe)?On(xe,xe.name):xe.name,Ni))}else{u=gt;const Rt=Ji(xe);u=!1,bt(Je,Mn);const Ct=d_(Je),ii=E.get(Ct);return E.delete(Ct),Dt(at(xe,Rt,xe.name,ii))}}case 263:{U=xe.name,q=xe;const Je=R.createNodeArray(Ji(xe)),Rt=xn(xe,xe.typeParameters),Ct=Vv(xe);let ii;if(Ct){const tt=a;ii=Rw(Rr(Ct.parameters,Gt=>{if(!Zr(Gt,31)||Gs(Gt))return;if(a=xS(Gt),Gt.name.kind===80)return Fi(R.createPropertyDeclaration(Ji(Gt),Gt.name,Gt.questionToken,wt(Gt,Gt.type),ut(Gt)),Gt);return le(Gt.name);function le(Ue){let be;for(const Qt of Ue.elements)Bd(Qt)||(ta(Qt.name)&&(be=Js(be,le(Qt.name))),be=be||[],be.push(R.createPropertyDeclaration(Ji(Gt),Qt.name,void 0,wt(Qt,void 0),void 0)));return be}})),a=tt}const Ni=Ft(xe.members,tt=>!!tt.name&&Vs(tt.name))?[R.createPropertyDeclaration(void 0,R.createPrivateIdentifier("#private"),void 0,void 0,void 0)]:void 0,ji=Js(Js(Ni,ii),Dn(xe.members,ls,Od)),gr=R.createNodeArray(ji),te=L1(xe);if(te&&!pl(te.expression)&&te.expression.kind!==106){const tt=xe.name?Ws(xe.name.escapedText):"default",Gt=R.createUniqueName(`${tt}_base`,16);a=()=>({diagnosticMessage:k.extends_clause_of_exported_class_0_has_or_is_using_private_name_1,errorNode:te,typeName:xe.name});const le=R.createVariableDeclaration(Gt,void 0,oe.createTypeOfExpression(te.expression,xe,zH,UH,H),void 0),Ue=R.createVariableStatement(u?[R.createModifier(138)]:[],R.createVariableDeclarationList([le],2)),be=R.createNodeArray(qt(xe.heritageClauses,Qt=>{if(Qt.token===96){const lt=a;a=xS(Qt.types[0]);const st=R.updateHeritageClause(Qt,qt(Qt.types,hi=>R.updateExpressionWithTypeArguments(hi,Gt,Dn(hi.typeArguments,ls,ws))));return a=lt,st}return R.updateHeritageClause(Qt,Dn(R.createNodeArray($t(Qt.types,lt=>pl(lt.expression)||lt.expression.kind===106)),ls,Yb))}));return[Ue,Dt(R.updateClassDeclaration(xe,Je,xe.name,Rt,be,gr))]}else{const tt=ri(xe.heritageClauses);return Dt(R.updateClassDeclaration(xe,Je,xe.name,Rt,tt,gr))}}case 243:return Dt(Sn(xe));case 266:return Dt(R.updateEnumDeclaration(xe,R.createNodeArray(Ji(xe)),xe.name,R.createNodeArray(es(xe.members,Je=>{if(Gs(Je))return;const Rt=oe.getEnumMemberValue(Je),Ct=Rt==null?void 0:Rt.value;Ie&&Je.initializer&&(Rt!=null&&Rt.hasExternalReferences)&&!Ka(Je.name)&&t.addDiagnostic(Kn(Je,k.Enum_member_initializers_must_be_computable_without_references_to_external_symbols_with_isolatedDeclarations));const ii=Ct===void 0?void 0:typeof Ct=="string"?R.createStringLiteral(Ct):Ct<0?R.createPrefixUnaryExpression(41,R.createNumericLiteral(-Ct)):R.createNumericLiteral(Ct);return Fi(R.updateEnumMember(Je,Je.name,ii),Je)}))))}return j.assertNever(xe,`Unhandled top-level node in declaration emit: ${j.formatSyntaxKind(xe.kind)}`);function Dt(Je){return Pn(xe)&&(b=pt),Se&&(a=Ze),xe.kind===267&&(u=gt),Je===xe?Je:(q=void 0,U=void 0,Je&&Ir(Fi(Je,xe),xe))}}function Sn(xe){if(!O(xe.declarationList.declarations,zt))return;const pt=Dn(xe.declarationList.declarations,ls,_s);if(!P(pt))return;const Se=R.createNodeArray(Ji(xe));let Ze;return nV(xe.declarationList)||iV(xe.declarationList)?(Ze=R.createVariableDeclarationList(pt,2),Ir(Ze,xe.declarationList),Ht(Ze,xe.declarationList),Sd(Ze,xe.declarationList)):Ze=R.updateVariableDeclarationList(xe.declarationList,pt),R.updateVariableStatement(xe,Se,Ze)}function dr(xe){return Dr(es(xe.elements,pt=>us(pt)))}function us(xe){if(xe.kind!==232&&xe.name)return zt(xe)?ta(xe.name)?dr(xe.name):R.createVariableDeclaration(xe.name,void 0,wt(xe,void 0),void 0):void 0}function Xr(xe){let pt;N||(pt=a,a=dLe(xe)),U=xe.name,j.assert(Jb(xe));const Ze=xe.name.expression;_i(Ze,b),N||(a=pt),U=void 0}function Gs(xe){return!!ue&&!!xe&&V2e(xe,X)}function ma(xe){return Il(xe)||Ju(xe)}function ka(xe){return Ft(xe,ma)}function Ji(xe){const pt=jh(xe),Se=ac(xe);return pt===Se?VH(xe.modifiers,Ze=>Jr(Ze,Fa),Fa):R.createModifiersFromModifierFlags(Se)}function ac(xe){let pt=130030,Se=u&&!qRt(xe)?128:0;const Ze=xe.parent.kind===307;return(!Ze||h&&Ze&&wd(xe.parent))&&(pt^=128,Se=0),bet(xe,pt,Se)}function St(xe,pt){let Se=_Le(xe);return!Se&&xe!==pt.firstAccessor&&(Se=_Le(pt.firstAccessor),a=xS(pt.firstAccessor)),!Se&&pt.secondAccessor&&xe!==pt.secondAccessor&&(Se=_Le(pt.secondAccessor),a=xS(pt.secondAccessor)),Se}function ri(xe){return R.createNodeArray($t(qt(xe,pt=>R.updateHeritageClause(pt,Dn(R.createNodeArray($t(pt.types,Se=>pl(Se.expression)||pt.token===96&&Se.expression.kind===106)),ls,Yb))),pt=>pt.types&&!!pt.types.length))}}function qRt(t){return t.kind===264}function $Rt(t,r,a,u){return t.createModifiersFromModifierFlags(bet(r,a,u))}function bet(t,r=131070,a=0){let u=jh(t)&r|a;return u&2048&&!(u&32)&&(u^=32),u&2048&&u&128&&(u^=128),u}function _Le(t){if(t)return t.kind===177?t.type:t.parameters.length>0?t.parameters[0].type:void 0}function Cet(t){switch(t.kind){case 172:case 171:return!xp(t,2);case 169:case 260:return!0}return!1}function JRt(t){switch(t.kind){case 262:case 267:case 271:case 264:case 263:case 265:case 266:case 243:case 272:case 278:case 277:return!0}return!1}function GRt(t){switch(t.kind){case 180:case 176:case 174:case 177:case 178:case 172:case 171:case 173:case 179:case 181:case 260:case 168:case 233:case 183:case 194:case 184:case 185:case 205:return!0}return!1}function KRt(t){switch(t){case 200:return Kue;case 99:case 7:case 6:case 5:case 100:case 199:case 1:return uLe;case 4:return lLe;default:return Gue}}var pLe={scriptTransformers:w,declarationTransformers:w};function mLe(t,r,a){return{scriptTransformers:XRt(t,r,a),declarationTransformers:QRt(r)}}function XRt(t,r,a){if(a)return w;const u=Ja(t),h=zh(t),p=GV(t),g=[];return Sr(g,r&&qt(r.before,xet)),g.push($Ne),t.experimentalDecorators&&g.push(KNe),WK(t)&&g.push(sLe),u<99&&g.push(iLe),!t.experimentalDecorators&&(u<99||!p)&&g.push(XNe),g.push(JNe),u<8&&g.push(tLe),u<7&&g.push(eLe),u<6&&g.push(YNe),u<5&&g.push(ZNe),u<4&&g.push(QNe),u<3&&g.push(oLe),u<2&&(g.push(aLe),g.push(cLe)),g.push(KRt(h)),Sr(g,r&&qt(r.after,xet)),g}function QRt(t){const r=[];return r.push(Xue),Sr(r,t&&qt(t.afterDeclarations,YRt)),r}function ZRt(t){return r=>UEe(r)?t.transformBundle(r):t.transformSourceFile(r)}function wet(t,r){return a=>{const u=t(a);return typeof u=="function"?r(a,u):ZRt(u)}}function xet(t){return wet(t,q0)}function YRt(t){return wet(t,(r,a)=>a)}function G7(t,r){return r}function qH(t,r,a){a(t,r)}function $H(t,r,a,u,h,p,g){var C,b;const T=new Array(357);let E,N,R,F=0,H=[],U=[],q=[],X=[],Z=0,Q=!1,re=[],oe=0,pe,de,ue=G7,Ie=qH,we=0;const he=[],Ae={factory:a,getCompilerOptions:()=>u,getEmitResolver:()=>t,getEmitHost:()=>r,getEmitHelperFactory:Bh(()=>xEe(Ae)),startLexicalEnvironment:et,suspendLexicalEnvironment:Me,resumeLexicalEnvironment:ut,endLexicalEnvironment:wt,setLexicalEnvironmentFlags:Et,getLexicalEnvironmentFlags:dt,hoistVariableDeclaration:ze,hoistFunctionDeclaration:Nt,addInitializationStatement:$e,startBlockScope:zt,endBlockScope:Ei,addBlockScopedVariable:tn,requestEmitHelper:xn,readEmitHelpers:Pn,enableSubstitution:se,enableEmitNotification:He,isSubstitutionEnabled:Ne,isEmitNotificationEnabled:Pe,get onSubstituteNode(){return ue},set onSubstituteNode(Fi){j.assert(we<1,"Cannot modify transformation hooks after initialization has completed."),j.assert(Fi!==void 0,"Value must not be 'undefined'"),ue=Fi},get onEmitNode(){return Ie},set onEmitNode(Fi){j.assert(we<1,"Cannot modify transformation hooks after initialization has completed."),j.assert(Fi!==void 0,"Value must not be 'undefined'"),Ie=Fi},addDiagnostic(Fi){he.push(Fi)}};for(const Fi of h)nle(_n(Mo(Fi)));ou("beforeTransform");const ke=p.map(Fi=>Fi(Ae)),Te=Fi=>{for(const On of ke)Fi=On(Fi);return Fi};we=1;const De=[];for(const Fi of h)(C=Zn)==null||C.push(Zn.Phase.Emit,"transformNodes",Fi.kind===307?{path:Fi.path}:{kind:Fi.kind,pos:Fi.pos,end:Fi.end}),De.push((g?Te:qe)(Fi)),(b=Zn)==null||b.pop();return we=2,ou("afterTransform"),Wm("transformTime","beforeTransform","afterTransform"),{transformed:De,substituteNode:Oe,emitNodeWithNotification:We,isEmitNotificationEnabled:Pe,dispose:_i,diagnostics:he};function qe(Fi){return Fi&&(!Os(Fi)||!Fi.isDeclarationFile)?Te(Fi):Fi}function se(Fi){j.assert(we<2,"Cannot modify the transformation context after transformation has completed."),T[Fi]|=1}function Ne(Fi){return(T[Fi.kind]&1)!==0&&(Ya(Fi)&8)===0}function Oe(Fi,On){return j.assert(we<3,"Cannot substitute a node after the result is disposed."),On&&Ne(On)&&ue(Fi,On)||On}function He(Fi){j.assert(we<2,"Cannot modify the transformation context after transformation has completed."),T[Fi]|=2}function Pe(Fi){return(T[Fi.kind]&2)!==0||(Ya(Fi)&4)!==0}function We(Fi,On,pn){j.assert(we<3,"Cannot invoke TransformationResult callbacks after the result is disposed."),On&&(Pe(On)?Ie(Fi,On,pn):pn(Fi,On))}function ze(Fi){j.assert(we>0,"Cannot modify the lexical environment during initialization."),j.assert(we<2,"Cannot modify the lexical environment after transformation has completed.");const On=tr(a.createVariableDeclaration(Fi),128);E?E.push(On):E=[On],F&1&&(F|=2)}function Nt(Fi){j.assert(we>0,"Cannot modify the lexical environment during initialization."),j.assert(we<2,"Cannot modify the lexical environment after transformation has completed."),tr(Fi,2097152),N?N.push(Fi):N=[Fi]}function $e(Fi){j.assert(we>0,"Cannot modify the lexical environment during initialization."),j.assert(we<2,"Cannot modify the lexical environment after transformation has completed."),tr(Fi,2097152),R?R.push(Fi):R=[Fi]}function et(){j.assert(we>0,"Cannot modify the lexical environment during initialization."),j.assert(we<2,"Cannot modify the lexical environment after transformation has completed."),j.assert(!Q,"Lexical environment is suspended."),H[Z]=E,U[Z]=N,q[Z]=R,X[Z]=F,Z++,E=void 0,N=void 0,R=void 0,F=0}function Me(){j.assert(we>0,"Cannot modify the lexical environment during initialization."),j.assert(we<2,"Cannot modify the lexical environment after transformation has completed."),j.assert(!Q,"Lexical environment is already suspended."),Q=!0}function ut(){j.assert(we>0,"Cannot modify the lexical environment during initialization."),j.assert(we<2,"Cannot modify the lexical environment after transformation has completed."),j.assert(Q,"Lexical environment is not suspended."),Q=!1}function wt(){j.assert(we>0,"Cannot modify the lexical environment during initialization."),j.assert(we<2,"Cannot modify the lexical environment after transformation has completed."),j.assert(!Q,"Lexical environment is suspended.");let Fi;if(E||N||R){if(N&&(Fi=[...N]),E){const On=a.createVariableStatement(void 0,a.createVariableDeclarationList(E));tr(On,2097152),Fi?Fi.push(On):Fi=[On]}R&&(Fi?Fi=[...Fi,...R]:Fi=[...R])}return Z--,E=H[Z],N=U[Z],R=q[Z],F=X[Z],Z===0&&(H=[],U=[],q=[],X=[]),Fi}function Et(Fi,On){F=On?F|Fi:F&~Fi}function dt(){return F}function zt(){j.assert(we>0,"Cannot start a block scope during initialization."),j.assert(we<2,"Cannot start a block scope after transformation has completed."),re[oe]=pe,oe++,pe=void 0}function Ei(){j.assert(we>0,"Cannot end a block scope during initialization."),j.assert(we<2,"Cannot end a block scope after transformation has completed.");const Fi=Ft(pe)?[a.createVariableStatement(void 0,a.createVariableDeclarationList(pe.map(On=>a.createVariableDeclaration(On)),1))]:void 0;return oe--,pe=re[oe],oe===0&&(re=[]),Fi}function tn(Fi){j.assert(oe>0,"Cannot add a block scoped variable outside of an iteration body."),(pe||(pe=[])).push(Fi)}function xn(Fi){if(j.assert(we>0,"Cannot modify the transformation context during initialization."),j.assert(we<2,"Cannot modify the transformation context after transformation has completed."),j.assert(!Fi.scoped,"Cannot request a scoped emit helper."),Fi.dependencies)for(const On of Fi.dependencies)xn(On);de=fn(de,Fi)}function Pn(){j.assert(we>0,"Cannot modify the transformation context during initialization."),j.assert(we<2,"Cannot modify the transformation context after transformation has completed.");const Fi=de;return de=void 0,Fi}function _i(){if(we<3){for(const Fi of h)nle(_n(Mo(Fi)));E=void 0,H=void 0,N=void 0,U=void 0,ue=void 0,Ie=void 0,de=void 0,we=3}}}var JH={factory:G,getCompilerOptions:()=>({}),getEmitResolver:wa,getEmitHost:wa,getEmitHelperFactory:wa,startLexicalEnvironment:Tc,resumeLexicalEnvironment:Tc,suspendLexicalEnvironment:Tc,endLexicalEnvironment:IT,setLexicalEnvironmentFlags:Tc,getLexicalEnvironmentFlags:()=>0,hoistVariableDeclaration:Tc,hoistFunctionDeclaration:Tc,addInitializationStatement:Tc,startBlockScope:Tc,endBlockScope:IT,addBlockScopedVariable:Tc,requestEmitHelper:Tc,readEmitHelpers:wa,enableSubstitution:Tc,enableEmitNotification:Tc,isSubstitutionEnabled:wa,isEmitNotificationEnabled:wa,onSubstituteNode:G7,onEmitNode:qH,addDiagnostic:Tc},ket=t8t();function gLe(t){return Xl(t,".tsbuildinfo")}function Que(t,r,a,u=!1,h,p){const g=Ao(a)?a:mK(t,a,u),C=t.getCompilerOptions();if(!h)if(C.outFile){if(g.length){const b=G.createBundle(g),T=r(K7(b,t,u),b);if(T)return T}}else for(const b of g){const T=r(K7(b,t,u),b);if(T)return T}if(p){const b=h2(C);if(b)return r({buildInfoPath:b},void 0)}}function h2(t){const r=t.configFilePath;if(!e8t(t))return;if(t.tsBuildInfoFile)return t.tsBuildInfoFile;const a=t.outFile;let u;if(a)u=s_(a);else{if(!r)return;const h=s_(r);u=t.outDir?t.rootDir?Ok(t.outDir,c0(t.rootDir,h,!0)):zr(t.outDir,ud(h)):h}return u+".tsbuildinfo"}function e8t(t){return QT(t)||!!t.tscBuild}function yLe(t,r){const a=t.outFile,u=t.emitDeclarationOnly?void 0:a,h=u&&Tet(u,t),p=r||Q_(t)?s_(a)+".d.ts":void 0,g=p&&MK(t)?p+".map":void 0;return{jsFilePath:u,sourceMapFilePath:h,declarationFilePath:p,declarationMapPath:g}}function K7(t,r,a){const u=r.getCompilerOptions();if(t.kind===308)return yLe(u,a);{const h=JTe(t.fileName,r,DQ(t.fileName,u)),p=Eg(t),g=p&&Hb(t.fileName,h,r.getCurrentDirectory(),!r.useCaseSensitiveFileNames())===0,C=u.emitDeclarationOnly||g?void 0:h,b=!C||Eg(t)?void 0:Tet(C,u),T=a||Q_(u)&&!p?GTe(t.fileName,r):void 0,E=T&&MK(u)?T+".map":void 0;return{jsFilePath:C,sourceMapFilePath:b,declarationFilePath:T,declarationMapPath:E}}}function Tet(t,r){return r.sourceMap&&!r.inlineSourceMap?t+".map":void 0}function DQ(t,r){return Xl(t,".json")?".json":r.jsx===1&&Ru(t,[".jsx",".tsx"])?".jsx":Ru(t,[".mts",".mjs"])?".mjs":Ru(t,[".cts",".cjs"])?".cjs":".js"}function Det(t,r,a,u){return a?Ok(a,c0(u(),t,r)):t}function j3(t,r,a,u=()=>oA(r,a)){return Zue(t,r.options,a,u)}function Zue(t,r,a,u){return cx(Det(t,a,r.declarationDir||r.outDir,u),_K(t))}function Eet(t,r,a,u=()=>oA(r,a)){if(r.options.emitDeclarationOnly)return;const h=Xl(t,".json"),p=Yue(t,r.options,a,u);return!h||Hb(t,p,j.checkDefined(r.options.configFilePath),a)!==0?p:void 0}function Yue(t,r,a,u){return cx(Det(t,a,r.outDir,u),DQ(t,r))}function Iet(){let t;return{addOutput:r,getOutputs:a};function r(u){u&&(t||(t=[])).push(u)}function a(){return t||w}}function Net(t,r){const{jsFilePath:a,sourceMapFilePath:u,declarationFilePath:h,declarationMapPath:p}=yLe(t.options,!1);r(a),r(u),r(h),r(p)}function Let(t,r,a,u,h){if(df(r))return;const p=Eet(r,t,a,h);if(u(p),!Xl(r,".json")&&(p&&t.options.sourceMap&&u(`${p}.map`),Q_(t.options))){const g=j3(r,t,a,h);u(g),t.options.declarationMap&&u(`${g}.map`)}}function X7(t,r,a,u,h){let p;return t.rootDir?(p=wo(t.rootDir,a),h==null||h(t.rootDir)):t.composite&&t.configFilePath?(p=ts(Rf(t.configFilePath)),h==null||h(p)):p=TLe(r(),a,u),p&&p[p.length-1]!==Kl&&(p+=Kl),p}function oA({options:t,fileNames:r},a){return X7(t,()=>$t(r,u=>!(t.noEmitForJsFiles&&Ru(u,h3))&&!df(u)),ts(Rf(j.checkDefined(t.configFilePath))),Mf(!a))}function EQ(t,r){const{addOutput:a,getOutputs:u}=Iet();if(t.options.outFile)Net(t,a);else{const h=Bh(()=>oA(t,r));for(const p of t.fileNames)Let(t,p,r,a,h)}return a(h2(t.options)),u()}function Pet(t,r,a){r=ca(r),j.assert(Yt(t.fileNames,r),"Expected fileName to be present in command line");const{addOutput:u,getOutputs:h}=Iet();return t.options.outFile?Net(t,u):Let(t,r,a,u),h()}function ede(t,r){if(t.options.outFile){const{jsFilePath:h,declarationFilePath:p}=yLe(t.options,!1);return j.checkDefined(h||p,`project ${t.options.configFilePath} expected to have at least one output`)}const a=Bh(()=>oA(t,r));for(const h of t.fileNames){if(df(h))continue;const p=Eet(h,t,r,a);if(p)return p;if(!Xl(h,".json")&&Q_(t.options))return j3(h,t,r,a)}const u=h2(t.options);return u||j.fail(`project ${t.options.configFilePath} expected to have at least one output`)}function tde(t,r){return!!r&&!!t}function ide(t,r,a,{scriptTransformers:u,declarationTransformers:h},p,g,C,b){var T=r.getCompilerOptions(),E=T.sourceMap||T.inlineSourceMap||MK(T)?[]:void 0,N=T.listEmittedFiles?[]:void 0,R=OV(),F=mS(T),H=FV(F),{enter:U,exit:q}=ooe("printTime","beforePrint","afterPrint"),X=!1;return U(),Que(r,Z,mK(r,a,C),C,g,!a&&!b),q(),{emitSkipped:X,diagnostics:R.getDiagnostics(),emittedFiles:N,sourceMaps:E};function Z({jsFilePath:ke,sourceMapFilePath:Te,declarationFilePath:De,declarationMapPath:qe,buildInfoPath:se},Ne){var Oe,He,Pe,We,ze,Nt;(Oe=Zn)==null||Oe.push(Zn.Phase.Emit,"emitJsFileOrBundle",{jsFilePath:ke}),re(Ne,ke,Te),(He=Zn)==null||He.pop(),(Pe=Zn)==null||Pe.push(Zn.Phase.Emit,"emitDeclarationFileOrBundle",{declarationFilePath:De}),oe(Ne,De,qe),(We=Zn)==null||We.pop(),(ze=Zn)==null||ze.push(Zn.Phase.Emit,"emitBuildInfo",{buildInfoPath:se}),Q(se),(Nt=Zn)==null||Nt.pop()}function Q(ke){if(!ke||a)return;if(r.isEmitBlocked(ke)){X=!0;return}const Te=r.getBuildInfo()||{version:v};yK(r,R,ke,vLe(Te),!1,void 0,{buildInfo:Te}),N==null||N.push(ke)}function re(ke,Te,De){if(!ke||p||!Te)return;if(r.isEmitBlocked(Te)||T.noEmit){X=!0;return}(Os(ke)?[ke]:$t(ke.sourceFiles,YG)).forEach(Oe=>{(T.noCheck||!r7(Oe,T))&&de(Oe)});const qe=$H(t,r,G,T,[ke],u,!1),se={removeComments:T.removeComments,newLine:T.newLine,noEmitHelpers:T.noEmitHelpers,module:zh(T),moduleResolution:yh(T),target:Ja(T),sourceMap:T.sourceMap,inlineSourceMap:T.inlineSourceMap,inlineSources:T.inlineSources,extendedDiagnostics:T.extendedDiagnostics},Ne=bx(se,{hasGlobalName:t.hasGlobalName,onEmitNode:qe.emitNodeWithNotification,isEmitNotificationEnabled:qe.isEmitNotificationEnabled,substituteNode:qe.substituteNode});j.assert(qe.transformed.length===1,"Should only see one output from the transform"),ue(Te,De,qe,Ne,T),qe.dispose(),N&&(N.push(Te),De&&N.push(De))}function oe(ke,Te,De){if(!ke||p===0)return;if(!Te){(p||T.emitDeclarationOnly)&&(X=!0);return}const qe=Os(ke)?[ke]:ke.sourceFiles,se=C?qe:$t(qe,YG),Ne=T.outFile?[G.createBundle(se)]:se;se.forEach(Pe=>{(p&&!Q_(T)||T.noCheck||tde(p,C)||!r7(Pe,T))&&pe(Pe)});const Oe=$H(t,r,G,T,Ne,h,!1);if(P(Oe.diagnostics))for(const Pe of Oe.diagnostics)R.add(Pe);const He=!!Oe.diagnostics&&!!Oe.diagnostics.length||!!r.isEmitBlocked(Te)||!!T.noEmit;if(X=X||He,!He||C){j.assert(Oe.transformed.length===1,"Should only see one output from the decl transform");const Pe={removeComments:T.removeComments,newLine:T.newLine,noEmitHelpers:!0,module:T.module,moduleResolution:T.moduleResolution,target:T.target,sourceMap:p!==2&&T.declarationMap,inlineSourceMap:T.inlineSourceMap,extendedDiagnostics:T.extendedDiagnostics,onlyPrintJsDocStyle:!0,omitBraceSourceMapPositions:!0},We=bx(Pe,{hasGlobalName:t.hasGlobalName,onEmitNode:Oe.emitNodeWithNotification,isEmitNotificationEnabled:Oe.isEmitNotificationEnabled,substituteNode:Oe.substituteNode}),ze=ue(Te,De,Oe,We,{sourceMap:Pe.sourceMap,sourceRoot:T.sourceRoot,mapRoot:T.mapRoot,extendedDiagnostics:T.extendedDiagnostics});N&&(ze&&N.push(Te),De&&N.push(De))}Oe.dispose()}function pe(ke){if(Il(ke)){ke.expression.kind===80&&t.collectLinkedAliases(ke.expression,!0);return}else if(vh(ke)){t.collectLinkedAliases(ke.propertyName||ke.name,!0);return}Uo(ke,pe)}function de(ke){r_(ke)||QP(ke,Te=>{if(Wd(Te)&&!(_S(Te)&32)||du(Te))return"skip";t.markLinkedReferences(Te)})}function ue(ke,Te,De,qe,se){const Ne=De.transformed[0],Oe=Ne.kind===308?Ne:void 0,He=Ne.kind===307?Ne:void 0,Pe=Oe?Oe.sourceFiles:[He];let We;Ie(se,Ne)&&(We=ENe(r,ud(Rf(ke)),we(se),he(se,ke,He),se)),Oe?qe.writeBundle(Oe,H,We):qe.writeFile(He,H,We);let ze;if(We){E&&E.push({inputSourceFileNames:We.getSources(),sourceMap:We.toJSON()});const et=Ae(se,We,ke,Te,He);if(et&&(H.isAtStartOfLine()||H.rawWrite(F),ze=H.getTextPos(),H.writeComment(`//# sourceMappingURL=${et}`)),Te){const Me=We.toString();yK(r,R,Te,Me,!1,Pe)}}else H.writeLine();const Nt=H.getText(),$e={sourceMapUrlPos:ze,diagnostics:De.diagnostics};return yK(r,R,ke,Nt,!!T.emitBOM,Pe,$e),H.clear(),!$e.skippedDtsWrite}function Ie(ke,Te){return(ke.sourceMap||ke.inlineSourceMap)&&(Te.kind!==307||!Xl(Te.fileName,".json"))}function we(ke){const Te=Rf(ke.sourceRoot||"");return Te&&Ad(Te)}function he(ke,Te,De){if(ke.sourceRoot)return r.getCommonSourceDirectory();if(ke.mapRoot){let qe=Rf(ke.mapRoot);return De&&(qe=ts(gK(De.fileName,r,qe))),Ly(qe)===0&&(qe=zr(r.getCommonSourceDirectory(),qe)),qe}return ts(ca(Te))}function Ae(ke,Te,De,qe,se){if(ke.inlineSourceMap){const Oe=Te.toString();return`data:application/json;base64,${fDe(Pd,Oe)}`}const Ne=ud(Rf(j.checkDefined(qe)));if(ke.mapRoot){let Oe=Rf(ke.mapRoot);return se&&(Oe=ts(gK(se.fileName,r,Oe))),Ly(Oe)===0?(Oe=zr(r.getCommonSourceDirectory(),Oe),encodeURI(QL(ts(ca(De)),zr(Oe,Ne),r.getCurrentDirectory(),r.getCanonicalFileName,!0))):encodeURI(zr(Oe,Ne))}return encodeURI(Ne)}}function vLe(t){return JSON.stringify(t)}function nde(t,r){return vce(t,r)}var bLe={hasGlobalName:wa,getReferencedExportContainer:wa,getReferencedImportDeclaration:wa,getReferencedDeclarationWithCollidingName:wa,isDeclarationWithCollidingName:wa,isValueAliasDeclaration:wa,isReferencedAliasDeclaration:wa,isTopLevelValueImportEqualsWithEntityName:wa,hasNodeCheckFlag:wa,isDeclarationVisible:wa,isLateBound:t=>!1,collectLinkedAliases:wa,markLinkedReferences:wa,isImplementationOfOverload:wa,requiresAddingImplicitUndefined:wa,isExpandoFunctionDeclaration:wa,getPropertiesOfContainerFunction:wa,createTypeOfDeclaration:wa,createReturnTypeOfSignatureDeclaration:wa,createTypeOfExpression:wa,createLiteralConstValue:wa,isSymbolAccessible:wa,isEntityNameVisible:wa,getConstantValue:wa,getEnumMemberValue:wa,getReferencedValueDeclaration:wa,getReferencedValueDeclarations:wa,getTypeReferenceSerializationKind:wa,isOptionalParameter:wa,isArgumentsLocalBinding:wa,getExternalModuleFileFromDeclaration:wa,isLiteralConstDeclaration:wa,getJsxFactoryEntity:wa,getJsxFragmentFactoryEntity:wa,isBindingCapturedByNode:wa,getDeclarationStatementsForSourceFile:wa,isImportRequiredByAugmentation:wa,isDefinitelyReferenceToGlobalSymbolObject:wa},CLe=Bh(()=>bx({})),_D=Bh(()=>bx({removeComments:!0})),SLe=Bh(()=>bx({removeComments:!0,neverAsciiEscape:!0})),rde=Bh(()=>bx({removeComments:!0,omitTrailingSemicolon:!0}));function bx(t={},r={}){var{hasGlobalName:a,onEmitNode:u=qH,isEmitNotificationEnabled:h,substituteNode:p=G7,onBeforeEmitNode:g,onAfterEmitNode:C,onBeforeEmitNodeArray:b,onAfterEmitNodeArray:T,onBeforeEmitToken:E,onAfterEmitToken:N}=r,R=!!t.extendedDiagnostics,F=!!t.omitBraceSourceMapPositions,H=mS(t),U=zh(t),q=new Map,X,Z,Q,re,oe,pe,de,ue,Ie,we,he,Ae,ke,Te,De,qe=t.preserveSourceNewlines,se,Ne,Oe,He=bO,Pe,We=!0,ze,Nt,$e=-1,et,Me=-1,ut=-1,wt=-1,Et=-1,dt,zt,Ei=!1,tn=!!t.removeComments,xn,Pn,{enter:_i,exit:Fi}=HKe(R,"commentTime","beforeComment","afterComment"),On=G.parenthesizer,pn={select:V=>V===0?On.parenthesizeLeadingTypeArgument:void 0},qr=Hd();return ma(),{printNode:Fs,printList:ko,printFile:Qs,printBundle:ls,writeNode:Mn,writeList:Zs,writeFile:yi,writeBundle:at};function Fs(V,Le,Ut){switch(V){case 0:j.assert(Os(Le),"Expected a SourceFile node.");break;case 2:j.assert(ot(Le),"Expected an Identifier node.");break;case 1:j.assert(jt(Le),"Expected an Expression node.");break}switch(Le.kind){case 307:return Qs(Le);case 308:return ls(Le)}return Mn(V,Le,Ut,Sn()),dr()}function ko(V,Le,Ut){return Zs(V,Le,Ut,Sn()),dr()}function ls(V){return at(V,Sn(),void 0),dr()}function Qs(V){return yi(V,Sn(),void 0),dr()}function Mn(V,Le,Ut,Wi){const gs=Ne;Gs(Wi,void 0),us(V,Le,Ut),ma(),Ne=gs}function Zs(V,Le,Ut,Wi){const gs=Ne;Gs(Wi,void 0),Ut&&Xr(Ut),Tl(void 0,Le,V),ma(),Ne=gs}function at(V,Le,Ut){Pe=!1;const Wi=Ne;Gs(Le,Ut),BS(V),p0(V),ji(V),N2(V);for(const gs of V.sourceFiles)us(0,gs,gs);ma(),Ne=Wi}function yi(V,Le,Ut){Pe=!0;const Wi=Ne;Gs(Le,Ut),BS(V),p0(V),us(0,V,V),ma(),Ne=Wi}function Sn(){return Oe||(Oe=FV(H))}function dr(){const V=Oe.getText();return Oe.clear(),V}function us(V,Le,Ut){Ut&&Xr(Ut),Se(V,Le,void 0)}function Xr(V){X=V,dt=void 0,zt=void 0,V&&mN(V)}function Gs(V,Le){V&&t.omitTrailingSemicolon&&(V=oce(V)),Ne=V,ze=Le,We=!Ne||!ze}function ma(){Z=[],Q=[],re=[],oe=new Set,pe=[],de=new Map,ue=[],Ie=0,we=[],he=0,Ae=[],ke=void 0,Te=[],De=void 0,X=void 0,dt=void 0,zt=void 0,Gs(void 0,void 0)}function ka(){return dt||(dt=Lv(j.checkDefined(X)))}function Ji(V,Le){V!==void 0&&Se(4,V,Le)}function ac(V){V!==void 0&&Se(2,V,void 0)}function St(V,Le){V!==void 0&&Se(1,V,Le)}function ri(V){Se(Ha(V)?6:4,V)}function xe(V){qe&&u0(V)&4&&(qe=!1)}function pt(V){qe=V}function Se(V,Le,Ut){Pn=Ut,Dt(0,V,Le)(V,Le),Pn=void 0}function Ze(V){return!tn&&!Os(V)}function gt(V){return!We&&!Os(V)&&!ZG(V)}function Dt(V,Le,Ut){switch(V){case 0:if(u!==qH&&(!h||h(Ut)))return Rt;case 1:if(p!==G7&&(xn=p(Le,Ut)||Ut)!==Ut)return Pn&&(xn=Pn(xn)),Ni;case 2:if(Ze(Ut))return AA;case 3:if(gt(Ut))return FD;case 4:return Ct;default:return j.assertNever(V)}}function Je(V,Le,Ut){return Dt(V+1,Le,Ut)}function Rt(V,Le){const Ut=Je(0,V,Le);u(V,Le,Ut)}function Ct(V,Le){if(g==null||g(Le),qe){const Ut=qe;xe(Le),ii(V,Le),pt(Ut)}else ii(V,Le);C==null||C(Le),Pn=void 0}function ii(V,Le,Ut=!0){if(Ut){const Wi=rle(Le);if(Wi)return Gt(V,Le,Wi)}if(V===0)return Z1(ha(Le,Os));if(V===2)return be(ha(Le,ot));if(V===6)return tt(ha(Le,Ha),!0);if(V===3)return vt(ha(Le,$l));if(V===7)return nn(ha(Le,LI));if(V===5)return j.assertNode(Le,mle),h_(!0);if(V===4){switch(Le.kind){case 16:case 17:case 18:return tt(Le,!1);case 80:return be(Le);case 81:return Qt(Le);case 166:return lt(Le);case 167:return hi(Le);case 168:return vn(Le);case 169:return ln(Le);case 170:return Un(Le);case 171:return Pt(Le);case 172:return Bt(Le);case 173:return fi(Le);case 174:return wi(Le);case 175:return Ri(Le);case 176:return Ai(Le);case 177:case 178:return Xn(Le);case 179:return Di(Le);case 180:return on(Le);case 181:return zi(Le);case 182:return qo(Le);case 183:return Wo(Le);case 184:return $o(Le);case 185:return wf(Le);case 186:return Jo(Le);case 187:return kh(Le);case 188:return md(Le);case 189:return pc(Le);case 190:return oo(Le);case 192:return tc(Le);case 193:return ki(Le);case 194:return Gc(Le);case 195:return uh(Le);case 196:return sd(Le);case 233:return Y_(Le);case 197:return Pp();case 198:return Nl(Le);case 199:return Ve(Le);case 200:return _e(Le);case 201:return Lt(Le);case 202:return mc(Le);case 203:return ci(Le);case 204:return cn(Le);case 205:return Mi(Le);case 206:return Rn(Le);case 207:return Yr(Le);case 208:return Xi(Le);case 239:return Hg(Le);case 240:return vi();case 241:return F_(Le);case 243:return lC(Le);case 242:return h_(!1);case 244:return Yv(Le);case 245:return vm(Le);case 246:return Ot(Le);case 247:return mi(Le);case 248:return Ci(Le);case 249:return hn(Le);case 250:return Wn(Le);case 251:return ps(Le);case 252:return ee(Le);case 253:return To(Le);case 254:return Zo(Le);case 255:return uc(Le);case 256:return Xa(Le);case 257:return Yl(Le);case 258:return ig(Le);case 259:return h0(Le);case 260:return K0(Le);case 261:return eb(Le);case 262:return PS(Le);case 263:return $y(Le);case 264:return AS(Le);case 265:return zg(Le);case 266:return x2(Le);case 267:return bm(Le);case 268:return Ug(Le);case 269:return dC(Le);case 270:return Pr(Le);case 271:return k2(Le);case 272:return nb(Le);case 273:return T2(Le);case 274:return xx(Le);case 280:return ks(Le);case 275:return it(Le);case 276:return yt(Le);case 277:return ei(Le);case 278:return Pi(Le);case 279:return ar(Le);case 281:return Ns(Le);case 300:return Vn(Le);case 301:return mn(Le);case 282:return;case 283:return ll(Le);case 12:return Jh(Le);case 286:case 289:return $g(Le);case 287:case 290:return DD(Le);case 291:return rb(Le);case 292:return kx(Le);case 293:return fC(Le);case 294:return Tx(Le);case 295:return Dx(Le);case 296:return ZI(Le);case 297:return D2(Le);case 298:return ED(Le);case 299:return YI(Le);case 303:return E2(Le);case 304:return sb(Le);case 305:return ob(Le);case 306:return Cm(Le);case 307:return Z1(Le);case 308:return j.fail("Bundles should be printed using printBundle");case 309:return Z0(Le);case 310:return vO(Le);case 312:return vr("*");case 313:return vr("?");case 314:return Zc(Le);case 315:return Ls(Le);case 316:return ja(Le);case 317:return Gu(Le);case 191:case 318:return go(Le);case 319:return;case 320:return Op(Le);case 322:return hC(Le);case 323:return ip(Le);case 327:case 332:case 337:return dh(Le);case 328:case 329:return Xd(Le);case 330:case 331:return;case 333:case 334:case 335:case 336:return;case 338:return Gy(Le);case 339:return kA(Le);case 341:case 348:return I2(Le);case 340:case 342:case 343:case 344:case 349:case 350:return Mp(Le);case 345:return Q0(Le);case 346:return RS(Le);case 347:return tp(Le);case 351:return Ix(Le);case 353:return}if(jt(Le)&&(V=1,p!==G7)){const Wi=p(V,Le)||Le;Wi!==Le&&(Le=Wi,Pn&&(Le=Pn(Le)))}}if(V===1)switch(Le.kind){case 9:case 10:return te(Le);case 11:case 14:case 15:return tt(Le,!1);case 80:return be(Le);case 81:return Qt(Le);case 209:return Mr(Le);case 210:return ds(Le);case 211:return Ks(Le);case 212:return Gl(Le);case 213:return Pu(Le);case 214:return Ku(Le);case 215:return Xu(Le);case 216:return Wl(Le);case 217:return Cu(Le);case 218:return gm(Le);case 219:return Qu(Le);case 220:return Ye(Le);case 221:return li(Le);case 222:return En(Le);case 223:return po(Le);case 224:return ic(Le);case 225:return Hu(Le);case 226:return qr(Le);case 227:return Yc(Le);case 228:return Pc(Le);case 229:return od(Le);case 230:return Ap(Le);case 231:return Vg(Le);case 232:return;case 234:return Jp(Le);case 235:return ym(Le);case 233:return Y_(Le);case 238:return tg(Le);case 236:return Zv(Le);case 237:return j.fail("SyntheticExpression should never be printed.");case 282:return;case 284:return qh(Le);case 285:return qg(Le);case 288:return $h(Le);case 352:return j.fail("SyntaxList should not be printed");case 353:return;case 354:return pC(Le);case 355:return DA(Le);case 356:return j.fail("SyntheticReferenceExpression should not be printed")}if(P_(Le.kind))return rN(Le,Vo);if(Zoe(Le.kind))return rN(Le,vr);j.fail(`Unhandled SyntaxKind: ${j.formatSyntaxKind(Le.kind)}.`)}function vt(V){Ji(V.name),rr(),Vo("in"),rr(),Ji(V.constraint)}function Ni(V,Le){const Ut=Je(1,V,Le);j.assertIsDefined(xn),Le=xn,xn=void 0,Ut(V,Le)}function ji(V){let Le=!1;const Ut=V.kind===308?V:void 0;if(Ut&&U===0)return;const Wi=Ut?Ut.sourceFiles.length:1;for(let gs=0;gs<Wi;gs++){const ao=Ut?Ut.sourceFiles[gs]:V,Co=Os(ao)?ao:X,co=t.noEmitHelpers||!!Co&&oIe(Co),Xs=Os(ao)&&!Pe,Gh=gr(ao);if(Gh)for(const xf of Gh){if(xf.scoped){if(Ut)continue}else{if(co)continue;if(Xs){if(q.get(xf.name))continue;q.set(xf.name,!0)}}typeof xf.text=="string"?Y1(xf.text):Y1(xf.text(LA)),Le=!0}}return Le}function gr(V){const Le=dX(V);return Le&&Mu(Le,kEe)}function te(V){tt(V,!1)}function tt(V,Le){const Ut=lb(V,void 0,t.neverAsciiEscape,Le);(t.sourceMap||t.inlineSourceMap)&&(V.kind===11||Gw(V.kind))?ND(Ut):iN(Ut)}function Gt(V,Le,Ut){switch(Ut.kind){case 1:le(V,Le,Ut);break;case 0:Ue(V,Le,Ut);break}}function le(V,Le,Ut){nN(`\${${Ut.order}:`),ii(V,Le,!1),nN("}")}function Ue(V,Le,Ut){j.assert(Le.kind===242,`A tab stop cannot be attached to a node of kind ${j.formatSyntaxKind(Le.kind)}.`),j.assert(V!==5,"A tab stop cannot be attached to an embedded statement."),nN(`$${Ut.order}`)}function be(V){(V.symbol?CO:He)(Ax(V,!1),V.symbol),Tl(V,kI(V),53776)}function Qt(V){He(Ax(V,!1))}function lt(V){st(V.left),vr("."),Ji(V.right)}function st(V){V.kind===80?St(V):Ji(V)}function hi(V){vr("["),St(V.expression,On.parenthesizeExpressionOfComputedPropertyName),vr("]")}function vn(V){ab(V,V.modifiers),Ji(V.name),V.constraint&&(rr(),Vo("extends"),rr(),Ji(V.constraint)),V.default&&(rr(),HS("="),rr(),Ji(V.default))}function ln(V){Kp(V,V.modifiers,!0),Ji(V.dotDotDotToken),L2(V.name,NA),Ji(V.questionToken),V.parent&&V.parent.kind===317&&!V.name?Ji(V.type):Y0(V.type),Ky(V.initializer,V.type?V.type.end:V.questionToken?V.questionToken.end:V.name?V.name.end:V.modifiers?V.modifiers.end:V.pos,V,On.parenthesizeExpressionForDisallowedComma)}function Un(V){vr("@"),St(V.expression,On.parenthesizeLeftSideOfAccess)}function Pt(V){ab(V,V.modifiers),L2(V.name,SO),Ji(V.questionToken),Y0(V.type),fh()}function Bt(V){Kp(V,V.modifiers,!0),Ji(V.name),Ji(V.questionToken),Ji(V.exclamationToken),Y0(V.type),Ky(V.initializer,V.type?V.type.end:V.questionToken?V.questionToken.end:V.name.end,V),fh()}function fi(V){ab(V,V.modifiers),Ji(V.name),Ji(V.questionToken),ng(V,Gp,Vl)}function wi(V){Kp(V,V.modifiers,!0),Ji(V.asteriskToken),Ji(V.name),Ji(V.questionToken),ng(V,Gp,rg)}function Ri(V){Vo("static"),yC(V),X0(V.body),m0(V)}function Ai(V){Kp(V,V.modifiers,!1),Vo("constructor"),ng(V,Gp,rg)}function Xn(V){const Le=Kp(V,V.modifiers,!0),Ut=V.kind===177?139:153;Xe(Ut,Le,Vo,V),rr(),Ji(V.name),ng(V,Gp,rg)}function Di(V){ng(V,Gp,Vl)}function on(V){Vo("new"),rr(),ng(V,Gp,Vl)}function zi(V){Kp(V,V.modifiers,!1),Lx(V,V.parameters),Y0(V.type),fh()}function cn(V){Ji(V.type),Ji(V.literal)}function vi(){fh()}function qo(V){V.assertsModifier&&(Ji(V.assertsModifier),rr()),Ji(V.parameterName),V.type&&(rr(),Vo("is"),rr(),Ji(V.type))}function Wo(V){Ji(V.typeName),yr(V,V.typeArguments)}function $o(V){ng(V,Lc,vu)}function Lc(V){ff(V,V.typeParameters),eN(V,V.parameters),rr(),vr("=>")}function vu(V){rr(),Ji(V.type)}function Gu(V){Vo("function"),mC(V,V.parameters),vr(":"),Ji(V.type)}function Zc(V){vr("?"),Ji(V.type)}function Ls(V){vr("!"),Ji(V.type)}function ja(V){Ji(V.type),vr("=")}function wf(V){ab(V,V.modifiers),Vo("new"),rr(),ng(V,Lc,vu)}function Jo(V){Vo("typeof"),rr(),Ji(V.exprName),yr(V,V.typeArguments)}function kh(V){yC(V),O(V.members,uN),vr("{");const Le=Ya(V)&1?768:32897;Tl(V,V.members,Le|524288),vr("}"),m0(V)}function md(V){Ji(V.elementType,On.parenthesizeNonArrayTypeOfPostfixType),vr("["),vr("]")}function go(V){vr("..."),Ji(V.type)}function pc(V){Xe(23,V.pos,vr,V);const Le=Ya(V)&1?528:657;Tl(V,V.elements,Le|524288,On.parenthesizeElementTypeOfTupleType),Xe(24,V.elements.end,vr,V)}function mc(V){Ji(V.dotDotDotToken),Ji(V.name),Ji(V.questionToken),Xe(59,V.name.end,vr,V),rr(),Ji(V.type)}function oo(V){Ji(V.type,On.parenthesizeTypeOfOptionalType),vr("?")}function tc(V){Tl(V,V.types,516,On.parenthesizeConstituentTypeOfUnionType)}function ki(V){Tl(V,V.types,520,On.parenthesizeConstituentTypeOfIntersectionType)}function Gc(V){Ji(V.checkType,On.parenthesizeCheckTypeOfConditionalType),rr(),Vo("extends"),rr(),Ji(V.extendsType,On.parenthesizeExtendsTypeOfConditionalType),rr(),vr("?"),rr(),Ji(V.trueType),rr(),vr(":"),rr(),Ji(V.falseType)}function uh(V){Vo("infer"),rr(),Ji(V.typeParameter)}function sd(V){vr("("),Ji(V.type),vr(")")}function Pp(){Vo("this")}function Nl(V){cb(V.operator,Vo),rr();const Le=V.operator===148?On.parenthesizeOperandOfReadonlyTypeOperator:On.parenthesizeOperandOfTypeOperator;Ji(V.type,Le)}function Ve(V){Ji(V.objectType,On.parenthesizeNonArrayTypeOfPostfixType),vr("["),Ji(V.indexType),vr("]")}function _e(V){const Le=Ya(V);vr("{"),Le&1?rr():(Th(),Dh()),V.readonlyToken&&(Ji(V.readonlyToken),V.readonlyToken.kind!==148&&Vo("readonly"),rr()),vr("["),Se(3,V.typeParameter),V.nameType&&(rr(),Vo("as"),rr(),Ji(V.nameType)),vr("]"),V.questionToken&&(Ji(V.questionToken),V.questionToken.kind!==58&&vr("?")),vr(":"),rr(),Ji(V.type),fh(),Le&1?rr():(Th(),Xp()),Tl(V,V.members,2),vr("}")}function Lt(V){St(V.literal)}function ci(V){Ji(V.head),Tl(V,V.templateSpans,262144)}function Mi(V){V.isTypeOf&&(Vo("typeof"),rr()),Vo("import"),vr("("),Ji(V.argument),V.attributes&&(vr(","),rr(),Se(7,V.attributes)),vr(")"),V.qualifier&&(vr("."),Ji(V.qualifier)),yr(V,V.typeArguments)}function Rn(V){vr("{"),Tl(V,V.elements,525136),vr("}")}function Yr(V){vr("["),Tl(V,V.elements,524880),vr("]")}function Xi(V){Ji(V.dotDotDotToken),V.propertyName&&(Ji(V.propertyName),vr(":"),rr()),Ji(V.name),Ky(V.initializer,V.name.end,V,On.parenthesizeExpressionForDisallowedComma)}function Mr(V){const Le=V.elements,Ut=V.multiLine?65536:0;gC(V,Le,8914|Ut,On.parenthesizeExpressionForDisallowedComma)}function ds(V){yC(V),O(V.properties,uN);const Le=Ya(V)&131072;Le&&Dh();const Ut=V.multiLine?65536:0,Wi=X&&X.languageVersion>=1&&!Eg(X)?64:0;Tl(V,V.properties,526226|Wi|Ut),Le&&Xp(),m0(V)}function Ks(V){St(V.expression,On.parenthesizeLeftSideOfAccess);const Le=V.questionDotToken||V0(G.createToken(25),V.expression.end,V.name.pos),Ut=e1(V,V.expression,Le),Wi=e1(V,Le,V.name);Sm(Ut,!1),Le.kind!==29&&Ic(V.expression)&&!Ne.hasTrailingComment()&&!Ne.hasTrailingWhitespace()&&vr("."),V.questionDotToken?Ji(Le):Xe(Le.kind,V.expression.end,vr,V),Sm(Wi,!1),Ji(V.name),jS(Ut,Wi)}function Ic(V){if(V=l0(V),A_(V)){const Le=lb(V,void 0,!0,!1);return!(V.numericLiteralFlags&448)&&!Le.includes(xa(25))&&!Le.includes("E")&&!Le.includes("e")}else if(Sl(V)){const Le=mEe(V);return typeof Le=="number"&&isFinite(Le)&&Le>=0&&Math.floor(Le)===Le}}function Gl(V){St(V.expression,On.parenthesizeLeftSideOfAccess),Ji(V.questionDotToken),Xe(23,V.expression.end,vr,V),St(V.argumentExpression),Xe(24,V.argumentExpression.end,vr,V)}function Pu(V){const Le=u0(V)&16;Le&&(vr("("),ND("0"),vr(","),rr()),St(V.expression,On.parenthesizeLeftSideOfAccess),Le&&vr(")"),Ji(V.questionDotToken),yr(V,V.typeArguments),gC(V,V.arguments,2576,On.parenthesizeExpressionForDisallowedComma)}function Ku(V){Xe(105,V.pos,Vo,V),rr(),St(V.expression,On.parenthesizeExpressionOfNew),yr(V,V.typeArguments),gC(V,V.arguments,18960,On.parenthesizeExpressionForDisallowedComma)}function Xu(V){const Le=u0(V)&16;Le&&(vr("("),ND("0"),vr(","),rr()),St(V.tag,On.parenthesizeLeftSideOfAccess),Le&&vr(")"),yr(V,V.typeArguments),rr(),St(V.template)}function Wl(V){vr("<"),Ji(V.type),vr(">"),St(V.expression,On.parenthesizeOperandOfPrefixUnary)}function Cu(V){const Le=Xe(21,V.pos,vr,V),Ut=oN(V.expression,V);St(V.expression,void 0),aN(V.expression,V),jS(Ut),Xe(22,V.expression?V.expression.end:Le,vr,V)}function gm(V){Xy(V.name),tb(V)}function Qu(V){ab(V,V.modifiers),ng(V,kd,eg)}function kd(V){ff(V,V.typeParameters),eN(V,V.parameters),Y0(V.type),rr(),Ji(V.equalsGreaterThanToken)}function eg(V){Xo(V.body)?X0(V.body):(rr(),St(V.body,On.parenthesizeConciseBodyOfArrowFunction))}function Ye(V){Xe(91,V.pos,Vo,V),rr(),St(V.expression,On.parenthesizeOperandOfPrefixUnary)}function li(V){Xe(114,V.pos,Vo,V),rr(),St(V.expression,On.parenthesizeOperandOfPrefixUnary)}function En(V){Xe(116,V.pos,Vo,V),rr(),St(V.expression,On.parenthesizeOperandOfPrefixUnary)}function po(V){Xe(135,V.pos,Vo,V),rr(),St(V.expression,On.parenthesizeOperandOfPrefixUnary)}function ic(V){cb(V.operator,HS),sc(V)&&rr(),St(V.operand,On.parenthesizeOperandOfPrefixUnary)}function sc(V){const Le=V.operand;return Le.kind===224&&(V.operator===40&&(Le.operator===40||Le.operator===46)||V.operator===41&&(Le.operator===41||Le.operator===47))}function Hu(V){St(V.operand,On.parenthesizeOperandOfPostfixUnary),cb(V.operator,HS)}function Hd(){return BX(V,Le,Ut,Wi,gs,void 0);function V(Co,co){if(co){co.stackIndex++,co.preserveSourceNewlinesStack[co.stackIndex]=qe,co.containerPosStack[co.stackIndex]=ut,co.containerEndStack[co.stackIndex]=wt,co.declarationListContainerEndStack[co.stackIndex]=Et;const Xs=co.shouldEmitCommentsStack[co.stackIndex]=Ze(Co),Gh=co.shouldEmitSourceMapsStack[co.stackIndex]=gt(Co);g==null||g(Co),Xs&&Mx(Co),Gh&&BD(Co),xe(Co)}else co={stackIndex:0,preserveSourceNewlinesStack:[void 0],containerPosStack:[-1],containerEndStack:[-1],declarationListContainerEndStack:[-1],shouldEmitCommentsStack:[!1],shouldEmitSourceMapsStack:[!1]};return co}function Le(Co,co,Xs){return ao(Co,Xs,"left")}function Ut(Co,co,Xs){const Gh=Co.kind!==28,xf=e1(Xs,Xs.left,Co),i1=e1(Xs,Co,Xs.right);Sm(xf,Gh),$S(Co.pos),rN(Co,Co.kind===103?Vo:HS),db(Co.end,!0),Sm(i1,!0)}function Wi(Co,co,Xs){return ao(Co,Xs,"right")}function gs(Co,co){const Xs=e1(Co,Co.left,Co.operatorToken),Gh=e1(Co,Co.operatorToken,Co.right);if(jS(Xs,Gh),co.stackIndex>0){const xf=co.preserveSourceNewlinesStack[co.stackIndex],i1=co.containerPosStack[co.stackIndex],JS=co.containerEndStack[co.stackIndex],Bp=co.declarationListContainerEndStack[co.stackIndex],WD=co.shouldEmitCommentsStack[co.stackIndex],F2=co.shouldEmitSourceMapsStack[co.stackIndex];pt(xf),F2&&Gg(Co),WD&&hN(Co,i1,JS,Bp),C==null||C(Co),co.stackIndex--}}function ao(Co,co,Xs){const Gh=Xs==="left"?On.getParenthesizeLeftSideOfBinaryForOperator(co.operatorToken.kind):On.getParenthesizeRightSideOfBinaryForOperator(co.operatorToken.kind);let xf=Dt(0,1,Co);if(xf===Ni&&(j.assertIsDefined(xn),Co=Gh(ha(xn,jt)),xf=Je(1,1,Co),xn=void 0),(xf===AA||xf===FD||xf===Ct)&&ur(Co))return Co;Pn=Gh,xf(1,Co)}}function Yc(V){const Le=e1(V,V.condition,V.questionToken),Ut=e1(V,V.questionToken,V.whenTrue),Wi=e1(V,V.whenTrue,V.colonToken),gs=e1(V,V.colonToken,V.whenFalse);St(V.condition,On.parenthesizeConditionOfConditionalExpression),Sm(Le,!0),Ji(V.questionToken),Sm(Ut,!0),St(V.whenTrue,On.parenthesizeBranchOfConditionalExpression),jS(Le,Ut),Sm(Wi,!0),Ji(V.colonToken),Sm(gs,!0),St(V.whenFalse,On.parenthesizeBranchOfConditionalExpression),jS(Wi,gs)}function Pc(V){Ji(V.head),Tl(V,V.templateSpans,262144)}function od(V){Xe(127,V.pos,Vo,V),Ji(V.asteriskToken),Jg(V.expression&&Is(V.expression),Qo)}function Ap(V){Xe(26,V.pos,vr,V),St(V.expression,On.parenthesizeExpressionForDisallowedComma)}function Vg(V){Xy(V.name),uC(V)}function Y_(V){St(V.expression,On.parenthesizeLeftSideOfAccess),yr(V,V.typeArguments)}function Jp(V){St(V.expression,void 0),V.type&&(rr(),Vo("as"),rr(),Ji(V.type))}function ym(V){St(V.expression,On.parenthesizeLeftSideOfAccess),HS("!")}function tg(V){St(V.expression,void 0),V.type&&(rr(),Vo("satisfies"),rr(),Ji(V.type))}function Zv(V){A2(V.keywordToken,V.pos,vr),vr("."),Ji(V.name)}function Hg(V){St(V.expression),Ji(V.literal)}function F_(V){jd(V,!V.multiLine&&wO(V))}function jd(V,Le){Xe(19,V.pos,vr,V);const Ut=Le||Ya(V)&1?768:129;Tl(V,V.statements,Ut),Xe(20,V.statements.end,vr,V,!!(Ut&1))}function lC(V){Kp(V,V.modifiers,!1),Ji(V.declarationList),fh()}function h_(V){V?vr(";"):fh()}function Yv(V){St(V.expression,On.parenthesizeExpressionOfExpressionStatement),(!X||!Eg(X)||cl(V.expression))&&fh()}function vm(V){const Le=Xe(101,V.pos,Vo,V);rr(),Xe(21,Le,vr,V),St(V.expression),Xe(22,V.expression.end,vr,V),WS(V,V.thenStatement),V.elseStatement&&(np(V,V.thenStatement,V.elseStatement),Xe(93,V.thenStatement.end,Vo,V),V.elseStatement.kind===245?(rr(),Ji(V.elseStatement)):WS(V,V.elseStatement))}function ve(V,Le){const Ut=Xe(117,Le,Vo,V);rr(),Xe(21,Ut,vr,V),St(V.expression),Xe(22,V.expression.end,vr,V)}function Ot(V){Xe(92,V.pos,Vo,V),WS(V,V.statement),Xo(V.statement)&&!qe?rr():np(V,V.statement,V.expression),ve(V,V.statement.end),fh()}function mi(V){ve(V,V.pos),WS(V,V.statement)}function Ci(V){const Le=Xe(99,V.pos,Vo,V);rr();let Ut=Xe(21,Le,vr,V);Lr(V.initializer),Ut=Xe(27,V.initializer?V.initializer.end:Ut,vr,V),Jg(V.condition),Ut=Xe(27,V.condition?V.condition.end:Ut,vr,V),Jg(V.incrementor),Xe(22,V.incrementor?V.incrementor.end:Ut,vr,V),WS(V,V.statement)}function hn(V){const Le=Xe(99,V.pos,Vo,V);rr(),Xe(21,Le,vr,V),Lr(V.initializer),rr(),Xe(103,V.initializer.end,Vo,V),rr(),St(V.expression),Xe(22,V.expression.end,vr,V),WS(V,V.statement)}function Wn(V){const Le=Xe(99,V.pos,Vo,V);rr(),IA(V.awaitModifier),Xe(21,Le,vr,V),Lr(V.initializer),rr(),Xe(165,V.initializer.end,Vo,V),rr(),St(V.expression),Xe(22,V.expression.end,vr,V),WS(V,V.statement)}function Lr(V){V!==void 0&&(V.kind===261?Ji(V):St(V))}function ps(V){Xe(88,V.pos,Vo,V),Nx(V.label),fh()}function ee(V){Xe(83,V.pos,Vo,V),Nx(V.label),fh()}function Xe(V,Le,Ut,Wi,gs){const ao=Mo(Wi),Co=ao&&ao.kind===Wi.kind,co=Le;if(Co&&X&&(Le=Va(X.text,Le)),Co&&Wi.pos!==co){const Xs=gs&&X&&!qm(co,Le,X);Xs&&Dh(),$S(co),Xs&&Xp()}if(!F&&(V===19||V===20)?Le=A2(V,Le,Ut,Wi):Le=cb(V,Ut,Le),Co&&Wi.end!==Le){const Xs=Wi.kind===294;db(Le,!Xs,Xs)}return Le}function Zt(V){return V.kind===2||!!V.hasTrailingNewLine}function Nn(V){if(!X)return!1;const Le=Av(X.text,V.pos);if(Le){const Ut=Mo(V);if(Ut&&c_(Ut.parent))return!0}return Ft(Le,Zt)||Ft(y3(V),Zt)?!0:ple(V)?V.pos!==V.expression.pos&&Ft(qw(X.text,V.expression.pos),Zt)?!0:Nn(V.expression):!1}function Is(V){if(!tn&&ple(V)&&Nn(V)){const Le=Mo(V);if(Le&&c_(Le)){const Ut=G.createParenthesizedExpression(V.expression);return Ir(Ut,V),Ht(Ut,Le),Ut}return G.createParenthesizedExpression(V)}return V}function Qo(V){return Is(On.parenthesizeExpressionForDisallowedComma(V))}function To(V){Xe(107,V.pos,Vo,V),Jg(V.expression&&Is(V.expression),Is),fh()}function Zo(V){const Le=Xe(118,V.pos,Vo,V);rr(),Xe(21,Le,vr,V),St(V.expression),Xe(22,V.expression.end,vr,V),WS(V,V.statement)}function uc(V){const Le=Xe(109,V.pos,Vo,V);rr(),Xe(21,Le,vr,V),St(V.expression),Xe(22,V.expression.end,vr,V),rr(),Ji(V.caseBlock)}function Xa(V){Ji(V.label),Xe(59,V.label.end,vr,V),rr(),Ji(V.statement)}function Yl(V){Xe(111,V.pos,Vo,V),Jg(Is(V.expression),Is),fh()}function ig(V){Xe(113,V.pos,Vo,V),rr(),Ji(V.tryBlock),V.catchClause&&(np(V,V.tryBlock,V.catchClause),Ji(V.catchClause)),V.finallyBlock&&(np(V,V.catchClause||V.tryBlock,V.finallyBlock),Xe(98,(V.catchClause||V.tryBlock).end,Vo,V),rr(),Ji(V.finallyBlock))}function h0(V){A2(89,V.pos,Vo),fh()}function K0(V){var Le,Ut,Wi;Ji(V.name),Ji(V.exclamationToken),Y0(V.type),Ky(V.initializer,((Le=V.type)==null?void 0:Le.end)??((Wi=(Ut=V.name.emitNode)==null?void 0:Ut.typeNode)==null?void 0:Wi.end)??V.name.end,V,On.parenthesizeExpressionForDisallowedComma)}function eb(V){if(iV(V))Vo("await"),rr(),Vo("using");else{const Le=WG(V)?"let":$6(V)?"const":nV(V)?"using":"var";Vo(Le)}rr(),Tl(V,V.declarations,528)}function PS(V){tb(V)}function tb(V){Kp(V,V.modifiers,!1),Vo("function"),Ji(V.asteriskToken),rr(),ac(V.name),ng(V,Gp,rg)}function ng(V,Le,Ut){const Wi=Ya(V)&131072;Wi&&Dh(),yC(V),O(V.parameters,Qd),Le(V),Ut(V),m0(V),Wi&&Xp()}function rg(V){const Le=V.body;Le?X0(Le):fh()}function Vl(V){fh()}function Gp(V){ff(V,V.typeParameters),mC(V,V.parameters),Y0(V.type)}function ep(V){if(Ya(V)&1)return!0;if(V.multiLine||!cl(V)&&X&&!wI(V,X)||zS(V,Ra(V.statements),2)||sN(V,rl(V.statements),2,V.statements))return!1;let Le;for(const Ut of V.statements){if(LD(Le,Ut,2)>0)return!1;Le=Ut}return!0}function X0(V){Qd(V),g==null||g(V),rr(),vr("{"),Dh();const Le=ep(V)?ib:jg;Rx(V,V.statements,Le),Xp(),A2(20,V.statements.end,vr,V),C==null||C(V)}function ib(V){jg(V,!0)}function jg(V,Le){const Ut=$a(V.statements),Wi=Ne.getTextPos();ji(V),Ut===0&&Wi===Ne.getTextPos()&&Le?(Xp(),Tl(V,V.statements,768),Dh()):Tl(V,V.statements,1,void 0,Ut)}function $y(V){uC(V)}function uC(V){Kp(V,V.modifiers,!0),Xe(86,R1(V).pos,Vo,V),V.name&&(rr(),ac(V.name));const Le=Ya(V)&131072;Le&&Dh(),ff(V,V.typeParameters),Tl(V,V.heritageClauses,0),rr(),vr("{"),yC(V),O(V.members,uN),Tl(V,V.members,129),m0(V),vr("}"),Le&&Xp()}function AS(V){Kp(V,V.modifiers,!1),Vo("interface"),rr(),Ji(V.name),ff(V,V.typeParameters),Tl(V,V.heritageClauses,512),rr(),vr("{"),yC(V),O(V.members,uN),Tl(V,V.members,129),m0(V),vr("}")}function zg(V){Kp(V,V.modifiers,!1),Vo("type"),rr(),Ji(V.name),ff(V,V.typeParameters),rr(),vr("="),rr(),Ji(V.type),fh()}function x2(V){Kp(V,V.modifiers,!1),Vo("enum"),rr(),Ji(V.name),rr(),vr("{"),Tl(V,V.members,145),vr("}")}function bm(V){Kp(V,V.modifiers,!1),~V.flags&2048&&(Vo(V.flags&32?"namespace":"module"),rr()),Ji(V.name);let Le=V.body;if(!Le)return fh();for(;Le&&rd(Le);)vr("."),Ji(Le.name),Le=Le.body;rr(),Ji(Le)}function Ug(V){yC(V),O(V.statements,Qd),jd(V,wO(V)),m0(V)}function dC(V){Xe(19,V.pos,vr,V),Tl(V,V.clauses,129),Xe(20,V.clauses.end,vr,V,!0)}function k2(V){Kp(V,V.modifiers,!1),Xe(102,V.modifiers?V.modifiers.end:V.pos,Vo,V),rr(),V.isTypeOnly&&(Xe(156,V.pos,Vo,V),rr()),Ji(V.name),rr(),Xe(64,V.name.end,vr,V),rr(),Jy(V.moduleReference),fh()}function Jy(V){V.kind===80?St(V):Ji(V)}function nb(V){Kp(V,V.modifiers,!1),Xe(102,V.modifiers?V.modifiers.end:V.pos,Vo,V),rr(),V.importClause&&(Ji(V.importClause),rr(),Xe(161,V.importClause.end,Vo,V),rr()),St(V.moduleSpecifier),V.attributes&&Nx(V.attributes),fh()}function T2(V){V.isTypeOnly&&(Xe(156,V.pos,Vo,V),rr()),Ji(V.name),V.name&&V.namedBindings&&(Xe(28,V.name.end,vr,V),rr()),Ji(V.namedBindings)}function xx(V){const Le=Xe(42,V.pos,vr,V);rr(),Xe(130,Le,Vo,V),rr(),Ji(V.name)}function it(V){cr(V)}function yt(V){Go(V)}function ei(V){const Le=Xe(95,V.pos,Vo,V);rr(),V.isExportEquals?Xe(64,Le,HS,V):Xe(90,Le,Vo,V),rr(),St(V.expression,V.isExportEquals?On.getParenthesizeRightSideOfBinaryForOperator(64):On.parenthesizeExpressionOfExportDefault),fh()}function Pi(V){Kp(V,V.modifiers,!1);let Le=Xe(95,V.pos,Vo,V);if(rr(),V.isTypeOnly&&(Le=Xe(156,Le,Vo,V),rr()),V.exportClause?Ji(V.exportClause):Le=Xe(42,Le,vr,V),V.moduleSpecifier){rr();const Ut=V.exportClause?V.exportClause.end:Le;Xe(161,Ut,Vo,V),rr(),St(V.moduleSpecifier)}V.attributes&&Nx(V.attributes),fh()}function nn(V){vr("{"),rr(),Vo(V.token===132?"assert":"with"),vr(":"),rr();const Le=V.elements;Tl(V,Le,526226),rr(),vr("}")}function Vn(V){Xe(V.token,V.pos,Vo,V),rr();const Le=V.elements;Tl(V,Le,526226)}function mn(V){Ji(V.name),vr(":"),rr();const Le=V.value;if(!(Ya(Le)&1024)){const Ut=B1(Le);db(Ut.pos)}Ji(Le)}function Pr(V){let Le=Xe(95,V.pos,Vo,V);rr(),Le=Xe(130,Le,Vo,V),rr(),Le=Xe(145,Le,Vo,V),rr(),Ji(V.name),fh()}function ks(V){const Le=Xe(42,V.pos,vr,V);rr(),Xe(130,Le,Vo,V),rr(),Ji(V.name)}function ar(V){cr(V)}function Ns(V){Go(V)}function cr(V){vr("{"),Tl(V,V.elements,525136),vr("}")}function Go(V){V.isTypeOnly&&(Vo("type"),rr()),V.propertyName&&(Ji(V.propertyName),rr(),Xe(130,V.propertyName.end,Vo,V),rr()),Ji(V.name)}function ll(V){Vo("require"),vr("("),St(V.expression),vr(")")}function qh(V){Ji(V.openingElement),Tl(V,V.children,262144),Ji(V.closingElement)}function qg(V){vr("<"),Ex(V.tagName),yr(V,V.typeArguments),rr(),Ji(V.attributes),vr("/>")}function $h(V){Ji(V.openingFragment),Tl(V,V.children,262144),Ji(V.closingFragment)}function $g(V){if(vr("<"),z1(V)){const Le=oN(V.tagName,V);Ex(V.tagName),yr(V,V.typeArguments),V.attributes.properties&&V.attributes.properties.length>0&&rr(),Ji(V.attributes),aN(V.attributes,V),jS(Le)}vr(">")}function Jh(V){Ne.writeLiteral(V.text)}function DD(V){vr("</"),oD(V)&&Ex(V.tagName),vr(">")}function kx(V){Tl(V,V.properties,262656)}function rb(V){Ji(V.name),EA("=",vr,V.initializer,ri)}function fC(V){vr("{..."),St(V.expression),vr("}")}function OS(V){let Le=!1;return DW((X==null?void 0:X.text)||"",V+1,()=>Le=!0),Le}function _0(V){let Le=!1;return TW((X==null?void 0:X.text)||"",V+1,()=>Le=!0),Le}function MS(V){return OS(V)||_0(V)}function Tx(V){var Le;if(V.expression||!tn&&!cl(V)&&MS(V.pos)){const Ut=X&&!cl(V)&&Ia(X,V.pos).line!==Ia(X,V.end).line;Ut&&Ne.increaseIndent();const Wi=Xe(19,V.pos,vr,V);Ji(V.dotDotDotToken),St(V.expression),Xe(20,((Le=V.expression)==null?void 0:Le.end)||Wi,vr,V),Ut&&Ne.decreaseIndent()}}function Dx(V){ac(V.namespace),vr(":"),ac(V.name)}function Ex(V){V.kind===80?St(V):Ji(V)}function ZI(V){Xe(84,V.pos,Vo,V),rr(),St(V.expression,On.parenthesizeExpressionForDisallowedComma),lc(V,V.statements,V.expression.end)}function D2(V){const Le=Xe(90,V.pos,Vo,V);lc(V,V.statements,Le)}function lc(V,Le,Ut){const Wi=Le.length===1&&(!X||cl(V)||cl(Le[0])||DK(V,Le[0],X));let gs=163969;Wi?(A2(59,Ut,vr,V),rr(),gs&=-130):Xe(59,Ut,vr,V),Tl(V,Le,gs)}function ED(V){rr(),cb(V.token,Vo),rr(),Tl(V,V.types,528)}function YI(V){const Le=Xe(85,V.pos,Vo,V);rr(),V.variableDeclaration&&(Xe(21,Le,vr,V),Ji(V.variableDeclaration),Xe(22,V.variableDeclaration.end,vr,V),rr()),Ji(V.block)}function E2(V){Ji(V.name),vr(":"),rr();const Le=V.initializer;if(!(Ya(Le)&1024)){const Ut=B1(Le);db(Ut.pos)}St(Le,On.parenthesizeExpressionForDisallowedComma)}function sb(V){Ji(V.name),V.objectAssignmentInitializer&&(rr(),vr("="),rr(),St(V.objectAssignmentInitializer,On.parenthesizeExpressionForDisallowedComma))}function ob(V){V.expression&&(Xe(26,V.pos,vr,V),St(V.expression,On.parenthesizeExpressionForDisallowedComma))}function Cm(V){Ji(V.name),Ky(V.initializer,V.name.end,V,On.parenthesizeExpressionForDisallowedComma)}function Op(V){if(He("/**"),V.comment){const Le=RW(V.comment);if(Le){const Ut=Le.split(/\r\n?|\n/);for(const Wi of Ut)Th(),rr(),vr("*"),rr(),He(Wi)}}V.tags&&(V.tags.length===1&&V.tags[0].kind===344&&!V.comment?(rr(),Ji(V.tags[0])):Tl(V,V.tags,33)),rr(),He("*/")}function Mp(V){Q1(V.tagName),Z0(V.typeExpression),ft(V.comment)}function tp(V){Q1(V.tagName),Ji(V.name),ft(V.comment)}function Ix(V){Q1(V.tagName),rr(),V.importClause&&(Ji(V.importClause),rr(),Xe(161,V.importClause.end,Vo,V),rr()),St(V.moduleSpecifier),V.attributes&&Nx(V.attributes),ft(V.comment)}function vO(V){rr(),vr("{"),Ji(V.name),vr("}")}function Xd(V){Q1(V.tagName),rr(),vr("{"),Ji(V.class),vr("}"),ft(V.comment)}function Q0(V){Q1(V.tagName),Z0(V.constraint),rr(),Tl(V,V.typeParameters,528),ft(V.comment)}function RS(V){Q1(V.tagName),V.typeExpression&&(V.typeExpression.kind===309?Z0(V.typeExpression):(rr(),vr("{"),He("Object"),V.typeExpression.isArrayType&&(vr("["),vr("]")),vr("}"))),V.fullName&&(rr(),Ji(V.fullName)),ft(V.comment),V.typeExpression&&V.typeExpression.kind===322&&hC(V.typeExpression)}function Gy(V){Q1(V.tagName),V.name&&(rr(),Ji(V.name)),ft(V.comment),ip(V.typeExpression)}function kA(V){ft(V.comment),ip(V.typeExpression)}function dh(V){Q1(V.tagName),ft(V.comment)}function hC(V){Tl(V,G.createNodeArray(V.jsDocPropertyTags),33)}function ip(V){V.typeParameters&&Tl(V,G.createNodeArray(V.typeParameters),33),V.parameters&&Tl(V,G.createNodeArray(V.parameters),33),V.type&&(Th(),rr(),vr("*"),rr(),Ji(V.type))}function I2(V){Q1(V.tagName),Z0(V.typeExpression),rr(),V.isBracketed&&vr("["),Ji(V.name),V.isBracketed&&vr("]"),ft(V.comment)}function Q1(V){vr("@"),Ji(V)}function ft(V){const Le=RW(V);Le&&(rr(),He(Le))}function Z0(V){V&&(rr(),vr("{"),Ji(V.type),vr("}"))}function Z1(V){Th();const Le=V.statements;if(Le.length===0||!I1(Le[0])||cl(Le[0])){Rx(V,Le,FS);return}FS(V)}function N2(V){_C(!!V.hasNoDefaultLib,V.syntheticFileReferences||[],V.syntheticTypeReferences||[],V.syntheticLibReferences||[])}function TA(V){V.isDeclarationFile&&_C(V.hasNoDefaultLib,V.referencedFiles,V.typeReferenceDirectives,V.libReferenceDirectives)}function _C(V,Le,Ut,Wi){if(V&&(P2('/// <reference no-default-lib="true"/>'),Th()),X&&X.moduleName&&(P2(`/// <amd-module name="${X.moduleName}" />`),Th()),X&&X.amdDependencies)for(const ao of X.amdDependencies)ao.name?P2(`/// <amd-dependency name="${ao.name}" path="${ao.path}" />`):P2(`/// <amd-dependency path="${ao.path}" />`),Th();function gs(ao,Co){for(const co of Co){const Xs=co.resolutionMode?`resolution-mode="${co.resolutionMode===99?"import":"require"}" `:"",Gh=co.preserve?'preserve="true" ':"";P2(`/// <reference ${ao}="${co.fileName}" ${Xs}${Gh}/>`),Th()}}gs("path",Le),gs("types",Ut),gs("lib",Wi)}function FS(V){const Le=V.statements;yC(V),O(V.statements,Qd),ji(V);const Ut=ct(Le,Wi=>!I1(Wi));TA(V),Tl(V,Le,1,void 0,Ut===-1?Le.length:Ut),m0(V)}function pC(V){const Le=Ya(V);!(Le&1024)&&V.pos!==V.expression.pos&&db(V.expression.pos),St(V.expression),!(Le&2048)&&V.end!==V.expression.end&&$S(V.expression.end)}function DA(V){gC(V,V.elements,528,void 0)}function $a(V,Le,Ut){let Wi=!!Le;for(let gs=0;gs<V.length;gs++){const ao=V[gs];if(I1(ao))(Ut?!Ut.has(ao.expression.text):!0)&&(Wi&&(Wi=!1,Xr(Le)),Th(),Ji(ao),Ut&&Ut.add(ao.expression.text));else return gs}return V.length}function p0(V){if(Os(V))$a(V.statements,V);else{const Le=new Set;for(const Ut of V.sourceFiles)$a(Ut.statements,Ut,Le);Xr(void 0)}}function BS(V){if(Os(V)){const Le=rG(V.text);if(Le)return P2(Le),Th(),!0}else for(const Le of V.sourceFiles)if(BS(Le))return!0}function L2(V,Le){if(!V)return;const Ut=He;He=Le,Ji(V),He=Ut}function Kp(V,Le,Ut){if(Le!=null&&Le.length){if(Ce(Le,Fa))return ab(V,Le);if(Ce(Le,Fd))return Ut?Ys(V,Le):V.pos;b==null||b(Le);let Wi,gs,ao=0,Co=0,co;for(;ao<Le.length;){for(;Co<Le.length;){if(co=Le[Co],gs=Fd(co)?"decorators":"modifiers",Wi===void 0)Wi=gs;else if(gs!==Wi)break;Co++}const Xs={pos:-1,end:-1};ao===0&&(Xs.pos=Le.pos),Co===Le.length-1&&(Xs.end=Le.end),(Wi==="modifiers"||Ut)&&sg(Ji,V,Le,Wi==="modifiers"?2359808:2146305,void 0,ao,Co-ao,!1,Xs),ao=Co,Wi=gs,Co++}if(T==null||T(Le),co&&!W0(co.end))return co.end}return V.pos}function ab(V,Le){Tl(V,Le,2359808);const Ut=rl(Le);return Ut&&!W0(Ut.end)?Ut.end:V.pos}function Y0(V){V&&(vr(":"),rr(),Ji(V))}function Ky(V,Le,Ut,Wi){V&&(rr(),Xe(64,Le,HS,Ut),rr(),St(V,Wi))}function EA(V,Le,Ut,Wi){Ut&&(Le(V),Wi(Ut))}function Nx(V){V&&(rr(),Ji(V))}function Jg(V,Le){V&&(rr(),St(V,Le))}function IA(V){V&&(Ji(V),rr())}function WS(V,Le){Xo(Le)||Ya(V)&1||qe&&!zS(V,Le,0)?(rr(),Ji(Le)):(Th(),Dh(),mle(Le)?Se(5,Le):Ji(Le),Xp())}function Ys(V,Le){Tl(V,Le,2146305);const Ut=rl(Le);return Ut&&!W0(Ut.end)?Ut.end:V.pos}function yr(V,Le){Tl(V,Le,53776,pn)}function ff(V,Le){if(Ho(V)&&V.typeArguments)return yr(V,V.typeArguments);Tl(V,Le,53776|(xl(V)?64:0))}function mC(V,Le){Tl(V,Le,2576)}function VS(V,Le){const Ut=Bm(Le);return Ut&&Ut.pos===V.pos&&xl(V)&&!V.type&&!Ft(V.modifiers)&&!Ft(V.typeParameters)&&!Ft(Ut.modifiers)&&!Ut.dotDotDotToken&&!Ut.questionToken&&!Ut.type&&!Ut.initializer&&ot(Ut.name)}function eN(V,Le){VS(V,Le)?Tl(V,Le,528):mC(V,Le)}function Lx(V,Le){Tl(V,Le,8848)}function tN(V){switch(V&60){case 0:break;case 16:vr(",");break;case 4:rr(),vr("|");break;case 32:rr(),vr("*"),rr();break;case 8:rr(),vr("&");break}}function Tl(V,Le,Ut,Wi,gs,ao){ID(Ji,V,Le,Ut|(V&&Ya(V)&2?65536:0),Wi,gs,ao)}function gC(V,Le,Ut,Wi,gs,ao){ID(St,V,Le,Ut,Wi,gs,ao)}function ID(V,Le,Ut,Wi,gs,ao=0,Co=Ut?Ut.length-ao:0){if(Ut===void 0&&Wi&16384)return;const Xs=Ut===void 0||ao>=Ut.length||Co===0;if(Xs&&Wi&32768){b==null||b(Ut),T==null||T(Ut);return}Wi&15360&&(vr(i8t(Wi)),Xs&&Ut&&db(Ut.pos,!0)),b==null||b(Ut),Xs?Wi&1&&!(qe&&(!Le||X&&wI(Le,X)))?Th():Wi&256&&!(Wi&524288)&&rr():sg(V,Le,Ut,Wi,gs,ao,Co,Ut.hasTrailingComma,Ut),T==null||T(Ut),Wi&15360&&(Xs&&Ut&&$S(Ut.end),vr(n8t(Wi)))}function sg(V,Le,Ut,Wi,gs,ao,Co,co,Xs){const Gh=(Wi&262144)===0;let xf=Gh;const i1=zS(Le,Ut[ao],Wi);i1?(Th(i1),xf=!1):Wi&256&&rr(),Wi&128&&Dh();const JS=a8t(V,gs);let Bp,WD=!1;for(let n1=0;n1<Co;n1++){const fb=Ut[ao+n1];if(Wi&32)Th(),tN(Wi);else if(Bp){Wi&60&&Bp.end!==(Le?Le.end:-1)&&(Ya(Bp)&2048||$S(Bp.end)),tN(Wi);const GS=LD(Bp,fb,Wi);if(GS>0){if(Wi&131||(Dh(),WD=!0),xf&&Wi&60&&!W0(fb.pos)){const VA=B1(fb);db(VA.pos,!!(Wi&512),!0)}Th(GS),xf=!1}else Bp&&Wi&512&&rr()}if(xf){const GS=B1(fb);db(GS.pos)}else xf=Gh;se=fb.pos,JS(fb,V,gs,n1),WD&&(Xp(),WD=!1),Bp=fb}const F2=Bp?Ya(Bp):0,VD=tn||!!(F2&2048),WA=co&&Wi&64&&Wi&16;WA&&(Bp&&!VD?Xe(28,Bp.end,vr,Bp):vr(",")),Bp&&(Le?Le.end:-1)!==Bp.end&&Wi&60&&!VD&&$S(WA&&(Xs!=null&&Xs.end)?Xs.end:Bp.end),Wi&128&&Xp();const gN=sN(Le,Ut[ao+Co-1],Wi,Xs);gN?Th(gN):Wi&2097408&&rr()}function ND(V){Ne.writeLiteral(V)}function iN(V){Ne.writeStringLiteral(V)}function bO(V){Ne.write(V)}function CO(V,Le){Ne.writeSymbol(V,Le)}function vr(V){Ne.writePunctuation(V)}function fh(){Ne.writeTrailingSemicolon(";")}function Vo(V){Ne.writeKeyword(V)}function HS(V){Ne.writeOperator(V)}function NA(V){Ne.writeParameter(V)}function P2(V){Ne.writeComment(V)}function rr(){Ne.writeSpace(" ")}function SO(V){Ne.writeProperty(V)}function nN(V){Ne.nonEscapingWrite?Ne.nonEscapingWrite(V):Ne.write(V)}function Th(V=1){for(let Le=0;Le<V;Le++)Ne.writeLine(Le>0)}function Dh(){Ne.increaseIndent()}function Xp(){Ne.decreaseIndent()}function A2(V,Le,Ut,Wi){return We?cb(V,Ut,Le):Kg(Wi,V,Ut,Le,cb)}function rN(V,Le){E&&E(V),Le(xa(V.kind)),N&&N(V)}function cb(V,Le,Ut){const Wi=xa(V);return Le(Wi),Ut<0?Ut:Ut+Wi.length}function np(V,Le,Ut){if(Ya(V)&1)rr();else if(qe){const Wi=e1(V,Le,Ut);Wi?Th(Wi):rr()}else Th()}function Y1(V){const Le=V.split(/\r\n?|\n/),Ut=W2e(Le);for(const Wi of Le){const gs=Ut?Wi.slice(Ut):Wi;gs.length&&(Th(),He(gs))}}function Sm(V,Le){V?(Dh(),Th(V)):Le&&rr()}function jS(V,Le){V&&Xp(),Le&&Xp()}function zS(V,Le,Ut){if(Ut&2||qe){if(Ut&65536)return 1;if(Le===void 0)return!V||X&&wI(V,X)?0:1;if(Le.pos===se||Le.kind===12)return 0;if(X&&V&&!W0(V.pos)&&!cl(Le)&&(!Le.parent||Ql(Le.parent)===Ql(V)))return qe?Px(Wi=>gDe(Le.pos,V.pos,X,Wi)):DK(V,Le,X)?0:1;if(cN(Le,Ut))return 1}return Ut&1?1:0}function LD(V,Le,Ut){if(Ut&2||qe){if(V===void 0||Le===void 0||Le.kind===12)return 0;if(X&&!cl(V)&&!cl(Le))return qe&&_N(V,Le)?Px(Wi=>Cce(V,Le,X,Wi)):!qe&&wm(V,Le)?zV(V,Le,X)?0:1:Ut&65536?1:0;if(cN(V,Ut)||cN(Le,Ut))return 1}else if(f7(Le))return 1;return Ut&1?1:0}function sN(V,Le,Ut,Wi){if(Ut&2||qe){if(Ut&65536)return 1;if(Le===void 0)return!V||X&&wI(V,X)?0:1;if(X&&V&&!W0(V.pos)&&!cl(Le)&&(!Le.parent||Le.parent===V)){if(qe){const gs=Wi&&!W0(Wi.end)?Wi.end:Le.end;return Px(ao=>yDe(gs,V.end,X,ao))}return _De(V,Le,X)?0:1}if(cN(Le,Ut))return 1}return Ut&1&&!(Ut&131072)?1:0}function Px(V){j.assert(!!qe);const Le=V(!0);return Le===0?V(!1):Le}function oN(V,Le){const Ut=qe&&zS(Le,V,0);return Ut&&Sm(Ut,!1),!!Ut}function aN(V,Le){const Ut=qe&&sN(Le,V,0,void 0);Ut&&Th(Ut)}function cN(V,Le){if(cl(V)){const Ut=f7(V);return Ut===void 0?(Le&65536)!==0:Ut}return(Le&65536)!==0}function e1(V,Le,Ut){return Ya(V)&262144?0:(V=O2(V),Le=O2(Le),Ut=O2(Ut),f7(Ut)?1:X&&!cl(V)&&!cl(Le)&&!cl(Ut)?qe?Px(Wi=>Cce(Le,Ut,X,Wi)):zV(Le,Ut,X)?0:1:0)}function wO(V){return V.statements.length===0&&(!X||zV(V,V,X))}function O2(V){for(;V.kind===217&&cl(V);)V=V.expression;return V}function Ax(V,Le){if(zl(V)||uI(V))return dN(V);if(Ha(V)&&V.textSourceNode)return Ax(V.textSourceNode,Le);const Ut=X,Wi=!!Ut&&!!V.parent&&!cl(V);if(Mv(V)){if(!Wi||_n(V)!==Ql(Ut))return Fr(V)}else if(j0(V)){if(!Wi||_n(V)!==Ql(Ut))return d7(V)}else if(j.assertNode(V,lI),!Wi)return V.text;return RT(Ut,V,Le)}function lb(V,Le=X,Ut,Wi){if(V.kind===11&&V.textSourceNode){const ao=V.textSourceNode;if(ot(ao)||Vs(ao)||A_(ao)||j0(ao)){const Co=A_(ao)?ao.text:Ax(ao);return Wi?`"${sce(Co)}"`:Ut||Ya(V)&16777216?`"${Vy(Co)}"`:`"${dK(Co)}"`}else return lb(ao,_n(ao),Ut,Wi)}const gs=(Ut?1:0)|(Wi?2:0)|(t.terminateUnterminatedLiterals?4:0)|(t.target&&t.target>=8?8:0);return Q2e(V,Le,gs)}function yC(V){ue.push(Ie),Ie=0,Te.push(De),!(V&&Ya(V)&1048576)&&(we.push(he),he=0,pe.push(de),de=void 0,Ae.push(ke))}function m0(V){Ie=ue.pop(),De=Te.pop(),!(V&&Ya(V)&1048576)&&(he=we.pop(),de=pe.pop(),ke=Ae.pop())}function US(V){(!ke||ke===rl(Ae))&&(ke=new Set),ke.add(V)}function lN(V){(!De||De===rl(Te))&&(De=new Set),De.add(V)}function Qd(V){if(V)switch(V.kind){case 241:O(V.statements,Qd);break;case 256:case 254:case 246:case 247:Qd(V.statement);break;case 245:Qd(V.thenStatement),Qd(V.elseStatement);break;case 248:case 250:case 249:Qd(V.initializer),Qd(V.statement);break;case 255:Qd(V.caseBlock);break;case 269:O(V.clauses,Qd);break;case 296:case 297:O(V.statements,Qd);break;case 258:Qd(V.tryBlock),Qd(V.catchClause),Qd(V.finallyBlock);break;case 299:Qd(V.variableDeclaration),Qd(V.block);break;case 243:Qd(V.declarationList);break;case 261:O(V.declarations,Qd);break;case 260:case 169:case 208:case 263:Xy(V.name);break;case 262:Xy(V.name),Ya(V)&1048576&&(O(V.parameters,Qd),Qd(V.body));break;case 206:case 207:O(V.elements,Qd);break;case 272:Qd(V.importClause);break;case 273:Xy(V.name),Qd(V.namedBindings);break;case 274:Xy(V.name);break;case 280:Xy(V.name);break;case 275:O(V.elements,Qd);break;case 276:Xy(V.propertyName||V.name);break}}function uN(V){if(V)switch(V.kind){case 303:case 304:case 172:case 171:case 174:case 173:case 177:case 178:Xy(V.name);break}}function Xy(V){V&&(zl(V)||uI(V)?dN(V):ta(V)&&Qd(V))}function dN(V){const Le=V.emitNode.autoGenerate;if((Le.flags&7)===4)return M2(wH(V),Vs(V),Le.flags,Le.prefix,Le.suffix);{const Ut=Le.id;return re[Ut]||(re[Ut]=kO(V))}}function M2(V,Le,Ut,Wi,gs){const ao=Sc(V),Co=Le?Q:Z;return Co[ao]||(Co[ao]=nu(V,Le,Ut??0,L3(Wi,dN),L3(gs)))}function vC(V,Le){return PD(V)&&!Ox(V,Le)&&!oe.has(V)}function Ox(V,Le){let Ut,Wi;if(Le?(Ut=De,Wi=Te):(Ut=ke,Wi=Ae),Ut!=null&&Ut.has(V))return!0;for(let gs=Wi.length-1;gs>=0;gs--)if(Ut!==Wi[gs]&&(Ut=Wi[gs],Ut!=null&&Ut.has(V)))return!0;return!1}function PD(V,Le){return X?PG(X,V,a):!0}function Zu(V,Le){for(let Ut=Le;Ut&&UT(Ut,Le);Ut=Ut.nextContainer)if(Ay(Ut)&&Ut.locals){const Wi=Ut.locals.get(cu(V));if(Wi&&Wi.flags&3257279)return!1}return!0}function $f(V){switch(V){case"":return he;case"#":return Ie;default:return(de==null?void 0:de.get(V))??0}}function xO(V,Le){switch(V){case"":he=Le;break;case"#":Ie=Le;break;default:de??(de=new Map),de.set(V,Le);break}}function Eh(V,Le,Ut,Wi,gs){Wi.length>0&&Wi.charCodeAt(0)===35&&(Wi=Wi.slice(1));const ao=c2(Ut,Wi,"",gs);let Co=$f(ao);if(V&&!(Co&V)){const Xs=c2(Ut,Wi,V===268435456?"_i":"_n",gs);if(vC(Xs,Ut))return Co|=V,Ut?lN(Xs):Le&&US(Xs),xO(ao,Co),Xs}for(;;){const co=Co&268435455;if(Co++,co!==8&&co!==13){const Xs=co<26?"_"+String.fromCharCode(97+co):"_"+(co-26),Gh=c2(Ut,Wi,Xs,gs);if(vC(Gh,Ut))return Ut?lN(Gh):Le&&US(Gh),xO(ao,Co),Gh}}}function rp(V,Le=vC,Ut,Wi,gs,ao,Co){if(V.length>0&&V.charCodeAt(0)===35&&(V=V.slice(1)),ao.length>0&&ao.charCodeAt(0)===35&&(ao=ao.slice(1)),Ut){const Xs=c2(gs,ao,V,Co);if(Le(Xs,gs))return gs?lN(Xs):Wi?US(Xs):oe.add(Xs),Xs}V.charCodeAt(V.length-1)!==95&&(V+="_");let co=1;for(;;){const Xs=c2(gs,ao,V+co,Co);if(Le(Xs,gs))return gs?lN(Xs):Wi?US(Xs):oe.add(Xs),Xs;co++}}function LA(V){return rp(V,PD,!0,!1,!1,"","")}function ey(V){const Le=Ax(V.name);return Zu(Le,Jr(V,Ay))?Le:rp(Le,vC,!1,!1,!1,"","")}function PA(V){const Le=fP(V),Ut=Ha(Le)?Y2e(Le.text):"module";return rp(Ut,vC,!1,!1,!1,"","")}function qS(){return rp("default",vC,!1,!1,!1,"","")}function fN(){return rp("class",vC,!1,!1,!1,"","")}function L5(V,Le,Ut,Wi){return ot(V.name)?M2(V.name,Le):Eh(0,!1,Le,Ut,Wi)}function nu(V,Le,Ut,Wi,gs){switch(V.kind){case 80:case 81:return rp(Ax(V),vC,!!(Ut&16),!!(Ut&8),Le,Wi,gs);case 267:case 266:return j.assert(!Wi&&!gs&&!Le),ey(V);case 272:case 278:return j.assert(!Wi&&!gs&&!Le),PA(V);case 262:case 263:{j.assert(!Wi&&!gs&&!Le);const ao=V.name;return ao&&!zl(ao)?nu(ao,!1,Ut,Wi,gs):qS()}case 277:return j.assert(!Wi&&!gs&&!Le),qS();case 231:return j.assert(!Wi&&!gs&&!Le),fN();case 174:case 177:case 178:return L5(V,Le,Wi,gs);case 167:return Eh(0,!0,Le,Wi,gs);default:return Eh(0,!1,Le,Wi,gs)}}function kO(V){const Le=V.emitNode.autoGenerate,Ut=L3(Le.prefix,dN),Wi=L3(Le.suffix);switch(Le.flags&7){case 1:return Eh(0,!!(Le.flags&8),Vs(V),Ut,Wi);case 2:return j.assertNode(V,ot),Eh(268435456,!!(Le.flags&8),!1,Ut,Wi);case 3:return rp(Fr(V),Le.flags&32?PD:vC,!!(Le.flags&16),!!(Le.flags&8),Vs(V),Ut,Wi)}return j.fail(`Unsupported GeneratedIdentifierKind: ${j.formatEnum(Le.flags&7,hoe,!0)}.`)}function AA(V,Le){const Ut=Je(2,V,Le),Wi=ut,gs=wt,ao=Et;Mx(Le),Ut(V,Le),hN(Le,Wi,gs,ao)}function Mx(V){const Le=Ya(V),Ut=B1(V);B_(V,Le,Ut.pos,Ut.end),Le&4096&&(tn=!0)}function hN(V,Le,Ut,Wi){const gs=Ya(V),ao=B1(V);gs&4096&&(tn=!1),AD(V,gs,ao.pos,ao.end,Le,Ut,Wi);const Co=bEe(V);Co&&AD(V,gs,Co.pos,Co.end,Le,Ut,Wi)}function B_(V,Le,Ut,Wi){_i(),Ei=!1;const gs=Ut<0||(Le&1024)!==0||V.kind===12,ao=Wi<0||(Le&2048)!==0||V.kind===12;(Ut>0||Wi>0)&&Ut!==Wi&&(gs||Fx(Ut,V.kind!==353),(!gs||Ut>=0&&Le&1024)&&(ut=Ut),(!ao||Wi>=0&&Le&2048)&&(wt=Wi,V.kind===261&&(Et=Wi))),O(y3(V),OA),Fi()}function AD(V,Le,Ut,Wi,gs,ao,Co){_i();const co=Wi<0||(Le&2048)!==0||V.kind===12;O(cH(V),OD),(Ut>0||Wi>0)&&Ut!==Wi&&(ut=gs,wt=ao,Et=Co,!co&&V.kind!==353&&__(Wi)),Fi()}function OA(V){(V.hasLeadingNewline||V.kind===2)&&Ne.writeLine(),sp(V),V.hasTrailingNewLine||V.kind===2?Ne.writeLine():Ne.writeSpace(" ")}function OD(V){Ne.isAtStartOfLine()||Ne.writeSpace(" "),sp(V),V.hasTrailingNewLine&&Ne.writeLine()}function sp(V){const Le=MA(V),Ut=V.kind===3?ZL(Le):void 0;c3(Le,Ut,Ne,0,Le.length,H)}function MA(V){return V.kind===3?`/*${V.text}*/`:`//${V.text}`}function Rx(V,Le,Ut){_i();const{pos:Wi,end:gs}=Le,ao=Ya(V),Co=Wi<0||(ao&1024)!==0,co=tn||gs<0||(ao&2048)!==0;Co||DO(Le),Fi(),ao&4096&&!tn?(tn=!0,Ut(V),tn=!1):Ut(V),_i(),co||(Fx(Le.end,!0),Ei&&!Ne.isAtStartOfLine()&&Ne.writeLine()),Fi()}function wm(V,Le){return V=Ql(V),V.parent&&V.parent===Ql(Le).parent}function _N(V,Le){if(Le.pos<V.end)return!1;V=Ql(V),Le=Ql(Le);const Ut=V.parent;if(!Ut||Ut!==Le.parent)return!1;const Wi=ZDe(V),gs=Wi==null?void 0:Wi.indexOf(V);return gs!==void 0&&gs>-1&&Wi.indexOf(Le)===gs+1}function Fx(V,Le){Ei=!1,Le?V===0&&(X!=null&&X.isDeclarationFile)?xr(V,MD):xr(V,RA):V===0&&xr(V,P5)}function P5(V,Le,Ut,Wi,gs){R2(V,Le)&&RA(V,Le,Ut,Wi,gs)}function MD(V,Le,Ut,Wi,gs){R2(V,Le)||RA(V,Le,Ut,Wi,gs)}function pN(V,Le){return t.onlyPrintJsDocStyle?Hle(V,Le)||AG(V,Le):!0}function RA(V,Le,Ut,Wi,gs){!X||!pN(X.text,V)||(Ei||(eDe(ka(),Ne,gs,V),Ei=!0),Fp(V),c3(X.text,ka(),Ne,V,Le,H),Fp(Le),Wi?Ne.writeLine():Ut===3&&Ne.writeSpace(" "))}function $S(V){tn||V===-1||Fx(V,!0)}function __(V){p_(V,ub)}function ub(V,Le,Ut,Wi){!X||!pN(X.text,V)||(Ne.isAtStartOfLine()||Ne.writeSpace(" "),Fp(V),c3(X.text,ka(),Ne,V,Le,H),Fp(Le),Wi&&Ne.writeLine())}function db(V,Le,Ut){tn||(_i(),p_(V,Le?ub:Ut?TO:wc),Fi())}function TO(V,Le,Ut){X&&(Fp(V),c3(X.text,ka(),Ne,V,Le,H),Fp(Le),Ut===2&&Ne.writeLine())}function wc(V,Le,Ut,Wi){X&&(Fp(V),c3(X.text,ka(),Ne,V,Le,H),Fp(Le),Wi?Ne.writeLine():Ne.writeSpace(" "))}function xr(V,Le){X&&(ut===-1||V!==ut)&&(Qp(V)?RD(Le):TW(X.text,V,Le,V))}function p_(V,Le){X&&(wt===-1||V!==wt&&V!==Et)&&DW(X.text,V,Le)}function Qp(V){return zt!==void 0&&va(zt).nodePos===V}function RD(V){if(!X)return;const Le=va(zt).detachedCommentEndPos;zt.length-1?zt.pop():zt=void 0,TW(X.text,Le,V,Le)}function DO(V){const Le=X&&tDe(X.text,ka(),Ne,FA,V,H,tn);Le&&(zt?zt.push(Le):zt=[Le])}function FA(V,Le,Ut,Wi,gs,ao){!X||!pN(X.text,Wi)||(Fp(Wi),c3(V,Le,Ut,Wi,gs,ao),Fp(gs))}function R2(V,Le){return!!X&&gae(X.text,V,Le)}function FD(V,Le){const Ut=Je(3,V,Le);BD(Le),Ut(V,Le),Gg(Le)}function BD(V){const Le=Ya(V),Ut=yS(V),Wi=Ut.source||Nt;V.kind!==353&&!(Le&32)&&Ut.pos>=0&&t1(Ut.source||Nt,Rp(Wi,Ut.pos)),Le&128&&(We=!0)}function Gg(V){const Le=Ya(V),Ut=yS(V);Le&128&&(We=!1),V.kind!==353&&!(Le&64)&&Ut.end>=0&&t1(Ut.source||Nt,Ut.end)}function Rp(V,Le){return V.skipTrivia?V.skipTrivia(Le):Va(V.text,Le)}function Fp(V){if(We||W0(V)||BA(Nt))return;const{line:Le,character:Ut}=Ia(Nt,V);ze.addMapping(Ne.getLine(),Ne.getColumn(),$e,Le,Ut,void 0)}function t1(V,Le){if(V!==Nt){const Ut=Nt,Wi=$e;mN(V),Fp(Le),Td(Ut,Wi)}else Fp(Le)}function Kg(V,Le,Ut,Wi,gs){if(We||V&&ZG(V))return gs(Le,Ut,Wi);const ao=V&&V.emitNode,Co=ao&&ao.flags||0,co=ao&&ao.tokenSourceMapRanges&&ao.tokenSourceMapRanges[Le],Xs=co&&co.source||Nt;return Wi=Rp(Xs,co?co.pos:Wi),!(Co&256)&&Wi>=0&&t1(Xs,Wi),Wi=gs(Le,Ut,Wi),co&&(Wi=co.end),!(Co&512)&&Wi>=0&&t1(Xs,Wi),Wi}function mN(V){if(!We){if(Nt=V,V===et){$e=Me;return}BA(V)||($e=ze.addSource(V.fileName),t.inlineSources&&ze.setSourceContent($e,V.text),et=V,Me=$e)}}function Td(V,Le){Nt=V,$e=Le}function BA(V){return Xl(V.fileName,".json")}}function t8t(){const t=[];return t[1024]=["{","}"],t[2048]=["(",")"],t[4096]=["<",">"],t[8192]=["[","]"],t}function i8t(t){return ket[t&15360][0]}function n8t(t){return ket[t&15360][1]}function r8t(t,r,a,u){r(t)}function s8t(t,r,a,u){r(t,a.select(u))}function o8t(t,r,a,u){r(t,a)}function a8t(t,r){return t.length===1?r8t:typeof r=="object"?s8t:o8t}function IQ(t,r,a){if(!t.getDirectories||!t.readDirectory)return;const u=new Map,h=Mf(a);return{useCaseSensitiveFileNames:a,fileExists:F,readFile:(ue,Ie)=>t.readFile(ue,Ie),directoryExists:t.directoryExists&&H,getDirectories:q,readDirectory:X,createDirectory:t.createDirectory&&U,writeFile:t.writeFile&&R,addOrDeleteFileOrDirectory:re,addOrDeleteFile:oe,clearCache:de,realpath:t.realpath&&Z};function p(ue){return ol(ue,r,h)}function g(ue){return u.get(Ad(ue))}function C(ue){const Ie=g(ts(ue));return Ie&&(Ie.sortedAndCanonicalizedFiles||(Ie.sortedAndCanonicalizedFiles=Ie.files.map(h).sort(),Ie.sortedAndCanonicalizedDirectories=Ie.directories.map(h).sort()),Ie)}function b(ue){return ud(ca(ue))}function T(ue,Ie){var we;if(!t.realpath||Ad(p(t.realpath(ue)))===Ie){const he={files:qt(t.readDirectory(ue,void 0,void 0,["*.*"]),b)||[],directories:t.getDirectories(ue)||[]};return u.set(Ad(Ie),he),he}if((we=t.directoryExists)!=null&&we.call(t,ue))return u.set(Ie,!1),!1}function E(ue,Ie){Ie=Ad(Ie);const we=g(Ie);if(we)return we;try{return T(ue,Ie)}catch{j.assert(!u.has(Ad(Ie)));return}}function N(ue,Ie){return Jt(ue,Ie,hl,sh)>=0}function R(ue,Ie,we){const he=p(ue),Ae=C(he);return Ae&&pe(Ae,b(ue),!0),t.writeFile(ue,Ie,we)}function F(ue){const Ie=p(ue),we=C(Ie);return we&&N(we.sortedAndCanonicalizedFiles,h(b(ue)))||t.fileExists(ue)}function H(ue){const Ie=p(ue);return u.has(Ad(Ie))||t.directoryExists(ue)}function U(ue){const Ie=p(ue),we=C(Ie);if(we){const he=b(ue),Ae=h(he),ke=we.sortedAndCanonicalizedDirectories;rm(ke,Ae,sh)&&we.directories.push(he)}t.createDirectory(ue)}function q(ue){const Ie=p(ue),we=E(ue,Ie);return we?we.directories.slice():t.getDirectories(ue)}function X(ue,Ie,we,he,Ae){const ke=p(ue),Te=E(ue,ke);let De;if(Te!==void 0)return Fce(ue,Ie,we,he,a,r,Ae,qe,Z);return t.readDirectory(ue,Ie,we,he,Ae);function qe(Ne){const Oe=p(Ne);if(Oe===ke)return Te||se(Ne,Oe);const He=E(Ne,Oe);return He!==void 0?He||se(Ne,Oe):Vce}function se(Ne,Oe){if(De&&Oe===ke)return De;const He={files:qt(t.readDirectory(Ne,void 0,void 0,["*.*"]),b)||w,directories:t.getDirectories(Ne)||w};return Oe===ke&&(De=He),He}}function Z(ue){return t.realpath?t.realpath(ue):ue}function Q(ue){kg(ts(ue),Ie=>u.delete(Ad(Ie))?!0:void 0)}function re(ue,Ie){if(g(Ie)!==void 0){de();return}const he=C(Ie);if(!he){Q(Ie);return}if(!t.directoryExists){de();return}const Ae=b(ue),ke={fileExists:t.fileExists(ue),directoryExists:t.directoryExists(ue)};return ke.directoryExists||N(he.sortedAndCanonicalizedDirectories,h(Ae))?de():pe(he,Ae,ke.fileExists),ke}function oe(ue,Ie,we){if(we===1)return;const he=C(Ie);he?pe(he,b(ue),we===0):Q(Ie)}function pe(ue,Ie,we){const he=ue.sortedAndCanonicalizedFiles,Ae=h(Ie);if(we)rm(he,Ae,sh)&&ue.files.push(Ie);else{const ke=Jt(he,Ae,hl,sh);if(ke>=0){he.splice(ke,1);const Te=ue.files.findIndex(De=>h(De)===Ae);ue.files.splice(Te,1)}}}function de(){u.clear()}}var wLe=(t=>(t[t.Update=0]="Update",t[t.RootNamesAndUpdate=1]="RootNamesAndUpdate",t[t.Full=2]="Full",t))(wLe||{});function NQ(t,r,a,u,h){var p;const g=Ld(((p=r==null?void 0:r.configFile)==null?void 0:p.extendedSourceFiles)||w,h);a.forEach((C,b)=>{g.has(b)||(C.projects.delete(t),C.close())}),g.forEach((C,b)=>{const T=a.get(b);T?T.projects.add(t):a.set(b,{projects:new Set([t]),watcher:u(C,b),close:()=>{const E=a.get(b);!E||E.projects.size!==0||(E.watcher.close(),a.delete(b))}})})}function sde(t,r){r.forEach(a=>{a.projects.delete(t)&&a.close()})}function LQ(t,r,a){t.delete(r)&&t.forEach(({extendedResult:u},h)=>{var p;(p=u.extendedSourceFiles)!=null&&p.some(g=>a(g)===r)&&LQ(t,h,a)})}function ode(t,r,a){Q8(r,t.getMissingFilePaths(),{createNewValue:a,onDeleteValue:d0})}function GH(t,r,a){r?Q8(t,new Map(Object.entries(r)),{createNewValue:u,onDeleteValue:Zm,onExistingValue:h}):X_(t,Zm);function u(p,g){return{watcher:a(p,g),flags:g}}function h(p,g,C){p.flags!==g&&(p.watcher.close(),t.set(C,u(C,g)))}}function KH({watchedDirPath:t,fileOrDirectory:r,fileOrDirectoryPath:a,configFileName:u,options:h,program:p,extraFileExtensions:g,currentDirectory:C,useCaseSensitiveFileNames:b,writeLog:T,toPath:E,getScriptKind:N}){const R=qQ(a);if(!R)return T(`Project: ${u} Detected ignored path: ${r}`),!0;if(a=R,a===t)return!1;if(N6(a)&&!(jDe(r,h,g)||X()))return T(`Project: ${u} Detected file add/remove of non supported extension: ${r}`),!0;if(qIe(r,h.configFile.configFileSpecs,wo(ts(u),C),b,C))return T(`Project: ${u} Detected excluded file: ${r}`),!0;if(!p||h.outFile||h.outDir)return!1;if(df(a)){if(h.declarationDir)return!1}else if(!Ru(a,h3))return!1;const F=s_(a),H=Ao(p)?void 0:Fde(p)?p.getProgramOrUndefined():p,U=!H&&!Ao(p)?p:void 0;if(q(F+".ts")||q(F+".tsx"))return T(`Project: ${u} Detected output file: ${r}`),!0;return!1;function q(Z){return H?!!H.getSourceFileByPath(Z):U?U.state.fileInfos.has(Z):!!Fe(p,Q=>E(Q)===Z)}function X(){if(!N)return!1;switch(N(r)){case 3:case 4:case 7:case 5:return!0;case 1:case 2:return ox(h);case 6:return XT(h);case 0:return!1}}}function xLe(t,r){return t?t.isEmittedFile(r):!1}var kLe=(t=>(t[t.None=0]="None",t[t.TriggerOnly=1]="TriggerOnly",t[t.Verbose=2]="Verbose",t))(kLe||{});function ade(t,r,a,u){Oke(r===2?a:Tc);const h={watchFile:(U,q,X,Z)=>t.watchFile(U,q,X,Z),watchDirectory:(U,q,X,Z)=>t.watchDirectory(U,q,(X&1)!==0,Z)},p=r!==0?{watchFile:F("watchFile"),watchDirectory:F("watchDirectory")}:void 0,g=r===2?{watchFile:N,watchDirectory:R}:p||h,C=r===2?E:i5;return{watchFile:b("watchFile"),watchDirectory:b("watchDirectory")};function b(U){return(q,X,Z,Q,re,oe)=>{var pe;return eQ(q,U==="watchFile"?Q==null?void 0:Q.excludeFiles:Q==null?void 0:Q.excludeDirectories,T(),((pe=t.getCurrentDirectory)==null?void 0:pe.call(t))||"")?C(q,Z,Q,re,oe):g[U].call(void 0,q,X,Z,Q,re,oe)}}function T(){return typeof t.useCaseSensitiveFileNames=="boolean"?t.useCaseSensitiveFileNames:t.useCaseSensitiveFileNames()}function E(U,q,X,Z,Q){return a(`ExcludeWatcher:: Added:: ${H(U,q,X,Z,Q,u)}`),{close:()=>a(`ExcludeWatcher:: Close:: ${H(U,q,X,Z,Q,u)}`)}}function N(U,q,X,Z,Q,re){a(`FileWatcher:: Added:: ${H(U,X,Z,Q,re,u)}`);const oe=p.watchFile(U,q,X,Z,Q,re);return{close:()=>{a(`FileWatcher:: Close:: ${H(U,X,Z,Q,re,u)}`),oe.close()}}}function R(U,q,X,Z,Q,re){const oe=`DirectoryWatcher:: Added:: ${H(U,X,Z,Q,re,u)}`;a(oe);const pe=sl(),de=p.watchDirectory(U,q,X,Z,Q,re),ue=sl()-pe;return a(`Elapsed:: ${ue}ms ${oe}`),{close:()=>{const Ie=`DirectoryWatcher:: Close:: ${H(U,X,Z,Q,re,u)}`;a(Ie);const we=sl();de.close();const he=sl()-we;a(`Elapsed:: ${he}ms ${Ie}`)}}}function F(U){return(q,X,Z,Q,re,oe)=>h[U].call(void 0,q,(...pe)=>{const de=`${U==="watchFile"?"FileWatcher":"DirectoryWatcher"}:: Triggered with ${pe[0]} ${pe[1]!==void 0?pe[1]:""}:: ${H(q,Z,Q,re,oe,u)}`;a(de);const ue=sl();X.call(void 0,...pe);const Ie=sl()-ue;a(`Elapsed:: ${Ie}ms ${de}`)},Z,Q,re,oe)}function H(U,q,X,Z,Q,re){return`WatchInfo: ${U} ${q} ${JSON.stringify(X)} ${re?re(Z,Q):Q===void 0?Z:`${Z} ${Q}`}`}}function XH(t){const r=t==null?void 0:t.fallbackPolling;return{watchFile:r!==void 0?r:1}}function Zm(t){t.watcher.close()}function cde(t,r,a="tsconfig.json"){return kg(t,u=>{const h=zr(u,a);return r(h)?h:void 0})}function lde(t,r){const a=ts(r),u=yp(t)?t:zr(a,t);return ca(u)}function TLe(t,r,a){let u;return O(t,p=>{const g=SW(p,r);if(g.pop(),!u){u=g;return}const C=Math.min(u.length,g.length);for(let b=0;b<C;b++)if(a(u[b])!==a(g[b])){if(b===0)return!0;u.length=b;break}g.length<u.length&&(u.length=g.length)})?"":u?sS(u):r}function DLe(t,r){return PQ(t,r)}function ude(t,r){return(a,u,h)=>{let p;try{ou("beforeIORead"),p=t(a),ou("afterIORead"),Wm("I/O Read","beforeIORead","afterIORead")}catch(g){h&&h(g.message),p=""}return p!==void 0?ZP(a,p,u,r):void 0}}function dde(t,r,a){return(u,h,p,g)=>{try{ou("beforeIOWrite"),lce(u,h,p,t,r,a),ou("afterIOWrite"),Wm("I/O Write","beforeIOWrite","afterIOWrite")}catch(C){g&&g(C.message)}}}function PQ(t,r,a=Pd){const u=new Map,h=Mf(a.useCaseSensitiveFileNames);function p(E){return u.has(E)?!0:(T.directoryExists||a.directoryExists)(E)?(u.set(E,!0),!0):!1}function g(){return ts(ca(a.getExecutingFilePath()))}const C=mS(t),b=a.realpath&&(E=>a.realpath(E)),T={getSourceFile:ude(E=>T.readFile(E),r),getDefaultLibLocation:g,getDefaultLibFileName:E=>zr(g(),IW(E)),writeFile:dde((E,N,R)=>a.writeFile(E,N,R),E=>(T.createDirectory||a.createDirectory)(E),E=>p(E)),getCurrentDirectory:Bh(()=>a.getCurrentDirectory()),useCaseSensitiveFileNames:()=>a.useCaseSensitiveFileNames,getCanonicalFileName:h,getNewLine:()=>C,fileExists:E=>a.fileExists(E),readFile:E=>a.readFile(E),trace:E=>a.write(E+C),directoryExists:E=>a.directoryExists(E),getEnvironmentVariable:E=>a.getEnvironmentVariable?a.getEnvironmentVariable(E):"",getDirectories:E=>a.getDirectories(E),realpath:b,readDirectory:(E,N,R,F,H)=>a.readDirectory(E,N,R,F,H),createDirectory:E=>a.createDirectory(E),createHash:to(a,a.createHash)};return T}function Q7(t,r,a){const u=t.readFile,h=t.fileExists,p=t.directoryExists,g=t.createDirectory,C=t.writeFile,b=new Map,T=new Map,E=new Map,N=new Map,R=U=>{const q=r(U),X=b.get(q);return X!==void 0?X!==!1?X:void 0:F(q,U)},F=(U,q)=>{const X=u.call(t,q);return b.set(U,X!==void 0?X:!1),X};t.readFile=U=>{const q=r(U),X=b.get(q);return X!==void 0?X!==!1?X:void 0:!Xl(U,".json")&&!gLe(U)?u.call(t,U):F(q,U)};const H=a?(U,q,X,Z)=>{const Q=r(U),re=typeof q=="object"?q.impliedNodeFormat:void 0,oe=N.get(re),pe=oe==null?void 0:oe.get(Q);if(pe)return pe;const de=a(U,q,X,Z);return de&&(df(U)||Xl(U,".json"))&&N.set(re,(oe||new Map).set(Q,de)),de}:void 0;return t.fileExists=U=>{const q=r(U),X=T.get(q);if(X!==void 0)return X;const Z=h.call(t,U);return T.set(q,!!Z),Z},C&&(t.writeFile=(U,q,...X)=>{const Z=r(U);T.delete(Z);const Q=b.get(Z);Q!==void 0&&Q!==q?(b.delete(Z),N.forEach(re=>re.delete(Z))):H&&N.forEach(re=>{const oe=re.get(Z);oe&&oe.text!==q&&re.delete(Z)}),C.call(t,U,q,...X)}),p&&(t.directoryExists=U=>{const q=r(U),X=E.get(q);if(X!==void 0)return X;const Z=p.call(t,U);return E.set(q,!!Z),Z},g&&(t.createDirectory=U=>{const q=r(U);E.delete(q),g.call(t,U)})),{originalReadFile:u,originalFileExists:h,originalDirectoryExists:p,originalCreateDirectory:g,originalWriteFile:C,getSourceFileWithCache:H,readFileWithCache:R}}function Aet(t,r,a){let u;return u=Sr(u,t.getConfigFileParsingDiagnostics()),u=Sr(u,t.getOptionsDiagnostics(a)),u=Sr(u,t.getSyntacticDiagnostics(r,a)),u=Sr(u,t.getGlobalDiagnostics(a)),u=Sr(u,t.getSemanticDiagnostics(r,a)),Q_(t.getCompilerOptions())&&(u=Sr(u,t.getDeclarationDiagnostics(r,a))),O6(u||w)}function Oet(t,r){let a="";for(const u of t)a+=fde(u,r);return a}function fde(t,r){const a=`${nI(t)} TS${t.code}: ${$1(t.messageText,r.getNewLine())}${r.getNewLine()}`;if(t.file){const{line:u,character:h}=Ia(t.file,t.start),p=t.file.fileName;return`${s8(p,r.getCurrentDirectory(),C=>r.getCanonicalFileName(C))}(${u+1},${h+1}): `+a}return a}var ELe=(t=>(t.Grey="\x1B[90m",t.Red="\x1B[91m",t.Yellow="\x1B[93m",t.Blue="\x1B[94m",t.Cyan="\x1B[96m",t))(ELe||{}),ILe="\x1B[7m",NLe=" ",Met="\x1B[0m",Ret="...",c8t=" ",Fet=" ";function Bet(t){switch(t){case 1:return"\x1B[91m";case 0:return"\x1B[93m";case 2:return j.fail("Should never get an Info diagnostic on the command line.");case 3:return"\x1B[94m"}}function pD(t,r){return r+t+Met}function Wet(t,r,a,u,h,p){const{line:g,character:C}=Ia(t,r),{line:b,character:T}=Ia(t,r+a),E=Ia(t,t.text.length).line,N=b-g>=4;let R=(b+1+"").length;N&&(R=Math.max(Ret.length,R));let F="";for(let H=g;H<=b;H++){F+=p.getNewLine(),N&&g+1<H&&H<b-1&&(F+=u+pD(Ret.padStart(R),ILe)+NLe+p.getNewLine(),H=b-1);const U=xW(t,H,0),q=H<E?xW(t,H+1,0):t.text.length;let X=t.text.slice(U,q);if(X=X.trimEnd(),X=X.replace(/\t/g," "),F+=u+pD((H+1+"").padStart(R),ILe)+NLe,F+=X+p.getNewLine(),F+=u+pD("".padStart(R),ILe)+NLe,F+=h,H===g){const Z=H===b?T:void 0;F+=X.slice(0,C).replace(/\S/g," "),F+=X.slice(C,Z).replace(/./g,"~")}else H===b?F+=X.slice(0,T).replace(/./g,"~"):F+=X.replace(/./g,"~");F+=Met}return F}function hde(t,r,a,u=pD){const{line:h,character:p}=Ia(t,r),g=a?s8(t.fileName,a.getCurrentDirectory(),b=>a.getCanonicalFileName(b)):t.fileName;let C="";return C+=u(g,"\x1B[96m"),C+=":",C+=u(`${h+1}`,"\x1B[93m"),C+=":",C+=u(`${p+1}`,"\x1B[93m"),C}function LLe(t,r){let a="";for(const u of t){if(u.file){const{file:h,start:p}=u;a+=hde(h,p,r),a+=" - "}if(a+=pD(nI(u),Bet(u.category)),a+=pD(` TS${u.code}: `,"\x1B[90m"),a+=$1(u.messageText,r.getNewLine()),u.file&&u.code!==k.File_appears_to_be_binary.code&&(a+=r.getNewLine(),a+=Wet(u.file,u.start,u.length,"",Bet(u.category),r)),u.relatedInformation){a+=r.getNewLine();for(const{file:h,start:p,length:g,messageText:C}of u.relatedInformation)h&&(a+=r.getNewLine(),a+=c8t+hde(h,p,r),a+=Wet(h,p,g,Fet,"\x1B[96m",r)),a+=r.getNewLine(),a+=Fet+$1(C,r.getNewLine())}a+=r.getNewLine()}return a}function $1(t,r,a=0){if(Oo(t))return t;if(t===void 0)return"";let u="";if(a){u+=r;for(let h=0;h<a;h++)u+=" "}if(u+=t.messageText,a++,t.next)for(const h of t.next)u+=$1(h,r,a);return u}function AQ(t,r){return(Oo(t)?r:t.resolutionMode)||r}function Vet(t,r,a){return mde(t,ej(t,r),a)}function _de(t){var r;return Ju(t)?t.isTypeOnly:!!((r=t.importClause)!=null&&r.isTypeOnly)}function pde(t,r,a){return mde(t,r,a)}function mde(t,r,a){if((du(r.parent)||Ju(r.parent)||q1(r.parent))&&_de(r.parent)){const h=z3(r.parent.attributes);if(h)return h}if(r.parent.parent&&V1(r.parent.parent)){const u=z3(r.parent.parent.attributes);if(u)return u}if(a&&Lce(a))return Het(t,r,a)}function Het(t,r,a){var u;if(!a)return;const h=(u=By(r.parent))==null?void 0:u.parent;if(h&&Wd(h)||L_(r.parent,!1))return 1;if(zp(By(r.parent)))return Jet(t,a)?1:99;const p=e5(t,a);return p===1?1:KV(p)||p===200?99:void 0}function z3(t,r){if(!t)return;if(P(t.elements)!==1){r==null||r(t,t.token===118?k.Type_import_attributes_should_have_exactly_one_key_resolution_mode_with_value_import_or_require:k.Type_import_assertions_should_have_exactly_one_key_resolution_mode_with_value_import_or_require);return}const a=t.elements[0];if(Bc(a.name)){if(a.name.text!=="resolution-mode"){r==null||r(a.name,t.token===118?k.resolution_mode_is_the_only_valid_key_for_type_import_attributes:k.resolution_mode_is_the_only_valid_key_for_type_import_assertions);return}if(Bc(a.value)){if(a.value.text!=="import"&&a.value.text!=="require"){r==null||r(a.value,k.resolution_mode_should_be_either_require_or_import);return}return a.value.text==="import"?99:1}}}var jet={resolvedModule:void 0,resolvedTypeReferenceDirective:void 0};function PLe(t){return t.text}var OQ={getName:PLe,getMode:(t,r,a)=>pde(r,t,a)};function gde(t,r,a,u,h){return{nameAndMode:OQ,resolve:(p,g)=>nA(p,t,a,u,h,r,g)}}function ALe(t){return Oo(t)?t:t.fileName}var zet={getName:ALe,getMode:(t,r,a)=>AQ(t,r&&WQ(r,a))};function MQ(t,r,a,u,h){return{nameAndMode:zet,resolve:(p,g)=>tNe(p,t,a,u,r,h,g)}}function QH(t,r,a,u,h,p,g,C){if(t.length===0)return w;const b=[],T=new Map,E=C(r,a,u,p,g);for(const N of t){const R=E.nameAndMode.getName(N),F=E.nameAndMode.getMode(N,h,(a==null?void 0:a.commandLine.options)||u),H=B7(R,F);let U=T.get(H);U||T.set(H,U=E.resolve(R,F)),b.push(U)}return b}function yde(t,r){return RQ(void 0,t,(a,u)=>a&&r(a,u))}function RQ(t,r,a,u){let h;return p(t,r,void 0);function p(g,C,b){if(u){const T=u(g,b);if(T)return T}return O(C,(T,E)=>{if(T&&(h!=null&&h.has(T.sourceFile.path)))return;const N=a(T,b,E);return N||!T?N:((h||(h=new Set)).add(T.sourceFile.path),p(T.commandLine.projectReferences,T.references,T))})}}var Z7="__inferred type names__.ts";function FQ(t,r,a){const u=t.configFilePath?ts(t.configFilePath):r;return zr(u,`__lib_node_modules_lookup_${a}__.ts`)}function vde(t){const r=t.split(".");let a=r[1],u=2;for(;r[u]&&r[u]!=="d";)a+=(u===2?"/":"-")+r[u],u++;return"@typescript/lib-"+a}function Uet(t){return Vw(t.fileName)}function qet(t){const r=Uet(t);return Jle.get(r)}function _2(t){switch(t==null?void 0:t.kind){case 3:case 4:case 5:case 7:return!0;default:return!1}}function U3(t){return t.pos!==void 0}function Y7(t,r){var a,u,h,p;const g=j.checkDefined(t.getSourceFileByPath(r.file)),{kind:C,index:b}=r;let T,E,N;switch(C){case 3:const R=ej(g,b);if(N=(u=(a=t.getResolvedModuleFromModuleSpecifier(R,g))==null?void 0:a.resolvedModule)==null?void 0:u.packageId,R.pos===-1)return{file:g,packageId:N,text:R.text};T=Va(g.text,R.pos),E=R.end;break;case 4:({pos:T,end:E}=g.referencedFiles[b]);break;case 5:({pos:T,end:E}=g.typeReferenceDirectives[b]),N=(p=(h=t.getResolvedTypeReferenceDirectiveFromTypeReferenceDirective(g.typeReferenceDirectives[b],g))==null?void 0:h.resolvedTypeReferenceDirective)==null?void 0:p.packageId;break;case 7:({pos:T,end:E}=g.libReferenceDirectives[b]);break;default:return j.assertNever(C)}return{file:g,pos:T,end:E,packageId:N}}function bde(t,r,a,u,h,p,g,C,b,T){if(!t||C!=null&&C()||!i_(t.getRootFileNames(),r))return!1;let E;if(!i_(t.getProjectReferences(),T,U)||t.getSourceFiles().some(F))return!1;const N=t.getMissingFilePaths();if(N&&cf(N,h))return!1;const R=t.getCompilerOptions();if(!xce(R,a)||t.resolvedLibReferences&&cf(t.resolvedLibReferences,(X,Z)=>g(Z)))return!1;if(R.configFile&&a.configFile)return R.configFile.text===a.configFile.text;return!0;function F(X){return!H(X)||p(X.path)}function H(X){return X.version===u(X.resolvedPath,X.fileName)}function U(X,Z,Q){return hae(X,Z)&&q(t.getResolvedProjectReferences()[Q],X)}function q(X,Z){if(X){if(Yt(E,X))return!0;const re=q3(Z),oe=b(re);return!oe||X.commandLine.options.configFile!==oe.options.configFile||!i_(X.commandLine.fileNames,oe.fileNames)?!1:((E||(E=[])).push(X),!O(X.references,(pe,de)=>!q(pe,X.commandLine.projectReferences[de])))}const Q=q3(Z);return!b(Q)}}function mD(t){return t.options.configFile?[...t.options.configFile.parseDiagnostics,...t.errors]:t.errors}function ZH(t,r,a,u){const h=BQ(t,r,a,u);return typeof h=="object"?h.impliedNodeFormat:h}function BQ(t,r,a,u){const h=yh(u),p=3<=h&&h<=99||yx(t);return Ru(t,[".d.mts",".mts",".mjs"])?99:Ru(t,[".d.cts",".cts",".cjs"])?1:p&&Ru(t,[".d.ts",".ts",".tsx",".js",".jsx"])?g():void 0;function g(){const C=W7(r,a,u),b=[];C.failedLookupLocations=b,C.affectingLocations=b;const T=V7(ts(t),C);return{impliedNodeFormat:(T==null?void 0:T.contents.packageJsonContent.type)==="module"?99:1,packageJsonLocations:b,packageJsonScope:T}}}var $et=new Set([k.Cannot_redeclare_block_scoped_variable_0.code,k.A_module_cannot_have_multiple_default_exports.code,k.Another_export_default_is_here.code,k.The_first_export_default_is_here.code,k.Identifier_expected_0_is_a_reserved_word_at_the_top_level_of_a_module.code,k.Identifier_expected_0_is_a_reserved_word_in_strict_mode_Modules_are_automatically_in_strict_mode.code,k.Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here.code,k.constructor_is_a_reserved_word.code,k.delete_cannot_be_called_on_an_identifier_in_strict_mode.code,k.Code_contained_in_a_class_is_evaluated_in_JavaScript_s_strict_mode_which_does_not_allow_this_use_of_0_For_more_information_see_https_Colon_Slash_Slashdeveloper_mozilla_org_Slashen_US_Slashdocs_SlashWeb_SlashJavaScript_SlashReference_SlashStrict_mode.code,k.Invalid_use_of_0_Modules_are_automatically_in_strict_mode.code,k.Invalid_use_of_0_in_strict_mode.code,k.A_label_is_not_allowed_here.code,k.with_statements_are_not_allowed_in_strict_mode.code,k.A_break_statement_can_only_be_used_within_an_enclosing_iteration_or_switch_statement.code,k.A_break_statement_can_only_jump_to_a_label_of_an_enclosing_statement.code,k.A_class_declaration_without_the_default_modifier_must_have_a_name.code,k.A_class_member_cannot_have_the_0_keyword.code,k.A_comma_expression_is_not_allowed_in_a_computed_property_name.code,k.A_continue_statement_can_only_be_used_within_an_enclosing_iteration_statement.code,k.A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement.code,k.A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement.code,k.A_default_clause_cannot_appear_more_than_once_in_a_switch_statement.code,k.A_default_export_must_be_at_the_top_level_of_a_file_or_module_declaration.code,k.A_definite_assignment_assertion_is_not_permitted_in_this_context.code,k.A_destructuring_declaration_must_have_an_initializer.code,k.A_get_accessor_cannot_have_parameters.code,k.A_rest_element_cannot_contain_a_binding_pattern.code,k.A_rest_element_cannot_have_a_property_name.code,k.A_rest_element_cannot_have_an_initializer.code,k.A_rest_element_must_be_last_in_a_destructuring_pattern.code,k.A_rest_parameter_cannot_have_an_initializer.code,k.A_rest_parameter_must_be_last_in_a_parameter_list.code,k.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma.code,k.A_return_statement_cannot_be_used_inside_a_class_static_block.code,k.A_set_accessor_cannot_have_rest_parameter.code,k.A_set_accessor_must_have_exactly_one_parameter.code,k.An_export_declaration_can_only_be_used_at_the_top_level_of_a_module.code,k.An_export_declaration_cannot_have_modifiers.code,k.An_import_declaration_can_only_be_used_at_the_top_level_of_a_module.code,k.An_import_declaration_cannot_have_modifiers.code,k.An_object_member_cannot_be_declared_optional.code,k.Argument_of_dynamic_import_cannot_be_spread_element.code,k.Cannot_assign_to_private_method_0_Private_methods_are_not_writable.code,k.Cannot_redeclare_identifier_0_in_catch_clause.code,k.Catch_clause_variable_cannot_have_an_initializer.code,k.Class_decorators_can_t_be_used_with_static_private_identifier_Consider_removing_the_experimental_decorator.code,k.Classes_can_only_extend_a_single_class.code,k.Classes_may_not_have_a_field_named_constructor.code,k.Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_part_of_a_destructuring_pattern.code,k.Duplicate_label_0.code,k.Dynamic_imports_can_only_accept_a_module_specifier_and_an_optional_set_of_attributes_as_arguments.code,k.for_await_loops_cannot_be_used_inside_a_class_static_block.code,k.JSX_attributes_must_only_be_assigned_a_non_empty_expression.code,k.JSX_elements_cannot_have_multiple_attributes_with_the_same_name.code,k.JSX_expressions_may_not_use_the_comma_operator_Did_you_mean_to_write_an_array.code,k.JSX_property_access_expressions_cannot_include_JSX_namespace_names.code,k.Jump_target_cannot_cross_function_boundary.code,k.Line_terminator_not_permitted_before_arrow.code,k.Modifiers_cannot_appear_here.code,k.Only_a_single_variable_declaration_is_allowed_in_a_for_in_statement.code,k.Only_a_single_variable_declaration_is_allowed_in_a_for_of_statement.code,k.Private_identifiers_are_not_allowed_outside_class_bodies.code,k.Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member_declaration_property_access_or_on_the_left_hand_side_of_an_in_expression.code,k.Property_0_is_not_accessible_outside_class_1_because_it_has_a_private_identifier.code,k.Tagged_template_expressions_are_not_permitted_in_an_optional_chain.code,k.The_left_hand_side_of_a_for_of_statement_may_not_be_async.code,k.The_variable_declaration_of_a_for_in_statement_cannot_have_an_initializer.code,k.The_variable_declaration_of_a_for_of_statement_cannot_have_an_initializer.code,k.Trailing_comma_not_allowed.code,k.Variable_declaration_list_cannot_be_empty.code,k._0_and_1_operations_cannot_be_mixed_without_parentheses.code,k._0_expected.code,k._0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2.code,k._0_list_cannot_be_empty.code,k._0_modifier_already_seen.code,k._0_modifier_cannot_appear_on_a_constructor_declaration.code,k._0_modifier_cannot_appear_on_a_module_or_namespace_element.code,k._0_modifier_cannot_appear_on_a_parameter.code,k._0_modifier_cannot_appear_on_class_elements_of_this_kind.code,k._0_modifier_cannot_be_used_here.code,k._0_modifier_must_precede_1_modifier.code,k._0_declarations_can_only_be_declared_inside_a_block.code,k._0_declarations_must_be_initialized.code,k.extends_clause_already_seen.code,k.let_is_not_allowed_to_be_used_as_a_name_in_let_or_const_declarations.code,k.Class_constructor_may_not_be_a_generator.code,k.Class_constructor_may_not_be_an_accessor.code,k.await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,k.await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,k.Private_field_0_must_be_declared_in_an_enclosing_class.code,k.This_condition_will_always_return_0_since_JavaScript_compares_objects_by_reference_not_value.code]);function l8t(t,r){return t?j6(t.getCompilerOptions(),r,Xle):!1}function u8t(t,r,a,u,h,p){return{rootNames:t,options:r,host:a,oldProgram:u,configFileParsingDiagnostics:h,typeScriptVersion:p}}function YH(t,r,a,u,h){var p,g,C,b,T,E,N,R,F,H,U,q,X,Z,Q,re;const oe=Ao(t)?u8t(t,r,a,u,h):t,{rootNames:pe,options:de,configFileParsingDiagnostics:ue,projectReferences:Ie,typeScriptVersion:we}=oe;let{oldProgram:he}=oe;for(const it of PIe)if(Li(de,it.name)&&typeof de[it.name]=="string")throw new Error(`${it.name} is a string value; tsconfig JSON must be parsed with parseJsonSourceFileConfigFileContent or getParsedCommandLineOfConfigFile before passing to createProgram`);const Ae=Bh(()=>Gp("ignoreDeprecations",k.Invalid_value_for_ignoreDeprecations));let ke,Te,De,qe,se,Ne,Oe,He=Of(),Pe,We,ze,Nt,$e,et,Me,ut,wt,Et,dt,zt,Ei,tn,xn;const Pn=typeof de.maxNodeModuleJsDepth=="number"?de.maxNodeModuleJsDepth:0;let _i=0;const Fi=new Map,On=new Map;(p=Zn)==null||p.push(Zn.Phase.Program,"createProgram",{configFilePath:de.configFilePath,rootDir:de.rootDir},!0),ou("beforeProgram");const pn=oe.host||DLe(de),qr=HQ(pn);let Fs=de.noLib;const ko=Bh(()=>pn.getDefaultLibFileName(de)),ls=pn.getDefaultLibLocation?pn.getDefaultLibLocation():ts(ko()),Qs=OV();let Mn=[];const Zs=pn.getCurrentDirectory(),at=e7(de),yi=QV(de,at),Sn=new Map;let dr,us,Xr,Gs;const ma=pn.hasInvalidatedResolutions||w1;pn.resolveModuleNameLiterals?(Gs=pn.resolveModuleNameLiterals.bind(pn),Xr=(g=pn.getModuleResolutionCache)==null?void 0:g.call(pn)):pn.resolveModuleNames?(Gs=(it,yt,ei,Pi,nn,Vn)=>pn.resolveModuleNames(it.map(PLe),yt,Vn==null?void 0:Vn.map(PLe),ei,Pi,nn).map(mn=>mn?mn.extension!==void 0?{resolvedModule:mn}:{resolvedModule:{...mn,extension:i7(mn.resolvedFileName)}}:jet),Xr=(C=pn.getModuleResolutionCache)==null?void 0:C.call(pn)):(Xr=R3(Zs,Lr,de),Gs=(it,yt,ei,Pi,nn)=>QH(it,yt,ei,Pi,nn,pn,Xr,gde));let ka;if(pn.resolveTypeReferenceDirectiveReferences)ka=pn.resolveTypeReferenceDirectiveReferences.bind(pn);else if(pn.resolveTypeReferenceDirectives)ka=(it,yt,ei,Pi,nn)=>pn.resolveTypeReferenceDirectives(it.map(ALe),yt,ei,Pi,nn==null?void 0:nn.impliedNodeFormat).map(Vn=>({resolvedTypeReferenceDirective:Vn}));else{const it=sQ(Zs,Lr,void 0,Xr==null?void 0:Xr.getPackageJsonInfoCache(),Xr==null?void 0:Xr.optionsToRedirectsKey);ka=(yt,ei,Pi,nn,Vn)=>QH(yt,ei,Pi,nn,Vn,pn,it,MQ)}const Ji=pn.hasInvalidatedLibResolutions||w1;let ac;if(pn.resolveLibrary)ac=pn.resolveLibrary.bind(pn);else{const it=R3(Zs,Lr,de,Xr==null?void 0:Xr.getPackageJsonInfoCache());ac=(yt,ei,Pi)=>oQ(yt,ei,Pi,pn,it)}const St=new Map;let ri=new Map,xe=Of(),pt=!1;const Se=new Map;let Ze=new Map;const gt=pn.useCaseSensitiveFileNames()?new Map:void 0;let Dt,Je,Rt,Ct;const ii=!!((b=pn.useSourceOfProjectReferenceRedirect)!=null&&b.call(pn))&&!de.disableSourceOfProjectReferenceRedirect,{onProgramCreateComplete:vt,fileExists:Ni,directoryExists:ji}=d8t({compilerHost:pn,getSymlinkCache:bm,useSourceOfProjectReferenceRedirect:ii,toPath:cn,getResolvedProjectReferences:wf,getSourceOfProjectReferenceRedirect:jd,forEachResolvedProjectReference:F_}),gr=pn.readFile.bind(pn);(T=Zn)==null||T.push(Zn.Phase.Program,"shouldProgramCreateNewSourceFiles",{hasOldProgram:!!he});const te=l8t(he,de);(E=Zn)==null||E.pop();let tt;if((N=Zn)==null||N.push(Zn.Phase.Program,"tryReuseStructureFromOldProgram",{}),tt=Gu(),(R=Zn)==null||R.pop(),tt!==2){if(ke=[],Te=[],Ie&&(Dt||(Dt=Ie.map(Xe)),pe.length&&(Dt==null||Dt.forEach((it,yt)=>{if(!it)return;const ei=it.commandLine.options.outFile;if(ii){if(ei||zh(it.commandLine.options)===0)for(const Pi of it.commandLine.fileNames)Hu(Pi,{kind:1,index:yt})}else if(ei)Hu(cx(ei,".d.ts"),{kind:2,index:yt});else if(zh(it.commandLine.options)===0){const Pi=Bh(()=>oA(it.commandLine,!pn.useCaseSensitiveFileNames()));for(const nn of it.commandLine.fileNames)!df(nn)&&!Xl(nn,".json")&&Hu(j3(nn,it.commandLine,!pn.useCaseSensitiveFileNames(),Pi),{kind:2,index:yt})}}))),(F=Zn)==null||F.push(Zn.Phase.Program,"processRootFiles",{count:pe.length}),O(pe,(it,yt)=>Qu(it,!1,!1,{kind:0,index:yt})),(H=Zn)==null||H.pop(),Me??(Me=pe.length?nQ(de,pn):w),ut=M3(),Me.length){(U=Zn)==null||U.push(Zn.Phase.Program,"processTypeReferences",{count:Me.length});const it=de.configFilePath?ts(de.configFilePath):Zs,yt=zr(it,Z7),ei=$o(Me,yt);for(let Pi=0;Pi<Me.length;Pi++)ut.set(Me[Pi],void 0,ei[Pi]),Ot(Me[Pi],void 0,ei[Pi],{kind:8,typeReference:Me[Pi],packageId:(X=(q=ei[Pi])==null?void 0:q.resolvedTypeReferenceDirective)==null?void 0:X.packageId});(Z=Zn)==null||Z.pop()}if(pe.length&&!Fs){const it=ko();!de.lib&&it?Qu(it,!0,!1,{kind:6}):O(de.lib,(yt,ei)=>{Qu(Ci(yt),!0,!1,{kind:6,index:ei})})}De=Mu(ke,on).concat(Te),ke=void 0,Te=void 0,Pe=void 0}if(he&&pn.onReleaseOldSourceFile){const it=he.getSourceFiles();for(const yt of it){const ei=ki(yt.resolvedPath);(te||!ei||ei.impliedNodeFormat!==yt.impliedNodeFormat||yt.resolvedPath===yt.path&&ei.resolvedPath!==yt.path)&&pn.onReleaseOldSourceFile(yt,he.getCompilerOptions(),!!ki(yt.path),ei)}pn.getParsedCommandLine||he.forEachResolvedProjectReference(yt=>{h_(yt.sourceFile.path)||pn.onReleaseOldSourceFile(yt.sourceFile,he.getCompilerOptions(),!1,void 0)})}he&&pn.onReleaseParsedCommandLine&&RQ(he.getProjectReferences(),he.getResolvedProjectReferences(),(it,yt,ei)=>{const Pi=(yt==null?void 0:yt.commandLine.projectReferences[ei])||he.getProjectReferences()[ei],nn=q3(Pi);Je!=null&&Je.has(cn(nn))||pn.onReleaseParsedCommandLine(nn,it,he.getCompilerOptions())}),he=void 0,Et=void 0,zt=void 0,tn=void 0;const Gt={getRootFileNames:()=>pe,getSourceFile:tc,getSourceFileByPath:ki,getSourceFiles:()=>De,getMissingFilePaths:()=>Ze,getModuleResolutionCache:()=>Xr,getFilesByNameMap:()=>Se,getCompilerOptions:()=>de,getSyntacticDiagnostics:uh,getOptionsDiagnostics:Xu,getGlobalDiagnostics:Cu,getSemanticDiagnostics:sd,getCachedSemanticDiagnostics:Pp,getSuggestionDiagnostics:ds,getDeclarationDiagnostics:_e,getBindAndCheckDiagnostics:Nl,getProgramDiagnostics:Ve,getTypeChecker:go,getClassifiableNames:qo,getCommonSourceDirectory:vi,emit:pc,getCurrentDirectory:()=>Zs,getNodeCount:()=>go().getNodeCount(),getIdentifierCount:()=>go().getIdentifierCount(),getSymbolCount:()=>go().getSymbolCount(),getTypeCount:()=>go().getTypeCount(),getInstantiationCount:()=>go().getInstantiationCount(),getRelationCacheSizes:()=>go().getRelationCacheSizes(),getFileProcessingDiagnostics:()=>et,getAutomaticTypeDirectiveNames:()=>Me,getAutomaticTypeDirectiveResolutions:()=>ut,isSourceFileFromExternalLibrary:kh,isSourceFileDefaultLibrary:md,getModeForUsageLocation:Ug,getEmitSyntaxForUsageLocation:dC,getModeForResolutionAtIndex:k2,getSourceFileFromReference:po,getLibFileFromReference:En,sourceFileToPackageName:ri,redirectTargetsMap:xe,usesUriStyleNodeCoreModules:pt,resolvedModules:dt,resolvedTypeReferenceDirectiveNames:Ei,resolvedLibReferences:wt,getResolvedModule:be,getResolvedModuleFromModuleSpecifier:Qt,getResolvedTypeReferenceDirective:lt,getResolvedTypeReferenceDirectiveFromTypeReferenceDirective:st,forEachResolvedModule:hi,forEachResolvedTypeReferenceDirective:vn,getCurrentPackagesMap:()=>xn,typesPackageExists:Pt,packageBundlesTypes:Bt,isEmittedFile:zg,getConfigFileParsingDiagnostics:gm,getProjectReferences:Jo,getResolvedProjectReferences:wf,getProjectReferenceRedirect:ym,getResolvedProjectReferenceToRedirect:Hg,getResolvedProjectReferenceByPath:h_,forEachResolvedProjectReference:F_,isSourceOfProjectReferenceRedirect:lC,getRedirectReferenceForResolutionFromSourceOfProject:Di,getCompilerOptionsForFile:ve,getDefaultResolutionModeForFile:Jy,getEmitModuleFormatOfFile:T2,getImpliedNodeFormatForEmit:nb,shouldTransformImportCall:xx,emitBuildInfo:ja,fileExists:Ni,readFile:gr,directoryExists:ji,getSymlinkCache:bm,realpath:(Q=pn.realpath)==null?void 0:Q.bind(pn),useCaseSensitiveFileNames:()=>pn.useCaseSensitiveFileNames(),getCanonicalFileName:Lr,getFileIncludeReasons:()=>He,structureIsReused:tt,writeFile:Ls};return vt(),Zt(),ou("afterProgram"),Wm("Program","beforeProgram","afterProgram"),(re=Zn)==null||re.pop(),Gt;function le(){return Mn&&(et==null||et.forEach(it=>{switch(it.kind){case 1:return Qs.add(Zo(it.file&&ki(it.file),it.fileProcessingReason,it.diagnostic,it.args||w));case 0:return Qs.add(Ue(it));case 2:return it.diagnostics.forEach(yt=>Qs.add(yt));default:j.assertNever(it)}}),Mn.forEach(({file:it,diagnostic:yt,args:ei})=>Qs.add(Zo(it,void 0,yt,ei))),Mn=void 0,We=void 0,ze=void 0),Qs}function Ue({reason:it}){const{file:yt,pos:ei,end:Pi}=Y7(Gt,it),nn=yt.libReferenceDirectives[it.index],Vn=Uet(nn),mn=I6(KR(Vn,"lib."),".d.ts"),Pr=LT(mn,HX,hl);return Md(yt,j.checkDefined(ei),j.checkDefined(Pi)-ei,Pr?k.Cannot_find_lib_definition_for_0_Did_you_mean_1:k.Cannot_find_lib_definition_for_0,Vn,Pr)}function be(it,yt,ei){var Pi;return(Pi=dt==null?void 0:dt.get(it.path))==null?void 0:Pi.get(yt,ei)}function Qt(it,yt){return yt??(yt=_n(it)),j.assertIsDefined(yt,"`moduleSpecifier` must have a `SourceFile` ancestor. Use `program.getResolvedModule` instead to provide the containing file and resolution mode."),be(yt,it.text,Ug(yt,it))}function lt(it,yt,ei){var Pi;return(Pi=Ei==null?void 0:Ei.get(it.path))==null?void 0:Pi.get(yt,ei)}function st(it,yt){return lt(yt,it.fileName,it.resolutionMode||yt.impliedNodeFormat)}function hi(it,yt){ln(dt,it,yt)}function vn(it,yt){ln(Ei,it,yt)}function ln(it,yt,ei){var Pi;ei?(Pi=it==null?void 0:it.get(ei.path))==null||Pi.forEach((nn,Vn,mn)=>yt(nn,Vn,mn,ei.path)):it==null||it.forEach((nn,Vn)=>nn.forEach((mn,Pr,ks)=>yt(mn,Pr,ks,Vn)))}function Un(){return xn||(xn=new Map,hi(({resolvedModule:it})=>{it!=null&&it.packageId&&xn.set(it.packageId.name,it.extension===".d.ts"||!!xn.get(it.packageId.name))}),xn)}function Pt(it){return Un().has(uQ(it))}function Bt(it){return!!Un().get(it)}function fi(it){var yt;(yt=it.resolutionDiagnostics)!=null&&yt.length&&(et??(et=[])).push({kind:2,diagnostics:it.resolutionDiagnostics})}function wi(it,yt,ei,Pi){if(pn.resolveModuleNameLiterals||!pn.resolveModuleNames)return fi(ei);if(!Xr||Gd(yt))return;const nn=wo(it.originalFileName,Zs),Vn=ts(nn),mn=Xn(it),Pr=Xr.getFromNonRelativeNameCache(yt,Pi,Vn,mn);Pr&&fi(Pr)}function Ri(it,yt,ei){var Pi,nn;const Vn=wo(yt.originalFileName,Zs),mn=Xn(yt);(Pi=Zn)==null||Pi.push(Zn.Phase.Program,"resolveModuleNamesWorker",{containingFileName:Vn}),ou("beforeResolveModule");const Pr=Gs(it,Vn,mn,de,yt,ei);return ou("afterResolveModule"),Wm("ResolveModule","beforeResolveModule","afterResolveModule"),(nn=Zn)==null||nn.pop(),Pr}function Ai(it,yt,ei){var Pi,nn;const Vn=Oo(yt)?void 0:yt,mn=Oo(yt)?yt:wo(yt.originalFileName,Zs),Pr=Vn&&Xn(Vn);(Pi=Zn)==null||Pi.push(Zn.Phase.Program,"resolveTypeReferenceDirectiveNamesWorker",{containingFileName:mn}),ou("beforeResolveTypeReference");const ks=ka(it,mn,Pr,de,Vn,ei);return ou("afterResolveTypeReference"),Wm("ResolveTypeReference","beforeResolveTypeReference","afterResolveTypeReference"),(nn=Zn)==null||nn.pop(),ks}function Xn(it){const yt=Hg(it.originalFileName);if(yt||!df(it.originalFileName))return yt;const ei=Di(it.path);if(ei)return ei;if(!pn.realpath||!de.preserveSymlinks||!it.originalFileName.includes(Xv))return;const Pi=cn(pn.realpath(it.originalFileName));return Pi===it.path?void 0:Di(Pi)}function Di(it){const yt=jd(it);if(Oo(yt))return Hg(yt);if(yt)return F_(ei=>{const Pi=ei.commandLine.options.outFile;if(Pi)return cn(Pi)===it?ei:void 0})}function on(it,yt){return _l(zi(it),zi(yt))}function zi(it){if(Vm(ls,it.fileName,!1)){const yt=ud(it.fileName);if(yt==="lib.d.ts"||yt==="lib.es6.d.ts")return 0;const ei=I6(KR(yt,"lib."),".d.ts"),Pi=HX.indexOf(ei);if(Pi!==-1)return Pi+1}return HX.length+2}function cn(it){return ol(it,Zs,Lr)}function vi(){if(se===void 0){const it=$t(De,yt=>SI(yt,Gt));se=X7(de,()=>es(it,yt=>yt.isDeclarationFile?void 0:yt.fileName),Zs,Lr,yt=>ee(it,yt))}return se}function qo(){var it;if(!Oe){go(),Oe=new Set;for(const yt of De)(it=yt.classifiableNames)==null||it.forEach(ei=>Oe.add(ei))}return Oe}function Wo(it,yt){return Lc({entries:it,containingFile:yt,containingSourceFile:yt,redirectedReference:Xn(yt),nameAndModeGetter:OQ,resolutionWorker:Ri,getResolutionFromOldProgram:(ei,Pi)=>he==null?void 0:he.getResolvedModule(yt,ei,Pi),getResolved:sP,canReuseResolutionsInFile:()=>yt===(he==null?void 0:he.getSourceFile(yt.fileName))&&!ma(yt.path),resolveToOwnAmbientModule:!0})}function $o(it,yt){const ei=Oo(yt)?void 0:yt;return Lc({entries:it,containingFile:yt,containingSourceFile:ei,redirectedReference:ei&&Xn(ei),nameAndModeGetter:zet,resolutionWorker:Ai,getResolutionFromOldProgram:(Pi,nn)=>{var Vn;return ei?he==null?void 0:he.getResolvedTypeReferenceDirective(ei,Pi,nn):(Vn=he==null?void 0:he.getAutomaticTypeDirectiveResolutions())==null?void 0:Vn.get(Pi,nn)},getResolved:EG,canReuseResolutionsInFile:()=>ei?ei===(he==null?void 0:he.getSourceFile(ei.fileName))&&!ma(ei.path):!ma(cn(yt))})}function Lc({entries:it,containingFile:yt,containingSourceFile:ei,redirectedReference:Pi,nameAndModeGetter:nn,resolutionWorker:Vn,getResolutionFromOldProgram:mn,getResolved:Pr,canReuseResolutionsInFile:ks,resolveToOwnAmbientModule:ar}){if(!it.length)return w;if(tt===0&&(!ar||!ei.ambientModuleNames.length))return Vn(it,yt,void 0);let Ns,cr,Go,ll;const qh=ks();for(let $h=0;$h<it.length;$h++){const $g=it[$h];if(qh){const Jh=nn.getName($g),DD=nn.getMode($g,ei,(Pi==null?void 0:Pi.commandLine.options)??de),kx=mn(Jh,DD),rb=kx&&Pr(kx);if(rb){mx(de,pn)&&bo(pn,Vn===Ri?rb.packageId?k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:rb.packageId?k.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:k.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2,Jh,ei?wo(ei.originalFileName,Zs):yt,rb.resolvedFileName,rb.packageId&&zk(rb.packageId)),(Go??(Go=new Array(it.length)))[$h]=kx,(ll??(ll=[])).push($g);continue}}if(ar){const Jh=nn.getName($g);if(Yt(ei.ambientModuleNames,Jh)){mx(de,pn)&&bo(pn,k.Module_0_was_resolved_as_locally_declared_ambient_module_in_file_1,Jh,wo(ei.originalFileName,Zs)),(Go??(Go=new Array(it.length)))[$h]=jet;continue}}(Ns??(Ns=[])).push($g),(cr??(cr=[])).push($h)}if(!Ns)return Go;const qg=Vn(Ns,yt,ll);return Go?(qg.forEach(($h,$g)=>Go[cr[$g]]=$h),Go):qg}function vu(){return!RQ(he.getProjectReferences(),he.getResolvedProjectReferences(),(it,yt,ei)=>{const Pi=(yt?yt.commandLine.projectReferences:Ie)[ei],nn=Xe(Pi);return it?!nn||nn.sourceFile!==it.sourceFile||!i_(it.commandLine.fileNames,nn.commandLine.fileNames):nn!==void 0},(it,yt)=>{const ei=yt?h_(yt.sourceFile.path).commandLine.projectReferences:Ie;return!i_(it,ei,hae)})}function Gu(){var it;if(!he)return 0;const yt=he.getCompilerOptions();if(TG(yt,de))return 0;const ei=he.getRootFileNames();if(!i_(ei,pe)||!vu())return 0;Ie&&(Dt=Ie.map(Xe));const Pi=[],nn=[];if(tt=2,cf(he.getMissingFilePaths(),ar=>pn.fileExists(ar)))return 0;const Vn=he.getSourceFiles();let mn;(ar=>{ar[ar.Exists=0]="Exists",ar[ar.Modified=1]="Modified"})(mn||(mn={}));const Pr=new Map;for(const ar of Vn){const Ns=od(ar.fileName,Xr,pn,de);let cr=pn.getSourceFileByPath?pn.getSourceFileByPath(ar.fileName,ar.resolvedPath,Ns,void 0,te):pn.getSourceFile(ar.fileName,Ns,void 0,te);if(!cr)return 0;cr.packageJsonLocations=(it=Ns.packageJsonLocations)!=null&&it.length?Ns.packageJsonLocations:void 0,cr.packageJsonScope=Ns.packageJsonScope,j.assert(!cr.redirectInfo,"Host should not return a redirect source file from `getSourceFile`");let Go;if(ar.redirectInfo){if(cr!==ar.redirectInfo.unredirected)return 0;Go=!1,cr=ar}else if(he.redirectTargetsMap.has(ar.path)){if(cr!==ar)return 0;Go=!1}else Go=cr!==ar;cr.path=ar.path,cr.originalFileName=ar.originalFileName,cr.resolvedPath=ar.resolvedPath,cr.fileName=ar.fileName;const ll=he.sourceFileToPackageName.get(ar.path);if(ll!==void 0){const qh=Pr.get(ll),qg=Go?1:0;if(qh!==void 0&&qg===1||qh===1)return 0;Pr.set(ll,qg)}Go?(ar.impliedNodeFormat!==cr.impliedNodeFormat?tt=1:i_(ar.libReferenceDirectives,cr.libReferenceDirectives,kd)?ar.hasNoDefaultLib!==cr.hasNoDefaultLib?tt=1:i_(ar.referencedFiles,cr.referencedFiles,kd)?(li(cr),i_(ar.imports,cr.imports,eg)&&i_(ar.moduleAugmentations,cr.moduleAugmentations,eg)?(ar.flags&12582912)!==(cr.flags&12582912)?tt=1:i_(ar.typeReferenceDirectives,cr.typeReferenceDirectives,kd)||(tt=1):tt=1):tt=1:tt=1,nn.push(cr)):ma(ar.path)&&(tt=1,nn.push(cr)),Pi.push(cr)}if(tt!==2)return tt;for(const ar of nn){const Ns=Get(ar),cr=Wo(Ns,ar);(zt??(zt=new Map)).set(ar.path,cr),pae(Ns,cr,$h=>he.getResolvedModule(ar,$h.text,Ug(ar,$h)),U2e)&&(tt=1);const ll=ar.typeReferenceDirectives,qh=$o(ll,ar);(tn??(tn=new Map)).set(ar.path,qh),pae(ll,qh,$h=>he.getResolvedTypeReferenceDirective(ar,ALe($h),AQ($h,ar.impliedNodeFormat)),q2e)&&(tt=1)}if(tt!==2)return tt;if(j2e(yt,de)||he.resolvedLibReferences&&cf(he.resolvedLibReferences,(ar,Ns)=>hn(Ns).actual!==ar.actual))return 1;if(pn.hasChangedAutomaticTypeDirectiveNames){if(pn.hasChangedAutomaticTypeDirectiveNames())return 1}else if(Me=nQ(de,pn),!i_(he.getAutomaticTypeDirectiveNames(),Me))return 1;Ze=he.getMissingFilePaths(),j.assert(Pi.length===he.getSourceFiles().length);for(const ar of Pi)Se.set(ar.path,ar);return he.getFilesByNameMap().forEach((ar,Ns)=>{if(!ar){Se.set(Ns,ar);return}if(ar.path===Ns){he.isSourceFileFromExternalLibrary(ar)&&On.set(ar.path,!0);return}Se.set(Ns,Se.get(ar.path))}),De=Pi,He=he.getFileIncludeReasons(),et=he.getFileProcessingDiagnostics(),Me=he.getAutomaticTypeDirectiveNames(),ut=he.getAutomaticTypeDirectiveResolutions(),ri=he.sourceFileToPackageName,xe=he.redirectTargetsMap,pt=he.usesUriStyleNodeCoreModules,dt=he.resolvedModules,Ei=he.resolvedTypeReferenceDirectiveNames,wt=he.resolvedLibReferences,xn=he.getCurrentPackagesMap(),2}function Zc(it){return{getCanonicalFileName:Lr,getCommonSourceDirectory:Gt.getCommonSourceDirectory,getCompilerOptions:Gt.getCompilerOptions,getCurrentDirectory:()=>Zs,getSourceFile:Gt.getSourceFile,getSourceFileByPath:Gt.getSourceFileByPath,getSourceFiles:Gt.getSourceFiles,isSourceFileFromExternalLibrary:kh,getResolvedProjectReferenceToRedirect:Hg,getProjectReferenceRedirect:ym,isSourceOfProjectReferenceRedirect:lC,getSymlinkCache:bm,writeFile:it||Ls,isEmitBlocked:mc,shouldTransformImportCall:xx,getEmitModuleFormatOfFile:T2,getDefaultResolutionModeForFile:Jy,getModeForResolutionAtIndex:k2,readFile:yt=>pn.readFile(yt),fileExists:yt=>{const ei=cn(yt);return ki(ei)?!0:Ze.has(ei)?!1:pn.fileExists(yt)},realpath:to(pn,pn.realpath),useCaseSensitiveFileNames:()=>pn.useCaseSensitiveFileNames(),getBuildInfo:()=>{var yt;return(yt=Gt.getBuildInfo)==null?void 0:yt.call(Gt)},getSourceFileFromReference:(yt,ei)=>Gt.getSourceFileFromReference(yt,ei),redirectTargetsMap:xe,getFileIncludeReasons:Gt.getFileIncludeReasons,createHash:to(pn,pn.createHash),getModuleResolutionCache:()=>Gt.getModuleResolutionCache(),trace:to(pn,pn.trace)}}function Ls(it,yt,ei,Pi,nn,Vn){pn.writeFile(it,yt,ei,Pi,nn,Vn)}function ja(it){var yt,ei;(yt=Zn)==null||yt.push(Zn.Phase.Emit,"emitBuildInfo",{},!0),ou("beforeEmit");const Pi=ide(bLe,Zc(it),void 0,pLe,!1,!0);return ou("afterEmit"),Wm("Emit","beforeEmit","afterEmit"),(ei=Zn)==null||ei.pop(),Pi}function wf(){return Dt}function Jo(){return Ie}function kh(it){return!!On.get(it.path)}function md(it){if(!it.isDeclarationFile)return!1;if(it.hasNoDefaultLib)return!0;if(de.noLib)return!1;const yt=pn.useCaseSensitiveFileNames()?NT:jw;return de.lib?Ft(de.lib,ei=>{const Pi=wt.get(ei);return!!Pi&&yt(it.fileName,Pi.actual)}):yt(it.fileName,ko())}function go(){return Ne||(Ne=TNe(Gt))}function pc(it,yt,ei,Pi,nn,Vn,mn){var Pr,ks;(Pr=Zn)==null||Pr.push(Zn.Phase.Emit,"emit",{path:it==null?void 0:it.path},!0);const ar=ci(()=>oo(Gt,it,yt,ei,Pi,nn,Vn,mn));return(ks=Zn)==null||ks.pop(),ar}function mc(it){return Sn.has(cn(it))}function oo(it,yt,ei,Pi,nn,Vn,mn,Pr){if(!mn){const cr=Sde(it,yt,ei,Pi);if(cr)return cr}const ks=go(),ar=ks.getEmitResolver(de.outFile?void 0:yt,Pi,tde(nn,mn));ou("beforeEmit");const Ns=ks.runWithCancellationToken(Pi,()=>ide(ar,Zc(ei),yt,mLe(de,Vn,nn),nn,!1,mn,Pr));return ou("afterEmit"),Wm("Emit","beforeEmit","afterEmit"),Ns}function tc(it){return ki(cn(it))}function ki(it){return Se.get(it)||void 0}function Gc(it,yt,ei){return O6(it?yt(it,ei):Rr(Gt.getSourceFiles(),Pi=>(ei&&ei.throwIfCancellationRequested(),yt(Pi,ei))))}function uh(it,yt){return Gc(it,Lt,yt)}function sd(it,yt,ei){return Gc(it,(Pi,nn)=>Mi(Pi,nn,ei),yt)}function Pp(it){return Nt==null?void 0:Nt.get(it.path)}function Nl(it,yt){return Rn(it,yt,void 0)}function Ve(it){var yt;if(p3(it,de,Gt))return w;const ei=le().getDiagnostics(it.fileName);return(yt=it.commentDirectives)!=null&&yt.length?Mr(it,it.commentDirectives,ei).diagnostics:ei}function _e(it,yt){return Gc(it,Ku,yt)}function Lt(it){return r_(it)?(it.additionalSyntacticDiagnostics||(it.additionalSyntacticDiagnostics=Ic(it)),Js(it.additionalSyntacticDiagnostics,it.parseDiagnostics)):it.parseDiagnostics}function ci(it){try{return it()}catch(yt){throw yt instanceof t8&&(Ne=void 0),yt}}function Mi(it,yt,ei){return Js(VQ(Rn(it,yt,ei),de),Ve(it))}function Rn(it,yt,ei){if(ei)return Yr(it,yt,ei);let Pi=Nt==null?void 0:Nt.get(it.path);return Pi||(Nt??(Nt=new Map)).set(it.path,Pi=Yr(it,yt)),Pi}function Yr(it,yt,ei){return ci(()=>{if(p3(it,de,Gt))return w;const Pi=go();j.assert(!!it.bindDiagnostics);const nn=it.scriptKind===1||it.scriptKind===2,Vn=k8(it,de.checkJs),mn=nn&&n7(it,de);let Pr=it.bindDiagnostics,ks=Pi.getDiagnostics(it,yt,ei);return Vn&&(Pr=$t(Pr,ar=>$et.has(ar.code)),ks=$t(ks,ar=>$et.has(ar.code))),Xi(it,!Vn,!!ei,Pr,ks,mn?it.jsDocDiagnostics:void 0)})}function Xi(it,yt,ei,...Pi){var nn;const Vn=Dr(Pi);if(!yt||!((nn=it.commentDirectives)!=null&&nn.length))return Vn;const{diagnostics:mn,directives:Pr}=Mr(it,it.commentDirectives,Vn);if(ei)return mn;for(const ks of Pr.getUnusedExpectations())mn.push(cTe(it,ks.range,k.Unused_ts_expect_error_directive));return mn}function Mr(it,yt,ei){const Pi=G2e(it,yt);return{diagnostics:ei.filter(Vn=>Ks(Vn,Pi)===-1),directives:Pi}}function ds(it,yt){return ci(()=>go().getSuggestionDiagnostics(it,yt))}function Ks(it,yt){const{file:ei,start:Pi}=it;if(!ei)return-1;const nn=Lv(ei);let Vn=P6(nn,Pi).line-1;for(;Vn>=0;){if(yt.markUsed(Vn))return Vn;const mn=ei.text.slice(nn[Vn],nn[Vn+1]).trim();if(mn!==""&&!/^\s*\/\/.*$/.test(mn))return-1;Vn--}return-1}function Ic(it){return ci(()=>{const yt=[];return ei(it,it),QP(it,ei,Pi),yt;function ei(Pr,ks){switch(ks.kind){case 169:case 172:case 174:if(ks.questionToken===Pr)return yt.push(mn(Pr,k.The_0_modifier_can_only_be_used_in_TypeScript_files,"?")),"skip";case 173:case 176:case 177:case 178:case 218:case 262:case 219:case 260:if(ks.type===Pr)return yt.push(mn(Pr,k.Type_annotations_can_only_be_used_in_TypeScript_files)),"skip"}switch(Pr.kind){case 273:if(Pr.isTypeOnly)return yt.push(mn(ks,k._0_declarations_can_only_be_used_in_TypeScript_files,"import type")),"skip";break;case 278:if(Pr.isTypeOnly)return yt.push(mn(Pr,k._0_declarations_can_only_be_used_in_TypeScript_files,"export type")),"skip";break;case 276:case 281:if(Pr.isTypeOnly)return yt.push(mn(Pr,k._0_declarations_can_only_be_used_in_TypeScript_files,l_(Pr)?"import...type":"export...type")),"skip";break;case 271:return yt.push(mn(Pr,k.import_can_only_be_used_in_TypeScript_files)),"skip";case 277:if(Pr.isExportEquals)return yt.push(mn(Pr,k.export_can_only_be_used_in_TypeScript_files)),"skip";break;case 298:if(Pr.token===119)return yt.push(mn(Pr,k.implements_clauses_can_only_be_used_in_TypeScript_files)),"skip";break;case 264:const Ns=xa(120);return j.assertIsDefined(Ns),yt.push(mn(Pr,k._0_declarations_can_only_be_used_in_TypeScript_files,Ns)),"skip";case 267:const cr=Pr.flags&32?xa(145):xa(144);return j.assertIsDefined(cr),yt.push(mn(Pr,k._0_declarations_can_only_be_used_in_TypeScript_files,cr)),"skip";case 265:return yt.push(mn(Pr,k.Type_aliases_can_only_be_used_in_TypeScript_files)),"skip";case 176:case 174:case 262:return Pr.body?void 0:(yt.push(mn(Pr,k.Signature_declarations_can_only_be_used_in_TypeScript_files)),"skip");case 266:const Go=j.checkDefined(xa(94));return yt.push(mn(Pr,k._0_declarations_can_only_be_used_in_TypeScript_files,Go)),"skip";case 235:return yt.push(mn(Pr,k.Non_null_assertions_can_only_be_used_in_TypeScript_files)),"skip";case 234:return yt.push(mn(Pr.type,k.Type_assertion_expressions_can_only_be_used_in_TypeScript_files)),"skip";case 238:return yt.push(mn(Pr.type,k.Type_satisfaction_expressions_can_only_be_used_in_TypeScript_files)),"skip";case 216:j.fail()}}function Pi(Pr,ks){if(Fle(ks)){const ar=Fe(ks.modifiers,Fd);ar&&yt.push(mn(ar,k.Decorators_are_not_valid_here))}else if(uD(ks)&&ks.modifiers){const ar=ct(ks.modifiers,Fd);if(ar>=0){if($s(ks)&&!de.experimentalDecorators)yt.push(mn(ks.modifiers[ar],k.Decorators_are_not_valid_here));else if(hd(ks)){const Ns=ct(ks.modifiers,BP);if(Ns>=0){const cr=ct(ks.modifiers,yX);if(ar>Ns&&cr>=0&&ar<cr)yt.push(mn(ks.modifiers[ar],k.Decorators_are_not_valid_here));else if(Ns>=0&&ar<Ns){const Go=ct(ks.modifiers,Fd,Ns);Go>=0&&yt.push(da(mn(ks.modifiers[Go],k.Decorators_may_not_appear_after_export_or_export_default_if_they_also_appear_before_export),mn(ks.modifiers[ar],k.Decorator_used_before_export_here)))}}}}}switch(ks.kind){case 263:case 231:case 174:case 176:case 177:case 178:case 218:case 262:case 219:if(Pr===ks.typeParameters)return yt.push(Vn(Pr,k.Type_parameter_declarations_can_only_be_used_in_TypeScript_files)),"skip";case 243:if(Pr===ks.modifiers)return nn(ks.modifiers,ks.kind===243),"skip";break;case 172:if(Pr===ks.modifiers){for(const ar of Pr)Fa(ar)&&ar.kind!==126&&ar.kind!==129&&yt.push(mn(ar,k.The_0_modifier_can_only_be_used_in_TypeScript_files,xa(ar.kind)));return"skip"}break;case 169:if(Pr===ks.modifiers&&Ft(Pr,Fa))return yt.push(Vn(Pr,k.Parameter_modifiers_can_only_be_used_in_TypeScript_files)),"skip";break;case 213:case 214:case 233:case 285:case 286:case 215:if(Pr===ks.typeArguments)return yt.push(Vn(Pr,k.Type_arguments_can_only_be_used_in_TypeScript_files)),"skip";break}}function nn(Pr,ks){for(const ar of Pr)switch(ar.kind){case 87:if(ks)continue;case 125:case 123:case 124:case 148:case 138:case 128:case 164:case 103:case 147:yt.push(mn(ar,k.The_0_modifier_can_only_be_used_in_TypeScript_files,xa(ar.kind)));break;case 126:case 95:case 90:case 129:}}function Vn(Pr,ks,...ar){const Ns=Pr.pos;return Md(it,Ns,Pr.end-Ns,ks,...ar)}function mn(Pr,ks,...ar){return jm(it,Pr,ks,...ar)}})}function Gl(it,yt){let ei=$e==null?void 0:$e.get(it.path);return ei||($e??($e=new Map)).set(it.path,ei=Pu(it,yt)),ei}function Pu(it,yt){return ci(()=>{const ei=go().getEmitResolver(it,yt);return hLe(Zc(Tc),ei,it)||w})}function Ku(it,yt){return it.isDeclarationFile?w:Gl(it,yt)}function Xu(){return O6(Js(le().getGlobalDiagnostics(),Wl()))}function Wl(){if(!de.configFile)return w;let it=le().getDiagnostics(de.configFile.fileName);return F_(yt=>{it=Js(it,le().getDiagnostics(yt.sourceFile.fileName))}),it}function Cu(){return pe.length?O6(go().getGlobalDiagnostics().slice()):w}function gm(){return ue||w}function Qu(it,yt,ei,Pi){sc(ca(it),yt,ei,void 0,Pi)}function kd(it,yt){return it.fileName===yt.fileName}function eg(it,yt){return it.kind===80?yt.kind===80&&it.escapedText===yt.escapedText:yt.kind===11&&it.text===yt.text}function Ye(it,yt){const ei=G.createStringLiteral(it),Pi=G.createImportDeclaration(void 0,void 0,ei);return AP(Pi,2),_c(ei,Pi),_c(Pi,yt),ei.flags&=-17,Pi.flags&=-17,ei}function li(it){if(it.imports)return;const yt=r_(it),ei=wd(it);let Pi,nn,Vn;if(yt||!it.isDeclarationFile&&(Ag(de)||wd(it))){de.importHelpers&&(Pi=[Ye(jk,it)]);const ar=HK(VK(de,it),de);ar&&(Pi||(Pi=[])).push(Ye(ar,it))}for(const ar of it.statements)mn(ar,!1);(it.flags&4194304||yt)&&Pr(it),it.imports=Pi||w,it.moduleAugmentations=nn||w,it.ambientModuleNames=Vn||w;return;function mn(ar,Ns){if(ZW(ar)){const cr=fP(ar);cr&&Ha(cr)&&cr.text&&(!Ns||!Gd(cr.text))&&(Qb(ar,!1),Pi=fn(Pi,cr),!pt&&_i===0&&!it.isDeclarationFile&&(pt=so(cr.text,"node:")))}else if(rd(ar)&&Vh(ar)&&(Ns||Zr(ar,128)||it.isDeclarationFile)){ar.name.parent=ar;const cr=Lg(ar.name);if(ei||Ns&&!Gd(cr))(nn||(nn=[])).push(ar.name);else if(!Ns){it.isDeclarationFile&&(Vn||(Vn=[])).push(cr);const Go=ar.body;if(Go)for(const ll of Go.statements)mn(ll,!0)}}}function Pr(ar){const Ns=/import|require/g;for(;Ns.exec(ar.text)!==null;){const cr=ks(ar,Ns.lastIndex);if(yt&&L_(cr,!0))Qb(cr,!1),Pi=fn(Pi,cr.arguments[0]);else if(zp(cr)&&cr.arguments.length>=1&&Bc(cr.arguments[0]))Qb(cr,!1),Pi=fn(Pi,cr.arguments[0]);else if(lS(cr))Qb(cr,!1),Pi=fn(Pi,cr.argument.literal);else if(yt&&q1(cr)){const Go=fP(cr);Go&&Ha(Go)&&Go.text&&(Qb(cr,!1),Pi=fn(Pi,Go))}}}function ks(ar,Ns){let cr=ar;const Go=ll=>{if(ll.pos<=Ns&&(Ns<ll.end||Ns===ll.end&&ll.kind===1))return ll};for(;;){const ll=yt&&jp(cr)&&O(cr.jsDoc,Go)||Uo(cr,Go);if(!ll)return cr;cr=ll}}}function En(it){var yt;const ei=qet(it),Pi=ei&&((yt=wt==null?void 0:wt.get(ei))==null?void 0:yt.actual);return Pi!==void 0?tc(Pi):void 0}function po(it,yt){return ic(lde(yt.fileName,it.fileName),tc)}function ic(it,yt,ei,Pi){if(N6(it)){const nn=pn.getCanonicalFileName(it);if(!de.allowNonTsExtensions&&!O(Dr(yi),mn=>Xl(nn,mn))){ei&&(Uv(nn)?ei(k.File_0_is_a_JavaScript_file_Did_you_mean_to_enable_the_allowJs_option,it):ei(k.File_0_has_an_unsupported_extension_The_only_supported_extensions_are_1,it,"'"+Dr(at).join("', '")+"'"));return}const Vn=yt(it);if(ei)if(Vn)_2(Pi)&&nn===pn.getCanonicalFileName(ki(Pi.file).fileName)&&ei(k.A_file_cannot_have_a_reference_to_itself);else{const mn=ym(it);mn?ei(k.Output_file_0_has_not_been_built_from_source_file_1,mn,it):ei(k.File_0_not_found,it)}return Vn}else{const nn=de.allowNonTsExtensions&&yt(it);if(nn)return nn;if(ei&&de.allowNonTsExtensions){ei(k.File_0_not_found,it);return}const Vn=O(at[0],mn=>yt(it+mn));return ei&&!Vn&&ei(k.Could_not_resolve_the_path_0_with_the_extensions_Colon_1,it,"'"+Dr(at).join("', '")+"'"),Vn}}function sc(it,yt,ei,Pi,nn){ic(it,Vn=>Pc(Vn,yt,ei,nn,Pi),(Vn,...mn)=>uc(void 0,nn,Vn,mn),nn)}function Hu(it,yt){return sc(it,!1,!1,void 0,yt)}function Hd(it,yt,ei){!_2(ei)&&Ft(He.get(yt.path),_2)?uc(yt,ei,k.Already_included_file_name_0_differs_from_file_name_1_only_in_casing,[yt.fileName,it]):uc(yt,ei,k.File_name_0_differs_from_already_included_file_name_1_only_in_casing,[it,yt.fileName])}function Yc(it,yt,ei,Pi,nn,Vn,mn){var Pr;const ks=l2.createRedirectedSourceFile({redirectTarget:it,unredirected:yt});return ks.fileName=ei,ks.path=Pi,ks.resolvedPath=nn,ks.originalFileName=Vn,ks.packageJsonLocations=(Pr=mn.packageJsonLocations)!=null&&Pr.length?mn.packageJsonLocations:void 0,ks.packageJsonScope=mn.packageJsonScope,On.set(Pi,_i>0),ks}function Pc(it,yt,ei,Pi,nn){var Vn,mn;(Vn=Zn)==null||Vn.push(Zn.Phase.Program,"findSourceFile",{fileName:it,isDefaultLib:yt||void 0,fileIncludeKind:_oe[Pi.kind]});const Pr=Ap(it,yt,ei,Pi,nn);return(mn=Zn)==null||mn.pop(),Pr}function od(it,yt,ei,Pi){const nn=BQ(wo(it,Zs),yt==null?void 0:yt.getPackageJsonInfoCache(),ei,Pi),Vn=Ja(Pi),mn=$V(Pi);return typeof nn=="object"?{...nn,languageVersion:Vn,setExternalModuleIndicator:mn,jsDocParsingMode:ei.jsDocParsingMode}:{languageVersion:Vn,impliedNodeFormat:nn,setExternalModuleIndicator:mn,jsDocParsingMode:ei.jsDocParsingMode}}function Ap(it,yt,ei,Pi,nn){var Vn;const mn=cn(it);if(ii){let cr=jd(mn);if(!cr&&pn.realpath&&de.preserveSymlinks&&df(it)&&it.includes(Xv)){const Go=cn(pn.realpath(it));Go!==mn&&(cr=jd(Go))}if(cr){const Go=Oo(cr)?Pc(cr,yt,ei,Pi,nn):void 0;return Go&&Y_(Go,mn,it,void 0),Go}}const Pr=it;if(Se.has(mn)){const cr=Se.get(mn),Go=Vg(cr||void 0,Pi,!0);if(cr&&Go&&de.forceConsistentCasingInFileNames!==!1){const ll=cr.fileName;cn(ll)!==cn(it)&&(it=ym(it)||it);const qg=Poe(ll,Zs),$h=Poe(it,Zs);qg!==$h&&Hd(it,cr,Pi)}return cr&&On.get(cr.path)&&_i===0?(On.set(cr.path,!1),de.noResolve||(Yv(cr,yt),vm(cr)),de.noLib||Wn(cr),Fi.set(cr.path,!1),ps(cr)):cr&&Fi.get(cr.path)&&_i<Pn&&(Fi.set(cr.path,!1),ps(cr)),cr||void 0}let ks;if(!ii){const cr=tg(it);if(cr){if(cr.commandLine.options.outFile)return;const Go=Zv(cr,it);it=Go,ks=cn(Go)}}const ar=od(it,Xr,pn,de),Ns=pn.getSourceFile(it,ar,cr=>uc(void 0,Pi,k.Cannot_read_file_0_Colon_1,[it,cr]),te);if(nn){const cr=zk(nn),Go=St.get(cr);if(Go){const ll=Yc(Go,Ns,it,mn,cn(it),Pr,ar);return xe.add(Go.path,it),Y_(ll,mn,it,ks),Vg(ll,Pi,!1),ri.set(mn,NG(nn)),Te.push(ll),ll}else Ns&&(St.set(cr,Ns),ri.set(mn,NG(nn)))}if(Y_(Ns,mn,it,ks),Ns){if(On.set(mn,_i>0),Ns.fileName=it,Ns.path=mn,Ns.resolvedPath=cn(it),Ns.originalFileName=Pr,Ns.packageJsonLocations=(Vn=ar.packageJsonLocations)!=null&&Vn.length?ar.packageJsonLocations:void 0,Ns.packageJsonScope=ar.packageJsonScope,Vg(Ns,Pi,!1),pn.useCaseSensitiveFileNames()){const cr=Vw(mn),Go=gt.get(cr);Go?Hd(it,Go,Pi):gt.set(cr,Ns)}Fs=Fs||Ns.hasNoDefaultLib&&!ei,de.noResolve||(Yv(Ns,yt),vm(Ns)),de.noLib||Wn(Ns),ps(Ns),yt?ke.push(Ns):Te.push(Ns),(Pe??(Pe=new Set)).add(Ns.path)}return Ns}function Vg(it,yt,ei){return it&&(!ei||!_2(yt)||!(Pe!=null&&Pe.has(yt.file)))?(He.add(it.path,yt),!0):!1}function Y_(it,yt,ei,Pi){Pi?(Jp(ei,Pi,it),Jp(ei,yt,it||!1)):Jp(ei,yt,it)}function Jp(it,yt,ei){Se.set(yt,ei),ei!==void 0?Ze.delete(yt):Ze.set(yt,it)}function ym(it){const yt=tg(it);return yt&&Zv(yt,it)}function tg(it){if(!(!Dt||!Dt.length||df(it)||Xl(it,".json")))return Hg(it)}function Zv(it,yt){const ei=it.commandLine.options.outFile;return ei?cx(ei,".d.ts"):j3(yt,it.commandLine,!pn.useCaseSensitiveFileNames())}function Hg(it){Rt===void 0&&(Rt=new Map,F_(ei=>{cn(de.configFilePath)!==ei.sourceFile.path&&ei.commandLine.fileNames.forEach(Pi=>Rt.set(cn(Pi),ei.sourceFile.path))}));const yt=Rt.get(cn(it));return yt&&h_(yt)}function F_(it){return yde(Dt,it)}function jd(it){if(df(it))return Ct===void 0&&(Ct=new Map,F_(yt=>{const ei=yt.commandLine.options.outFile;if(ei){const Pi=cx(ei,".d.ts");Ct.set(cn(Pi),!0)}else{const Pi=Bh(()=>oA(yt.commandLine,!pn.useCaseSensitiveFileNames()));O(yt.commandLine.fileNames,nn=>{if(!df(nn)&&!Xl(nn,".json")){const Vn=j3(nn,yt.commandLine,!pn.useCaseSensitiveFileNames(),Pi);Ct.set(cn(Vn),nn)}})}})),Ct.get(it)}function lC(it){return ii&&!!Hg(it)}function h_(it){if(Je)return Je.get(it)||void 0}function Yv(it,yt){O(it.referencedFiles,(ei,Pi)=>{sc(lde(ei.fileName,it.fileName),yt,!1,void 0,{kind:4,file:it.path,index:Pi})})}function vm(it){const yt=it.typeReferenceDirectives;if(!yt.length)return;const ei=(tn==null?void 0:tn.get(it.path))||$o(yt,it),Pi=M3();(Ei??(Ei=new Map)).set(it.path,Pi);for(let nn=0;nn<yt.length;nn++){const Vn=it.typeReferenceDirectives[nn],mn=ei[nn],Pr=Vn.fileName;Pi.set(Pr,AQ(Vn,it.impliedNodeFormat),mn);const ks=Vn.resolutionMode||Jy(it);Ot(Pr,ks,mn,{kind:5,file:it.path,index:nn})}}function ve(it){var yt;return((yt=Xn(it))==null?void 0:yt.commandLine.options)||de}function Ot(it,yt,ei,Pi){var nn,Vn;(nn=Zn)==null||nn.push(Zn.Phase.Program,"processTypeReferenceDirective",{directive:it,hasResolved:!!ei.resolvedTypeReferenceDirective,refKind:Pi.kind,refPath:_2(Pi)?Pi.file:void 0}),mi(it,yt,ei,Pi),(Vn=Zn)==null||Vn.pop()}function mi(it,yt,ei,Pi){fi(ei);const{resolvedTypeReferenceDirective:nn}=ei;nn?(nn.isExternalLibraryImport&&_i++,sc(nn.resolvedFileName,!1,!1,nn.packageId,Pi),nn.isExternalLibraryImport&&_i--):uc(void 0,Pi,k.Cannot_find_type_definition_file_for_0,[it])}function Ci(it){const yt=wt==null?void 0:wt.get(it);if(yt)return yt.actual;const ei=hn(it);return(wt??(wt=new Map)).set(it,ei),ei.actual}function hn(it){var yt,ei,Pi,nn,Vn;const mn=Et==null?void 0:Et.get(it);if(mn)return mn;if(tt!==0&&he&&!Ji(it)){const cr=(yt=he.resolvedLibReferences)==null?void 0:yt.get(it);if(cr){if(cr.resolution&&mx(de,pn)){const Go=vde(it),ll=FQ(de,Zs,it);bo(pn,cr.resolution.resolvedModule?cr.resolution.resolvedModule.packageId?k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved,Go,wo(ll,Zs),(ei=cr.resolution.resolvedModule)==null?void 0:ei.resolvedFileName,((Pi=cr.resolution.resolvedModule)==null?void 0:Pi.packageId)&&zk(cr.resolution.resolvedModule.packageId))}return(Et??(Et=new Map)).set(it,cr),cr}}const Pr=vde(it),ks=FQ(de,Zs,it);(nn=Zn)==null||nn.push(Zn.Phase.Program,"resolveLibrary",{resolveFrom:ks}),ou("beforeResolveLibrary");const ar=ac(Pr,ks,de,it);ou("afterResolveLibrary"),Wm("ResolveLibrary","beforeResolveLibrary","afterResolveLibrary"),(Vn=Zn)==null||Vn.pop();const Ns={resolution:ar,actual:ar.resolvedModule?ar.resolvedModule.resolvedFileName:zr(ls,it)};return(Et??(Et=new Map)).set(it,Ns),Ns}function Wn(it){O(it.libReferenceDirectives,(yt,ei)=>{const Pi=qet(yt);Pi?Qu(Ci(Pi),!0,!0,{kind:7,file:it.path,index:ei}):(et||(et=[])).push({kind:0,reason:{kind:7,file:it.path,index:ei}})})}function Lr(it){return pn.getCanonicalFileName(it)}function ps(it){if(li(it),it.imports.length||it.moduleAugmentations.length){const yt=Get(it),ei=(zt==null?void 0:zt.get(it.path))||Wo(yt,it);j.assert(ei.length===yt.length);const Pi=ve(it),nn=M3();(dt??(dt=new Map)).set(it.path,nn);for(let Vn=0;Vn<yt.length;Vn++){const mn=ei[Vn].resolvedModule,Pr=yt[Vn].text,ks=mde(it,yt[Vn],Pi);if(nn.set(Pr,ks,ei[Vn]),wi(it,Pr,ei[Vn],ks),!mn)continue;const ar=mn.isExternalLibraryImport,Ns=!t7(mn.extension)&&!tg(mn.resolvedFileName),cr=ar&&Ns&&(!mn.originalPath||yx(mn.resolvedFileName)),Go=mn.resolvedFileName;ar&&_i++;const ll=cr&&_i>Pn,qh=Go&&!wde(Pi,mn,it)&&!Pi.noResolve&&Vn<it.imports.length&&!ll&&!(Ns&&!ox(Pi))&&(nr(it.imports[Vn])||!(it.imports[Vn].flags&16777216));ll?Fi.set(it.path,!0):qh&&Pc(Go,!1,!1,{kind:3,file:it.path,index:Vn},mn.packageId),ar&&_i--}}}function ee(it,yt){let ei=!0;const Pi=pn.getCanonicalFileName(wo(yt,Zs));for(const nn of it)nn.isDeclarationFile||pn.getCanonicalFileName(wo(nn.fileName,Zs)).indexOf(Pi)!==0&&(Xa(nn,k.File_0_is_not_under_rootDir_1_rootDir_is_expected_to_contain_all_source_files,[nn.fileName,yt]),ei=!1);return ei}function Xe(it){Je||(Je=new Map);const yt=q3(it),ei=cn(yt),Pi=Je.get(ei);if(Pi!==void 0)return Pi||void 0;let nn,Vn;if(pn.getParsedCommandLine){if(nn=pn.getParsedCommandLine(yt),!nn){Y_(void 0,ei,yt,void 0),Je.set(ei,!1);return}Vn=j.checkDefined(nn.options.configFile),j.assert(!Vn.path||Vn.path===ei),Y_(Vn,ei,yt,void 0)}else{const Pr=wo(ts(yt),Zs);if(Vn=pn.getSourceFile(yt,100),Y_(Vn,ei,yt,void 0),Vn===void 0){Je.set(ei,!1);return}nn=PH(Vn,qr,Pr,void 0,yt)}Vn.fileName=yt,Vn.path=ei,Vn.resolvedPath=ei,Vn.originalFileName=yt;const mn={commandLine:nn,sourceFile:Vn};return Je.set(ei,mn),nn.projectReferences&&(mn.references=nn.projectReferences.map(Xe)),mn}function Zt(){de.strictPropertyInitialization&&!ah(de,"strictNullChecks")&&Vl(k.Option_0_cannot_be_specified_without_specifying_option_1,"strictPropertyInitialization","strictNullChecks"),de.exactOptionalPropertyTypes&&!ah(de,"strictNullChecks")&&Vl(k.Option_0_cannot_be_specified_without_specifying_option_1,"exactOptionalPropertyTypes","strictNullChecks"),(de.isolatedModules||de.verbatimModuleSyntax)&&de.outFile&&Vl(k.Option_0_cannot_be_specified_with_option_1,"outFile",de.verbatimModuleSyntax?"verbatimModuleSyntax":"isolatedModules"),de.isolatedDeclarations&&(ox(de)&&Vl(k.Option_0_cannot_be_specified_with_option_1,"allowJs","isolatedDeclarations"),Q_(de)||Vl(k.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"isolatedDeclarations","declaration","composite")),de.inlineSourceMap&&(de.sourceMap&&Vl(k.Option_0_cannot_be_specified_with_option_1,"sourceMap","inlineSourceMap"),de.mapRoot&&Vl(k.Option_0_cannot_be_specified_with_option_1,"mapRoot","inlineSourceMap")),de.composite&&(de.declaration===!1&&Vl(k.Composite_projects_may_not_disable_declaration_emit,"declaration"),de.incremental===!1&&Vl(k.Composite_projects_may_not_disable_incremental_compilation,"declaration"));const it=de.outFile;if(!de.tsBuildInfoFile&&de.incremental&&!it&&!de.configFilePath&&Qs.add(Zl(k.Option_incremental_can_only_be_specified_using_tsconfig_emitting_to_single_file_or_when_option_tsBuildInfoFile_is_specified)),Qo(),h0(),de.composite){const mn=new Set(pe.map(cn));for(const Pr of De)SI(Pr,Gt)&&!mn.has(Pr.path)&&Xa(Pr,k.File_0_is_not_listed_within_the_file_list_of_project_1_Projects_must_list_all_files_or_use_an_include_pattern,[Pr.fileName,de.configFilePath||""])}if(de.paths){for(const mn in de.paths)if(Li(de.paths,mn))if(Ace(mn)||eb(!0,mn,k.Pattern_0_can_have_at_most_one_Asterisk_character,mn),Ao(de.paths[mn])){const Pr=de.paths[mn].length;Pr===0&&eb(!1,mn,k.Substitutions_for_pattern_0_shouldn_t_be_an_empty_array,mn);for(let ks=0;ks<Pr;ks++){const ar=de.paths[mn][ks],Ns=typeof ar;Ns==="string"?(Ace(ar)||K0(mn,ks,k.Substitution_0_in_pattern_1_can_have_at_most_one_Asterisk_character,ar,mn),!de.baseUrl&&!am(ar)&&!r8(ar)&&K0(mn,ks,k.Non_relative_paths_are_not_allowed_when_baseUrl_is_not_set_Did_you_forget_a_leading_Slash)):K0(mn,ks,k.Substitution_0_for_pattern_1_has_incorrect_type_expected_string_got_2,ar,mn,Ns)}}else eb(!1,mn,k.Substitutions_for_pattern_0_should_be_an_array,mn)}!de.sourceMap&&!de.inlineSourceMap&&(de.inlineSources&&Vl(k.Option_0_can_only_be_used_when_either_option_inlineSourceMap_or_option_sourceMap_is_provided,"inlineSources"),de.sourceRoot&&Vl(k.Option_0_can_only_be_used_when_either_option_inlineSourceMap_or_option_sourceMap_is_provided,"sourceRoot")),de.mapRoot&&!(de.sourceMap||de.declarationMap)&&Vl(k.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"mapRoot","sourceMap","declarationMap"),de.declarationDir&&(Q_(de)||Vl(k.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"declarationDir","declaration","composite"),it&&Vl(k.Option_0_cannot_be_specified_with_option_1,"declarationDir","outFile")),de.declarationMap&&!Q_(de)&&Vl(k.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"declarationMap","declaration","composite"),de.lib&&de.noLib&&Vl(k.Option_0_cannot_be_specified_with_option_1,"lib","noLib");const yt=Ja(de),ei=Fe(De,mn=>wd(mn)&&!mn.isDeclarationFile);if(de.isolatedModules||de.verbatimModuleSyntax)de.module===0&&yt<2&&de.isolatedModules&&Vl(k.Option_isolatedModules_can_only_be_used_when_either_option_module_is_provided_or_option_target_is_ES2015_or_higher,"isolatedModules","target"),de.preserveConstEnums===!1&&Vl(k.Option_preserveConstEnums_cannot_be_disabled_when_0_is_enabled,de.verbatimModuleSyntax?"verbatimModuleSyntax":"isolatedModules","preserveConstEnums");else if(ei&&yt<2&&de.module===0){const mn=pI(ei,typeof ei.externalModuleIndicator=="boolean"?ei:ei.externalModuleIndicator);Qs.add(Md(ei,mn.start,mn.length,k.Cannot_use_imports_exports_or_module_augmentations_when_module_is_none))}if(it&&!de.emitDeclarationOnly){if(de.module&&!(de.module===2||de.module===4))Vl(k.Only_amd_and_system_modules_are_supported_alongside_0,"outFile","module");else if(de.module===void 0&&ei){const mn=pI(ei,typeof ei.externalModuleIndicator=="boolean"?ei:ei.externalModuleIndicator);Qs.add(Md(ei,mn.start,mn.length,k.Cannot_compile_modules_using_option_0_unless_the_module_flag_is_amd_or_system,"outFile"))}}if(XT(de)&&(yh(de)===1?Vl(k.Option_resolveJsonModule_cannot_be_specified_when_moduleResolution_is_set_to_classic,"resolveJsonModule"):RK(de)||Vl(k.Option_resolveJsonModule_cannot_be_specified_when_module_is_set_to_none_system_or_umd,"resolveJsonModule","module")),de.outDir||de.rootDir||de.sourceRoot||de.mapRoot||Q_(de)&&de.declarationDir){const mn=vi();de.outDir&&mn===""&&De.some(Pr=>Ly(Pr.fileName)>1)&&Vl(k.Cannot_find_the_common_subdirectory_path_for_the_input_files,"outDir")}de.checkJs&&!ox(de)&&Vl(k.Option_0_cannot_be_specified_without_specifying_option_1,"checkJs","allowJs"),de.emitDeclarationOnly&&(Q_(de)||Vl(k.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"emitDeclarationOnly","declaration","composite")),de.emitDecoratorMetadata&&!de.experimentalDecorators&&Vl(k.Option_0_cannot_be_specified_without_specifying_option_1,"emitDecoratorMetadata","experimentalDecorators"),de.jsxFactory?(de.reactNamespace&&Vl(k.Option_0_cannot_be_specified_with_option_1,"reactNamespace","jsxFactory"),(de.jsx===4||de.jsx===5)&&Vl(k.Option_0_cannot_be_specified_when_option_jsx_is_1,"jsxFactory",DH.get(""+de.jsx)),YP(de.jsxFactory,yt)||Gp("jsxFactory",k.Invalid_value_for_jsxFactory_0_is_not_a_valid_identifier_or_qualified_name,de.jsxFactory)):de.reactNamespace&&!J_(de.reactNamespace,yt)&&Gp("reactNamespace",k.Invalid_value_for_reactNamespace_0_is_not_a_valid_identifier,de.reactNamespace),de.jsxFragmentFactory&&(de.jsxFactory||Vl(k.Option_0_cannot_be_specified_without_specifying_option_1,"jsxFragmentFactory","jsxFactory"),(de.jsx===4||de.jsx===5)&&Vl(k.Option_0_cannot_be_specified_when_option_jsx_is_1,"jsxFragmentFactory",DH.get(""+de.jsx)),YP(de.jsxFragmentFactory,yt)||Gp("jsxFragmentFactory",k.Invalid_value_for_jsxFragmentFactory_0_is_not_a_valid_identifier_or_qualified_name,de.jsxFragmentFactory)),de.reactNamespace&&(de.jsx===4||de.jsx===5)&&Vl(k.Option_0_cannot_be_specified_when_option_jsx_is_1,"reactNamespace",DH.get(""+de.jsx)),de.jsxImportSource&&de.jsx===2&&Vl(k.Option_0_cannot_be_specified_when_option_jsx_is_1,"jsxImportSource",DH.get(""+de.jsx));const Pi=zh(de);de.verbatimModuleSyntax&&(Pi===2||Pi===3||Pi===4)&&Vl(k.Option_verbatimModuleSyntax_cannot_be_used_when_module_is_set_to_UMD_AMD_or_System,"verbatimModuleSyntax"),de.allowImportingTsExtensions&&!(de.noEmit||de.emitDeclarationOnly)&&Gp("allowImportingTsExtensions",k.Option_allowImportingTsExtensions_can_only_be_used_when_either_noEmit_or_emitDeclarationOnly_is_set);const nn=yh(de);if(de.resolvePackageJsonExports&&!f3(nn)&&Vl(k.Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler,"resolvePackageJsonExports"),de.resolvePackageJsonImports&&!f3(nn)&&Vl(k.Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler,"resolvePackageJsonImports"),de.customConditions&&!f3(nn)&&Vl(k.Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler,"customConditions"),nn===100&&!KV(Pi)&&Pi!==200&&Gp("moduleResolution",k.Option_0_can_only_be_used_when_module_is_set_to_preserve_or_to_es2015_or_later,"bundler"),bW[Pi]&&100<=Pi&&Pi<=199&&!(3<=nn&&nn<=99)){const mn=bW[Pi];Gp("moduleResolution",k.Option_moduleResolution_must_be_set_to_0_or_left_unspecified_when_option_module_is_set_to_1,mn,mn)}else if(i8[nn]&&3<=nn&&nn<=99&&!(100<=Pi&&Pi<=199)){const mn=i8[nn];Gp("module",k.Option_module_must_be_set_to_0_when_option_moduleResolution_is_set_to_1,mn,mn)}if(!de.noEmit&&!de.suppressOutputPathCheck){const mn=Zc(),Pr=new Set;Que(mn,ks=>{de.emitDeclarationOnly||Vn(ks.jsFilePath,Pr),Vn(ks.declarationFilePath,Pr)})}function Vn(mn,Pr){if(mn){const ks=cn(mn);if(Se.has(ks)){let Ns;de.configFilePath||(Ns=jo(void 0,k.Adding_a_tsconfig_json_file_will_help_organize_projects_that_contain_both_TypeScript_and_JavaScript_files_Learn_more_at_https_Colon_Slash_Slashaka_ms_Slashtsconfig)),Ns=jo(Ns,k.Cannot_write_file_0_because_it_would_overwrite_input_file,mn),AS(mn,PK(Ns))}const ar=pn.useCaseSensitiveFileNames()?ks:Vw(ks);Pr.has(ar)?AS(mn,Zl(k.Cannot_write_file_0_because_it_would_be_overwritten_by_multiple_input_files,mn)):Pr.add(ar)}}}function Nn(){const it=de.ignoreDeprecations;if(it){if(it==="5.0")return new P0(it);Ae()}return P0.zero}function Is(it,yt,ei,Pi){const nn=new P0(it),Vn=new P0(yt),mn=new P0(we||m),Pr=Nn(),ks=Vn.compareTo(mn)!==1,ar=!ks&&Pr.compareTo(nn)===-1;(ks||ar)&&Pi((Ns,cr,Go)=>{ks?cr===void 0?ei(Ns,cr,Go,k.Option_0_has_been_removed_Please_remove_it_from_your_configuration,Ns):ei(Ns,cr,Go,k.Option_0_1_has_been_removed_Please_remove_it_from_your_configuration,Ns,cr):cr===void 0?ei(Ns,cr,Go,k.Option_0_is_deprecated_and_will_stop_functioning_in_TypeScript_1_Specify_compilerOption_ignoreDeprecations_Colon_2_to_silence_this_error,Ns,yt,it):ei(Ns,cr,Go,k.Option_0_1_is_deprecated_and_will_stop_functioning_in_TypeScript_2_Specify_compilerOption_ignoreDeprecations_Colon_3_to_silence_this_error,Ns,cr,yt,it)})}function Qo(){function it(yt,ei,Pi,nn,...Vn){if(Pi){const mn=jo(void 0,k.Use_0_instead,Pi),Pr=jo(mn,nn,...Vn);X0(!ei,yt,void 0,Pr)}else X0(!ei,yt,void 0,nn,...Vn)}Is("5.0","5.5",it,yt=>{de.target===0&&yt("target","ES3"),de.noImplicitUseStrict&&yt("noImplicitUseStrict"),de.keyofStringsOnly&&yt("keyofStringsOnly"),de.suppressExcessPropertyErrors&&yt("suppressExcessPropertyErrors"),de.suppressImplicitAnyIndexErrors&&yt("suppressImplicitAnyIndexErrors"),de.noStrictGenericChecks&&yt("noStrictGenericChecks"),de.charset&&yt("charset"),de.out&&yt("out",void 0,"outFile"),de.importsNotUsedAsValues&&yt("importsNotUsedAsValues",void 0,"verbatimModuleSyntax"),de.preserveValueImports&&yt("preserveValueImports",void 0,"verbatimModuleSyntax")})}function To(it,yt,ei){function Pi(nn,Vn,mn,Pr,...ks){ep(yt,ei,Pr,...ks)}Is("5.0","5.5",Pi,nn=>{it.prepend&&nn("prepend")})}function Zo(it,yt,ei,Pi){let nn;const Vn=it&&He.get(it.path);let mn,Pr,ks=_2(yt)?yt:void 0,ar,Ns,cr=it&&(We==null?void 0:We.get(it.path)),Go;cr?(cr.fileIncludeReasonDetails?(nn=new Set(Vn),Vn==null||Vn.forEach($h)):Vn==null||Vn.forEach(qg),Ns=cr.redirectInfo):(Vn==null||Vn.forEach(qg),Ns=it&&Wde(it,ve(it))),yt&&qg(yt);const ll=(nn==null?void 0:nn.size)!==(Vn==null?void 0:Vn.length);ks&&(nn==null?void 0:nn.size)===1&&(nn=void 0),nn&&cr&&(cr.details&&!ll?Go=jo(cr.details,ei,...Pi||w):cr.fileIncludeReasonDetails&&(ll?$g()?mn=fn(cr.fileIncludeReasonDetails.next.slice(0,Vn.length),mn[0]):mn=[...cr.fileIncludeReasonDetails.next,mn[0]]:$g()?mn=cr.fileIncludeReasonDetails.next.slice(0,Vn.length):ar=cr.fileIncludeReasonDetails)),Go||(ar||(ar=nn&&jo(mn,k.The_file_is_in_the_program_because_Colon)),Go=jo(Ns?ar?[ar,...Ns]:Ns:ar,ei,...Pi||w)),it&&(cr?(!cr.fileIncludeReasonDetails||!ll&&ar)&&(cr.fileIncludeReasonDetails=ar):(We??(We=new Map)).set(it.path,cr={fileIncludeReasonDetails:ar,redirectInfo:Ns}),!cr.details&&!ll&&(cr.details=Go.next));const qh=ks&&Y7(Gt,ks);return qh&&U3(qh)?BG(qh.file,qh.pos,qh.end-qh.pos,Go,Pr):PK(Go,Pr);function qg(Jh){nn!=null&&nn.has(Jh)||((nn??(nn=new Set)).add(Jh),(mn??(mn=[])).push(jde(Gt,Jh)),$h(Jh))}function $h(Jh){!ks&&_2(Jh)?ks=Jh:ks!==Jh&&(Pr=fn(Pr,Yl(Jh)))}function $g(){var Jh;return((Jh=cr.fileIncludeReasonDetails.next)==null?void 0:Jh.length)!==(Vn==null?void 0:Vn.length)}}function uc(it,yt,ei,Pi){(et||(et=[])).push({kind:1,file:it&&it.path,fileProcessingReason:yt,diagnostic:ei,args:Pi})}function Xa(it,yt,ei){Mn.push({file:it,diagnostic:yt,args:ei})}function Yl(it){let yt=ze==null?void 0:ze.get(it);return yt===void 0&&(ze??(ze=new Map)).set(it,yt=ig(it)??!1),yt||void 0}function ig(it){if(_2(it)){const Pi=Y7(Gt,it);let nn;switch(it.kind){case 3:nn=k.File_is_included_via_import_here;break;case 4:nn=k.File_is_included_via_reference_here;break;case 5:nn=k.File_is_included_via_type_library_reference_here;break;case 7:nn=k.File_is_included_via_library_reference_here;break;default:j.assertNever(it)}return U3(Pi)?Md(Pi.file,Pi.pos,Pi.end-Pi.pos,nn):void 0}if(!de.configFile)return;let yt,ei;switch(it.kind){case 0:if(!de.configFile.configFileSpecs)return;const Pi=wo(pe[it.index],Zs),nn=Vde(Gt,Pi);if(nn){yt=UG(de.configFile,"files",nn),ei=k.File_is_matched_by_files_list_specified_here;break}const Vn=Hde(Gt,Pi);if(!Vn||!Oo(Vn))return;yt=UG(de.configFile,"include",Vn),ei=k.File_is_matched_by_include_pattern_specified_here;break;case 1:case 2:const mn=j.checkDefined(Dt==null?void 0:Dt[it.index]),Pr=RQ(Ie,Dt,(Go,ll,qh)=>Go===mn?{sourceFile:(ll==null?void 0:ll.sourceFile)||de.configFile,index:qh}:void 0);if(!Pr)return;const{sourceFile:ks,index:ar}=Pr,Ns=sV(ks,"references",Go=>jf(Go.initializer)?Go.initializer:void 0);return Ns&&Ns.elements.length>ar?jm(ks,Ns.elements[ar],it.kind===2?k.File_is_output_from_referenced_project_specified_here:k.File_is_source_from_referenced_project_specified_here):void 0;case 8:if(!de.types)return;yt=rg("types",it.typeReference),ei=k.File_is_entry_point_of_type_library_specified_here;break;case 6:if(it.index!==void 0){yt=rg("lib",de.lib[it.index]),ei=k.File_is_library_specified_here;break}const cr=FK(Ja(de));yt=cr?ng("target",cr):void 0,ei=k.File_is_default_library_for_target_specified_here;break;default:j.assertNever(it)}return yt&&jm(de.configFile,yt,ei)}function h0(){const it=de.suppressOutputPathCheck?void 0:h2(de);RQ(Ie,Dt,(yt,ei,Pi)=>{const nn=(ei?ei.commandLine.projectReferences:Ie)[Pi],Vn=ei&&ei.sourceFile;if(To(nn,Vn,Pi),!yt){ep(Vn,Pi,k.File_0_not_found,nn.path);return}const mn=yt.commandLine.options;(!mn.composite||mn.noEmit)&&(ei?ei.commandLine.fileNames:pe).length&&(mn.composite||ep(Vn,Pi,k.Referenced_project_0_must_have_setting_composite_Colon_true,nn.path),mn.noEmit&&ep(Vn,Pi,k.Referenced_project_0_may_not_disable_emit,nn.path)),!ei&&it&&it===h2(mn)&&(ep(Vn,Pi,k.Cannot_write_file_0_because_it_will_overwrite_tsbuildinfo_file_generated_by_referenced_project_1,it,nn.path),Sn.set(cn(it),!0))})}function K0(it,yt,ei,...Pi){let nn=!0;tb(Vn=>{Aa(Vn.initializer)&&G6(Vn.initializer,it,mn=>{const Pr=mn.initializer;jf(Pr)&&Pr.elements.length>yt&&(Qs.add(jm(de.configFile,Pr.elements[yt],ei,...Pi)),nn=!1)})}),nn&&ib(ei,...Pi)}function eb(it,yt,ei,...Pi){let nn=!0;tb(Vn=>{Aa(Vn.initializer)&&uC(Vn.initializer,it,yt,void 0,ei,...Pi)&&(nn=!1)}),nn&&ib(ei,...Pi)}function PS(it,yt){return G6(jg(),it,yt)}function tb(it){return PS("paths",it)}function ng(it,yt){return PS(it,ei=>Ha(ei.initializer)&&ei.initializer.text===yt?ei.initializer:void 0)}function rg(it,yt){const ei=jg();return ei&&bTe(ei,it,yt)}function Vl(it,yt,ei,Pi){X0(!0,yt,ei,it,yt,ei,Pi)}function Gp(it,yt,...ei){X0(!1,it,void 0,yt,...ei)}function ep(it,yt,ei,...Pi){const nn=sV(it||de.configFile,"references",Vn=>jf(Vn.initializer)?Vn.initializer:void 0);nn&&nn.elements.length>yt?Qs.add(jm(it||de.configFile,nn.elements[yt],ei,...Pi)):Qs.add(Zl(ei,...Pi))}function X0(it,yt,ei,Pi,...nn){const Vn=jg();(!Vn||!uC(Vn,it,yt,ei,Pi,...nn))&&ib(Pi,...nn)}function ib(it,...yt){const ei=$y();ei?"messageText"in it?Qs.add(Bv(de.configFile,ei.name,it)):Qs.add(jm(de.configFile,ei.name,it,...yt)):"messageText"in it?Qs.add(PK(it)):Qs.add(Zl(it,...yt))}function jg(){if(dr===void 0){const it=$y();dr=it&&Jr(it.initializer,Aa)||!1}return dr||void 0}function $y(){return us===void 0&&(us=G6(I8(de.configFile),"compilerOptions",hl)||!1),us||void 0}function uC(it,yt,ei,Pi,nn,...Vn){let mn=!1;return G6(it,ei,Pr=>{"messageText"in nn?Qs.add(Bv(de.configFile,yt?Pr.name:Pr.initializer,nn)):Qs.add(jm(de.configFile,yt?Pr.name:Pr.initializer,nn,...Vn)),mn=!0},Pi),mn}function AS(it,yt){Sn.set(cn(it),!0),Qs.add(yt)}function zg(it){if(de.noEmit)return!1;const yt=cn(it);if(ki(yt))return!1;const ei=de.outFile;if(ei)return x2(yt,ei)||x2(yt,s_(ei)+".d.ts");if(de.declarationDir&&Vm(de.declarationDir,yt,Zs,!pn.useCaseSensitiveFileNames()))return!0;if(de.outDir)return Vm(de.outDir,yt,Zs,!pn.useCaseSensitiveFileNames());if(Ru(yt,h3)||df(yt)){const Pi=s_(yt);return!!ki(Pi+".ts")||!!ki(Pi+".tsx")}return!1}function x2(it,yt){return Hb(it,yt,Zs,!pn.useCaseSensitiveFileNames())===0}function bm(){return pn.getSymlinkCache?pn.getSymlinkCache():(qe||(qe=Oce(Zs,Lr)),De&&!qe.hasProcessedResolutions()&&qe.setSymlinksFromResolutions(hi,vn,ut),qe)}function Ug(it,yt){return mde(it,yt,ve(it))}function dC(it,yt){return Het(it,yt,ve(it))}function k2(it,yt){return Ug(it,ej(it,yt))}function Jy(it){return WQ(it,ve(it))}function nb(it){return jI(it,ve(it))}function T2(it){return e5(it,ve(it))}function xx(it){return Jet(it,ve(it))}}function Jet(t,r){const a=zh(r);return 100<=a&&a<=199||a===200?!1:e5(t,r)<5}function e5(t,r){return jI(t,r)??zh(r)}function jI(t,r){var a,u;const h=zh(r);if(100<=h&&h<=199)return t.impliedNodeFormat;if(t.impliedNodeFormat===1&&(((a=t.packageJsonScope)==null?void 0:a.contents.packageJsonContent.type)==="commonjs"||Ru(t.fileName,[".cjs",".cts"])))return 1;if(t.impliedNodeFormat===99&&(((u=t.packageJsonScope)==null?void 0:u.contents.packageJsonContent.type)==="module"||Ru(t.fileName,[".mjs",".mts"])))return 99}function WQ(t,r){return Lce(r)?jI(t,r):void 0}function d8t(t){let r;const a=t.compilerHost.fileExists,u=t.compilerHost.directoryExists,h=t.compilerHost.getDirectories,p=t.compilerHost.realpath;if(!t.useSourceOfProjectReferenceRedirect)return{onProgramCreateComplete:Tc,fileExists:b};t.compilerHost.fileExists=b;let g;return u&&(g=t.compilerHost.directoryExists=F=>u.call(t.compilerHost,F)?(N(F),!0):t.getResolvedProjectReferences()?(r||(r=new Set,t.forEachResolvedProjectReference(H=>{const U=H.commandLine.options.outFile;if(U)r.add(ts(t.toPath(U)));else{const q=H.commandLine.options.declarationDir||H.commandLine.options.outDir;q&&r.add(t.toPath(q))}})),R(F,!1)):!1),h&&(t.compilerHost.getDirectories=F=>!t.getResolvedProjectReferences()||u&&u.call(t.compilerHost,F)?h.call(t.compilerHost,F):[]),p&&(t.compilerHost.realpath=F=>{var H;return((H=t.getSymlinkCache().getSymlinkedFiles())==null?void 0:H.get(t.toPath(F)))||p.call(t.compilerHost,F)}),{onProgramCreateComplete:C,fileExists:b,directoryExists:g};function C(){t.compilerHost.fileExists=a,t.compilerHost.directoryExists=u,t.compilerHost.getDirectories=h}function b(F){return a.call(t.compilerHost,F)?!0:!t.getResolvedProjectReferences()||!df(F)?!1:R(F,!0)}function T(F){const H=t.getSourceOfProjectReferenceRedirect(t.toPath(F));return H!==void 0?Oo(H)?a.call(t.compilerHost,H):!0:void 0}function E(F){const H=t.toPath(F),U=`${H}${Kl}`;return qb(r,q=>H===q||so(q,U)||so(H,`${q}/`))}function N(F){var H;if(!t.getResolvedProjectReferences()||a7(F)||!p||!F.includes(Xv))return;const U=t.getSymlinkCache(),q=Ad(t.toPath(F));if((H=U.getSymlinkedDirectories())!=null&&H.has(q))return;const X=ca(p.call(t.compilerHost,F));let Z;if(X===F||(Z=Ad(t.toPath(X)))===q){U.setSymlinkedDirectory(q,!1);return}U.setSymlinkedDirectory(F,{real:Ad(X),realPath:Z})}function R(F,H){var U;const q=H?oe=>T(oe):oe=>E(oe),X=q(F);if(X!==void 0)return X;const Z=t.getSymlinkCache(),Q=Z.getSymlinkedDirectories();if(!Q)return!1;const re=t.toPath(F);return re.includes(Xv)?H&&((U=Z.getSymlinkedFiles())!=null&&U.has(re))?!0:Y(Q.entries(),([oe,pe])=>{if(!pe||!so(re,oe))return;const de=q(re.replace(oe,pe.realPath));if(H&&de){const ue=wo(F,t.compilerHost.getCurrentDirectory());Z.setSymlinkedFile(re,`${pe.real}${ue.replace(new RegExp(oe,"i"),"")}`)}return de})||!1:!1}}var Cde={diagnostics:w,sourceMaps:void 0,emittedFiles:void 0,emitSkipped:!0};function Sde(t,r,a,u){const h=t.getCompilerOptions();if(h.noEmit)return r?Cde:t.emitBuildInfo(a,u);if(!h.noEmitOnError)return;let p=[...t.getOptionsDiagnostics(u),...t.getSyntacticDiagnostics(r,u),...t.getGlobalDiagnostics(u),...t.getSemanticDiagnostics(r,u)];if(p.length===0&&Q_(t.getCompilerOptions())&&(p=t.getDeclarationDiagnostics(void 0,u)),!p.length)return;let g;if(!r){const C=t.emitBuildInfo(a,u);C.diagnostics&&(p=[...p,...C.diagnostics]),g=C.emittedFiles}return{diagnostics:p,sourceMaps:void 0,emittedFiles:g,emitSkipped:!0}}function VQ(t,r){return $t(t,a=>!a.skippedOn||!r[a.skippedOn])}function HQ(t,r=t){return{fileExists:a=>r.fileExists(a),readDirectory(a,u,h,p,g){return j.assertIsDefined(r.readDirectory,"'CompilerHost.readDirectory' must be implemented to correctly process 'projectReferences'"),r.readDirectory(a,u,h,p,g)},readFile:a=>r.readFile(a),directoryExists:to(r,r.directoryExists),getDirectories:to(r,r.getDirectories),realpath:to(r,r.realpath),useCaseSensitiveFileNames:t.useCaseSensitiveFileNames(),getCurrentDirectory:()=>t.getCurrentDirectory(),onUnRecoverableConfigFileDiagnostic:t.onUnRecoverableConfigFileDiagnostic||IT,trace:t.trace?a=>t.trace(a):void 0}}function q3(t){return Qde(t.path)}function wde(t,{extension:r},{isDeclarationFile:a}){switch(r){case".ts":case".d.ts":case".mts":case".d.mts":case".cts":case".d.cts":return;case".tsx":return u();case".jsx":return u()||h();case".js":case".mjs":case".cjs":return h();case".json":return p();default:return g()}function u(){return t.jsx?void 0:k.Module_0_was_resolved_to_1_but_jsx_is_not_set}function h(){return ox(t)||!ah(t,"noImplicitAny")?void 0:k.Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type}function p(){return XT(t)?void 0:k.Module_0_was_resolved_to_1_but_resolveJsonModule_is_not_used}function g(){return a||t.allowArbitraryExtensions?void 0:k.Module_0_was_resolved_to_1_but_allowArbitraryExtensions_is_not_set}}function Get({imports:t,moduleAugmentations:r}){const a=t.map(u=>u);for(const u of r)u.kind===11&&a.push(u);return a}function ej({imports:t,moduleAugmentations:r},a){if(a<t.length)return t[a];let u=t.length;for(const h of r)if(h.kind===11){if(a===u)return h;u++}j.fail("should never ask for module name at index higher than possible module name")}function OLe(t,r,a,u,h,p){const g=[],{emitSkipped:C,diagnostics:b}=t.emit(r,T,u,a,h,p);return{outputFiles:g,emitSkipped:C,diagnostics:b};function T(E,N,R){g.push({name:E,writeByteOrderMark:R,text:N})}}var MLe=(t=>(t[t.ComputedDts=0]="ComputedDts",t[t.StoredSignatureAtEmit=1]="StoredSignatureAtEmit",t[t.UsedVersion=2]="UsedVersion",t))(MLe||{}),$0;(t=>{function r(){function we(he,Ae,ke){const Te={getKeys:De=>Ae.get(De),getValues:De=>he.get(De),keys:()=>he.keys(),size:()=>he.size,deleteKey:De=>{(ke||(ke=new Set)).add(De);const qe=he.get(De);return qe?(qe.forEach(se=>u(Ae,se,De)),he.delete(De),!0):!1},set:(De,qe)=>{ke==null||ke.delete(De);const se=he.get(De);return he.set(De,qe),se==null||se.forEach(Ne=>{qe.has(Ne)||u(Ae,Ne,De)}),qe.forEach(Ne=>{se!=null&&se.has(Ne)||a(Ae,Ne,De)}),Te}};return Te}return we(new Map,new Map,void 0)}t.createManyToManyPathMap=r;function a(we,he,Ae){let ke=we.get(he);ke||(ke=new Set,we.set(he,ke)),ke.add(Ae)}function u(we,he,Ae){const ke=we.get(he);return ke!=null&&ke.delete(Ae)?(ke.size||we.delete(he),!0):!1}function h(we){return es(we.declarations,he=>{var Ae;return(Ae=_n(he))==null?void 0:Ae.resolvedPath})}function p(we,he){const Ae=we.getSymbolAtLocation(he);return Ae&&h(Ae)}function g(we,he,Ae,ke){return ol(we.getProjectReferenceRedirect(he)||he,Ae,ke)}function C(we,he,Ae){let ke;if(he.imports&&he.imports.length>0){const se=we.getTypeChecker();for(const Ne of he.imports){const Oe=p(se,Ne);Oe==null||Oe.forEach(qe)}}const Te=ts(he.resolvedPath);if(he.referencedFiles&&he.referencedFiles.length>0)for(const se of he.referencedFiles){const Ne=g(we,se.fileName,Te,Ae);qe(Ne)}if(we.forEachResolvedTypeReferenceDirective(({resolvedTypeReferenceDirective:se})=>{if(!se)return;const Ne=se.resolvedFileName,Oe=g(we,Ne,Te,Ae);qe(Oe)},he),he.moduleAugmentations.length){const se=we.getTypeChecker();for(const Ne of he.moduleAugmentations){if(!Ha(Ne))continue;const Oe=se.getSymbolAtLocation(Ne);Oe&&De(Oe)}}for(const se of we.getTypeChecker().getAmbientModules())se.declarations&&se.declarations.length>1&&De(se);return ke;function De(se){if(se.declarations)for(const Ne of se.declarations){const Oe=_n(Ne);Oe&&Oe!==he&&qe(Oe.resolvedPath)}}function qe(se){(ke||(ke=new Set)).add(se)}}function b(we,he){return he&&!he.referencedMap==!we}t.canReuseOldState=b;function T(we){return we.module!==0&&!we.outFile?r():void 0}t.createReferencedMap=T;function E(we,he,Ae){var ke,Te;const De=new Map,qe=we.getCompilerOptions(),se=T(qe),Ne=b(se,he);we.getTypeChecker();for(const Oe of we.getSourceFiles()){const He=j.checkDefined(Oe.version,"Program intended to be used with Builder should have source files with versions set"),Pe=Ne?(ke=he.oldSignatures)==null?void 0:ke.get(Oe.resolvedPath):void 0,We=Pe===void 0?Ne?(Te=he.fileInfos.get(Oe.resolvedPath))==null?void 0:Te.signature:void 0:Pe||void 0;if(se){const ze=C(we,Oe,we.getCanonicalFileName);ze&&se.set(Oe.resolvedPath,ze)}De.set(Oe.resolvedPath,{version:He,signature:We,affectsGlobalScope:qe.outFile?void 0:pe(Oe)||void 0,impliedFormat:Oe.impliedNodeFormat})}return{fileInfos:De,referencedMap:se,useFileVersionAsSignature:!Ae&&!Ne}}t.create=E;function N(we){we.allFilesExcludingDefaultLibraryFile=void 0,we.allFileNames=void 0}t.releaseCache=N;function R(we,he,Ae,ke,Te){var De;const qe=F(we,he,Ae,ke,Te);return(De=we.oldSignatures)==null||De.clear(),qe}t.getFilesAffectedBy=R;function F(we,he,Ae,ke,Te){const De=he.getSourceFileByPath(Ae);return De?q(we,he,De,ke,Te)?(we.referencedMap?Ie:ue)(we,he,De,ke,Te):[De]:w}t.getFilesAffectedByWithOldState=F;function H(we,he,Ae){we.fileInfos.get(Ae).signature=he,(we.hasCalledUpdateShapeSignature||(we.hasCalledUpdateShapeSignature=new Set)).add(Ae)}t.updateSignatureOfFile=H;function U(we,he,Ae,ke,Te){we.emit(he,(De,qe,se,Ne,Oe,He)=>{j.assert(df(De),`File extension for signature expected to be dts: Got:: ${De}`),Te(Tde(we,he,qe,ke,He),Oe)},Ae,2,void 0,!0)}t.computeDtsSignature=U;function q(we,he,Ae,ke,Te,De=we.useFileVersionAsSignature){var qe;if((qe=we.hasCalledUpdateShapeSignature)!=null&&qe.has(Ae.resolvedPath))return!1;const se=we.fileInfos.get(Ae.resolvedPath),Ne=se.signature;let Oe;return!Ae.isDeclarationFile&&!De&&U(he,Ae,ke,Te,He=>{Oe=He,Te.storeSignatureInfo&&(we.signatureInfo??(we.signatureInfo=new Map)).set(Ae.resolvedPath,0)}),Oe===void 0&&(Oe=Ae.version,Te.storeSignatureInfo&&(we.signatureInfo??(we.signatureInfo=new Map)).set(Ae.resolvedPath,2)),(we.oldSignatures||(we.oldSignatures=new Map)).set(Ae.resolvedPath,Ne||!1),(we.hasCalledUpdateShapeSignature||(we.hasCalledUpdateShapeSignature=new Set)).add(Ae.resolvedPath),se.signature=Oe,Oe!==Ne}t.updateShapeSignature=q;function X(we,he,Ae){if(he.getCompilerOptions().outFile||!we.referencedMap||pe(Ae))return Z(we,he);const Te=new Set,De=[Ae.resolvedPath];for(;De.length;){const qe=De.pop();if(!Te.has(qe)){Te.add(qe);const se=we.referencedMap.getValues(qe);if(se)for(const Ne of se.keys())De.push(Ne)}}return as(ld(Te.keys(),qe=>{var se;return((se=he.getSourceFileByPath(qe))==null?void 0:se.fileName)??qe}))}t.getAllDependencies=X;function Z(we,he){if(!we.allFileNames){const Ae=he.getSourceFiles();we.allFileNames=Ae===w?w:Ae.map(ke=>ke.fileName)}return we.allFileNames}function Q(we,he){const Ae=we.referencedMap.getKeys(he);return Ae?as(Ae.keys()):[]}t.getReferencedByPaths=Q;function re(we){for(const he of we.statements)if(!MG(he))return!1;return!0}function oe(we){return Ft(we.moduleAugmentations,he=>Ry(he.parent))}function pe(we){return oe(we)||!Cp(we)&&!Eg(we)&&!re(we)}function de(we,he,Ae){if(we.allFilesExcludingDefaultLibraryFile)return we.allFilesExcludingDefaultLibraryFile;let ke;Ae&&Te(Ae);for(const De of he.getSourceFiles())De!==Ae&&Te(De);return we.allFilesExcludingDefaultLibraryFile=ke||w,we.allFilesExcludingDefaultLibraryFile;function Te(De){he.isSourceFileDefaultLibrary(De)||(ke||(ke=[])).push(De)}}t.getAllFilesExcludingDefaultLibraryFile=de;function ue(we,he,Ae){const ke=he.getCompilerOptions();return ke&&ke.outFile?[Ae]:de(we,he,Ae)}function Ie(we,he,Ae,ke,Te){if(pe(Ae))return de(we,he,Ae);const De=he.getCompilerOptions();if(De&&(Ag(De)||De.outFile))return[Ae];const qe=new Map;qe.set(Ae.resolvedPath,Ae);const se=Q(we,Ae.resolvedPath);for(;se.length>0;){const Ne=se.pop();if(!qe.has(Ne)){const Oe=he.getSourceFileByPath(Ne);qe.set(Ne,Oe),Oe&&q(we,he,Oe,ke,Te)&&se.push(...Q(we,Oe.resolvedPath))}}return as(ld(qe.values(),Ne=>Ne))}})($0||($0={}));var RLe=(t=>(t[t.None=0]="None",t[t.Js=1]="Js",t[t.JsMap=2]="JsMap",t[t.JsInlineMap=4]="JsInlineMap",t[t.DtsErrors=8]="DtsErrors",t[t.DtsEmit=16]="DtsEmit",t[t.DtsMap=32]="DtsMap",t[t.Dts=24]="Dts",t[t.AllJs=7]="AllJs",t[t.AllDtsEmit=48]="AllDtsEmit",t[t.AllDts=56]="AllDts",t[t.All=63]="All",t))(RLe||{});function $3(t){return t.program!==void 0}function f8t(t){return j.assert($3(t)),t}function Cx(t){let r=1;return t.sourceMap&&(r=r|2),t.inlineSourceMap&&(r=r|4),Q_(t)&&(r=r|24),t.declarationMap&&(r=r|32),t.emitDeclarationOnly&&(r=r&56),r}function jQ(t,r){const a=r&&(Ww(r)?r:Cx(r)),u=Ww(t)?t:Cx(t);if(a===u)return 0;if(!a||!u)return u;const h=a^u;let p=0;return h&7&&(p=u&7),h&8&&(p=p|u&8),h&48&&(p=p|u&48),p}function h8t(t,r){return t===r||t!==void 0&&r!==void 0&&t.size===r.size&&!qb(t,a=>!r.has(a))}function _8t(t,r){var a,u;const h=$0.create(t,r,!1);h.program=t;const p=t.getCompilerOptions();h.compilerOptions=p;const g=p.outFile;h.semanticDiagnosticsPerFile=new Map,g&&p.composite&&(r!=null&&r.outSignature)&&g===r.compilerOptions.outFile&&(h.outSignature=r.outSignature&&Ket(p,r.compilerOptions,r.outSignature)),h.changedFilesSet=new Set,h.latestChangedDtsFile=p.composite?r==null?void 0:r.latestChangedDtsFile:void 0,h.checkPending=h.compilerOptions.noCheck?!0:void 0;const C=$0.canReuseOldState(h.referencedMap,r),b=C?r.compilerOptions:void 0;let T=C&&!LDe(p,b);const E=p.composite&&(r==null?void 0:r.emitSignatures)&&!g&&!ADe(p,r.compilerOptions);let N=!0;C?((a=r.changedFilesSet)==null||a.forEach(X=>h.changedFilesSet.add(X)),!g&&((u=r.affectedFilesPendingEmit)!=null&&u.size)&&(h.affectedFilesPendingEmit=new Map(r.affectedFilesPendingEmit),h.seenAffectedFiles=new Set),h.programEmitPending=r.programEmitPending,g&&h.changedFilesSet.size&&(T=!1,N=!1),h.hasErrorsFromOldState=r.hasErrors):h.buildInfoEmitPending=QT(p);const R=h.referencedMap,F=C?r.referencedMap:void 0,H=T&&!p.skipLibCheck==!b.skipLibCheck,U=H&&!p.skipDefaultLibCheck==!b.skipDefaultLibCheck;if(h.fileInfos.forEach((X,Z)=>{var Q;let re,oe;if(!C||!(re=r.fileInfos.get(Z))||re.version!==X.version||re.impliedFormat!==X.impliedFormat||!h8t(oe=R&&R.getValues(Z),F&&F.getValues(Z))||oe&&qb(oe,pe=>!h.fileInfos.has(pe)&&r.fileInfos.has(pe)))q(Z);else{const pe=t.getSourceFileByPath(Z),de=N?(Q=r.emitDiagnosticsPerFile)==null?void 0:Q.get(Z):void 0;if(de&&(h.emitDiagnosticsPerFile??(h.emitDiagnosticsPerFile=new Map)).set(Z,r.hasReusableDiagnostic?Qet(de,Z,t):Xet(de,t)),T){if(pe.isDeclarationFile&&!H||pe.hasNoDefaultLib&&!U)return;const ue=r.semanticDiagnosticsPerFile.get(Z);ue&&(h.semanticDiagnosticsPerFile.set(Z,r.hasReusableDiagnostic?Qet(ue,Z,t):Xet(ue,t)),(h.semanticDiagnosticsFromOldState??(h.semanticDiagnosticsFromOldState=new Set)).add(Z))}}if(E){const pe=r.emitSignatures.get(Z);pe&&(h.emitSignatures??(h.emitSignatures=new Map)).set(Z,Ket(p,r.compilerOptions,pe))}}),C&&cf(r.fileInfos,(X,Z)=>h.fileInfos.has(Z)?!1:X.affectsGlobalScope?!0:(h.buildInfoEmitPending=!0,!!g)))$0.getAllFilesExcludingDefaultLibraryFile(h,t,void 0).forEach(X=>q(X.resolvedPath));else if(b){const X=PDe(p,b)?Cx(p):jQ(p,b);X!==0&&(g?h.changedFilesSet.size||(h.programEmitPending=h.programEmitPending?h.programEmitPending|X:X):(t.getSourceFiles().forEach(Z=>{h.changedFilesSet.has(Z.resolvedPath)||Ede(h,Z.resolvedPath,X)}),j.assert(!h.seenAffectedFiles||!h.seenAffectedFiles.size),h.seenAffectedFiles=h.seenAffectedFiles||new Set),h.buildInfoEmitPending=!0)}return C&&h.semanticDiagnosticsPerFile.size!==h.fileInfos.size&&r.checkPending!==h.checkPending&&(h.buildInfoEmitPending=!0),h;function q(X){h.changedFilesSet.add(X),g&&(T=!1,N=!1,h.semanticDiagnosticsFromOldState=void 0,h.semanticDiagnosticsPerFile.clear(),h.emitDiagnosticsPerFile=void 0),h.buildInfoEmitPending=!0,h.programEmitPending=void 0}}function Ket(t,r,a){return!!t.declarationMap==!!r.declarationMap?a:Oo(a)?[a]:a[0]}function Xet(t,r){return t.length?Wr(t,a=>{if(Oo(a.messageText))return a;const u=FLe(a.messageText,a.file,r,h=>{var p;return(p=h.repopulateInfo)==null?void 0:p.call(h)});return u===a.messageText?a:{...a,messageText:u}}):t}function FLe(t,r,a,u){const h=u(t);if(h===!0)return{..._ae(r),next:BLe(t.next,r,a,u)};if(h)return{...IG(r,a,h.moduleReference,h.mode,h.packageName||h.moduleReference),next:BLe(t.next,r,a,u)};const p=BLe(t.next,r,a,u);return p===t.next?t:{...t,next:p}}function BLe(t,r,a,u){return Wr(t,h=>FLe(h,r,a,u))}function Qet(t,r,a){if(!t.length)return w;let u;return t.map(p=>{const g=Zet(p,r,a,h);g.reportsUnnecessary=p.reportsUnnecessary,g.reportsDeprecated=p.reportDeprecated,g.source=p.source,g.skippedOn=p.skippedOn;const{relatedInformation:C}=p;return g.relatedInformation=C?C.length?C.map(b=>Zet(b,r,a,h)):[]:void 0,g});function h(p){return u??(u=ts(wo(h2(a.getCompilerOptions()),a.getCurrentDirectory()))),ol(p,u,a.getCanonicalFileName)}}function Zet(t,r,a,u){const{file:h}=t,p=h!==!1?a.getSourceFileByPath(h?u(h):r):void 0;return{...t,file:p,messageText:Oo(t.messageText)?t.messageText:FLe(t.messageText,p,a,g=>g.info)}}function p8t(t){$0.releaseCache(t),t.program=void 0}function WLe(t,r){j.assert(!r||!t.affectedFiles||t.affectedFiles[t.affectedFilesIndex-1]!==r||!t.semanticDiagnosticsPerFile.has(r.resolvedPath))}function Yet(t,r,a){for(var u;;){const{affectedFiles:h}=t;if(h){const C=t.seenAffectedFiles;let b=t.affectedFilesIndex;for(;b<h.length;){const T=h[b];if(!C.has(T.resolvedPath))return t.affectedFilesIndex=b,Ede(t,T.resolvedPath,Cx(t.compilerOptions)),y8t(t,T,r,a),T;b++}t.changedFilesSet.delete(t.currentChangedFilePath),t.currentChangedFilePath=void 0,(u=t.oldSignatures)==null||u.clear(),t.affectedFiles=void 0}const p=t.changedFilesSet.keys().next();if(p.done)return;if(t.program.getCompilerOptions().outFile)return t.program;t.affectedFiles=$0.getFilesAffectedByWithOldState(t,t.program,p.value,r,a),t.currentChangedFilePath=p.value,t.affectedFilesIndex=0,t.seenAffectedFiles||(t.seenAffectedFiles=new Set)}}function ett(t,r,a){var u,h;if(!(!((u=t.affectedFilesPendingEmit)!=null&&u.size)&&!t.programEmitPending)&&(!r&&!a&&(t.affectedFilesPendingEmit=void 0,t.programEmitPending=void 0),(h=t.affectedFilesPendingEmit)==null||h.forEach((p,g)=>{const C=a?p&55:p&7;C?t.affectedFilesPendingEmit.set(g,C):t.affectedFilesPendingEmit.delete(g)}),t.programEmitPending)){const p=a?t.programEmitPending&55:t.programEmitPending&7;p?t.programEmitPending=p:t.programEmitPending=void 0}}function zQ(t,r,a,u){let h=jQ(t,r);return a&&(h=h&56),u&&(h=h&8),h}function xde(t){return t?8:56}function m8t(t,r,a){var u;if((u=t.affectedFilesPendingEmit)!=null&&u.size)return cf(t.affectedFilesPendingEmit,(h,p)=>{var g;const C=t.program.getSourceFileByPath(p);if(!C||!SI(C,t.program)){t.affectedFilesPendingEmit.delete(p);return}const b=(g=t.seenEmittedFiles)==null?void 0:g.get(C.resolvedPath),T=zQ(h,b,r,a);if(T)return{affectedFile:C,emitKind:T}})}function g8t(t,r){var a;if((a=t.emitDiagnosticsPerFile)!=null&&a.size)return cf(t.emitDiagnosticsPerFile,(u,h)=>{var p;const g=t.program.getSourceFileByPath(h);if(!g||!SI(g,t.program)){t.emitDiagnosticsPerFile.delete(h);return}const C=((p=t.seenEmittedFiles)==null?void 0:p.get(g.resolvedPath))||0;if(!(C&xde(r)))return{affectedFile:g,diagnostics:u,seenKind:C}})}function ttt(t){if(!t.cleanedDiagnosticsOfLibFiles){t.cleanedDiagnosticsOfLibFiles=!0;const r=t.program.getCompilerOptions();O(t.program.getSourceFiles(),a=>t.program.isSourceFileDefaultLibrary(a)&&!$De(a,r,t.program)&&HLe(t,a.resolvedPath))}}function y8t(t,r,a,u){if(HLe(t,r.resolvedPath),t.allFilesExcludingDefaultLibraryFile===t.affectedFiles){ttt(t),$0.updateShapeSignature(t,t.program,r,a,u);return}t.compilerOptions.assumeChangesOnlyAffectDirectDependencies||v8t(t,r,a,u)}function VLe(t,r,a,u,h){if(HLe(t,r),!t.changedFilesSet.has(r)){const p=t.program.getSourceFileByPath(r);p&&($0.updateShapeSignature(t,t.program,p,u,h,!0),a?Ede(t,r,Cx(t.compilerOptions)):Q_(t.compilerOptions)&&Ede(t,r,t.compilerOptions.declarationMap?56:24))}}function HLe(t,r){return t.semanticDiagnosticsFromOldState?(t.semanticDiagnosticsFromOldState.delete(r),t.semanticDiagnosticsPerFile.delete(r),!t.semanticDiagnosticsFromOldState.size):!0}function itt(t,r){const a=j.checkDefined(t.oldSignatures).get(r)||void 0;return j.checkDefined(t.fileInfos.get(r)).signature!==a}function jLe(t,r,a,u,h){var p;return(p=t.fileInfos.get(r))!=null&&p.affectsGlobalScope?($0.getAllFilesExcludingDefaultLibraryFile(t,t.program,void 0).forEach(g=>VLe(t,g.resolvedPath,a,u,h)),ttt(t),!0):!1}function v8t(t,r,a,u){var h,p;if(!t.referencedMap||!t.changedFilesSet.has(r.resolvedPath)||!itt(t,r.resolvedPath))return;if(Ag(t.compilerOptions)){const b=new Map;b.set(r.resolvedPath,!0);const T=$0.getReferencedByPaths(t,r.resolvedPath);for(;T.length>0;){const E=T.pop();if(!b.has(E)){if(b.set(E,!0),jLe(t,E,!1,a,u))return;if(VLe(t,E,!1,a,u),itt(t,E)){const N=t.program.getSourceFileByPath(E);T.push(...$0.getReferencedByPaths(t,N.resolvedPath))}}}}const g=new Set,C=!!((h=r.symbol)!=null&&h.exports)&&!!cf(r.symbol.exports,b=>{if(b.flags&128)return!0;const T=Vf(b,t.program.getTypeChecker());return T===b?!1:(T.flags&128)!==0&&Ft(T.declarations,E=>_n(E)===r)});(p=t.referencedMap.getKeys(r.resolvedPath))==null||p.forEach(b=>{if(jLe(t,b,C,a,u))return!0;const T=t.referencedMap.getKeys(b);return T&&qb(T,E=>ntt(t,E,C,g,a,u))})}function ntt(t,r,a,u,h,p){var g;if(of(u,r)){if(jLe(t,r,a,h,p))return!0;VLe(t,r,a,h,p),(g=t.referencedMap.getKeys(r))==null||g.forEach(C=>ntt(t,C,a,u,h,p))}}function kde(t,r,a,u){return t.compilerOptions.noCheck?w:Js(b8t(t,r,a,u),t.program.getProgramDiagnostics(r))}function b8t(t,r,a,u){u??(u=t.semanticDiagnosticsPerFile);const h=r.resolvedPath,p=u.get(h);if(p)return VQ(p,t.compilerOptions);const g=t.program.getBindAndCheckDiagnostics(r,a);return u.set(h,g),t.buildInfoEmitPending=!0,VQ(g,t.compilerOptions)}function zLe(t){var r;return!!((r=t.options)!=null&&r.outFile)}function tj(t){return!!t.fileNames}function C8t(t){return!tj(t)&&!!t.root}function rtt(t){t.hasErrors===void 0&&(QT(t.compilerOptions)?t.hasErrors=!Ft(t.program.getSourceFiles(),r=>{var a,u;const h=t.semanticDiagnosticsPerFile.get(r.resolvedPath);return h===void 0||!!h.length||!!((u=(a=t.emitDiagnosticsPerFile)==null?void 0:a.get(r.resolvedPath))!=null&&u.length)})&&(stt(t)||Ft(t.program.getSourceFiles(),r=>!!t.program.getProgramDiagnostics(r).length)):t.hasErrors=Ft(t.program.getSourceFiles(),r=>{var a,u;const h=t.semanticDiagnosticsPerFile.get(r.resolvedPath);return!!(h!=null&&h.length)||!!((u=(a=t.emitDiagnosticsPerFile)==null?void 0:a.get(r.resolvedPath))!=null&&u.length)})||stt(t))}function stt(t){return!!t.program.getConfigFileParsingDiagnostics().length||!!t.program.getSyntacticDiagnostics().length||!!t.program.getOptionsDiagnostics().length||!!t.program.getGlobalDiagnostics().length}function ott(t){return rtt(t),t.buildInfoEmitPending??(t.buildInfoEmitPending=!!t.hasErrorsFromOldState!=!!t.hasErrors)}function S8t(t){var r,a;const u=t.program.getCurrentDirectory(),h=ts(wo(h2(t.compilerOptions),u)),p=t.latestChangedDtsFile?Z(t.latestChangedDtsFile):void 0,g=[],C=new Map,b=new Set(t.program.getRootFileNames().map(se=>ol(se,u,t.program.getCanonicalFileName)));if(rtt(t),!QT(t.compilerOptions))return{root:as(b,Ne=>Q(Ne)),errors:t.hasErrors?!0:void 0,checkPending:t.checkPending,version:v};const T=[];if(t.compilerOptions.outFile){const se=as(t.fileInfos.entries(),([Oe,He])=>{const Pe=re(Oe);return pe(Oe,Pe),He.impliedFormat?{version:He.version,impliedFormat:He.impliedFormat,signature:void 0,affectsGlobalScope:void 0}:He.version});return{fileNames:g,fileInfos:se,root:T,resolvedRoot:de(),options:ue(t.compilerOptions),semanticDiagnosticsPerFile:t.changedFilesSet.size?void 0:we(),emitDiagnosticsPerFile:he(),changeFileSet:qe(),outSignature:t.outSignature,latestChangedDtsFile:p,pendingEmit:t.programEmitPending?t.programEmitPending===Cx(t.compilerOptions)?!1:t.programEmitPending:void 0,errors:t.hasErrors?!0:void 0,checkPending:t.checkPending,version:v}}let E,N,R;const F=as(t.fileInfos.entries(),([se,Ne])=>{var Oe,He;const Pe=re(se);pe(se,Pe),j.assert(g[Pe-1]===Q(se));const We=(Oe=t.oldSignatures)==null?void 0:Oe.get(se),ze=We!==void 0?We||void 0:Ne.signature;if(t.compilerOptions.composite){const Nt=t.program.getSourceFileByPath(se);if(!Eg(Nt)&&SI(Nt,t.program)){const $e=(He=t.emitSignatures)==null?void 0:He.get(se);$e!==ze&&(R=fn(R,$e===void 0?Pe:[Pe,!Oo($e)&&$e[0]===ze?w:$e]))}}return Ne.version===ze?Ne.affectsGlobalScope||Ne.impliedFormat?{version:Ne.version,signature:void 0,affectsGlobalScope:Ne.affectsGlobalScope,impliedFormat:Ne.impliedFormat}:Ne.version:ze!==void 0?We===void 0?Ne:{version:Ne.version,signature:ze,affectsGlobalScope:Ne.affectsGlobalScope,impliedFormat:Ne.impliedFormat}:{version:Ne.version,signature:!1,affectsGlobalScope:Ne.affectsGlobalScope,impliedFormat:Ne.impliedFormat}});let H;(r=t.referencedMap)!=null&&r.size()&&(H=as(t.referencedMap.keys()).sort(sh).map(se=>[re(se),oe(t.referencedMap.getValues(se))]));const U=we();let q;if((a=t.affectedFilesPendingEmit)!=null&&a.size){const se=Cx(t.compilerOptions),Ne=new Set;for(const Oe of as(t.affectedFilesPendingEmit.keys()).sort(sh))if(of(Ne,Oe)){const He=t.program.getSourceFileByPath(Oe);if(!He||!SI(He,t.program))continue;const Pe=re(Oe),We=t.affectedFilesPendingEmit.get(Oe);q=fn(q,We===se?Pe:We===24?[Pe]:[Pe,We])}}return{fileNames:g,fileIdsList:E,fileInfos:F,root:T,resolvedRoot:de(),options:ue(t.compilerOptions),referencedMap:H,semanticDiagnosticsPerFile:U,emitDiagnosticsPerFile:he(),changeFileSet:qe(),affectedFilesPendingEmit:q,emitSignatures:R,latestChangedDtsFile:p,errors:t.hasErrors?!0:void 0,checkPending:t.checkPending,version:v};function Z(se){return Q(wo(se,u))}function Q(se){return sI(c0(h,se,t.program.getCanonicalFileName))}function re(se){let Ne=C.get(se);return Ne===void 0&&(g.push(Q(se)),C.set(se,Ne=g.length)),Ne}function oe(se){const Ne=as(se.keys(),re).sort(_l),Oe=Ne.join();let He=N==null?void 0:N.get(Oe);return He===void 0&&(E=fn(E,Ne),(N??(N=new Map)).set(Oe,He=E.length)),He}function pe(se,Ne){const Oe=t.program.getSourceFile(se);if(!t.program.getFileIncludeReasons().get(Oe.path).some(ze=>ze.kind===0))return;if(!T.length)return T.push(Ne);const He=T[T.length-1],Pe=Ao(He);if(Pe&&He[1]===Ne-1)return He[1]=Ne;if(Pe||T.length===1||He!==Ne-1)return T.push(Ne);const We=T[T.length-2];return!Ww(We)||We!==He-1?T.push(Ne):(T[T.length-2]=[We,Ne],T.length=T.length-1)}function de(){let se;return b.forEach(Ne=>{const Oe=t.program.getSourceFileByPath(Ne);Oe&&Ne!==Oe.resolvedPath&&(se=fn(se,[re(Oe.resolvedPath),re(Ne)]))}),se}function ue(se){let Ne;const{optionsNameMap:Oe}=A3();for(const He of wr(se).sort(sh)){const Pe=Oe.get(He.toLowerCase());Pe!=null&&Pe.affectsBuildInfo&&((Ne||(Ne={}))[He]=Ie(Pe,se[He]))}return Ne}function Ie(se,Ne){if(se){if(j.assert(se.type!=="listOrElement"),se.type==="list"){const Oe=Ne;if(se.element.isFilePath&&Oe.length)return Oe.map(Z)}else if(se.isFilePath)return Z(Ne)}return Ne}function we(){let se;return t.fileInfos.forEach((Ne,Oe)=>{const He=t.semanticDiagnosticsPerFile.get(Oe);He?He.length&&(se=fn(se,[re(Oe),Ae(He,Oe)])):t.changedFilesSet.has(Oe)||(se=fn(se,re(Oe)))}),se}function he(){var se;let Ne;if(!((se=t.emitDiagnosticsPerFile)!=null&&se.size))return Ne;for(const Oe of as(t.emitDiagnosticsPerFile.keys()).sort(sh)){const He=t.emitDiagnosticsPerFile.get(Oe);Ne=fn(Ne,[re(Oe),Ae(He,Oe)])}return Ne}function Ae(se,Ne){return j.assert(!!se.length),se.map(Oe=>{const He=ke(Oe,Ne);He.reportsUnnecessary=Oe.reportsUnnecessary,He.reportDeprecated=Oe.reportsDeprecated,He.source=Oe.source,He.skippedOn=Oe.skippedOn;const{relatedInformation:Pe}=Oe;return He.relatedInformation=Pe?Pe.length?Pe.map(We=>ke(We,Ne)):[]:void 0,He})}function ke(se,Ne){const{file:Oe}=se;return{...se,file:Oe?Oe.resolvedPath===Ne?void 0:Q(Oe.resolvedPath):!1,messageText:Oo(se.messageText)?se.messageText:Te(se.messageText)}}function Te(se){if(se.repopulateInfo)return{info:se.repopulateInfo(),next:De(se.next)};const Ne=De(se.next);return Ne===se.next?se:{...se,next:Ne}}function De(se){return se&&(O(se,(Ne,Oe)=>{const He=Te(Ne);if(Ne===He)return;const Pe=Oe>0?se.slice(0,Oe-1):[];Pe.push(He);for(let We=Oe+1;We<se.length;We++)Pe.push(Te(se[We]));return Pe})||se)}function qe(){let se;if(t.changedFilesSet.size)for(const Ne of as(t.changedFilesSet.keys()).sort(sh))se=fn(se,re(Ne));return se}}var ULe=(t=>(t[t.SemanticDiagnosticsBuilderProgram=0]="SemanticDiagnosticsBuilderProgram",t[t.EmitAndSemanticDiagnosticsBuilderProgram=1]="EmitAndSemanticDiagnosticsBuilderProgram",t))(ULe||{});function UQ(t,r,a,u,h,p){let g,C,b;return t===void 0?(j.assert(r===void 0),g=a,b=u,j.assert(!!b),C=b.getProgram()):Ao(t)?(b=u,C=YH({rootNames:t,options:r,host:a,oldProgram:b&&b.getProgramOrUndefined(),configFileParsingDiagnostics:h,projectReferences:p}),g=a):(C=t,g=r,b=a,h=u),{host:g,newProgram:C,oldProgram:b,configFileParsingDiagnostics:h||w}}function att(t,r){return(r==null?void 0:r.sourceMapUrlPos)!==void 0?t.substring(0,r.sourceMapUrlPos):t}function Tde(t,r,a,u,h){var p;a=att(a,h);let g;return(p=h==null?void 0:h.diagnostics)!=null&&p.length&&(a+=h.diagnostics.map(T=>`${b(T)}${JJ[T.category]}${T.code}: ${C(T.messageText)}`).join(`
+`)),(u.createHash??n8)(a);function C(T){return Oo(T)?T:T===void 0?"":T.next?T.messageText+T.next.map(C).join(`
+`):T.messageText}function b(T){return T.file.resolvedPath===r.resolvedPath?`(${T.start},${T.length})`:(g===void 0&&(g=ts(r.resolvedPath)),`${sI(c0(g,T.file.resolvedPath,t.getCanonicalFileName))}(${T.start},${T.length})`)}}function w8t(t,r,a){return(r.createHash??n8)(att(t,a))}function Dde(t,{newProgram:r,host:a,oldProgram:u,configFileParsingDiagnostics:h}){let p=u&&u.state;if(p&&r===p.program&&h===r.getConfigFileParsingDiagnostics())return r=void 0,p=void 0,u;const g=_8t(r,p);r.getBuildInfo=()=>S8t(f8t(g)),r=void 0,u=void 0,p=void 0;const C=Nde(g,h);return C.state=g,C.hasChangedEmitSignature=()=>!!g.hasChangedEmitSignature,C.getAllDependencies=Z=>$0.getAllDependencies(g,j.checkDefined(g.program),Z),C.getSemanticDiagnostics=X,C.getDeclarationDiagnostics=U,C.emit=F,C.releaseProgram=()=>p8t(g),t===0?C.getSemanticDiagnosticsOfNextAffectedFile=q:t===1?(C.getSemanticDiagnosticsOfNextAffectedFile=q,C.emitNextAffectedFile=N,C.emitBuildInfo=b):wa(),C;function b(Z,Q){if(j.assert($3(g)),ott(g)){const re=g.program.emitBuildInfo(Z||to(a,a.writeFile),Q);return g.buildInfoEmitPending=!1,re}return Cde}function T(Z,Q,re,oe,pe){var de,ue,Ie,we;j.assert($3(g));let he=Yet(g,Q,a);const Ae=Cx(g.compilerOptions);let ke=pe?8:re?Ae&56:Ae;if(!he){if(g.compilerOptions.outFile){if(g.programEmitPending&&(ke=zQ(g.programEmitPending,g.seenProgramEmit,re,pe),ke&&(he=g.program)),!he&&((de=g.emitDiagnosticsPerFile)!=null&&de.size)){const qe=g.seenProgramEmit||0;if(!(qe&xde(pe))){g.seenProgramEmit=xde(pe)|qe;const se=[];return g.emitDiagnosticsPerFile.forEach(Ne=>Sr(se,Ne)),{result:{emitSkipped:!0,diagnostics:se},affected:g.program}}}}else{const qe=m8t(g,re,pe);if(qe)({affectedFile:he,emitKind:ke}=qe);else{const se=g8t(g,pe);if(se)return(g.seenEmittedFiles??(g.seenEmittedFiles=new Map)).set(se.affectedFile.resolvedPath,se.seenKind|xde(pe)),{result:{emitSkipped:!0,diagnostics:se.diagnostics},affected:se.affectedFile}}}if(!he){if(pe||!ott(g))return;const qe=g.program,se=qe.emitBuildInfo(Z||to(a,a.writeFile),Q);return g.buildInfoEmitPending=!1,{result:se,affected:qe}}}let Te;ke&7&&(Te=0),ke&56&&(Te=Te===void 0?1:void 0);const De=pe?{emitSkipped:!0,diagnostics:g.program.getDeclarationDiagnostics(he===g.program?void 0:he,Q)}:g.program.emit(he===g.program?void 0:he,R(Z,oe),Q,Te,oe,void 0,!0);if(he!==g.program){const qe=he;g.seenAffectedFiles.add(qe.resolvedPath),g.affectedFilesIndex!==void 0&&g.affectedFilesIndex++,g.buildInfoEmitPending=!0;const se=((ue=g.seenEmittedFiles)==null?void 0:ue.get(qe.resolvedPath))||0;(g.seenEmittedFiles??(g.seenEmittedFiles=new Map)).set(qe.resolvedPath,ke|se);const Ne=((Ie=g.affectedFilesPendingEmit)==null?void 0:Ie.get(qe.resolvedPath))||Ae,Oe=jQ(Ne,ke|se);Oe?(g.affectedFilesPendingEmit??(g.affectedFilesPendingEmit=new Map)).set(qe.resolvedPath,Oe):(we=g.affectedFilesPendingEmit)==null||we.delete(qe.resolvedPath),De.diagnostics.length&&(g.emitDiagnosticsPerFile??(g.emitDiagnosticsPerFile=new Map)).set(qe.resolvedPath,De.diagnostics)}else g.changedFilesSet.clear(),g.programEmitPending=g.changedFilesSet.size?jQ(Ae,ke):g.programEmitPending?jQ(g.programEmitPending,ke):void 0,g.seenProgramEmit=ke|(g.seenProgramEmit||0),E(De.diagnostics),g.buildInfoEmitPending=!0;return{result:De,affected:he}}function E(Z){let Q;Z.forEach(re=>{if(!re.file)return;let oe=Q==null?void 0:Q.get(re.file.resolvedPath);oe||(Q??(Q=new Map)).set(re.file.resolvedPath,oe=[]),oe.push(re)}),Q&&(g.emitDiagnosticsPerFile=Q)}function N(Z,Q,re,oe){return T(Z,Q,re,oe,!1)}function R(Z,Q){return j.assert($3(g)),Q_(g.compilerOptions)?(re,oe,pe,de,ue,Ie)=>{var we,he,Ae;if(df(re))if(g.compilerOptions.outFile){if(g.compilerOptions.composite){const Te=ke(g.outSignature,void 0);if(!Te)return Ie.skippedDtsWrite=!0;g.outSignature=Te}}else{j.assert((ue==null?void 0:ue.length)===1);let Te;if(!Q){const De=ue[0],qe=g.fileInfos.get(De.resolvedPath);if(qe.signature===De.version){const se=Tde(g.program,De,oe,a,Ie);(we=Ie==null?void 0:Ie.diagnostics)!=null&&we.length||(Te=se),se!==De.version&&(a.storeSignatureInfo&&(g.signatureInfo??(g.signatureInfo=new Map)).set(De.resolvedPath,1),g.affectedFiles&&((he=g.oldSignatures)==null?void 0:he.get(De.resolvedPath))===void 0&&(g.oldSignatures??(g.oldSignatures=new Map)).set(De.resolvedPath,qe.signature||!1),qe.signature=se)}}if(g.compilerOptions.composite){const De=ue[0].resolvedPath;if(Te=ke((Ae=g.emitSignatures)==null?void 0:Ae.get(De),Te),!Te)return Ie.skippedDtsWrite=!0;(g.emitSignatures??(g.emitSignatures=new Map)).set(De,Te)}}Z?Z(re,oe,pe,de,ue,Ie):a.writeFile?a.writeFile(re,oe,pe,de,ue,Ie):g.program.writeFile(re,oe,pe,de,ue,Ie);function ke(Te,De){const qe=!Te||Oo(Te)?Te:Te[0];if(De??(De=w8t(oe,a,Ie)),De===qe){if(Te===qe)return;Ie?Ie.differsOnlyInMap=!0:Ie={differsOnlyInMap:!0}}else g.hasChangedEmitSignature=!0,g.latestChangedDtsFile=re;return De}}:Z||to(a,a.writeFile)}function F(Z,Q,re,oe,pe){j.assert($3(g)),t===1&&WLe(g,Z);const de=Sde(C,Z,Q,re);if(de)return de;if(!Z)if(t===1){let Ie=[],we=!1,he,Ae=[],ke;for(;ke=N(Q,re,oe,pe);)we=we||ke.result.emitSkipped,he=Sr(he,ke.result.diagnostics),Ae=Sr(Ae,ke.result.emittedFiles),Ie=Sr(Ie,ke.result.sourceMaps);return{emitSkipped:we,diagnostics:he||w,emittedFiles:Ae,sourceMaps:Ie}}else ett(g,oe,!1);const ue=g.program.emit(Z,R(Q,pe),re,oe,pe);return H(Z,oe,!1,ue.diagnostics),ue}function H(Z,Q,re,oe){!Z&&t!==1&&(ett(g,Q,re),E(oe))}function U(Z,Q){var re;if(j.assert($3(g)),t===1){WLe(g,Z);let oe,pe;for(;oe=T(void 0,Q,void 0,void 0,!0);)Z||(pe=Sr(pe,oe.result.diagnostics));return(Z?(re=g.emitDiagnosticsPerFile)==null?void 0:re.get(Z.resolvedPath):pe)||w}else{const oe=g.program.getDeclarationDiagnostics(Z,Q);return H(Z,void 0,!0,oe),oe}}function q(Z,Q){for(j.assert($3(g));;){const re=Yet(g,Z,a);let oe;if(re)if(re!==g.program){const pe=re;if((!Q||!Q(pe))&&(oe=kde(g,pe,Z)),g.seenAffectedFiles.add(pe.resolvedPath),g.affectedFilesIndex++,g.buildInfoEmitPending=!0,!oe)continue}else{let pe;const de=new Map;g.program.getSourceFiles().forEach(ue=>pe=Sr(pe,kde(g,ue,Z,de))),g.semanticDiagnosticsPerFile=de,oe=pe||w,g.changedFilesSet.clear(),g.programEmitPending=Cx(g.compilerOptions),g.compilerOptions.noCheck||(g.checkPending=void 0),g.buildInfoEmitPending=!0}else{g.checkPending&&!g.compilerOptions.noCheck&&(g.checkPending=void 0,g.buildInfoEmitPending=!0);return}return{result:oe,affected:re}}}function X(Z,Q){if(j.assert($3(g)),WLe(g,Z),Z)return kde(g,Z,Q);for(;;){const oe=q(Q);if(!oe)break;if(oe.affected===g.program)return oe.result}let re;for(const oe of g.program.getSourceFiles())re=Sr(re,kde(g,oe,Q));return g.checkPending&&!g.compilerOptions.noCheck&&(g.checkPending=void 0,g.buildInfoEmitPending=!0),re||w}}function Ede(t,r,a){var u,h;const p=((u=t.affectedFilesPendingEmit)==null?void 0:u.get(r))||0;(t.affectedFilesPendingEmit??(t.affectedFilesPendingEmit=new Map)).set(r,p|a),(h=t.emitDiagnosticsPerFile)==null||h.delete(r)}function qLe(t){return Oo(t)?{version:t,signature:t,affectsGlobalScope:void 0,impliedFormat:void 0}:Oo(t.signature)?t:{version:t.version,signature:t.signature===!1?void 0:t.version,affectsGlobalScope:t.affectsGlobalScope,impliedFormat:t.impliedFormat}}function $Le(t,r){return Ww(t)?r:t[1]||24}function JLe(t,r){return t||Cx(r||{})}function GLe(t,r,a){var u,h,p,g;const C=ts(wo(r,a.getCurrentDirectory())),b=Mf(a.useCaseSensitiveFileNames());let T;const E=(u=t.fileNames)==null?void 0:u.map(U);let N;const R=t.latestChangedDtsFile?q(t.latestChangedDtsFile):void 0,F=new Map,H=new Set(qt(t.changeFileSet,X));if(zLe(t))t.fileInfos.forEach((pe,de)=>{const ue=X(de+1);F.set(ue,Oo(pe)?{version:pe,signature:void 0,affectsGlobalScope:void 0,impliedFormat:void 0}:pe)}),T={fileInfos:F,compilerOptions:t.options?XX(t.options,q):{},semanticDiagnosticsPerFile:re(t.semanticDiagnosticsPerFile),emitDiagnosticsPerFile:oe(t.emitDiagnosticsPerFile),hasReusableDiagnostic:!0,changedFilesSet:H,latestChangedDtsFile:R,outSignature:t.outSignature,programEmitPending:t.pendingEmit===void 0?void 0:JLe(t.pendingEmit,t.options),hasErrors:t.errors,checkPending:t.checkPending};else{N=(h=t.fileIdsList)==null?void 0:h.map(ue=>new Set(ue.map(X)));const pe=(p=t.options)!=null&&p.composite&&!t.options.outFile?new Map:void 0;t.fileInfos.forEach((ue,Ie)=>{const we=X(Ie+1),he=qLe(ue);F.set(we,he),pe&&he.signature&&pe.set(we,he.signature)}),(g=t.emitSignatures)==null||g.forEach(ue=>{if(Ww(ue))pe.delete(X(ue));else{const Ie=X(ue[0]);pe.set(Ie,!Oo(ue[1])&&!ue[1].length?[pe.get(Ie)]:ue[1])}});const de=t.affectedFilesPendingEmit?Cx(t.options||{}):void 0;T={fileInfos:F,compilerOptions:t.options?XX(t.options,q):{},referencedMap:Q(t.referencedMap,t.options??{}),semanticDiagnosticsPerFile:re(t.semanticDiagnosticsPerFile),emitDiagnosticsPerFile:oe(t.emitDiagnosticsPerFile),hasReusableDiagnostic:!0,changedFilesSet:H,affectedFilesPendingEmit:t.affectedFilesPendingEmit&&Ld(t.affectedFilesPendingEmit,ue=>X(Ww(ue)?ue:ue[0]),ue=>$Le(ue,de)),latestChangedDtsFile:R,emitSignatures:pe!=null&&pe.size?pe:void 0,hasErrors:t.errors,checkPending:t.checkPending}}return{state:T,getProgram:wa,getProgramOrUndefined:IT,releaseProgram:Tc,getCompilerOptions:()=>T.compilerOptions,getSourceFile:wa,getSourceFiles:wa,getOptionsDiagnostics:wa,getGlobalDiagnostics:wa,getConfigFileParsingDiagnostics:wa,getSyntacticDiagnostics:wa,getDeclarationDiagnostics:wa,getSemanticDiagnostics:wa,emit:wa,getAllDependencies:wa,getCurrentDirectory:wa,emitNextAffectedFile:wa,getSemanticDiagnosticsOfNextAffectedFile:wa,emitBuildInfo:wa,close:Tc,hasChangedEmitSignature:w1};function U(pe){return ol(pe,C,b)}function q(pe){return wo(pe,C)}function X(pe){return E[pe-1]}function Z(pe){return N[pe-1]}function Q(pe,de){const ue=$0.createReferencedMap(de);return!ue||!pe||pe.forEach(([Ie,we])=>ue.set(X(Ie),Z(we))),ue}function re(pe){const de=new Map(ld(F.keys(),ue=>H.has(ue)?void 0:[ue,w]));return pe==null||pe.forEach(ue=>{Ww(ue)?de.delete(X(ue)):de.set(X(ue[0]),ue[1])}),de}function oe(pe){return pe&&Ld(pe,de=>X(de[0]),de=>de[1])}}function Ide(t,r,a){const u=ts(wo(r,a.getCurrentDirectory())),h=Mf(a.useCaseSensitiveFileNames()),p=new Map;let g=0;const C=new Map,b=new Map(t.resolvedRoot);return t.fileInfos.forEach((E,N)=>{const R=ol(t.fileNames[N],u,h),F=Oo(E)?E:E.version;if(p.set(R,F),g<t.root.length){const H=t.root[g],U=N+1;Ao(H)?H[0]<=U&&U<=H[1]&&(T(U,R),H[1]===U&&g++):H===U&&(T(U,R),g++)}}),{fileInfos:p,roots:C};function T(E,N){const R=b.get(E);R?C.set(ol(t.fileNames[R-1],u,h),N):C.set(N,void 0)}}function KLe(t,r,a){if(!C8t(t))return;const u=ts(wo(r,a.getCurrentDirectory())),h=Mf(a.useCaseSensitiveFileNames());return t.root.map(p=>ol(p,u,h))}function Nde(t,r){return{state:void 0,getProgram:a,getProgramOrUndefined:()=>t.program,releaseProgram:()=>t.program=void 0,getCompilerOptions:()=>t.compilerOptions,getSourceFile:u=>a().getSourceFile(u),getSourceFiles:()=>a().getSourceFiles(),getOptionsDiagnostics:u=>a().getOptionsDiagnostics(u),getGlobalDiagnostics:u=>a().getGlobalDiagnostics(u),getConfigFileParsingDiagnostics:()=>r,getSyntacticDiagnostics:(u,h)=>a().getSyntacticDiagnostics(u,h),getDeclarationDiagnostics:(u,h)=>a().getDeclarationDiagnostics(u,h),getSemanticDiagnostics:(u,h)=>a().getSemanticDiagnostics(u,h),emit:(u,h,p,g,C)=>a().emit(u,h,p,g,C),emitBuildInfo:(u,h)=>a().emitBuildInfo(u,h),getAllDependencies:wa,getCurrentDirectory:()=>a().getCurrentDirectory(),close:Tc};function a(){return j.checkDefined(t.program)}}function ctt(t,r,a,u,h,p){return Dde(0,UQ(t,r,a,u,h,p))}function Lde(t,r,a,u,h,p){return Dde(1,UQ(t,r,a,u,h,p))}function ltt(t,r,a,u,h,p){const{newProgram:g,configFileParsingDiagnostics:C}=UQ(t,r,a,u,h,p);return Nde({program:g,compilerOptions:g.getCompilerOptions()},C)}function qQ(t){return su(t,"/node_modules/.staging")?I6(t,"/.staging"):Ft(XJ,r=>t.includes(r))?void 0:t}function XLe(t,r){if(r<=1)return 1;let a=1,u=t[0].search(/[a-z]:/i)===0;if(t[0]!==Kl&&!u&&t[1].search(/[a-z]\$$/i)===0){if(r===2)return 2;a=2,u=!0}return u&&!t[a].match(/^users$/i)?a:t[a].match(/^workspaces$/i)?a+1:a+2}function $Q(t,r){if(r===void 0&&(r=t.length),r<=2)return!1;const a=XLe(t,r);return r>a+1}function QLe(t){return dtt(ts(t))}function utt(t,r){if(r.length<r.length)return!1;for(let a=0;a<t.length;a++)if(r[a]!==t[a])return!1;return!0}function dtt(t){return $Q(af(t))}function ZLe(t){return dtt(t)}function Pde(t,r,a,u,h,p,g){const C=af(r);t=yp(t)?ca(t):wo(t,p());const b=af(t),T=XLe(C,C.length);if(C.length<=T+1)return;const E=C.indexOf("node_modules");if(E!==-1&&E+1<=T+1)return;const N=C.lastIndexOf("node_modules");return utt(h,C)?C.length>h.length+1?YLe(b,C,Math.max(h.length+1,T+1),N):{dir:a,dirPath:u,nonRecursive:!0}:ftt(b,C,C.length-1,T,E,h,N,g)}function ftt(t,r,a,u,h,p,g,C){if(h!==-1)return YLe(t,r,h+1,g);let b=!0,T=a;if(!C){for(let E=0;E<a;E++)if(r[E]!==p[E]){b=!1,T=Math.max(E+1,u+1);break}}return YLe(t,r,T,g,b)}function YLe(t,r,a,u,h){let p;return u!==-1&&u+1>=a&&u+2<r.length&&(so(r[u+1],"@")?u+3<r.length&&(p=u+3):p=u+2),{dir:sS(t,a),dirPath:sS(r,a),nonRecursive:h,packageDir:p!==void 0?sS(t,p):void 0,packageDirPath:p!==void 0?sS(r,p):void 0}}function ePe(t,r,a,u,h,p,g){const C=af(r);if(utt(u,C))return a;t=yp(t)?ca(t):wo(t,h());const b=ftt(af(t),C,C.length,XLe(C,C.length),C.indexOf("node_modules"),u,C.lastIndexOf("node_modules"),p);return b&&g(b.dirPath)?b.dirPath:void 0}function tPe(t,r){const a=wo(t,r());return Noe(a)?a:Mk(a)}function JQ(t){var r;return((r=t.getCompilerHost)==null?void 0:r.call(t))||t}function iPe(t,r,a,u,h){return{nameAndMode:OQ,resolve:(p,g)=>x8t(u,h,p,t,a,r,g)}}function x8t(t,r,a,u,h,p,g){const C=JQ(t),b=nA(a,u,h,C,r,p,g);if(!t.getGlobalCache)return b;const T=t.getGlobalCache();if(T!==void 0&&!Gd(a)&&!(b.resolvedModule&&QK(b.resolvedModule.extension))){const{resolvedModule:E,failedLookupLocations:N,affectingLocations:R,resolutionDiagnostics:F}=pNe(j.checkDefined(t.globalCacheResolutionModuleName)(a),t.projectName,h,C,T,r);if(E)return b.resolvedModule=E,b.failedLookupLocations=O3(b.failedLookupLocations,N),b.affectingLocations=O3(b.affectingLocations,R),b.resolutionDiagnostics=O3(b.resolutionDiagnostics,F),b}return b}function Ade(t,r,a){let u,h,p;const g=new Set,C=new Set,b=new Set,T=new Map,E=new Map;let N=!1,R,F,H,U,q,X=!1;const Z=Bh(()=>t.getCurrentDirectory()),Q=t.getCachedDirectoryStructureHost(),re=new Map,oe=R3(Z(),t.getCanonicalFileName,t.getCompilationSettings()),pe=new Map,de=sQ(Z(),t.getCanonicalFileName,t.getCompilationSettings(),oe.getPackageJsonInfoCache(),oe.optionsToRedirectsKey),ue=new Map,Ie=R3(Z(),t.getCanonicalFileName,_ue(t.getCompilationSettings()),oe.getPackageJsonInfoCache()),we=new Map,he=new Map,Ae=tPe(r,Z),ke=t.toPath(Ae),Te=af(ke),De=new Map,qe=new Map,se=new Map,Ne=new Map;return{rootDirForResolution:r,resolvedModuleNames:re,resolvedTypeReferenceDirectives:pe,resolvedLibraries:ue,resolvedFileToResolution:T,resolutionsWithFailedLookups:C,resolutionsWithOnlyAffectingLocations:b,directoryWatchesOfFailedLookups:we,fileWatchesOfAffectingLocations:he,packageDirWatchers:qe,dirPathToSymlinkPackageRefCount:se,watchFailedLookupLocationsOfExternalModuleResolutions:_i,getModuleResolutionCache:()=>oe,startRecordingFilesWithChangedResolutions:Pe,finishRecordingFilesWithChangedResolutions:We,startCachingPerDirectoryResolution:$e,finishCachingPerDirectoryResolution:Me,resolveModuleNameLiterals:Ei,resolveTypeReferenceDirectiveReferences:zt,resolveLibrary:tn,resolveSingleModuleNameWithoutWatching:xn,removeResolutionsFromProjectReferenceRedirects:us,removeResolutionsOfFile:Xr,hasChangedAutomaticTypeDirectiveNames:()=>N,invalidateResolutionOfFile:ma,invalidateResolutionsOfFailedLookupLocations:St,setFilesWithInvalidatedNonRelativeUnresolvedImports:ka,createHasInvalidatedResolutions:Nt,isFileWithInvalidatedNonRelativeUnresolvedImports:ze,updateTypeRootsWatch:gt,closeTypeRootsWatch:Se,clear:Oe,onChangesAffectModuleResolution:He};function Oe(){X_(we,Zm),X_(he,Zm),De.clear(),qe.clear(),se.clear(),g.clear(),Se(),re.clear(),pe.clear(),T.clear(),C.clear(),b.clear(),H=void 0,U=void 0,q=void 0,F=void 0,R=void 0,X=!1,oe.clear(),de.clear(),oe.update(t.getCompilationSettings()),de.update(t.getCompilationSettings()),Ie.clear(),E.clear(),ue.clear(),N=!1}function He(){X=!0,oe.clearAllExceptPackageJsonInfoCache(),de.clearAllExceptPackageJsonInfoCache(),oe.update(t.getCompilationSettings()),de.update(t.getCompilationSettings())}function Pe(){u=[]}function We(){const Je=u;return u=void 0,Je}function ze(Je){if(!p)return!1;const Rt=p.get(Je);return!!Rt&&!!Rt.length}function Nt(Je,Rt){St();const Ct=h;return h=void 0,{hasInvalidatedResolutions:ii=>Je(ii)||X||!!(Ct!=null&&Ct.has(ii))||ze(ii),hasInvalidatedLibResolutions:ii=>{var vt;return Rt(ii)||!!((vt=ue==null?void 0:ue.get(ii))!=null&&vt.isInvalidated)}}}function $e(){oe.isReadonly=void 0,de.isReadonly=void 0,Ie.isReadonly=void 0,oe.getPackageJsonInfoCache().isReadonly=void 0,oe.clearAllExceptPackageJsonInfoCache(),de.clearAllExceptPackageJsonInfoCache(),Ie.clearAllExceptPackageJsonInfoCache(),ko(),De.clear()}function et(Je){ue.forEach((Rt,Ct)=>{var ii;(ii=Je==null?void 0:Je.resolvedLibReferences)!=null&&ii.has(Ct)||(at(Rt,t.toPath(FQ(t.getCompilationSettings(),Z(),Ct)),sP),ue.delete(Ct))})}function Me(Je,Rt){p=void 0,X=!1,ko(),Je!==Rt&&(et(Je),Je==null||Je.getSourceFiles().forEach(Ct=>{var ii;const vt=((ii=Ct.packageJsonLocations)==null?void 0:ii.length)??0,Ni=E.get(Ct.resolvedPath)??w;for(let ji=Ni.length;ji<vt;ji++)qr(Ct.packageJsonLocations[ji],!1);if(Ni.length>vt)for(let ji=vt;ji<Ni.length;ji++)he.get(Ni[ji]).files--;vt?E.set(Ct.resolvedPath,Ct.packageJsonLocations):E.delete(Ct.resolvedPath)}),E.forEach((Ct,ii)=>{const vt=Je==null?void 0:Je.getSourceFileByPath(ii);(!vt||vt.resolvedPath!==ii)&&(Ct.forEach(Ni=>he.get(Ni).files--),E.delete(ii))})),we.forEach(wt),he.forEach(Et),qe.forEach(ut),N=!1,oe.isReadonly=!0,de.isReadonly=!0,Ie.isReadonly=!0,oe.getPackageJsonInfoCache().isReadonly=!0,De.clear()}function ut(Je,Rt){Je.dirPathToWatcher.size===0&&qe.delete(Rt)}function wt(Je,Rt){Je.refCount===0&&(we.delete(Rt),Je.watcher.close())}function Et(Je,Rt){var Ct;Je.files===0&&Je.resolutions===0&&!((Ct=Je.symlinks)!=null&&Ct.size)&&(he.delete(Rt),Je.watcher.close())}function dt({entries:Je,containingFile:Rt,containingSourceFile:Ct,redirectedReference:ii,options:vt,perFileCache:Ni,reusedNames:ji,loader:gr,getResolutionWithResolvedFileName:te,deferWatchingNonRelativeResolution:tt,shouldRetryResolution:Gt,logChanges:le}){const Ue=t.toPath(Rt),be=Ni.get(Ue)||Ni.set(Ue,M3()).get(Ue),Qt=[],lt=le&&ze(Ue),st=t.getCurrentProgram(),hi=st&&st.getResolvedProjectReferenceToRedirect(Rt),vn=hi?!ii||ii.sourceFile.path!==hi.sourceFile.path:!!ii,ln=M3();for(const Pt of Je){const Bt=gr.nameAndMode.getName(Pt),fi=gr.nameAndMode.getMode(Pt,Ct,(ii==null?void 0:ii.commandLine.options)||vt);let wi=be.get(Bt,fi);if(!ln.has(Bt,fi)&&(X||vn||!wi||wi.isInvalidated||lt&&!Gd(Bt)&&Gt(wi))){const Ri=wi;wi=gr.resolve(Bt,fi),t.onDiscoveredSymlink&&k8t(wi)&&t.onDiscoveredSymlink(),be.set(Bt,fi,wi),wi!==Ri&&(_i(Bt,wi,Ue,te,tt),Ri&&at(Ri,Ue,te)),le&&u&&!Un(Ri,wi)&&(u.push(Ue),le=!1)}else{const Ri=JQ(t);if(mx(vt,Ri)&&!ln.has(Bt,fi)){const Ai=te(wi);bo(Ri,Ni===re?Ai!=null&&Ai.resolvedFileName?Ai.packageId?k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved:Ai!=null&&Ai.resolvedFileName?Ai.packageId?k.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:k.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2:k.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_not_resolved,Bt,Rt,Ai==null?void 0:Ai.resolvedFileName,(Ai==null?void 0:Ai.packageId)&&zk(Ai.packageId))}}j.assert(wi!==void 0&&!wi.isInvalidated),ln.set(Bt,fi,!0),Qt.push(wi)}return ji==null||ji.forEach(Pt=>ln.set(gr.nameAndMode.getName(Pt),gr.nameAndMode.getMode(Pt,Ct,(ii==null?void 0:ii.commandLine.options)||vt),!0)),be.size()!==ln.size()&&be.forEach((Pt,Bt,fi)=>{ln.has(Bt,fi)||(at(Pt,Ue,te),be.delete(Bt,fi))}),Qt;function Un(Pt,Bt){if(Pt===Bt)return!0;if(!Pt||!Bt)return!1;const fi=te(Pt),wi=te(Bt);return fi===wi?!0:!fi||!wi?!1:fi.resolvedFileName===wi.resolvedFileName}}function zt(Je,Rt,Ct,ii,vt,Ni){return dt({entries:Je,containingFile:Rt,containingSourceFile:vt,redirectedReference:Ct,options:ii,reusedNames:Ni,perFileCache:pe,loader:MQ(Rt,Ct,ii,JQ(t),de),getResolutionWithResolvedFileName:EG,shouldRetryResolution:ji=>ji.resolvedTypeReferenceDirective===void 0,deferWatchingNonRelativeResolution:!1})}function Ei(Je,Rt,Ct,ii,vt,Ni){return dt({entries:Je,containingFile:Rt,containingSourceFile:vt,redirectedReference:Ct,options:ii,reusedNames:Ni,perFileCache:re,loader:iPe(Rt,Ct,ii,t,oe),getResolutionWithResolvedFileName:sP,shouldRetryResolution:ji=>!ji.resolvedModule||!t7(ji.resolvedModule.extension),logChanges:a,deferWatchingNonRelativeResolution:!0})}function tn(Je,Rt,Ct,ii){const vt=JQ(t);let Ni=ue==null?void 0:ue.get(ii);if(!Ni||Ni.isInvalidated){const ji=Ni;Ni=oQ(Je,Rt,Ct,vt,Ie);const gr=t.toPath(Rt);_i(Je,Ni,gr,sP,!1),ue.set(ii,Ni),ji&&at(ji,gr,sP)}else if(mx(Ct,vt)){const ji=sP(Ni);bo(vt,ji!=null&&ji.resolvedFileName?ji.packageId?k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:k.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved,Je,Rt,ji==null?void 0:ji.resolvedFileName,(ji==null?void 0:ji.packageId)&&zk(ji.packageId))}return Ni}function xn(Je,Rt){var Ct,ii;const vt=t.toPath(Rt),Ni=re.get(vt),ji=Ni==null?void 0:Ni.get(Je,void 0);if(ji&&!ji.isInvalidated)return ji;const gr=(Ct=t.beforeResolveSingleModuleNameWithoutWatching)==null?void 0:Ct.call(t,oe),te=JQ(t),tt=nA(Je,Rt,t.getCompilationSettings(),te,oe);return(ii=t.afterResolveSingleModuleNameWithoutWatching)==null||ii.call(t,oe,Je,Rt,tt,gr),tt}function Pn(Je){return su(Je,"/node_modules/@types")}function _i(Je,Rt,Ct,ii,vt){if((Rt.files??(Rt.files=new Set)).add(Ct),Rt.files.size!==1)return;!vt||Gd(Je)?On(Rt):g.add(Rt);const Ni=ii(Rt);if(Ni&&Ni.resolvedFileName){const ji=t.toPath(Ni.resolvedFileName);let gr=T.get(ji);gr||T.set(ji,gr=new Set),gr.add(Rt)}}function Fi(Je,Rt){const Ct=t.toPath(Je),ii=Pde(Je,Ct,Ae,ke,Te,Z,t.preferNonRecursiveWatch);if(ii){const{dir:vt,dirPath:Ni,nonRecursive:ji,packageDir:gr,packageDirPath:te}=ii;Ni===ke?(j.assert(ji),j.assert(!gr),Rt=!0):Qs(vt,Ni,gr,te,ji)}return Rt}function On(Je){var Rt;j.assert(!!((Rt=Je.files)!=null&&Rt.size));const{failedLookupLocations:Ct,affectingLocations:ii,alternateResult:vt}=Je;if(!(Ct!=null&&Ct.length)&&!(ii!=null&&ii.length)&&!vt)return;(Ct!=null&&Ct.length||vt)&&C.add(Je);let Ni=!1;if(Ct)for(const ji of Ct)Ni=Fi(ji,Ni);vt&&(Ni=Fi(vt,Ni)),Ni&&Qs(Ae,ke,void 0,void 0,!0),pn(Je,!(Ct!=null&&Ct.length)&&!vt)}function pn(Je,Rt){var Ct;j.assert(!!((Ct=Je.files)!=null&&Ct.size));const{affectingLocations:ii}=Je;if(ii!=null&&ii.length){Rt&&b.add(Je);for(const vt of ii)qr(vt,!0)}}function qr(Je,Rt){const Ct=he.get(Je);if(Ct){Rt?Ct.resolutions++:Ct.files++;return}let ii=Je,vt=!1,Ni;t.realpath&&(ii=t.realpath(Je),Je!==ii&&(vt=!0,Ni=he.get(ii)));const ji=Rt?1:0,gr=Rt?0:1;if(!vt||!Ni){const te={watcher:ZLe(t.toPath(ii))?t.watchAffectingFileLocation(ii,(tt,Gt)=>{Q==null||Q.addOrDeleteFile(tt,t.toPath(ii),Gt),Fs(ii,oe.getPackageJsonInfoCache().getInternalMap()),t.scheduleInvalidateResolutionsOfFailedLookupLocations()}):t5,resolutions:vt?0:ji,files:vt?0:gr,symlinks:void 0};he.set(ii,te),vt&&(Ni=te)}if(vt){j.assert(!!Ni);const te={watcher:{close:()=>{var tt;const Gt=he.get(ii);(tt=Gt==null?void 0:Gt.symlinks)!=null&&tt.delete(Je)&&!Gt.symlinks.size&&!Gt.resolutions&&!Gt.files&&(he.delete(ii),Gt.watcher.close())}},resolutions:ji,files:gr,symlinks:void 0};he.set(Je,te),(Ni.symlinks??(Ni.symlinks=new Set)).add(Je)}}function Fs(Je,Rt){var Ct;const ii=he.get(Je);ii!=null&&ii.resolutions&&(F??(F=new Set)).add(Je),ii!=null&&ii.files&&(R??(R=new Set)).add(Je),(Ct=ii==null?void 0:ii.symlinks)==null||Ct.forEach(vt=>Fs(vt,Rt)),Rt==null||Rt.delete(t.toPath(Je))}function ko(){g.forEach(On),g.clear()}function ls(Je,Rt,Ct,ii,vt){j.assert(!vt);let Ni=De.get(ii),ji=qe.get(ii);if(Ni===void 0){const tt=t.realpath(Ct);Ni=tt!==Ct&&t.toPath(tt)!==ii,De.set(ii,Ni),ji?ji.isSymlink!==Ni&&(ji.dirPathToWatcher.forEach(Gt=>{yi(ji.isSymlink?ii:Rt),Gt.watcher=te()}),ji.isSymlink=Ni):qe.set(ii,ji={dirPathToWatcher:new Map,isSymlink:Ni})}else j.assertIsDefined(ji),j.assert(Ni===ji.isSymlink);const gr=ji.dirPathToWatcher.get(Rt);gr?gr.refCount++:(ji.dirPathToWatcher.set(Rt,{watcher:te(),refCount:1}),Ni&&se.set(Rt,(se.get(Rt)??0)+1));function te(){return Ni?Mn(Ct,ii,vt):Mn(Je,Rt,vt)}}function Qs(Je,Rt,Ct,ii,vt){!ii||!t.realpath?Mn(Je,Rt,vt):ls(Je,Rt,Ct,ii,vt)}function Mn(Je,Rt,Ct){let ii=we.get(Rt);return ii?(j.assert(!!Ct==!!ii.nonRecursive),ii.refCount++):we.set(Rt,ii={watcher:Sn(Je,Rt,Ct),refCount:1,nonRecursive:Ct}),ii}function Zs(Je,Rt){const Ct=t.toPath(Je),ii=Pde(Je,Ct,Ae,ke,Te,Z,t.preferNonRecursiveWatch);if(ii){const{dirPath:vt,packageDirPath:Ni}=ii;if(vt===ke)Rt=!0;else if(Ni&&t.realpath){const ji=qe.get(Ni),gr=ji.dirPathToWatcher.get(vt);if(gr.refCount--,gr.refCount===0&&(yi(ji.isSymlink?Ni:vt),ji.dirPathToWatcher.delete(vt),ji.isSymlink)){const te=se.get(vt)-1;te===0?se.delete(vt):se.set(vt,te)}}else yi(vt)}return Rt}function at(Je,Rt,Ct){if(j.checkDefined(Je.files).delete(Rt),Je.files.size)return;Je.files=void 0;const ii=Ct(Je);if(ii&&ii.resolvedFileName){const gr=t.toPath(ii.resolvedFileName),te=T.get(gr);te!=null&&te.delete(Je)&&!te.size&&T.delete(gr)}const{failedLookupLocations:vt,affectingLocations:Ni,alternateResult:ji}=Je;if(C.delete(Je)){let gr=!1;if(vt)for(const te of vt)gr=Zs(te,gr);ji&&(gr=Zs(ji,gr)),gr&&yi(ke)}else Ni!=null&&Ni.length&&b.delete(Je);if(Ni)for(const gr of Ni){const te=he.get(gr);te.resolutions--}}function yi(Je){const Rt=we.get(Je);Rt.refCount--}function Sn(Je,Rt,Ct){return t.watchDirectoryOfFailedLookupLocation(Je,ii=>{const vt=t.toPath(ii);Q&&Q.addOrDeleteFileOrDirectory(ii,vt),Ji(vt,Rt===vt)},Ct?0:1)}function dr(Je,Rt,Ct){const ii=Je.get(Rt);ii&&(ii.forEach(vt=>at(vt,Rt,Ct)),Je.delete(Rt))}function us(Je){if(!Xl(Je,".json"))return;const Rt=t.getCurrentProgram();if(!Rt)return;const Ct=Rt.getResolvedProjectReferenceByPath(Je);Ct&&Ct.commandLine.fileNames.forEach(ii=>Xr(t.toPath(ii)))}function Xr(Je){dr(re,Je,sP),dr(pe,Je,EG)}function Gs(Je,Rt){if(!Je)return!1;let Ct=!1;return Je.forEach(ii=>{if(!(ii.isInvalidated||!Rt(ii))){ii.isInvalidated=Ct=!0;for(const vt of j.checkDefined(ii.files))(h??(h=new Set)).add(vt),N=N||su(vt,Z7)}}),Ct}function ma(Je){Xr(Je);const Rt=N;Gs(T.get(Je),Pk)&&N&&!Rt&&t.onChangedAutomaticTypeDirectiveNames()}function ka(Je){j.assert(p===Je||p===void 0),p=Je}function Ji(Je,Rt){if(Rt)(q||(q=new Set)).add(Je);else{const Ct=qQ(Je);if(!Ct||(Je=Ct,t.fileIsOpen(Je)))return!1;const ii=ts(Je);if(Pn(Je)||eG(Je)||Pn(ii)||eG(ii))(H||(H=new Set)).add(Je),(U||(U=new Set)).add(Je);else{if(xLe(t.getCurrentProgram(),Je)||Xl(Je,".map"))return!1;(H||(H=new Set)).add(Je);const vt=MH(Je,!0);vt&&(U||(U=new Set)).add(vt)}}t.scheduleInvalidateResolutionsOfFailedLookupLocations()}function ac(){const Je=oe.getPackageJsonInfoCache().getInternalMap();Je&&(H||U||q)&&Je.forEach((Rt,Ct)=>xe(Ct)?Je.delete(Ct):void 0)}function St(){var Je;if(X)return R=void 0,ac(),(H||U||q||F)&&Gs(ue,ri),H=void 0,U=void 0,q=void 0,F=void 0,!0;let Rt=!1;return R&&((Je=t.getCurrentProgram())==null||Je.getSourceFiles().forEach(Ct=>{Ft(Ct.packageJsonLocations,ii=>R.has(ii))&&((h??(h=new Set)).add(Ct.path),Rt=!0)}),R=void 0),!H&&!U&&!q&&!F||(Rt=Gs(C,ri)||Rt,ac(),H=void 0,U=void 0,q=void 0,Rt=Gs(b,pt)||Rt,F=void 0),Rt}function ri(Je){var Rt;return pt(Je)?!0:!H&&!U&&!q?!1:((Rt=Je.failedLookupLocations)==null?void 0:Rt.some(Ct=>xe(t.toPath(Ct))))||!!Je.alternateResult&&xe(t.toPath(Je.alternateResult))}function xe(Je){return(H==null?void 0:H.has(Je))||Y((U==null?void 0:U.keys())||[],Rt=>so(Je,Rt)?!0:void 0)||Y((q==null?void 0:q.keys())||[],Rt=>Je.length>Rt.length&&so(Je,Rt)&&(Noe(Rt)||Je[Rt.length]===Kl)?!0:void 0)}function pt(Je){var Rt;return!!F&&((Rt=Je.affectingLocations)==null?void 0:Rt.some(Ct=>F.has(Ct)))}function Se(){X_(Ne,d0)}function Ze(Je){return Dt(Je)?t.watchTypeRootsDirectory(Je,Rt=>{const Ct=t.toPath(Rt);Q&&Q.addOrDeleteFileOrDirectory(Rt,Ct),N=!0,t.onChangedAutomaticTypeDirectiveNames();const ii=ePe(Je,t.toPath(Je),ke,Te,Z,t.preferNonRecursiveWatch,vt=>we.has(vt)||se.has(vt));ii&&Ji(Ct,ii===Ct)},1):t5}function gt(){const Je=t.getCompilationSettings();if(Je.types){Se();return}const Rt=F7(Je,{getCurrentDirectory:Z});Rt?Q8(Ne,new Set(Rt),{createNewValue:Ze,onDeleteValue:d0}):Se()}function Dt(Je){return t.getCompilationSettings().typeRoots?!0:QLe(t.toPath(Je))}}function k8t(t){var r,a;return!!((r=t.resolvedModule)!=null&&r.originalPath||(a=t.resolvedTypeReferenceDirective)!=null&&a.originalPath)}var htt=Pd?{getCurrentDirectory:()=>Pd.getCurrentDirectory(),getNewLine:()=>Pd.newLine,getCanonicalFileName:Mf(Pd.useCaseSensitiveFileNames)}:void 0;function aA(t,r){const a=t===Pd&&htt?htt:{getCurrentDirectory:()=>t.getCurrentDirectory(),getNewLine:()=>t.newLine,getCanonicalFileName:Mf(t.useCaseSensitiveFileNames)};if(!r)return h=>t.write(fde(h,a));const u=new Array(1);return h=>{u[0]=h,t.write(LLe(u,a)+a.getNewLine()),u[0]=void 0}}function _tt(t,r,a){return t.clearScreen&&!a.preserveWatchOutput&&!a.extendedDiagnostics&&!a.diagnostics&&Yt(ptt,r.code)?(t.clearScreen(),!0):!1}var ptt=[k.Starting_compilation_in_watch_mode.code,k.File_change_detected_Starting_incremental_compilation.code];function T8t(t,r){return Yt(ptt,t.code)?r+r:r}function ij(t){return t.now?t.now().toLocaleTimeString("en-US",{timeZone:"UTC"}).replace(" "," "):new Date().toLocaleTimeString()}function Ode(t,r){return r?(a,u,h)=>{_tt(t,a,h);let p=`[${pD(ij(t),"\x1B[90m")}] `;p+=`${$1(a.messageText,t.newLine)}${u+u}`,t.write(p)}:(a,u,h)=>{let p="";_tt(t,a,h)||(p+=u),p+=`${ij(t)} - `,p+=`${$1(a.messageText,t.newLine)}${T8t(a,u)}`,t.write(p)}}function nPe(t,r,a,u,h,p){const g=h;g.onUnRecoverableConfigFileDiagnostic=b=>ytt(h,p,b);const C=IH(t,r,g,a,u);return g.onUnRecoverableConfigFileDiagnostic=void 0,C}function GQ(t){return $i(t,r=>r.category===1)}function KQ(t){return $t(t,a=>a.category===1).map(a=>{if(a.file!==void 0)return`${a.file.fileName}`}).map(a=>{if(a===void 0)return;const u=Fe(t,h=>h.file!==void 0&&h.file.fileName===a);if(u!==void 0){const{line:h}=Ia(u.file,u.start);return{fileName:a,line:h+1}}})}function Mde(t){return t===1?k.Found_1_error_Watching_for_file_changes:k.Found_0_errors_Watching_for_file_changes}function mtt(t,r){const a=pD(":"+t.line,"\x1B[90m");return r8(t.fileName)&&r8(r)?c0(r,t.fileName,!1)+a:t.fileName+a}function Rde(t,r,a,u){if(t===0)return"";const h=r.filter(E=>E!==void 0),p=h.map(E=>`${E.fileName}:${E.line}`).filter((E,N,R)=>R.indexOf(E)===N),g=h[0]&&mtt(h[0],u.getCurrentDirectory());let C;t===1?C=r[0]!==void 0?[k.Found_1_error_in_0,g]:[k.Found_1_error]:C=p.length===0?[k.Found_0_errors,t]:p.length===1?[k.Found_0_errors_in_the_same_file_starting_at_Colon_1,t,g]:[k.Found_0_errors_in_1_files,t,p.length];const b=Zl(...C),T=p.length>1?D8t(h,u):"";return`${a}${$1(b.messageText,a)}${a}${a}${T}`}function D8t(t,r){const a=t.filter((N,R,F)=>R===F.findIndex(H=>(H==null?void 0:H.fileName)===(N==null?void 0:N.fileName)));if(a.length===0)return"";const u=N=>Math.log(N)*Math.LOG10E+1,h=a.map(N=>[N,$i(t,R=>R.fileName===N.fileName)]),p=Qse(h,0,N=>N[1]),g=k.Errors_Files.message,C=g.split(" ")[0].length,b=Math.max(C,u(p)),T=Math.max(u(p)-C,0);let E="";return E+=" ".repeat(T)+g+`
+`,h.forEach(N=>{const[R,F]=N,H=Math.log(F)*Math.LOG10E+1|0,U=H<b?" ".repeat(b-H):"",q=mtt(R,r.getCurrentDirectory());E+=`${U}${F} ${q}
+`}),E}function Fde(t){return!!t.state}function E8t(t,r){const a=t.getCompilerOptions();a.explainFiles?Bde(Fde(t)?t.getProgram():t,r):(a.listFiles||a.listFilesOnly)&&O(t.getSourceFiles(),u=>{r(u.fileName)})}function Bde(t,r){var a,u;const h=t.getFileIncludeReasons(),p=g=>s8(g,t.getCurrentDirectory(),t.getCanonicalFileName);for(const g of t.getSourceFiles())r(`${J3(g,p)}`),(a=h.get(g.path))==null||a.forEach(C=>r(` ${jde(t,C,p).messageText}`)),(u=Wde(g,t.getCompilerOptionsForFile(g),p))==null||u.forEach(C=>r(` ${C.messageText}`))}function Wde(t,r,a){var u;let h;if(t.path!==t.resolvedPath&&(h??(h=[])).push(jo(void 0,k.File_is_output_of_project_reference_source_0,J3(t.originalFileName,a))),t.redirectInfo&&(h??(h=[])).push(jo(void 0,k.File_redirects_to_file_0,J3(t.redirectInfo.redirectTarget,a))),Cp(t))switch(jI(t,r)){case 99:t.packageJsonScope&&(h??(h=[])).push(jo(void 0,k.File_is_ECMAScript_module_because_0_has_field_type_with_value_module,J3(va(t.packageJsonLocations),a)));break;case 1:t.packageJsonScope?(h??(h=[])).push(jo(void 0,t.packageJsonScope.contents.packageJsonContent.type?k.File_is_CommonJS_module_because_0_has_field_type_whose_value_is_not_module:k.File_is_CommonJS_module_because_0_does_not_have_field_type,J3(va(t.packageJsonLocations),a))):(u=t.packageJsonLocations)!=null&&u.length&&(h??(h=[])).push(jo(void 0,k.File_is_CommonJS_module_because_package_json_was_not_found));break}return h}function Vde(t,r){var a;const u=t.getCompilerOptions().configFile;if(!((a=u==null?void 0:u.configFileSpecs)!=null&&a.validatedFilesSpec))return;const h=t.getCanonicalFileName(r),p=ts(wo(u.fileName,t.getCurrentDirectory())),g=ct(u.configFileSpecs.validatedFilesSpec,C=>t.getCanonicalFileName(wo(C,p))===h);return g!==-1?u.configFileSpecs.validatedFilesSpecBeforeSubstitution[g]:void 0}function Hde(t,r){var a,u;const h=t.getCompilerOptions().configFile;if(!((a=h==null?void 0:h.configFileSpecs)!=null&&a.validatedIncludeSpecs))return;if(h.configFileSpecs.isDefaultIncludeSpec)return!0;const p=Xl(r,".json"),g=ts(wo(h.fileName,t.getCurrentDirectory())),C=t.useCaseSensitiveFileNames(),b=ct((u=h==null?void 0:h.configFileSpecs)==null?void 0:u.validatedIncludeSpecs,T=>{if(p&&!su(T,".json"))return!1;const E=FDe(T,g,"files");return!!E&&ax(`(${E})$`,C).test(r)});return b!==-1?h.configFileSpecs.validatedIncludeSpecsBeforeSubstitution[b]:void 0}function jde(t,r,a){var u,h;const p=t.getCompilerOptions();if(_2(r)){const g=Y7(t,r),C=U3(g)?g.file.text.substring(g.pos,g.end):`"${g.text}"`;let b;switch(j.assert(U3(g)||r.kind===3,"Only synthetic references are imports"),r.kind){case 3:U3(g)?b=g.packageId?k.Imported_via_0_from_file_1_with_packageId_2:k.Imported_via_0_from_file_1:g.text===jk?b=g.packageId?k.Imported_via_0_from_file_1_with_packageId_2_to_import_importHelpers_as_specified_in_compilerOptions:k.Imported_via_0_from_file_1_to_import_importHelpers_as_specified_in_compilerOptions:b=g.packageId?k.Imported_via_0_from_file_1_with_packageId_2_to_import_jsx_and_jsxs_factory_functions:k.Imported_via_0_from_file_1_to_import_jsx_and_jsxs_factory_functions;break;case 4:j.assert(!g.packageId),b=k.Referenced_via_0_from_file_1;break;case 5:b=g.packageId?k.Type_library_referenced_via_0_from_file_1_with_packageId_2:k.Type_library_referenced_via_0_from_file_1;break;case 7:j.assert(!g.packageId),b=k.Library_referenced_via_0_from_file_1;break;default:j.assertNever(r)}return jo(void 0,b,C,J3(g.file,a),g.packageId&&zk(g.packageId))}switch(r.kind){case 0:if(!((u=p.configFile)!=null&&u.configFileSpecs))return jo(void 0,k.Root_file_specified_for_compilation);const g=wo(t.getRootFileNames()[r.index],t.getCurrentDirectory());if(Vde(t,g))return jo(void 0,k.Part_of_files_list_in_tsconfig_json);const b=Hde(t,g);return Oo(b)?jo(void 0,k.Matched_by_include_pattern_0_in_1,b,J3(p.configFile,a)):jo(void 0,b?k.Matched_by_default_include_pattern_Asterisk_Asterisk_Slash_Asterisk:k.Root_file_specified_for_compilation);case 1:case 2:const T=r.kind===2,E=j.checkDefined((h=t.getResolvedProjectReferences())==null?void 0:h[r.index]);return jo(void 0,p.outFile?T?k.Output_from_referenced_project_0_included_because_1_specified:k.Source_from_referenced_project_0_included_because_1_specified:T?k.Output_from_referenced_project_0_included_because_module_is_specified_as_none:k.Source_from_referenced_project_0_included_because_module_is_specified_as_none,J3(E.sourceFile.fileName,a),p.outFile?"--outFile":"--out");case 8:{const N=p.types?r.packageId?[k.Entry_point_of_type_library_0_specified_in_compilerOptions_with_packageId_1,r.typeReference,zk(r.packageId)]:[k.Entry_point_of_type_library_0_specified_in_compilerOptions,r.typeReference]:r.packageId?[k.Entry_point_for_implicit_type_library_0_with_packageId_1,r.typeReference,zk(r.packageId)]:[k.Entry_point_for_implicit_type_library_0,r.typeReference];return jo(void 0,...N)}case 6:{if(r.index!==void 0)return jo(void 0,k.Library_0_specified_in_compilerOptions,p.lib[r.index]);const N=FK(Ja(p)),R=N?[k.Default_library_for_target_0,N]:[k.Default_library];return jo(void 0,...R)}default:j.assertNever(r)}}function J3(t,r){const a=Oo(t)?t:t.fileName;return r?r(a):a}function XQ(t,r,a,u,h,p,g,C){const b=t.getCompilerOptions(),T=t.getConfigFileParsingDiagnostics().slice(),E=T.length;Sr(T,t.getSyntacticDiagnostics(void 0,p)),T.length===E&&(Sr(T,t.getOptionsDiagnostics(p)),b.listFilesOnly||(Sr(T,t.getGlobalDiagnostics(p)),T.length===E&&Sr(T,t.getSemanticDiagnostics(void 0,p)),b.noEmit&&Q_(b)&&T.length===E&&Sr(T,t.getDeclarationDiagnostics(void 0,p))));const N=b.listFilesOnly?{emitSkipped:!0,diagnostics:w}:t.emit(void 0,h,p,g,C);Sr(T,N.diagnostics);const R=O6(T);if(R.forEach(r),a){const F=t.getCurrentDirectory();O(N.emittedFiles,H=>{const U=wo(H,F);a(`TSFILE: ${U}`)}),E8t(t,a)}return u&&u(GQ(R),KQ(R)),{emitResult:N,diagnostics:R}}function zde(t,r,a,u,h,p,g,C){const{emitResult:b,diagnostics:T}=XQ(t,r,a,u,h,p,g,C);return b.emitSkipped&&T.length>0?1:T.length>0?2:0}var t5={close:Tc},i5=()=>t5;function Ude(t=Pd,r){return{onWatchStatusChange:r||Ode(t),watchFile:to(t,t.watchFile)||i5,watchDirectory:to(t,t.watchDirectory)||i5,setTimeout:to(t,t.setTimeout)||Tc,clearTimeout:to(t,t.clearTimeout)||Tc,preferNonRecursiveWatch:t.preferNonRecursiveWatch}}var Kd={ConfigFile:"Config file",ExtendedConfigFile:"Extended config file",SourceFile:"Source file",MissingFile:"Missing file",WildcardDirectory:"Wild card directory",FailedLookupLocations:"Failed Lookup Locations",AffectingFileLocation:"File location affecting resolution",TypeRoots:"Type roots",ConfigFileOfReferencedProject:"Config file of referened project",ExtendedConfigOfReferencedProject:"Extended config file of referenced project",WildcardDirectoryOfReferencedProject:"Wild card directory of referenced project",PackageJson:"package.json file",ClosedScriptInfo:"Closed Script info",ConfigFileForInferredRoot:"Config file for the inferred project root",NodeModules:"node_modules for closed script infos and package.jsons affecting module specifier cache",MissingSourceMapFile:"Missing source map file",NoopConfigFileForInferredRoot:"Noop Config file for the inferred project root",MissingGeneratedFile:"Missing generated file",NodeModulesForModuleSpecifierCache:"node_modules for module specifier cache invalidation",TypingInstallerLocationFile:"File location for typing installer",TypingInstallerLocationDirectory:"Directory location for typing installer"};function qde(t,r){const a=t.trace?r.extendedDiagnostics?2:r.diagnostics?1:0:0,u=a!==0?p=>t.trace(p):Tc,h=ade(t,a,u);return h.writeLog=u,h}function $de(t,r,a=t){const u=t.useCaseSensitiveFileNames(),h={getSourceFile:ude((p,g)=>g?t.readFile(p,g):h.readFile(p),void 0),getDefaultLibLocation:to(t,t.getDefaultLibLocation),getDefaultLibFileName:p=>t.getDefaultLibFileName(p),writeFile:dde((p,g,C)=>t.writeFile(p,g,C),p=>t.createDirectory(p),p=>t.directoryExists(p)),getCurrentDirectory:Bh(()=>t.getCurrentDirectory()),useCaseSensitiveFileNames:()=>u,getCanonicalFileName:Mf(u),getNewLine:()=>mS(r()),fileExists:p=>t.fileExists(p),readFile:p=>t.readFile(p),trace:to(t,t.trace),directoryExists:to(a,a.directoryExists),getDirectories:to(a,a.getDirectories),realpath:to(t,t.realpath),getEnvironmentVariable:to(t,t.getEnvironmentVariable)||(()=>""),createHash:to(t,t.createHash),readDirectory:to(t,t.readDirectory),storeSignatureInfo:t.storeSignatureInfo,jsDocParsingMode:t.jsDocParsingMode};return h}function QQ(t,r){if(r.match(INe)){let a=r.length,u=a;for(let h=a-1;h>=0;h--){const p=r.charCodeAt(h);switch(p){case 10:h&&r.charCodeAt(h-1)===13&&h--;case 13:break;default:if(p<127||!mh(p)){u=h;continue}break}const g=r.substring(u,a);if(g.match(Oue)){r=r.substring(0,u);break}else if(!g.match(Mue))break;a=u}}return(t.createHash||n8)(r)}function ZQ(t){const r=t.getSourceFile;t.getSourceFile=(...a)=>{const u=r.call(t,...a);return u&&(u.version=QQ(t,u.text)),u}}function Jde(t,r){const a=Bh(()=>ts(ca(t.getExecutingFilePath())));return{useCaseSensitiveFileNames:()=>t.useCaseSensitiveFileNames,getNewLine:()=>t.newLine,getCurrentDirectory:Bh(()=>t.getCurrentDirectory()),getDefaultLibLocation:a,getDefaultLibFileName:u=>zr(a(),IW(u)),fileExists:u=>t.fileExists(u),readFile:(u,h)=>t.readFile(u,h),directoryExists:u=>t.directoryExists(u),getDirectories:u=>t.getDirectories(u),readDirectory:(u,h,p,g,C)=>t.readDirectory(u,h,p,g,C),realpath:to(t,t.realpath),getEnvironmentVariable:to(t,t.getEnvironmentVariable),trace:u=>t.write(u+t.newLine),createDirectory:u=>t.createDirectory(u),writeFile:(u,h,p)=>t.writeFile(u,h,p),createHash:to(t,t.createHash),createProgram:r||Lde,storeSignatureInfo:t.storeSignatureInfo,now:to(t,t.now)}}function gtt(t=Pd,r,a,u){const h=g=>t.write(g+t.newLine),p=Jde(t,r);return wg(p,Ude(t,u)),p.afterProgramCreate=g=>{const C=g.getCompilerOptions(),b=mS(C);XQ(g,a,h,T=>p.onWatchStatusChange(Zl(Mde(T),T),b,C,T))},p}function ytt(t,r,a){r(a),t.exit(1)}function Gde({configFileName:t,optionsToExtend:r,watchOptionsToExtend:a,extraFileExtensions:u,system:h,createProgram:p,reportDiagnostic:g,reportWatchStatus:C}){const b=g||aA(h),T=gtt(h,p,b,C);return T.onUnRecoverableConfigFileDiagnostic=E=>ytt(h,b,E),T.configFileName=t,T.optionsToExtend=r,T.watchOptionsToExtend=a,T.extraFileExtensions=u,T}function Kde({rootFiles:t,options:r,watchOptions:a,projectReferences:u,system:h,createProgram:p,reportDiagnostic:g,reportWatchStatus:C}){const b=gtt(h,p,g||aA(h),C);return b.rootFiles=t,b.options=r,b.watchOptions=a,b.projectReferences=u,b}function rPe(t){const r=t.system||Pd,a=t.host||(t.host=eZ(t.options,r)),u=sPe(t),h=zde(u,t.reportDiagnostic||aA(r),p=>a.trace&&a.trace(p),t.reportErrorSummary||t.options.pretty?(p,g)=>r.write(Rde(p,g,r.newLine,a)):void 0);return t.afterProgramEmitAndDiagnostics&&t.afterProgramEmitAndDiagnostics(u),h}function YQ(t,r){const a=h2(t);if(!a)return;let u;if(r.getBuildInfo)u=r.getBuildInfo(a,t.configFilePath);else{const h=r.readFile(a);if(!h)return;u=nde(a,h)}if(!(!u||u.version!==v||!tj(u)))return GLe(u,a,r)}function eZ(t,r=Pd){const a=PQ(t,void 0,r);return a.createHash=to(r,r.createHash),a.storeSignatureInfo=r.storeSignatureInfo,ZQ(a),Q7(a,u=>ol(u,a.getCurrentDirectory(),a.getCanonicalFileName)),a}function sPe({rootNames:t,options:r,configFileParsingDiagnostics:a,projectReferences:u,host:h,createProgram:p}){h=h||eZ(r),p=p||Lde;const g=YQ(r,h);return p(t,r,h,g,a,u)}function vtt(t,r,a,u,h,p,g,C){return Ao(t)?Kde({rootFiles:t,options:r,watchOptions:C,projectReferences:g,system:a,createProgram:u,reportDiagnostic:h,reportWatchStatus:p}):Gde({configFileName:t,optionsToExtend:r,watchOptionsToExtend:g,extraFileExtensions:C,system:a,createProgram:u,reportDiagnostic:h,reportWatchStatus:p})}function Xde(t){let r,a,u,h,p,g,C,b,T=t.extendedConfigCache,E=!1;const N=new Map;let R,F=!1;const H=t.useCaseSensitiveFileNames(),U=t.getCurrentDirectory(),{configFileName:q,optionsToExtend:X={},watchOptionsToExtend:Z,extraFileExtensions:Q,createProgram:re}=t;let{rootFiles:oe,options:pe,watchOptions:de,projectReferences:ue}=t,Ie,we,he=!1,Ae=!1;const ke=q===void 0?void 0:IQ(t,U,H),Te=ke||t,De=HQ(t,Te);let qe=xn();q&&t.configFileParsingResult&&(ka(t.configFileParsingResult),qe=xn()),ls(k.Starting_compilation_in_watch_mode),q&&!t.configFileParsingResult&&(qe=mS(X),j.assert(!oe),ma(),qe=xn()),j.assert(pe),j.assert(oe);const{watchFile:se,watchDirectory:Ne,writeLog:Oe}=qde(t,pe),He=Mf(H);Oe(`Current directory: ${U} CaseSensitiveFileNames: ${H}`);let Pe;q&&(Pe=se(q,Sn,2e3,de,Kd.ConfigFile));const We=$de(t,()=>pe,Te);ZQ(We);const ze=We.getSourceFile;We.getSourceFile=(Ct,...ii)=>pn(Ct,Pn(Ct),...ii),We.getSourceFileByPath=pn,We.getNewLine=()=>qe,We.fileExists=On,We.onReleaseOldSourceFile=ko,We.onReleaseParsedCommandLine=St,We.toPath=Pn,We.getCompilationSettings=()=>pe,We.useSourceOfProjectReferenceRedirect=to(t,t.useSourceOfProjectReferenceRedirect),We.preferNonRecursiveWatch=t.preferNonRecursiveWatch,We.watchDirectoryOfFailedLookupLocation=(Ct,ii,vt)=>Ne(Ct,ii,vt,de,Kd.FailedLookupLocations),We.watchAffectingFileLocation=(Ct,ii)=>se(Ct,ii,2e3,de,Kd.AffectingFileLocation),We.watchTypeRootsDirectory=(Ct,ii,vt)=>Ne(Ct,ii,vt,de,Kd.TypeRoots),We.getCachedDirectoryStructureHost=()=>ke,We.scheduleInvalidateResolutionsOfFailedLookupLocations=Zs,We.onInvalidatedResolution=yi,We.onChangedAutomaticTypeDirectiveNames=yi,We.fileIsOpen=w1,We.getCurrentProgram=dt,We.writeLog=Oe,We.getParsedCommandLine=Ji;const Nt=Ade(We,q?ts(wo(q,U)):U,!1);We.resolveModuleNameLiterals=to(t,t.resolveModuleNameLiterals),We.resolveModuleNames=to(t,t.resolveModuleNames),!We.resolveModuleNameLiterals&&!We.resolveModuleNames&&(We.resolveModuleNameLiterals=Nt.resolveModuleNameLiterals.bind(Nt)),We.resolveTypeReferenceDirectiveReferences=to(t,t.resolveTypeReferenceDirectiveReferences),We.resolveTypeReferenceDirectives=to(t,t.resolveTypeReferenceDirectives),!We.resolveTypeReferenceDirectiveReferences&&!We.resolveTypeReferenceDirectives&&(We.resolveTypeReferenceDirectiveReferences=Nt.resolveTypeReferenceDirectiveReferences.bind(Nt)),We.resolveLibrary=t.resolveLibrary?t.resolveLibrary.bind(t):Nt.resolveLibrary.bind(Nt),We.getModuleResolutionCache=t.resolveModuleNameLiterals||t.resolveModuleNames?to(t,t.getModuleResolutionCache):()=>Nt.getModuleResolutionCache();const et=!!t.resolveModuleNameLiterals||!!t.resolveTypeReferenceDirectiveReferences||!!t.resolveModuleNames||!!t.resolveTypeReferenceDirectives?to(t,t.hasInvalidatedResolutions)||Pk:w1,Me=t.resolveLibrary?to(t,t.hasInvalidatedLibResolutions)||Pk:w1;return r=YQ(pe,We),zt(),gt(),q&&Je(Pn(q),pe,de,Kd.ExtendedConfigFile),q?{getCurrentProgram:Et,getProgram:us,close:ut,getResolutionCache:wt}:{getCurrentProgram:Et,getProgram:us,updateRootFileNames:tn,close:ut,getResolutionCache:wt};function ut(){Mn(),Nt.clear(),X_(N,Ct=>{Ct&&Ct.fileWatcher&&(Ct.fileWatcher.close(),Ct.fileWatcher=void 0)}),Pe&&(Pe.close(),Pe=void 0),T==null||T.clear(),T=void 0,b&&(X_(b,Zm),b=void 0),h&&(X_(h,Zm),h=void 0),u&&(X_(u,d0),u=void 0),C&&(X_(C,Ct=>{var ii;(ii=Ct.watcher)==null||ii.close(),Ct.watcher=void 0,Ct.watchedDirectories&&X_(Ct.watchedDirectories,Zm),Ct.watchedDirectories=void 0}),C=void 0),r=void 0}function wt(){return Nt}function Et(){return r}function dt(){return r&&r.getProgramOrUndefined()}function zt(){Oe("Synchronizing program"),j.assert(pe),j.assert(oe),Mn();const Ct=Et();F&&(qe=xn(),Ct&&TG(Ct.getCompilerOptions(),pe)&&Nt.onChangesAffectModuleResolution());const{hasInvalidatedResolutions:ii,hasInvalidatedLibResolutions:vt}=Nt.createHasInvalidatedResolutions(et,Me),{originalReadFile:Ni,originalFileExists:ji,originalDirectoryExists:gr,originalCreateDirectory:te,originalWriteFile:tt,readFileWithCache:Gt}=Q7(We,Pn);return bde(dt(),oe,pe,le=>Fs(le,Gt),le=>We.fileExists(le),ii,vt,Qs,Ji,ue)?Ae&&(E&&ls(k.File_change_detected_Starting_incremental_compilation),r=re(void 0,void 0,We,r,we,ue),Ae=!1):(E&&ls(k.File_change_detected_Starting_incremental_compilation),Ei(ii,vt)),E=!1,t.afterProgramCreate&&Ct!==r&&t.afterProgramCreate(r),We.readFile=Ni,We.fileExists=ji,We.directoryExists=gr,We.createDirectory=te,We.writeFile=tt,r}function Ei(Ct,ii){Oe("CreatingProgramWith::"),Oe(` roots: ${JSON.stringify(oe)}`),Oe(` options: ${JSON.stringify(pe)}`),ue&&Oe(` projectReferences: ${JSON.stringify(ue)}`);const vt=F||!dt();F=!1,Ae=!1,Nt.startCachingPerDirectoryResolution(),We.hasInvalidatedResolutions=Ct,We.hasInvalidatedLibResolutions=ii,We.hasChangedAutomaticTypeDirectiveNames=Qs;const Ni=dt();if(r=re(oe,pe,We,r,we,ue),Nt.finishCachingPerDirectoryResolution(r.getProgram(),Ni),ode(r.getProgram(),u||(u=new Map),Se),vt&&Nt.updateTypeRootsWatch(),R){for(const ji of R)u.has(ji)||N.delete(ji);R=void 0}}function tn(Ct){j.assert(!q,"Cannot update root file names with config file watch mode"),oe=Ct,yi()}function xn(){return mS(pe||X)}function Pn(Ct){return ol(Ct,U,He)}function _i(Ct){return typeof Ct=="boolean"}function Fi(Ct){return typeof Ct.version=="boolean"}function On(Ct){const ii=Pn(Ct);return _i(N.get(ii))?!1:Te.fileExists(Ct)}function pn(Ct,ii,vt,Ni,ji){const gr=N.get(ii);if(_i(gr))return;const te=typeof vt=="object"?vt.impliedNodeFormat:void 0;if(gr===void 0||ji||Fi(gr)||gr.sourceFile.impliedNodeFormat!==te){const tt=ze(Ct,vt,Ni);if(gr)tt?(gr.sourceFile=tt,gr.version=tt.version,gr.fileWatcher||(gr.fileWatcher=ri(ii,Ct,xe,250,de,Kd.SourceFile))):(gr.fileWatcher&&gr.fileWatcher.close(),N.set(ii,!1));else if(tt){const Gt=ri(ii,Ct,xe,250,de,Kd.SourceFile);N.set(ii,{sourceFile:tt,version:tt.version,fileWatcher:Gt})}else N.set(ii,!1);return tt}return gr.sourceFile}function qr(Ct){const ii=N.get(Ct);ii!==void 0&&(_i(ii)?N.set(Ct,{version:!1}):ii.version=!1)}function Fs(Ct,ii){const vt=N.get(Ct);if(!vt)return;if(vt.version)return vt.version;const Ni=ii(Ct);return Ni!==void 0?QQ(We,Ni):void 0}function ko(Ct,ii,vt){const Ni=N.get(Ct.resolvedPath);Ni!==void 0&&(_i(Ni)?(R||(R=[])).push(Ct.path):Ni.sourceFile===Ct&&(Ni.fileWatcher&&Ni.fileWatcher.close(),N.delete(Ct.resolvedPath),vt||Nt.removeResolutionsOfFile(Ct.path)))}function ls(Ct){t.onWatchStatusChange&&t.onWatchStatusChange(Zl(Ct),qe,pe||X)}function Qs(){return Nt.hasChangedAutomaticTypeDirectiveNames()}function Mn(){return g?(t.clearTimeout(g),g=void 0,!0):!1}function Zs(){if(!t.setTimeout||!t.clearTimeout)return Nt.invalidateResolutionsOfFailedLookupLocations();const Ct=Mn();Oe(`Scheduling invalidateFailedLookup${Ct?", Cancelled earlier one":""}`),g=t.setTimeout(at,250,"timerToInvalidateFailedLookupResolutions")}function at(){g=void 0,Nt.invalidateResolutionsOfFailedLookupLocations()&&yi()}function yi(){!t.setTimeout||!t.clearTimeout||(p&&t.clearTimeout(p),Oe("Scheduling update"),p=t.setTimeout(dr,250,"timerToUpdateProgram"))}function Sn(){j.assert(!!q),a=2,yi()}function dr(){p=void 0,E=!0,us()}function us(){switch(a){case 1:Xr();break;case 2:Gs();break;default:zt();break}return Et()}function Xr(){Oe("Reloading new file names and options"),j.assert(pe),j.assert(q),a=0,oe=M7(pe.configFile.configFileSpecs,wo(ts(q),U),pe,De,Q),YX(oe,wo(q,U),pe.configFile.configFileSpecs,we,he)&&(Ae=!0),zt()}function Gs(){j.assert(q),Oe(`Reloading config file: ${q}`),a=0,ke&&ke.clearCache(),ma(),F=!0,zt(),gt(),Je(Pn(q),pe,de,Kd.ExtendedConfigFile)}function ma(){j.assert(q),ka(IH(q,X,De,T||(T=new Map),Z,Q))}function ka(Ct){oe=Ct.fileNames,pe=Ct.options,de=Ct.watchOptions,ue=Ct.projectReferences,Ie=Ct.wildcardDirectories,we=mD(Ct).slice(),he=O7(Ct.raw),Ae=!0}function Ji(Ct){const ii=Pn(Ct);let vt=C==null?void 0:C.get(ii);if(vt){if(!vt.updateLevel)return vt.parsedCommandLine;if(vt.parsedCommandLine&&vt.updateLevel===1&&!t.getParsedCommandLine){Oe("Reloading new file names and options"),j.assert(pe);const ji=M7(vt.parsedCommandLine.options.configFile.configFileSpecs,wo(ts(Ct),U),pe,De);return vt.parsedCommandLine={...vt.parsedCommandLine,fileNames:ji},vt.updateLevel=void 0,vt.parsedCommandLine}}Oe(`Loading config file: ${Ct}`);const Ni=t.getParsedCommandLine?t.getParsedCommandLine(Ct):ac(Ct);return vt?(vt.parsedCommandLine=Ni,vt.updateLevel=void 0):(C||(C=new Map)).set(ii,vt={parsedCommandLine:Ni}),Rt(Ct,ii,vt),Ni}function ac(Ct){const ii=De.onUnRecoverableConfigFileDiagnostic;De.onUnRecoverableConfigFileDiagnostic=Tc;const vt=IH(Ct,void 0,De,T||(T=new Map),Z);return De.onUnRecoverableConfigFileDiagnostic=ii,vt}function St(Ct){var ii;const vt=Pn(Ct),Ni=C==null?void 0:C.get(vt);Ni&&(C.delete(vt),Ni.watchedDirectories&&X_(Ni.watchedDirectories,Zm),(ii=Ni.watcher)==null||ii.close(),sde(vt,b))}function ri(Ct,ii,vt,Ni,ji,gr){return se(ii,(te,tt)=>vt(te,tt,Ct),Ni,ji,gr)}function xe(Ct,ii,vt){pt(Ct,vt,ii),ii===2&&N.has(vt)&&Nt.invalidateResolutionOfFile(vt),qr(vt),yi()}function pt(Ct,ii,vt){ke&&ke.addOrDeleteFile(Ct,ii,vt)}function Se(Ct,ii){return C!=null&&C.has(Ct)?t5:ri(Ct,ii,Ze,500,de,Kd.MissingFile)}function Ze(Ct,ii,vt){pt(Ct,vt,ii),ii===0&&u.has(vt)&&(u.get(vt).close(),u.delete(vt),qr(vt),yi())}function gt(){GH(h||(h=new Map),Ie,Dt)}function Dt(Ct,ii){return Ne(Ct,vt=>{j.assert(q),j.assert(pe);const Ni=Pn(vt);ke&&ke.addOrDeleteFileOrDirectory(vt,Ni),qr(Ni),!KH({watchedDirPath:Pn(Ct),fileOrDirectory:vt,fileOrDirectoryPath:Ni,configFileName:q,extraFileExtensions:Q,options:pe,program:Et()||oe,currentDirectory:U,useCaseSensitiveFileNames:H,writeLog:Oe,toPath:Pn})&&a!==2&&(a=1,yi())},ii,de,Kd.WildcardDirectory)}function Je(Ct,ii,vt,Ni){NQ(Ct,ii,b||(b=new Map),(ji,gr)=>se(ji,(te,tt)=>{var Gt;pt(ji,gr,tt),T&&LQ(T,gr,Pn);const le=(Gt=b.get(gr))==null?void 0:Gt.projects;le!=null&&le.size&&le.forEach(Ue=>{if(q&&Pn(q)===Ue)a=2;else{const be=C==null?void 0:C.get(Ue);be&&(be.updateLevel=2),Nt.removeResolutionsFromProjectReferenceRedirects(Ue)}yi()})},2e3,vt,Ni),Pn)}function Rt(Ct,ii,vt){var Ni,ji,gr,te;vt.watcher||(vt.watcher=se(Ct,(tt,Gt)=>{pt(Ct,ii,Gt);const le=C==null?void 0:C.get(ii);le&&(le.updateLevel=2),Nt.removeResolutionsFromProjectReferenceRedirects(ii),yi()},2e3,((Ni=vt.parsedCommandLine)==null?void 0:Ni.watchOptions)||de,Kd.ConfigFileOfReferencedProject)),GH(vt.watchedDirectories||(vt.watchedDirectories=new Map),(ji=vt.parsedCommandLine)==null?void 0:ji.wildcardDirectories,(tt,Gt)=>{var le;return Ne(tt,Ue=>{const be=Pn(Ue);ke&&ke.addOrDeleteFileOrDirectory(Ue,be),qr(be);const Qt=C==null?void 0:C.get(ii);Qt!=null&&Qt.parsedCommandLine&&(KH({watchedDirPath:Pn(tt),fileOrDirectory:Ue,fileOrDirectoryPath:be,configFileName:Ct,options:Qt.parsedCommandLine.options,program:Qt.parsedCommandLine.fileNames,currentDirectory:U,useCaseSensitiveFileNames:H,writeLog:Oe,toPath:Pn})||Qt.updateLevel!==2&&(Qt.updateLevel=1,yi()))},Gt,((le=vt.parsedCommandLine)==null?void 0:le.watchOptions)||de,Kd.WildcardDirectoryOfReferencedProject)}),Je(ii,(gr=vt.parsedCommandLine)==null?void 0:gr.options,((te=vt.parsedCommandLine)==null?void 0:te.watchOptions)||de,Kd.ExtendedConfigOfReferencedProject)}}var oPe=(t=>(t[t.Unbuildable=0]="Unbuildable",t[t.UpToDate=1]="UpToDate",t[t.UpToDateWithUpstreamTypes=2]="UpToDateWithUpstreamTypes",t[t.OutputMissing=3]="OutputMissing",t[t.ErrorReadingFile=4]="ErrorReadingFile",t[t.OutOfDateWithSelf=5]="OutOfDateWithSelf",t[t.OutOfDateWithUpstream=6]="OutOfDateWithUpstream",t[t.OutOfDateBuildInfoWithPendingEmit=7]="OutOfDateBuildInfoWithPendingEmit",t[t.OutOfDateBuildInfoWithErrors=8]="OutOfDateBuildInfoWithErrors",t[t.OutOfDateOptions=9]="OutOfDateOptions",t[t.OutOfDateRoots=10]="OutOfDateRoots",t[t.UpstreamOutOfDate=11]="UpstreamOutOfDate",t[t.UpstreamBlocked=12]="UpstreamBlocked",t[t.ComputingUpstream=13]="ComputingUpstream",t[t.TsVersionOutputOfDate=14]="TsVersionOutputOfDate",t[t.UpToDateWithInputFileText=15]="UpToDateWithInputFileText",t[t.ContainerOnly=16]="ContainerOnly",t[t.ForceBuild=17]="ForceBuild",t))(oPe||{});function Qde(t){return Xl(t,".json")?t:zr(t,"tsconfig.json")}var I8t=new Date(-864e13);function N8t(t,r,a){const u=t.get(r);let h;return u||(h=a(),t.set(r,h)),u||h}function aPe(t,r){return N8t(t,r,()=>new Map)}function Zde(t){return t.now?t.now():new Date}function cA(t){return!!t&&!!t.buildOrder}function nj(t){return cA(t)?t.buildOrder:t}function tZ(t,r){return a=>{let u=r?`[${pD(ij(t),"\x1B[90m")}] `:`${ij(t)} - `;u+=`${$1(a.messageText,t.newLine)}${t.newLine+t.newLine}`,t.write(u)}}function btt(t,r,a,u){const h=Jde(t,r);return h.getModifiedTime=t.getModifiedTime?p=>t.getModifiedTime(p):IT,h.setModifiedTime=t.setModifiedTime?(p,g)=>t.setModifiedTime(p,g):Tc,h.deleteFile=t.deleteFile?p=>t.deleteFile(p):Tc,h.reportDiagnostic=a||aA(t),h.reportSolutionBuilderStatus=u||tZ(t),h.now=to(t,t.now),h}function cPe(t=Pd,r,a,u,h){const p=btt(t,r,a,u);return p.reportErrorSummary=h,p}function lPe(t=Pd,r,a,u,h){const p=btt(t,r,a,u),g=Ude(t,h);return wg(p,g),p}function L8t(t){const r={};return jX.forEach(a=>{Li(t,a.name)&&(r[a.name]=t[a.name])}),r.tscBuild=!0,r}function uPe(t,r,a){return Htt(!1,t,r,a)}function dPe(t,r,a,u){return Htt(!0,t,r,a,u)}function P8t(t,r,a,u,h){const p=r,g=r,C=L8t(u),b=$de(p,()=>U.projectCompilerOptions);ZQ(b),b.getParsedCommandLine=q=>G3(U,q,qy(U,q)),b.resolveModuleNameLiterals=to(p,p.resolveModuleNameLiterals),b.resolveTypeReferenceDirectiveReferences=to(p,p.resolveTypeReferenceDirectiveReferences),b.resolveLibrary=to(p,p.resolveLibrary),b.resolveModuleNames=to(p,p.resolveModuleNames),b.resolveTypeReferenceDirectives=to(p,p.resolveTypeReferenceDirectives),b.getModuleResolutionCache=to(p,p.getModuleResolutionCache);let T,E;!b.resolveModuleNameLiterals&&!b.resolveModuleNames&&(T=R3(b.getCurrentDirectory(),b.getCanonicalFileName),b.resolveModuleNameLiterals=(q,X,Z,Q,re)=>QH(q,X,Z,Q,re,p,T,gde),b.getModuleResolutionCache=()=>T),!b.resolveTypeReferenceDirectiveReferences&&!b.resolveTypeReferenceDirectives&&(E=sQ(b.getCurrentDirectory(),b.getCanonicalFileName,void 0,T==null?void 0:T.getPackageJsonInfoCache(),T==null?void 0:T.optionsToRedirectsKey),b.resolveTypeReferenceDirectiveReferences=(q,X,Z,Q,re)=>QH(q,X,Z,Q,re,p,E,MQ));let N;b.resolveLibrary||(N=R3(b.getCurrentDirectory(),b.getCanonicalFileName,void 0,T==null?void 0:T.getPackageJsonInfoCache()),b.resolveLibrary=(q,X,Z)=>oQ(q,X,Z,p,N)),b.getBuildInfo=(q,X)=>Ptt(U,q,qy(U,X),void 0);const{watchFile:R,watchDirectory:F,writeLog:H}=qde(g,u),U={host:p,hostWithWatch:g,parseConfigFileHost:HQ(p),write:to(p,p.trace),options:u,baseCompilerOptions:C,rootNames:a,baseWatchOptions:h,resolvedConfigFilePaths:new Map,configFileCache:new Map,projectStatus:new Map,extendedConfigCache:new Map,buildInfoCache:new Map,outputTimeStamps:new Map,builderPrograms:new Map,diagnostics:new Map,projectPendingBuild:new Map,projectErrorsReported:new Map,compilerHost:b,moduleResolutionCache:T,typeReferenceDirectiveResolutionCache:E,libraryResolutionCache:N,buildOrder:void 0,readFileWithCache:q=>p.readFile(q),projectCompilerOptions:C,cache:void 0,allProjectBuildPending:!0,needsSummary:!0,watchAllProjectsPending:t,watch:t,allWatchedWildcardDirectories:new Map,allWatchedInputFiles:new Map,allWatchedConfigFiles:new Map,allWatchedExtendedConfigFiles:new Map,allWatchedPackageJsonFiles:new Map,filesWatched:new Map,lastCachedPackageJsonLookups:new Map,timerToBuildInvalidatedProject:void 0,reportFileChangeDetected:!1,watchFile:R,watchDirectory:F,writeLog:H};return U}function f0(t,r){return ol(r,t.compilerHost.getCurrentDirectory(),t.compilerHost.getCanonicalFileName)}function qy(t,r){const{resolvedConfigFilePaths:a}=t,u=a.get(r);if(u!==void 0)return u;const h=f0(t,r);return a.set(r,h),h}function Ctt(t){return!!t.options}function A8t(t,r){const a=t.configFileCache.get(r);return a&&Ctt(a)?a:void 0}function G3(t,r,a){const{configFileCache:u}=t,h=u.get(a);if(h)return Ctt(h)?h:void 0;ou("SolutionBuilder::beforeConfigFileParsing");let p;const{parseConfigFileHost:g,baseCompilerOptions:C,baseWatchOptions:b,extendedConfigCache:T,host:E}=t;let N;return E.getParsedCommandLine?(N=E.getParsedCommandLine(r),N||(p=Zl(k.File_0_not_found,r))):(g.onUnRecoverableConfigFileDiagnostic=R=>p=R,N=IH(r,C,g,T,b),g.onUnRecoverableConfigFileDiagnostic=Tc),u.set(a,N||p),ou("SolutionBuilder::afterConfigFileParsing"),Wm("SolutionBuilder::Config file parsing","SolutionBuilder::beforeConfigFileParsing","SolutionBuilder::afterConfigFileParsing"),N}function rj(t,r){return Qde(Ok(t.compilerHost.getCurrentDirectory(),r))}function Stt(t,r){const a=new Map,u=new Map,h=[];let p,g;for(const b of r)C(b);return g?{buildOrder:p||w,circularDiagnostics:g}:p||w;function C(b,T){const E=qy(t,b);if(u.has(E))return;if(a.has(E)){T||(g||(g=[])).push(Zl(k.Project_references_may_not_form_a_circular_graph_Cycle_detected_Colon_0,h.join(`\r
+`)));return}a.set(E,!0),h.push(b);const N=G3(t,b,E);if(N&&N.projectReferences)for(const R of N.projectReferences){const F=rj(t,R.path);C(F,T||R.circular)}h.pop(),u.set(E,!0),(p||(p=[])).push(b)}}function iZ(t){return t.buildOrder||O8t(t)}function O8t(t){const r=Stt(t,t.rootNames.map(h=>rj(t,h)));t.resolvedConfigFilePaths.clear();const a=new Set(nj(r).map(h=>qy(t,h))),u={onDeleteValue:Tc};return Hv(t.configFileCache,a,u),Hv(t.projectStatus,a,u),Hv(t.builderPrograms,a,u),Hv(t.diagnostics,a,u),Hv(t.projectPendingBuild,a,u),Hv(t.projectErrorsReported,a,u),Hv(t.buildInfoCache,a,u),Hv(t.outputTimeStamps,a,u),Hv(t.lastCachedPackageJsonLookups,a,u),t.watch&&(Hv(t.allWatchedConfigFiles,a,{onDeleteValue:d0}),t.allWatchedExtendedConfigFiles.forEach(h=>{h.projects.forEach(p=>{a.has(p)||h.projects.delete(p)}),h.close()}),Hv(t.allWatchedWildcardDirectories,a,{onDeleteValue:h=>h.forEach(Zm)}),Hv(t.allWatchedInputFiles,a,{onDeleteValue:h=>h.forEach(d0)}),Hv(t.allWatchedPackageJsonFiles,a,{onDeleteValue:h=>h.forEach(d0)})),t.buildOrder=r}function wtt(t,r,a){const u=r&&rj(t,r),h=iZ(t);if(cA(h))return h;if(u){const g=qy(t,u);if(ct(h,b=>qy(t,b)===g)===-1)return}const p=u?Stt(t,[u]):h;return j.assert(!cA(p)),j.assert(!a||u!==void 0),j.assert(!a||p[p.length-1]===u),a?p.slice(0,p.length-1):p}function xtt(t){t.cache&&fPe(t);const{compilerHost:r,host:a}=t,u=t.readFileWithCache,h=r.getSourceFile,{originalReadFile:p,originalFileExists:g,originalDirectoryExists:C,originalCreateDirectory:b,originalWriteFile:T,getSourceFileWithCache:E,readFileWithCache:N}=Q7(a,R=>f0(t,R),(...R)=>h.call(r,...R));t.readFileWithCache=N,r.getSourceFile=E,t.cache={originalReadFile:p,originalFileExists:g,originalDirectoryExists:C,originalCreateDirectory:b,originalWriteFile:T,originalReadFileWithCache:u,originalGetSourceFile:h}}function fPe(t){if(!t.cache)return;const{cache:r,host:a,compilerHost:u,extendedConfigCache:h,moduleResolutionCache:p,typeReferenceDirectiveResolutionCache:g,libraryResolutionCache:C}=t;a.readFile=r.originalReadFile,a.fileExists=r.originalFileExists,a.directoryExists=r.originalDirectoryExists,a.createDirectory=r.originalCreateDirectory,a.writeFile=r.originalWriteFile,u.getSourceFile=r.originalGetSourceFile,t.readFileWithCache=r.originalReadFileWithCache,h.clear(),p==null||p.clear(),g==null||g.clear(),C==null||C.clear(),t.cache=void 0}function ktt(t,r){t.projectStatus.delete(r),t.diagnostics.delete(r)}function Ttt({projectPendingBuild:t},r,a){const u=t.get(r);(u===void 0||u<a)&&t.set(r,a)}function Dtt(t,r){if(!t.allProjectBuildPending)return;t.allProjectBuildPending=!1,t.options.watch&&CPe(t,k.Starting_compilation_in_watch_mode),xtt(t),nj(iZ(t)).forEach(u=>t.projectPendingBuild.set(qy(t,u),0)),r&&r.throwIfCancellationRequested()}var hPe=(t=>(t[t.Build=0]="Build",t[t.UpdateOutputFileStamps=1]="UpdateOutputFileStamps",t))(hPe||{});function Ett(t,r){return t.projectPendingBuild.delete(r),t.diagnostics.has(r)?1:0}function M8t(t,r,a,u,h){let p=!0;return{kind:1,project:r,projectPath:a,buildOrder:h,getCompilerOptions:()=>u.options,getCurrentDirectory:()=>t.compilerHost.getCurrentDirectory(),updateOutputFileStatmps:()=>{Ott(t,u,a),p=!1},done:()=>(p&&Ott(t,u,a),ou("SolutionBuilder::Timestamps only updates"),Ett(t,a))}}function R8t(t,r,a,u,h,p,g){let C=0,b,T;return{kind:0,project:r,projectPath:a,buildOrder:g,getCompilerOptions:()=>h.options,getCurrentDirectory:()=>t.compilerHost.getCurrentDirectory(),getBuilderProgram:()=>N(hl),getProgram:()=>N(q=>q.getProgramOrUndefined()),getSourceFile:q=>N(X=>X.getSourceFile(q)),getSourceFiles:()=>R(q=>q.getSourceFiles()),getOptionsDiagnostics:q=>R(X=>X.getOptionsDiagnostics(q)),getGlobalDiagnostics:q=>R(X=>X.getGlobalDiagnostics(q)),getConfigFileParsingDiagnostics:()=>R(q=>q.getConfigFileParsingDiagnostics()),getSyntacticDiagnostics:(q,X)=>R(Z=>Z.getSyntacticDiagnostics(q,X)),getAllDependencies:q=>R(X=>X.getAllDependencies(q)),getSemanticDiagnostics:(q,X)=>R(Z=>Z.getSemanticDiagnostics(q,X)),getSemanticDiagnosticsOfNextAffectedFile:(q,X)=>N(Z=>Z.getSemanticDiagnosticsOfNextAffectedFile&&Z.getSemanticDiagnosticsOfNextAffectedFile(q,X)),emit:(q,X,Z,Q,re)=>q||Q?N(oe=>{var pe,de;return oe.emit(q,X,Z,Q,re||((de=(pe=t.host).getCustomTransformers)==null?void 0:de.call(pe,r)))}):(U(0,Z),H(X,Z,re)),done:E};function E(q,X,Z){return U(3,q,X,Z),ou("SolutionBuilder::Projects built"),Ett(t,a)}function N(q){return U(0),b&&q(b)}function R(q){return N(q)||w}function F(){var q,X,Z;if(j.assert(b===void 0),t.options.dry){Ep(t,k.A_non_dry_build_would_build_project_0,r),T=1,C=2;return}if(t.options.verbose&&Ep(t,k.Building_project_0,r),h.fileNames.length===0){sj(t,a,mD(h)),T=0,C=2;return}const{host:Q,compilerHost:re}=t;if(t.projectCompilerOptions=h.options,(q=t.moduleResolutionCache)==null||q.update(h.options),(X=t.typeReferenceDirectiveResolutionCache)==null||X.update(h.options),b=Q.createProgram(h.fileNames,h.options,re,F8t(t,a,h),mD(h),h.projectReferences),t.watch){const oe=(Z=t.moduleResolutionCache)==null?void 0:Z.getPackageJsonInfoCache().getInternalMap();t.lastCachedPackageJsonLookups.set(a,oe&&new Set(as(oe.values(),pe=>t.host.realpath&&(rQ(pe)||pe.directoryExists)?t.host.realpath(zr(pe.packageDirectory,"package.json")):zr(pe.packageDirectory,"package.json")))),t.builderPrograms.set(a,b)}C++}function H(q,X,Z){var Q,re,oe;j.assertIsDefined(b),j.assert(C===1);const{host:pe,compilerHost:de}=t,ue=new Map,Ie=b.getCompilerOptions(),we=QT(Ie);let he,Ae;const{emitResult:ke,diagnostics:Te}=XQ(b,De=>pe.reportDiagnostic(De),t.write,void 0,(De,qe,se,Ne,Oe,He)=>{var Pe;const We=f0(t,De);if(ue.set(f0(t,De),De),He!=null&&He.buildInfo){Ae||(Ae=Zde(t.host));const Nt=(Pe=b.hasChangedEmitSignature)==null?void 0:Pe.call(b),$e=tfe(t,De,a);$e?($e.buildInfo=He.buildInfo,$e.modifiedTime=Ae,Nt&&($e.latestChangedDtsTime=Ae)):t.buildInfoCache.set(a,{path:f0(t,De),buildInfo:He.buildInfo,modifiedTime:Ae,latestChangedDtsTime:Nt?Ae:void 0})}const ze=He!=null&&He.differsOnlyInMap?XL(t.host,De):void 0;(q||de.writeFile)(De,qe,se,Ne,Oe,He),He!=null&&He.differsOnlyInMap?t.host.setModifiedTime(De,ze):!we&&t.watch&&(he||(he=pPe(t,a))).set(We,Ae||(Ae=Zde(t.host)))},X,void 0,Z||((re=(Q=t.host).getCustomTransformers)==null?void 0:re.call(Q,r)));return(!Ie.noEmitOnError||!Te.length)&&(ue.size||p.type!==8)&&Att(t,h,a,k.Updating_unchanged_output_timestamps_of_project_0,ue),t.projectErrorsReported.set(a,!0),T=(oe=b.hasChangedEmitSignature)!=null&&oe.call(b)?0:2,Te.length?(t.diagnostics.set(a,Te),t.projectStatus.set(a,{type:0,reason:"it had errors"}),T|=4):(t.diagnostics.delete(a),t.projectStatus.set(a,{type:1,oldestOutputFileName:k_(ue.values())??ede(h,!pe.useCaseSensitiveFileNames())})),B8t(t,b),C=2,ke}function U(q,X,Z,Q){for(;C<=q&&C<3;){const re=C;switch(C){case 0:F();break;case 1:H(Z,X,Q);break;case 2:j8t(t,r,a,u,h,g,j.checkDefined(T)),C++;break}j.assert(C>re)}}}function Itt(t,r,a){if(!t.projectPendingBuild.size||cA(r))return;const{options:u,projectPendingBuild:h}=t;for(let p=0;p<r.length;p++){const g=r[p],C=qy(t,g),b=t.projectPendingBuild.get(C);if(b===void 0)continue;a&&(a=!1,Utt(t,r));const T=G3(t,g,C);if(!T){jtt(t,C),h.delete(C);continue}b===2?(Btt(t,g,C,T),Wtt(t,C,T),Vtt(t,g,C,T),vPe(t,g,C,T),bPe(t,g,C,T)):b===1&&(T.fileNames=M7(T.options.configFile.configFileSpecs,ts(g),T.options,t.parseConfigFileHost),YX(T.fileNames,g,T.options.configFile.configFileSpecs,T.errors,O7(T.raw)),vPe(t,g,C,T),bPe(t,g,C,T));const E=gPe(t,T,C);if(!u.force){if(E.type===1){nfe(t,g,E),sj(t,C,mD(T)),h.delete(C),u.dry&&Ep(t,k.Project_0_is_up_to_date,g);continue}if(E.type===2||E.type===15)return sj(t,C,mD(T)),{kind:1,status:E,project:g,projectPath:C,projectIndex:p,config:T}}if(E.type===12){nfe(t,g,E),sj(t,C,mD(T)),h.delete(C),u.verbose&&Ep(t,E.upstreamProjectBlocked?k.Skipping_build_of_project_0_because_its_dependency_1_was_not_built:k.Skipping_build_of_project_0_because_its_dependency_1_has_errors,g,E.upstreamProjectName);continue}if(E.type===16){nfe(t,g,E),sj(t,C,mD(T)),h.delete(C);continue}return{kind:0,status:E,project:g,projectPath:C,projectIndex:p,config:T}}}function Ntt(t,r,a){return nfe(t,r.project,r.status),r.kind!==1?R8t(t,r.project,r.projectPath,r.projectIndex,r.config,r.status,a):M8t(t,r.project,r.projectPath,r.config,a)}function _Pe(t,r,a){const u=Itt(t,r,a);return u&&Ntt(t,u,r)}function F8t({options:t,builderPrograms:r,compilerHost:a},u,h){if(t.force)return;const p=r.get(u);return p||YQ(h.options,a)}function B8t(t,r){r&&(t.host.afterProgramEmitAndDiagnostics&&t.host.afterProgramEmitAndDiagnostics(r),r.releaseProgram()),t.projectCompilerOptions=t.baseCompilerOptions}function Yde(t){return!!t.watcher}function Ltt(t,r){const a=f0(t,r),u=t.filesWatched.get(a);if(t.watch&&u){if(!Yde(u))return u;if(u.modifiedTime)return u.modifiedTime}const h=XL(t.host,r);return t.watch&&(u?u.modifiedTime=h:t.filesWatched.set(a,h)),h}function efe(t,r,a,u,h,p,g){const C=f0(t,r),b=t.filesWatched.get(C);if(b&&Yde(b))b.callbacks.push(a);else{const T=t.watchFile(r,(E,N,R)=>{const F=j.checkDefined(t.filesWatched.get(C));j.assert(Yde(F)),F.modifiedTime=R,F.callbacks.forEach(H=>H(E,N,R))},u,h,p,g);t.filesWatched.set(C,{callbacks:[a],watcher:T,modifiedTime:b})}return{close:()=>{const T=j.checkDefined(t.filesWatched.get(C));j.assert(Yde(T)),T.callbacks.length===1?(t.filesWatched.delete(C),Zm(T)):GL(T.callbacks,a)}}}function pPe(t,r){if(!t.watch)return;let a=t.outputTimeStamps.get(r);return a||t.outputTimeStamps.set(r,a=new Map),a}function tfe(t,r,a){const u=f0(t,r),h=t.buildInfoCache.get(a);return(h==null?void 0:h.path)===u?h:void 0}function Ptt(t,r,a,u){const h=f0(t,r),p=t.buildInfoCache.get(a);if(p!==void 0&&p.path===h)return p.buildInfo||void 0;const g=t.readFileWithCache(r),C=g?nde(r,g):void 0;return t.buildInfoCache.set(a,{path:h,buildInfo:C||!1,modifiedTime:u||gp}),C}function mPe(t,r,a,u){const h=Ltt(t,r);if(a<h)return{type:5,outOfDateOutputFileName:u,newerInputFileName:r}}function W8t(t,r,a){var u,h,p,g,C;if(!r.fileNames.length&&!O7(r.raw))return{type:16};let b;const T=!!t.options.force;if(r.projectReferences){t.projectStatus.set(a,{type:13});for(const Te of r.projectReferences){const De=q3(Te),qe=qy(t,De),se=G3(t,De,qe),Ne=gPe(t,se,qe);if(!(Ne.type===13||Ne.type===16)){if(t.options.stopBuildOnErrors&&(Ne.type===0||Ne.type===12))return{type:12,upstreamProjectName:Te.path,upstreamProjectBlocked:Ne.type===12};T||(b||(b=[])).push({ref:Te,refStatus:Ne,resolvedRefPath:qe,resolvedConfig:se})}}}if(T)return{type:17};const{host:E}=t,N=h2(r.options),R=QT(r.options);let F=tfe(t,N,a);const H=(F==null?void 0:F.modifiedTime)||XL(E,N);if(H===gp)return F||t.buildInfoCache.set(a,{path:f0(t,N),buildInfo:!1,modifiedTime:H}),{type:3,missingOutputFileName:N};const U=Ptt(t,N,a,H);if(!U)return{type:4,fileName:N};const q=R&&tj(U)?U:void 0;if((q||!R)&&U.version!==v)return{type:14,version:U.version};if(!r.options.noCheck&&(U.errors||U.checkPending))return{type:8,buildInfoFile:N};if(q){if(!r.options.noCheck&&((u=q.changeFileSet)!=null&&u.length||(h=q.semanticDiagnosticsPerFile)!=null&&h.length||Q_(r.options)&&((p=q.emitDiagnosticsPerFile)!=null&&p.length)))return{type:8,buildInfoFile:N};if(!r.options.noEmit&&((g=q.changeFileSet)!=null&&g.length||(C=q.affectedFilesPendingEmit)!=null&&C.length||q.pendingEmit!==void 0))return{type:7,buildInfoFile:N};if((!r.options.noEmit||r.options.noEmit&&Q_(r.options))&&zQ(r.options,q.options||{},void 0,!!r.options.noEmit))return{type:9,buildInfoFile:N}}let X=H,Z=N,Q,re=I8t,oe=!1;const pe=new Set;let de;for(const Te of r.fileNames){const De=Ltt(t,Te);if(De===gp)return{type:0,reason:`${Te} does not exist`};const qe=f0(t,Te);if(H<De){let se,Ne;if(q){de||(de=Ide(q,N,E));const Oe=de.roots.get(qe);se=de.fileInfos.get(Oe??qe);const He=se?t.readFileWithCache(Oe??Te):void 0;Ne=He!==void 0?QQ(E,He):void 0,se&&se===Ne&&(oe=!0)}if(!se||se!==Ne)return{type:5,outOfDateOutputFileName:N,newerInputFileName:Te}}De>re&&(Q=Te,re=De),pe.add(qe)}let ue;if(q?(de||(de=Ide(q,N,E)),ue=cf(de.roots,(Te,De)=>pe.has(De)?void 0:De)):ue=O(KLe(U,N,E),Te=>pe.has(Te)?void 0:Te),ue)return{type:10,buildInfoFile:N,inputFile:ue};if(!R){const Te=EQ(r,!E.useCaseSensitiveFileNames()),De=pPe(t,a);for(const qe of Te){if(qe===N)continue;const se=f0(t,qe);let Ne=De==null?void 0:De.get(se);if(Ne||(Ne=XL(t.host,qe),De==null||De.set(se,Ne)),Ne===gp)return{type:3,missingOutputFileName:qe};if(Ne<re)return{type:5,outOfDateOutputFileName:qe,newerInputFileName:Q};Ne<X&&(X=Ne,Z=qe)}}let Ie=!1;if(b)for(const{ref:Te,refStatus:De,resolvedConfig:qe,resolvedRefPath:se}of b){if(De.newestInputFileTime&&De.newestInputFileTime<=X)continue;if(V8t(t,F??(F=t.buildInfoCache.get(a)),se))return{type:6,outOfDateOutputFileName:N,newerProjectName:Te.path};const Ne=H8t(t,qe.options,se);if(Ne&&Ne<=X){Ie=!0;continue}return j.assert(Z!==void 0,"Should have an oldest output filename here"),{type:6,outOfDateOutputFileName:Z,newerProjectName:Te.path}}const we=mPe(t,r.options.configFilePath,X,Z);if(we)return we;const he=O(r.options.configFile.extendedSourceFiles||w,Te=>mPe(t,Te,X,Z));if(he)return he;const Ae=t.lastCachedPackageJsonLookups.get(a),ke=Ae&&qb(Ae,Te=>mPe(t,Te,X,Z));return ke||{type:Ie?2:oe?15:1,newestInputFileTime:re,newestInputFileName:Q,oldestOutputFileName:Z}}function V8t(t,r,a){return t.buildInfoCache.get(a).path===r.path}function gPe(t,r,a){if(r===void 0)return{type:0,reason:"config file deleted mid-build"};const u=t.projectStatus.get(a);if(u!==void 0)return u;ou("SolutionBuilder::beforeUpToDateCheck");const h=W8t(t,r,a);return ou("SolutionBuilder::afterUpToDateCheck"),Wm("SolutionBuilder::Up-to-date check","SolutionBuilder::beforeUpToDateCheck","SolutionBuilder::afterUpToDateCheck"),t.projectStatus.set(a,h),h}function Att(t,r,a,u,h){if(r.options.noEmit)return;let p;const g=h2(r.options),C=QT(r.options);if(g&&C){h!=null&&h.has(f0(t,g))||(t.options.verbose&&Ep(t,u,r.options.configFilePath),t.host.setModifiedTime(g,p=Zde(t.host)),tfe(t,g,a).modifiedTime=p),t.outputTimeStamps.delete(a);return}const{host:b}=t,T=EQ(r,!b.useCaseSensitiveFileNames()),E=pPe(t,a),N=E?new Set:void 0;if(!h||T.length!==h.size){let R=!!t.options.verbose;for(const F of T){const H=f0(t,F);h!=null&&h.has(H)||(R&&(R=!1,Ep(t,u,r.options.configFilePath)),b.setModifiedTime(F,p||(p=Zde(t.host))),F===g?tfe(t,g,a).modifiedTime=p:E&&(E.set(H,p),N.add(H)))}}E==null||E.forEach((R,F)=>{!(h!=null&&h.has(F))&&!N.has(F)&&E.delete(F)})}function H8t(t,r,a){if(!r.composite)return;const u=j.checkDefined(t.buildInfoCache.get(a));if(u.latestChangedDtsTime!==void 0)return u.latestChangedDtsTime||void 0;const h=u.buildInfo&&tj(u.buildInfo)&&u.buildInfo.latestChangedDtsFile?t.host.getModifiedTime(wo(u.buildInfo.latestChangedDtsFile,ts(u.path))):void 0;return u.latestChangedDtsTime=h||!1,h}function Ott(t,r,a){if(t.options.dry)return Ep(t,k.A_non_dry_build_would_update_timestamps_for_output_of_project_0,r.options.configFilePath);Att(t,r,a,k.Updating_output_timestamps_of_project_0),t.projectStatus.set(a,{type:1,oldestOutputFileName:ede(r,!t.host.useCaseSensitiveFileNames())})}function j8t(t,r,a,u,h,p,g){if(!(t.options.stopBuildOnErrors&&g&4)&&h.options.composite)for(let C=u+1;C<p.length;C++){const b=p[C],T=qy(t,b);if(t.projectPendingBuild.has(T))continue;const E=G3(t,b,T);if(!(!E||!E.projectReferences))for(const N of E.projectReferences){const R=rj(t,N.path);if(qy(t,R)!==a)continue;const F=t.projectStatus.get(T);if(F)switch(F.type){case 1:if(g&2){F.type=2;break}case 15:case 2:g&2||t.projectStatus.set(T,{type:6,outOfDateOutputFileName:F.oldestOutputFileName,newerProjectName:r});break;case 12:qy(t,rj(t,F.upstreamProjectName))===a&&ktt(t,T);break}Ttt(t,T,0);break}}}function Mtt(t,r,a,u,h,p){ou("SolutionBuilder::beforeBuild");const g=z8t(t,r,a,u,h,p);return ou("SolutionBuilder::afterBuild"),Wm("SolutionBuilder::Build","SolutionBuilder::beforeBuild","SolutionBuilder::afterBuild"),g}function z8t(t,r,a,u,h,p){const g=wtt(t,r,p);if(!g)return 3;Dtt(t,a);let C=!0,b=0;for(;;){const T=_Pe(t,g,C);if(!T)break;C=!1,T.done(a,u,h==null?void 0:h(T.project)),t.diagnostics.has(T.projectPath)||b++}return fPe(t),ztt(t,g),J8t(t,g),cA(g)?4:g.some(T=>t.diagnostics.has(qy(t,T)))?b?2:1:0}function Rtt(t,r,a){ou("SolutionBuilder::beforeClean");const u=U8t(t,r,a);return ou("SolutionBuilder::afterClean"),Wm("SolutionBuilder::Clean","SolutionBuilder::beforeClean","SolutionBuilder::afterClean"),u}function U8t(t,r,a){const u=wtt(t,r,a);if(!u)return 3;if(cA(u))return ife(t,u.circularDiagnostics),4;const{options:h,host:p}=t,g=h.dry?[]:void 0;for(const C of u){const b=qy(t,C),T=G3(t,C,b);if(T===void 0){jtt(t,b);continue}const E=EQ(T,!p.useCaseSensitiveFileNames());if(!E.length)continue;const N=new Set(T.fileNames.map(R=>f0(t,R)));for(const R of E)N.has(f0(t,R))||p.fileExists(R)&&(g?g.push(R):(p.deleteFile(R),yPe(t,b,0)))}return g&&Ep(t,k.A_non_dry_build_would_delete_the_following_files_Colon_0,g.map(C=>`\r
+ * ${C}`).join("")),0}function yPe(t,r,a){t.host.getParsedCommandLine&&a===1&&(a=2),a===2&&(t.configFileCache.delete(r),t.buildOrder=void 0),t.needsSummary=!0,ktt(t,r),Ttt(t,r,a),xtt(t)}function nZ(t,r,a){t.reportFileChangeDetected=!0,yPe(t,r,a),Ftt(t,250,!0)}function Ftt(t,r,a){const{hostWithWatch:u}=t;!u.setTimeout||!u.clearTimeout||(t.timerToBuildInvalidatedProject&&u.clearTimeout(t.timerToBuildInvalidatedProject),t.timerToBuildInvalidatedProject=u.setTimeout(q8t,r,"timerToBuildInvalidatedProject",t,a))}function q8t(t,r,a){ou("SolutionBuilder::beforeBuild");const u=$8t(r,a);ou("SolutionBuilder::afterBuild"),Wm("SolutionBuilder::Build","SolutionBuilder::beforeBuild","SolutionBuilder::afterBuild"),u&&ztt(r,u)}function $8t(t,r){t.timerToBuildInvalidatedProject=void 0,t.reportFileChangeDetected&&(t.reportFileChangeDetected=!1,t.projectErrorsReported.clear(),CPe(t,k.File_change_detected_Starting_incremental_compilation));let a=0;const u=iZ(t),h=_Pe(t,u,!1);if(h)for(h.done(),a++;t.projectPendingBuild.size;){if(t.timerToBuildInvalidatedProject)return;const p=Itt(t,u,!1);if(!p)break;if(p.kind!==1&&(r||a===5)){Ftt(t,100,!1);return}Ntt(t,p,u).done(),p.kind!==1&&a++}return fPe(t),u}function Btt(t,r,a,u){!t.watch||t.allWatchedConfigFiles.has(a)||t.allWatchedConfigFiles.set(a,efe(t,r,()=>nZ(t,a,2),2e3,u==null?void 0:u.watchOptions,Kd.ConfigFile,r))}function Wtt(t,r,a){NQ(r,a==null?void 0:a.options,t.allWatchedExtendedConfigFiles,(u,h)=>efe(t,u,()=>{var p;return(p=t.allWatchedExtendedConfigFiles.get(h))==null?void 0:p.projects.forEach(g=>nZ(t,g,2))},2e3,a==null?void 0:a.watchOptions,Kd.ExtendedConfigFile),u=>f0(t,u))}function Vtt(t,r,a,u){t.watch&&GH(aPe(t.allWatchedWildcardDirectories,a),u.wildcardDirectories,(h,p)=>t.watchDirectory(h,g=>{var C;KH({watchedDirPath:f0(t,h),fileOrDirectory:g,fileOrDirectoryPath:f0(t,g),configFileName:r,currentDirectory:t.compilerHost.getCurrentDirectory(),options:u.options,program:t.builderPrograms.get(a)||((C=A8t(t,a))==null?void 0:C.fileNames),useCaseSensitiveFileNames:t.parseConfigFileHost.useCaseSensitiveFileNames,writeLog:b=>t.writeLog(b),toPath:b=>f0(t,b)})||nZ(t,a,1)},p,u==null?void 0:u.watchOptions,Kd.WildcardDirectory,r))}function vPe(t,r,a,u){t.watch&&Q8(aPe(t.allWatchedInputFiles,a),new Set(u.fileNames),{createNewValue:h=>efe(t,h,()=>nZ(t,a,0),250,u==null?void 0:u.watchOptions,Kd.SourceFile,r),onDeleteValue:d0})}function bPe(t,r,a,u){!t.watch||!t.lastCachedPackageJsonLookups||Q8(aPe(t.allWatchedPackageJsonFiles,a),t.lastCachedPackageJsonLookups.get(a),{createNewValue:h=>efe(t,h,()=>nZ(t,a,0),2e3,u==null?void 0:u.watchOptions,Kd.PackageJson,r),onDeleteValue:d0})}function J8t(t,r){if(t.watchAllProjectsPending){ou("SolutionBuilder::beforeWatcherCreation"),t.watchAllProjectsPending=!1;for(const a of nj(r)){const u=qy(t,a),h=G3(t,a,u);Btt(t,a,u,h),Wtt(t,u,h),h&&(Vtt(t,a,u,h),vPe(t,a,u,h),bPe(t,a,u,h))}ou("SolutionBuilder::afterWatcherCreation"),Wm("SolutionBuilder::Watcher creation","SolutionBuilder::beforeWatcherCreation","SolutionBuilder::afterWatcherCreation")}}function G8t(t){X_(t.allWatchedConfigFiles,d0),X_(t.allWatchedExtendedConfigFiles,Zm),X_(t.allWatchedWildcardDirectories,r=>X_(r,Zm)),X_(t.allWatchedInputFiles,r=>X_(r,d0)),X_(t.allWatchedPackageJsonFiles,r=>X_(r,d0))}function Htt(t,r,a,u,h){const p=P8t(t,r,a,u,h);return{build:(g,C,b,T)=>Mtt(p,g,C,b,T),clean:g=>Rtt(p,g),buildReferences:(g,C,b,T)=>Mtt(p,g,C,b,T,!0),cleanReferences:g=>Rtt(p,g,!0),getNextInvalidatedProject:g=>(Dtt(p,g),_Pe(p,iZ(p),!1)),getBuildOrder:()=>iZ(p),getUpToDateStatusOfProject:g=>{const C=rj(p,g),b=qy(p,C);return gPe(p,G3(p,C,b),b)},invalidateProject:(g,C)=>yPe(p,g,C||0),close:()=>G8t(p)}}function Uf(t,r){return s8(r,t.compilerHost.getCurrentDirectory(),t.compilerHost.getCanonicalFileName)}function Ep(t,r,...a){t.host.reportSolutionBuilderStatus(Zl(r,...a))}function CPe(t,r,...a){var u,h;(h=(u=t.hostWithWatch).onWatchStatusChange)==null||h.call(u,Zl(r,...a),t.host.getNewLine(),t.baseCompilerOptions)}function ife({host:t},r){r.forEach(a=>t.reportDiagnostic(a))}function sj(t,r,a){ife(t,a),t.projectErrorsReported.set(r,!0),a.length&&t.diagnostics.set(r,a)}function jtt(t,r){sj(t,r,[t.configFileCache.get(r)])}function ztt(t,r){if(!t.needsSummary)return;t.needsSummary=!1;const a=t.watch||!!t.host.reportErrorSummary,{diagnostics:u}=t;let h=0,p=[];cA(r)?(Utt(t,r.buildOrder),ife(t,r.circularDiagnostics),a&&(h+=GQ(r.circularDiagnostics)),a&&(p=[...p,...KQ(r.circularDiagnostics)])):(r.forEach(g=>{const C=qy(t,g);t.projectErrorsReported.has(C)||ife(t,u.get(C)||w)}),a&&u.forEach(g=>h+=GQ(g)),a&&u.forEach(g=>[...p,...KQ(g)])),t.watch?CPe(t,Mde(h),h):t.host.reportErrorSummary&&t.host.reportErrorSummary(h,p)}function Utt(t,r){t.options.verbose&&Ep(t,k.Projects_in_this_build_Colon_0,r.map(a=>`\r
+ * `+Uf(t,a)).join(""))}function K8t(t,r,a){switch(a.type){case 5:return Ep(t,k.Project_0_is_out_of_date_because_output_1_is_older_than_input_2,Uf(t,r),Uf(t,a.outOfDateOutputFileName),Uf(t,a.newerInputFileName));case 6:return Ep(t,k.Project_0_is_out_of_date_because_output_1_is_older_than_input_2,Uf(t,r),Uf(t,a.outOfDateOutputFileName),Uf(t,a.newerProjectName));case 3:return Ep(t,k.Project_0_is_out_of_date_because_output_file_1_does_not_exist,Uf(t,r),Uf(t,a.missingOutputFileName));case 4:return Ep(t,k.Project_0_is_out_of_date_because_there_was_error_reading_file_1,Uf(t,r),Uf(t,a.fileName));case 7:return Ep(t,k.Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_some_of_the_changes_were_not_emitted,Uf(t,r),Uf(t,a.buildInfoFile));case 8:return Ep(t,k.Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_program_needs_to_report_errors,Uf(t,r),Uf(t,a.buildInfoFile));case 9:return Ep(t,k.Project_0_is_out_of_date_because_buildinfo_file_1_indicates_there_is_change_in_compilerOptions,Uf(t,r),Uf(t,a.buildInfoFile));case 10:return Ep(t,k.Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_file_2_was_root_file_of_compilation_but_not_any_more,Uf(t,r),Uf(t,a.buildInfoFile),Uf(t,a.inputFile));case 1:if(a.newestInputFileTime!==void 0)return Ep(t,k.Project_0_is_up_to_date_because_newest_input_1_is_older_than_output_2,Uf(t,r),Uf(t,a.newestInputFileName||""),Uf(t,a.oldestOutputFileName||""));break;case 2:return Ep(t,k.Project_0_is_up_to_date_with_d_ts_files_from_its_dependencies,Uf(t,r));case 15:return Ep(t,k.Project_0_is_up_to_date_but_needs_to_update_timestamps_of_output_files_that_are_older_than_input_files,Uf(t,r));case 11:return Ep(t,k.Project_0_is_out_of_date_because_its_dependency_1_is_out_of_date,Uf(t,r),Uf(t,a.upstreamProjectName));case 12:return Ep(t,a.upstreamProjectBlocked?k.Project_0_can_t_be_built_because_its_dependency_1_was_not_built:k.Project_0_can_t_be_built_because_its_dependency_1_has_errors,Uf(t,r),Uf(t,a.upstreamProjectName));case 0:return Ep(t,k.Project_0_is_out_of_date_because_1,Uf(t,r),a.reason);case 14:return Ep(t,k.Project_0_is_out_of_date_because_output_for_it_was_generated_with_version_1_that_differs_with_current_version_2,Uf(t,r),a.version,v);case 17:return Ep(t,k.Project_0_is_being_forcibly_rebuilt,Uf(t,r))}}function nfe(t,r,a){t.options.verbose&&K8t(t,r,a)}var SPe=(t=>(t[t.time=0]="time",t[t.count=1]="count",t[t.memory=2]="memory",t))(SPe||{});function X8t(t){const r=Q8t();return O(t.getSourceFiles(),a=>{const u=Z8t(t,a),h=Lv(a).length;r.set(u,r.get(u)+h)}),r}function Q8t(){const t=new Map;return t.set("Library",0),t.set("Definitions",0),t.set("TypeScript",0),t.set("JavaScript",0),t.set("JSON",0),t.set("Other",0),t}function Z8t(t,r){if(t.isSourceFileDefaultLibrary(r))return"Library";if(r.isDeclarationFile)return"Definitions";const a=r.path;return Ru(a,Bce)?"TypeScript":Ru(a,h3)?"JavaScript":Xl(a,".json")?"JSON":"Other"}function rfe(t,r,a){return rZ(t,a)?aA(t,!0):r}function qtt(t){return!!t.writeOutputIsTTY&&t.writeOutputIsTTY()&&!t.getEnvironmentVariable("NO_COLOR")}function rZ(t,r){return!r||typeof r.pretty>"u"?qtt(t):r.pretty}function $tt(t){return t.options.all?Mu(U0,(r,a)=>mW(r.name,a.name)):$t(U0.slice(),r=>!!r.showInSimplifiedHelpView)}function sfe(t){t.write(M_(k.Version_0,v)+t.newLine)}function ofe(t){if(!qtt(t))return{bold:E=>E,blue:E=>E,blueBackground:E=>E,brightWhite:E=>E};function a(E){return`\x1B[1m${E}\x1B[22m`}const u=t.getEnvironmentVariable("OS")&&t.getEnvironmentVariable("OS").toLowerCase().includes("windows"),h=t.getEnvironmentVariable("WT_SESSION"),p=t.getEnvironmentVariable("TERM_PROGRAM")&&t.getEnvironmentVariable("TERM_PROGRAM")==="vscode";function g(E){return u&&!h&&!p?T(E):`\x1B[94m${E}\x1B[39m`}const C=t.getEnvironmentVariable("COLORTERM")==="truecolor"||t.getEnvironmentVariable("TERM")==="xterm-256color";function b(E){return C?`\x1B[48;5;68m${E}\x1B[39;49m`:`\x1B[44m${E}\x1B[39;49m`}function T(E){return`\x1B[97m${E}\x1B[39m`}return{bold:a,blue:g,brightWhite:T,blueBackground:b}}function Jtt(t){return`--${t.name}${t.shortName?`, -${t.shortName}`:""}`}function Y8t(t,r,a,u){var h;const p=[],g=ofe(t),C=Jtt(r),b=H(r),T=typeof r.defaultValueDescription=="object"?M_(r.defaultValueDescription):N(r.defaultValueDescription,r.type==="list"||r.type==="listOrElement"?r.element.type:r.type),E=((h=t.getWidthOfTerminal)==null?void 0:h.call(t))??0;if(E>=80){let U="";r.description&&(U=M_(r.description)),p.push(...F(C,U,a,u,E,!0),t.newLine),R(b,r)&&(b&&p.push(...F(b.valueType,b.possibleValues,a,u,E,!1),t.newLine),T&&p.push(...F(M_(k.default_Colon),T,a,u,E,!1),t.newLine)),p.push(t.newLine)}else{if(p.push(g.blue(C),t.newLine),r.description){const U=M_(r.description);p.push(U)}if(p.push(t.newLine),R(b,r)){if(b&&p.push(`${b.valueType} ${b.possibleValues}`),T){b&&p.push(t.newLine);const U=M_(k.default_Colon);p.push(`${U} ${T}`)}p.push(t.newLine)}p.push(t.newLine)}return p;function N(U,q){return U!==void 0&&typeof q=="object"?as(q.entries()).filter(([,X])=>X===U).map(([X])=>X).join("/"):String(U)}function R(U,q){const X=["string"],Z=[void 0,"false","n/a"],Q=q.defaultValueDescription;return!(q.category===k.Command_line_Options||Yt(X,U==null?void 0:U.possibleValues)&&Yt(Z,Q))}function F(U,q,X,Z,Q,re){const oe=[];let pe=!0,de=q;const ue=Q-Z;for(;de.length>0;){let Ie="";pe?(Ie=U.padStart(X),Ie=Ie.padEnd(Z),Ie=re?g.blue(Ie):Ie):Ie="".padStart(Z);const we=de.substr(0,ue);de=de.slice(ue),oe.push(`${Ie}${we}`),pe=!1}return oe}function H(U){if(U.type==="object")return;return{valueType:q(U),possibleValues:X(U)};function q(Z){switch(j.assert(Z.type!=="listOrElement"),Z.type){case"string":case"number":case"boolean":return M_(k.type_Colon);case"list":return M_(k.one_or_more_Colon);default:return M_(k.one_of_Colon)}}function X(Z){let Q;switch(Z.type){case"string":case"number":case"boolean":Q=Z.type;break;case"list":case"listOrElement":Q=X(Z.element);break;case"object":Q="";break;default:const re={};return Z.type.forEach((oe,pe)=>{var de;(de=Z.deprecatedKeys)!=null&&de.has(pe)||(re[oe]||(re[oe]=[])).push(pe)}),Object.entries(re).map(([,oe])=>oe.join("/")).join(", ")}return Q}}}function Gtt(t,r){let a=0;for(const g of r){const C=Jtt(g).length;a=a>C?a:C}const u=a+2,h=u+2;let p=[];for(const g of r){const C=Y8t(t,g,u,h);p=[...p,...C]}return p[p.length-2]!==t.newLine&&p.push(t.newLine),p}function oj(t,r,a,u,h,p){let g=[];if(g.push(ofe(t).bold(r)+t.newLine+t.newLine),h&&g.push(h+t.newLine+t.newLine),!u)return g=[...g,...Gtt(t,a)],p&&g.push(p+t.newLine+t.newLine),g;const C=new Map;for(const b of a){if(!b.category)continue;const T=M_(b.category),E=C.get(T)??[];E.push(b),C.set(T,E)}return C.forEach((b,T)=>{g.push(`### ${T}${t.newLine}${t.newLine}`),g=[...g,...Gtt(t,b)]}),p&&g.push(p+t.newLine+t.newLine),g}function e7t(t,r){const a=ofe(t);let u=[...afe(t,`${M_(k.tsc_Colon_The_TypeScript_Compiler)} - ${M_(k.Version_0,v)}`)];u.push(a.bold(M_(k.COMMON_COMMANDS))+t.newLine+t.newLine),g("tsc",k.Compiles_the_current_project_tsconfig_json_in_the_working_directory),g("tsc app.ts util.ts",k.Ignoring_tsconfig_json_compiles_the_specified_files_with_default_compiler_options),g("tsc -b",k.Build_a_composite_project_in_the_working_directory),g("tsc --init",k.Creates_a_tsconfig_json_with_the_recommended_settings_in_the_working_directory),g("tsc -p ./path/to/tsconfig.json",k.Compiles_the_TypeScript_project_located_at_the_specified_path),g("tsc --help --all",k.An_expanded_version_of_this_information_showing_all_possible_compiler_options),g(["tsc --noEmit","tsc --target esnext"],k.Compiles_the_current_project_with_additional_settings);const h=r.filter(C=>C.isCommandLineOnly||C.category===k.Command_line_Options),p=r.filter(C=>!Yt(h,C));u=[...u,...oj(t,M_(k.COMMAND_LINE_FLAGS),h,!1,void 0,void 0),...oj(t,M_(k.COMMON_COMPILER_OPTIONS),p,!1,void 0,DP(k.You_can_learn_about_all_of_the_compiler_options_at_0,"https://aka.ms/tsc"))];for(const C of u)t.write(C);function g(C,b){const T=typeof C=="string"?[C]:C;for(const E of T)u.push(" "+a.blue(E)+t.newLine);u.push(" "+M_(b)+t.newLine+t.newLine)}}function t7t(t,r,a,u){let h=[...afe(t,`${M_(k.tsc_Colon_The_TypeScript_Compiler)} - ${M_(k.Version_0,v)}`)];h=[...h,...oj(t,M_(k.ALL_COMPILER_OPTIONS),r,!0,void 0,DP(k.You_can_learn_about_all_of_the_compiler_options_at_0,"https://aka.ms/tsc"))],h=[...h,...oj(t,M_(k.WATCH_OPTIONS),u,!1,M_(k.Including_watch_w_will_start_watching_the_current_project_for_the_file_changes_Once_set_you_can_config_watch_mode_with_Colon))],h=[...h,...oj(t,M_(k.BUILD_OPTIONS),a,!1,DP(k.Using_build_b_will_make_tsc_behave_more_like_a_build_orchestrator_than_a_compiler_This_is_used_to_trigger_building_composite_projects_which_you_can_learn_more_about_at_0,"https://aka.ms/tsc-composite-builds"))];for(const p of h)t.write(p)}function Ktt(t,r){let a=[...afe(t,`${M_(k.tsc_Colon_The_TypeScript_Compiler)} - ${M_(k.Version_0,v)}`)];a=[...a,...oj(t,M_(k.BUILD_OPTIONS),r,!1,DP(k.Using_build_b_will_make_tsc_behave_more_like_a_build_orchestrator_than_a_compiler_This_is_used_to_trigger_building_composite_projects_which_you_can_learn_more_about_at_0,"https://aka.ms/tsc-composite-builds"))];for(const u of a)t.write(u)}function afe(t,r){var a;const u=ofe(t),h=[],p=((a=t.getWidthOfTerminal)==null?void 0:a.call(t))??0,g=5,C=u.blueBackground("".padStart(g)),b=u.blueBackground(u.brightWhite("TS ".padStart(g)));if(p>=r.length+g){const E=(p>120?120:p)-g;h.push(r.padEnd(E)+C+t.newLine),h.push("".padStart(E)+b+t.newLine)}else h.push(r+t.newLine),h.push(t.newLine);return h}function Xtt(t,r){r.options.all?t7t(t,$tt(r),Qle,eA):e7t(t,$tt(r))}function Qtt(t,r,a){let u=aA(t);if(a.options.build)return u(Zl(k.Option_build_must_be_the_first_command_line_argument)),t.exit(1);let h;if(a.options.locale&&zoe(a.options.locale,t,a.errors),a.errors.length>0)return a.errors.forEach(u),t.exit(1);if(a.options.init)return s7t(t,u,a.options,a.fileNames),t.exit(0);if(a.options.version)return sfe(t),t.exit(0);if(a.options.help||a.options.all)return Xtt(t,a),t.exit(0);if(a.options.watch&&a.options.listFilesOnly)return u(Zl(k.Options_0_and_1_cannot_be_combined,"watch","listFilesOnly")),t.exit(1);if(a.options.project){if(a.fileNames.length!==0)return u(Zl(k.Option_project_cannot_be_mixed_with_source_files_on_a_command_line)),t.exit(1);const C=ca(a.options.project);if(!C||t.directoryExists(C)){if(h=zr(C,"tsconfig.json"),!t.fileExists(h))return u(Zl(k.Cannot_find_a_tsconfig_json_file_at_the_specified_directory_Colon_0,a.options.project)),t.exit(1)}else if(h=C,!t.fileExists(h))return u(Zl(k.The_specified_path_does_not_exist_Colon_0,a.options.project)),t.exit(1)}else if(a.fileNames.length===0){const C=ca(t.getCurrentDirectory());h=cde(C,b=>t.fileExists(b))}if(a.fileNames.length===0&&!h)return a.options.showConfig?u(Zl(k.Cannot_find_a_tsconfig_json_file_at_the_current_directory_Colon_0,ca(t.getCurrentDirectory()))):(sfe(t),Xtt(t,a)),t.exit(1);const p=t.getCurrentDirectory(),g=XX(a.options,C=>wo(C,p));if(h){const C=new Map,b=nPe(h,g,C,a.watchOptions,t,u);if(g.showConfig)return b.errors.length!==0?(u=rfe(t,u,b.options),b.errors.forEach(u),t.exit(1)):(t.write(JSON.stringify(sue(b,h,t),null,4)+t.newLine),t.exit(0));if(u=rfe(t,u,b.options),wce(b.options))return xPe(t,u)?void 0:i7t(t,r,u,b,g,a.watchOptions,C);QT(b.options)?tit(t,r,u,b):eit(t,r,u,b)}else{if(g.showConfig)return t.write(JSON.stringify(sue(a,zr(p,"tsconfig.json"),t),null,4)+t.newLine),t.exit(0);if(u=rfe(t,u,g),wce(g))return xPe(t,u)?void 0:n7t(t,r,u,a.fileNames,g,a.watchOptions);QT(g)?tit(t,r,u,{...a,options:g}):eit(t,r,u,{...a,options:g})}}function wPe(t){if(t.length>0&&t[0].charCodeAt(0)===45){const r=t[0].slice(t[0].charCodeAt(1)===45?2:1).toLowerCase();return r==="build"||r==="b"}return!1}function Ztt(t,r,a){if(wPe(a)){const{buildOptions:h,watchOptions:p,projects:g,errors:C}=BIe(a.slice(1));if(h.generateCpuProfile&&t.enableCPUProfiler)t.enableCPUProfiler(h.generateCpuProfile,()=>Ytt(t,r,h,p,g,C));else return Ytt(t,r,h,p,g,C)}const u=RIe(a,h=>t.readFile(h));if(u.options.generateCpuProfile&&t.enableCPUProfiler)t.enableCPUProfiler(u.options.generateCpuProfile,()=>Qtt(t,r,u));else return Qtt(t,r,u)}function xPe(t,r){return!t.watchFile||!t.watchDirectory?(r(Zl(k.The_current_host_does_not_support_the_0_option,"--watch")),t.exit(1),!0):!1}var sZ=2;function Ytt(t,r,a,u,h,p){const g=rfe(t,aA(t),a);if(a.locale&&zoe(a.locale,t,p),p.length>0)return p.forEach(g),t.exit(1);if(a.help||h.length===0)return sfe(t),Ktt(t,EH),t.exit(0);if(!t.getModifiedTime||!t.setModifiedTime||a.clean&&!t.deleteFile)return g(Zl(k.The_current_host_does_not_support_the_0_option,"--build")),t.exit(1);if(a.watch){if(xPe(t,g))return;const N=lPe(t,void 0,g,tZ(t,rZ(t,a)),TPe(t,a));N.jsDocParsingMode=sZ;const R=sit(t,a);iit(t,r,N,R);const F=N.onWatchStatusChange;let H=!1;N.onWatchStatusChange=(q,X,Z,Q)=>{F==null||F(q,X,Z,Q),H&&(q.code===k.Found_0_errors_Watching_for_file_changes.code||q.code===k.Found_1_error_Watching_for_file_changes.code)&&DPe(U,R)};const U=dPe(N,h,a,u);return U.build(),DPe(U,R),H=!0,U}const C=cPe(t,void 0,g,tZ(t,rZ(t,a)),kPe(t,a));C.jsDocParsingMode=sZ;const b=sit(t,a);iit(t,r,C,b);const T=uPe(C,h,a),E=a.clean?T.clean():T.build();return DPe(T,b),Lxe(),t.exit(E)}function kPe(t,r){return rZ(t,r)?(a,u)=>t.write(Rde(a,u,t.newLine,t)):void 0}function eit(t,r,a,u){const{fileNames:h,options:p,projectReferences:g}=u,C=PQ(p,void 0,t);C.jsDocParsingMode=sZ;const b=C.getCurrentDirectory(),T=Mf(C.useCaseSensitiveFileNames());Q7(C,F=>ol(F,b,T)),EPe(t,p,!1);const E={rootNames:h,options:p,projectReferences:g,host:C,configFileParsingDiagnostics:mD(u)},N=YH(E),R=zde(N,a,F=>t.write(F+t.newLine),kPe(t,p));return lfe(t,N,void 0),r(N),t.exit(R)}function tit(t,r,a,u){const{options:h,fileNames:p,projectReferences:g}=u;EPe(t,h,!1);const C=eZ(h,t);C.jsDocParsingMode=sZ;const b=rPe({host:C,system:t,rootNames:p,options:h,configFileParsingDiagnostics:mD(u),projectReferences:g,reportDiagnostic:a,reportErrorSummary:kPe(t,h),afterProgramEmitAndDiagnostics:T=>{lfe(t,T.getProgram(),void 0),r(T)}});return t.exit(b)}function iit(t,r,a,u){nit(t,a,!0),a.afterProgramEmitAndDiagnostics=h=>{lfe(t,h.getProgram(),u),r(h)}}function nit(t,r,a){const u=r.createProgram;r.createProgram=(h,p,g,C,b,T)=>(j.assert(h!==void 0||p===void 0&&!!C),p!==void 0&&EPe(t,p,a),u(h,p,g,C,b,T))}function rit(t,r,a){a.jsDocParsingMode=sZ,nit(t,a,!1);const u=a.afterProgramCreate;a.afterProgramCreate=h=>{u(h),lfe(t,h.getProgram(),void 0),r(h)}}function TPe(t,r){return Ode(t,rZ(t,r))}function i7t(t,r,a,u,h,p,g){const C=Gde({configFileName:u.options.configFilePath,optionsToExtend:h,watchOptionsToExtend:p,system:t,reportDiagnostic:a,reportWatchStatus:TPe(t,u.options)});return rit(t,r,C),C.configFileParsingResult=u,C.extendedConfigCache=g,Xde(C)}function n7t(t,r,a,u,h,p){const g=Kde({rootFiles:u,options:h,watchOptions:p,system:t,reportDiagnostic:a,reportWatchStatus:TPe(t,h)});return rit(t,r,g),Xde(g)}function sit(t,r){if(t===Pd&&r.extendedDiagnostics)return coe(),r7t()}function r7t(){let t;return{addAggregateStatistic:r,forEachAggregateStatistics:a,clear:u};function r(h){const p=t==null?void 0:t.get(h.name);p?p.type===2?p.value=Math.max(p.value,h.value):p.value+=h.value:(t??(t=new Map)).set(h.name,h)}function a(h){t==null||t.forEach(h)}function u(){t=void 0}}function DPe(t,r){if(!r)return;if(!Exe()){Pd.write(k.Performance_timings_for_diagnostics_or_extendedDiagnostics_are_not_available_in_this_session_A_native_implementation_of_the_Web_Performance_API_could_not_be_found.message+`
+`);return}const a=[];a.push({name:"Projects in scope",value:nj(t.getBuildOrder()).length,type:1}),u("SolutionBuilder::Projects built"),u("SolutionBuilder::Timestamps only updates"),u("SolutionBuilder::Bundles updated"),r.forEachAggregateStatistics(p=>{p.name=`Aggregate ${p.name}`,a.push(p)}),aoe((p,g)=>{cfe(p)&&a.push({name:`${h(p)} time`,value:g,type:0})}),Ixe(),coe(),r.clear(),cit(Pd,a);function u(p){const g=zKe(p);g&&a.push({name:h(p),value:g,type:1})}function h(p){return p.replace("SolutionBuilder::","")}}function oit(t,r){return t===Pd&&(r.diagnostics||r.extendedDiagnostics)}function ait(t,r){return t===Pd&&r.generateTrace}function EPe(t,r,a){oit(t,r)&&coe(t),ait(t,r)&&Nxe(a?"build":"project",r.generateTrace,r.configFilePath)}function cfe(t){return so(t,"SolutionBuilder::")}function lfe(t,r,a){var u;const h=r.getCompilerOptions();ait(t,h)&&((u=Zn)==null||u.stopTracing());let p;if(oit(t,h)){p=[];const T=t.getMemoryUsage?t.getMemoryUsage():-1;C("Files",r.getSourceFiles().length);const E=X8t(r);if(h.extendedDiagnostics)for(const[q,X]of E.entries())C("Lines of "+q,X);else C("Lines",ae(E.values(),(q,X)=>q+X,0));C("Identifiers",r.getIdentifierCount()),C("Symbols",r.getSymbolCount()),C("Types",r.getTypeCount()),C("Instantiations",r.getInstantiationCount()),T>=0&&g({name:"Memory used",value:T,type:2},!0);const N=Exe(),R=N?e8("Program"):0,F=N?e8("Bind"):0,H=N?e8("Check"):0,U=N?e8("Emit"):0;if(h.extendedDiagnostics){const q=r.getRelationCacheSizes();C("Assignability cache size",q.assignable),C("Identity cache size",q.identity),C("Subtype cache size",q.subtype),C("Strict subtype cache size",q.strictSubtype),N&&aoe((X,Z)=>{cfe(X)||b(`${X} time`,Z,!0)})}else N&&(b("I/O read",e8("I/O Read"),!0),b("I/O write",e8("I/O Write"),!0),b("Parse time",R,!0),b("Bind time",F,!0),b("Check time",H,!0),b("Emit time",U,!0));N&&b("Total time",R+F+H+U,!1),cit(t,p),N?a?(aoe(q=>{cfe(q)||qKe(q)}),UKe(q=>{cfe(q)||$Ke(q)})):Ixe():t.write(k.Performance_timings_for_diagnostics_or_extendedDiagnostics_are_not_available_in_this_session_A_native_implementation_of_the_Web_Performance_API_could_not_be_found.message+`
+`)}function g(T,E){p.push(T),E&&(a==null||a.addAggregateStatistic(T))}function C(T,E){g({name:T,value:E,type:1},!0)}function b(T,E,N){g({name:T,value:E,type:0},N)}}function cit(t,r){let a=0,u=0;for(const h of r){h.name.length>a&&(a=h.name.length);const p=lit(h);p.length>u&&(u=p.length)}for(const h of r)t.write(`${h.name}:`.padEnd(a+2)+lit(h).toString().padStart(u)+t.newLine)}function lit(t){switch(t.type){case 1:return""+t.value;case 0:return(t.value/1e3).toFixed(2)+"s";case 2:return Math.round(t.value/1e3)+"K";default:j.assertNever(t.type)}}function s7t(t,r,a,u){const h=t.getCurrentDirectory(),p=ca(zr(h,"tsconfig.json"));if(t.fileExists(p))r(Zl(k.A_tsconfig_json_file_is_already_defined_at_Colon_0,p));else{t.writeFile(p,zIe(a,u,t.newLine));const g=[t.newLine,...afe(t,"Created a new tsconfig.json with:")];g.push(jIe(a,t.newLine)+t.newLine+t.newLine),g.push("You can learn more at https://aka.ms/tsconfig"+t.newLine);for(const C of g)t.write(C)}}function IPe(t,r){const a=ah(t,"strictNullChecks");return{typeFromExpression:Z,serializeTypeOfDeclaration:p,serializeReturnTypeForSignature:g,serializeTypeOfExpression:h};function u(se,Ne){return se!==void 0&&(!Ne||se&&Te(se))?!0:void 0}function h(se,Ne,Oe,He){return Z(se,Ne,!1,Oe,He)??H(se,Ne)}function p(se,Ne){switch(se.kind){case 171:return u(dd(se));case 169:return N(se,Ne);case 260:return E(se,Ne);case 172:return R(se,Ne);case 208:return F(se,Ne);case 277:return h(se.expression,Ne,void 0,!0);case 211:case 212:case 226:return u(dd(se))||F(se,Ne);case 303:return Z(se.initializer,Ne)||F(se,Ne);default:j.assertNever(se,`Node needs to be an inferrable node, found ${j.formatSyntaxKind(se.kind)}`)}}function g(se,Ne){switch(se.kind){case 177:return T(se,Ne);case 174:case 262:case 180:case 173:case 179:case 176:case 178:case 181:case 184:case 185:case 218:case 219:case 317:case 323:return De(se,Ne);default:j.assertNever(se,`Node needs to be an inferrable node, found ${j.formatSyntaxKind(se.kind)}`)}}function C(se){if(se)return se.kind===177?wp(se):se.parameters.length>0?dd(se.parameters[0]):void 0}function b(se,Ne){let Oe=C(se);return!Oe&&se!==Ne.firstAccessor&&(Oe=C(Ne.firstAccessor)),!Oe&&Ne.secondAccessor&&se!==Ne.secondAccessor&&(Oe=C(Ne.secondAccessor)),Oe}function T(se,Ne){const Oe=r.getAllAccessorDeclarations(se),He=b(se,Oe);return He?u(He):Oe.getAccessor?De(Oe.getAccessor,Ne):!1}function E(se,Ne){const Oe=dd(se);if(Oe)return u(Oe);let He;return se.initializer&&(r.isExpandoFunctionDeclaration(se)||(He=Z(se.initializer,Ne,void 0,void 0,dTe(se)))),He??F(se,Ne)}function N(se,Ne){const Oe=se.parent;if(Oe.kind===178)return T(Oe,Ne);const He=dd(se),Pe=r.requiresAddingImplicitUndefined(se,Ne.enclosingDeclaration);let We;return He?We=u(He,Pe):se.initializer&&ot(se.name)&&(We=Z(se.initializer,Ne,void 0,Pe)),We??F(se,Ne)}function R(se,Ne){const Oe=dd(se);if(Oe)return u(Oe);let He;if(se.initializer){const Pe=tV(se);He=Z(se.initializer,Ne,void 0,void 0,Pe)}return He??F(se,Ne)}function F(se,Ne){return Ne.tracker.reportInferenceFallback(se),!1}function H(se,Ne){return Ne.tracker.reportInferenceFallback(se),!1}function U(se,Ne){return Ne.tracker.reportInferenceFallback(se),!1}function q(se,Ne,Oe){return se.kind===177?De(se,Oe):(Oe.tracker.reportInferenceFallback(se),!1)}function X(se,Ne,Oe,He){return O0(Ne)?Z(se,Oe,!0,He):(He&&!Te(Ne)&&Oe.tracker.reportInferenceFallback(Ne),u(Ne))}function Z(se,Ne,Oe=!1,He=!1,Pe=!1){switch(se.kind){case 217:return FI(se)?X(se.expression,N7(se),Ne,He):Z(se.expression,Ne,Oe,He);case 80:if(r.isUndefinedIdentifierExpression(se))return!0;break;case 106:return!0;case 219:case 218:return Q(se,Ne);case 216:case 234:const We=se;return X(We.expression,We.type,Ne,He);case 224:const ze=se;if(oX(ze)&&(ze.operand.kind===10||ze.operand.kind===9))return ke();break;case 9:return ke();case 228:if(!Oe&&!Pe)return!0;break;case 15:case 11:return ke();case 10:return ke();case 112:case 97:return ke();case 209:return oe(se,Ne,Oe);case 210:return de(se,Ne,Oe);case 231:return H(se,Ne)}}function Q(se,Ne){const Oe=u(se.type)??De(se,Ne),He=we(se.typeParameters),Pe=se.parameters.every(We=>Ie(We,Ne));return Oe&&He&&Pe}function re(se,Ne,Oe){if(!Oe)return Ne.tracker.reportInferenceFallback(se),!1;for(const He of se.elements)if(He.kind===230)return Ne.tracker.reportInferenceFallback(He),!1;return!0}function oe(se,Ne,Oe){if(!re(se,Ne,Oe))return!1;let He=!0;for(const Pe of se.elements)j.assert(Pe.kind!==230),Pe.kind!==232&&(He=(Z(Pe,Ne,Oe)??H(Pe,Ne))&&He);return!0}function pe(se,Ne){let Oe=!0;for(const He of se.properties){if(He.flags&262144){Oe=!1;break}if(He.kind===304||He.kind===305)Ne.tracker.reportInferenceFallback(He),Oe=!1;else if(He.name.flags&262144){Oe=!1;break}else if(He.name.kind===81)Oe=!1;else if(He.name.kind===167){const Pe=He.name.expression;!oX(Pe,!1)&&!r.isDefinitelyReferenceToGlobalSymbolObject(Pe)&&(Ne.tracker.reportInferenceFallback(He.name),Oe=!1)}}return Oe}function de(se,Ne,Oe){if(!pe(se,Ne))return!1;let He=!0;for(const Pe of se.properties){j.assert(!lh(Pe)&&!Kv(Pe));const We=Pe.name;switch(Pe.kind){case 174:He=!!he(Pe,We,Ne)&&He;break;case 303:He=!!ue(Pe,We,Ne,Oe)&&He;break;case 178:case 177:He=!!Ae(Pe,We,Ne)&&He;break}}return He}function ue(se,Ne,Oe,He){return Z(se.initializer,Oe,He)??F(se,Oe)}function Ie(se,Ne){return N(se,Ne)}function we(se){return(se==null?void 0:se.every(Ne=>u(Ne.constraint)&&u(Ne.default)))??!0}function he(se,Ne,Oe){const He=De(se,Oe),Pe=we(se.typeParameters),We=se.parameters.every(ze=>Ie(ze,Oe));return He&&Pe&&We}function Ae(se,Ne,Oe){const He=r.getAllAccessorDeclarations(se),Pe=He.getAccessor&&C(He.getAccessor),We=He.setAccessor&&C(He.setAccessor);if(Pe!==void 0&&We!==void 0){const ze=se.parameters.every(Nt=>Ie(Nt,Oe));return oS(se)?ze&&u(Pe):ze}else if(He.firstAccessor===se){const ze=Pe??We;return ze?u(ze):q(se,He,Oe)}return!1}function ke(){return!0}function Te(se){return!a||P_(se.kind)||se.kind===201||se.kind===184||se.kind===185||se.kind===188||se.kind===189||se.kind===187||se.kind===203||se.kind===197?!0:se.kind===196?Te(se.type):se.kind===192||se.kind===193?se.types.every(Te):!1}function De(se,Ne){let Oe;const He=wp(se);return He&&(Oe=u(He)),!Oe&&mP(se)&&(Oe=qe(se,Ne)),Oe??U(se,Ne)}function qe(se,Ne){let Oe;if(se&&!lu(se.body)){if(nd(se)&3)return;const He=se.body;He&&Xo(He)?uS(He,Pe=>{if(!Oe)Oe=Pe.expression;else return Oe=void 0,!0}):Oe=He}if(Oe)return Z(Oe,Ne)}}var J1={};c(J1,{NameValidationResult:()=>vit,discoverTypings:()=>l7t,isTypingUpToDate:()=>_it,loadSafeList:()=>a7t,loadTypesMap:()=>c7t,nodeCoreModuleList:()=>mit,nodeCoreModules:()=>git,nonRelativeModuleNameForTypingCache:()=>yit,renderPackageNameValidationFailure:()=>d7t,validatePackageName:()=>u7t});var oZ="action::set",aZ="action::invalidate",cZ="action::packageInstalled",ufe="event::typesRegistry",dfe="event::beginInstallTypes",ffe="event::endInstallTypes",NPe="event::initializationFailed",aj="action::watchTypingLocations",hfe;(t=>{t.GlobalCacheLocation="--globalTypingsCacheLocation",t.LogFile="--logFile",t.EnableTelemetry="--enableTelemetry",t.TypingSafeListLocation="--typingSafeListLocation",t.TypesMapLocation="--typesMapLocation",t.NpmLocation="--npmLocation",t.ValidateDefaultNpmLocation="--validateDefaultNpmLocation"})(hfe||(hfe={}));function uit(t){return Pd.args.includes(t)}function dit(t){const r=Pd.args.indexOf(t);return r>=0&&r<Pd.args.length-1?Pd.args[r+1]:void 0}function fit(){const t=new Date;return`${t.getHours().toString().padStart(2,"0")}:${t.getMinutes().toString().padStart(2,"0")}:${t.getSeconds().toString().padStart(2,"0")}.${t.getMilliseconds().toString().padStart(3,"0")}`}var hit=`
+ `;function n5(t){return hit+t.replace(/\n/g,hit)}function p2(t){return n5(JSON.stringify(t,void 0,2))}function _it(t,r){return new P0(hr(r,`ts${m}`)||hr(r,"latest")).compareTo(t.version)<=0}var pit=["assert","assert/strict","async_hooks","buffer","child_process","cluster","console","constants","crypto","dgram","diagnostics_channel","dns","dns/promises","domain","events","fs","fs/promises","http","https","http2","inspector","module","net","os","path","perf_hooks","process","punycode","querystring","readline","repl","stream","stream/promises","string_decoder","timers","timers/promises","tls","trace_events","tty","url","util","util/types","v8","vm","wasi","worker_threads","zlib"],o7t=pit.map(t=>`node:${t}`),mit=[...pit,...o7t],git=new Set(mit);function yit(t){return git.has(t)?"node":t}function a7t(t,r){const a=NH(r,u=>t.readFile(u));return new Map(Object.entries(a.config))}function c7t(t,r){var a;const u=NH(r,h=>t.readFile(h));if((a=u.config)!=null&&a.simpleMap)return new Map(Object.entries(u.config.simpleMap))}function l7t(t,r,a,u,h,p,g,C,b,T){if(!g||!g.enable)return{cachedTypingPaths:[],newTypingNames:[],filesToWatch:[]};const E=new Map;a=es(a,re=>{const oe=ca(re);if(Uv(oe))return oe});const N=[];g.include&&X(g.include,"Explicitly included types");const R=g.exclude||[];if(!T.types){const re=new Set(a.map(ts));re.add(u),re.forEach(oe=>{Z(oe,"bower.json","bower_components",N),Z(oe,"package.json","node_modules",N)})}if(g.disableFilenameBasedTypeAcquisition||Q(a),C){const re=Wb(C.map(yit),NT,sh);X(re,"Inferred typings from unresolved imports")}for(const re of R)E.delete(re)&&r&&r(`Typing for ${re} is in exclude list, will be ignored.`);p.forEach((re,oe)=>{const pe=b.get(oe);E.get(oe)===!1&&pe!==void 0&&_it(re,pe)&&E.set(oe,re.typingLocation)});const F=[],H=[];E.forEach((re,oe)=>{re?H.push(re):F.push(oe)});const U={cachedTypingPaths:H,newTypingNames:F,filesToWatch:N};return r&&r(`Finished typings discovery:${p2(U)}`),U;function q(re){E.has(re)||E.set(re,!1)}function X(re,oe){r&&r(`${oe}: ${JSON.stringify(re)}`),O(re,q)}function Z(re,oe,pe,de){const ue=zr(re,oe);let Ie,we;t.fileExists(ue)&&(de.push(ue),Ie=NH(ue,Te=>t.readFile(Te)).config,we=Rr([Ie.dependencies,Ie.devDependencies,Ie.optionalDependencies,Ie.peerDependencies],wr),X(we,`Typing names in '${ue}' dependencies`));const he=zr(re,pe);if(de.push(he),!t.directoryExists(he))return;const Ae=[],ke=we?we.map(Te=>zr(he,Te,oe)):t.readDirectory(he,[".json"],void 0,void 0,3).filter(Te=>{if(ud(Te)!==oe)return!1;const De=af(ca(Te)),qe=De[De.length-3][0]==="@";return qe&&Vw(De[De.length-4])===pe||!qe&&Vw(De[De.length-3])===pe});r&&r(`Searching for typing names in ${he}; all files: ${JSON.stringify(ke)}`);for(const Te of ke){const De=ca(Te),se=NH(De,Oe=>t.readFile(Oe)).config;if(!se.name)continue;const Ne=se.types||se.typings;if(Ne){const Oe=wo(Ne,ts(De));t.fileExists(Oe)?(r&&r(` Package '${se.name}' provides its own types.`),E.set(se.name,Oe)):r&&r(` Package '${se.name}' provides its own types but they are missing.`)}else Ae.push(se.name)}X(Ae," Found package names")}function Q(re){const oe=es(re,de=>{if(!Uv(de))return;const ue=s_(Vw(ud(de))),Ie=toe(ue);return h.get(Ie)});oe.length&&X(oe,"Inferred typings from file names"),Ft(re,de=>Xl(de,".jsx"))&&(r&&r("Inferred 'react' typings due to presence of '.jsx' extension"),q("react"))}}var vit=(t=>(t[t.Ok=0]="Ok",t[t.EmptyName=1]="EmptyName",t[t.NameTooLong=2]="NameTooLong",t[t.NameStartsWithDot=3]="NameStartsWithDot",t[t.NameStartsWithUnderscore=4]="NameStartsWithUnderscore",t[t.NameContainsNonURISafeCharacters=5]="NameContainsNonURISafeCharacters",t))(vit||{}),bit=214;function u7t(t){return LPe(t,!0)}function LPe(t,r){if(!t)return 1;if(t.length>bit)return 2;if(t.charCodeAt(0)===46)return 3;if(t.charCodeAt(0)===95)return 4;if(r){const a=/^@([^/]+)\/([^/]+)$/.exec(t);if(a){const u=LPe(a[1],!1);if(u!==0)return{name:a[1],isScopeName:!0,result:u};const h=LPe(a[2],!1);return h!==0?{name:a[2],isScopeName:!1,result:h}:0}}return encodeURIComponent(t)!==t?5:0}function d7t(t,r){return typeof t=="object"?Cit(r,t.result,t.name,t.isScopeName):Cit(r,t,r,!1)}function Cit(t,r,a,u){const h=u?"Scope":"Package";switch(r){case 1:return`'${t}':: ${h} name '${a}' cannot be empty`;case 2:return`'${t}':: ${h} name '${a}' should be less than ${bit} characters`;case 3:return`'${t}':: ${h} name '${a}' cannot start with '.'`;case 4:return`'${t}':: ${h} name '${a}' cannot start with '_'`;case 5:return`'${t}':: ${h} name '${a}' contains non URI safe characters`;case 0:return j.fail();default:j.assertNever(r)}}var lZ;(t=>{class r{constructor(h){this.text=h}getText(h,p){return h===0&&p===this.text.length?this.text:this.text.substring(h,p)}getLength(){return this.text.length}getChangeRange(){}}function a(u){return new r(u)}t.fromString=a})(lZ||(lZ={}));var PPe=(t=>(t[t.Dependencies=1]="Dependencies",t[t.DevDependencies=2]="DevDependencies",t[t.PeerDependencies=4]="PeerDependencies",t[t.OptionalDependencies=8]="OptionalDependencies",t[t.All=15]="All",t))(PPe||{}),APe=(t=>(t[t.Off=0]="Off",t[t.On=1]="On",t[t.Auto=2]="Auto",t))(APe||{}),OPe=(t=>(t[t.Semantic=0]="Semantic",t[t.PartialSemantic=1]="PartialSemantic",t[t.Syntactic=2]="Syntactic",t))(OPe||{}),Bg={},MPe=(t=>(t.Original="original",t.TwentyTwenty="2020",t))(MPe||{}),_fe=(t=>(t.All="All",t.SortAndCombine="SortAndCombine",t.RemoveUnused="RemoveUnused",t))(_fe||{}),pfe=(t=>(t[t.Invoked=1]="Invoked",t[t.TriggerCharacter=2]="TriggerCharacter",t[t.TriggerForIncompleteCompletions=3]="TriggerForIncompleteCompletions",t))(pfe||{}),RPe=(t=>(t.Type="Type",t.Parameter="Parameter",t.Enum="Enum",t))(RPe||{}),FPe=(t=>(t.none="none",t.definition="definition",t.reference="reference",t.writtenReference="writtenReference",t))(FPe||{}),BPe=(t=>(t[t.None=0]="None",t[t.Block=1]="Block",t[t.Smart=2]="Smart",t))(BPe||{}),mfe=(t=>(t.Ignore="ignore",t.Insert="insert",t.Remove="remove",t))(mfe||{});function uZ(t){return{indentSize:4,tabSize:4,newLineCharacter:t||`
+`,convertTabsToSpaces:!0,indentStyle:2,insertSpaceAfterConstructor:!1,insertSpaceAfterCommaDelimiter:!0,insertSpaceAfterSemicolonInForStatements:!0,insertSpaceBeforeAndAfterBinaryOperators:!0,insertSpaceAfterKeywordsInControlFlowStatements:!0,insertSpaceAfterFunctionKeywordForAnonymousFunctions:!1,insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis:!1,insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets:!1,insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces:!0,insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces:!1,insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces:!1,insertSpaceBeforeFunctionParenthesis:!1,placeOpenBraceOnNewLineForFunctions:!1,placeOpenBraceOnNewLineForControlBlocks:!1,semicolons:"ignore",trimTrailingWhitespace:!0,indentSwitchCase:!0}}var Sit=uZ(`
+`),dZ=(t=>(t[t.aliasName=0]="aliasName",t[t.className=1]="className",t[t.enumName=2]="enumName",t[t.fieldName=3]="fieldName",t[t.interfaceName=4]="interfaceName",t[t.keyword=5]="keyword",t[t.lineBreak=6]="lineBreak",t[t.numericLiteral=7]="numericLiteral",t[t.stringLiteral=8]="stringLiteral",t[t.localName=9]="localName",t[t.methodName=10]="methodName",t[t.moduleName=11]="moduleName",t[t.operator=12]="operator",t[t.parameterName=13]="parameterName",t[t.propertyName=14]="propertyName",t[t.punctuation=15]="punctuation",t[t.space=16]="space",t[t.text=17]="text",t[t.typeParameterName=18]="typeParameterName",t[t.enumMemberName=19]="enumMemberName",t[t.functionName=20]="functionName",t[t.regularExpressionLiteral=21]="regularExpressionLiteral",t[t.link=22]="link",t[t.linkName=23]="linkName",t[t.linkText=24]="linkText",t))(dZ||{}),WPe=(t=>(t[t.None=0]="None",t[t.MayIncludeAutoImports=1]="MayIncludeAutoImports",t[t.IsImportStatementCompletion=2]="IsImportStatementCompletion",t[t.IsContinuation=4]="IsContinuation",t[t.ResolvedModuleSpecifiers=8]="ResolvedModuleSpecifiers",t[t.ResolvedModuleSpecifiersBeyondLimit=16]="ResolvedModuleSpecifiersBeyondLimit",t[t.MayIncludeMethodSnippets=32]="MayIncludeMethodSnippets",t))(WPe||{}),VPe=(t=>(t.Comment="comment",t.Region="region",t.Code="code",t.Imports="imports",t))(VPe||{}),HPe=(t=>(t[t.JavaScript=0]="JavaScript",t[t.SourceMap=1]="SourceMap",t[t.Declaration=2]="Declaration",t))(HPe||{}),jPe=(t=>(t[t.None=0]="None",t[t.InMultiLineCommentTrivia=1]="InMultiLineCommentTrivia",t[t.InSingleQuoteStringLiteral=2]="InSingleQuoteStringLiteral",t[t.InDoubleQuoteStringLiteral=3]="InDoubleQuoteStringLiteral",t[t.InTemplateHeadOrNoSubstitutionTemplate=4]="InTemplateHeadOrNoSubstitutionTemplate",t[t.InTemplateMiddleOrTail=5]="InTemplateMiddleOrTail",t[t.InTemplateSubstitutionPosition=6]="InTemplateSubstitutionPosition",t))(jPe||{}),zPe=(t=>(t[t.Punctuation=0]="Punctuation",t[t.Keyword=1]="Keyword",t[t.Operator=2]="Operator",t[t.Comment=3]="Comment",t[t.Whitespace=4]="Whitespace",t[t.Identifier=5]="Identifier",t[t.NumberLiteral=6]="NumberLiteral",t[t.BigIntLiteral=7]="BigIntLiteral",t[t.StringLiteral=8]="StringLiteral",t[t.RegExpLiteral=9]="RegExpLiteral",t))(zPe||{}),UPe=(t=>(t.unknown="",t.warning="warning",t.keyword="keyword",t.scriptElement="script",t.moduleElement="module",t.classElement="class",t.localClassElement="local class",t.interfaceElement="interface",t.typeElement="type",t.enumElement="enum",t.enumMemberElement="enum member",t.variableElement="var",t.localVariableElement="local var",t.variableUsingElement="using",t.variableAwaitUsingElement="await using",t.functionElement="function",t.localFunctionElement="local function",t.memberFunctionElement="method",t.memberGetAccessorElement="getter",t.memberSetAccessorElement="setter",t.memberVariableElement="property",t.memberAccessorVariableElement="accessor",t.constructorImplementationElement="constructor",t.callSignatureElement="call",t.indexSignatureElement="index",t.constructSignatureElement="construct",t.parameterElement="parameter",t.typeParameterElement="type parameter",t.primitiveType="primitive type",t.label="label",t.alias="alias",t.constElement="const",t.letElement="let",t.directory="directory",t.externalModuleName="external module name",t.jsxAttribute="JSX attribute",t.string="string",t.link="link",t.linkName="link name",t.linkText="link text",t))(UPe||{}),qPe=(t=>(t.none="",t.publicMemberModifier="public",t.privateMemberModifier="private",t.protectedMemberModifier="protected",t.exportedModifier="export",t.ambientModifier="declare",t.staticModifier="static",t.abstractModifier="abstract",t.optionalModifier="optional",t.deprecatedModifier="deprecated",t.dtsModifier=".d.ts",t.tsModifier=".ts",t.tsxModifier=".tsx",t.jsModifier=".js",t.jsxModifier=".jsx",t.jsonModifier=".json",t.dmtsModifier=".d.mts",t.mtsModifier=".mts",t.mjsModifier=".mjs",t.dctsModifier=".d.cts",t.ctsModifier=".cts",t.cjsModifier=".cjs",t))(qPe||{}),$Pe=(t=>(t.comment="comment",t.identifier="identifier",t.keyword="keyword",t.numericLiteral="number",t.bigintLiteral="bigint",t.operator="operator",t.stringLiteral="string",t.whiteSpace="whitespace",t.text="text",t.punctuation="punctuation",t.className="class name",t.enumName="enum name",t.interfaceName="interface name",t.moduleName="module name",t.typeParameterName="type parameter name",t.typeAliasName="type alias name",t.parameterName="parameter name",t.docCommentTagName="doc comment tag name",t.jsxOpenTagName="jsx open tag name",t.jsxCloseTagName="jsx close tag name",t.jsxSelfClosingTagName="jsx self closing tag name",t.jsxAttribute="jsx attribute",t.jsxText="jsx text",t.jsxAttributeStringLiteralValue="jsx attribute string literal value",t))($Pe||{}),gfe=(t=>(t[t.comment=1]="comment",t[t.identifier=2]="identifier",t[t.keyword=3]="keyword",t[t.numericLiteral=4]="numericLiteral",t[t.operator=5]="operator",t[t.stringLiteral=6]="stringLiteral",t[t.regularExpressionLiteral=7]="regularExpressionLiteral",t[t.whiteSpace=8]="whiteSpace",t[t.text=9]="text",t[t.punctuation=10]="punctuation",t[t.className=11]="className",t[t.enumName=12]="enumName",t[t.interfaceName=13]="interfaceName",t[t.moduleName=14]="moduleName",t[t.typeParameterName=15]="typeParameterName",t[t.typeAliasName=16]="typeAliasName",t[t.parameterName=17]="parameterName",t[t.docCommentTagName=18]="docCommentTagName",t[t.jsxOpenTagName=19]="jsxOpenTagName",t[t.jsxCloseTagName=20]="jsxCloseTagName",t[t.jsxSelfClosingTagName=21]="jsxSelfClosingTagName",t[t.jsxAttribute=22]="jsxAttribute",t[t.jsxText=23]="jsxText",t[t.jsxAttributeStringLiteralValue=24]="jsxAttributeStringLiteralValue",t[t.bigintLiteral=25]="bigintLiteral",t))(gfe||{}),Uh=Ov(99,!0),JPe=(t=>(t[t.None=0]="None",t[t.Value=1]="Value",t[t.Type=2]="Type",t[t.Namespace=4]="Namespace",t[t.All=7]="All",t))(JPe||{});function fZ(t){switch(t.kind){case 260:return nr(t)&&Joe(t)?7:1;case 169:case 208:case 172:case 171:case 303:case 304:case 174:case 173:case 176:case 177:case 178:case 262:case 218:case 219:case 299:case 291:return 1;case 168:case 264:case 265:case 187:return 2;case 346:return t.name===void 0?3:2;case 306:case 263:return 3;case 267:return Vh(t)||nC(t)===1?5:4;case 266:case 275:case 276:case 271:case 272:case 277:case 278:return 7;case 307:return 5}return 7}function zI(t){t=Lfe(t);const r=t.parent;return t.kind===307?1:Il(r)||vh(r)||eC(r)||l_(r)||H0(r)||Wd(r)&&t===r.name?7:hZ(t)?f7t(t):Wy(t)?fZ(r):E_(t)&&Qi(t,om(T7,rP,a2))?7:m7t(t)?2:h7t(t)?4:$l(r)?(j.assert(Rg(r.parent)),2):bS(r)?3:1}function f7t(t){const r=t.kind===166?t:o_(t.parent)&&t.parent.right===t?t.parent:void 0;return r&&r.parent.kind===271?7:4}function hZ(t){for(;t.parent.kind===166;)t=t.parent;return gI(t.parent)&&t.parent.moduleReference===t}function h7t(t){return _7t(t)||p7t(t)}function _7t(t){let r=t,a=!0;if(r.parent.kind===166){for(;r.parent&&r.parent.kind===166;)r=r.parent;a=r.right===t}return r.parent.kind===183&&!a}function p7t(t){let r=t,a=!0;if(r.parent.kind===211){for(;r.parent&&r.parent.kind===211;)r=r.parent;a=r.name===t}if(!a&&r.parent.kind===233&&r.parent.parent.kind===298){const u=r.parent.parent.parent;return u.kind===263&&r.parent.parent.token===119||u.kind===264&&r.parent.parent.token===96}return!1}function m7t(t){switch(q8(t)&&(t=t.parent),t.kind){case 110:return!F0(t);case 197:return!0}switch(t.parent.kind){case 183:return!0;case 205:return!t.parent.isTypeOf;case 233:return N1(t.parent)}return!1}function yfe(t,r=!1,a=!1){return cj(t,la,bfe,r,a)}function r5(t,r=!1,a=!1){return cj(t,rD,bfe,r,a)}function vfe(t,r=!1,a=!1){return cj(t,T1,bfe,r,a)}function GPe(t,r=!1,a=!1){return cj(t,i2,g7t,r,a)}function KPe(t,r=!1,a=!1){return cj(t,Fd,bfe,r,a)}function XPe(t,r=!1,a=!1){return cj(t,oh,y7t,r,a)}function bfe(t){return t.expression}function g7t(t){return t.tag}function y7t(t){return t.tagName}function cj(t,r,a,u,h){let p=u?v7t(t):_Z(t);return h&&(p=Vu(p)),!!p&&!!p.parent&&r(p.parent)&&a(p.parent)===p}function _Z(t){return K3(t)?t.parent:t}function v7t(t){return K3(t)||xfe(t)?t.parent:t}function pZ(t,r){for(;t;){if(t.kind===256&&t.label.escapedText===r)return t.label;t=t.parent}}function lj(t,r){return Nr(t.expression)?t.expression.name.text===r:!1}function uj(t){var r;return ot(t)&&((r=Jr(t.parent,m8))==null?void 0:r.label)===t}function Cfe(t){var r;return ot(t)&&((r=Jr(t.parent,_x))==null?void 0:r.label)===t}function Sfe(t){return Cfe(t)||uj(t)}function wfe(t){var r;return((r=Jr(t.parent,V6))==null?void 0:r.tagName)===t}function QPe(t){var r;return((r=Jr(t.parent,o_))==null?void 0:r.right)===t}function K3(t){var r;return((r=Jr(t.parent,Nr))==null?void 0:r.name)===t}function xfe(t){var r;return((r=Jr(t.parent,wl))==null?void 0:r.argumentExpression)===t}function kfe(t){var r;return((r=Jr(t.parent,rd))==null?void 0:r.name)===t}function Tfe(t){var r;return ot(t)&&((r=Jr(t.parent,Ho))==null?void 0:r.name)===t}function mZ(t){switch(t.parent.kind){case 172:case 171:case 303:case 306:case 174:case 173:case 177:case 178:case 267:return No(t.parent)===t;case 212:return t.parent.argumentExpression===t;case 167:return!0;case 201:return t.parent.parent.kind===199;default:return!1}}function ZPe(t){return qk(t.parent.parent)&&L8(t.parent.parent)===t}function UI(t){for(Ng(t)&&(t=t.parent.parent);;){if(t=t.parent,!t)return;switch(t.kind){case 307:case 174:case 173:case 262:case 218:case 177:case 178:case 263:case 264:case 266:case 267:return t}}}function gD(t){switch(t.kind){case 307:return wd(t)?"module":"script";case 267:return"module";case 263:case 231:return"class";case 264:return"interface";case 265:case 338:case 346:return"type";case 266:return"enum";case 260:return r(t);case 208:return r(A1(t));case 219:case 262:case 218:return"function";case 177:return"getter";case 178:return"setter";case 174:case 173:return"method";case 303:const{initializer:a}=t;return Ho(a)?"method":"property";case 172:case 171:case 304:case 305:return"property";case 181:return"index";case 180:return"construct";case 179:return"call";case 176:case 175:return"constructor";case 168:return"type parameter";case 306:return"enum member";case 169:return Zr(t,31)?"property":"parameter";case 271:case 276:case 281:case 274:case 280:return"alias";case 226:const u=Bu(t),{right:h}=t;switch(u){case 7:case 8:case 9:case 0:return"";case 1:case 2:const g=gD(h);return g===""?"const":g;case 3:return ml(h)?"method":"property";case 4:return"property";case 5:return ml(h)?"method":"property";case 6:return"local class";default:return""}case 80:return H0(t.parent)?"alias":"";case 277:const p=gD(t.expression);return p===""?"const":p;default:return""}function r(a){return $6(a)?"const":WG(a)?"let":"var"}}function X3(t){switch(t.kind){case 110:return!0;case 80:return uce(t)&&t.parent.kind===169;default:return!1}}var b7t=/^\/\/\/\s*</;function Wg(t,r){const a=Lv(r),u=r.getLineAndCharacterOfPosition(t).line;return a[u]}function Ip(t,r){return Dfe(t.pos,t.end,r)}function YPe(t,r){return dj(t,r.pos)&&dj(t,r.end)}function s5(t,r){return t.pos<=r&&r<=t.end}function dj(t,r){return t.pos<r&&r<t.end}function Dfe(t,r,a){return t<=a.pos&&r>=a.end}function fj(t,r,a){return t.pos<=r&&t.end>=a}function o5(t,r,a){return yZ(t.pos,t.end,r,a)}function gZ(t,r,a,u){return yZ(t.getStart(r),t.end,a,u)}function yZ(t,r,a,u){const h=Math.max(t,a),p=Math.min(r,u);return h<p}function Efe(t,r,a){return j.assert(t.pos<=r),r<t.end||!J0(t,a)}function J0(t,r){if(t===void 0||lu(t))return!1;switch(t.kind){case 263:case 264:case 266:case 210:case 206:case 187:case 241:case 268:case 269:case 275:case 279:return Ife(t,20,r);case 299:return J0(t.block,r);case 214:if(!t.arguments)return!0;case 213:case 217:case 196:return Ife(t,22,r);case 184:case 185:return J0(t.type,r);case 176:case 177:case 178:case 262:case 218:case 174:case 173:case 180:case 179:case 219:return t.body?J0(t.body,r):t.type?J0(t.type,r):Nfe(t,22,r);case 267:return!!t.body&&J0(t.body,r);case 245:return t.elseStatement?J0(t.elseStatement,r):J0(t.thenStatement,r);case 244:return J0(t.expression,r)||Nfe(t,27,r);case 209:case 207:case 212:case 167:case 189:return Ife(t,24,r);case 181:return t.type?J0(t.type,r):Nfe(t,24,r);case 296:case 297:return!1;case 248:case 249:case 250:case 247:return J0(t.statement,r);case 246:return Nfe(t,117,r)?Ife(t,22,r):J0(t.statement,r);case 186:return J0(t.exprName,r);case 221:case 220:case 222:case 229:case 230:return J0(t.expression,r);case 215:return J0(t.template,r);case 228:const u=rl(t.templateSpans);return J0(u,r);case 239:return Dg(t.literal);case 278:case 272:return Dg(t.moduleSpecifier);case 224:return J0(t.operand,r);case 226:return J0(t.right,r);case 227:return J0(t.whenFalse,r);default:return!0}}function Ife(t,r,a){const u=t.getChildren(a);if(u.length){const h=va(u);if(h.kind===r)return!0;if(h.kind===27&&u.length!==1)return u[u.length-2].kind===r}return!1}function eAe(t){const r=vZ(t);if(!r)return;const a=r.getChildren();return{listItemIndex:U6(a,t),list:r}}function Nfe(t,r,a){return!!Uc(t,r,a)}function Uc(t,r,a){return Fe(t.getChildren(a),u=>u.kind===r)}function vZ(t){const r=Fe(t.parent.getChildren(),a=>E3(a)&&Ip(a,t));return j.assert(!r||Yt(r.getChildren(),t)),r}function wit(t){return t.kind===90}function C7t(t){return t.kind===86}function S7t(t){return t.kind===100}function w7t(t){if(Bf(t))return t.name;if(hd(t)){const r=t.modifiers&&Fe(t.modifiers,wit);if(r)return r}if(fd(t)){const r=Fe(t.getChildren(),C7t);if(r)return r}}function x7t(t){if(Bf(t))return t.name;if(Wu(t)){const r=Fe(t.modifiers,wit);if(r)return r}if(ml(t)){const r=Fe(t.getChildren(),S7t);if(r)return r}}function k7t(t){let r;return Qi(t,a=>(ws(a)&&(r=a),!o_(a.parent)&&!ws(a.parent)&&!OT(a.parent))),r}function bZ(t,r){if(t.flags&16777216)return;const a=RZ(t,r);if(a)return a;const u=k7t(t);return u&&r.getTypeAtLocation(u)}function T7t(t,r){if(!r)switch(t.kind){case 263:case 231:return w7t(t);case 262:case 218:return x7t(t);case 176:return t}if(Bf(t))return t.name}function xit(t,r){if(t.importClause){if(t.importClause.name&&t.importClause.namedBindings)return;if(t.importClause.name)return t.importClause.name;if(t.importClause.namedBindings){if(j1(t.importClause.namedBindings)){const a=Bm(t.importClause.namedBindings.elements);return a?a.name:void 0}else if(Jv(t.importClause.namedBindings))return t.importClause.namedBindings.name}}if(!r)return t.moduleSpecifier}function kit(t,r){if(t.exportClause){if(Xm(t.exportClause))return Bm(t.exportClause.elements)?t.exportClause.elements[0].name:void 0;if(jy(t.exportClause))return t.exportClause.name}if(!r)return t.moduleSpecifier}function D7t(t){if(t.types.length===1)return t.types[0].expression}function Tit(t,r){const{parent:a}=t;if(Fa(t)&&(r||t.kind!==90)?Fg(a)&&Yt(a.modifiers,t):t.kind===86?hd(a)||fd(t):t.kind===100?Wu(a)||ml(t):t.kind===120?zf(a):t.kind===94?sD(a):t.kind===156?Mg(a):t.kind===145||t.kind===144?rd(a):t.kind===102?Wd(a):t.kind===139?um(a):t.kind===153&&kp(a)){const u=T7t(a,r);if(u)return u}if((t.kind===115||t.kind===87||t.kind===121)&&Sf(a)&&a.declarations.length===1){const u=a.declarations[0];if(ot(u.name))return u.name}if(t.kind===156){if(H0(a)&&a.isTypeOnly){const u=xit(a.parent,r);if(u)return u}if(Ju(a)&&a.isTypeOnly){const u=kit(a,r);if(u)return u}}if(t.kind===130){if(l_(a)&&a.propertyName||vh(a)&&a.propertyName||Jv(a)||jy(a))return a.name;if(Ju(a)&&a.exportClause&&jy(a.exportClause))return a.exportClause.name}if(t.kind===102&&du(a)){const u=xit(a,r);if(u)return u}if(t.kind===95){if(Ju(a)){const u=kit(a,r);if(u)return u}if(Il(a))return Vu(a.expression)}if(t.kind===149&&eC(a))return a.expression;if(t.kind===161&&(du(a)||Ju(a))&&a.moduleSpecifier)return a.moduleSpecifier;if((t.kind===96||t.kind===119)&&Tp(a)&&a.token===t.kind){const u=D7t(a);if(u)return u}if(t.kind===96){if($l(a)&&a.constraint&&qp(a.constraint))return a.constraint.typeName;if(iD(a)&&qp(a.extendsType))return a.extendsType.typeName}if(t.kind===140&&DI(a))return a.typeParameter.name;if(t.kind===103&&$l(a)&&II(a.parent))return a.name;if(t.kind===143&&t2(a)&&a.operator===143&&qp(a.type))return a.type.typeName;if(t.kind===148&&t2(a)&&a.operator===148&&hH(a.type)&&qp(a.type.elementType))return a.type.elementType.typeName;if(!r){if((t.kind===105&&rD(a)||t.kind===116&&UP(a)||t.kind===114&&S3(a)||t.kind===135&&hx(a)||t.kind===127&&SX(a)||t.kind===91&&FEe(a))&&a.expression)return Vu(a.expression);if((t.kind===103||t.kind===104)&&ur(a)&&a.operatorToken===t)return Vu(a.right);if(t.kind===130&&v7(a)&&qp(a.type))return a.type.typeName;if(t.kind===103&&xX(a)||t.kind===165&&_H(a))return Vu(a.expression)}return t}function Lfe(t){return Tit(t,!1)}function CZ(t){return Tit(t,!0)}function R_(t,r){return Q3(t,r,a=>P1(a)||P_(a.kind)||Vs(a))}function Q3(t,r,a){return Dit(t,r,!1,a,!1)}function Rs(t,r){return Dit(t,r,!0,void 0,!1)}function Dit(t,r,a,u,h){let p=t,g;e:for(;;){const b=p.getChildren(t),T=ti(b,r,(E,N)=>N,(E,N)=>{const R=b[E].getEnd();if(R<r)return-1;const F=a?b[E].getFullStart():b[E].getStart(t,!0);return F>r?1:C(b[E],F,R)?b[E-1]&&C(b[E-1])?1:0:u&&F===r&&b[E-1]&&b[E-1].getEnd()===r&&C(b[E-1])?1:-1});if(g)return g;if(T>=0&&b[T]){p=b[T];continue e}return p}function C(b,T,E){if(E??(E=b.getEnd()),E<r||(T??(T=a?b.getFullStart():b.getStart(t,!0)),T>r))return!1;if(r<E||r===E&&(b.kind===1||h))return!0;if(u&&E===r){const N=xd(r,t,b);if(N&&u(N))return g=N,!0}return!1}}function tAe(t,r){let a=Rs(t,r);for(;SZ(a);){const u=yD(a,a.parent,t);if(!u)return;a=u}return a}function hj(t,r){const a=Rs(t,r);return eP(a)&&r>a.getStart(t)&&r<a.getEnd()?a:xd(r,t)}function yD(t,r,a){return u(r);function u(h){return eP(h)&&h.pos===t.end?h:J(h.getChildren(a),p=>(p.pos<=t.pos&&p.end>t.end||p.pos===t.end)&&aAe(p,a)?u(p):void 0)}}function xd(t,r,a,u){const h=p(a||r);return j.assert(!(h&&SZ(h))),h;function p(g){if(Eit(g)&&g.kind!==1)return g;const C=g.getChildren(r),b=ti(C,t,(E,N)=>N,(E,N)=>t<C[E].end?!C[E-1]||t>=C[E-1].end?0:1:-1);if(b>=0&&C[b]){const E=C[b];if(t<E.end)if(E.getStart(r,!u)>=t||!aAe(E,r)||SZ(E)){const F=nAe(C,b,r,g.kind);return F?!u&&SG(F)&&F.getChildren(r).length?p(F):iAe(F,r):void 0}else return p(E)}j.assert(a!==void 0||g.kind===307||g.kind===1||SG(g));const T=nAe(C,C.length,r,g.kind);return T&&iAe(T,r)}}function Eit(t){return eP(t)&&!SZ(t)}function iAe(t,r){if(Eit(t))return t;const a=t.getChildren(r);if(a.length===0)return t;const u=nAe(a,a.length,r,t.kind);return u&&iAe(u,r)}function nAe(t,r,a,u){for(let h=r-1;h>=0;h--){const p=t[h];if(SZ(p))h===0&&(u===12||u===285)&&j.fail("`JsxText` tokens should not be the first child of `JsxElement | JsxSelfClosingElement`");else if(aAe(t[h],a))return t[h]}}function lA(t,r,a=xd(r,t)){if(a&&eae(a)){const u=a.getStart(t),h=a.getEnd();if(u<r&&r<h)return!0;if(r===h)return!!a.isUnterminated}return!1}function rAe(t,r){const a=Rs(t,r);return a?!!(a.kind===12||a.kind===30&&a.parent.kind===12||a.kind===30&&a.parent.kind===294||a&&a.kind===20&&a.parent.kind===294||a.kind===30&&a.parent.kind===287):!1}function SZ(t){return RP(t)&&t.containsOnlyTriviaWhiteSpaces}function Pfe(t,r){const a=Rs(t,r);return Gw(a.kind)&&r>a.getStart(t)}function sAe(t,r){const a=Rs(t,r);return!!(RP(a)||a.kind===19&&x7(a.parent)&&Gv(a.parent.parent)||a.kind===30&&oh(a.parent)&&Gv(a.parent.parent))}function wZ(t,r){function a(u){for(;u;)if(u.kind>=285&&u.kind<=294||u.kind===12||u.kind===30||u.kind===32||u.kind===80||u.kind===20||u.kind===19||u.kind===44)u=u.parent;else if(u.kind===284){if(r>u.getStart(t))return!0;u=u.parent}else return!1;return!1}return a(Rs(t,r))}function xZ(t,r,a){const u=xa(t.kind),h=xa(r),p=t.getFullStart(),g=a.text.lastIndexOf(h,p);if(g===-1)return;if(a.text.lastIndexOf(u,p-1)<g){const T=xd(g+1,a);if(T&&T.kind===r)return T}const C=t.kind;let b=0;for(;;){const T=xd(t.getFullStart(),a);if(!T)return;if(t=T,t.kind===r){if(b===0)return t;b--}else t.kind===C&&b++}}function E7t(t,r,a){return r?t.getNonNullableType():a?t.getNonOptionalType():t}function _j(t,r,a){const u=Ofe(t,r);return u!==void 0&&(N1(u.called)||Afe(u.called,u.nTypeArguments,a).length!==0||_j(u.called,r,a))}function Afe(t,r,a){let u=a.getTypeAtLocation(t);return gh(t.parent)&&(u=E7t(u,_8(t.parent),!0)),(rD(t.parent)?u.getConstructSignatures():u.getCallSignatures()).filter(p=>!!p.typeParameters&&p.typeParameters.length>=r)}function Ofe(t,r){if(r.text.lastIndexOf("<",t?t.pos:r.text.length)===-1)return;let a=t,u=0,h=0;for(;a;){switch(a.kind){case 30:if(a=xd(a.getFullStart(),r),a&&a.kind===29&&(a=xd(a.getFullStart(),r)),!a||!ot(a))return;if(!u)return Wy(a)?void 0:{called:a,nTypeArguments:h};u--;break;case 50:u=3;break;case 49:u=2;break;case 32:u++;break;case 20:if(a=xZ(a,19,r),!a)return;break;case 22:if(a=xZ(a,21,r),!a)return;break;case 24:if(a=xZ(a,23,r),!a)return;break;case 28:h++;break;case 39:case 80:case 11:case 9:case 10:case 112:case 97:case 114:case 96:case 143:case 25:case 52:case 58:case 59:break;default:if(ws(a))break;return}a=xd(a.getFullStart(),r)}}function kS(t,r,a){return pd.getRangeOfEnclosingComment(t,r,void 0,a)}function oAe(t,r){const a=Rs(t,r);return!!Qi(a,z0)}function aAe(t,r){return t.kind===1?!!t.jsDoc:t.getWidth(r)!==0}function a5(t,r=0){const a=[],u=Wf(t)?joe(t)&~r:0;return u&2&&a.push("private"),u&4&&a.push("protected"),u&1&&a.push("public"),(u&256||gu(t))&&a.push("static"),u&64&&a.push("abstract"),u&32&&a.push("export"),u&65536&&a.push("deprecated"),t.flags&33554432&&a.push("declare"),t.kind===277&&a.push("export"),a.length>0?a.join(","):""}function cAe(t){if(t.kind===183||t.kind===213)return t.typeArguments;if(Ho(t)||t.kind===263||t.kind===264)return t.typeParameters}function kZ(t){return t===2||t===3}function Mfe(t){return!!(t===11||t===14||Gw(t))}function Iit(t,r,a){return!!(r.flags&4)&&t.isEmptyAnonymousObjectType(a)}function lAe(t){if(!t.isIntersection())return!1;const{types:r,checker:a}=t;return r.length===2&&(Iit(a,r[0],r[1])||Iit(a,r[1],r[0]))}function pj(t,r,a){return Gw(t.kind)&&t.getStart(a)<r&&r<t.end||!!t.isUnterminated&&r===t.end}function Rfe(t){switch(t){case 125:case 123:case 124:return!0}return!1}function Ffe(t){const r=Jd(t);return aue(r,t&&t.configFile),r}function TS(t){return!!((t.kind===209||t.kind===210)&&(t.parent.kind===226&&t.parent.left===t&&t.parent.operatorToken.kind===64||t.parent.kind===250&&t.parent.initializer===t||TS(t.parent.kind===303?t.parent.parent:t.parent)))}function uAe(t,r){return Nit(t,r,!0)}function dAe(t,r){return Nit(t,r,!1)}function Nit(t,r,a){const u=kS(t,r,void 0);return!!u&&a===b7t.test(t.text.substring(u.pos,u.end))}function Bfe(t,r){if(t)switch(t.kind){case 11:case 15:return Wfe(t,r);default:return f_(t)}}function f_(t,r,a){return Fu(t.getStart(r),(a||t).getEnd())}function Wfe(t,r){let a=t.getEnd()-1;if(t.isUnterminated){if(t.getStart()===a)return;a=Math.min(r,t.getEnd())}return Fu(t.getStart()+1,a)}function Vfe(t,r){return Um(t.getStart(r),t.end)}function DS(t){return Fu(t.pos,t.end)}function TZ(t){return Um(t.start,t.start+t.length)}function DZ(t,r,a){return mj(Ff(t,r),a)}function mj(t,r){return{span:t,newText:r}}var Hfe=[133,131,163,136,97,140,143,146,106,150,151,148,154,155,114,112,116,157,158,159];function c5(t){return Yt(Hfe,t)}function Lit(t){return t.kind===156}function EZ(t){return Lit(t)||ot(t)&&t.text==="type"}function Z3(){const t=[];return r=>{const a=Sc(r);return!t[a]&&(t[a]=!0)}}function uA(t){return t.getText(0,t.getLength())}function gj(t,r){let a="";for(let u=0;u<r;u++)a+=t;return a}function jfe(t){return t.isTypeParameter()&&t.getConstraint()||t}function yj(t){return t.kind===167?cm(t.expression)?t.expression.text:void 0:Vs(t)?Fr(t):Lg(t)}function fAe(t){return t.getSourceFiles().some(r=>!r.isDeclarationFile&&!t.isSourceFileFromExternalLibrary(r)&&!!(r.externalModuleIndicator||r.commonJsModuleIndicator))}function hAe(t){return t.getSourceFiles().some(r=>!r.isDeclarationFile&&!t.isSourceFileFromExternalLibrary(r)&&!!r.externalModuleIndicator)}function zfe(t){return!!t.module||Ja(t)>=2||!!t.noEmit}function dA(t,r){return{fileExists:a=>t.fileExists(a),getCurrentDirectory:()=>r.getCurrentDirectory(),readFile:to(r,r.readFile),useCaseSensitiveFileNames:to(r,r.useCaseSensitiveFileNames),getSymlinkCache:to(r,r.getSymlinkCache)||t.getSymlinkCache,getModuleSpecifierCache:to(r,r.getModuleSpecifierCache),getPackageJsonInfoCache:()=>{var a;return(a=t.getModuleResolutionCache())==null?void 0:a.getPackageJsonInfoCache()},getGlobalTypingsCacheLocation:to(r,r.getGlobalTypingsCacheLocation),redirectTargetsMap:t.redirectTargetsMap,getProjectReferenceRedirect:a=>t.getProjectReferenceRedirect(a),isSourceOfProjectReferenceRedirect:a=>t.isSourceOfProjectReferenceRedirect(a),getNearestAncestorDirectoryWithPackageJson:to(r,r.getNearestAncestorDirectoryWithPackageJson),getFileIncludeReasons:()=>t.getFileIncludeReasons(),getCommonSourceDirectory:()=>t.getCommonSourceDirectory(),getDefaultResolutionModeForFile:a=>t.getDefaultResolutionModeForFile(a),getModeForResolutionAtIndex:(a,u)=>t.getModeForResolutionAtIndex(a,u)}}function Ufe(t,r){return{...dA(t,r),getCommonSourceDirectory:()=>t.getCommonSourceDirectory()}}function IZ(t){return t===2||t>=3&&t<=99||t===100}function Sx(t,r,a,u,h){return G.createImportDeclaration(void 0,t||r?G.createImportClause(!!h,t,r&&r.length?G.createNamedImports(r):void 0):void 0,typeof a=="string"?l5(a,u):a,void 0)}function l5(t,r){return G.createStringLiteral(t,r===0)}var _Ae=(t=>(t[t.Single=0]="Single",t[t.Double=1]="Double",t))(_Ae||{});function qfe(t,r){return tK(t,r)?1:0}function $p(t,r){if(r.quotePreference&&r.quotePreference!=="auto")return r.quotePreference==="single"?0:1;{const a=Fy(t)&&t.imports&&Fe(t.imports,u=>Ha(u)&&!cl(u.parent));return a?qfe(a,t):1}}function $fe(t){switch(t){case 0:return"'";case 1:return'"';default:return j.assertNever(t)}}function Jfe(t){const r=NZ(t);return r===void 0?void 0:Ws(r)}function NZ(t){return t.escapedName!=="default"?t.escapedName:J(t.declarations,r=>{const a=No(r);return a&&a.kind===80?a.escapedText:void 0})}function LZ(t){return Bc(t)&&(eC(t.parent)||du(t.parent)||q1(t.parent)||L_(t.parent,!1)&&t.parent.arguments[0]===t||zp(t.parent)&&t.parent.arguments[0]===t)}function vj(t){return ec(t)&&dm(t.parent)&&ot(t.name)&&!t.propertyName}function PZ(t,r){const a=t.getTypeAtLocation(r.parent);return a&&t.getPropertyOfType(a,r.name.text)}function bj(t,r,a){if(t)for(;t.parent;){if(Os(t.parent)||!I7t(a,t.parent,r))return t;t=t.parent}}function I7t(t,r,a){return Woe(t,r.getStart(a))&&r.getEnd()<=au(t)}function Y3(t,r){return Fg(t)?Fe(t.modifiers,a=>a.kind===r):void 0}function Gfe(t,r,a,u,h){var p;const C=(Ao(a)?a[0]:a).kind===243?hV:cP,b=$t(r.statements,C),{comparer:T,isSorted:E}=w2.getOrganizeImportsStringComparerWithDetection(b,h),N=Ao(a)?Mu(a,(R,F)=>w2.compareImportsOrRequireStatements(R,F,T)):[a];if(!(b!=null&&b.length)){if(Fy(r))t.insertNodesAtTopOfFile(r,N,u);else for(const R of N)t.insertStatementsInNewFile(r.fileName,[R],(p=Ql(R))==null?void 0:p.getSourceFile());return}if(j.assert(Fy(r)),b&&E)for(const R of N){const F=w2.getImportDeclarationInsertionIndex(b,R,T);if(F===0){const H=b[0]===r.statements[0]?{leadingTriviaOption:er.LeadingTriviaOption.Exclude}:{};t.insertNodeBefore(r,b[0],R,!1,H)}else{const H=b[F-1];t.insertNodeAfter(r,H,R)}}else{const R=rl(b);R?t.insertNodesAfter(r,R,N):t.insertNodesAtTopOfFile(r,N,u)}}function Kfe(t,r){return j.assert(t.isTypeOnly),ha(t.getChildAt(0,r),Lit)}function eO(t,r){return!!t&&!!r&&t.start===r.start&&t.length===r.length}function Xfe(t,r,a){return(a?NT:jw)(t.fileName,r.fileName)&&eO(t.textSpan,r.textSpan)}function Qfe(t){return(r,a)=>Xfe(r,a,t)}function Zfe(t,r){if(t){for(let a=0;a<t.length;a++)if(t.indexOf(t[a])===a){const u=r(t[a],a);if(u)return u}}}function pAe(t,r,a){for(let u=r;u<a;u++)if(!Pv(t.charCodeAt(u)))return!1;return!0}function u5(t,r,a){const u=r.tryGetSourcePosition(t);return u&&(!a||a(ca(u.fileName))?u:void 0)}function AZ(t,r,a){const{fileName:u,textSpan:h}=t,p=u5({fileName:u,pos:h.start},r,a);if(!p)return;const g=u5({fileName:u,pos:h.start+h.length},r,a),C=g?g.pos-p.pos:h.length;return{fileName:p.fileName,textSpan:{start:p.pos,length:C},originalFileName:t.fileName,originalTextSpan:t.textSpan,contextSpan:Yfe(t,r,a),originalContextSpan:t.contextSpan}}function Yfe(t,r,a){const u=t.contextSpan&&u5({fileName:t.fileName,pos:t.contextSpan.start},r,a),h=t.contextSpan&&u5({fileName:t.fileName,pos:t.contextSpan.start+t.contextSpan.length},r,a);return u&&h?{start:u.pos,length:h.pos-u.pos}:void 0}function ehe(t){const r=t.declarations?Ra(t.declarations):void 0;return!!Qi(r,a=>$s(a)?!0:ec(a)||dm(a)||CS(a)?!1:"quit")}var mAe=N7t();function N7t(){const t=x8*10;let r,a,u,h;E();const p=N=>C(N,17);return{displayParts:()=>{const N=r.length&&r[r.length-1].text;return h>t&&N&&N!=="..."&&(Pv(N.charCodeAt(N.length-1))||r.push(Z_(" ",16)),r.push(Z_("...",15))),r},writeKeyword:N=>C(N,5),writeOperator:N=>C(N,12),writePunctuation:N=>C(N,15),writeTrailingSemicolon:N=>C(N,15),writeSpace:N=>C(N,16),writeStringLiteral:N=>C(N,8),writeParameter:N=>C(N,13),writeProperty:N=>C(N,14),writeLiteral:N=>C(N,8),writeSymbol:b,writeLine:T,write:p,writeComment:p,getText:()=>"",getTextPos:()=>0,getColumn:()=>0,getLine:()=>0,isAtStartOfLine:()=>!1,hasTrailingWhitespace:()=>!1,hasTrailingComment:()=>!1,rawWrite:wa,getIndent:()=>u,increaseIndent:()=>{u++},decreaseIndent:()=>{u--},clear:E};function g(){if(!(h>t)&&a){const N=fK(u);N&&(h+=N.length,r.push(Z_(N,16))),a=!1}}function C(N,R){h>t||(g(),h+=N.length,r.push(Z_(N,R)))}function b(N,R){h>t||(g(),h+=N.length,r.push(L7t(N,R)))}function T(){h>t||(h+=1,r.push(tO()),a=!0)}function E(){r=[],a=!0,u=0,h=0}}function L7t(t,r){return Z_(t,a(r));function a(u){const h=u.flags;return h&3?ehe(u)?13:9:h&4||h&32768||h&65536?14:h&8?19:h&16?20:h&32?1:h&64?4:h&384?2:h&1536?11:h&8192?10:h&262144?18:h&524288||h&2097152?0:17}}function Z_(t,r){return{text:t,kind:dZ[r]}}function bu(){return Z_(" ",16)}function Np(t){return Z_(xa(t),5)}function Sh(t){return Z_(xa(t),15)}function d5(t){return Z_(xa(t),12)}function gAe(t){return Z_(t,13)}function yAe(t){return Z_(t,14)}function the(t){const r=oI(t);return r===void 0?pm(t):Np(r)}function pm(t){return Z_(t,17)}function vAe(t){return Z_(t,0)}function bAe(t){return Z_(t,18)}function CAe(t){return Z_(t,24)}function P7t(t,r){return{text:t,kind:dZ[23],target:{fileName:_n(r).fileName,textSpan:f_(r)}}}function Pit(t){return Z_(t,22)}function SAe(t,r){var a;const u=qEe(t)?"link":$Ee(t)?"linkcode":"linkplain",h=[Pit(`{@${u} `)];if(!t.name)t.text&&h.push(CAe(t.text));else{const p=r==null?void 0:r.getSymbolAtLocation(t.name),g=p&&r?rhe(p,r):void 0,C=O7t(t.text),b=uu(t.name)+t.text.slice(0,C),T=A7t(t.text.slice(C)),E=(g==null?void 0:g.valueDeclaration)||((a=g==null?void 0:g.declarations)==null?void 0:a[0]);if(E)h.push(P7t(b,E)),T&&h.push(CAe(T));else{const N=C===0||t.text.charCodeAt(C)===124&&b.charCodeAt(b.length-1)!==32?" ":"";h.push(CAe(b+N+T))}}return h.push(Pit("}")),h}function A7t(t){let r=0;if(t.charCodeAt(r++)===124){for(;r<t.length&&t.charCodeAt(r)===32;)r++;return t.slice(r)}return t}function O7t(t){let r=t.indexOf("://");if(r===0){for(;r<t.length&&t.charCodeAt(r)!==124;)r++;return r}if(t.indexOf("()")===0)return 2;if(t.charAt(0)==="<"){let a=0,u=0;for(;u<t.length;)if(t[u]==="<"&&a++,t[u]===">"&&a--,u++,!a)return u}return 0}var M7t=`
+`;function rC(t,r){var a;return(r==null?void 0:r.newLineCharacter)||((a=t.getNewLine)==null?void 0:a.call(t))||M7t}function tO(){return Z_(`
+`,6)}function m2(t){try{return t(mAe),mAe.displayParts()}finally{mAe.clear()}}function Cj(t,r,a,u=0){return m2(h=>{t.writeType(r,a,u|1024|16384,h)})}function f5(t,r,a,u,h=0){return m2(p=>{t.writeSymbol(r,a,u,h|8,p)})}function ihe(t,r,a,u=0){return u|=25632,m2(h=>{t.writeSignature(r,a,u,void 0,h)})}function wAe(t){return!!t.parent&&Vk(t.parent)&&t.parent.propertyName===t}function nhe(t,r){return qK(t,r.getScriptKind&&r.getScriptKind(t))}function rhe(t,r){let a=t;for(;R7t(a)||Rv(a)&&a.links.target;)Rv(a)&&a.links.target?a=a.links.target:a=Vf(a,r);return a}function R7t(t){return(t.flags&2097152)!==0}function xAe(t,r){return Ba(Vf(t,r))}function kAe(t,r){for(;Pv(t.charCodeAt(r));)r+=1;return r}function OZ(t,r){for(;r>-1&&k1(t.charCodeAt(r));)r-=1;return r+1}function Ec(t,r=!0){const a=t&&Ait(t);return a&&!r&&Lp(a),Qb(a,!1)}function Sj(t,r,a){let u=a(t);return u?Ir(u,t):u=Ait(t,a),u&&!r&&Lp(u),u}function Ait(t,r){const a=r?p=>Sj(p,!0,r):Ec,h=dn(t,a,void 0,r?p=>p&&she(p,!0,r):p=>p&&vD(p),a);if(h===t){const p=Ha(t)?Ir(G.createStringLiteralFromNode(t),t):A_(t)?Ir(G.createNumericLiteral(t.text,t.numericLiteralFlags),t):G.cloneNode(t);return Ht(p,t)}return h.parent=void 0,h}function vD(t,r=!0){if(t){const a=G.createNodeArray(t.map(u=>Ec(u,r)),t.hasTrailingComma);return Ht(a,t),a}return t}function she(t,r,a){return G.createNodeArray(t.map(u=>Sj(u,r,a)),t.hasTrailingComma)}function Lp(t){ohe(t),TAe(t)}function ohe(t){DAe(t,1024,B7t)}function TAe(t){DAe(t,2048,kce)}function qI(t,r){const a=t.getSourceFile(),u=a.text;F7t(t,u)?iO(t,r,a):xj(t,r,a),h5(t,r,a)}function F7t(t,r){const a=t.getFullStart(),u=t.getStart();for(let h=a;h<u;h++)if(r.charCodeAt(h)===10)return!0;return!1}function DAe(t,r,a){F1(t,r);const u=a(t);u&&DAe(u,r,a)}function B7t(t){return t.forEachChild(r=>r)}function $I(t,r){let a=t;for(let u=1;!PG(r,a);u++)a=`${t}_${u}`;return a}function wj(t,r,a,u){let h=0,p=-1;for(const{fileName:g,textChanges:C}of t){j.assert(g===r);for(const b of C){const{span:T,newText:E}=b,N=W7t(E,Vy(a));if(N!==-1&&(p=T.start+h+N,!u))return p;h+=E.length-T.length}}return j.assert(u),j.assert(p>=0),p}function iO(t,r,a,u,h){TW(a.text,t.pos,EAe(r,a,u,h,h7))}function h5(t,r,a,u,h){DW(a.text,t.end,EAe(r,a,u,h,uX))}function xj(t,r,a,u,h){DW(a.text,t.pos,EAe(r,a,u,h,h7))}function EAe(t,r,a,u,h){return(p,g,C,b)=>{C===3?(p+=2,g-=2):p+=2,h(t,a||C,r.text.slice(p,g),u!==void 0?u:b)}}function W7t(t,r){if(so(t,r))return 0;let a=t.indexOf(" "+r);return a===-1&&(a=t.indexOf("."+r)),a===-1&&(a=t.indexOf('"'+r)),a===-1?-1:a+1}function MZ(t){return ur(t)&&t.operatorToken.kind===28||Aa(t)||(v7(t)||wX(t))&&Aa(t.expression)}function RZ(t,r,a){const u=By(t.parent);switch(u.kind){case 214:return r.getContextualType(u,a);case 226:{const{left:h,operatorToken:p,right:g}=u;return FZ(p.kind)?r.getTypeAtLocation(t===g?h:g):r.getContextualType(t,a)}case 296:return che(u,r);default:return r.getContextualType(t,a)}}function _5(t,r,a){const u=$p(t,r),h=JSON.stringify(a);return u===0?`'${zm(h).replace(/'/g,()=>"\\'").replace(/\\"/g,'"')}'`:h}function FZ(t){switch(t){case 37:case 35:case 38:case 36:return!0;default:return!1}}function IAe(t){switch(t.kind){case 11:case 15:case 228:case 215:return!0;default:return!1}}function ahe(t){return!!t.getStringIndexType()||!!t.getNumberIndexType()}function che(t,r){return r.getTypeAtLocation(t.parent.parent.expression)}var lhe="anonymous function";function p5(t,r,a,u){const h=a.getTypeChecker();let p=!0;const g=()=>p=!1,C=h.typeToTypeNode(t,r,1,8,{trackSymbol:(b,T,E)=>(p=p&&h.isSymbolAccessible(b,T,E,!1).accessibility===0,!p),reportInaccessibleThisError:g,reportPrivateInBaseOfClassExpression:g,reportInaccessibleUniqueSymbolError:g,moduleResolverHost:Ufe(a,u)});return p?C:void 0}function NAe(t){return t===179||t===180||t===181||t===171||t===173}function Oit(t){return t===262||t===176||t===174||t===177||t===178}function Mit(t){return t===267}function LAe(t){return t===243||t===244||t===246||t===251||t===252||t===253||t===257||t===259||t===172||t===265||t===272||t===271||t===278||t===270||t===277}var V7t=om(NAe,Oit,Mit,LAe);function H7t(t,r){const a=t.getLastToken(r);if(a&&a.kind===27)return!1;if(NAe(t.kind)){if(a&&a.kind===28)return!1}else if(Mit(t.kind)){const C=va(t.getChildren(r));if(C&&H1(C))return!1}else if(Oit(t.kind)){const C=va(t.getChildren(r));if(C&&WT(C))return!1}else if(!LAe(t.kind))return!1;if(t.kind===246)return!0;const u=Qi(t,C=>!C.parent),h=yD(t,u,r);if(!h||h.kind===20)return!0;const p=r.getLineAndCharacterOfPosition(t.getEnd()).line,g=r.getLineAndCharacterOfPosition(h.getStart(r)).line;return p!==g}function BZ(t,r,a){const u=Qi(r,h=>h.end!==t?"quit":V7t(h.kind));return!!u&&H7t(u,a)}function kj(t){let r=0,a=0;const u=5;return Uo(t,function h(p){if(LAe(p.kind)){const g=p.getLastToken(t);(g==null?void 0:g.kind)===27?r++:a++}else if(NAe(p.kind)){const g=p.getLastToken(t);if((g==null?void 0:g.kind)===27)r++;else if(g&&g.kind!==28){const C=Ia(t,g.getStart(t)).line,b=Ia(t,E1(t,g.end).start).line;C!==b&&a++}}return r+a>=u?!0:Uo(p,h)}),r===0&&a<=1?!0:r/a>1/u}function WZ(t,r){return PAe(t,t.getDirectories,r)||[]}function uhe(t,r,a,u,h){return PAe(t,t.readDirectory,r,a,u,h)||w}function Tj(t,r){return PAe(t,t.fileExists,r)}function VZ(t,r){return HZ(()=>B0(r,t))||!1}function HZ(t){try{return t()}catch{return}}function PAe(t,r,...a){return HZ(()=>r&&r.apply(t,a))}function dhe(t,r,a){const u=[];return kg(t,h=>{if(h===a)return!0;const p=zr(h,"package.json");Tj(r,p)&&u.push(p)}),u}function AAe(t,r){let a;return kg(t,u=>{if(u==="node_modules"||(a=cde(u,h=>Tj(r,h),"package.json"),a))return!0}),a}function j7t(t,r){if(!r.fileExists)return[];const a=[];return kg(ts(t),u=>{const h=zr(u,"package.json");if(r.fileExists(h)){const p=fhe(h,r);p&&a.push(p)}}),a}function fhe(t,r){if(!r.readFile)return;const a=["dependencies","devDependencies","optionalDependencies","peerDependencies"],u=r.readFile(t)||"",h=kK(u),p={};if(h)for(const b of a){const T=h[b];if(!T)continue;const E=new Map;for(const N in T)E.set(N,T[N]);p[b]=E}const g=[[1,p.dependencies],[2,p.devDependencies],[8,p.optionalDependencies],[4,p.peerDependencies]];return{...p,parseable:!!h,fileName:t,get:C,has(b,T){return!!C(b,T)}};function C(b,T=15){for(const[E,N]of g)if(N&&T&E){const R=N.get(b);if(R!==void 0)return R}}}function nO(t,r,a){const u=(a.getPackageJsonsVisibleToFile&&a.getPackageJsonsVisibleToFile(t.fileName)||j7t(t.fileName,a)).filter(H=>H.parseable);let h,p,g;return{allowsImportingAmbientModule:b,getSourceFileInfo:T,allowsImportingSpecifier:E};function C(H){const U=F(H);for(const q of u)if(q.has(U)||q.has(uQ(U)))return!0;return!1}function b(H,U){if(!u.length||!H.valueDeclaration)return!0;if(!p)p=new Map;else{const re=p.get(H);if(re!==void 0)return re}const q=zm(H.getName());if(N(q))return p.set(H,!0),!0;const X=H.valueDeclaration.getSourceFile(),Z=R(X.fileName,U);if(typeof Z>"u")return p.set(H,!0),!0;const Q=C(Z)||C(q);return p.set(H,Q),Q}function T(H,U){if(!u.length)return{importable:!0,packageName:void 0};if(!g)g=new Map;else{const Q=g.get(H);if(Q!==void 0)return Q}const q=R(H.fileName,U);if(!q){const Q={importable:!0,packageName:q};return g.set(H,Q),Q}const Z={importable:C(q),packageName:q};return g.set(H,Z),Z}function E(H){return!u.length||N(H)||am(H)||yp(H)?!0:C(H)}function N(H){return!!(Fy(t)&&r_(t)&&J1.nodeCoreModules.has(H)&&(h===void 0&&(h=jZ(t)),h))}function R(H,U){if(!H.includes("node_modules"))return;const q=wS.getNodeModulesPackageName(a.getCompilationSettings(),t,H,U,r);if(q&&!am(q)&&!yp(q))return F(q)}function F(H){const U=af(H7(H)).slice(1);return so(U[0],"@")?`${U[0]}/${U[1]}`:U[0]}}function jZ(t){return Ft(t.imports,({text:r})=>J1.nodeCoreModules.has(r))}function Dj(t){return Yt(af(t),"node_modules")}function Rit(t){return t.file!==void 0&&t.start!==void 0&&t.length!==void 0}function OAe(t,r){const a=f_(t),u=ti(r,a,hl,VJ);if(u>=0){const h=r[u];return j.assertEqual(h.file,t.getSourceFile(),"Diagnostics proided to 'findDiagnosticForNode' must be from a single SourceFile"),ha(h,Rit)}}function MAe(t,r){var a;let u=ti(r,t.start,g=>g.start,_l);for(u<0&&(u=~u);((a=r[u-1])==null?void 0:a.start)===t.start;)u--;const h=[],p=au(t);for(;;){const g=Jr(r[u],Rit);if(!g||g.start>p)break;Kke(t,g)&&h.push(g),u++}return h}function fA({startPosition:t,endPosition:r}){return Fu(t,r===void 0?t:r)}function hhe(t,r){const a=Rs(t,r.start);return Qi(a,h=>h.getStart(t)<r.start||h.getEnd()>au(r)?"quit":jt(h)&&eO(r,f_(h,t)))}function _he(t,r,a=hl){return t?Ao(t)?a(qt(t,r)):r(t,0):void 0}function phe(t){return Ao(t)?ya(t):t}function zZ(t,r,a){return t.escapedName==="export="||t.escapedName==="default"?mhe(t)||Ej(z7t(t),r,!!a):t.name}function mhe(t){return J(t.declarations,r=>{var a,u,h;return Il(r)?(a=Jr(Vu(r.expression),ot))==null?void 0:a.text:vh(r)&&r.symbol.flags===2097152?(u=Jr(r.propertyName,ot))==null?void 0:u.text:(h=Jr(No(r),ot))==null?void 0:h.text})}function z7t(t){var r;return j.checkDefined(t.parent,`Symbol parent was undefined. Flags: ${j.formatSymbolFlags(t.flags)}. Declarations: ${(r=t.declarations)==null?void 0:r.map(a=>{const u=j.formatSyntaxKind(a.kind),h=nr(a),{expression:p}=a;return(h?"[JS]":"")+u+(p?` (expression: ${j.formatSyntaxKind(p.kind)})`:"")}).join(", ")}.`)}function Ej(t,r,a){return Ij(s_(zm(t.name)),r,a)}function Ij(t,r,a){const u=ud(I6(t,"/index"));let h="",p=!0;const g=u.charCodeAt(0);Py(g,r)?(h+=String.fromCharCode(g),a&&(h=h.toUpperCase())):p=!1;for(let C=1;C<u.length;C++){const b=u.charCodeAt(C),T=jb(b,r);if(T){let E=String.fromCharCode(b);p||(E=E.toUpperCase()),h+=E}p=T}return gP(h)?`_${h}`:h||"_"}function RAe(t,r,a){const u=r.length;if(u+a>t.length)return!1;for(let h=0;h<u;h++)if(r.charCodeAt(h)!==t.charCodeAt(h+a))return!1;return!0}function ghe(t){return t.charCodeAt(0)===95}function UZ(t){return!!(joe(t)&65536)}function qZ(t,r){return J(t.imports,u=>{if(J1.nodeCoreModules.has(u.text))return so(u.text,"node:")})??r.usesUriStyleNodeCoreModules}function Nj(t){return t===`
+`?1:0}function bD(t){return Ao(t)?jv(Bo(t[0]),t.slice(1)):Bo(t)}function $Z({options:t},r){const a=!t.semicolons||t.semicolons==="ignore",u=t.semicolons==="remove"||a&&!kj(r);return{...t,semicolons:u?"remove":"ignore"}}function yhe(t){return t===2||t===3}function rO(t,r){return t.isSourceFileFromExternalLibrary(r)||t.isSourceFileDefaultLibrary(r)}function JZ(t,r){const a=new Set,u=new Set,h=new Set;for(const C of r)if(!k7(C)){const b=Dc(C.expression);if(lI(b))switch(b.kind){case 15:case 11:a.add(b.text);break;case 9:u.add(parseInt(b.text));break;case 10:const T=JDe(su(b.text,"n")?b.text.slice(0,-1):b.text);T&&h.add(ZT(T));break}else{const T=t.getSymbolAtLocation(C.expression);if(T&&T.valueDeclaration&&SS(T.valueDeclaration)){const E=t.getConstantValue(T.valueDeclaration);E!==void 0&&p(E)}}}return{addValue:p,hasValue:g};function p(C){switch(typeof C){case"string":a.add(C);break;case"number":u.add(C)}}function g(C){switch(typeof C){case"string":return a.has(C);case"number":return u.has(C);case"object":return h.has(ZT(C))}}}function vhe(t,r,a,u){var h;const p=typeof t=="string"?t:t.fileName;if(!Uv(p))return!1;const g=typeof t=="string"?r.getCompilerOptions():r.getCompilerOptionsForFile(t),C=zh(g),b=typeof t=="string"?{fileName:t,impliedNodeFormat:ZH(ol(t,a.getCurrentDirectory(),hS(a)),(h=r.getPackageJsonInfoCache)==null?void 0:h.call(r),a,g)}:t,T=jI(b,g);if(T===99)return!1;if(T===1||g.verbatimModuleSyntax&&C===1)return!0;if(g.verbatimModuleSyntax&&KV(C))return!1;if(typeof t=="object"){if(t.commonJsModuleIndicator)return!0;if(t.externalModuleIndicator)return!1}return u}function hA(t){switch(t.kind){case 241:case 307:case 268:case 296:return!0;default:return!1}}function GZ(t,r,a,u){var h;const p=BQ(t,(h=a.getPackageJsonInfoCache)==null?void 0:h.call(a),u,a.getCompilerOptions());let g,C;return typeof p=="object"&&(g=p.impliedNodeFormat,C=p.packageJsonScope),{path:ol(t,a.getCurrentDirectory(),a.getCanonicalFileName),fileName:t,externalModuleIndicator:r===99?!0:void 0,commonJsModuleIndicator:r===1?!0:void 0,impliedNodeFormat:g,packageJsonScope:C,statements:w,imports:w}}var FAe=(t=>(t[t.Named=0]="Named",t[t.Default=1]="Default",t[t.Namespace=2]="Namespace",t[t.CommonJS=3]="CommonJS",t))(FAe||{}),BAe=(t=>(t[t.Named=0]="Named",t[t.Default=1]="Default",t[t.ExportEquals=2]="ExportEquals",t[t.UMD=3]="UMD",t))(BAe||{});function bhe(t){let r=1;const a=Of(),u=new Map,h=new Map;let p;const g={isUsableByFile:F=>F===p,isEmpty:()=>!a.size,clear:()=>{a.clear(),u.clear(),p=void 0},add:(F,H,U,q,X,Z,Q,re)=>{F!==p&&(g.clear(),p=F);let oe;if(X){const qe=iX(X.fileName);if(qe){const{topLevelNodeModulesIndex:se,topLevelPackageNameIndex:Ne,packageRootIndex:Oe}=qe;if(oe=FH(H7(X.fileName.substring(Ne+1,Oe))),so(F,X.path.substring(0,se))){const He=h.get(oe),Pe=X.fileName.substring(0,Ne+1);if(He){const We=He.indexOf(Xv);se>We&&h.set(oe,Pe)}else h.set(oe,Pe)}}}const de=Z===1&&$8(H)||H,ue=Z===0||H6(de)?Ws(U):q7t(de,re,void 0),Ie=typeof ue=="string"?ue:ue[0],we=typeof ue=="string"?void 0:ue[1],he=zm(q.name),Ae=r++,ke=Vf(H,re),Te=H.flags&33554432?void 0:H,De=q.flags&33554432?void 0:q;(!Te||!De)&&u.set(Ae,[H,q]),a.add(b(Ie,H,Gd(he)?void 0:he,re),{id:Ae,symbolTableKey:U,symbolName:Ie,capitalizedSymbolName:we,moduleName:he,moduleFile:X,moduleFileName:X==null?void 0:X.fileName,packageName:oe,exportKind:Z,targetFlags:ke.flags,isFromPackageJson:Q,symbol:Te,moduleSymbol:De})},get:(F,H)=>{if(F!==p)return;const U=a.get(H);return U==null?void 0:U.map(C)},search:(F,H,U,q)=>{if(F===p)return cf(a,(X,Z)=>{const{symbolName:Q,ambientModuleName:re}=T(Z),oe=H&&X[0].capitalizedSymbolName||Q;if(U(oe,X[0].targetFlags)){const de=X.map(C).filter((ue,Ie)=>R(ue,X[Ie].packageName));if(de.length){const ue=q(de,oe,!!re,Z);if(ue!==void 0)return ue}}})},releaseSymbols:()=>{u.clear()},onFileChanged:(F,H,U)=>E(F)&&E(H)?!1:p&&p!==H.path||U&&jZ(F)!==jZ(H)||!i_(F.moduleAugmentations,H.moduleAugmentations)||!N(F,H)?(g.clear(),!0):(p=H.path,!1)};return j.isDebugging&&Object.defineProperty(g,"__cache",{value:a}),g;function C(F){if(F.symbol&&F.moduleSymbol)return F;const{id:H,exportKind:U,targetFlags:q,isFromPackageJson:X,moduleFileName:Z}=F,[Q,re]=u.get(H)||w;if(Q&&re)return{symbol:Q,moduleSymbol:re,moduleFileName:Z,exportKind:U,targetFlags:q,isFromPackageJson:X};const oe=(X?t.getPackageJsonAutoImportProvider():t.getCurrentProgram()).getTypeChecker(),pe=F.moduleSymbol||re||j.checkDefined(F.moduleFile?oe.getMergedSymbol(F.moduleFile.symbol):oe.tryFindAmbientModule(F.moduleName)),de=F.symbol||Q||j.checkDefined(U===2?oe.resolveExternalModuleSymbol(pe):oe.tryGetMemberInModuleExportsAndProperties(Ws(F.symbolTableKey),pe),`Could not find symbol '${F.symbolName}' by key '${F.symbolTableKey}' in module ${pe.name}`);return u.set(H,[de,pe]),{symbol:de,moduleSymbol:pe,moduleFileName:Z,exportKind:U,targetFlags:q,isFromPackageJson:X}}function b(F,H,U,q){const X=U||"";return`${F.length} ${Ba(Vf(H,q))} ${F} ${X}`}function T(F){const H=F.indexOf(" "),U=F.indexOf(" ",H+1),q=parseInt(F.substring(0,H),10),X=F.substring(U+1),Z=X.substring(0,q),Q=X.substring(q+1);return{symbolName:Z,ambientModuleName:Q===""?void 0:Q}}function E(F){return!F.commonJsModuleIndicator&&!F.externalModuleIndicator&&!F.moduleAugmentations&&!F.ambientModuleNames}function N(F,H){if(!i_(F.ambientModuleNames,H.ambientModuleNames))return!1;let U=-1,q=-1;for(const X of H.ambientModuleNames){const Z=Q=>Sae(Q)&&Q.name.text===X;if(U=ct(F.statements,Z,U+1),q=ct(H.statements,Z,q+1),F.statements[U]!==H.statements[q])return!1}return!0}function R(F,H){if(!H||!F.moduleFileName)return!0;const U=t.getGlobalTypingsCacheLocation();if(U&&so(F.moduleFileName,U))return!0;const q=h.get(H);return!q||so(F.moduleFileName,q)}}function Che(t,r,a,u,h,p,g){var C;if(r===a)return!1;const b=g==null?void 0:g.get(r.path,a.path,u,{});if((b==null?void 0:b.isBlockedByPackageJsonDependencies)!==void 0)return!b.isBlockedByPackageJsonDependencies||!!b.packageName&&Lj(r,b.packageName);const T=hS(p),E=(C=p.getGlobalTypingsCacheLocation)==null?void 0:C.call(p),N=!!wS.forEachFileNameOfModule(r.fileName,a.fileName,p,!1,R=>{const F=t.getSourceFile(R);return(F===a||!F)&&U7t(r.fileName,R,T,E)});if(h){const R=N?h.getSourceFileInfo(a,p):void 0;return g==null||g.setBlockedByPackageJsonDependencies(r.path,a.path,u,{},R==null?void 0:R.packageName,!(R!=null&&R.importable)),!!(R!=null&&R.importable)||!!(R!=null&&R.packageName)&&Lj(r,R.packageName)}return N}function Lj(t,r){return t.imports&&t.imports.some(a=>a.text===r||a.text.startsWith(r+"/"))}function U7t(t,r,a,u){const h=kg(r,g=>ud(g)==="node_modules"?g:void 0),p=h&&ts(a(h));return p===void 0||so(a(t),p)||!!u&&so(a(u),p)}function She(t,r,a,u,h){var p,g;const C=yP(r),b=a.autoImportFileExcludePatterns&&Fit(a,C);Bit(t.getTypeChecker(),t.getSourceFiles(),b,r,(E,N)=>h(E,N,t,!1));const T=u&&((p=r.getPackageJsonAutoImportProvider)==null?void 0:p.call(r));if(T){const E=sl(),N=t.getTypeChecker();Bit(T.getTypeChecker(),T.getSourceFiles(),b,r,(R,F)=>{(F&&!t.getSourceFile(F.fileName)||!F&&!N.resolveName(R.name,void 0,1536,!1))&&h(R,F,T,!0)}),(g=r.log)==null||g.call(r,`forEachExternalModuleToImportFrom autoImportProvider: ${sl()-E}`)}}function Fit(t,r){return es(t.autoImportFileExcludePatterns,a=>{const u=zK(a,"","exclude");return u?ax(u,r):void 0})}function Bit(t,r,a,u,h){var p;const g=a&&Wit(a,u);for(const C of t.getAmbientModules())!C.name.includes("*")&&!(a&&((p=C.declarations)!=null&&p.every(b=>g(b.getSourceFile()))))&&h(C,void 0);for(const C of r)Cp(C)&&!(g!=null&&g(C))&&h(t.getMergedSymbol(C.symbol),C)}function Wit(t,r){var a;const u=(a=r.getSymlinkCache)==null?void 0:a.call(r).getSymlinkedDirectoriesByRealpath();return({fileName:h,path:p})=>{if(t.some(g=>g.test(h)))return!0;if(u!=null&&u.size&&yx(h)){let g=ts(h);return kg(ts(p),C=>{const b=u.get(Ad(C));if(b)return b.some(T=>t.some(E=>E.test(h.replace(g,T))));g=ts(g)})??!1}return!1}}function WAe(t,r){return r.autoImportFileExcludePatterns?Wit(Fit(r,yP(t)),t):()=>!1}function Pj(t,r,a,u,h){var p,g,C,b,T;const E=sl();(p=r.getPackageJsonAutoImportProvider)==null||p.call(r);const N=((g=r.getCachedExportInfoMap)==null?void 0:g.call(r))||bhe({getCurrentProgram:()=>a,getPackageJsonAutoImportProvider:()=>{var F;return(F=r.getPackageJsonAutoImportProvider)==null?void 0:F.call(r)},getGlobalTypingsCacheLocation:()=>{var F;return(F=r.getGlobalTypingsCacheLocation)==null?void 0:F.call(r)}});if(N.isUsableByFile(t.path))return(C=r.log)==null||C.call(r,"getExportInfoMap: cache hit"),N;(b=r.log)==null||b.call(r,"getExportInfoMap: cache miss or empty; calculating new results");let R=0;try{She(a,r,u,!0,(F,H,U,q)=>{++R%100===0&&(h==null||h.throwIfCancellationRequested());const X=new Map,Z=U.getTypeChecker(),Q=KZ(F,Z);Q&&Vit(Q.symbol,Z)&&N.add(t.path,Q.symbol,Q.exportKind===1?"default":"export=",F,H,Q.exportKind,q,Z),Z.forEachExportAndPropertyOfModule(F,(re,oe)=>{re!==(Q==null?void 0:Q.symbol)&&Vit(re,Z)&&Pg(X,oe)&&N.add(t.path,re,oe,F,H,0,q,Z)})})}catch(F){throw N.clear(),F}return(T=r.log)==null||T.call(r,`getExportInfoMap: done in ${sl()-E} ms`),N}function KZ(t,r){const a=r.resolveExternalModuleSymbol(t);if(a!==t)return{symbol:a,exportKind:2};const u=r.tryGetMemberInModuleExports("default",t);if(u)return{symbol:u,exportKind:1}}function Vit(t,r){return!r.isUndefinedSymbol(t)&&!r.isUnknownSymbol(t)&&!LV(t)&&!jTe(t)}function q7t(t,r,a){let u;return XZ(t,r,a,(h,p)=>(u=p?[h,p]:h,!0)),j.checkDefined(u)}function XZ(t,r,a,u){let h,p=t;const g=new Map;for(;p;){const C=mhe(p);if(C){const b=u(C);if(b)return b}if(p.escapedName!=="default"&&p.escapedName!=="export="){const b=u(p.name);if(b)return b}if(h=fn(h,p),!Pg(g,p))break;p=p.flags&2097152?r.getImmediateAliasedSymbol(p):void 0}for(const C of h??w)if(C.parent&&H6(C.parent)){const b=u(Ej(C.parent,a,!1),Ej(C.parent,a,!0));if(b)return b}}function Hit(){const t=Ov(99,!1);function r(u,h,p){return K7t(a(u,h,p),u)}function a(u,h,p){let g=0,C=0;const b=[],{prefix:T,pushTemplate:E}=Z7t(h);u=T+u;const N=T.length;E&&b.push(16),t.setText(u);let R=0;const F=[];let H=0;do{g=t.scan(),n3(g)||(U(),C=g);const q=t.getTokenEnd();if(G7t(t.getTokenStart(),q,N,t5t(g),F),q>=u.length){const X=J7t(t,g,rl(b));X!==void 0&&(R=X)}}while(g!==1);function U(){switch(g){case 44:case 69:!$7t[C]&&t.reScanSlashToken()===14&&(g=14);break;case 30:C===80&&H++;break;case 32:H>0&&H--;break;case 133:case 154:case 150:case 136:case 155:H>0&&!p&&(g=80);break;case 16:b.push(g);break;case 19:b.length>0&&b.push(g);break;case 20:if(b.length>0){const q=rl(b);q===16?(g=t.reScanTemplateToken(!1),g===18?b.pop():j.assertEqual(g,17,"Should have been a template middle.")):(j.assertEqual(q,19,"Should have been an open brace"),b.pop())}break;default:if(!P_(g))break;(C===25||P_(C)&&P_(g)&&!Q7t(C,g))&&(g=80)}}return{endOfLineState:R,spans:F}}return{getClassificationsForLine:r,getEncodedLexicalClassifications:a}}var $7t=Sa([80,11,9,10,14,110,46,47,22,24,20,112,97],t=>t,()=>!0);function J7t(t,r,a){switch(r){case 11:{if(!t.isUnterminated())return;const u=t.getTokenText(),h=u.length-1;let p=0;for(;u.charCodeAt(h-p)===92;)p++;return p&1?u.charCodeAt(0)===34?3:2:void 0}case 3:return t.isUnterminated()?1:void 0;default:if(Gw(r)){if(!t.isUnterminated())return;switch(r){case 18:return 5;case 15:return 4;default:return j.fail("Only 'NoSubstitutionTemplateLiteral's and 'TemplateTail's can be unterminated; got SyntaxKind #"+r)}}return a===16?6:void 0}}function G7t(t,r,a,u,h){if(u===8)return;t===0&&a>0&&(t+=a);const p=r-t;p>0&&h.push(t-a,p,u)}function K7t(t,r){const a=[],u=t.spans;let h=0;for(let g=0;g<u.length;g+=3){const C=u[g],b=u[g+1],T=u[g+2];if(h>=0){const E=C-h;E>0&&a.push({length:E,classification:4})}a.push({length:b,classification:X7t(T)}),h=C+b}const p=r.length-h;return p>0&&a.push({length:p,classification:4}),{entries:a,finalLexState:t.endOfLineState}}function X7t(t){switch(t){case 1:return 3;case 3:return 1;case 4:return 6;case 25:return 7;case 5:return 2;case 6:return 8;case 8:return 4;case 10:return 0;case 2:case 11:case 12:case 13:case 14:case 15:case 16:case 9:case 17:return 5;default:return}}function Q7t(t,r){if(!Rfe(t))return!0;switch(r){case 139:case 153:case 137:case 126:case 129:return!0;default:return!1}}function Z7t(t){switch(t){case 3:return{prefix:`"\\
+`};case 2:return{prefix:`'\\
+`};case 1:return{prefix:`/*
+`};case 4:return{prefix:"`\n"};case 5:return{prefix:`}
+`,pushTemplate:!0};case 6:return{prefix:"",pushTemplate:!0};case 0:return{prefix:""};default:return j.assertNever(t)}}function Y7t(t){switch(t){case 42:case 44:case 45:case 40:case 41:case 48:case 49:case 50:case 30:case 32:case 33:case 34:case 104:case 103:case 130:case 152:case 35:case 36:case 37:case 38:case 51:case 53:case 52:case 56:case 57:case 75:case 74:case 79:case 71:case 72:case 73:case 65:case 66:case 67:case 69:case 70:case 64:case 28:case 61:case 76:case 77:case 78:return!0;default:return!1}}function e5t(t){switch(t){case 40:case 41:case 55:case 54:case 46:case 47:return!0;default:return!1}}function t5t(t){if(P_(t))return 3;if(Y7t(t)||e5t(t))return 5;if(t>=19&&t<=79)return 10;switch(t){case 9:return 4;case 10:return 25;case 11:return 6;case 14:return 7;case 7:case 3:case 2:return 1;case 5:case 4:return 8;case 80:default:return Gw(t)?6:2}}function VAe(t,r,a,u,h){return Uit(whe(t,r,a,u,h))}function jit(t,r){switch(r){case 267:case 263:case 264:case 262:case 231:case 218:case 219:t.throwIfCancellationRequested()}}function whe(t,r,a,u,h){const p=[];return a.forEachChild(function C(b){if(!(!b||!LW(h,b.pos,b.getFullWidth()))){if(jit(r,b.kind),ot(b)&&!lu(b)&&u.has(b.escapedText)){const T=t.getSymbolAtLocation(b),E=T&&zit(T,zI(b),t);E&&g(b.getStart(a),b.getEnd(),E)}b.forEachChild(C)}}),{spans:p,endOfLineState:0};function g(C,b,T){const E=b-C;j.assert(E>0,`Classification had non-positive length of ${E}`),p.push(C),p.push(E),p.push(T)}}function zit(t,r,a){const u=t.getFlags();if(u&2885600)return u&32?11:u&384?12:u&524288?16:u&1536?r&4||r&1&&i5t(t)?14:void 0:u&2097152?zit(a.getAliasedSymbol(t),r,a):r&2?u&64?13:u&262144?15:void 0:void 0}function i5t(t){return Ft(t.declarations,r=>rd(r)&&nC(r)===1)}function n5t(t){switch(t){case 1:return"comment";case 2:return"identifier";case 3:return"keyword";case 4:return"number";case 25:return"bigint";case 5:return"operator";case 6:return"string";case 8:return"whitespace";case 9:return"text";case 10:return"punctuation";case 11:return"class name";case 12:return"enum name";case 13:return"interface name";case 14:return"module name";case 15:return"type parameter name";case 16:return"type alias name";case 17:return"parameter name";case 18:return"doc comment tag name";case 19:return"jsx open tag name";case 20:return"jsx close tag name";case 21:return"jsx self closing tag name";case 22:return"jsx attribute";case 23:return"jsx text";case 24:return"jsx attribute string literal value";default:return}}function Uit(t){j.assert(t.spans.length%3===0);const r=t.spans,a=[];for(let u=0;u<r.length;u+=3)a.push({textSpan:Ff(r[u],r[u+1]),classificationType:n5t(r[u+2])});return a}function HAe(t,r,a){return Uit(xhe(t,r,a))}function xhe(t,r,a){const u=a.start,h=a.length,p=Ov(99,!1,r.languageVariant,r.text),g=Ov(99,!1,r.languageVariant,r.text),C=[];return re(r),{spans:C,endOfLineState:0};function b(oe,pe,de){C.push(oe),C.push(pe),C.push(de)}function T(oe){for(p.resetTokenState(oe.pos);;){const pe=p.getTokenEnd();if(!Uke(r.text,pe))return pe;const de=p.scan(),ue=p.getTokenEnd(),Ie=ue-pe;if(!n3(de))return pe;switch(de){case 4:case 5:continue;case 2:case 3:E(oe,de,pe,Ie),p.resetTokenState(ue);continue;case 7:const we=r.text,he=we.charCodeAt(pe);if(he===60||he===62){b(pe,Ie,1);continue}j.assert(he===124||he===61),U(we,pe,ue);break;case 6:break;default:j.assertNever(de)}}}function E(oe,pe,de,ue){if(pe===3){const Ie=CIe(r.text,de,ue);if(Ie&&Ie.jsDoc){_c(Ie.jsDoc,oe),R(Ie.jsDoc);return}}else if(pe===2&&F(de,ue))return;N(de,ue)}function N(oe,pe){b(oe,pe,1)}function R(oe){var pe,de,ue,Ie,we,he,Ae,ke;let Te=oe.pos;if(oe.tags)for(const qe of oe.tags){qe.pos!==Te&&N(Te,qe.pos-Te),b(qe.pos,1,10),b(qe.tagName.pos,qe.tagName.end-qe.tagName.pos,18),Te=qe.tagName.end;let se=qe.tagName.end;switch(qe.kind){case 341:const Ne=qe;De(Ne),se=Ne.isNameFirst&&((pe=Ne.typeExpression)==null?void 0:pe.end)||Ne.name.end;break;case 348:const Oe=qe;se=Oe.isNameFirst&&((de=Oe.typeExpression)==null?void 0:de.end)||Oe.name.end;break;case 345:H(qe),Te=qe.end,se=qe.typeParameters.end;break;case 346:const He=qe;se=((ue=He.typeExpression)==null?void 0:ue.kind)===309&&((Ie=He.fullName)==null?void 0:Ie.end)||((we=He.typeExpression)==null?void 0:we.end)||se;break;case 338:se=qe.typeExpression.end;break;case 344:re(qe.typeExpression),Te=qe.end,se=qe.typeExpression.end;break;case 343:case 340:se=qe.typeExpression.end;break;case 342:re(qe.typeExpression),Te=qe.end,se=((he=qe.typeExpression)==null?void 0:he.end)||se;break;case 347:se=((Ae=qe.name)==null?void 0:Ae.end)||se;break;case 328:case 329:se=qe.class.end;break;case 349:re(qe.typeExpression),Te=qe.end,se=((ke=qe.typeExpression)==null?void 0:ke.end)||se;break}typeof qe.comment=="object"?N(qe.comment.pos,qe.comment.end-qe.comment.pos):typeof qe.comment=="string"&&N(se,qe.end-se)}Te!==oe.end&&N(Te,oe.end-Te);return;function De(qe){qe.isNameFirst&&(N(Te,qe.name.pos-Te),b(qe.name.pos,qe.name.end-qe.name.pos,17),Te=qe.name.end),qe.typeExpression&&(N(Te,qe.typeExpression.pos-Te),re(qe.typeExpression),Te=qe.typeExpression.end),qe.isNameFirst||(N(Te,qe.name.pos-Te),b(qe.name.pos,qe.name.end-qe.name.pos,17),Te=qe.name.end)}}function F(oe,pe){const de=/^(\/\/\/\s*)(<)(?:(\S+)((?:[^/]|\/[^>])*)(\/>)?)?/m,ue=/(\s)(\S+)(\s*)(=)(\s*)('[^']+'|"[^"]+")/g,Ie=r.text.substr(oe,pe),we=de.exec(Ie);if(!we||!we[3]||!(we[3]in GJ))return!1;let he=oe;N(he,we[1].length),he+=we[1].length,b(he,we[2].length,10),he+=we[2].length,b(he,we[3].length,21),he+=we[3].length;const Ae=we[4];let ke=he;for(;;){const De=ue.exec(Ae);if(!De)break;const qe=he+De.index+De[1].length;qe>ke&&(N(ke,qe-ke),ke=qe),b(ke,De[2].length,22),ke+=De[2].length,De[3].length&&(N(ke,De[3].length),ke+=De[3].length),b(ke,De[4].length,5),ke+=De[4].length,De[5].length&&(N(ke,De[5].length),ke+=De[5].length),b(ke,De[6].length,24),ke+=De[6].length}he+=we[4].length,he>ke&&N(ke,he-ke),we[5]&&(b(he,we[5].length,10),he+=we[5].length);const Te=oe+pe;return he<Te&&N(he,Te-he),!0}function H(oe){for(const pe of oe.getChildren())re(pe)}function U(oe,pe,de){let ue;for(ue=pe;ue<de&&!mh(oe.charCodeAt(ue));ue++);for(b(pe,ue-pe,1),g.resetTokenState(ue);g.getTokenEnd()<de;)q()}function q(){const oe=g.getTokenEnd(),pe=g.scan(),de=g.getTokenEnd(),ue=Q(pe);ue&&b(oe,de-oe,ue)}function X(oe){if(z0(oe)||lu(oe))return!0;const pe=Z(oe);if(!eP(oe)&&oe.kind!==12&&pe===void 0)return!1;const de=oe.kind===12?oe.pos:T(oe),ue=oe.end-de;if(j.assert(ue>=0),ue>0){const Ie=pe||Q(oe.kind,oe);Ie&&b(de,ue,Ie)}return!0}function Z(oe){switch(oe.parent&&oe.parent.kind){case 286:if(oe.parent.tagName===oe)return 19;break;case 287:if(oe.parent.tagName===oe)return 20;break;case 285:if(oe.parent.tagName===oe)return 21;break;case 291:if(oe.parent.name===oe)return 22;break}}function Q(oe,pe){if(P_(oe))return 3;if((oe===30||oe===32)&&pe&&cAe(pe.parent))return 10;if(Kae(oe)){if(pe){const de=pe.parent;if(oe===64&&(de.kind===260||de.kind===172||de.kind===169||de.kind===291)||de.kind===226||de.kind===224||de.kind===225||de.kind===227)return 5}return 10}else{if(oe===9)return 4;if(oe===10)return 25;if(oe===11)return pe&&pe.parent.kind===291?24:6;if(oe===14)return 6;if(Gw(oe))return 6;if(oe===12)return 23;if(oe===80){if(pe){switch(pe.parent.kind){case 263:return pe.parent.name===pe?11:void 0;case 168:return pe.parent.name===pe?15:void 0;case 264:return pe.parent.name===pe?13:void 0;case 266:return pe.parent.name===pe?12:void 0;case 267:return pe.parent.name===pe?14:void 0;case 169:return pe.parent.name===pe?ix(pe)?3:17:void 0}if(O0(pe.parent))return 3}return 2}}}function re(oe){if(oe&&PW(u,h,oe.pos,oe.getFullWidth())){jit(t,oe.kind);for(const pe of oe.getChildren(r))X(pe)||re(pe)}}}var QZ;(t=>{function r(he,Ae,ke,Te,De){const qe=R_(ke,Te);if(qe.parent&&(z1(qe.parent)&&qe.parent.tagName===qe||oD(qe.parent))){const{openingElement:se,closingElement:Ne}=qe.parent.parent,Oe=[se,Ne].map(({tagName:He})=>a(He,ke));return[{fileName:ke.fileName,highlightSpans:Oe}]}return u(Te,qe,he,Ae,De)||h(qe,ke)}t.getDocumentHighlights=r;function a(he,Ae){return{fileName:Ae.fileName,textSpan:f_(he,Ae),kind:"none"}}function u(he,Ae,ke,Te,De){const qe=new Set(De.map(He=>He.fileName)),se=kl.getReferenceEntriesForNode(he,Ae,ke,De,Te,void 0,qe);if(!se)return;const Ne=Cn(se.map(kl.toHighlightSpan),He=>He.fileName,He=>He.span),Oe=Mf(ke.useCaseSensitiveFileNames());return as(ld(Ne.entries(),([He,Pe])=>{if(!qe.has(He)){if(!ke.redirectTargetsMap.has(ol(He,ke.getCurrentDirectory(),Oe)))return;const We=ke.getSourceFile(He);He=Fe(De,Nt=>!!Nt.redirectInfo&&Nt.redirectInfo.redirectTarget===We).fileName,j.assert(qe.has(He))}return{fileName:He,highlightSpans:Pe}}))}function h(he,Ae){const ke=p(he,Ae);return ke&&[{fileName:Ae.fileName,highlightSpans:ke}]}function p(he,Ae){switch(he.kind){case 101:case 93:return r2(he.parent)?ue(he.parent,Ae):void 0;case 107:return Te(he.parent,Og,re);case 111:return Te(he.parent,yle,Q);case 113:case 85:case 98:const qe=he.kind===85?he.parent.parent:he.parent;return Te(qe,NI,Z);case 109:return Te(he.parent,S7,X);case 84:case 90:return k7(he.parent)||x3(he.parent)?Te(he.parent.parent.parent,S7,X):void 0;case 83:case 88:return Te(he.parent,m8,q);case 99:case 117:case 92:return Te(he.parent,se=>Zw(se,!0),U);case 137:return ke(iu,[137]);case 139:case 153:return ke(Qw,[139,153]);case 135:return Te(he.parent,hx,oe);case 134:return De(oe(he));case 127:return De(pe(he));case 103:case 147:return;default:return Xw(he.kind)&&(Wf(he.parent)||Lu(he.parent))?De(R(he.kind,he.parent)):void 0}function ke(qe,se){return Te(he.parent,qe,Ne=>{var Oe;return es((Oe=Jr(Ne,M0))==null?void 0:Oe.symbol.declarations,He=>qe(He)?Fe(He.getChildren(Ae),Pe=>Yt(se,Pe.kind)):void 0)})}function Te(qe,se,Ne){return se(qe)?De(Ne(qe,Ae)):void 0}function De(qe){return qe&&qe.map(se=>a(se,Ae))}}function g(he){return yle(he)?[he]:NI(he)?Js(he.catchClause?g(he.catchClause):he.tryBlock&&g(he.tryBlock),he.finallyBlock&&g(he.finallyBlock)):Ho(he)?void 0:T(he,g)}function C(he){let Ae=he;for(;Ae.parent;){const ke=Ae.parent;if(WT(ke)||ke.kind===307)return ke;if(NI(ke)&&ke.tryBlock===Ae&&ke.catchClause)return Ae;Ae=ke}}function b(he){return m8(he)?[he]:Ho(he)?void 0:T(he,b)}function T(he,Ae){const ke=[];return he.forEachChild(Te=>{const De=Ae(Te);De!==void 0&&ke.push(...JL(De))}),ke}function E(he,Ae){const ke=N(Ae);return!!ke&&ke===he}function N(he){return Qi(he,Ae=>{switch(Ae.kind){case 255:if(he.kind===251)return!1;case 248:case 249:case 250:case 247:case 246:return!he.label||we(Ae,he.label.escapedText);default:return Ho(Ae)&&"quit"}})}function R(he,Ae){return es(F(Ae,CP(he)),ke=>Y3(ke,he))}function F(he,Ae){const ke=he.parent;switch(ke.kind){case 268:case 307:case 241:case 296:case 297:return Ae&64&&hd(he)?[...he.members,he]:ke.statements;case 176:case 174:case 262:return[...ke.parameters,...ss(ke.parent)?ke.parent.members:[]];case 263:case 231:case 264:case 187:const Te=ke.members;if(Ae&15){const De=Fe(ke.members,iu);if(De)return[...Te,...De.parameters]}else if(Ae&64)return[...Te,ke];return Te;default:return}}function H(he,Ae,...ke){return Ae&&Yt(ke,Ae.kind)?(he.push(Ae),!0):!1}function U(he){const Ae=[];if(H(Ae,he.getFirstToken(),99,117,92)&&he.kind===246){const ke=he.getChildren();for(let Te=ke.length-1;Te>=0&&!H(Ae,ke[Te],117);Te--);}return O(b(he.statement),ke=>{E(he,ke)&&H(Ae,ke.getFirstToken(),83,88)}),Ae}function q(he){const Ae=N(he);if(Ae)switch(Ae.kind){case 248:case 249:case 250:case 246:case 247:return U(Ae);case 255:return X(Ae)}}function X(he){const Ae=[];return H(Ae,he.getFirstToken(),109),O(he.caseBlock.clauses,ke=>{H(Ae,ke.getFirstToken(),84,90),O(b(ke),Te=>{E(he,Te)&&H(Ae,Te.getFirstToken(),83)})}),Ae}function Z(he,Ae){const ke=[];if(H(ke,he.getFirstToken(),113),he.catchClause&&H(ke,he.catchClause.getFirstToken(),85),he.finallyBlock){const Te=Uc(he,98,Ae);H(ke,Te,98)}return ke}function Q(he,Ae){const ke=C(he);if(!ke)return;const Te=[];return O(g(ke),De=>{Te.push(Uc(De,111,Ae))}),WT(ke)&&uS(ke,De=>{Te.push(Uc(De,107,Ae))}),Te}function re(he,Ae){const ke=Up(he);if(!ke)return;const Te=[];return uS(ha(ke.body,Xo),De=>{Te.push(Uc(De,107,Ae))}),O(g(ke.body),De=>{Te.push(Uc(De,111,Ae))}),Te}function oe(he){const Ae=Up(he);if(!Ae)return;const ke=[];return Ae.modifiers&&Ae.modifiers.forEach(Te=>{H(ke,Te,134)}),Uo(Ae,Te=>{de(Te,De=>{hx(De)&&H(ke,De.getFirstToken(),135)})}),ke}function pe(he){const Ae=Up(he);if(!Ae)return;const ke=[];return Uo(Ae,Te=>{de(Te,De=>{SX(De)&&H(ke,De.getFirstToken(),127)})}),ke}function de(he,Ae){Ae(he),!Ho(he)&&!ss(he)&&!zf(he)&&!rd(he)&&!Mg(he)&&!ws(he)&&Uo(he,ke=>de(ke,Ae))}function ue(he,Ae){const ke=Ie(he,Ae),Te=[];for(let De=0;De<ke.length;De++){if(ke[De].kind===93&&De<ke.length-1){const qe=ke[De],se=ke[De+1];let Ne=!0;for(let Oe=se.getStart(Ae)-1;Oe>=qe.end;Oe--)if(!k1(Ae.text.charCodeAt(Oe))){Ne=!1;break}if(Ne){Te.push({fileName:Ae.fileName,textSpan:Fu(qe.getStart(),se.end),kind:"reference"}),De++;continue}}Te.push(a(ke[De],Ae))}return Te}function Ie(he,Ae){const ke=[];for(;r2(he.parent)&&he.parent.elseStatement===he;)he=he.parent;for(;;){const Te=he.getChildren(Ae);H(ke,Te[0],101);for(let De=Te.length-1;De>=0&&!H(ke,Te[De],93);De--);if(!he.elseStatement||!r2(he.elseStatement))break;he=he.elseStatement}return ke}function we(he,Ae){return!!Qi(he.parent,ke=>_x(ke)?ke.label.escapedText===Ae:"quit")}})(QZ||(QZ={}));function Aj(t){return!!t.sourceFile}function jAe(t,r,a){return khe(t,r,a)}function khe(t,r="",a,u){const h=new Map,p=Mf(!!t);function g(){const q=as(h.keys()).filter(X=>X&&X.charAt(0)==="_").map(X=>{const Z=h.get(X),Q=[];return Z.forEach((re,oe)=>{Aj(re)?Q.push({name:oe,scriptKind:re.sourceFile.scriptKind,refCount:re.languageServiceRefCount}):re.forEach((pe,de)=>Q.push({name:oe,scriptKind:de,refCount:pe.languageServiceRefCount}))}),Q.sort((re,oe)=>oe.refCount-re.refCount),{bucket:X,sourceFiles:Q}});return JSON.stringify(q,void 0,2)}function C(q){return typeof q.getCompilationSettings=="function"?q.getCompilationSettings():q}function b(q,X,Z,Q,re,oe){const pe=ol(q,r,p),de=The(C(X));return T(q,pe,X,de,Z,Q,re,oe)}function T(q,X,Z,Q,re,oe,pe,de){return F(q,X,Z,Q,re,oe,!0,pe,de)}function E(q,X,Z,Q,re,oe){const pe=ol(q,r,p),de=The(C(X));return N(q,pe,X,de,Z,Q,re,oe)}function N(q,X,Z,Q,re,oe,pe,de){return F(q,X,C(Z),Q,re,oe,!1,pe,de)}function R(q,X){const Z=Aj(q)?q:q.get(j.checkDefined(X,"If there are more than one scriptKind's for same document the scriptKind should be provided"));return j.assert(X===void 0||!Z||Z.sourceFile.scriptKind===X,`Script kind should match provided ScriptKind:${X} and sourceFile.scriptKind: ${Z==null?void 0:Z.sourceFile.scriptKind}, !entry: ${!Z}`),Z}function F(q,X,Z,Q,re,oe,pe,de,ue){var Ie,we,he,Ae;de=qK(q,de);const ke=C(Z),Te=Z===ke?void 0:Z,De=de===6?100:Ja(ke),qe=typeof ue=="object"?ue:{languageVersion:De,impliedNodeFormat:Te&&ZH(X,(Ae=(he=(we=(Ie=Te.getCompilerHost)==null?void 0:Ie.call(Te))==null?void 0:we.getModuleResolutionCache)==null?void 0:he.call(we))==null?void 0:Ae.getPackageJsonInfoCache(),Te,ke),setExternalModuleIndicator:$V(ke),jsDocParsingMode:a};qe.languageVersion=De,j.assertEqual(a,qe.jsDocParsingMode);const se=h.size,Ne=zAe(Q,qe.impliedNodeFormat),Oe=mp(h,Ne,()=>new Map);if(Zn){h.size>se&&Zn.instant(Zn.Phase.Session,"createdDocumentRegistryBucket",{configFilePath:ke.configFilePath,key:Ne});const ze=!df(X)&&cf(h,(Nt,$e)=>$e!==Ne&&Nt.has(X)&&$e);ze&&Zn.instant(Zn.Phase.Session,"documentRegistryBucketOverlap",{path:X,key1:ze,key2:Ne})}const He=Oe.get(X);let Pe=He&&R(He,de);if(!Pe&&u){const ze=u.getDocument(Ne,X);ze&&ze.scriptKind===de&&ze.text===uA(re)&&(j.assert(pe),Pe={sourceFile:ze,languageServiceRefCount:0},We())}if(Pe)Pe.sourceFile.version!==oe&&(Pe.sourceFile=r_e(Pe.sourceFile,re,oe,re.getChangeRange(Pe.sourceFile.scriptSnapshot)),u&&u.setDocument(Ne,X,Pe.sourceFile)),pe&&Pe.languageServiceRefCount++;else{const ze=hY(q,re,qe,oe,!1,de);u&&u.setDocument(Ne,X,ze),Pe={sourceFile:ze,languageServiceRefCount:1},We()}return j.assert(Pe.languageServiceRefCount!==0),Pe.sourceFile;function We(){if(!He)Oe.set(X,Pe);else if(Aj(He)){const ze=new Map;ze.set(He.sourceFile.scriptKind,He),ze.set(de,Pe),Oe.set(X,ze)}else He.set(de,Pe)}}function H(q,X,Z,Q){const re=ol(q,r,p),oe=The(X);return U(re,oe,Z,Q)}function U(q,X,Z,Q){const re=j.checkDefined(h.get(zAe(X,Q))),oe=re.get(q),pe=R(oe,Z);pe.languageServiceRefCount--,j.assert(pe.languageServiceRefCount>=0),pe.languageServiceRefCount===0&&(Aj(oe)?re.delete(q):(oe.delete(Z),oe.size===1&&re.set(q,Y(oe.values(),hl))))}return{acquireDocument:b,acquireDocumentWithKey:T,updateDocument:E,updateDocumentWithKey:N,releaseDocument:H,releaseDocumentWithKey:U,getKeyForCompilationSettings:The,getDocumentRegistryBucketKeyWithMode:zAe,reportStats:g,getBuckets:()=>h}}function The(t){return hue(t,Xle)}function zAe(t,r){return r?`${t}|${r}`:t}function UAe(t,r,a,u,h,p,g){const C=yP(u),b=Mf(C),T=Dhe(r,a,b,g),E=Dhe(a,r,b,g);return er.ChangeTracker.with({host:u,formatContext:h,preferences:p},N=>{s5t(t,N,T,r,a,u.getCurrentDirectory(),C),o5t(t,N,T,E,u,b)})}function Dhe(t,r,a,u){const h=a(t);return g=>{const C=u&&u.tryGetSourcePosition({fileName:g,pos:0}),b=p(C?C.fileName:g);return C?b===void 0?void 0:r5t(C.fileName,b,g,a):b};function p(g){if(a(g)===h)return r;const C=Mce(g,h,a);return C===void 0?void 0:r+"/"+C}}function r5t(t,r,a,u){const h=o8(t,r,u);return qAe(ts(a),h)}function s5t(t,r,a,u,h,p,g){const{configFile:C}=t.getCompilerOptions();if(!C)return;const b=ts(C.fileName),T=I8(C);if(!T)return;$Ae(T,(F,H)=>{switch(H){case"files":case"include":case"exclude":{if(E(F)||H!=="include"||!jf(F.initializer))return;const q=es(F.initializer.elements,Z=>Ha(Z)?Z.text:void 0);if(q.length===0)return;const X=UK(b,[],q,g,p);ax(j.checkDefined(X.includeFilePattern),g).test(u)&&!ax(j.checkDefined(X.includeFilePattern),g).test(h)&&r.insertNodeAfter(C,va(F.initializer.elements),G.createStringLiteral(R(h)));return}case"compilerOptions":$Ae(F.initializer,(U,q)=>{const X=eue(q);j.assert((X==null?void 0:X.type)!=="listOrElement"),X&&(X.isFilePath||X.type==="list"&&X.element.isFilePath)?E(U):q==="paths"&&$Ae(U.initializer,Z=>{if(jf(Z.initializer))for(const Q of Z.initializer.elements)N(Q)})});return}});function E(F){const H=jf(F.initializer)?F.initializer.elements:[F.initializer];let U=!1;for(const q of H)U=N(q)||U;return U}function N(F){if(!Ha(F))return!1;const H=qAe(b,F.text),U=a(H);return U!==void 0?(r.replaceRangeWithText(C,$it(F,C),R(U)),!0):!1}function R(F){return c0(b,F,!g)}}function o5t(t,r,a,u,h,p){const g=t.getSourceFiles();for(const C of g){const b=a(C.fileName),T=b??C.fileName,E=ts(T),N=u(C.fileName),R=N||C.fileName,F=ts(R),H=b!==void 0||N!==void 0;l5t(C,r,U=>{if(!am(U))return;const q=qAe(F,U),X=a(q);return X===void 0?void 0:sI(c0(E,X,p))},U=>{const q=t.getTypeChecker().getSymbolAtLocation(U);if(q!=null&&q.declarations&&q.declarations.some(Z=>Vh(Z)))return;const X=N!==void 0?qit(U,nA(U.text,R,t.getCompilerOptions(),h),a,g):c5t(q,U,C,t,h,a);return X!==void 0&&(X.updated||H&&am(U.text))?wS.updateModuleSpecifier(t.getCompilerOptions(),C,T,X.newFileName,dA(t,h),U.text):void 0})}}function a5t(t,r){return ca(zr(t,r))}function qAe(t,r){return sI(a5t(t,r))}function c5t(t,r,a,u,h,p){if(t){const g=Fe(t.declarations,Os).fileName,C=p(g);return C===void 0?{newFileName:g,updated:!1}:{newFileName:C,updated:!0}}else{const g=u.getModeForUsageLocation(a,r),C=h.resolveModuleNameLiterals||!h.resolveModuleNames?u.getResolvedModuleFromModuleSpecifier(r,a):h.getResolvedModuleWithFailedLookupLocationsFromCache&&h.getResolvedModuleWithFailedLookupLocationsFromCache(r.text,a.fileName,g);return qit(r,C,p,u.getSourceFiles())}}function qit(t,r,a,u){if(!r)return;if(r.resolvedModule){const b=C(r.resolvedModule.resolvedFileName);if(b)return b}const h=O(r.failedLookupLocations,p)||am(t.text)&&O(r.failedLookupLocations,g);if(h)return h;return r.resolvedModule&&{newFileName:r.resolvedModule.resolvedFileName,updated:!1};function p(b){const T=a(b);return T&&Fe(u,E=>E.fileName===T)?g(b):void 0}function g(b){return su(b,"/package.json")?void 0:C(b)}function C(b){const T=a(b);return T&&{newFileName:T,updated:!0}}}function l5t(t,r,a,u){for(const h of t.referencedFiles||w){const p=a(h.fileName);p!==void 0&&p!==t.text.slice(h.pos,h.end)&&r.replaceRangeWithText(t,h,p)}for(const h of t.imports){const p=u(h);p!==void 0&&p!==h.text&&r.replaceRangeWithText(t,$it(h,t),p)}}function $it(t,r){return Um(t.getStart(r)+1,t.end-1)}function $Ae(t,r){if(Aa(t))for(const a of t.properties)_d(a)&&Ha(a.name)&&r(a,a.name.text)}var Ehe=(t=>(t[t.exact=0]="exact",t[t.prefix=1]="prefix",t[t.substring=2]="substring",t[t.camelCase=3]="camelCase",t))(Ehe||{});function m5(t,r){return{kind:t,isCaseSensitive:r}}function JAe(t){const r=new Map,a=t.trim().split(".").map(u=>h5t(u.trim()));if(a.length===1&&a[0].totalTextChunk.text==="")return{getMatchForLastSegmentOfPattern:()=>m5(2,!0),getFullMatch:()=>m5(2,!0),patternContainsDots:!1};if(!a.some(u=>!u.subWordTextChunks.length))return{getFullMatch:(u,h)=>u5t(u,h,a,r),getMatchForLastSegmentOfPattern:u=>GAe(u,va(a),r),patternContainsDots:a.length>1}}function u5t(t,r,a,u){if(!GAe(r,va(a),u)||a.length-1>t.length)return;let p;for(let g=a.length-2,C=t.length-1;g>=0;g-=1,C-=1)p=Kit(p,GAe(t[C],a[g],u));return p}function Jit(t,r){let a=r.get(t);return a||r.set(t,a=e4e(t)),a}function Git(t,r,a){const u=_5t(t,r.textLowerCase);if(u===0)return m5(r.text.length===t.length?0:1,so(t,r.text));if(r.isLowerCase){if(u===-1)return;const h=Jit(t,a);for(const p of h)if(KAe(t,p,r.text,!0))return m5(2,KAe(t,p,r.text,!1));if(r.text.length<t.length&&sO(t.charCodeAt(u)))return m5(2,!1)}else{if(t.indexOf(r.text)>0)return m5(2,!0);if(r.characterSpans.length>0){const h=Jit(t,a),p=Xit(t,h,r,!1)?!0:Xit(t,h,r,!0)?!1:void 0;if(p!==void 0)return m5(3,p)}}}function GAe(t,r,a){if(Ihe(r.totalTextChunk.text,p=>p!==32&&p!==42)){const p=Git(t,r.totalTextChunk,a);if(p)return p}const u=r.subWordTextChunks;let h;for(const p of u)h=Kit(h,Git(t,p,a));return h}function Kit(t,r){return Zse([t,r],d5t)}function d5t(t,r){return t===void 0?1:r===void 0?-1:_l(t.kind,r.kind)||Ak(!t.isCaseSensitive,!r.isCaseSensitive)}function KAe(t,r,a,u,h={start:0,length:a.length}){return h.length<=r.length&&ent(0,h.length,p=>f5t(a.charCodeAt(h.start+p),t.charCodeAt(r.start+p),u))}function f5t(t,r,a){return a?XAe(t)===XAe(r):t===r}function Xit(t,r,a,u){const h=a.characterSpans;let p=0,g=0;for(;;){if(g===h.length)return!0;if(p===r.length)return!1;let C=r[p],b=!1;for(;g<h.length;g++){const T=h[g];if(b&&(!sO(a.text.charCodeAt(h[g-1].start))||!sO(a.text.charCodeAt(h[g].start)))||!KAe(t,C,a.text,u,T))break;b=!0,C=Ff(C.start+T.length,C.length-T.length)}p++}}function h5t(t){return{totalTextChunk:ZAe(t),subWordTextChunks:m5t(t)}}function sO(t){if(t>=65&&t<=90)return!0;if(t<127||!iG(t,99))return!1;const r=String.fromCharCode(t);return r===r.toUpperCase()}function Qit(t){if(t>=97&&t<=122)return!0;if(t<127||!iG(t,99))return!1;const r=String.fromCharCode(t);return r===r.toLowerCase()}function _5t(t,r){const a=t.length-r.length;for(let u=0;u<=a;u++)if(Ihe(r,(h,p)=>XAe(t.charCodeAt(p+u))===h))return u;return-1}function XAe(t){return t>=65&&t<=90?97+(t-65):t<127?t:String.fromCharCode(t).toLowerCase().charCodeAt(0)}function QAe(t){return t>=48&&t<=57}function p5t(t){return sO(t)||Qit(t)||QAe(t)||t===95||t===36}function m5t(t){const r=[];let a=0,u=0;for(let h=0;h<t.length;h++){const p=t.charCodeAt(h);p5t(p)?(u===0&&(a=h),u++):u>0&&(r.push(ZAe(t.substr(a,u))),u=0)}return u>0&&r.push(ZAe(t.substr(a,u))),r}function ZAe(t){const r=t.toLowerCase();return{text:t,textLowerCase:r,isLowerCase:t===r,characterSpans:YAe(t)}}function YAe(t){return Zit(t,!1)}function e4e(t){return Zit(t,!0)}function Zit(t,r){const a=[];let u=0;for(let h=1;h<t.length;h++){const p=QAe(t.charCodeAt(h-1)),g=QAe(t.charCodeAt(h)),C=y5t(t,r,h),b=r&&g5t(t,h,u);(t4e(t.charCodeAt(h-1))||t4e(t.charCodeAt(h))||p!==g||C||b)&&(Yit(t,u,h)||a.push(Ff(u,h-u)),u=h)}return Yit(t,u,t.length)||a.push(Ff(u,t.length-u)),a}function t4e(t){switch(t){case 33:case 34:case 35:case 37:case 38:case 39:case 40:case 41:case 42:case 44:case 45:case 46:case 47:case 58:case 59:case 63:case 64:case 91:case 92:case 93:case 95:case 123:case 125:return!0}return!1}function Yit(t,r,a){return Ihe(t,u=>t4e(u)&&u!==95,r,a)}function g5t(t,r,a){return r!==a&&r+1<t.length&&sO(t.charCodeAt(r))&&Qit(t.charCodeAt(r+1))&&Ihe(t,sO,a,r)}function y5t(t,r,a){const u=sO(t.charCodeAt(a-1));return sO(t.charCodeAt(a))&&(!r||!u)}function ent(t,r,a){for(let u=t;u<r;u++)if(!a(u))return!1;return!0}function Ihe(t,r,a=0,u=t.length){return ent(a,u,h=>r(t.charCodeAt(h),h))}function tnt(t,r=!0,a=!1){const u={languageVersion:1,pragmas:void 0,checkJsDirective:void 0,referencedFiles:[],typeReferenceDirectives:[],libReferenceDirectives:[],amdDependencies:[],hasNoDefaultLib:void 0,moduleName:void 0},h=[];let p,g,C,b=0,T=!1;function E(){return g=C,C=Uh.scan(),C===19?b++:C===20&&b--,C}function N(){const oe=Uh.getTokenValue(),pe=Uh.getTokenStart();return{fileName:oe,pos:pe,end:pe+oe.length}}function R(){p||(p=[]),p.push({ref:N(),depth:b})}function F(){h.push(N()),H()}function H(){b===0&&(T=!0)}function U(){let oe=Uh.getToken();return oe===138?(oe=E(),oe===144&&(oe=E(),oe===11&&R()),!0):!1}function q(){if(g===25)return!1;let oe=Uh.getToken();if(oe===102){if(oe=E(),oe===21){if(oe=E(),oe===11||oe===15)return F(),!0}else{if(oe===11)return F(),!0;if(oe===156&&Uh.lookAhead(()=>{const de=Uh.scan();return de!==161&&(de===42||de===19||de===80||P_(de))})&&(oe=E()),oe===80||P_(oe))if(oe=E(),oe===161){if(oe=E(),oe===11)return F(),!0}else if(oe===64){if(Z(!0))return!0}else if(oe===28)oe=E();else return!0;if(oe===19){for(oe=E();oe!==20&&oe!==1;)oe=E();oe===20&&(oe=E(),oe===161&&(oe=E(),oe===11&&F()))}else oe===42&&(oe=E(),oe===130&&(oe=E(),(oe===80||P_(oe))&&(oe=E(),oe===161&&(oe=E(),oe===11&&F()))))}return!0}return!1}function X(){let oe=Uh.getToken();if(oe===95){if(H(),oe=E(),oe===156&&Uh.lookAhead(()=>{const de=Uh.scan();return de===42||de===19})&&(oe=E()),oe===19){for(oe=E();oe!==20&&oe!==1;)oe=E();oe===20&&(oe=E(),oe===161&&(oe=E(),oe===11&&F()))}else if(oe===42)oe=E(),oe===161&&(oe=E(),oe===11&&F());else if(oe===102&&(oe=E(),oe===156&&Uh.lookAhead(()=>{const de=Uh.scan();return de===80||P_(de)})&&(oe=E()),(oe===80||P_(oe))&&(oe=E(),oe===64&&Z(!0))))return!0;return!0}return!1}function Z(oe,pe=!1){let de=oe?E():Uh.getToken();return de===149?(de=E(),de===21&&(de=E(),(de===11||pe&&de===15)&&F()),!0):!1}function Q(){let oe=Uh.getToken();if(oe===80&&Uh.getTokenValue()==="define"){if(oe=E(),oe!==21)return!0;if(oe=E(),oe===11||oe===15)if(oe=E(),oe===28)oe=E();else return!0;if(oe!==23)return!0;for(oe=E();oe!==24&&oe!==1;)(oe===11||oe===15)&&F(),oe=E();return!0}return!1}function re(){for(Uh.setText(t),E();Uh.getToken()!==1;){if(Uh.getToken()===16){const oe=[Uh.getToken()];e:for(;P(oe);){const pe=Uh.scan();switch(pe){case 1:break e;case 102:q();break;case 16:oe.push(pe);break;case 19:P(oe)&&oe.push(pe);break;case 20:P(oe)&&(rl(oe)===16?Uh.reScanTemplateToken(!1)===18&&oe.pop():oe.pop());break}}E()}U()||q()||X()||a&&(Z(!1,!0)||Q())||E()}Uh.setText(void 0)}if(r&&re(),qle(u,t),$le(u,Tc),T){if(p)for(const oe of p)h.push(oe.ref);return{referencedFiles:u.referencedFiles,typeReferenceDirectives:u.typeReferenceDirectives,libReferenceDirectives:u.libReferenceDirectives,importedFiles:h,isLibFile:!!u.hasNoDefaultLib,ambientExternalModules:void 0}}else{let oe;if(p)for(const pe of p)pe.depth===0?(oe||(oe=[]),oe.push(pe.ref.fileName)):h.push(pe.ref);return{referencedFiles:u.referencedFiles,typeReferenceDirectives:u.typeReferenceDirectives,libReferenceDirectives:u.libReferenceDirectives,importedFiles:h,isLibFile:!!u.hasNoDefaultLib,ambientExternalModules:oe}}}var v5t=/^data:(?:application\/json;charset=[uU][tT][fF]-8;base64,([A-Za-z0-9+/=]+)$)?/;function i4e(t){const r=Mf(t.useCaseSensitiveFileNames()),a=t.getCurrentDirectory(),u=new Map,h=new Map;return{tryGetSourcePosition:C,tryGetGeneratedPosition:b,toLineColumnOffset:R,clearCache:F,documentPositionMappers:h};function p(H){return ol(H,a,r)}function g(H,U){const q=p(H),X=h.get(q);if(X)return X;let Z;if(t.getDocumentPositionMapper)Z=t.getDocumentPositionMapper(H,U);else if(t.readFile){const Q=N(H);Z=Q&&Nhe({getSourceFileLike:N,getCanonicalFileName:r,log:re=>t.log(re)},H,Rue(Q.text,Lv(Q)),re=>!t.fileExists||t.fileExists(re)?t.readFile(re):void 0)}return h.set(q,Z||Bue),Z||Bue}function C(H){if(!df(H.fileName)||!T(H.fileName))return;const q=g(H.fileName).getSourcePosition(H);return!q||q===H?void 0:C(q)||q}function b(H){if(df(H.fileName))return;const U=T(H.fileName);if(!U)return;const q=t.getProgram();if(q.isSourceOfProjectReferenceRedirect(U.fileName))return;const Z=q.getCompilerOptions().outFile,Q=Z?s_(Z)+".d.ts":hK(H.fileName,q.getCompilerOptions(),q);if(Q===void 0)return;const re=g(Q,H.fileName).getGeneratedPosition(H);return re===H?void 0:re}function T(H){const U=t.getProgram();if(!U)return;const q=p(H),X=U.getSourceFileByPath(q);return X&&X.resolvedPath===q?X:void 0}function E(H){const U=p(H),q=u.get(U);if(q!==void 0)return q||void 0;if(!t.readFile||t.fileExists&&!t.fileExists(H)){u.set(U,!1);return}const X=t.readFile(H),Z=X?b5t(X):!1;return u.set(U,Z),Z||void 0}function N(H){return t.getSourceFileLike?t.getSourceFileLike(H):T(H)||E(H)}function R(H,U){return N(H).getLineAndCharacterOfPosition(U)}function F(){u.clear(),h.clear()}}function Nhe(t,r,a,u){let h=NNe(a);if(h){const C=v5t.exec(h);if(C){if(C[1]){const b=C[1];return int(t,hDe(Pd,b),r)}h=void 0}}const p=[];h&&p.push(h),p.push(r+".map");const g=h&&wo(h,ts(r));for(const C of p){const b=wo(C,ts(r)),T=u(b,g);if(Oo(T))return int(t,T,b);if(T!==void 0)return T||void 0}}function int(t,r,a){const u=LNe(r);if(!(!u||!u.sources||!u.file||!u.mappings)&&!(u.sourcesContent&&u.sourcesContent.some(Oo)))return ANe(t,u,a)}function b5t(t,r){return{text:t,lineMap:r,getLineAndCharacterOfPosition(a){return P6(Lv(this),a)}}}var n4e=new Map;function Lhe(t,r,a){var u;r.getSemanticDiagnostics(t,a);const h=[],p=r.getTypeChecker();!(r.getImpliedNodeFormatForEmit(t)===1||Ru(t.fileName,[".cts",".cjs"]))&&t.commonJsModuleIndicator&&(hAe(r)||zfe(r.getCompilerOptions()))&&C5t(t)&&h.push(Kn(k5t(t.commonJsModuleIndicator),k.File_is_a_CommonJS_module_it_may_be_converted_to_an_ES_module));const C=r_(t);if(n4e.clear(),b(t),EP(r.getCompilerOptions()))for(const T of t.imports){const E=O8(T),N=S5t(E);if(!N)continue;const R=(u=r.getResolvedModuleFromModuleSpecifier(T,t))==null?void 0:u.resolvedModule,F=R&&r.getSourceFile(R.resolvedFileName);F&&F.externalModuleIndicator&&F.externalModuleIndicator!==!0&&Il(F.externalModuleIndicator)&&F.externalModuleIndicator.isExportEquals&&h.push(Kn(N,k.Import_may_be_converted_to_a_default_import))}return Sr(h,t.bindSuggestionDiagnostics),Sr(h,r.getSuggestionDiagnostics(t,a)),h.sort((T,E)=>T.start-E.start),h;function b(T){if(C)D5t(T,p)&&h.push(Kn(_s(T.parent)?T.parent.name:T,k.This_constructor_function_may_be_converted_to_a_class_declaration));else{if(Lu(T)&&T.parent===t&&T.declarationList.flags&2&&T.declarationList.declarations.length===1){const N=T.declarationList.declarations[0].initializer;N&&L_(N,!0)&&h.push(Kn(N,k.require_call_may_be_converted_to_an_import))}const E=wh.getJSDocTypedefNodes(T);for(const N of E)h.push(Kn(N,k.JSDoc_typedef_may_be_converted_to_TypeScript_type));wh.parameterShouldGetTypeFromJSDoc(T)&&h.push(Kn(T.name||T,k.JSDoc_types_may_be_moved_to_TypeScript_types))}Ohe(T)&&w5t(T,p,h),T.forEachChild(b)}}function C5t(t){return t.statements.some(r=>{switch(r.kind){case 243:return r.declarationList.declarations.some(a=>!!a.initializer&&L_(nnt(a.initializer),!0));case 244:{const{expression:a}=r;if(!ur(a))return L_(a,!0);const u=Bu(a);return u===1||u===2}default:return!1}})}function nnt(t){return Nr(t)?nnt(t.expression):t}function S5t(t){switch(t.kind){case 272:const{importClause:r,moduleSpecifier:a}=t;return r&&!r.name&&r.namedBindings&&r.namedBindings.kind===274&&Ha(a)?r.namedBindings.name:void 0;case 271:return t.name;default:return}}function w5t(t,r,a){x5t(t,r)&&!n4e.has(ant(t))&&a.push(Kn(!t.name&&_s(t.parent)&&ot(t.parent.name)?t.parent.name:t,k.This_may_be_converted_to_an_async_function))}function x5t(t,r){return!W8(t)&&t.body&&Xo(t.body)&&T5t(t.body,r)&&Phe(t,r)}function Phe(t,r){const a=r.getSignatureFromDeclaration(t),u=a?r.getReturnTypeOfSignature(a):void 0;return!!u&&!!r.getPromisedTypeOfPromise(u)}function k5t(t){return ur(t)?t.left:t}function T5t(t,r){return!!uS(t,a=>ZZ(a,r))}function ZZ(t,r){return Og(t)&&!!t.expression&&Ahe(t.expression,r)}function Ahe(t,r){if(!rnt(t)||!snt(t)||!t.arguments.every(u=>ont(u,r)))return!1;let a=t.expression.expression;for(;rnt(a)||Nr(a);)if(la(a)){if(!snt(a)||!a.arguments.every(u=>ont(u,r)))return!1;a=a.expression.expression}else a=a.expression;return!0}function rnt(t){return la(t)&&(lj(t,"then")||lj(t,"catch")||lj(t,"finally"))}function snt(t){const r=t.expression.name.text,a=r==="then"?2:r==="catch"||r==="finally"?1:0;return t.arguments.length>a?!1:t.arguments.length<a?!0:a===1||Ft(t.arguments,u=>u.kind===106||ot(u)&&u.text==="undefined")}function ont(t,r){switch(t.kind){case 262:case 218:if(nd(t)&1)return!1;case 219:n4e.set(ant(t),!0);case 106:return!0;case 80:case 211:{const u=r.getSymbolAtLocation(t);return u?r.isUndefinedSymbol(u)||Ft(Vf(u,r).declarations,h=>Ho(h)||aS(h)&&!!h.initializer&&Ho(h.initializer)):!1}default:return!1}}function ant(t){return`${t.pos.toString()}:${t.end.toString()}`}function D5t(t,r){var a,u,h,p;if(ml(t)){if(_s(t.parent)&&((a=t.symbol.members)!=null&&a.size))return!0;const g=r.getSymbolOfExpando(t,!1);return!!(g&&((u=g.exports)!=null&&u.size||(h=g.members)!=null&&h.size))}return Wu(t)?!!((p=t.symbol.members)!=null&&p.size):!1}function Ohe(t){switch(t.kind){case 262:case 174:case 218:case 219:return!0;default:return!1}}var E5t=new Set(["isolatedModules"]);function r4e(t,r){return lnt(t,r,!1)}function cnt(t,r){return lnt(t,r,!0)}var I5t=`/// <reference no-default-lib="true"/>
+interface Boolean {}
+interface Function {}
+interface CallableFunction {}
+interface NewableFunction {}
+interface IArguments {}
+interface Number {}
+interface Object {}
+interface RegExp {}
+interface String {}
+interface Array<T> { length: number; [n: number]: T; }
+interface SymbolConstructor {
+ (desc?: string | number): symbol;
+ for(name: string): symbol;
+ readonly toStringTag: symbol;
+}
+declare var Symbol: SymbolConstructor;
+interface Symbol {
+ readonly [Symbol.toStringTag]: string;
+}`,YZ="lib.d.ts",s4e;function lnt(t,r,a){s4e??(s4e=ZP(YZ,I5t,{languageVersion:99}));const u=[],h=r.compilerOptions?Mhe(r.compilerOptions,u):{},p=fY();for(const U in p)Li(p,U)&&h[U]===void 0&&(h[U]=p[U]);for(const U of LIe)h.verbatimModuleSyntax&&E5t.has(U.name)||(h[U.name]=U.transpileOptionValue);h.suppressOutputPathCheck=!0,h.allowNonTsExtensions=!0,a?(h.declaration=!0,h.emitDeclarationOnly=!0,h.isolatedDeclarations=!0):(h.declaration=!1,h.declarationMap=!1);const g=mS(h),C={getSourceFile:U=>U===ca(b)?T:U===ca(YZ)?s4e:void 0,writeFile:(U,q)=>{Xl(U,".map")?(j.assertEqual(N,void 0,"Unexpected multiple source map outputs, file:",U),N=q):(j.assertEqual(E,void 0,"Unexpected multiple outputs, file:",U),E=q)},getDefaultLibFileName:()=>YZ,useCaseSensitiveFileNames:()=>!1,getCanonicalFileName:U=>U,getCurrentDirectory:()=>"",getNewLine:()=>g,fileExists:U=>U===b||!!a&&U===YZ,readFile:()=>"",directoryExists:()=>!0,getDirectories:()=>[]},b=r.fileName||(r.compilerOptions&&r.compilerOptions.jsx?"module.tsx":"module.ts"),T=ZP(b,t,{languageVersion:Ja(h),impliedNodeFormat:ZH(ol(b,"",C.getCanonicalFileName),void 0,C,h),setExternalModuleIndicator:$V(h),jsDocParsingMode:r.jsDocParsingMode??0});r.moduleName&&(T.moduleName=r.moduleName),r.renamedDependencies&&(T.renamedDependencies=new Map(Object.entries(r.renamedDependencies)));let E,N;const F=YH(a?[b,YZ]:[b],h,C);r.reportDiagnostics&&(Sr(u,F.getSyntacticDiagnostics(T)),Sr(u,F.getOptionsDiagnostics()));const H=F.emit(void 0,void 0,void 0,a,r.transformers,a);return Sr(u,H.diagnostics),E===void 0?j.fail("Output generation failed"):{outputText:E,diagnostics:u,sourceMapText:N}}function unt(t,r,a,u,h){const p=r4e(t,{compilerOptions:r,fileName:a,reportDiagnostics:!!u,moduleName:h});return Sr(u,p.diagnostics),p.outputText}var o4e;function Mhe(t,r){o4e=o4e||$t(U0,a=>typeof a.type=="object"&&!cf(a.type,u=>typeof u!="number")),t=Ffe(t);for(const a of o4e){if(!Li(t,a.name))continue;const u=t[a.name];Oo(u)?t[a.name]=qX(a,u,r):cf(a.type,h=>h===u)||r.push(AIe(a))}return t}var a4e={};c(a4e,{getNavigateToItems:()=>dnt});function dnt(t,r,a,u,h,p,g){const C=JAe(u);if(!C)return w;const b=[],T=t.length===1?t[0]:void 0;for(const E of t)a.throwIfCancellationRequested(),!(p&&E.isDeclarationFile)&&(fnt(E,!!g,T)||E.getNamedDeclarations().forEach((N,R)=>{N5t(C,R,N,r,E.fileName,!!g,T,b)}));return b.sort(O5t),(h===void 0?b:b.slice(0,h)).map(M5t)}function fnt(t,r,a){return t!==a&&r&&(Dj(t.path)||t.hasNoDefaultLib)}function N5t(t,r,a,u,h,p,g,C){const b=t.getMatchForLastSegmentOfPattern(r);if(b){for(const T of a)if(L5t(T,u,p,g))if(t.patternContainsDots){const E=t.getFullMatch(A5t(T),r);E&&C.push({name:r,fileName:h,matchKind:E.kind,isCaseSensitive:E.isCaseSensitive,declaration:T})}else C.push({name:r,fileName:h,matchKind:b.kind,isCaseSensitive:b.isCaseSensitive,declaration:T})}}function L5t(t,r,a,u){var h;switch(t.kind){case 273:case 276:case 271:const p=r.getSymbolAtLocation(t.name),g=r.getAliasedSymbol(p);return p.escapedName!==g.escapedName&&!((h=g.declarations)!=null&&h.every(C=>fnt(C.getSourceFile(),a,u)));default:return!0}}function P5t(t,r){const a=No(t);return!!a&&(hnt(a,r)||a.kind===167&&c4e(a.expression,r))}function c4e(t,r){return hnt(t,r)||Nr(t)&&(r.push(t.name.text),!0)&&c4e(t.expression,r)}function hnt(t,r){return P1(t)&&(r.push(Lg(t)),!0)}function A5t(t){const r=[],a=No(t);if(a&&a.kind===167&&!c4e(a.expression,r))return w;r.shift();let u=UI(t);for(;u;){if(!P5t(u,r))return w;u=UI(u)}return r.reverse(),r}function O5t(t,r){return _l(t.matchKind,r.matchKind)||gW(t.name,r.name)}function M5t(t){const r=t.declaration,a=UI(r),u=a&&No(a);return{name:t.name,kind:gD(r),kindModifiers:a5(r),matchKind:Ehe[t.matchKind],isCaseSensitive:t.isCaseSensitive,fileName:t.fileName,textSpan:f_(r),containerName:u?u.text:"",containerKind:u?gD(a):""}}var l4e={};c(l4e,{getNavigationBarItems:()=>pnt,getNavigationTree:()=>mnt});var R5t=/\s+/g,u4e=150,Rhe,Oj,eY=[],ES,_nt=[],oO,d4e=[];function pnt(t,r){Rhe=r,Oj=t;try{return qt(H5t(vnt(t)),j5t)}finally{gnt()}}function mnt(t,r){Rhe=r,Oj=t;try{return Ent(vnt(t))}finally{gnt()}}function gnt(){Oj=void 0,Rhe=void 0,eY=[],ES=void 0,d4e=[]}function tY(t){return g5(t.getText(Oj))}function Fhe(t){return t.node.kind}function ynt(t,r){t.children?t.children.push(r):t.children=[r]}function vnt(t){j.assert(!eY.length);const r={node:t,name:void 0,additionalNodes:void 0,parent:void 0,children:void 0,indent:0};ES=r;for(const a of t.statements)_A(a);return g2(),j.assert(!ES&&!eY.length),r}function CD(t,r){ynt(ES,f4e(t,r))}function f4e(t,r){return{node:t,name:r||(Wf(t)||jt(t)?No(t):void 0),additionalNodes:void 0,parent:ES,children:void 0,indent:ES.indent+1}}function bnt(t){oO||(oO=new Map),oO.set(t,!0)}function Cnt(t){for(let r=0;r<t;r++)g2()}function Snt(t,r){const a=[];for(;!P1(r);){const u=gV(r),h=$b(r);r=r.expression,!(h==="prototype"||Vs(u))&&a.push(u)}a.push(r);for(let u=a.length-1;u>0;u--){const h=a[u];SD(t,h)}return[a.length-1,a[0]]}function SD(t,r){const a=f4e(t,r);ynt(ES,a),eY.push(ES),_nt.push(oO),oO=void 0,ES=a}function g2(){ES.children&&(Bhe(ES.children,ES),p4e(ES.children)),ES=eY.pop(),oO=_nt.pop()}function y2(t,r,a){SD(t,a),_A(r),g2()}function wnt(t){t.initializer&&U5t(t.initializer)?(SD(t),Uo(t.initializer,_A),g2()):y2(t,t.initializer)}function h4e(t){const r=No(t);if(r===void 0)return!1;if(Ka(r)){const a=r.expression;return pl(a)||A_(a)||cm(a)}return!!r}function _A(t){if(Rhe.throwIfCancellationRequested(),!(!t||eP(t)))switch(t.kind){case 176:const r=t;y2(r,r.body);for(const g of r.parameters)vp(g,r)&&CD(g);break;case 174:case 177:case 178:case 173:h4e(t)&&y2(t,t.body);break;case 172:h4e(t)&&wnt(t);break;case 171:h4e(t)&&CD(t);break;case 273:const a=t;a.name&&CD(a.name);const{namedBindings:u}=a;if(u)if(u.kind===274)CD(u);else for(const g of u.elements)CD(g);break;case 304:y2(t,t.name);break;case 305:const{expression:h}=t;ot(h)?CD(t,h):CD(t);break;case 208:case 303:case 260:{const g=t;ta(g.name)?_A(g.name):wnt(g);break}case 262:const p=t.name;p&&ot(p)&&bnt(p.text),y2(t,t.body);break;case 219:case 218:y2(t,t.body);break;case 266:SD(t);for(const g of t.members)z5t(g)||CD(g);g2();break;case 263:case 231:case 264:SD(t);for(const g of t.members)_A(g);g2();break;case 267:y2(t,Nnt(t).body);break;case 277:{const g=t.expression,C=Aa(g)||la(g)?g:xl(g)||ml(g)?g.body:void 0;C?(SD(t),_A(C),g2()):CD(t);break}case 281:case 271:case 181:case 179:case 180:case 265:CD(t);break;case 213:case 226:{const g=Bu(t);switch(g){case 1:case 2:y2(t,t.right);return;case 6:case 3:{const C=t,b=C.left,T=g===3?b.expression:b;let E=0,N;ot(T.expression)?(bnt(T.expression.text),N=T.expression):[E,N]=Snt(C,T.expression),g===6?Aa(C.right)&&C.right.properties.length>0&&(SD(C,N),Uo(C.right,_A),g2()):ml(C.right)||xl(C.right)?y2(t,C.right,N):(SD(C,N),y2(t,C.right,b.name),g2()),Cnt(E);return}case 7:case 9:{const C=t,b=g===7?C.arguments[0]:C.arguments[0].expression,T=C.arguments[1],[E,N]=Snt(t,b);SD(t,N),SD(t,Ht(G.createIdentifier(T.text),T)),_A(t.arguments[2]),g2(),g2(),Cnt(E);return}case 5:{const C=t,b=C.left,T=b.expression;if(ot(T)&&$b(b)!=="prototype"&&oO&&oO.has(T.text)){ml(C.right)||xl(C.right)?y2(t,C.right,T):jT(b)&&(SD(C,T),y2(C.left,C.right,gV(b)),g2());return}break}case 4:case 0:case 8:break;default:j.assertNever(g)}}default:jp(t)&&O(t.jsDoc,g=>{O(g.tags,C=>{Ng(C)&&CD(C)})}),Uo(t,_A)}}function Bhe(t,r){const a=new Map;Hi(t,(u,h)=>{const p=u.name||No(u.node),g=p&&tY(p);if(!g)return!0;const C=a.get(g);if(!C)return a.set(g,u),!0;if(C instanceof Array){for(const b of C)if(xnt(b,u,h,r))return!1;return C.push(u),!0}else{const b=C;return xnt(b,u,h,r)?!1:(a.set(g,[b,u]),!0)}})}var Mj={5:!0,3:!0,7:!0,9:!0,0:!1,1:!1,2:!1,8:!1,6:!0,4:!1};function F5t(t,r,a,u){function h(C){return ml(C)||Wu(C)||_s(C)}const p=ur(r.node)||la(r.node)?Bu(r.node):0,g=ur(t.node)||la(t.node)?Bu(t.node):0;if(Mj[p]&&Mj[g]||h(t.node)&&Mj[p]||h(r.node)&&Mj[g]||hd(t.node)&&_4e(t.node)&&Mj[p]||hd(r.node)&&Mj[g]||hd(t.node)&&_4e(t.node)&&h(r.node)||hd(r.node)&&h(t.node)&&_4e(t.node)){let C=t.additionalNodes&&rl(t.additionalNodes)||t.node;if(!hd(t.node)&&!hd(r.node)||h(t.node)||h(r.node)){const T=h(t.node)?t.node:h(r.node)?r.node:void 0;if(T!==void 0){const E=Ht(G.createConstructorDeclaration(void 0,[],void 0),T),N=f4e(E);N.indent=t.indent+1,N.children=t.node===T?t.children:r.children,t.children=t.node===T?Js([N],r.children||[r]):Js(t.children||[{...t}],[N])}else(t.children||r.children)&&(t.children=Js(t.children||[{...t}],r.children||[r]),t.children&&(Bhe(t.children,t),p4e(t.children)));C=t.node=Ht(G.createClassDeclaration(void 0,t.name||G.createIdentifier("__class__"),void 0,void 0,[]),t.node)}else t.children=Js(t.children,r.children),t.children&&Bhe(t.children,t);const b=r.node;return u.children[a-1].node.end===C.end?Ht(C,{pos:C.pos,end:b.end}):(t.additionalNodes||(t.additionalNodes=[]),t.additionalNodes.push(Ht(G.createClassDeclaration(void 0,t.name||G.createIdentifier("__class__"),void 0,void 0,[]),r.node))),!0}return p!==0}function xnt(t,r,a,u){return F5t(t,r,a,u)?!0:B5t(t.node,r.node,u)?(W5t(t,r),!0):!1}function B5t(t,r,a){if(t.kind!==r.kind||t.parent!==r.parent&&!(knt(t,a)&&knt(r,a)))return!1;switch(t.kind){case 172:case 174:case 177:case 178:return pa(t)===pa(r);case 267:return Tnt(t,r)&&y4e(t)===y4e(r);default:return!0}}function _4e(t){return!!(t.flags&16)}function knt(t,r){const a=H1(t.parent)?t.parent.parent:t.parent;return a===r.node||Yt(r.additionalNodes,a)}function Tnt(t,r){return!t.body||!r.body?t.body===r.body:t.body.kind===r.body.kind&&(t.body.kind!==267||Tnt(t.body,r.body))}function W5t(t,r){t.additionalNodes=t.additionalNodes||[],t.additionalNodes.push(r.node),r.additionalNodes&&t.additionalNodes.push(...r.additionalNodes),t.children=Js(t.children,r.children),t.children&&(Bhe(t.children,t),p4e(t.children))}function p4e(t){t.sort(V5t)}function V5t(t,r){return gW(Dnt(t.node),Dnt(r.node))||_l(Fhe(t),Fhe(r))}function Dnt(t){if(t.kind===267)return Int(t);const r=No(t);if(r&&id(r)){const a=CI(r);return a&&Ws(a)}switch(t.kind){case 218:case 219:case 231:return Pnt(t);default:return}}function m4e(t,r){if(t.kind===267)return g5(Int(t));if(r){const a=ot(r)?r.text:wl(r)?`[${tY(r.argumentExpression)}]`:tY(r);if(a.length>0)return g5(a)}switch(t.kind){case 307:const a=t;return wd(a)?`"${Vy(ud(s_(ca(a.fileName))))}"`:"<global>";case 277:return Il(t)&&t.isExportEquals?"export=":"default";case 219:case 262:case 218:case 263:case 231:return _S(t)&2048?"default":Pnt(t);case 176:return"constructor";case 180:return"new()";case 179:return"()";case 181:return"[]";default:return"<unknown>"}}function H5t(t){const r=[];function a(h){if(u(h)&&(r.push(h),h.children))for(const p of h.children)a(p)}return a(t),r;function u(h){if(h.children)return!0;switch(Fhe(h)){case 263:case 231:case 266:case 264:case 267:case 307:case 265:case 346:case 338:return!0;case 219:case 262:case 218:return p(h);default:return!1}function p(g){if(!g.node.body)return!1;switch(Fhe(g.parent)){case 268:case 307:case 174:case 176:return!0;default:return!1}}}}function Ent(t){return{text:m4e(t.node,t.name),kind:gD(t.node),kindModifiers:Lnt(t.node),spans:g4e(t),nameSpan:t.name&&v4e(t.name),childItems:qt(t.children,Ent)}}function j5t(t){return{text:m4e(t.node,t.name),kind:gD(t.node),kindModifiers:Lnt(t.node),spans:g4e(t),childItems:qt(t.children,r)||d4e,indent:t.indent,bolded:!1,grayed:!1};function r(a){return{text:m4e(a.node,a.name),kind:gD(a.node),kindModifiers:a5(a.node),spans:g4e(a),childItems:d4e,indent:0,bolded:!1,grayed:!1}}}function g4e(t){const r=[v4e(t.node)];if(t.additionalNodes)for(const a of t.additionalNodes)r.push(v4e(a));return r}function Int(t){return Vh(t)?uu(t.name):y4e(t)}function y4e(t){const r=[Lg(t.name)];for(;t.body&&t.body.kind===267;)t=t.body,r.push(Lg(t.name));return r.join(".")}function Nnt(t){return t.body&&rd(t.body)?Nnt(t.body):t}function z5t(t){return!t.name||t.name.kind===167}function v4e(t){return t.kind===307?DS(t):f_(t,Oj)}function Lnt(t){return t.parent&&t.parent.kind===260&&(t=t.parent),a5(t)}function Pnt(t){const{parent:r}=t;if(t.name&&GW(t.name)>0)return g5(al(t.name));if(_s(r))return g5(al(r.name));if(ur(r)&&r.operatorToken.kind===64)return tY(r.left).replace(R5t,"");if(_d(r))return tY(r.name);if(_S(t)&2048)return"default";if(ss(t))return"<class>";if(la(r)){let a=Ant(r.expression);if(a!==void 0){if(a=g5(a),a.length>u4e)return`${a} callback`;const u=g5(es(r.arguments,h=>Bc(h)||nP(h)?h.getText(Oj):void 0).join(", "));return`${a}(${u}) callback`}}return"<function>"}function Ant(t){if(ot(t))return t.text;if(Nr(t)){const r=Ant(t.expression),a=t.name.text;return r===void 0?a:`${r}.${a}`}else return}function U5t(t){switch(t.kind){case 219:case 218:case 231:return!0;default:return!1}}function g5(t){return t=t.length>u4e?t.substring(0,u4e)+"...":t,t.replace(/\\?(?:\r?\n|[\r\u2028\u2029])/g,"")}var pA={};c(pA,{addExportsInOldFile:()=>N4e,addImportsForMovedSymbols:()=>L4e,addNewFileToTsconfig:()=>I4e,addOrRemoveBracesToArrowFunction:()=>VFt,addTargetFileImports:()=>V4e,containsJsx:()=>O4e,convertArrowFunctionOrFunctionExpression:()=>qFt,convertParamsToDestructuredObject:()=>i9t,convertStringOrTemplateLiteral:()=>b9t,convertToOptionalChainExpression:()=>N9t,createNewFileName:()=>A4e,doChangeNamedToNamespaceOrDefault:()=>Wnt,extractSymbol:()=>Prt,generateGetAccessorAndSetAccessor:()=>hBt,getApplicableRefactors:()=>q5t,getEditsForRefactor:()=>$5t,getExistingLocals:()=>B4e,getIdentifierForNode:()=>W4e,getNewStatementsAndRemoveFromOldFile:()=>E4e,getStatementsToMove:()=>Rj,getUsageInfo:()=>iY,inferFunctionReturnType:()=>_Bt,isRefactorErrorInfo:()=>sC,refactorKindBeginsWith:()=>v2,registerRefactor:()=>Qv});var b4e=new Map;function Qv(t,r){b4e.set(t,r)}function q5t(t,r){return as($d(b4e.values(),a=>{var u;return t.cancellationToken&&t.cancellationToken.isCancellationRequested()||!((u=a.kinds)!=null&&u.some(h=>v2(h,t.kind)))?void 0:a.getAvailableActions(t,r)}))}function $5t(t,r,a,u){const h=b4e.get(r);return h&&h.getEditsForAction(t,a,u)}var C4e="Convert export",Whe={name:"Convert default export to named export",description:Bo(k.Convert_default_export_to_named_export),kind:"refactor.rewrite.export.named"},Vhe={name:"Convert named export to default export",description:Bo(k.Convert_named_export_to_default_export),kind:"refactor.rewrite.export.default"};Qv(C4e,{kinds:[Whe.kind,Vhe.kind],getAvailableActions:function(r){const a=Ont(r,r.triggerReason==="invoked");if(!a)return w;if(!sC(a)){const u=a.wasDefault?Whe:Vhe;return[{name:C4e,description:u.description,actions:[u]}]}return r.preferences.provideRefactorNotApplicableReason?[{name:C4e,description:Bo(k.Convert_default_export_to_named_export),actions:[{...Whe,notApplicableReason:a.error},{...Vhe,notApplicableReason:a.error}]}]:w},getEditsForAction:function(r,a){j.assert(a===Whe.name||a===Vhe.name,"Unexpected action name");const u=Ont(r);return j.assert(u&&!sC(u),"Expected applicable refactor info"),{edits:er.ChangeTracker.with(r,p=>J5t(r.file,r.program,u,p,r.cancellationToken)),renameFilename:void 0,renameLocation:void 0}}});function Ont(t,r=!0){const{file:a,program:u}=t,h=fA(t),p=Rs(a,h.start),g=p.parent&&_S(p.parent)&32&&r?p.parent:bj(p,a,h);if(!g||!Os(g.parent)&&!(H1(g.parent)&&Vh(g.parent.parent)))return{error:Bo(k.Could_not_find_export_statement)};const C=u.getTypeChecker(),b=Z5t(g.parent,C),T=_S(g)||(Il(g)&&!g.isExportEquals?2080:0),E=!!(T&2048);if(!(T&32)||!E&&b.exports.has("default"))return{error:Bo(k.This_file_already_has_a_default_export)};const N=R=>ot(R)&&C.getSymbolAtLocation(R)?void 0:{error:Bo(k.Can_only_convert_named_export)};switch(g.kind){case 262:case 263:case 264:case 266:case 265:case 267:{const R=g;return R.name?N(R.name)||{exportNode:R,exportName:R.name,wasDefault:E,exportingModuleSymbol:b}:void 0}case 243:{const R=g;if(!(R.declarationList.flags&2)||R.declarationList.declarations.length!==1)return;const F=ya(R.declarationList.declarations);return F.initializer?(j.assert(!E,"Can't have a default flag here"),N(F.name)||{exportNode:R,exportName:F.name,wasDefault:E,exportingModuleSymbol:b}):void 0}case 277:{const R=g;return R.isExportEquals?void 0:N(R.expression)||{exportNode:R,exportName:R.expression,wasDefault:E,exportingModuleSymbol:b}}default:return}}function J5t(t,r,a,u,h){G5t(t,a,u,r.getTypeChecker()),K5t(r,a,u,h)}function G5t(t,{wasDefault:r,exportNode:a,exportName:u},h,p){if(r)if(Il(a)&&!a.isExportEquals){const g=a.expression,C=Mnt(g.text,g.text);h.replaceNode(t,a,G.createExportDeclaration(void 0,!1,G.createNamedExports([C])))}else h.delete(t,j.checkDefined(Y3(a,90),"Should find a default keyword in modifier list"));else{const g=j.checkDefined(Y3(a,95),"Should find an export keyword in modifier list");switch(a.kind){case 262:case 263:case 264:h.insertNodeAfter(t,g,G.createToken(90));break;case 243:const C=ya(a.declarationList.declarations);if(!kl.Core.isSymbolReferencedInFile(u,p,t)&&!C.type){h.replaceNode(t,a,G.createExportDefault(j.checkDefined(C.initializer,"Initializer was previously known to be present")));break}case 266:case 265:case 267:h.deleteModifier(t,g),h.insertNodeAfter(t,a,G.createExportDefault(G.createIdentifier(u.text)));break;default:j.fail(`Unexpected exportNode kind ${a.kind}`)}}}function K5t(t,{wasDefault:r,exportName:a,exportingModuleSymbol:u},h,p){const g=t.getTypeChecker(),C=j.checkDefined(g.getSymbolAtLocation(a),"Export name should resolve to a symbol");kl.Core.eachExportReference(t.getSourceFiles(),g,p,C,u,a.text,r,b=>{if(a===b)return;const T=b.getSourceFile();r?X5t(T,b,h,a.text):Q5t(T,b,h)})}function X5t(t,r,a,u){const{parent:h}=r;switch(h.kind){case 211:a.replaceNode(t,r,G.createIdentifier(u));break;case 276:case 281:{const g=h;a.replaceNode(t,g,S4e(u,g.name.text));break}case 273:{const g=h;j.assert(g.name===r,"Import clause name should match provided ref");const C=S4e(u,r.text),{namedBindings:b}=g;if(!b)a.replaceNode(t,r,G.createNamedImports([C]));else if(b.kind===274){a.deleteRange(t,{pos:r.getStart(t),end:b.getStart(t)});const T=Ha(g.parent.moduleSpecifier)?qfe(g.parent.moduleSpecifier,t):1,E=Sx(void 0,[S4e(u,r.text)],g.parent.moduleSpecifier,T);a.insertNodeAfter(t,g.parent,E)}else a.delete(t,r),a.insertNodeAtEndOfList(t,b.elements,C);break}case 205:const p=h;a.replaceNode(t,h,G.createImportTypeNode(p.argument,p.attributes,G.createIdentifier(u),p.typeArguments,p.isTypeOf));break;default:j.failBadSyntaxKind(h)}}function Q5t(t,r,a){const u=r.parent;switch(u.kind){case 211:a.replaceNode(t,r,G.createIdentifier("default"));break;case 276:{const h=G.createIdentifier(u.name.text);u.parent.elements.length===1?a.replaceNode(t,u.parent,h):(a.delete(t,u),a.insertNodeBefore(t,u.parent,h));break}case 281:{a.replaceNode(t,u,Mnt("default",u.name.text));break}default:j.assertNever(u,`Unexpected parent kind ${u.kind}`)}}function S4e(t,r){return G.createImportSpecifier(!1,t===r?void 0:G.createIdentifier(t),G.createIdentifier(r))}function Mnt(t,r){return G.createExportSpecifier(!1,t===r?void 0:G.createIdentifier(t),G.createIdentifier(r))}function Z5t(t,r){if(Os(t))return t.symbol;const a=t.parent.symbol;return a.valueDeclaration&&BT(a.valueDeclaration)?r.getMergedSymbol(a):a}var w4e="Convert import",Hhe={0:{name:"Convert namespace import to named imports",description:Bo(k.Convert_namespace_import_to_named_imports),kind:"refactor.rewrite.import.named"},2:{name:"Convert named imports to namespace import",description:Bo(k.Convert_named_imports_to_namespace_import),kind:"refactor.rewrite.import.namespace"},1:{name:"Convert named imports to default import",description:Bo(k.Convert_named_imports_to_default_import),kind:"refactor.rewrite.import.default"}};Qv(w4e,{kinds:So(Hhe).map(t=>t.kind),getAvailableActions:function(r){const a=Rnt(r,r.triggerReason==="invoked");if(!a)return w;if(!sC(a)){const u=Hhe[a.convertTo];return[{name:w4e,description:u.description,actions:[u]}]}return r.preferences.provideRefactorNotApplicableReason?So(Hhe).map(u=>({name:w4e,description:u.description,actions:[{...u,notApplicableReason:a.error}]})):w},getEditsForAction:function(r,a){j.assert(Ft(So(Hhe),p=>p.name===a),"Unexpected action name");const u=Rnt(r);return j.assert(u&&!sC(u),"Expected applicable refactor info"),{edits:er.ChangeTracker.with(r,p=>Y5t(r.file,r.program,p,u)),renameFilename:void 0,renameLocation:void 0}}});function Rnt(t,r=!0){const{file:a}=t,u=fA(t),h=Rs(a,u.start),p=r?Qi(h,om(du,q1)):bj(h,a,u);if(p===void 0||!(du(p)||q1(p)))return{error:"Selection is not an import declaration."};const g=u.start+u.length,C=yD(p,p.parent,a);if(C&&g>C.getStart())return;const{importClause:b}=p;return b?b.namedBindings?b.namedBindings.kind===274?{convertTo:0,import:b.namedBindings}:Fnt(t.program,b)?{convertTo:1,import:b.namedBindings}:{convertTo:2,import:b.namedBindings}:{error:Bo(k.Could_not_find_namespace_import_or_named_imports)}:{error:Bo(k.Could_not_find_import_clause)}}function Fnt(t,r){return EP(t.getCompilerOptions())&&iFt(r.parent.moduleSpecifier,t.getTypeChecker())}function Y5t(t,r,a,u){const h=r.getTypeChecker();u.convertTo===0?eFt(t,h,a,u.import,EP(r.getCompilerOptions())):Wnt(t,r,a,u.import,u.convertTo===1)}function eFt(t,r,a,u,h){let p=!1;const g=[],C=new Map;kl.Core.eachSymbolReferenceInFile(u.name,r,t,N=>{if(!zW(N.parent))p=!0;else{const R=Bnt(N.parent).text;r.resolveName(R,N,-1,!0)&&C.set(R,!0),j.assert(tFt(N.parent)===N,"Parent expression should match id"),g.push(N.parent)}});const b=new Map;for(const N of g){const R=Bnt(N).text;let F=b.get(R);F===void 0&&b.set(R,F=C.has(R)?$I(R,t):R),a.replaceNode(t,N,G.createIdentifier(F))}const T=[];b.forEach((N,R)=>{T.push(G.createImportSpecifier(!1,N===R?void 0:G.createIdentifier(R),G.createIdentifier(N)))});const E=u.parent.parent;if(p&&!h&&du(E))a.insertNodeAfter(t,E,Vnt(E,void 0,T));else{const N=p?G.createIdentifier(u.name.text):void 0;a.replaceNode(t,u.parent,Hnt(N,T))}}function Bnt(t){return Nr(t)?t.name:t.right}function tFt(t){return Nr(t)?t.expression:t.left}function Wnt(t,r,a,u,h=Fnt(r,u.parent)){const p=r.getTypeChecker(),g=u.parent.parent,{moduleSpecifier:C}=g,b=new Set;u.elements.forEach(H=>{const U=p.getSymbolAtLocation(H.name);U&&b.add(U)});const T=C&&Ha(C)?Ij(C.text,99):"module";function E(H){return!!kl.Core.eachSymbolReferenceInFile(H.name,p,t,U=>{const q=p.resolveName(T,U,-1,!0);return q?b.has(q)?vh(U.parent):!0:!1})}const R=u.elements.some(E)?$I(T,t):T,F=new Set;for(const H of u.elements){const U=H.propertyName||H.name;kl.Core.eachSymbolReferenceInFile(H.name,p,t,q=>{const X=U.kind===11?G.createElementAccessExpression(G.createIdentifier(R),G.cloneNode(U)):G.createPropertyAccessExpression(G.createIdentifier(R),G.cloneNode(U));lh(q.parent)?a.replaceNode(t,q.parent,G.createPropertyAssignment(q.text,X)):vh(q.parent)?F.add(H):a.replaceNode(t,q,X)})}if(a.replaceNode(t,u,h?G.createIdentifier(R):G.createNamespaceImport(G.createIdentifier(R))),F.size&&du(g)){const H=as(F.values(),U=>G.createImportSpecifier(U.isTypeOnly,U.propertyName&&G.cloneNode(U.propertyName),G.cloneNode(U.name)));a.insertNodeAfter(t,u.parent.parent,Vnt(g,void 0,H))}}function iFt(t,r){const a=r.resolveExternalModuleName(t);if(!a)return!1;const u=r.resolveExternalModuleSymbol(a);return a!==u}function Vnt(t,r,a){return G.createImportDeclaration(void 0,Hnt(r,a),t.moduleSpecifier,void 0)}function Hnt(t,r){return G.createImportClause(!1,t,r&&r.length?G.createNamedImports(r):void 0)}var x4e="Extract type",jhe={name:"Extract to type alias",description:Bo(k.Extract_to_type_alias),kind:"refactor.extract.type"},zhe={name:"Extract to interface",description:Bo(k.Extract_to_interface),kind:"refactor.extract.interface"},Uhe={name:"Extract to typedef",description:Bo(k.Extract_to_typedef),kind:"refactor.extract.typedef"};Qv(x4e,{kinds:[jhe.kind,zhe.kind,Uhe.kind],getAvailableActions:function(r){const{info:a,affectedTextRange:u}=jnt(r,r.triggerReason==="invoked");return a?sC(a)?r.preferences.provideRefactorNotApplicableReason?[{name:x4e,description:Bo(k.Extract_type),actions:[{...Uhe,notApplicableReason:a.error},{...jhe,notApplicableReason:a.error},{...zhe,notApplicableReason:a.error}]}]:w:[{name:x4e,description:Bo(k.Extract_type),actions:a.isJS?[Uhe]:fn([jhe],a.typeElements&&zhe)}].map(p=>({...p,actions:p.actions.map(g=>({...g,range:u?{start:{line:Ia(r.file,u.pos).line,offset:Ia(r.file,u.pos).character},end:{line:Ia(r.file,u.end).line,offset:Ia(r.file,u.end).character}}:void 0}))})):w},getEditsForAction:function(r,a){const{file:u}=r,{info:h}=jnt(r);j.assert(h&&!sC(h),"Expected to find a range to extract");const p=$I("NewType",u),g=er.ChangeTracker.with(r,T=>{switch(a){case jhe.name:return j.assert(!h.isJS,"Invalid actionName/JS combo"),sFt(T,u,p,h);case Uhe.name:return j.assert(h.isJS,"Invalid actionName/JS combo"),aFt(T,r,u,p,h);case zhe.name:return j.assert(!h.isJS&&!!h.typeElements,"Invalid actionName/JS combo"),oFt(T,u,p,h);default:j.fail("Unexpected action name")}}),C=u.fileName,b=wj(g,C,p,!1);return{edits:g,renameFilename:C,renameLocation:b}}});function jnt(t,r=!0){const{file:a,startPosition:u}=t,h=r_(a),p=TZ(fA(t)),g=p.pos===p.end&&r,C=nFt(a,u,p,g);if(!C||!ws(C))return{info:{error:Bo(k.Selection_is_not_a_valid_type_node)},affectedTextRange:void 0};const b=t.program.getTypeChecker(),T=cFt(C,h);if(T===void 0)return{info:{error:Bo(k.No_type_could_be_extracted_from_this_type_node)},affectedTextRange:void 0};const E=lFt(C,T);if(!ws(E))return{info:{error:Bo(k.Selection_is_not_a_valid_type_node)},affectedTextRange:void 0};const N=[];(fx(E.parent)||zP(E.parent))&&p.end>C.end&&Sr(N,E.parent.types.filter(q=>gZ(q,a,p.pos,p.end)));const R=N.length>1?N:E,{typeParameters:F,affectedTextRange:H}=rFt(b,R,T,a);if(!F)return{info:{error:Bo(k.No_type_could_be_extracted_from_this_type_node)},affectedTextRange:void 0};const U=qhe(b,R);return{info:{isJS:h,selection:R,enclosingNode:T,typeParameters:F,typeElements:U},affectedTextRange:H}}function nFt(t,r,a,u){const h=[()=>Rs(t,r),()=>Q3(t,r,()=>!0)];for(const p of h){const g=p(),C=gZ(g,t,a.pos,a.end),b=Qi(g,T=>T.parent&&ws(T)&&!wD(a,T.parent,t)&&(u||C));if(b)return b}}function qhe(t,r){if(r){if(Ao(r)){const a=[];for(const u of r){const h=qhe(t,u);if(!h)return;Sr(a,h)}return a}if(zP(r)){const a=[],u=new Map;for(const h of r.types){const p=qhe(t,h);if(!p||!p.every(g=>g.name&&Pg(u,yj(g.name))))return;Sr(a,p)}return a}else{if(EI(r))return qhe(t,r.type);if(a_(r))return r.members}}}function wD(t,r,a){return fj(t,Va(a.text,r.pos),r.end)}function rFt(t,r,a,u){const h=[],p=JL(r),g={pos:p[0].getStart(u),end:p[p.length-1].end};for(const b of p)if(C(b))return{typeParameters:void 0,affectedTextRange:void 0};return{typeParameters:h,affectedTextRange:g};function C(b){if(qp(b)){if(ot(b.typeName)){const T=b.typeName,E=t.resolveName(T.text,T,262144,!0);for(const N of(E==null?void 0:E.declarations)||w)if($l(N)&&N.getSourceFile()===u){if(N.name.escapedText===T.escapedText&&wD(N,g,u))return!0;if(wD(a,N,u)&&!wD(g,N,u)){mu(h,N);break}}}}else if(DI(b)){const T=Qi(b,E=>iD(E)&&wD(E.extendsType,b,u));if(!T||!wD(g,T,u))return!0}else if(HP(b)||C3(b)){const T=Qi(b.parent,Ho);if(T&&T.type&&wD(T.type,b,u)&&!wD(g,T,u))return!0}else if(tD(b)){if(ot(b.exprName)){const T=t.resolveName(b.exprName.text,b.exprName,111551,!1);if(T!=null&&T.valueDeclaration&&wD(a,T.valueDeclaration,u)&&!wD(g,T.valueDeclaration,u))return!0}else if(ix(b.exprName.left)&&!wD(g,b.parent,u))return!0}return u&&jP(b)&&Ia(u,b.pos).line===Ia(u,b.end).line&&tr(b,1),Uo(b,C)}}function sFt(t,r,a,u){const{enclosingNode:h,typeParameters:p}=u,{firstTypeNode:g,lastTypeNode:C,newTypeNode:b}=k4e(u),T=G.createTypeAliasDeclaration(void 0,a,p.map(E=>G.updateTypeParameterDeclaration(E,E.modifiers,E.name,E.constraint,void 0)),b);t.insertNodeBefore(r,h,ole(T),!0),t.replaceNodeRange(r,g,C,G.createTypeReferenceNode(a,p.map(E=>G.createTypeReferenceNode(E.name,void 0))),{leadingTriviaOption:er.LeadingTriviaOption.Exclude,trailingTriviaOption:er.TrailingTriviaOption.ExcludeWhitespace})}function oFt(t,r,a,u){var h;const{enclosingNode:p,typeParameters:g,typeElements:C}=u,b=G.createInterfaceDeclaration(void 0,a,g,void 0,C);Ht(b,(h=C[0])==null?void 0:h.parent),t.insertNodeBefore(r,p,ole(b),!0);const{firstTypeNode:T,lastTypeNode:E}=k4e(u);t.replaceNodeRange(r,T,E,G.createTypeReferenceNode(a,g.map(N=>G.createTypeReferenceNode(N.name,void 0))),{leadingTriviaOption:er.LeadingTriviaOption.Exclude,trailingTriviaOption:er.TrailingTriviaOption.ExcludeWhitespace})}function aFt(t,r,a,u,h){var p;JL(h.selection).forEach(H=>{tr(H,7168)});const{enclosingNode:g,typeParameters:C}=h,{firstTypeNode:b,lastTypeNode:T,newTypeNode:E}=k4e(h),N=G.createJSDocTypedefTag(G.createIdentifier("typedef"),G.createJSDocTypeExpression(E),G.createIdentifier(u)),R=[];O(C,H=>{const U=R6(H),q=G.createTypeParameterDeclaration(void 0,H.name),X=G.createJSDocTemplateTag(G.createIdentifier("template"),U&&ha(U,o2),[q]);R.push(X)});const F=G.createJSDocComment(void 0,G.createNodeArray(Js(R,[N])));if(z0(g)){const H=g.getStart(a),U=rC(r.host,(p=r.formatContext)==null?void 0:p.options);t.insertNodeAt(a,g.getStart(a),F,{suffix:U+U+a.text.slice(OZ(a.text,H-1),H)})}else t.insertNodeBefore(a,g,F,!0);t.replaceNodeRange(a,b,T,G.createTypeReferenceNode(u,C.map(H=>G.createTypeReferenceNode(H.name,void 0))))}function k4e(t){return Ao(t.selection)?{firstTypeNode:t.selection[0],lastTypeNode:t.selection[t.selection.length-1],newTypeNode:fx(t.selection[0].parent)?G.createUnionTypeNode(t.selection):G.createIntersectionTypeNode(t.selection)}:{firstTypeNode:t.selection,lastTypeNode:t.selection,newTypeNode:t.selection}}function cFt(t,r){return Qi(t,Ps)||(r?Qi(t,z0):void 0)}function lFt(t,r){return Qi(t,a=>a===r?"quit":!!(fx(a.parent)||zP(a.parent)))??t}var $he="Move to file",T4e=Bo(k.Move_to_file),D4e={name:"Move to file",description:T4e,kind:"refactor.move.file"};Qv($he,{kinds:[D4e.kind],getAvailableActions:function(r,a){const u=r.file,h=Rj(r);if(!a)return w;if(r.triggerReason==="implicit"&&r.endPosition!==void 0){const p=Qi(Rs(u,r.startPosition),hA),g=Qi(Rs(u,r.endPosition),hA);if(p&&!Os(p)&&g&&!Os(g))return w}if(r.preferences.allowTextChangesInNewFiles&&h){const p={start:{line:Ia(u,h.all[0].getStart(u)).line,offset:Ia(u,h.all[0].getStart(u)).character},end:{line:Ia(u,va(h.all).end).line,offset:Ia(u,va(h.all).end).character}};return[{name:$he,description:T4e,actions:[{...D4e,range:p}]}]}return r.preferences.provideRefactorNotApplicableReason?[{name:$he,description:T4e,actions:[{...D4e,notApplicableReason:Bo(k.Selection_is_not_a_valid_statement_or_statements)}]}]:w},getEditsForAction:function(r,a,u){j.assert(a===$he,"Wrong refactor invoked");const h=j.checkDefined(Rj(r)),{host:p,program:g}=r;j.assert(u,"No interactive refactor arguments available");const C=u.targetFile;return Uv(C)||IP(C)?p.fileExists(C)&&g.getSourceFile(C)===void 0?znt(Bo(k.Cannot_move_statements_to_the_selected_file)):{edits:er.ChangeTracker.with(r,T=>uFt(r,r.file,u.targetFile,r.program,h,T,r.host,r.preferences)),renameFilename:void 0,renameLocation:void 0}:znt(Bo(k.Cannot_move_to_file_selected_file_is_invalid))}});function znt(t){return{edits:[],renameFilename:void 0,renameLocation:void 0,notApplicableReason:t}}function uFt(t,r,a,u,h,p,g,C){const b=u.getTypeChecker(),T=!g.fileExists(a),E=T?GZ(a,r.externalModuleIndicator?99:r.commonJsModuleIndicator?1:void 0,u,g):j.checkDefined(u.getSourceFile(a)),N=wh.createImportAdder(r,t.program,t.preferences,t.host),R=wh.createImportAdder(E,t.program,t.preferences,t.host);E4e(r,E,iY(r,h.all,b,T?void 0:B4e(E,h.all,b)),p,h,u,g,C,R,N),T&&I4e(u,p,r.fileName,a,hS(g))}function E4e(t,r,a,u,h,p,g,C,b,T){const E=p.getTypeChecker(),N=roe(t.statements,I1),R=!vhe(r.fileName,p,g,!!t.commonJsModuleIndicator),F=$p(t,C);L4e(a.oldFileImportsFromTargetFile,r.fileName,T,p),fFt(t,h.all,a.unusedImportsFromOldFile,T),T.writeFixes(u,F),dFt(t,h.ranges,u),hFt(u,p,g,t,a.movedSymbols,r.fileName,F),N4e(t,a.targetFileImportsFromOldFile,u,R),V4e(t,a.oldImportsNeededByTargetFile,a.targetFileImportsFromOldFile,E,p,b),!Fy(r)&&N.length&&u.insertStatementsInNewFile(r.fileName,N,t),b.writeFixes(u,F);const H=vFt(t,h.all,as(a.oldFileImportsFromTargetFile.keys()),R);Fy(r)&&r.statements.length>0?RFt(u,p,H,r,h):Fy(r)?u.insertNodesAtEndOfFile(r,H,!1):u.insertStatementsInNewFile(r.fileName,b.hasFixes()?[4,...H]:H,t)}function I4e(t,r,a,u,h){const p=t.getCompilerOptions().configFile;if(!p)return;const g=ca(zr(a,"..",u)),C=o8(p.fileName,g,h),b=p.statements[0]&&Jr(p.statements[0].expression,Aa),T=b&&Fe(b.properties,E=>_d(E)&&Ha(E.name)&&E.name.text==="files");T&&jf(T.initializer)&&r.insertNodeInListAfter(p,va(T.initializer.elements),G.createStringLiteral(C),T.initializer.elements)}function dFt(t,r,a){for(const{first:u,afterLast:h}of r)a.deleteNodeRangeExcludingEnd(t,u,h)}function fFt(t,r,a,u){for(const h of t.statements)Yt(r,h)||qnt(h,p=>{$nt(p,g=>{a.has(g.symbol)&&u.removeExistingImport(g)})})}function N4e(t,r,a,u){const h=Z3();r.forEach((p,g)=>{if(g.declarations)for(const C of g.declarations){if(!F4e(C))continue;const b=DFt(C);if(!b)continue;const T=Xnt(C);h(T)&&EFt(t,T,b,a,u)}})}function hFt(t,r,a,u,h,p,g){const C=r.getTypeChecker();for(const b of r.getSourceFiles())if(b!==u)for(const T of b.statements)qnt(T,E=>{if(C.getSymbolAtLocation(gFt(E))!==u.symbol)return;const N=q=>{const X=ec(q.parent)?PZ(C,q.parent):Vf(C.getSymbolAtLocation(q),C);return!!X&&h.has(X)};bFt(b,E,t,N);const R=Ok(ts(wo(u.fileName,r.getCurrentDirectory())),p);if(E6(!r.useCaseSensitiveFileNames())(R,b.fileName)===0)return;const F=wS.getModuleSpecifier(r.getCompilerOptions(),b,b.fileName,R,dA(r,a)),H=xFt(E,l5(F,g),N);H&&t.insertNodeAfter(b,T,H);const U=_Ft(E);U&&pFt(t,b,C,h,F,U,E,g)})}function _Ft(t){switch(t.kind){case 272:return t.importClause&&t.importClause.namedBindings&&t.importClause.namedBindings.kind===274?t.importClause.namedBindings.name:void 0;case 271:return t.name;case 260:return Jr(t.name,ot);default:return j.assertNever(t,`Unexpected node kind ${t.kind}`)}}function pFt(t,r,a,u,h,p,g,C){const b=Ij(h,99);let T=!1;const E=[];if(kl.Core.eachSymbolReferenceInFile(p,a,r,N=>{Nr(N.parent)&&(T=T||!!a.resolveName(b,N,-1,!0),u.has(a.getSymbolAtLocation(N.parent.name))&&E.push(N))}),E.length){const N=T?$I(b,r):b;for(const R of E)t.replaceNode(r,R,G.createIdentifier(N));t.insertNodeAfter(r,g,mFt(g,b,h,C))}}function mFt(t,r,a,u){const h=G.createIdentifier(r),p=l5(a,u);switch(t.kind){case 272:return G.createImportDeclaration(void 0,G.createImportClause(!1,void 0,G.createNamespaceImport(h)),p,void 0);case 271:return G.createImportEqualsDeclaration(void 0,!1,h,G.createExternalModuleReference(p));case 260:return G.createVariableDeclaration(h,void 0,void 0,Unt(p));default:return j.assertNever(t,`Unexpected node kind ${t.kind}`)}}function Unt(t){return G.createCallExpression(G.createIdentifier("require"),void 0,[t])}function gFt(t){return t.kind===272?t.moduleSpecifier:t.kind===271?t.moduleReference.expression:t.initializer.arguments[0]}function qnt(t,r){if(du(t))Ha(t.moduleSpecifier)&&r(t);else if(Wd(t))eC(t.moduleReference)&&Bc(t.moduleReference.expression)&&r(t);else if(Lu(t))for(const a of t.declarationList.declarations)a.initializer&&L_(a.initializer,!0)&&r(a)}function $nt(t,r){var a,u,h,p,g;if(t.kind===272){if((a=t.importClause)!=null&&a.name&&r(t.importClause),((h=(u=t.importClause)==null?void 0:u.namedBindings)==null?void 0:h.kind)===274&&r(t.importClause.namedBindings),((g=(p=t.importClause)==null?void 0:p.namedBindings)==null?void 0:g.kind)===275)for(const C of t.importClause.namedBindings.elements)r(C)}else if(t.kind===271)r(t);else if(t.kind===260){if(t.name.kind===80)r(t);else if(t.name.kind===206)for(const C of t.name.elements)ot(C.name)&&r(C)}}function L4e(t,r,a,u){for(const[h,p]of t){const g=zZ(h,Ja(u.getCompilerOptions())),C=h.name==="default"&&h.parent?1:0;a.addImportForNonExistentExport(g,r,C,h.flags,p)}}function yFt(t,r,a,u=2){return G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(t,void 0,r,a)],u))}function vFt(t,r,a,u){return Rr(r,h=>{if(Gnt(h)&&!Jnt(t,h,u)&&R4e(h,p=>{var g;return a.includes(j.checkDefined((g=Jr(p,M0))==null?void 0:g.symbol))})){const p=CFt(Ec(h),u);if(p)return p}return Ec(h)})}function Jnt(t,r,a,u){var h;return a?!uf(r)&&Zr(r,32)||!!(u&&t.symbol&&((h=t.symbol.exports)!=null&&h.has(u.escapedText))):!!t.symbol&&!!t.symbol.exports&&P4e(r).some(p=>t.symbol.exports.has(cu(p)))}function bFt(t,r,a,u){if(r.kind===272&&r.importClause){const{name:h,namedBindings:p}=r.importClause;if((!h||u(h))&&(!p||p.kind===275&&p.elements.length!==0&&p.elements.every(g=>u(g.name))))return a.delete(t,r)}$nt(r,h=>{h.name&&ot(h.name)&&u(h.name)&&a.delete(t,h)})}function Gnt(t){return j.assert(Os(t.parent),"Node parent should be a SourceFile"),ert(t)||Lu(t)}function CFt(t,r){return r?[SFt(t)]:wFt(t)}function SFt(t){const r=Fg(t)?Js([G.createModifier(95)],PT(t)):void 0;switch(t.kind){case 262:return G.updateFunctionDeclaration(t,r,t.asteriskToken,t.name,t.typeParameters,t.parameters,t.type,t.body);case 263:const a=uD(t)?$w(t):void 0;return G.updateClassDeclaration(t,Js(a,r),t.name,t.typeParameters,t.heritageClauses,t.members);case 243:return G.updateVariableStatement(t,r,t.declarationList);case 267:return G.updateModuleDeclaration(t,r,t.name,t.body);case 266:return G.updateEnumDeclaration(t,r,t.name,t.members);case 265:return G.updateTypeAliasDeclaration(t,r,t.name,t.typeParameters,t.type);case 264:return G.updateInterfaceDeclaration(t,r,t.name,t.typeParameters,t.heritageClauses,t.members);case 271:return G.updateImportEqualsDeclaration(t,r,t.isTypeOnly,t.name,t.moduleReference);case 244:return j.fail();default:return j.assertNever(t,`Unexpected declaration kind ${t.kind}`)}}function wFt(t){return[t,...P4e(t).map(Knt)]}function Knt(t){return G.createExpressionStatement(G.createBinaryExpression(G.createPropertyAccessExpression(G.createIdentifier("exports"),G.createIdentifier(t)),64,G.createIdentifier(t)))}function P4e(t){switch(t.kind){case 262:case 263:return[t.name.text];case 243:return es(t.declarationList.declarations,r=>ot(r.name)?r.name.text:void 0);case 267:case 266:case 265:case 264:case 271:return w;case 244:return j.fail("Can't export an ExpressionStatement");default:return j.assertNever(t,`Unexpected decl kind ${t.kind}`)}}function xFt(t,r,a){switch(t.kind){case 272:{const u=t.importClause;if(!u)return;const h=u.name&&a(u.name)?u.name:void 0,p=u.namedBindings&&kFt(u.namedBindings,a);return h||p?G.createImportDeclaration(void 0,G.createImportClause(u.isTypeOnly,h,p),Ec(r),void 0):void 0}case 271:return a(t.name)?t:void 0;case 260:{const u=TFt(t.name,a);return u?yFt(u,t.type,Unt(r),t.parent.flags):void 0}default:return j.assertNever(t,`Unexpected import kind ${t.kind}`)}}function kFt(t,r){if(t.kind===274)return r(t.name)?t:void 0;{const a=t.elements.filter(u=>r(u.name));return a.length?G.createNamedImports(a):void 0}}function TFt(t,r){switch(t.kind){case 80:return r(t)?t:void 0;case 207:return t;case 206:{const a=t.elements.filter(u=>u.propertyName||!ot(u.name)||r(u.name));return a.length?G.createObjectBindingPattern(a):void 0}}}function DFt(t){return uf(t)?Jr(t.expression.left.name,ot):Jr(t.name,ot)}function Xnt(t){switch(t.kind){case 260:return t.parent.parent;case 208:return Xnt(ha(t.parent.parent,r=>_s(r)||ec(r)));default:return t}}function EFt(t,r,a,u,h){if(!Jnt(t,r,h,a))if(h)uf(r)||u.insertExportModifier(t,r);else{const p=P4e(r);p.length!==0&&u.insertNodesAfter(t,r,p.map(Knt))}}function A4e(t,r,a,u){const h=r.getTypeChecker();if(u){const p=iY(t,u.all,h),g=ts(t.fileName),C=i7(t.fileName);return zr(g,AFt(OFt(p.oldFileImportsFromTargetFile,p.movedSymbols),C,g,a))+C}return""}function IFt(t){const{file:r}=t,a=TZ(fA(t)),{statements:u}=r;let h=ct(u,T=>T.end>a.pos);if(h===-1)return;const p=u[h],g=trt(r,p);g&&(h=g.start);let C=ct(u,T=>T.end>=a.end,h);C!==-1&&a.end<=u[C].getStart()&&C--;const b=trt(r,u[C]);return b&&(C=b.end),{toMove:u.slice(h,C===-1?u.length:C+1),afterLast:C===-1?void 0:u[C+1]}}function Rj(t){const r=IFt(t);if(r===void 0)return;const a=[],u=[],{toMove:h,afterLast:p}=r;return Ey(h,NFt,(g,C)=>{for(let b=g;b<C;b++)a.push(h[b]);u.push({first:h[g],afterLast:p})}),a.length===0?void 0:{all:a,ranges:u}}function O4e(t){return Fe(t,r=>!!(r.transformFlags&2))}function NFt(t){return!LFt(t)&&!I1(t)}function LFt(t){switch(t.kind){case 272:return!0;case 271:return!Zr(t,32);case 243:return t.declarationList.declarations.every(r=>!!r.initializer&&L_(r.initializer,!0));default:return!1}}function iY(t,r,a,u=new Set,h){var p;const g=new Set,C=new Map,b=new Map,T=R(O4e(r));T&&C.set(T,[!1,Jr((p=T.declarations)==null?void 0:p[0],F=>l_(F)||H0(F)||Jv(F)||Wd(F)||ec(F)||_s(F))]);for(const F of r)R4e(F,H=>{g.add(j.checkDefined(uf(H)?a.getSymbolAtLocation(H.expression.left):H.symbol,"Need a symbol here"))});const E=new Set;for(const F of r)M4e(F,a,h,(H,U)=>{if(!(!H.declarations||PFt(a,H))){if(u.has(Vf(H,a))){E.add(H);return}for(const q of H.declarations)if(Qnt(q)){const X=C.get(H);C.set(H,[(X===void 0||X)&&U,Jr(q,Z=>l_(Z)||H0(Z)||Jv(Z)||Wd(Z)||ec(Z)||_s(Z))])}else F4e(q)&&MFt(q)===t&&!g.has(H)&&b.set(H,U)}});for(const F of C.keys())E.add(F);const N=new Map;for(const F of t.statements)Yt(r,F)||(T&&F.transformFlags&2&&E.delete(T),M4e(F,a,h,(H,U)=>{g.has(H)&&N.set(H,U),E.delete(H)}));return{movedSymbols:g,targetFileImportsFromOldFile:b,oldFileImportsFromTargetFile:N,oldImportsNeededByTargetFile:C,unusedImportsFromOldFile:E};function R(F){if(F===void 0)return;const H=a.getJsxNamespace(F),U=a.resolveName(H,F,1920,!0);return U&&Ft(U.declarations,Qnt)?U:void 0}}function PFt(t,r){return!!t.resolveName(r.name,void 0,788968,!1)}function AFt(t,r,a,u){let h=t;for(let p=1;;p++){const g=zr(a,h+r);if(!u.fileExists(g))return h;h=`${t}.${p}`}}function OFt(t,r){return qb(t,Jfe)||qb(r,Jfe)||"newFile"}function M4e(t,r,a,u){t.forEachChild(function h(p){if(ot(p)&&!Wy(p)){if(a&&!Ip(a,p))return;const g=r.getSymbolAtLocation(p);g&&u(g,Yk(p))}else p.forEachChild(h)})}function R4e(t,r){switch(t.kind){case 262:case 263:case 267:case 266:case 265:case 264:case 271:return r(t);case 243:return J(t.declarationList.declarations,a=>Ynt(a.name,r));case 244:{const{expression:a}=t;return ur(a)&&Bu(a)===1?r(t):void 0}}}function Qnt(t){switch(t.kind){case 271:case 276:case 273:case 274:return!0;case 260:return Znt(t);case 208:return _s(t.parent.parent)&&Znt(t.parent.parent);default:return!1}}function Znt(t){return Os(t.parent.parent.parent)&&!!t.initializer&&L_(t.initializer,!0)}function F4e(t){return ert(t)&&Os(t.parent)||_s(t)&&Os(t.parent.parent.parent)}function MFt(t){return _s(t)?t.parent.parent.parent:t.parent}function Ynt(t,r){switch(t.kind){case 80:return r(ha(t.parent,a=>_s(a)||ec(a)));case 207:case 206:return J(t.elements,a=>Bd(a)?void 0:Ynt(a.name,r));default:return j.assertNever(t,`Unexpected name kind ${t.kind}`)}}function ert(t){switch(t.kind){case 262:case 263:case 267:case 266:case 265:case 264:case 271:return!0;default:return!1}}function RFt(t,r,a,u,h){var p;const g=new Set,C=(p=u.symbol)==null?void 0:p.exports;if(C){const T=r.getTypeChecker(),E=new Map;for(const N of h.all)Gnt(N)&&Zr(N,32)&&R4e(N,R=>{var F;const H=M0(R)?(F=C.get(R.symbol.escapedName))==null?void 0:F.declarations:void 0,U=J(H,q=>Ju(q)?q:vh(q)?Jr(q.parent.parent,Ju):void 0);U&&U.moduleSpecifier&&E.set(U,(E.get(U)||new Set).add(R))});for(const[N,R]of as(E))if(N.exportClause&&Xm(N.exportClause)&&P(N.exportClause.elements)){const F=N.exportClause.elements,H=$t(F,U=>Fe(Vf(U.symbol,T).declarations,q=>F4e(q)&&R.has(q))===void 0);if(P(H)===0){t.deleteNode(u,N),g.add(N);continue}P(H)<P(F)&&t.replaceNode(u,N,G.updateExportDeclaration(N,N.modifiers,N.isTypeOnly,G.updateNamedExports(N.exportClause,G.createNodeArray(H,F.hasTrailingComma)),N.moduleSpecifier,N.attributes))}}const b=rt(u.statements,T=>Ju(T)&&!!T.moduleSpecifier&&!g.has(T));b?t.insertNodesBefore(u,b,a,!0):t.insertNodesAfter(u,u.statements[u.statements.length-1],a)}function trt(t,r){if(Qc(r)){const a=r.symbol.declarations;if(a===void 0||P(a)<=1||!Yt(a,r))return;const u=a[0],h=a[P(a)-1],p=es(a,b=>_n(b)===t&&Ps(b)?b:void 0),g=ct(t.statements,b=>b.end>=h.end),C=ct(t.statements,b=>b.end>=u.end);return{toMove:p,start:C,end:g}}}function B4e(t,r,a){const u=new Set;for(const h of t.imports){const p=O8(h);if(du(p)&&p.importClause&&p.importClause.namedBindings&&j1(p.importClause.namedBindings))for(const g of p.importClause.namedBindings.elements){const C=a.getSymbolAtLocation(g.propertyName||g.name);C&&u.add(Vf(C,a))}if(fV(p.parent)&&dm(p.parent.name))for(const g of p.parent.name.elements){const C=a.getSymbolAtLocation(g.propertyName||g.name);C&&u.add(Vf(C,a))}}for(const h of r)M4e(h,a,void 0,p=>{const g=Vf(p,a);g.valueDeclaration&&_n(g.valueDeclaration).path===t.path&&u.add(g)});return u}function sC(t){return t.error!==void 0}function v2(t,r){return r?t.substr(0,r.length)===r:!0}function W4e(t,r,a,u){return Nr(t)&&!ss(r)&&!a.resolveName(t.name.text,t,111551,!1)&&!Vs(t.name)&&!aI(t.name)?t.name.text:$I(ss(r)?"newProperty":"newLocal",u)}function V4e(t,r,a,u,h,p){r.forEach(([g,C],b)=>{var T;const E=Vf(b,u);u.isUnknownSymbol(E)?p.addVerbatimImport(j.checkDefined(C??Qi((T=b.declarations)==null?void 0:T[0],iTe))):p.addImportFromExportedSymbol(E,g,C)}),L4e(a,t.fileName,p,h)}var nY="Inline variable",H4e=Bo(k.Inline_variable),j4e={name:nY,description:H4e,kind:"refactor.inline.variable"};Qv(nY,{kinds:[j4e.kind],getAvailableActions(t){const{file:r,program:a,preferences:u,startPosition:h,triggerReason:p}=t,g=irt(r,h,p==="invoked",a);return g?pA.isRefactorErrorInfo(g)?u.provideRefactorNotApplicableReason?[{name:nY,description:H4e,actions:[{...j4e,notApplicableReason:g.error}]}]:w:[{name:nY,description:H4e,actions:[j4e]}]:w},getEditsForAction(t,r){j.assert(r===nY,"Unexpected refactor invoked");const{file:a,program:u,startPosition:h}=t,p=irt(a,h,!0,u);if(!p||pA.isRefactorErrorInfo(p))return;const{references:g,declaration:C,replacement:b}=p;return{edits:er.ChangeTracker.with(t,E=>{for(const N of g){const R=Ha(b)&&ot(N)&&By(N.parent);R&&w3(R)&&!i2(R.parent.parent)?BFt(E,a,R,b):E.replaceNode(a,N,FFt(N,b))}E.delete(a,C)})}}});function irt(t,r,a,u){var h,p;const g=u.getTypeChecker(),C=R_(t,r),b=C.parent;if(ot(C)){if(UV(b)&&E8(b)&&ot(b.name)){if(((h=g.getMergedSymbol(b.symbol).declarations)==null?void 0:h.length)!==1)return{error:Bo(k.Variables_with_multiple_declarations_cannot_be_inlined)};if(nrt(b))return;const T=rrt(b,g,t);return T&&{references:T,declaration:b,replacement:b.initializer}}if(a){let T=g.resolveName(C.text,C,111551,!1);if(T=T&&g.getMergedSymbol(T),((p=T==null?void 0:T.declarations)==null?void 0:p.length)!==1)return{error:Bo(k.Variables_with_multiple_declarations_cannot_be_inlined)};const E=T.declarations[0];if(!UV(E)||!E8(E)||!ot(E.name)||nrt(E))return;const N=rrt(E,g,t);return N&&{references:N,declaration:E,replacement:E.initializer}}return{error:Bo(k.Could_not_find_variable_to_inline)}}}function nrt(t){const r=ha(t.parent.parent,Lu);return Ft(r.modifiers,BP)}function rrt(t,r,a){const u=[],h=kl.Core.eachSymbolReferenceInFile(t.name,r,a,p=>{if(kl.isWriteAccessForReference(p)&&!lh(p.parent)||vh(p.parent)||Il(p.parent)||tD(p.parent)||NW(t,p.pos))return!0;u.push(p)});return u.length===0||h?void 0:u}function FFt(t,r){r=Ec(r);const{parent:a}=t;return jt(a)&&(H8(r)<H8(a)||MZ(a))||Ho(r)&&(MT(a)||Nr(a))||Nr(a)&&(A_(r)||Aa(r))?G.createParenthesizedExpression(r):ot(t)&&lh(a)?G.createPropertyAssignment(t,r):r}function BFt(t,r,a,u){const h=a.parent,p=h.templateSpans.indexOf(a),g=p===0?h.head:h.templateSpans[p-1];t.replaceRangeWithText(r,{pos:g.getEnd()-2,end:a.literal.getStart()+1},u.text.replace(/\\/g,"\\\\").replace(/`/g,"\\`"))}var rY="Move to a new file",z4e=Bo(k.Move_to_a_new_file),U4e={name:rY,description:z4e,kind:"refactor.move.newFile"};Qv(rY,{kinds:[U4e.kind],getAvailableActions:function(r){const a=Rj(r),u=r.file;if(r.triggerReason==="implicit"&&r.endPosition!==void 0){const h=Qi(Rs(u,r.startPosition),hA),p=Qi(Rs(u,r.endPosition),hA);if(h&&!Os(h)&&p&&!Os(p))return w}if(r.preferences.allowTextChangesInNewFiles&&a){const h=r.file,p={start:{line:Ia(h,a.all[0].getStart(h)).line,offset:Ia(h,a.all[0].getStart(h)).character},end:{line:Ia(h,va(a.all).end).line,offset:Ia(h,va(a.all).end).character}};return[{name:rY,description:z4e,actions:[{...U4e,range:p}]}]}return r.preferences.provideRefactorNotApplicableReason?[{name:rY,description:z4e,actions:[{...U4e,notApplicableReason:Bo(k.Selection_is_not_a_valid_statement_or_statements)}]}]:w},getEditsForAction:function(r,a){j.assert(a===rY,"Wrong refactor invoked");const u=j.checkDefined(Rj(r));return{edits:er.ChangeTracker.with(r,p=>WFt(r.file,r.program,u,p,r.host,r,r.preferences)),renameFilename:void 0,renameLocation:void 0}}});function WFt(t,r,a,u,h,p,g){const C=r.getTypeChecker(),b=iY(t,a.all,C),T=A4e(t,r,h,a),E=GZ(T,t.externalModuleIndicator?99:t.commonJsModuleIndicator?1:void 0,r,h),N=wh.createImportAdder(t,p.program,p.preferences,p.host),R=wh.createImportAdder(E,p.program,p.preferences,p.host);E4e(t,E,b,u,a,r,h,g,R,N),I4e(r,u,t.fileName,T,hS(h))}var VFt={},q4e="Convert overload list to single signature",srt=Bo(k.Convert_overload_list_to_single_signature),ort={name:q4e,description:srt,kind:"refactor.rewrite.function.overloadList"};Qv(q4e,{kinds:[ort.kind],getEditsForAction:jFt,getAvailableActions:HFt});function HFt(t){const{file:r,startPosition:a,program:u}=t;return crt(r,a,u)?[{name:q4e,description:srt,actions:[ort]}]:w}function jFt(t){const{file:r,startPosition:a,program:u}=t,h=crt(r,a,u);if(!h)return;const p=u.getTypeChecker(),g=h[h.length-1];let C=g;switch(g.kind){case 173:{C=G.updateMethodSignature(g,g.modifiers,g.name,g.questionToken,g.typeParameters,T(h),g.type);break}case 174:{C=G.updateMethodDeclaration(g,g.modifiers,g.asteriskToken,g.name,g.questionToken,g.typeParameters,T(h),g.type,g.body);break}case 179:{C=G.updateCallSignature(g,g.typeParameters,T(h),g.type);break}case 176:{C=G.updateConstructorDeclaration(g,g.modifiers,T(h),g.body);break}case 180:{C=G.updateConstructSignature(g,g.typeParameters,T(h),g.type);break}case 262:{C=G.updateFunctionDeclaration(g,g.modifiers,g.asteriskToken,g.name,g.typeParameters,T(h),g.type,g.body);break}default:return j.failBadSyntaxKind(g,"Unhandled signature kind in overload list conversion refactoring")}if(C===g)return;return{renameFilename:void 0,renameLocation:void 0,edits:er.ChangeTracker.with(t,R=>{R.replaceNodeRange(r,h[0],h[h.length-1],C)})};function T(R){const F=R[R.length-1];return Qc(F)&&F.body&&(R=R.slice(0,R.length-1)),G.createNodeArray([G.createParameterDeclaration(void 0,G.createToken(26),"args",void 0,G.createUnionTypeNode(qt(R,E)))])}function E(R){const F=qt(R.parameters,N);return tr(G.createTupleTypeNode(F),Ft(F,H=>!!P(y3(H)))?0:1)}function N(R){j.assert(ot(R.name));const F=Ht(G.createNamedTupleMember(R.dotDotDotToken,R.name,R.questionToken,R.type||G.createKeywordTypeNode(133)),R),H=R.symbol&&R.symbol.getDocumentationComment(p);if(H){const U=Wj(H);U.length&&e2(F,[{text:`*
+${U.split(`
+`).map(q=>` * ${q}`).join(`
+`)}
+ `,kind:3,pos:-1,end:-1,hasTrailingNewLine:!0,hasLeadingNewline:!0}])}return F}}function art(t){switch(t.kind){case 173:case 174:case 179:case 176:case 180:case 262:return!0}return!1}function crt(t,r,a){const u=Rs(t,r),h=Qi(u,art);if(!h||Qc(h)&&h.body&&s5(h.body,r))return;const p=a.getTypeChecker(),g=h.symbol;if(!g)return;const C=g.declarations;if(P(C)<=1||!Ce(C,R=>_n(R)===t)||!art(C[0]))return;const b=C[0].kind;if(!Ce(C,R=>R.kind===b))return;const T=C;if(Ft(T,R=>!!R.typeParameters||Ft(R.parameters,F=>!!F.modifiers||!ot(F.name))))return;const E=es(T,R=>p.getSignatureFromDeclaration(R));if(P(E)!==P(C))return;const N=p.getReturnTypeOfSignature(E[0]);if(Ce(E,R=>p.getReturnTypeOfSignature(R)===N))return T}var $4e="Add or remove braces in an arrow function",lrt=Bo(k.Add_or_remove_braces_in_an_arrow_function),Jhe={name:"Add braces to arrow function",description:Bo(k.Add_braces_to_arrow_function),kind:"refactor.rewrite.arrow.braces.add"},sY={name:"Remove braces from arrow function",description:Bo(k.Remove_braces_from_arrow_function),kind:"refactor.rewrite.arrow.braces.remove"};Qv($4e,{kinds:[sY.kind],getEditsForAction:UFt,getAvailableActions:zFt});function zFt(t){const{file:r,startPosition:a,triggerReason:u}=t,h=urt(r,a,u==="invoked");return h?sC(h)?t.preferences.provideRefactorNotApplicableReason?[{name:$4e,description:lrt,actions:[{...Jhe,notApplicableReason:h.error},{...sY,notApplicableReason:h.error}]}]:w:[{name:$4e,description:lrt,actions:[h.addBraces?Jhe:sY]}]:w}function UFt(t,r){const{file:a,startPosition:u}=t,h=urt(a,u);j.assert(h&&!sC(h),"Expected applicable refactor info");const{expression:p,returnStatement:g,func:C}=h;let b;if(r===Jhe.name){const E=G.createReturnStatement(p);b=G.createBlock([E],!0),iO(p,E,a,3,!0)}else if(r===sY.name&&g){const E=p||G.createVoidZero();b=MZ(E)?G.createParenthesizedExpression(E):E,xj(g,b,a,3,!1),iO(g,b,a,3,!1),h5(g,b,a,3,!1)}else j.fail("invalid action");return{renameFilename:void 0,renameLocation:void 0,edits:er.ChangeTracker.with(t,E=>{E.replaceNode(a,C.body,b)})}}function urt(t,r,a=!0,u){const h=Rs(t,r),p=Up(h);if(!p)return{error:Bo(k.Could_not_find_a_containing_arrow_function)};if(!xl(p))return{error:Bo(k.Containing_function_is_not_an_arrow_function)};if(!(!Ip(p,h)||Ip(p.body,h)&&!a)){if(v2(Jhe.kind,u)&&jt(p.body))return{func:p,addBraces:!0,expression:p.body};if(v2(sY.kind,u)&&Xo(p.body)&&p.body.statements.length===1){const g=ya(p.body.statements);if(Og(g)){const C=g.expression&&Aa(d3(g.expression,!1))?G.createParenthesizedExpression(g.expression):g.expression;return{func:p,addBraces:!1,expression:C,returnStatement:g}}}}}var qFt={},drt="Convert arrow function or function expression",$Ft=Bo(k.Convert_arrow_function_or_function_expression),oY={name:"Convert to anonymous function",description:Bo(k.Convert_to_anonymous_function),kind:"refactor.rewrite.function.anonymous"},aY={name:"Convert to named function",description:Bo(k.Convert_to_named_function),kind:"refactor.rewrite.function.named"},cY={name:"Convert to arrow function",description:Bo(k.Convert_to_arrow_function),kind:"refactor.rewrite.function.arrow"};Qv(drt,{kinds:[oY.kind,aY.kind,cY.kind],getEditsForAction:GFt,getAvailableActions:JFt});function JFt(t){const{file:r,startPosition:a,program:u,kind:h}=t,p=hrt(r,a,u);if(!p)return w;const{selectedVariableDeclaration:g,func:C}=p,b=[],T=[];if(v2(aY.kind,h)){const E=g||xl(C)&&_s(C.parent)?void 0:Bo(k.Could_not_convert_to_named_function);E?T.push({...aY,notApplicableReason:E}):b.push(aY)}if(v2(oY.kind,h)){const E=!g&&xl(C)?void 0:Bo(k.Could_not_convert_to_anonymous_function);E?T.push({...oY,notApplicableReason:E}):b.push(oY)}if(v2(cY.kind,h)){const E=ml(C)?void 0:Bo(k.Could_not_convert_to_arrow_function);E?T.push({...cY,notApplicableReason:E}):b.push(cY)}return[{name:drt,description:$Ft,actions:b.length===0&&t.preferences.provideRefactorNotApplicableReason?T:b}]}function GFt(t,r){const{file:a,startPosition:u,program:h}=t,p=hrt(a,u,h);if(!p)return;const{func:g}=p,C=[];switch(r){case oY.name:C.push(...ZFt(t,g));break;case aY.name:const b=QFt(g);if(!b)return;C.push(...YFt(t,g,b));break;case cY.name:if(!ml(g))return;C.push(...e9t(t,g));break;default:return j.fail("invalid action")}return{renameFilename:void 0,renameLocation:void 0,edits:C}}function frt(t){let r=!1;return t.forEachChild(function a(u){if(X3(u)){r=!0;return}!ss(u)&&!Wu(u)&&!ml(u)&&Uo(u,a)}),r}function hrt(t,r,a){const u=Rs(t,r),h=a.getTypeChecker(),p=XFt(t,h,u.parent);if(p&&!frt(p.body)&&!h.containsArgumentsReference(p))return{selectedVariableDeclaration:!0,func:p};const g=Up(u);if(g&&(ml(g)||xl(g))&&!Ip(g.body,u)&&!frt(g.body)&&!h.containsArgumentsReference(g))return ml(g)&&prt(t,h,g)?void 0:{selectedVariableDeclaration:!1,func:g}}function KFt(t){return _s(t)||Sf(t)&&t.declarations.length===1}function XFt(t,r,a){if(!KFt(a))return;const h=(_s(a)?a:ya(a.declarations)).initializer;if(h&&(xl(h)||ml(h)&&!prt(t,r,h)))return h}function _rt(t){if(jt(t)){const r=G.createReturnStatement(t),a=t.getSourceFile();return Ht(r,t),Lp(r),xj(t,r,a,void 0,!0),G.createBlock([r],!0)}else return t}function QFt(t){const r=t.parent;if(!_s(r)||!E8(r))return;const a=r.parent,u=a.parent;if(!(!Sf(a)||!Lu(u)||!ot(r.name)))return{variableDeclaration:r,variableDeclarationList:a,statement:u,name:r.name}}function ZFt(t,r){const{file:a}=t,u=_rt(r.body),h=G.createFunctionExpression(r.modifiers,r.asteriskToken,void 0,r.typeParameters,r.parameters,r.type,u);return er.ChangeTracker.with(t,p=>p.replaceNode(a,r,h))}function YFt(t,r,a){const{file:u}=t,h=_rt(r.body),{variableDeclaration:p,variableDeclarationList:g,statement:C,name:b}=a;ohe(C);const T=Rk(p)&32|jh(r),E=G.createModifiersFromModifierFlags(T),N=G.createFunctionDeclaration(P(E)?E:void 0,r.asteriskToken,b,r.typeParameters,r.parameters,r.type,h);return g.declarations.length===1?er.ChangeTracker.with(t,R=>R.replaceNode(u,C,N)):er.ChangeTracker.with(t,R=>{R.delete(u,p),R.insertNodeAfter(u,C,N)})}function e9t(t,r){const{file:a}=t,h=r.body.statements[0];let p;t9t(r.body,h)?(p=h.expression,Lp(p),qI(h,p)):p=r.body;const g=G.createArrowFunction(r.modifiers,r.typeParameters,r.parameters,r.type,G.createToken(39),p);return er.ChangeTracker.with(t,C=>C.replaceNode(a,r,g))}function t9t(t,r){return t.statements.length===1&&Og(r)&&!!r.expression}function prt(t,r,a){return!!a.name&&kl.Core.isSymbolReferencedInFile(a.name,r,t)}var i9t={},Ghe="Convert parameters to destructured object",n9t=1,mrt=Bo(k.Convert_parameters_to_destructured_object),grt={name:Ghe,description:mrt,kind:"refactor.rewrite.parameters.toDestructured"};Qv(Ghe,{kinds:[grt.kind],getEditsForAction:s9t,getAvailableActions:r9t});function r9t(t){const{file:r,startPosition:a}=t;return r_(r)||!brt(r,a,t.program.getTypeChecker())?w:[{name:Ghe,description:mrt,actions:[grt]}]}function s9t(t,r){j.assert(r===Ghe,"Unexpected action name");const{file:a,startPosition:u,program:h,cancellationToken:p,host:g}=t,C=brt(a,u,h.getTypeChecker());if(!C||!p)return;const b=a9t(C,h,p);return b.valid?{renameFilename:void 0,renameLocation:void 0,edits:er.ChangeTracker.with(t,E=>o9t(a,h,g,E,C,b))}:{edits:[]}}function o9t(t,r,a,u,h,p){const g=p.signature,C=qt(xrt(h,r,a),E=>Ec(E));if(g){const E=qt(xrt(g,r,a),N=>Ec(N));T(g,E)}T(h,C);const b=Iy(p.functionCalls,(E,N)=>_l(E.pos,N.pos));for(const E of b)if(E.arguments&&E.arguments.length){const N=Ec(g9t(h,E.arguments),!0);u.replaceNodeRange(_n(E),ya(E.arguments),va(E.arguments),N,{leadingTriviaOption:er.LeadingTriviaOption.IncludeAll,trailingTriviaOption:er.TrailingTriviaOption.Include})}function T(E,N){u.replaceNodeRangeWithNodes(t,ya(E.parameters),va(E.parameters),N,{joiner:", ",indentation:0,leadingTriviaOption:er.LeadingTriviaOption.IncludeAll,trailingTriviaOption:er.TrailingTriviaOption.Include})}}function a9t(t,r,a){const u=v9t(t),h=iu(t)?y9t(t):[],p=Wb([...u,...h],Hw),g=r.getTypeChecker(),C=Rr(p,N=>kl.getReferenceEntriesForNode(-1,N,r,r.getSourceFiles(),a)),b=T(C);return Ce(b.declarations,N=>Yt(p,N))||(b.valid=!1),b;function T(N){const R={accessExpressions:[],typeUsages:[]},F={functionCalls:[],declarations:[],classReferences:R,valid:!0},H=qt(u,E),U=qt(h,E),q=iu(t),X=qt(u,Z=>J4e(Z,g));for(const Z of N){if(Z.kind===kl.EntryKind.Span){F.valid=!1;continue}if(Yt(X,E(Z.node))){if(d9t(Z.node.parent)){F.signature=Z.node.parent;continue}const re=vrt(Z);if(re){F.functionCalls.push(re);continue}}const Q=J4e(Z.node,g);if(Q&&Yt(X,Q)){const re=G4e(Z);if(re){F.declarations.push(re);continue}}if(Yt(H,E(Z.node))||r5(Z.node)){if(yrt(Z))continue;const oe=G4e(Z);if(oe){F.declarations.push(oe);continue}const pe=vrt(Z);if(pe){F.functionCalls.push(pe);continue}}if(q&&Yt(U,E(Z.node))){if(yrt(Z))continue;const oe=G4e(Z);if(oe){F.declarations.push(oe);continue}const pe=c9t(Z);if(pe){R.accessExpressions.push(pe);continue}if(hd(t.parent)){const de=l9t(Z);if(de){R.typeUsages.push(de);continue}}}F.valid=!1}return F}function E(N){const R=g.getSymbolAtLocation(N);return R&&rhe(R,g)}}function J4e(t,r){const a=Vj(t);if(a){const u=r.getContextualTypeForObjectLiteralElement(a),h=u==null?void 0:u.getSymbol();if(h&&!(Iu(h)&6))return h}}function yrt(t){const r=t.node;if(l_(r.parent)||H0(r.parent)||Wd(r.parent)||Jv(r.parent)||vh(r.parent)||Il(r.parent))return r}function G4e(t){if(Wf(t.node.parent))return t.node}function vrt(t){if(t.node.parent){const r=t.node,a=r.parent;switch(a.kind){case 213:case 214:const u=Jr(a,T1);if(u&&u.expression===r)return u;break;case 211:const h=Jr(a,Nr);if(h&&h.parent&&h.name===r){const g=Jr(h.parent,T1);if(g&&g.expression===h)return g}break;case 212:const p=Jr(a,wl);if(p&&p.parent&&p.argumentExpression===r){const g=Jr(p.parent,T1);if(g&&g.expression===p)return g}break}}}function c9t(t){if(t.node.parent){const r=t.node,a=r.parent;switch(a.kind){case 211:const u=Jr(a,Nr);if(u&&u.expression===r)return u;break;case 212:const h=Jr(a,wl);if(h&&h.expression===r)return h;break}}}function l9t(t){const r=t.node;if(zI(r)===2||SK(r.parent))return r}function brt(t,r,a){const u=Q3(t,r),h=CTe(u);if(!u9t(u)&&h&&f9t(h,a)&&Ip(h,u)&&!(h.body&&Ip(h.body,u)))return h}function u9t(t){const r=Qi(t,W6);if(r){const a=Qi(r,u=>!W6(u));return!!a&&Qc(a)}return!1}function d9t(t){return W1(t)&&(zf(t.parent)||a_(t.parent))}function f9t(t,r){var a;if(!h9t(t.parameters,r))return!1;switch(t.kind){case 262:return Crt(t)&&lY(t,r);case 174:if(Aa(t.parent)){const u=J4e(t.name,r);return((a=u==null?void 0:u.declarations)==null?void 0:a.length)===1&&lY(t,r)}return lY(t,r);case 176:return hd(t.parent)?Crt(t.parent)&&lY(t,r):Srt(t.parent.parent)&&lY(t,r);case 218:case 219:return Srt(t.parent)}return!1}function lY(t,r){return!!t.body&&!r.isImplementationOfOverload(t)}function Crt(t){return t.name?!0:!!Y3(t,90)}function h9t(t,r){return p9t(t)>=n9t&&Ce(t,a=>_9t(a,r))}function _9t(t,r){if(Oy(t)){const a=r.getTypeAtLocation(t);if(!r.isArrayType(a)&&!r.isTupleType(a))return!1}return!t.modifiers&&ot(t.name)}function Srt(t){return _s(t)&&$6(t)&&ot(t.name)&&!t.type}function K4e(t){return t.length>0&&X3(t[0].name)}function p9t(t){return K4e(t)?t.length-1:t.length}function wrt(t){return K4e(t)&&(t=G.createNodeArray(t.slice(1),t.hasTrailingComma)),t}function m9t(t,r){return ot(r)&&Lg(r)===t?G.createShorthandPropertyAssignment(t):G.createPropertyAssignment(t,r)}function g9t(t,r){const a=wrt(t.parameters),u=Oy(va(a)),h=u?r.slice(0,a.length-1):r,p=qt(h,(C,b)=>{const T=Khe(a[b]),E=m9t(T,C);return Lp(E.name),_d(E)&&Lp(E.initializer),qI(C,E),E});if(u&&r.length>=a.length){const C=r.slice(a.length-1),b=G.createPropertyAssignment(Khe(va(a)),G.createArrayLiteralExpression(C));p.push(b)}return G.createObjectLiteralExpression(p,!1)}function xrt(t,r,a){const u=r.getTypeChecker(),h=wrt(t.parameters),p=qt(h,E),g=G.createObjectBindingPattern(p),C=N(h);let b;Ce(h,H)&&(b=G.createObjectLiteralExpression());const T=G.createParameterDeclaration(void 0,void 0,g,void 0,C,b);if(K4e(t.parameters)){const U=t.parameters[0],q=G.createParameterDeclaration(void 0,void 0,U.name,void 0,U.type);return Lp(q.name),qI(U.name,q.name),U.type&&(Lp(q.type),qI(U.type,q.type)),G.createNodeArray([q,T])}return G.createNodeArray([T]);function E(U){const q=G.createBindingElement(void 0,void 0,Khe(U),Oy(U)&&H(U)?G.createArrayLiteralExpression():U.initializer);return Lp(q),U.initializer&&q.initializer&&qI(U.initializer,q.initializer),q}function N(U){const q=qt(U,R);return F1(G.createTypeLiteralNode(q),1)}function R(U){let q=U.type;!q&&(U.initializer||Oy(U))&&(q=F(U));const X=G.createPropertySignature(void 0,Khe(U),H(U)?G.createToken(58):U.questionToken,q);return Lp(X),qI(U.name,X.name),U.type&&X.type&&qI(U.type,X.type),X}function F(U){const q=u.getTypeAtLocation(U);return p5(q,U,r,a)}function H(U){if(Oy(U)){const q=u.getTypeAtLocation(U);return!u.isTupleType(q)}return u.isOptionalParameter(U)}}function Khe(t){return Lg(t.name)}function y9t(t){switch(t.parent.kind){case 263:const r=t.parent;return r.name?[r.name]:[j.checkDefined(Y3(r,90),"Nameless class declaration should be a default export")];case 231:const u=t.parent,h=t.parent.parent,p=u.name;return p?[p,h.name]:[h.name]}}function v9t(t){switch(t.kind){case 262:return t.name?[t.name]:[j.checkDefined(Y3(t,90),"Nameless function declaration should be a default export")];case 174:return[t.name];case 176:const a=j.checkDefined(Uc(t,137,t.getSourceFile()),"Constructor declaration should have constructor keyword");return t.parent.kind===231?[t.parent.parent.name,a]:[a];case 219:return[t.parent.name];case 218:return t.name?[t.name,t.parent.name]:[t.parent.name];default:return j.assertNever(t,`Unexpected function declaration kind ${t.kind}`)}}var b9t={},X4e="Convert to template string",Q4e=Bo(k.Convert_to_template_string),Z4e={name:X4e,description:Q4e,kind:"refactor.rewrite.string"};Qv(X4e,{kinds:[Z4e.kind],getEditsForAction:S9t,getAvailableActions:C9t});function C9t(t){const{file:r,startPosition:a}=t,u=krt(r,a),h=Y4e(u),p=Ha(h),g={name:X4e,description:Q4e,actions:[]};return p&&t.triggerReason!=="invoked"?w:F0(h)&&(p||ur(h)&&e6e(h).isValidConcatenation)?(g.actions.push(Z4e),[g]):t.preferences.provideRefactorNotApplicableReason?(g.actions.push({...Z4e,notApplicableReason:Bo(k.Can_only_convert_string_concatenations_and_string_literals)}),[g]):w}function krt(t,r){const a=Rs(t,r),u=Y4e(a);return!e6e(u).isValidConcatenation&&c_(u.parent)&&ur(u.parent.parent)?u.parent.parent:a}function S9t(t,r){const{file:a,startPosition:u}=t,h=krt(a,u);switch(r){case Q4e:return{edits:w9t(t,h)};default:return j.fail("invalid action")}}function w9t(t,r){const a=Y4e(r),u=t.file,h=E9t(e6e(a),u),p=qw(u.text,a.end);if(p){const g=p[p.length-1],C={pos:p[0].pos,end:g.end};return er.ChangeTracker.with(t,b=>{b.deleteRange(u,C),b.replaceNode(u,a,h)})}else return er.ChangeTracker.with(t,g=>g.replaceNode(u,a,h))}function x9t(t){return!(t.operatorToken.kind===64||t.operatorToken.kind===65)}function Y4e(t){return Qi(t.parent,a=>{switch(a.kind){case 211:case 212:return!1;case 228:case 226:return!(ur(a.parent)&&x9t(a.parent));default:return"quit"}})||t}function e6e(t){const r=g=>{if(!ur(g))return{nodes:[g],operators:[],validOperators:!0,hasString:Ha(g)||TI(g)};const{nodes:C,operators:b,hasString:T,validOperators:E}=r(g.left);if(!(T||Ha(g.right)||CX(g.right)))return{nodes:[g],operators:[],hasString:!1,validOperators:!0};const N=g.operatorToken.kind===40,R=E&&N;return C.push(g.right),b.push(g.operatorToken),{nodes:C,operators:b,hasString:!0,validOperators:R}},{nodes:a,operators:u,validOperators:h,hasString:p}=r(t);return{nodes:a,operators:u,isValidConcatenation:h&&p}}var k9t=(t,r)=>(a,u)=>{a<t.length&&h5(t[a],u,r,3,!1)},T9t=(t,r,a)=>(u,h)=>{for(;u.length>0;){const p=u.shift();h5(t[p],h,r,3,!1),a(p,h)}};function D9t(t){return t.replace(/\\.|[$`]/g,r=>r[0]==="\\"?r:"\\"+r)}function Trt(t){const r=FP(t)||cle(t)?-2:-1;return uu(t).slice(1,r)}function Drt(t,r){const a=[];let u="",h="";for(;t<r.length;){const p=r[t];if(Bc(p))u+=p.text,h+=D9t(uu(p).slice(1,-1)),a.push(t),t++;else if(CX(p)){u+=p.head.text,h+=Trt(p.head);break}else break}return[t,u,h,a]}function E9t({nodes:t,operators:r},a){const u=k9t(r,a),h=T9t(t,a,u),[p,g,C,b]=Drt(0,t);if(p===t.length){const N=G.createNoSubstitutionTemplateLiteral(g,C);return h(b,N),N}const T=[],E=G.createTemplateHead(g,C);h(b,E);for(let N=p;N<t.length;N++){const R=I9t(t[N]);u(N,R);const[F,H,U,q]=Drt(N+1,t);N=F-1;const X=N===t.length-1;if(CX(R)){const Z=qt(R.templateSpans,(Q,re)=>{Ert(Q);const oe=re===R.templateSpans.length-1,pe=Q.literal.text+(oe?H:""),de=Trt(Q.literal)+(oe?U:"");return G.createTemplateSpan(Q.expression,X&&oe?G.createTemplateTail(pe,de):G.createTemplateMiddle(pe,de))});T.push(...Z)}else{const Z=X?G.createTemplateTail(H,U):G.createTemplateMiddle(H,U);h(q,Z),T.push(G.createTemplateSpan(R,Z))}}return G.createTemplateExpression(E,T)}function Ert(t){const r=t.getSourceFile();h5(t,t.expression,r,3,!1),xj(t.expression,t.expression,r,3,!1)}function I9t(t){return c_(t)&&(Ert(t),t=t.expression),t}var N9t={},Xhe="Convert to optional chain expression",t6e=Bo(k.Convert_to_optional_chain_expression),i6e={name:Xhe,description:t6e,kind:"refactor.rewrite.expression.optionalChain"};Qv(Xhe,{kinds:[i6e.kind],getEditsForAction:P9t,getAvailableActions:L9t});function L9t(t){const r=Irt(t,t.triggerReason==="invoked");return r?sC(r)?t.preferences.provideRefactorNotApplicableReason?[{name:Xhe,description:t6e,actions:[{...i6e,notApplicableReason:r.error}]}]:w:[{name:Xhe,description:t6e,actions:[i6e]}]:w}function P9t(t,r){const a=Irt(t);return j.assert(a&&!sC(a),"Expected applicable refactor info"),{edits:er.ChangeTracker.with(t,h=>V9t(t.file,t.program.getTypeChecker(),h,a)),renameFilename:void 0,renameLocation:void 0}}function Qhe(t){return ur(t)||qP(t)}function A9t(t){return uf(t)||Og(t)||Lu(t)}function Zhe(t){return Qhe(t)||A9t(t)}function Irt(t,r=!0){const{file:a,program:u}=t,h=fA(t),p=h.length===0;if(p&&!r)return;const g=Rs(a,h.start),C=hj(a,h.start+h.length),b=Fu(g.pos,C&&C.end>=g.pos?C.getEnd():g.getEnd()),T=p?B9t(g):F9t(g,b),E=T&&Zhe(T)?W9t(T):void 0;if(!E)return{error:Bo(k.Could_not_find_convertible_access_expression)};const N=u.getTypeChecker();return qP(E)?O9t(E,N):M9t(E)}function O9t(t,r){const a=t.condition,u=r6e(t.whenTrue);if(!u||r.isNullableType(r.getTypeAtLocation(u)))return{error:Bo(k.Could_not_find_convertible_access_expression)};if((Nr(a)||ot(a))&&n6e(a,u.expression))return{finalExpression:u,occurrences:[a],expression:t};if(ur(a)){const h=Nrt(u.expression,a);return h?{finalExpression:u,occurrences:h,expression:t}:{error:Bo(k.Could_not_find_matching_access_expressions)}}}function M9t(t){if(t.operatorToken.kind!==56)return{error:Bo(k.Can_only_convert_logical_AND_access_chains)};const r=r6e(t.right);if(!r)return{error:Bo(k.Could_not_find_convertible_access_expression)};const a=Nrt(r.expression,t.left);return a?{finalExpression:r,occurrences:a,expression:t}:{error:Bo(k.Could_not_find_matching_access_expressions)}}function Nrt(t,r){const a=[];for(;ur(r)&&r.operatorToken.kind===56;){const h=n6e(Dc(t),Dc(r.right));if(!h)break;a.push(h),t=h,r=r.left}const u=n6e(t,r);return u&&a.push(u),a.length>0?a:void 0}function n6e(t,r){if(!(!ot(r)&&!Nr(r)&&!wl(r)))return R9t(t,r)?r:void 0}function R9t(t,r){for(;(la(t)||Nr(t)||wl(t))&&Fj(t)!==Fj(r);)t=t.expression;for(;Nr(t)&&Nr(r)||wl(t)&&wl(r);){if(Fj(t)!==Fj(r))return!1;t=t.expression,r=r.expression}return ot(t)&&ot(r)&&t.getText()===r.getText()}function Fj(t){if(ot(t)||cm(t))return t.getText();if(Nr(t))return Fj(t.name);if(wl(t))return Fj(t.argumentExpression)}function F9t(t,r){for(;t.parent;){if(Zhe(t)&&r.length!==0&&t.end>=r.start+r.length)return t;t=t.parent}}function B9t(t){for(;t.parent;){if(Zhe(t)&&!Zhe(t.parent))return t;t=t.parent}}function W9t(t){if(Qhe(t))return t;if(Lu(t)){const r=pP(t),a=r==null?void 0:r.initializer;return a&&Qhe(a)?a:void 0}return t.expression&&Qhe(t.expression)?t.expression:void 0}function r6e(t){if(t=Dc(t),ur(t))return r6e(t.left);if((Nr(t)||wl(t)||la(t))&&!gh(t))return t}function Lrt(t,r,a){if(Nr(r)||wl(r)||la(r)){const u=Lrt(t,r.expression,a),h=a.length>0?a[a.length-1]:void 0,p=(h==null?void 0:h.getText())===r.expression.getText();if(p&&a.pop(),la(r))return p?G.createCallChain(u,G.createToken(29),r.typeArguments,r.arguments):G.createCallChain(u,r.questionDotToken,r.typeArguments,r.arguments);if(Nr(r))return p?G.createPropertyAccessChain(u,G.createToken(29),r.name):G.createPropertyAccessChain(u,r.questionDotToken,r.name);if(wl(r))return p?G.createElementAccessChain(u,G.createToken(29),r.argumentExpression):G.createElementAccessChain(u,r.questionDotToken,r.argumentExpression)}return r}function V9t(t,r,a,u,h){const{finalExpression:p,occurrences:g,expression:C}=u,b=g[g.length-1],T=Lrt(r,p,g);T&&(Nr(T)||wl(T)||la(T))&&(ur(C)?a.replaceNodeRange(t,b,p,T):qP(C)&&a.replaceNode(t,C,G.createBinaryExpression(T,G.createToken(61),C.whenFalse)))}var Prt={};c(Prt,{Messages:()=>qf,RangeFacts:()=>Mrt,getRangeToExtract:()=>s6e,getRefactorActionsToExtractSymbol:()=>Art,getRefactorEditsToExtractSymbol:()=>Ort});var y5="Extract Symbol",v5={name:"Extract Constant",description:Bo(k.Extract_constant),kind:"refactor.extract.constant"},b5={name:"Extract Function",description:Bo(k.Extract_function),kind:"refactor.extract.function"};Qv(y5,{kinds:[v5.kind,b5.kind],getEditsForAction:Ort,getAvailableActions:Art});function Art(t){const r=t.kind,a=s6e(t.file,fA(t),t.triggerReason==="invoked"),u=a.targetRange;if(u===void 0){if(!a.errors||a.errors.length===0||!t.preferences.provideRefactorNotApplicableReason)return w;const U=[];return v2(b5.kind,r)&&U.push({name:y5,description:b5.description,actions:[{...b5,notApplicableReason:H(a.errors)}]}),v2(v5.kind,r)&&U.push({name:y5,description:v5.description,actions:[{...v5,notApplicableReason:H(a.errors)}]}),U}const{affectedTextRange:h,extractions:p}=$9t(u,t);if(p===void 0)return w;const g=[],C=new Map;let b;const T=[],E=new Map;let N,R=0;for(const{functionExtraction:U,constantExtraction:q}of p){if(v2(b5.kind,r)){const X=U.description;U.errors.length===0?C.has(X)||(C.set(X,!0),g.push({description:X,name:`function_scope_${R}`,kind:b5.kind,range:{start:{line:Ia(t.file,h.pos).line,offset:Ia(t.file,h.pos).character},end:{line:Ia(t.file,h.end).line,offset:Ia(t.file,h.end).character}}})):b||(b={description:X,name:`function_scope_${R}`,notApplicableReason:H(U.errors),kind:b5.kind})}if(v2(v5.kind,r)){const X=q.description;q.errors.length===0?E.has(X)||(E.set(X,!0),T.push({description:X,name:`constant_scope_${R}`,kind:v5.kind,range:{start:{line:Ia(t.file,h.pos).line,offset:Ia(t.file,h.pos).character},end:{line:Ia(t.file,h.end).line,offset:Ia(t.file,h.end).character}}})):N||(N={description:X,name:`constant_scope_${R}`,notApplicableReason:H(q.errors),kind:v5.kind})}R++}const F=[];return g.length?F.push({name:y5,description:Bo(k.Extract_function),actions:g}):t.preferences.provideRefactorNotApplicableReason&&b&&F.push({name:y5,description:Bo(k.Extract_function),actions:[b]}),T.length?F.push({name:y5,description:Bo(k.Extract_constant),actions:T}):t.preferences.provideRefactorNotApplicableReason&&N&&F.push({name:y5,description:Bo(k.Extract_constant),actions:[N]}),F.length?F:w;function H(U){let q=U[0].messageText;return typeof q!="string"&&(q=q.messageText),q}}function Ort(t,r){const u=s6e(t.file,fA(t)).targetRange,h=/^function_scope_(\d+)$/.exec(r);if(h){const g=+h[1];return j.assert(isFinite(g),"Expected to parse a finite number from the function scope index"),U9t(u,t,g)}const p=/^constant_scope_(\d+)$/.exec(r);if(p){const g=+p[1];return j.assert(isFinite(g),"Expected to parse a finite number from the constant scope index"),q9t(u,t,g)}j.fail("Unrecognized action name")}var qf;(t=>{function r(a){return{message:a,code:0,category:3,key:a}}t.cannotExtractRange=r("Cannot extract range."),t.cannotExtractImport=r("Cannot extract import statement."),t.cannotExtractSuper=r("Cannot extract super call."),t.cannotExtractJSDoc=r("Cannot extract JSDoc."),t.cannotExtractEmpty=r("Cannot extract empty range."),t.expressionExpected=r("expression expected."),t.uselessConstantType=r("No reason to extract constant of type."),t.statementOrExpressionExpected=r("Statement or expression expected."),t.cannotExtractRangeContainingConditionalBreakOrContinueStatements=r("Cannot extract range containing conditional break or continue statements."),t.cannotExtractRangeContainingConditionalReturnStatement=r("Cannot extract range containing conditional return statement."),t.cannotExtractRangeContainingLabeledBreakOrContinueStatementWithTargetOutsideOfTheRange=r("Cannot extract range containing labeled break or continue with target outside of the range."),t.cannotExtractRangeThatContainsWritesToReferencesLocatedOutsideOfTheTargetRangeInGenerators=r("Cannot extract range containing writes to references located outside of the target range in generators."),t.typeWillNotBeVisibleInTheNewScope=r("Type will not visible in the new scope."),t.functionWillNotBeVisibleInTheNewScope=r("Function will not visible in the new scope."),t.cannotExtractIdentifier=r("Select more than a single identifier."),t.cannotExtractExportedEntity=r("Cannot extract exported declaration"),t.cannotWriteInExpression=r("Cannot write back side-effects when extracting an expression"),t.cannotExtractReadonlyPropertyInitializerOutsideConstructor=r("Cannot move initialization of read-only class property outside of the constructor"),t.cannotExtractAmbientBlock=r("Cannot extract code from ambient contexts"),t.cannotAccessVariablesFromNestedScopes=r("Cannot access variables from nested scopes"),t.cannotExtractToJSClass=r("Cannot extract constant to a class scope in JS"),t.cannotExtractToExpressionArrowFunction=r("Cannot extract constant to an arrow function without a block"),t.cannotExtractFunctionsContainingThisToMethod=r("Cannot extract functions containing this to method")})(qf||(qf={}));var Mrt=(t=>(t[t.None=0]="None",t[t.HasReturn=1]="HasReturn",t[t.IsGenerator=2]="IsGenerator",t[t.IsAsyncFunction=4]="IsAsyncFunction",t[t.UsesThis=8]="UsesThis",t[t.UsesThisInFunction=16]="UsesThisInFunction",t[t.InStaticRegion=32]="InStaticRegion",t))(Mrt||{});function s6e(t,r,a=!0){const{length:u}=r;if(u===0&&!a)return{errors:[Md(t,r.start,u,qf.cannotExtractEmpty)]};const h=u===0&&a,p=tAe(t,r.start),g=hj(t,au(r)),C=p&&g&&a?H9t(p,g,t):r,b=h?fBt(p):bj(p,t,C),T=h?b:bj(g,t,C);let E=0,N;if(!b||!T)return{errors:[Md(t,r.start,u,qf.cannotExtractRange)]};if(b.flags&16777216)return{errors:[Md(t,r.start,u,qf.cannotExtractJSDoc)]};if(b.parent!==T.parent)return{errors:[Md(t,r.start,u,qf.cannotExtractRange)]};if(b!==T){if(!hA(b.parent))return{errors:[Md(t,r.start,u,qf.cannotExtractRange)]};const Z=[];for(const Q of b.parent.statements){if(Q===b||Z.length){const re=X(Q);if(re)return{errors:re};Z.push(Q)}if(Q===T)break}return Z.length?{targetRange:{range:Z,facts:E,thisNode:N}}:{errors:[Md(t,r.start,u,qf.cannotExtractRange)]}}if(Og(b)&&!b.expression)return{errors:[Md(t,r.start,u,qf.cannotExtractRange)]};const R=H(b),F=U(R)||X(R);if(F)return{errors:F};return{targetRange:{range:j9t(R),facts:E,thisNode:N}};function H(Z){if(Og(Z)){if(Z.expression)return Z.expression}else if(Lu(Z)||Sf(Z)){const Q=Lu(Z)?Z.declarationList.declarations:Z.declarations;let re=0,oe;for(const pe of Q)pe.initializer&&(re++,oe=pe.initializer);if(re===1)return oe}else if(_s(Z)&&Z.initializer)return Z.initializer;return Z}function U(Z){if(ot(uf(Z)?Z.expression:Z))return[Kn(Z,qf.cannotExtractIdentifier)]}function q(Z,Q){let re=Z;for(;re!==Q;){if(re.kind===172){pa(re)&&(E|=32);break}else if(re.kind===169){Up(re).kind===176&&(E|=32);break}else re.kind===174&&pa(re)&&(E|=32);re=re.parent}}function X(Z){let Q;if((Ie=>{Ie[Ie.None=0]="None",Ie[Ie.Break=1]="Break",Ie[Ie.Continue=2]="Continue",Ie[Ie.Return=4]="Return"})(Q||(Q={})),j.assert(Z.pos<=Z.end,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809 (1)"),j.assert(!W0(Z.pos),"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809 (2)"),!Ps(Z)&&!(F0(Z)&&Rrt(Z))&&!u6e(Z))return[Kn(Z,qf.statementOrExpressionExpected)];if(Z.flags&33554432)return[Kn(Z,qf.cannotExtractAmbientBlock)];const re=Cf(Z);re&&q(Z,re);let oe,pe=4,de;if(ue(Z),E&8){const Ie=Hh(Z,!1,!1);(Ie.kind===262||Ie.kind===174&&Ie.parent.kind===210||Ie.kind===218)&&(E|=16)}return oe;function ue(Ie){if(oe)return!0;if(Wf(Ie)){const he=Ie.kind===260?Ie.parent.parent:Ie;if(Zr(he,32))return(oe||(oe=[])).push(Kn(Ie,qf.cannotExtractExportedEntity)),!0}switch(Ie.kind){case 272:return(oe||(oe=[])).push(Kn(Ie,qf.cannotExtractImport)),!0;case 277:return(oe||(oe=[])).push(Kn(Ie,qf.cannotExtractExportedEntity)),!0;case 108:if(Ie.parent.kind===213){const he=Cf(Ie);if(he===void 0||he.pos<r.start||he.end>=r.start+r.length)return(oe||(oe=[])).push(Kn(Ie,qf.cannotExtractSuper)),!0}else E|=8,N=Ie;break;case 219:Uo(Ie,function he(Ae){if(X3(Ae))E|=8,N=Ie;else{if(ss(Ae)||Ho(Ae)&&!xl(Ae))return!1;Uo(Ae,he)}});case 263:case 262:Os(Ie.parent)&&Ie.parent.externalModuleIndicator===void 0&&(oe||(oe=[])).push(Kn(Ie,qf.functionWillNotBeVisibleInTheNewScope));case 231:case 218:case 174:case 176:case 177:case 178:return!1}const we=pe;switch(Ie.kind){case 245:pe&=-5;break;case 258:pe=0;break;case 241:Ie.parent&&Ie.parent.kind===258&&Ie.parent.finallyBlock===Ie&&(pe=4);break;case 297:case 296:pe|=1;break;default:Zw(Ie,!1)&&(pe|=3);break}switch(Ie.kind){case 197:case 110:E|=8,N=Ie;break;case 256:{const he=Ie.label;(de||(de=[])).push(he.escapedText),Uo(Ie,ue),de.pop();break}case 252:case 251:{const he=Ie.label;he?Yt(de,he.escapedText)||(oe||(oe=[])).push(Kn(Ie,qf.cannotExtractRangeContainingLabeledBreakOrContinueStatementWithTargetOutsideOfTheRange)):pe&(Ie.kind===252?1:2)||(oe||(oe=[])).push(Kn(Ie,qf.cannotExtractRangeContainingConditionalBreakOrContinueStatements));break}case 223:E|=4;break;case 229:E|=2;break;case 253:pe&4?E|=1:(oe||(oe=[])).push(Kn(Ie,qf.cannotExtractRangeContainingConditionalReturnStatement));break;default:Uo(Ie,ue);break}pe=we}}}function H9t(t,r,a){const u=t.getStart(a);let h=r.getEnd();return a.text.charCodeAt(h)===59&&h++,{start:u,length:h-u}}function j9t(t){if(Ps(t))return[t];if(F0(t))return uf(t.parent)?[t.parent]:t;if(u6e(t))return t}function o6e(t){return xl(t)?aae(t.body):Qc(t)||Os(t)||H1(t)||ss(t)}function z9t(t){let r=IS(t.range)?ya(t.range):t.range;if(t.facts&8&&!(t.facts&16)){const u=Cf(r);if(u){const h=Qi(r,Qc);return h?[h,u]:[u]}}const a=[];for(;;)if(r=r.parent,r.kind===169&&(r=Qi(r,u=>Qc(u)).parent),o6e(r)&&(a.push(r),r.kind===307))return a}function U9t(t,r,a){const{scopes:u,readsAndWrites:{target:h,usagesPerScope:p,functionErrorsPerScope:g,exposedVariableDeclarations:C}}=a6e(t,r);return j.assert(!g[a].length,"The extraction went missing? How?"),r.cancellationToken.throwIfCancellationRequested(),Z9t(h,u[a],p[a],C,t,r)}function q9t(t,r,a){const{scopes:u,readsAndWrites:{target:h,usagesPerScope:p,constantErrorsPerScope:g,exposedVariableDeclarations:C}}=a6e(t,r);j.assert(!g[a].length,"The extraction went missing? How?"),j.assert(C.length===0,"Extract constant accepted a range containing a variable declaration?"),r.cancellationToken.throwIfCancellationRequested();const b=jt(h)?h:h.statements[0].expression;return Y9t(b,u[a],p[a],t.facts,r)}function $9t(t,r){const{scopes:a,affectedTextRange:u,readsAndWrites:{functionErrorsPerScope:h,constantErrorsPerScope:p}}=a6e(t,r),g=a.map((C,b)=>{const T=J9t(C),E=G9t(C),N=Qc(C)?K9t(C):ss(C)?X9t(C):Q9t(C);let R,F;return N===1?(R=jv(Bo(k.Extract_to_0_in_1_scope),[T,"global"]),F=jv(Bo(k.Extract_to_0_in_1_scope),[E,"global"])):N===0?(R=jv(Bo(k.Extract_to_0_in_1_scope),[T,"module"]),F=jv(Bo(k.Extract_to_0_in_1_scope),[E,"module"])):(R=jv(Bo(k.Extract_to_0_in_1),[T,N]),F=jv(Bo(k.Extract_to_0_in_1),[E,N])),b===0&&!ss(C)&&(F=jv(Bo(k.Extract_to_0_in_enclosing_scope),[E])),{functionExtraction:{description:R,errors:h[b]},constantExtraction:{description:F,errors:p[b]}}});return{affectedTextRange:u,extractions:g}}function a6e(t,r){const{file:a}=r,u=z9t(t),h=uBt(t,a),p=dBt(t,u,h,a,r.program.getTypeChecker(),r.cancellationToken);return{scopes:u,affectedTextRange:h,readsAndWrites:p}}function J9t(t){return Qc(t)?"inner function":ss(t)?"method":"function"}function G9t(t){return ss(t)?"readonly field":"constant"}function K9t(t){switch(t.kind){case 176:return"constructor";case 218:case 262:return t.name?`function '${t.name.text}'`:lhe;case 219:return"arrow function";case 174:return`method '${t.name.getText()}'`;case 177:return`'get ${t.name.getText()}'`;case 178:return`'set ${t.name.getText()}'`;default:j.assertNever(t,`Unexpected scope kind ${t.kind}`)}}function X9t(t){return t.kind===263?t.name?`class '${t.name.text}'`:"anonymous class declaration":t.name?`class expression '${t.name.text}'`:"anonymous class expression"}function Q9t(t){return t.kind===268?`namespace '${t.parent.name.getText()}'`:t.externalModuleIndicator?0:1}function Z9t(t,r,{usages:a,typeParameterUsages:u,substitutions:h},p,g,C){const b=C.program.getTypeChecker(),T=Ja(C.program.getCompilerOptions()),E=wh.createImportAdder(C.file,C.program,C.preferences,C.host),N=r.getSourceFile(),R=$I(ss(r)?"newMethod":"newFunction",N),F=nr(r),H=G.createIdentifier(R);let U;const q=[],X=[];let Z;a.forEach((Pe,We)=>{let ze;if(!F){let $e=b.getTypeOfSymbolAtLocation(Pe.symbol,Pe.node);$e=b.getBaseTypeOfLiteralType($e),ze=wh.typeToAutoImportableTypeNode(b,E,$e,r,T,1,8)}const Nt=G.createParameterDeclaration(void 0,void 0,We,void 0,ze);q.push(Nt),Pe.usage===2&&(Z||(Z=[])).push(Pe),X.push(G.createIdentifier(We))});const Q=as(u.values(),Pe=>({type:Pe,declaration:tBt(Pe,C.startPosition)}));Q.sort(iBt);const re=Q.length===0?void 0:es(Q,({declaration:Pe})=>Pe),oe=re!==void 0?re.map(Pe=>G.createTypeReferenceNode(Pe.name,void 0)):void 0;if(jt(t)&&!F){const Pe=b.getContextualType(t);U=b.typeToTypeNode(Pe,r,1,8)}const{body:pe,returnValueProperty:de}=rBt(t,p,Z,h,!!(g.facts&1));Lp(pe);let ue;const Ie=!!(g.facts&16);if(ss(r)){const Pe=F?[]:[G.createModifier(123)];g.facts&32&&Pe.push(G.createModifier(126)),g.facts&4&&Pe.push(G.createModifier(134)),ue=G.createMethodDeclaration(Pe.length?Pe:void 0,g.facts&2?G.createToken(42):void 0,H,void 0,re,q,U,pe)}else Ie&&q.unshift(G.createParameterDeclaration(void 0,void 0,"this",void 0,b.typeToTypeNode(b.getTypeAtLocation(g.thisNode),r,1,8),void 0)),ue=G.createFunctionDeclaration(g.facts&4?[G.createToken(134)]:void 0,g.facts&2?G.createToken(42):void 0,H,re,q,U,pe);const we=er.ChangeTracker.fromContext(C),he=(IS(g.range)?va(g.range):g.range).end,Ae=aBt(he,r);Ae?we.insertNodeBefore(C.file,Ae,ue,!0):we.insertNodeAtEndOfScope(C.file,r,ue),E.writeFixes(we);const ke=[],Te=nBt(r,g,R);Ie&&X.unshift(G.createIdentifier("this"));let De=G.createCallExpression(Ie?G.createPropertyAccessExpression(Te,"call"):Te,oe,X);if(g.facts&2&&(De=G.createYieldExpression(G.createToken(42),De)),g.facts&4&&(De=G.createAwaitExpression(De)),l6e(t)&&(De=G.createJsxExpression(void 0,De)),p.length&&!Z)if(j.assert(!de,"Expected no returnValueProperty"),j.assert(!(g.facts&1),"Expected RangeFacts.HasReturn flag to be unset"),p.length===1){const Pe=p[0];ke.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(Ec(Pe.name),void 0,Ec(Pe.type),De)],Pe.parent.flags)))}else{const Pe=[],We=[];let ze=p[0].parent.flags,Nt=!1;for(const et of p){Pe.push(G.createBindingElement(void 0,void 0,Ec(et.name)));const Me=b.typeToTypeNode(b.getBaseTypeOfLiteralType(b.getTypeAtLocation(et)),r,1,8);We.push(G.createPropertySignature(void 0,et.symbol.name,void 0,Me)),Nt=Nt||et.type!==void 0,ze=ze&et.parent.flags}const $e=Nt?G.createTypeLiteralNode(We):void 0;$e&&tr($e,1),ke.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(G.createObjectBindingPattern(Pe),void 0,$e,De)],ze)))}else if(p.length||Z){if(p.length)for(const We of p){let ze=We.parent.flags;ze&2&&(ze=ze&-3|1),ke.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(We.symbol.name,void 0,He(We.type))],ze)))}de&&ke.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(de,void 0,He(U))],1)));const Pe=c6e(p,Z);de&&Pe.unshift(G.createShorthandPropertyAssignment(de)),Pe.length===1?(j.assert(!de,"Shouldn't have returnValueProperty here"),ke.push(G.createExpressionStatement(G.createAssignment(Pe[0].name,De))),g.facts&1&&ke.push(G.createReturnStatement())):(ke.push(G.createExpressionStatement(G.createAssignment(G.createObjectLiteralExpression(Pe),De))),de&&ke.push(G.createReturnStatement(G.createIdentifier(de))))}else g.facts&1?ke.push(G.createReturnStatement(De)):IS(g.range)?ke.push(G.createExpressionStatement(De)):ke.push(De);IS(g.range)?we.replaceNodeRangeWithNodes(C.file,ya(g.range),va(g.range),ke):we.replaceNodeWithNodes(C.file,g.range,ke);const qe=we.getChanges(),Ne=(IS(g.range)?ya(g.range):g.range).getSourceFile().fileName,Oe=wj(qe,Ne,R,!1);return{renameFilename:Ne,renameLocation:Oe,edits:qe};function He(Pe){if(Pe===void 0)return;const We=Ec(Pe);let ze=We;for(;EI(ze);)ze=ze.type;return fx(ze)&&Fe(ze.types,Nt=>Nt.kind===157)?We:G.createUnionTypeNode([We,G.createKeywordTypeNode(157)])}}function Y9t(t,r,{substitutions:a},u,h){const p=h.program.getTypeChecker(),g=r.getSourceFile(),C=W4e(t,r,p,g),b=nr(r);let T=b||!p.isContextSensitive(t)?void 0:p.typeToTypeNode(p.getContextualType(t),r,1,8),E=sBt(Dc(t),a);({variableType:T,initializer:E}=U(T,E)),Lp(E);const N=er.ChangeTracker.fromContext(h);if(ss(r)){j.assert(!b,"Cannot extract to a JS class");const q=[];q.push(G.createModifier(123)),u&32&&q.push(G.createModifier(126)),q.push(G.createModifier(148));const X=G.createPropertyDeclaration(q,C,void 0,T,E);let Z=G.createPropertyAccessExpression(u&32?G.createIdentifier(r.name.getText()):G.createThis(),G.createIdentifier(C));l6e(t)&&(Z=G.createJsxExpression(void 0,Z));const Q=t.pos,re=cBt(Q,r);N.insertNodeBefore(h.file,re,X,!0),N.replaceNode(h.file,t,Z)}else{const q=G.createVariableDeclaration(C,void 0,T,E),X=eBt(t,r);if(X){N.insertNodeBefore(h.file,X,q);const Z=G.createIdentifier(C);N.replaceNode(h.file,t,Z)}else if(t.parent.kind===244&&r===Qi(t,o6e)){const Z=G.createVariableStatement(void 0,G.createVariableDeclarationList([q],2));N.replaceNode(h.file,t.parent,Z)}else{const Z=G.createVariableStatement(void 0,G.createVariableDeclarationList([q],2)),Q=lBt(t,r);if(Q.pos===0?N.insertNodeAtTopOfFile(h.file,Z,!1):N.insertNodeBefore(h.file,Q,Z,!1),t.parent.kind===244)N.delete(h.file,t.parent);else{let re=G.createIdentifier(C);l6e(t)&&(re=G.createJsxExpression(void 0,re)),N.replaceNode(h.file,t,re)}}}const R=N.getChanges(),F=t.getSourceFile().fileName,H=wj(R,F,C,!0);return{renameFilename:F,renameLocation:H,edits:R};function U(q,X){if(q===void 0)return{variableType:q,initializer:X};if(!ml(X)&&!xl(X)||X.typeParameters)return{variableType:q,initializer:X};const Z=p.getTypeAtLocation(t),Q=Bm(p.getSignaturesOfType(Z,0));if(!Q)return{variableType:q,initializer:X};if(Q.getTypeParameters())return{variableType:q,initializer:X};const re=[];let oe=!1;for(const pe of X.parameters)if(pe.type)re.push(pe);else{const de=p.getTypeAtLocation(pe);de===p.getAnyType()&&(oe=!0),re.push(G.updateParameterDeclaration(pe,pe.modifiers,pe.dotDotDotToken,pe.name,pe.questionToken,pe.type||p.typeToTypeNode(de,r,1,8),pe.initializer))}if(oe)return{variableType:q,initializer:X};if(q=void 0,xl(X))X=G.updateArrowFunction(X,Fg(t)?PT(t):void 0,X.typeParameters,re,X.type||p.typeToTypeNode(Q.getReturnType(),r,1,8),X.equalsGreaterThanToken,X.body);else{if(Q&&Q.thisParameter){const pe=Ra(re);if(!pe||ot(pe.name)&&pe.name.escapedText!=="this"){const de=p.getTypeOfSymbolAtLocation(Q.thisParameter,t);re.splice(0,0,G.createParameterDeclaration(void 0,void 0,"this",void 0,p.typeToTypeNode(de,r,1,8)))}}X=G.updateFunctionExpression(X,Fg(t)?PT(t):void 0,X.asteriskToken,X.name,X.typeParameters,re,X.type||p.typeToTypeNode(Q.getReturnType(),r,1),X.body)}return{variableType:q,initializer:X}}}function eBt(t,r){let a;for(;t!==void 0&&t!==r;){if(_s(t)&&t.initializer===a&&Sf(t.parent)&&t.parent.declarations.length>1)return t;a=t,t=t.parent}}function tBt(t,r){let a;const u=t.symbol;if(u&&u.declarations)for(const h of u.declarations)(a===void 0||h.pos<a.pos)&&h.pos<r&&(a=h);return a}function iBt({type:t,declaration:r},{type:a,declaration:u}){return pxe(r,u,"pos",_l)||sh(t.symbol?t.symbol.getName():"",a.symbol?a.symbol.getName():"")||_l(t.id,a.id)}function nBt(t,r,a){const u=G.createIdentifier(a);if(ss(t)){const h=r.facts&32?G.createIdentifier(t.name.text):G.createThis();return G.createPropertyAccessExpression(h,u)}else return u}function rBt(t,r,a,u,h){const p=a!==void 0||r.length>0;if(Xo(t)&&!p&&u.size===0)return{body:G.createBlock(t.statements,!0),returnValueProperty:void 0};let g,C=!1;const b=G.createNodeArray(Xo(t)?t.statements.slice(0):[Ps(t)?t:G.createReturnStatement(Dc(t))]);if(p||u.size){const E=Dn(b,T,Ps).slice();if(p&&!h&&Ps(t)){const N=c6e(r,a);N.length===1?E.push(G.createReturnStatement(N[0].name)):E.push(G.createReturnStatement(G.createObjectLiteralExpression(N)))}return{body:G.createBlock(E,!0),returnValueProperty:g}}else return{body:G.createBlock(b,!0),returnValueProperty:void 0};function T(E){if(!C&&Og(E)&&p){const N=c6e(r,a);return E.expression&&(g||(g="__return"),N.unshift(G.createPropertyAssignment(g,bt(E.expression,T,jt)))),N.length===1?G.createReturnStatement(N[0].name):G.createReturnStatement(G.createObjectLiteralExpression(N))}else{const N=C;C=C||Qc(E)||ss(E);const R=u.get(Sc(E).toString()),F=R?Ec(R):dn(E,T,void 0);return C=N,F}}}function sBt(t,r){return r.size?a(t):t;function a(u){const h=r.get(Sc(u).toString());return h?Ec(h):dn(u,a,void 0)}}function oBt(t){if(Qc(t)){const r=t.body;if(Xo(r))return r.statements}else{if(H1(t)||Os(t))return t.statements;if(ss(t))return t.members}return w}function aBt(t,r){return Fe(oBt(r),a=>a.pos>=t&&Qc(a)&&!iu(a))}function cBt(t,r){const a=r.members;j.assert(a.length>0,"Found no members");let u,h=!0;for(const p of a){if(p.pos>t)return u||a[0];if(h&&!Lo(p)){if(u!==void 0)return p;h=!1}u=p}return u===void 0?j.fail():u}function lBt(t,r){j.assert(!ss(r));let a;for(let u=t;u!==r;u=u.parent)o6e(u)&&(a=u);for(let u=(a||t).parent;;u=u.parent){if(hA(u)){let h;for(const p of u.statements){if(p.pos>t.pos)break;h=p}return!h&&x3(u)?(j.assert(S7(u.parent.parent),"Grandparent isn't a switch statement"),u.parent.parent):j.checkDefined(h,"prevStatement failed to get set")}j.assert(u!==r,"Didn't encounter a block-like before encountering scope")}}function c6e(t,r){const a=qt(t,h=>G.createShorthandPropertyAssignment(h.symbol.name)),u=qt(r,h=>G.createShorthandPropertyAssignment(h.symbol.name));return a===void 0?u:u===void 0?a:a.concat(u)}function IS(t){return Ao(t)}function uBt(t,r){return IS(t.range)?{pos:ya(t.range).getStart(r),end:va(t.range).getEnd()}:t.range}function dBt(t,r,a,u,h,p){const g=new Map,C=[],b=[],T=[],E=[],N=[],R=new Map,F=[];let H;const U=IS(t.range)?t.range.length===1&&uf(t.range[0])?t.range[0].expression:void 0:t.range;let q;if(U===void 0){const ke=t.range,Te=ya(ke).getStart(),De=va(ke).end;q=Md(u,Te,De-Te,qf.expressionExpected)}else h.getTypeAtLocation(U).flags&147456&&(q=Kn(U,qf.uselessConstantType));for(const ke of r){C.push({usages:new Map,typeParameterUsages:new Map,substitutions:new Map}),b.push(new Map),T.push([]);const Te=[];q&&Te.push(q),ss(ke)&&nr(ke)&&Te.push(Kn(ke,qf.cannotExtractToJSClass)),xl(ke)&&!Xo(ke.body)&&Te.push(Kn(ke,qf.cannotExtractToExpressionArrowFunction)),E.push(Te)}const X=new Map,Z=IS(t.range)?G.createBlock(t.range):t.range,Q=IS(t.range)?ya(t.range):t.range,re=oe(Q);if(de(Z),re&&!IS(t.range)&&!U1(t.range)){const ke=h.getContextualType(t.range);pe(ke)}if(g.size>0){const ke=new Map;let Te=0;for(let De=Q;De!==void 0&&Te<r.length;De=De.parent)if(De===r[Te]&&(ke.forEach((qe,se)=>{C[Te].typeParameterUsages.set(se,qe)}),Te++),Eae(De))for(const qe of Jw(De)){const se=h.getTypeAtLocation(qe);g.has(se.id.toString())&&ke.set(se.id.toString(),se)}j.assert(Te===r.length,"Should have iterated all scopes")}if(N.length){const ke=Dae(r[0],r[0].parent)?r[0]:R0(r[0]);Uo(ke,we)}for(let ke=0;ke<r.length;ke++){const Te=C[ke];if(ke>0&&(Te.usages.size>0||Te.typeParameterUsages.size>0)){const se=IS(t.range)?t.range[0]:t.range;E[ke].push(Kn(se,qf.cannotAccessVariablesFromNestedScopes))}t.facts&16&&ss(r[ke])&&T[ke].push(Kn(t.thisNode,qf.cannotExtractFunctionsContainingThisToMethod));let De=!1,qe;if(C[ke].usages.forEach(se=>{se.usage===2&&(De=!0,se.symbol.flags&106500&&se.symbol.valueDeclaration&&xp(se.symbol.valueDeclaration,8)&&(qe=se.symbol.valueDeclaration))}),j.assert(IS(t.range)||F.length===0,"No variable declarations expected if something was extracted"),De&&!IS(t.range)){const se=Kn(t.range,qf.cannotWriteInExpression);T[ke].push(se),E[ke].push(se)}else if(qe&&ke>0){const se=Kn(qe,qf.cannotExtractReadonlyPropertyInitializerOutsideConstructor);T[ke].push(se),E[ke].push(se)}else if(H){const se=Kn(H,qf.cannotExtractExportedEntity);T[ke].push(se),E[ke].push(se)}}return{target:Z,usagesPerScope:C,functionErrorsPerScope:T,constantErrorsPerScope:E,exposedVariableDeclarations:F};function oe(ke){return!!Qi(ke,Te=>Eae(Te)&&Jw(Te).length!==0)}function pe(ke){const Te=h.getSymbolWalker(()=>(p.throwIfCancellationRequested(),!0)),{visitedTypes:De}=Te.walkType(ke);for(const qe of De)qe.isTypeParameter()&&g.set(qe.id.toString(),qe)}function de(ke,Te=1){if(re){const De=h.getTypeAtLocation(ke);pe(De)}if(Wf(ke)&&ke.symbol&&N.push(ke),lf(ke))de(ke.left,2),de(ke.right);else if(I2e(ke))de(ke.operand,2);else if(Nr(ke)||wl(ke))Uo(ke,de);else if(ot(ke)){if(!ke.parent||o_(ke.parent)&&ke!==ke.parent.left||Nr(ke.parent)&&ke!==ke.parent.expression)return;ue(ke,Te,N1(ke))}else Uo(ke,de)}function ue(ke,Te,De){const qe=Ie(ke,Te,De);if(qe)for(let se=0;se<r.length;se++){const Ne=b[se].get(qe);Ne&&C[se].substitutions.set(Sc(ke).toString(),Ne)}}function Ie(ke,Te,De){const qe=he(ke);if(!qe)return;const se=Ba(qe).toString(),Ne=X.get(se);if(Ne&&Ne>=Te)return se;if(X.set(se,Te),Ne){for(const Pe of C)Pe.usages.get(ke.text)&&Pe.usages.set(ke.text,{usage:Te,symbol:qe,node:ke});return se}const Oe=qe.getDeclarations(),He=Oe&&Fe(Oe,Pe=>Pe.getSourceFile()===u);if(He&&!fj(a,He.getStart(),He.end)){if(t.facts&2&&Te===2){const Pe=Kn(ke,qf.cannotExtractRangeThatContainsWritesToReferencesLocatedOutsideOfTheTargetRangeInGenerators);for(const We of T)We.push(Pe);for(const We of E)We.push(Pe)}for(let Pe=0;Pe<r.length;Pe++){const We=r[Pe];if(h.resolveName(qe.name,We,qe.flags,!1)!==qe&&!b[Pe].has(se)){const Nt=Ae(qe.exportSymbol||qe,We,De);if(Nt)b[Pe].set(se,Nt);else if(De){if(!(qe.flags&262144)){const $e=Kn(ke,qf.typeWillNotBeVisibleInTheNewScope);T[Pe].push($e),E[Pe].push($e)}}else C[Pe].usages.set(ke.text,{usage:Te,symbol:qe,node:ke})}}return se}}function we(ke){if(ke===t.range||IS(t.range)&&t.range.includes(ke))return;const Te=ot(ke)?he(ke):h.getSymbolAtLocation(ke);if(Te){const De=Fe(N,qe=>qe.symbol===Te);if(De)if(_s(De)){const qe=De.symbol.id.toString();R.has(qe)||(F.push(De),R.set(qe,!0))}else H=H||De}Uo(ke,we)}function he(ke){return ke.parent&&lh(ke.parent)&&ke.parent.name===ke?h.getShorthandAssignmentValueSymbol(ke.parent):h.getSymbolAtLocation(ke)}function Ae(ke,Te,De){if(!ke)return;const qe=ke.getDeclarations();if(qe&&qe.some(Ne=>Ne.parent===Te))return G.createIdentifier(ke.name);const se=Ae(ke.parent,Te,De);if(se!==void 0)return De?G.createQualifiedName(se,G.createIdentifier(ke.name)):G.createPropertyAccessExpression(se,ke.name)}}function fBt(t){return Qi(t,r=>r.parent&&Rrt(r)&&!ur(r.parent))}function Rrt(t){const{parent:r}=t;switch(r.kind){case 306:return!1}switch(t.kind){case 11:return r.kind!==272&&r.kind!==276;case 230:case 206:case 208:return!1;case 80:return r.kind!==208&&r.kind!==276&&r.kind!==281}return!0}function l6e(t){return u6e(t)||(Gv(t)||PI(t)||aD(t))&&(Gv(t.parent)||aD(t.parent))}function u6e(t){return Ha(t)&&t.parent&&U1(t.parent)}var hBt={},Yhe="Generate 'get' and 'set' accessors",d6e=Bo(k.Generate_get_and_set_accessors),f6e={name:Yhe,description:d6e,kind:"refactor.rewrite.property.generateAccessors"};Qv(Yhe,{kinds:[f6e.kind],getEditsForAction:function(r,a){if(!r.endPosition)return;const u=wh.getAccessorConvertiblePropertyAtPosition(r.file,r.program,r.startPosition,r.endPosition);j.assert(u&&!sC(u),"Expected applicable refactor info");const h=wh.generateAccessorFromProperty(r.file,r.program,r.startPosition,r.endPosition,r,a);if(!h)return;const p=r.file.fileName,g=u.renameAccessor?u.accessorName:u.fieldName,b=(ot(g)?0:-1)+wj(h,p,g.text,$s(u.declaration));return{renameFilename:p,renameLocation:b,edits:h}},getAvailableActions(t){if(!t.endPosition)return w;const r=wh.getAccessorConvertiblePropertyAtPosition(t.file,t.program,t.startPosition,t.endPosition,t.triggerReason==="invoked");return r?sC(r)?t.preferences.provideRefactorNotApplicableReason?[{name:Yhe,description:d6e,actions:[{...f6e,notApplicableReason:r.error}]}]:w:[{name:Yhe,description:d6e,actions:[f6e]}]:w}});var _Bt={},e_e="Infer function return type",h6e=Bo(k.Infer_function_return_type),t_e={name:e_e,description:h6e,kind:"refactor.rewrite.function.returnType"};Qv(e_e,{kinds:[t_e.kind],getEditsForAction:pBt,getAvailableActions:mBt});function pBt(t){const r=Frt(t);if(r&&!sC(r))return{renameFilename:void 0,renameLocation:void 0,edits:er.ChangeTracker.with(t,u=>gBt(t.file,u,r.declaration,r.returnTypeNode))}}function mBt(t){const r=Frt(t);return r?sC(r)?t.preferences.provideRefactorNotApplicableReason?[{name:e_e,description:h6e,actions:[{...t_e,notApplicableReason:r.error}]}]:w:[{name:e_e,description:h6e,actions:[t_e]}]:w}function gBt(t,r,a,u){const h=Uc(a,22,t),p=xl(a)&&h===void 0,g=p?ya(a.parameters):h;g&&(p&&(r.insertNodeBefore(t,g,G.createToken(21)),r.insertNodeAfter(t,g,G.createToken(22))),r.insertNodeAt(t,g.end,u,{prefix:": "}))}function Frt(t){if(nr(t.file)||!v2(t_e.kind,t.kind))return;const r=R_(t.file,t.startPosition),a=Qi(r,g=>Xo(g)||g.parent&&xl(g.parent)&&(g.kind===39||g.parent.body===g)?"quit":yBt(g));if(!a||!a.body||a.type)return{error:Bo(k.Return_type_must_be_inferred_from_a_function)};const u=t.program.getTypeChecker();let h;if(u.isImplementationOfOverload(a)){const g=u.getTypeAtLocation(a).getCallSignatures();g.length>1&&(h=u.getUnionType(es(g,C=>C.getReturnType())))}if(!h){const g=u.getSignatureFromDeclaration(a);if(g){const C=u.getTypePredicateOfSignature(g);if(C&&C.type){const b=u.typePredicateToTypePredicateNode(C,a,1,8);if(b)return{declaration:a,returnTypeNode:b}}else h=u.getReturnTypeOfSignature(g)}}if(!h)return{error:Bo(k.Could_not_determine_function_return_type)};const p=u.typeToTypeNode(h,a,1,8);if(p)return{declaration:a,returnTypeNode:p}}function yBt(t){switch(t.kind){case 262:case 218:case 219:case 174:return!0;default:return!1}}var Brt=(t=>(t[t.typeOffset=8]="typeOffset",t[t.modifierMask=255]="modifierMask",t))(Brt||{}),Wrt=(t=>(t[t.class=0]="class",t[t.enum=1]="enum",t[t.interface=2]="interface",t[t.namespace=3]="namespace",t[t.typeParameter=4]="typeParameter",t[t.type=5]="type",t[t.parameter=6]="parameter",t[t.variable=7]="variable",t[t.enumMember=8]="enumMember",t[t.property=9]="property",t[t.function=10]="function",t[t.member=11]="member",t))(Wrt||{}),Vrt=(t=>(t[t.declaration=0]="declaration",t[t.static=1]="static",t[t.async=2]="async",t[t.readonly=3]="readonly",t[t.defaultLibrary=4]="defaultLibrary",t[t.local=5]="local",t))(Vrt||{});function Hrt(t,r,a,u){const h=_6e(t,r,a,u);j.assert(h.spans.length%3===0);const p=h.spans,g=[];for(let C=0;C<p.length;C+=3)g.push({textSpan:Ff(p[C],p[C+1]),classificationType:p[C+2]});return g}function _6e(t,r,a,u){return{spans:vBt(t,a,u,r),endOfLineState:0}}function vBt(t,r,a,u){const h=[];return t&&r&&bBt(t,r,a,(g,C,b)=>{h.push(g.getStart(r),g.getWidth(r),(C+1<<8)+b)},u),h}function bBt(t,r,a,u,h){const p=t.getTypeChecker();let g=!1;function C(b){switch(b.kind){case 267:case 263:case 264:case 262:case 231:case 218:case 219:h.throwIfCancellationRequested()}if(!b||!LW(a,b.pos,b.getFullWidth())||b.getFullWidth()===0)return;const T=g;if((Gv(b)||PI(b))&&(g=!0),x7(b)&&(g=!1),ot(b)&&!g&&!xBt(b)&&!c7(b.escapedText)){let E=p.getSymbolAtLocation(b);if(E){E.flags&2097152&&(E=p.getAliasedSymbol(E));let N=CBt(E,zI(b));if(N!==void 0){let R=0;b.parent&&(ec(b.parent)||Urt.get(b.parent.kind)===N)&&b.parent.name===b&&(R=1),N===6&&zrt(b)&&(N=9),N=SBt(p,b,N);const F=E.valueDeclaration;if(F){const H=Rk(F),U=zb(F);H&256&&(R|=2),H&1024&&(R|=4),N!==0&&N!==2&&(H&8||U&2||E.getFlags()&8)&&(R|=8),(N===7||N===10)&&wBt(F,r)&&(R|=32),t.isSourceFileDefaultLibrary(F.getSourceFile())&&(R|=16)}else E.declarations&&E.declarations.some(H=>t.isSourceFileDefaultLibrary(H.getSourceFile()))&&(R|=16);u(b,N,R)}}}Uo(b,C),g=T}C(r)}function CBt(t,r){const a=t.getFlags();if(a&32)return 0;if(a&384)return 1;if(a&524288)return 5;if(a&64){if(r&2)return 2}else if(a&262144)return 4;let u=t.valueDeclaration||t.declarations&&t.declarations[0];return u&&ec(u)&&(u=jrt(u)),u&&Urt.get(u.kind)}function SBt(t,r,a){if(a===7||a===9||a===6){const u=t.getTypeAtLocation(r);if(u){const h=p=>p(u)||u.isUnion()&&u.types.some(p);if(a!==6&&h(p=>p.getConstructSignatures().length>0))return 0;if(h(p=>p.getCallSignatures().length>0)&&!h(p=>p.getProperties().length>0)||kBt(r))return a===9?11:10}}return a}function wBt(t,r){return ec(t)&&(t=jrt(t)),_s(t)?(!Os(t.parent.parent.parent)||lD(t.parent))&&t.getSourceFile()===r:Wu(t)?!Os(t.parent)&&t.getSourceFile()===r:!1}function jrt(t){for(;;)if(ec(t.parent.parent))t=t.parent.parent;else return t.parent.parent}function xBt(t){const r=t.parent;return r&&(H0(r)||l_(r)||Jv(r))}function kBt(t){for(;zrt(t);)t=t.parent;return la(t.parent)&&t.parent.expression===t}function zrt(t){return o_(t.parent)&&t.parent.right===t||Nr(t.parent)&&t.parent.name===t}var Urt=new Map([[260,7],[169,6],[172,9],[267,3],[266,1],[306,8],[263,0],[174,11],[262,10],[218,10],[173,11],[177,9],[178,9],[171,9],[264,2],[265,5],[168,4],[303,9],[304,9]]),qrt="0.8";function $rt(t,r,a,u){const h=_G(t)?new p6e(t,r,a):t===80?new Grt(80,r,a):t===81?new Krt(81,r,a):new Jrt(t,r,a);return h.parent=u,h.flags=u.flags&101441536,h}var p6e=class{constructor(t,r,a){this.pos=r,this.end=a,this.kind=t,this.id=0,this.flags=0,this.modifierFlagsCache=0,this.transformFlags=0,this.parent=void 0,this.original=void 0,this.emitNode=void 0}assertHasRealPosition(t){j.assert(!W0(this.pos)&&!W0(this.end),t||"Node must have a real position for this operation")}getSourceFile(){return _n(this)}getStart(t,r){return this.assertHasRealPosition(),ex(this,t,r)}getFullStart(){return this.assertHasRealPosition(),this.pos}getEnd(){return this.assertHasRealPosition(),this.end}getWidth(t){return this.assertHasRealPosition(),this.getEnd()-this.getStart(t)}getFullWidth(){return this.assertHasRealPosition(),this.end-this.pos}getLeadingTriviaWidth(t){return this.assertHasRealPosition(),this.getStart(t)-this.pos}getFullText(t){return this.assertHasRealPosition(),(t||this.getSourceFile()).text.substring(this.pos,this.end)}getText(t){return this.assertHasRealPosition(),t||(t=this.getSourceFile()),t.text.substring(this.getStart(t),this.getEnd())}getChildCount(t){return this.getChildren(t).length}getChildAt(t,r){return this.getChildren(r)[t]}getChildren(t=_n(this)){return this.assertHasRealPosition("Node without a real position cannot be scanned and thus has no token nodes - use forEachChild and collect the result if that's fine"),Dle(this,t)??QEe(this,t,TBt(this,t))}getFirstToken(t){this.assertHasRealPosition();const r=this.getChildren(t);if(!r.length)return;const a=Fe(r,u=>u.kind<309||u.kind>351);return a.kind<166?a:a.getFirstToken(t)}getLastToken(t){this.assertHasRealPosition();const r=this.getChildren(t),a=rl(r);if(a)return a.kind<166?a:a.getLastToken(t)}forEachChild(t,r){return Uo(this,t,r)}};function TBt(t,r){const a=[];if(SG(t))return t.forEachChild(g=>{a.push(g)}),a;Uh.setText((r||t.getSourceFile()).text);let u=t.pos;const h=g=>{uY(a,u,g.pos,t),a.push(g),u=g.end},p=g=>{uY(a,u,g.pos,t),a.push(DBt(g,t)),u=g.end};return O(t.jsDoc,h),u=t.pos,t.forEachChild(h,p),uY(a,u,t.end,t),Uh.setText(void 0),a}function uY(t,r,a,u){for(Uh.resetTokenState(r);r<a;){const h=Uh.scan(),p=Uh.getTokenEnd();if(p<=a){if(h===80){if(eEe(u))continue;j.fail(`Did not expect ${j.formatSyntaxKind(u.kind)} to have an Identifier in its trivia`)}t.push($rt(h,r,p,u))}if(r=p,h===1)break}}function DBt(t,r){const a=$rt(352,t.pos,t.end,r),u=[];let h=t.pos;for(const p of t)uY(u,h,p.pos,r),u.push(p),h=p.end;return uY(u,h,t.end,r),a._children=u,a}var m6e=class{constructor(t,r,a){this.pos=r,this.end=a,this.kind=t,this.id=0,this.flags=0,this.transformFlags=0,this.parent=void 0,this.emitNode=void 0}getSourceFile(){return _n(this)}getStart(t,r){return ex(this,t,r)}getFullStart(){return this.pos}getEnd(){return this.end}getWidth(t){return this.getEnd()-this.getStart(t)}getFullWidth(){return this.end-this.pos}getLeadingTriviaWidth(t){return this.getStart(t)-this.pos}getFullText(t){return(t||this.getSourceFile()).text.substring(this.pos,this.end)}getText(t){return t||(t=this.getSourceFile()),t.text.substring(this.getStart(t),this.getEnd())}getChildCount(){return this.getChildren().length}getChildAt(t){return this.getChildren()[t]}getChildren(){return this.kind===1&&this.jsDoc||w}getFirstToken(){}getLastToken(){}forEachChild(){}},EBt=class{constructor(t,r){this.flags=t,this.escapedName=r,this.declarations=void 0,this.valueDeclaration=void 0,this.id=0,this.mergeId=0,this.parent=void 0,this.members=void 0,this.exports=void 0,this.exportSymbol=void 0,this.constEnumOnlyModule=void 0,this.isReferenced=void 0,this.lastAssignmentPos=void 0,this.links=void 0}getFlags(){return this.flags}get name(){return Eu(this)}getEscapedName(){return this.escapedName}getName(){return this.name}getDeclarations(){return this.declarations}getDocumentationComment(t){if(!this.documentationComment)if(this.documentationComment=w,!this.declarations&&Rv(this)&&this.links.target&&Rv(this.links.target)&&this.links.target.links.tupleLabelDeclaration){const r=this.links.target.links.tupleLabelDeclaration;this.documentationComment=dY([r],t)}else this.documentationComment=dY(this.declarations,t);return this.documentationComment}getContextualDocumentationComment(t,r){if(t){if(oS(t)&&(this.contextualGetAccessorDocumentationComment||(this.contextualGetAccessorDocumentationComment=dY($t(this.declarations,oS),r)),P(this.contextualGetAccessorDocumentationComment)))return this.contextualGetAccessorDocumentationComment;if(D1(t)&&(this.contextualSetAccessorDocumentationComment||(this.contextualSetAccessorDocumentationComment=dY($t(this.declarations,D1),r)),P(this.contextualSetAccessorDocumentationComment)))return this.contextualSetAccessorDocumentationComment}return this.getDocumentationComment(r)}getJsDocTags(t){return this.tags===void 0&&(this.tags=w,this.tags=i_e(this.declarations,t)),this.tags}getContextualJsDocTags(t,r){if(t){if(oS(t)&&(this.contextualGetAccessorTags||(this.contextualGetAccessorTags=i_e($t(this.declarations,oS),r)),P(this.contextualGetAccessorTags)))return this.contextualGetAccessorTags;if(D1(t)&&(this.contextualSetAccessorTags||(this.contextualSetAccessorTags=i_e($t(this.declarations,D1),r)),P(this.contextualSetAccessorTags)))return this.contextualSetAccessorTags}return this.getJsDocTags(r)}},Jrt=class extends m6e{constructor(t,r,a){super(t,r,a)}},Grt=class extends m6e{constructor(t,r,a){super(t,r,a)}get text(){return Fr(this)}},Krt=class extends m6e{constructor(t,r,a){super(t,r,a)}get text(){return Fr(this)}},IBt=class{constructor(t,r){this.flags=r,this.checker=t}getFlags(){return this.flags}getSymbol(){return this.symbol}getProperties(){return this.checker.getPropertiesOfType(this)}getProperty(t){return this.checker.getPropertyOfType(this,t)}getApparentProperties(){return this.checker.getAugmentedPropertiesOfType(this)}getCallSignatures(){return this.checker.getSignaturesOfType(this,0)}getConstructSignatures(){return this.checker.getSignaturesOfType(this,1)}getStringIndexType(){return this.checker.getIndexTypeOfType(this,0)}getNumberIndexType(){return this.checker.getIndexTypeOfType(this,1)}getBaseTypes(){return this.isClassOrInterface()?this.checker.getBaseTypes(this):void 0}isNullableType(){return this.checker.isNullableType(this)}getNonNullableType(){return this.checker.getNonNullableType(this)}getNonOptionalType(){return this.checker.getNonOptionalType(this)}getConstraint(){return this.checker.getBaseConstraintOfType(this)}getDefault(){return this.checker.getDefaultFromTypeParameter(this)}isUnion(){return!!(this.flags&1048576)}isIntersection(){return!!(this.flags&2097152)}isUnionOrIntersection(){return!!(this.flags&3145728)}isLiteral(){return!!(this.flags&2432)}isStringLiteral(){return!!(this.flags&128)}isNumberLiteral(){return!!(this.flags&256)}isTypeParameter(){return!!(this.flags&262144)}isClassOrInterface(){return!!(Or(this)&3)}isClass(){return!!(Or(this)&1)}isIndexType(){return!!(this.flags&4194304)}get typeArguments(){if(Or(this)&4)return this.checker.getTypeArguments(this)}},NBt=class{constructor(t,r){this.flags=r,this.checker=t}getDeclaration(){return this.declaration}getTypeParameters(){return this.typeParameters}getParameters(){return this.parameters}getReturnType(){return this.checker.getReturnTypeOfSignature(this)}getTypeParameterAtPosition(t){const r=this.checker.getParameterType(this,t);if(r.isIndexType()&&l7(r.type)){const a=r.type.getConstraint();if(a)return this.checker.getIndexType(a)}return r}getDocumentationComment(){return this.documentationComment||(this.documentationComment=dY(KL(this.declaration),this.checker))}getJsDocTags(){return this.jsDocTags||(this.jsDocTags=i_e(KL(this.declaration),this.checker))}};function Xrt(t){return Wk(t).some(r=>r.tagName.text==="inheritDoc"||r.tagName.text==="inheritdoc")}function i_e(t,r){if(!t)return w;let a=S2.getJsDocTagsFromDeclarations(t,r);if(r&&(a.length===0||t.some(Xrt))){const u=new Set;for(const h of t){const p=Qrt(r,h,g=>{var C;if(!u.has(g))return u.add(g),h.kind===177||h.kind===178?g.getContextualJsDocTags(h,r):((C=g.declarations)==null?void 0:C.length)===1?g.getJsDocTags(r):void 0});p&&(a=[...p,...a])}}return a}function dY(t,r){if(!t)return w;let a=S2.getJsDocCommentsFromDeclarations(t,r);if(r&&(a.length===0||t.some(Xrt))){const u=new Set;for(const h of t){const p=Qrt(r,h,g=>{if(!u.has(g))return u.add(g),h.kind===177||h.kind===178?g.getContextualDocumentationComment(h,r):g.getDocumentationComment(r)});p&&(a=a.length===0?p.slice():p.concat(tO(),a))}}return a}function Qrt(t,r,a){var u;const h=((u=r.parent)==null?void 0:u.kind)===176?r.parent.parent:r.parent;if(!h)return;const p=bd(r);return J(F8(h),g=>{const C=t.getTypeAtLocation(g),b=p&&C.symbol?t.getTypeOfSymbol(C.symbol):C,T=t.getPropertyOfType(b,r.symbol.name);return T?a(T):void 0})}var LBt=class extends p6e{constructor(t,r,a){super(t,r,a)}update(t,r){return jle(this,t,r)}getLineAndCharacterOfPosition(t){return Ia(this,t)}getLineStarts(){return Lv(this)}getPositionOfLineAndCharacter(t,r,a){return nG(Lv(this),t,r,this.text,a)}getLineEndOfPosition(t){const{line:r}=this.getLineAndCharacterOfPosition(t),a=this.getLineStarts();let u;r+1>=a.length&&(u=this.getEnd()),u||(u=a[r+1]-1);const h=this.getFullText();return h[u]===`
+`&&h[u-1]==="\r"?u-1:u}getNamedDeclarations(){return this.namedDeclarations||(this.namedDeclarations=this.computeNamedDeclarations()),this.namedDeclarations}computeNamedDeclarations(){const t=Of();return this.forEachChild(h),t;function r(p){const g=u(p);g&&t.add(g,p)}function a(p){let g=t.get(p);return g||t.set(p,g=[]),g}function u(p){const g=oG(p);return g&&(Ka(g)&&Nr(g.expression)?g.expression.name.text:id(g)?yj(g):void 0)}function h(p){switch(p.kind){case 262:case 218:case 174:case 173:const g=p,C=u(g);if(C){const E=a(C),N=rl(E);N&&g.parent===N.parent&&g.symbol===N.symbol?g.body&&!N.body&&(E[E.length-1]=g):E.push(g)}Uo(p,h);break;case 263:case 231:case 264:case 265:case 266:case 267:case 271:case 281:case 276:case 273:case 274:case 177:case 178:case 187:r(p),Uo(p,h);break;case 169:if(!Zr(p,31))break;case 260:case 208:{const E=p;if(ta(E.name)){Uo(E.name,h);break}E.initializer&&h(E.initializer)}case 306:case 172:case 171:r(p);break;case 278:const b=p;b.exportClause&&(Xm(b.exportClause)?O(b.exportClause.elements,h):h(b.exportClause.name));break;case 272:const T=p.importClause;T&&(T.name&&r(T.name),T.namedBindings&&(T.namedBindings.kind===274?r(T.namedBindings):O(T.namedBindings.elements,h)));break;case 226:Bu(p)!==0&&r(p);default:Uo(p,h)}}}},PBt=class{constructor(t,r,a){this.fileName=t,this.text=r,this.skipTrivia=a||(u=>u)}getLineAndCharacterOfPosition(t){return Ia(this,t)}};function ABt(){return{getNodeConstructor:()=>p6e,getTokenConstructor:()=>Jrt,getIdentifierConstructor:()=>Grt,getPrivateIdentifierConstructor:()=>Krt,getSourceFileConstructor:()=>LBt,getSymbolConstructor:()=>EBt,getTypeConstructor:()=>IBt,getSignatureConstructor:()=>NBt,getSourceMapSourceConstructor:()=>PBt}}function Bj(t){let r=!0;for(const u in t)if(Li(t,u)&&!Zrt(u)){r=!1;break}if(r)return t;const a={};for(const u in t)if(Li(t,u)){const h=Zrt(u)?u:u.charAt(0).toLowerCase()+u.substr(1);a[h]=t[u]}return a}function Zrt(t){return!t.length||t.charAt(0)===t.charAt(0).toLowerCase()}function Wj(t){return t?qt(t,r=>r.text).join(""):""}function fY(){return{target:1,jsx:1}}function n_e(){return wh.getSupportedErrorCodes()}var OBt=class{constructor(t){this.host=t}getCurrentSourceFile(t){var r,a,u,h,p,g,C,b;const T=this.host.getScriptSnapshot(t);if(!T)throw new Error("Could not find file: '"+t+"'.");const E=nhe(t,this.host),N=this.host.getScriptVersion(t);let R;if(this.currentFileName!==t){const F={languageVersion:99,impliedNodeFormat:ZH(ol(t,this.host.getCurrentDirectory(),((u=(a=(r=this.host).getCompilerHost)==null?void 0:a.call(r))==null?void 0:u.getCanonicalFileName)||hS(this.host)),(b=(C=(g=(p=(h=this.host).getCompilerHost)==null?void 0:p.call(h))==null?void 0:g.getModuleResolutionCache)==null?void 0:C.call(g))==null?void 0:b.getPackageJsonInfoCache(),this.host,this.host.getCompilationSettings()),setExternalModuleIndicator:$V(this.host.getCompilationSettings()),jsDocParsingMode:0};R=hY(t,T,F,N,!0,E)}else if(this.currentFileVersion!==N){const F=T.getChangeRange(this.currentFileScriptSnapshot);R=r_e(this.currentSourceFile,T,N,F)}return R&&(this.currentFileVersion=N,this.currentFileName=t,this.currentFileScriptSnapshot=T,this.currentSourceFile=R),this.currentSourceFile}};function Yrt(t,r,a){t.version=a,t.scriptSnapshot=r}function hY(t,r,a,u,h,p){const g=ZP(t,uA(r),a,h,p);return Yrt(g,r,u),g}function r_e(t,r,a,u,h){if(u&&a!==t.version){let g;const C=u.span.start!==0?t.text.substr(0,u.span.start):"",b=au(u.span)!==t.text.length?t.text.substr(au(u.span)):"";if(u.newLength===0)g=C&&b?C+b:C||b;else{const E=r.getText(u.span.start,u.span.start+u.newLength);g=C&&b?C+E+b:C?C+E:E+b}const T=jle(t,g,u,h);return Yrt(T,r,a),T.nameTable=void 0,t!==T&&t.scriptSnapshot&&(t.scriptSnapshot.dispose&&t.scriptSnapshot.dispose(),t.scriptSnapshot=void 0),T}const p={languageVersion:t.languageVersion,impliedNodeFormat:t.impliedNodeFormat,setExternalModuleIndicator:t.setExternalModuleIndicator,jsDocParsingMode:t.jsDocParsingMode};return hY(t.fileName,r,p,a,!0,t.scriptKind)}var MBt={isCancellationRequested:w1,throwIfCancellationRequested:Tc},RBt=class{constructor(t){this.cancellationToken=t}isCancellationRequested(){return this.cancellationToken.isCancellationRequested()}throwIfCancellationRequested(){var t;if(this.isCancellationRequested())throw(t=Zn)==null||t.instant(Zn.Phase.Session,"cancellationThrown",{kind:"CancellationTokenObject"}),new t8}},g6e=class{constructor(t,r=20){this.hostCancellationToken=t,this.throttleWaitMilliseconds=r,this.lastCancellationCheckTime=0}isCancellationRequested(){const t=sl();return Math.abs(t-this.lastCancellationCheckTime)>=this.throttleWaitMilliseconds?(this.lastCancellationCheckTime=t,this.hostCancellationToken.isCancellationRequested()):!1}throwIfCancellationRequested(){var t;if(this.isCancellationRequested())throw(t=Zn)==null||t.instant(Zn.Phase.Session,"cancellationThrown",{kind:"ThrottledCancellationToken"}),new t8}},est=["getSemanticDiagnostics","getSuggestionDiagnostics","getCompilerOptionsDiagnostics","getSemanticClassifications","getEncodedSemanticClassifications","getCodeFixesAtPosition","getCombinedCodeFix","applyCodeActionCommand","organizeImports","getEditsForFileRename","getEmitOutput","getApplicableRefactors","getEditsForRefactor","prepareCallHierarchy","provideCallHierarchyIncomingCalls","provideCallHierarchyOutgoingCalls","provideInlayHints","getSupportedCodeFixes","getPasteEdits"],FBt=[...est,"getCompletionsAtPosition","getCompletionEntryDetails","getCompletionEntrySymbol","getSignatureHelpItems","getQuickInfoAtPosition","getDefinitionAtPosition","getDefinitionAndBoundSpan","getImplementationAtPosition","getTypeDefinitionAtPosition","getReferencesAtPosition","findReferences","getDocumentHighlights","getNavigateToItems","getRenameInfo","findRenameLocations","getApplicableRefactors"];function y6e(t,r=jAe(t.useCaseSensitiveFileNames&&t.useCaseSensitiveFileNames(),t.getCurrentDirectory(),t.jsDocParsingMode),a){var u;let h;a===void 0?h=0:typeof a=="boolean"?h=a?2:0:h=a;const p=new OBt(t);let g,C,b=0;const T=t.getCancellationToken?new RBt(t.getCancellationToken()):MBt,E=t.getCurrentDirectory();kDe((u=t.getLocalizedDiagnosticMessages)==null?void 0:u.bind(t));function N(Pt){t.log&&t.log(Pt)}const R=yP(t),F=Mf(R),H=i4e({useCaseSensitiveFileNames:()=>R,getCurrentDirectory:()=>E,getProgram:Z,fileExists:to(t,t.fileExists),readFile:to(t,t.readFile),getDocumentPositionMapper:to(t,t.getDocumentPositionMapper),getSourceFileLike:to(t,t.getSourceFileLike),log:N});function U(Pt){const Bt=g.getSourceFile(Pt);if(!Bt){const fi=new Error(`Could not find source file: '${Pt}'.`);throw fi.ProgramFiles=g.getSourceFiles().map(wi=>wi.fileName),fi}return Bt}function q(){t.updateFromProject&&!t.updateFromProjectInProgress?t.updateFromProject():X()}function X(){var Pt,Bt,fi;if(j.assert(h!==2),t.getProjectVersion){const go=t.getProjectVersion();if(go){if(C===go&&!((Pt=t.hasChangedAutomaticTypeDirectiveNames)!=null&&Pt.call(t)))return;C=go}}const wi=t.getTypeRootsVersion?t.getTypeRootsVersion():0;b!==wi&&(N("TypeRoots version has changed; provide new program"),g=void 0,b=wi);const Ri=t.getScriptFileNames().slice(),Ai=t.getCompilationSettings()||fY(),Xn=t.hasInvalidatedResolutions||w1,Di=to(t,t.hasInvalidatedLibResolutions)||w1,on=to(t,t.hasChangedAutomaticTypeDirectiveNames),zi=(Bt=t.getProjectReferences)==null?void 0:Bt.call(t);let cn,vi={getSourceFile:kh,getSourceFileByPath:md,getCancellationToken:()=>T,getCanonicalFileName:F,useCaseSensitiveFileNames:()=>R,getNewLine:()=>mS(Ai),getDefaultLibFileName:go=>t.getDefaultLibFileName(go),writeFile:Tc,getCurrentDirectory:()=>E,fileExists:go=>t.fileExists(go),readFile:go=>t.readFile&&t.readFile(go),getSymlinkCache:to(t,t.getSymlinkCache),realpath:to(t,t.realpath),directoryExists:go=>B0(go,t),getDirectories:go=>t.getDirectories?t.getDirectories(go):[],readDirectory:(go,pc,mc,oo,tc)=>(j.checkDefined(t.readDirectory,"'LanguageServiceHost.readDirectory' must be implemented to correctly process 'projectReferences'"),t.readDirectory(go,pc,mc,oo,tc)),onReleaseOldSourceFile:Jo,onReleaseParsedCommandLine:ja,hasInvalidatedResolutions:Xn,hasInvalidatedLibResolutions:Di,hasChangedAutomaticTypeDirectiveNames:on,trace:to(t,t.trace),resolveModuleNames:to(t,t.resolveModuleNames),getModuleResolutionCache:to(t,t.getModuleResolutionCache),createHash:to(t,t.createHash),resolveTypeReferenceDirectives:to(t,t.resolveTypeReferenceDirectives),resolveModuleNameLiterals:to(t,t.resolveModuleNameLiterals),resolveTypeReferenceDirectiveReferences:to(t,t.resolveTypeReferenceDirectiveReferences),resolveLibrary:to(t,t.resolveLibrary),useSourceOfProjectReferenceRedirect:to(t,t.useSourceOfProjectReferenceRedirect),getParsedCommandLine:Zc,jsDocParsingMode:t.jsDocParsingMode};const qo=vi.getSourceFile,{getSourceFileWithCache:Wo}=Q7(vi,go=>ol(go,E,F),(...go)=>qo.call(vi,...go));vi.getSourceFile=Wo,(fi=t.setCompilerHost)==null||fi.call(t,vi);const $o={useCaseSensitiveFileNames:R,fileExists:go=>vi.fileExists(go),readFile:go=>vi.readFile(go),directoryExists:go=>vi.directoryExists(go),getDirectories:go=>vi.getDirectories(go),realpath:vi.realpath,readDirectory:(...go)=>vi.readDirectory(...go),trace:vi.trace,getCurrentDirectory:vi.getCurrentDirectory,onUnRecoverableConfigFileDiagnostic:Tc},Lc=r.getKeyForCompilationSettings(Ai);let vu=new Set;if(bde(g,Ri,Ai,(go,pc)=>t.getScriptVersion(pc),go=>vi.fileExists(go),Xn,Di,on,Zc,zi)){vi=void 0,cn=void 0,vu=void 0;return}g=YH({rootNames:Ri,options:Ai,host:vi,oldProgram:g,projectReferences:zi}),vi=void 0,cn=void 0,vu=void 0,H.clearCache(),g.getTypeChecker();return;function Zc(go){const pc=ol(go,E,F),mc=cn==null?void 0:cn.get(pc);if(mc!==void 0)return mc||void 0;const oo=t.getParsedCommandLine?t.getParsedCommandLine(go):Ls(go);return(cn||(cn=new Map)).set(pc,oo||!1),oo}function Ls(go){const pc=kh(go,100);if(pc)return pc.path=ol(go,E,F),pc.resolvedPath=pc.path,pc.originalFileName=pc.fileName,PH(pc,$o,wo(ts(go),E),void 0,wo(go,E))}function ja(go,pc,mc){var oo;t.getParsedCommandLine?(oo=t.onReleaseParsedCommandLine)==null||oo.call(t,go,pc,mc):pc&&wf(pc.sourceFile,mc)}function wf(go,pc){const mc=r.getKeyForCompilationSettings(pc);r.releaseDocumentWithKey(go.resolvedPath,mc,go.scriptKind,go.impliedNodeFormat)}function Jo(go,pc,mc,oo){var tc;wf(go,pc),(tc=t.onReleaseOldSourceFile)==null||tc.call(t,go,pc,mc,oo)}function kh(go,pc,mc,oo){return md(go,ol(go,E,F),pc,mc,oo)}function md(go,pc,mc,oo,tc){j.assert(vi,"getOrCreateSourceFileByPath called after typical CompilerHost lifetime, check the callstack something with a reference to an old host.");const ki=t.getScriptSnapshot(go);if(!ki)return;const Gc=nhe(go,t),uh=t.getScriptVersion(go);if(!tc){const sd=g&&g.getSourceFileByPath(pc);if(sd){if(Gc===sd.scriptKind||vu.has(sd.resolvedPath))return r.updateDocumentWithKey(go,pc,t,Lc,ki,uh,Gc,mc);r.releaseDocumentWithKey(sd.resolvedPath,r.getKeyForCompilationSettings(g.getCompilerOptions()),sd.scriptKind,sd.impliedNodeFormat),vu.add(sd.resolvedPath)}}return r.acquireDocumentWithKey(go,pc,t,Lc,ki,uh,Gc,mc)}}function Z(){if(h===2){j.assert(g===void 0);return}return q(),g}function Q(){var Pt;return(Pt=t.getPackageJsonAutoImportProvider)==null?void 0:Pt.call(t)}function re(Pt,Bt){const fi=g.getTypeChecker(),wi=Ri();if(!wi)return!1;for(const Xn of Pt)for(const Di of Xn.references){const on=Ai(Di);if(j.assertIsDefined(on),Bt.has(Di)||kl.isDeclarationOfSymbol(on,wi)){Bt.add(Di),Di.isDefinition=!0;const zi=AZ(Di,H,to(t,t.fileExists));zi&&Bt.add(zi)}else Di.isDefinition=!1}return!0;function Ri(){for(const Xn of Pt)for(const Di of Xn.references){if(Bt.has(Di)){const zi=Ai(Di);return j.assertIsDefined(zi),fi.getSymbolAtLocation(zi)}const on=AZ(Di,H,to(t,t.fileExists));if(on&&Bt.has(on)){const zi=Ai(on);if(zi)return fi.getSymbolAtLocation(zi)}}}function Ai(Xn){const Di=g.getSourceFile(Xn.fileName);if(!Di)return;const on=R_(Di,Xn.textSpan.start);return kl.Core.getAdjustedNode(on,{use:kl.FindReferencesUse.References})}}function oe(){if(g){const Pt=r.getKeyForCompilationSettings(g.getCompilerOptions());O(g.getSourceFiles(),Bt=>r.releaseDocumentWithKey(Bt.resolvedPath,Pt,Bt.scriptKind,Bt.impliedNodeFormat)),g=void 0}}function pe(){oe(),t=void 0}function de(Pt){return q(),g.getSyntacticDiagnostics(U(Pt),T).slice()}function ue(Pt){q();const Bt=U(Pt),fi=g.getSemanticDiagnostics(Bt,T);if(!Q_(g.getCompilerOptions()))return fi.slice();const wi=g.getDeclarationDiagnostics(Bt,T);return[...fi,...wi]}function Ie(Pt,Bt){q();const fi=U(Pt),wi=g.getCompilerOptions();if(p3(fi,wi,g)||!r7(fi,wi)||g.getCachedSemanticDiagnostics(fi))return;const Ri=we(fi,Bt);if(!Ri)return;const Ai=Hoe(Ri.map(Di=>Fu(Di.getFullStart(),Di.getEnd())));return{diagnostics:g.getSemanticDiagnostics(fi,T,Ri).slice(),spans:Ai}}function we(Pt,Bt){const fi=[],wi=Hoe(Bt.map(Ri=>DS(Ri)));for(const Ri of wi){const Ai=he(Pt,Ri);if(!Ai)return;fi.push(...Ai)}if(fi.length)return fi}function he(Pt,Bt){if(Voe(Bt,Pt))return;const fi=hj(Pt,au(Bt))||Pt,wi=Qi(fi,Ai=>Xke(Ai,Bt)),Ri=[];if(Ae(Bt,wi,Ri),Pt.end===Bt.start+Bt.length&&Ri.push(Pt.endOfFileToken),!Ft(Ri,Os))return Ri}function Ae(Pt,Bt,fi){return ke(Bt,Pt)?Voe(Pt,Bt)?(Te(Bt,fi),!0):hA(Bt)?De(Pt,Bt,fi):ss(Bt)?qe(Pt,Bt,fi):(Te(Bt,fi),!0):!1}function ke(Pt,Bt){const fi=Bt.start+Bt.length;return Pt.pos<fi&&Pt.end>Bt.start}function Te(Pt,Bt){for(;Pt.parent&&!rEe(Pt);)Pt=Pt.parent;Bt.push(Pt)}function De(Pt,Bt,fi){const wi=[];return Bt.statements.filter(Ai=>Ae(Pt,Ai,wi)).length===Bt.statements.length?(Te(Bt,fi),!0):(fi.push(...wi),!1)}function qe(Pt,Bt,fi){var wi,Ri,Ai;const Xn=zi=>e2e(zi,Pt);if((wi=Bt.modifiers)!=null&&wi.some(Xn)||Bt.name&&Xn(Bt.name)||(Ri=Bt.typeParameters)!=null&&Ri.some(Xn)||(Ai=Bt.heritageClauses)!=null&&Ai.some(Xn))return Te(Bt,fi),!0;const Di=[];return Bt.members.filter(zi=>Ae(Pt,zi,Di)).length===Bt.members.length?(Te(Bt,fi),!0):(fi.push(...Di),!1)}function se(Pt){return q(),Lhe(U(Pt),g,T)}function Ne(){return q(),[...g.getOptionsDiagnostics(T),...g.getGlobalDiagnostics(T)]}function Oe(Pt,Bt,fi=Bg,wi){const Ri={...fi,includeCompletionsForModuleExports:fi.includeCompletionsForModuleExports||fi.includeExternalModuleExports,includeCompletionsWithInsertText:fi.includeCompletionsWithInsertText||fi.includeInsertTextCompletions};return q(),CA.getCompletionsAtPosition(t,g,N,U(Pt),Bt,Ri,fi.triggerCharacter,fi.triggerKind,T,wi&&pd.getFormatContext(wi,t),fi.includeSymbol)}function He(Pt,Bt,fi,wi,Ri,Ai=Bg,Xn){return q(),CA.getCompletionEntryDetails(g,N,U(Pt),Bt,{name:fi,source:Ri,data:Xn},t,wi&&pd.getFormatContext(wi,t),Ai,T)}function Pe(Pt,Bt,fi,wi,Ri=Bg){return q(),CA.getCompletionEntrySymbol(g,N,U(Pt),Bt,{name:fi,source:wi},t,Ri)}function We(Pt,Bt){q();const fi=U(Pt),wi=R_(fi,Bt);if(wi===fi)return;const Ri=g.getTypeChecker(),Ai=Nt(wi),Xn=HBt(Ai,Ri);if(!Xn||Ri.isUnknownSymbol(Xn)){const vi=$e(fi,Ai,Bt)?Ri.getTypeAtLocation(Ai):void 0;return vi&&{kind:"",kindModifiers:"",textSpan:f_(Ai,fi),displayParts:Ri.runWithCancellationToken(T,qo=>Cj(qo,vi,UI(Ai))),documentation:vi.symbol?vi.symbol.getDocumentationComment(Ri):void 0,tags:vi.symbol?vi.symbol.getJsDocTags(Ri):void 0}}const{symbolKind:Di,displayParts:on,documentation:zi,tags:cn}=Ri.runWithCancellationToken(T,vi=>NS.getSymbolDisplayPartsDocumentationAndSymbolKind(vi,Xn,fi,UI(Ai),Ai));return{kind:Di,kindModifiers:NS.getSymbolModifiers(Ri,Xn),textSpan:f_(Ai,fi),displayParts:on,documentation:zi,tags:cn}}function ze(Pt,Bt){return q(),_pe.pasteEditsProvider(U(Pt.targetFile),Pt.pastedText,Pt.pasteLocations,Pt.copiedFrom?{file:U(Pt.copiedFrom.file),range:Pt.copiedFrom.range}:void 0,t,Pt.preferences,pd.getFormatContext(Bt,t),T)}function Nt(Pt){return rD(Pt.parent)&&Pt.pos===Pt.parent.pos?Pt.parent.expression:b3(Pt.parent)&&Pt.pos===Pt.parent.pos||J6(Pt.parent)&&Pt.parent.name===Pt||j0(Pt.parent)?Pt.parent:Pt}function $e(Pt,Bt,fi){switch(Bt.kind){case 80:return Bt.flags&16777216&&!nr(Bt)&&(Bt.parent.kind===171&&Bt.parent.name===Bt||Qi(Bt,wi=>wi.kind===169))?!1:!Sfe(Bt)&&!wfe(Bt)&&!O0(Bt.parent);case 211:case 166:return!kS(Pt,fi);case 110:case 197:case 108:case 202:return!0;case 236:return J6(Bt);default:return!1}}function et(Pt,Bt,fi,wi){return q(),uO.getDefinitionAtPosition(g,U(Pt),Bt,fi,wi)}function Me(Pt,Bt){return q(),uO.getDefinitionAndBoundSpan(g,U(Pt),Bt)}function ut(Pt,Bt){return q(),uO.getTypeDefinitionAtPosition(g.getTypeChecker(),U(Pt),Bt)}function wt(Pt,Bt){return q(),kl.getImplementationsAtPosition(g,T,g.getSourceFiles(),U(Pt),Bt)}function Et(Pt,Bt,fi){const wi=ca(Pt);j.assert(fi.some(Xn=>ca(Xn)===wi)),q();const Ri=es(fi,Xn=>g.getSourceFile(Xn)),Ai=U(Pt);return QZ.getDocumentHighlights(g,T,Ai,Bt,Ri)}function dt(Pt,Bt,fi,wi,Ri){q();const Ai=U(Pt),Xn=CZ(R_(Ai,Bt));if(RY.nodeIsEligibleForRename(Xn))if(ot(Xn)&&(z1(Xn.parent)||oD(Xn.parent))&&s3(Xn.escapedText)){const{openingElement:Di,closingElement:on}=Xn.parent.parent;return[Di,on].map(zi=>{const cn=f_(zi.tagName,Ai);return{fileName:Ai.fileName,textSpan:cn,...kl.toContextSpan(cn,Ai,zi.parent)}})}else{const Di=$p(Ai,Ri??Bg),on=typeof Ri=="boolean"?Ri:Ri==null?void 0:Ri.providePrefixAndSuffixTextForRename;return Ei(Xn,Bt,{findInStrings:fi,findInComments:wi,providePrefixAndSuffixTextForRename:on,use:kl.FindReferencesUse.Rename},(zi,cn,vi)=>kl.toRenameLocation(zi,cn,vi,on||!1,Di))}}function zt(Pt,Bt){return q(),Ei(R_(U(Pt),Bt),Bt,{use:kl.FindReferencesUse.References},kl.toReferenceEntry)}function Ei(Pt,Bt,fi,wi){q();const Ri=fi&&fi.use===kl.FindReferencesUse.Rename?g.getSourceFiles().filter(Ai=>!g.isSourceFileDefaultLibrary(Ai)):g.getSourceFiles();return kl.findReferenceOrRenameEntries(g,T,Ri,Pt,Bt,fi,wi)}function tn(Pt,Bt){return q(),kl.findReferencedSymbols(g,T,g.getSourceFiles(),U(Pt),Bt)}function xn(Pt){return q(),kl.Core.getReferencesForFileName(Pt,g,g.getSourceFiles()).map(kl.toReferenceEntry)}function Pn(Pt,Bt,fi,wi=!1,Ri=!1){q();const Ai=fi?[U(fi)]:g.getSourceFiles();return dnt(Ai,g.getTypeChecker(),T,Pt,Bt,wi,Ri)}function _i(Pt,Bt,fi){q();const wi=U(Pt),Ri=t.getCustomTransformers&&t.getCustomTransformers();return OLe(g,wi,!!Bt,T,Ri,fi)}function Fi(Pt,Bt,{triggerReason:fi}=Bg){q();const wi=U(Pt);return ez.getSignatureHelpItems(g,wi,Bt,fi,T)}function On(Pt){return p.getCurrentSourceFile(Pt)}function pn(Pt,Bt,fi){const wi=p.getCurrentSourceFile(Pt),Ri=R_(wi,Bt);if(Ri===wi)return;switch(Ri.kind){case 211:case 166:case 11:case 97:case 112:case 106:case 108:case 110:case 197:case 80:break;default:return}let Ai=Ri;for(;;)if(K3(Ai)||QPe(Ai))Ai=Ai.parent;else if(kfe(Ai))if(Ai.parent.parent.kind===267&&Ai.parent.parent.body===Ai.parent)Ai=Ai.parent.parent.name;else break;else break;return Fu(Ai.getStart(),Ri.getEnd())}function qr(Pt,Bt){const fi=p.getCurrentSourceFile(Pt);return o_e.spanInSourceFileAtLocation(fi,Bt)}function Fs(Pt){return pnt(p.getCurrentSourceFile(Pt),T)}function ko(Pt){return mnt(p.getCurrentSourceFile(Pt),T)}function ls(Pt,Bt,fi){return q(),(fi||"original")==="2020"?Hrt(g,T,U(Pt),Bt):VAe(g.getTypeChecker(),T,U(Pt),g.getClassifiableNames(),Bt)}function Qs(Pt,Bt,fi){return q(),(fi||"original")==="original"?whe(g.getTypeChecker(),T,U(Pt),g.getClassifiableNames(),Bt):_6e(g,T,U(Pt),Bt)}function Mn(Pt,Bt){return HAe(T,p.getCurrentSourceFile(Pt),Bt)}function Zs(Pt,Bt){return xhe(T,p.getCurrentSourceFile(Pt),Bt)}function at(Pt){const Bt=p.getCurrentSourceFile(Pt);return Y_e.collectElements(Bt,T)}const yi=new Map(Object.entries({19:20,21:22,23:24,32:30}));yi.forEach((Pt,Bt)=>yi.set(Pt.toString(),Number(Bt)));function Sn(Pt,Bt){const fi=p.getCurrentSourceFile(Pt),wi=Q3(fi,Bt),Ri=wi.getStart(fi)===Bt?yi.get(wi.kind.toString()):void 0,Ai=Ri&&Uc(wi.parent,Ri,fi);return Ai?[f_(wi,fi),f_(Ai,fi)].sort((Xn,Di)=>Xn.start-Di.start):w}function dr(Pt,Bt,fi){let wi=sl();const Ri=Bj(fi),Ai=p.getCurrentSourceFile(Pt);N("getIndentationAtPosition: getCurrentSourceFile: "+(sl()-wi)),wi=sl();const Xn=pd.SmartIndenter.getIndentation(Bt,Ai,Ri);return N("getIndentationAtPosition: computeIndentation : "+(sl()-wi)),Xn}function us(Pt,Bt,fi,wi){const Ri=p.getCurrentSourceFile(Pt);return pd.formatSelection(Bt,fi,Ri,pd.getFormatContext(Bj(wi),t))}function Xr(Pt,Bt){return pd.formatDocument(p.getCurrentSourceFile(Pt),pd.getFormatContext(Bj(Bt),t))}function Gs(Pt,Bt,fi,wi){const Ri=p.getCurrentSourceFile(Pt),Ai=pd.getFormatContext(Bj(wi),t);if(!kS(Ri,Bt))switch(fi){case"{":return pd.formatOnOpeningCurly(Bt,Ri,Ai);case"}":return pd.formatOnClosingCurly(Bt,Ri,Ai);case";":return pd.formatOnSemicolon(Bt,Ri,Ai);case`
+`:return pd.formatOnEnter(Bt,Ri,Ai)}return[]}function ma(Pt,Bt,fi,wi,Ri,Ai=Bg){q();const Xn=U(Pt),Di=Fu(Bt,fi),on=pd.getFormatContext(Ri,t);return Rr(Wb(wi,Hw,_l),zi=>(T.throwIfCancellationRequested(),wh.getFixes({errorCode:zi,sourceFile:Xn,span:Di,program:g,host:t,cancellationToken:T,formatContext:on,preferences:Ai})))}function ka(Pt,Bt,fi,wi=Bg){q(),j.assert(Pt.type==="file");const Ri=U(Pt.fileName),Ai=pd.getFormatContext(fi,t);return wh.getAllFixes({fixId:Bt,sourceFile:Ri,program:g,host:t,cancellationToken:T,formatContext:Ai,preferences:wi})}function Ji(Pt,Bt,fi=Bg){q(),j.assert(Pt.type==="file");const wi=U(Pt.fileName);if(oP(wi))return w;const Ri=pd.getFormatContext(Bt,t),Ai=Pt.mode??(Pt.skipDestructiveCodeActions?"SortAndCombine":"All");return w2.organizeImports(wi,Ri,t,g,fi,Ai)}function ac(Pt,Bt,fi,wi=Bg){return UAe(Z(),Pt,Bt,t,pd.getFormatContext(fi,t),wi,H)}function St(Pt,Bt){const fi=typeof Pt=="string"?Bt:Pt;return Ao(fi)?Promise.all(fi.map(wi=>ri(wi))):ri(fi)}function ri(Pt){const Bt=fi=>ol(fi,E,F);return j.assertEqual(Pt.type,"install package"),t.installPackage?t.installPackage({fileName:Bt(Pt.file),packageName:Pt.packageName}):Promise.reject("Host does not implement `installPackage`")}function xe(Pt,Bt,fi,wi){const Ri=wi?pd.getFormatContext(wi,t).options:void 0;return S2.getDocCommentTemplateAtPosition(rC(t,Ri),p.getCurrentSourceFile(Pt),Bt,fi)}function pt(Pt,Bt,fi){if(fi===60)return!1;const wi=p.getCurrentSourceFile(Pt);if(lA(wi,Bt))return!1;if(rAe(wi,Bt))return fi===123;if(Pfe(wi,Bt))return!1;switch(fi){case 39:case 34:case 96:return!kS(wi,Bt)}return!0}function Se(Pt,Bt){const fi=p.getCurrentSourceFile(Pt),wi=xd(Bt,fi);if(!wi)return;const Ri=wi.kind===32&&z1(wi.parent)?wi.parent.parent:RP(wi)&&Gv(wi.parent)?wi.parent:void 0;if(Ri&&ii(Ri))return{newText:`</${Ri.openingElement.tagName.getText(fi)}>`};const Ai=wi.kind===32&&AI(wi.parent)?wi.parent.parent:RP(wi)&&aD(wi.parent)?wi.parent:void 0;if(Ai&&vt(Ai))return{newText:"</>"}}function Ze(Pt,Bt){const fi=p.getCurrentSourceFile(Pt),wi=xd(Bt,fi);if(!wi||wi.parent.kind===307)return;const Ri="[a-zA-Z0-9:\\-\\._$]*";if(aD(wi.parent.parent)){const Ai=wi.parent.parent.openingFragment,Xn=wi.parent.parent.closingFragment;if(oP(Ai)||oP(Xn))return;const Di=Ai.getStart(fi)+1,on=Xn.getStart(fi)+2;return Bt!==Di&&Bt!==on?void 0:{ranges:[{start:Di,length:0},{start:on,length:0}],wordPattern:Ri}}else{const Ai=Qi(wi.parent,Wo=>!!(z1(Wo)||oD(Wo)));if(!Ai)return;j.assert(z1(Ai)||oD(Ai),"tag should be opening or closing element");const Xn=Ai.parent.openingElement,Di=Ai.parent.closingElement,on=Xn.tagName.getStart(fi),zi=Xn.tagName.end,cn=Di.tagName.getStart(fi),vi=Di.tagName.end;return on===Xn.getStart(fi)||cn===Di.getStart(fi)||zi===Xn.getEnd()||vi===Di.getEnd()||!(on<=Bt&&Bt<=zi||cn<=Bt&&Bt<=vi)||Xn.tagName.getText(fi)!==Di.tagName.getText(fi)?void 0:{ranges:[{start:on,length:zi-on},{start:cn,length:vi-cn}],wordPattern:Ri}}}function gt(Pt,Bt){return{lineStarts:Pt.getLineStarts(),firstLine:Pt.getLineAndCharacterOfPosition(Bt.pos).line,lastLine:Pt.getLineAndCharacterOfPosition(Bt.end).line}}function Dt(Pt,Bt,fi){const wi=p.getCurrentSourceFile(Pt),Ri=[],{lineStarts:Ai,firstLine:Xn,lastLine:Di}=gt(wi,Bt);let on=fi||!1,zi=Number.MAX_VALUE;const cn=new Map,vi=new RegExp(/\S/),qo=wZ(wi,Ai[Xn]),Wo=qo?"{/*":"//";for(let $o=Xn;$o<=Di;$o++){const Lc=wi.text.substring(Ai[$o],wi.getLineEndOfPosition(Ai[$o])),vu=vi.exec(Lc);vu&&(zi=Math.min(zi,vu.index),cn.set($o.toString(),vu.index),Lc.substr(vu.index,Wo.length)!==Wo&&(on=fi===void 0||fi))}for(let $o=Xn;$o<=Di;$o++){if(Xn!==Di&&Ai[$o]===Bt.end)continue;const Lc=cn.get($o.toString());Lc!==void 0&&(qo?Ri.push(...Je(Pt,{pos:Ai[$o]+zi,end:wi.getLineEndOfPosition(Ai[$o])},on,qo)):on?Ri.push({newText:Wo,span:{length:0,start:Ai[$o]+zi}}):wi.text.substr(Ai[$o]+Lc,Wo.length)===Wo&&Ri.push({newText:"",span:{length:Wo.length,start:Ai[$o]+Lc}}))}return Ri}function Je(Pt,Bt,fi,wi){var Ri;const Ai=p.getCurrentSourceFile(Pt),Xn=[],{text:Di}=Ai;let on=!1,zi=fi||!1;const cn=[];let{pos:vi}=Bt;const qo=wi!==void 0?wi:wZ(Ai,vi),Wo=qo?"{/*":"/*",$o=qo?"*/}":"*/",Lc=qo?"\\{\\/\\*":"\\/\\*",vu=qo?"\\*\\/\\}":"\\*\\/";for(;vi<=Bt.end;){const Gu=Di.substr(vi,Wo.length)===Wo?Wo.length:0,Zc=kS(Ai,vi+Gu);if(Zc)qo&&(Zc.pos--,Zc.end++),cn.push(Zc.pos),Zc.kind===3&&cn.push(Zc.end),on=!0,vi=Zc.end+1;else{const Ls=Di.substring(vi,Bt.end).search(`(${Lc})|(${vu})`);zi=fi!==void 0?fi:zi||!pAe(Di,vi,Ls===-1?Bt.end:vi+Ls),vi=Ls===-1?Bt.end+1:vi+Ls+$o.length}}if(zi||!on){((Ri=kS(Ai,Bt.pos))==null?void 0:Ri.kind)!==2&&rm(cn,Bt.pos,_l),rm(cn,Bt.end,_l);const Gu=cn[0];Di.substr(Gu,Wo.length)!==Wo&&Xn.push({newText:Wo,span:{length:0,start:Gu}});for(let Zc=1;Zc<cn.length-1;Zc++)Di.substr(cn[Zc]-$o.length,$o.length)!==$o&&Xn.push({newText:$o,span:{length:0,start:cn[Zc]}}),Di.substr(cn[Zc],Wo.length)!==Wo&&Xn.push({newText:Wo,span:{length:0,start:cn[Zc]}});Xn.length%2!==0&&Xn.push({newText:$o,span:{length:0,start:cn[cn.length-1]}})}else for(const Gu of cn){const Zc=Gu-$o.length>0?Gu-$o.length:0,Ls=Di.substr(Zc,$o.length)===$o?$o.length:0;Xn.push({newText:"",span:{length:Wo.length,start:Gu-Ls}})}return Xn}function Rt(Pt,Bt){const fi=p.getCurrentSourceFile(Pt),{firstLine:wi,lastLine:Ri}=gt(fi,Bt);return wi===Ri&&Bt.pos!==Bt.end?Je(Pt,Bt,!0):Dt(Pt,Bt,!0)}function Ct(Pt,Bt){const fi=p.getCurrentSourceFile(Pt),wi=[],{pos:Ri}=Bt;let{end:Ai}=Bt;Ri===Ai&&(Ai+=wZ(fi,Ri)?2:1);for(let Xn=Ri;Xn<=Ai;Xn++){const Di=kS(fi,Xn);if(Di){switch(Di.kind){case 2:wi.push(...Dt(Pt,{end:Di.end,pos:Di.pos+1},!1));break;case 3:wi.push(...Je(Pt,{end:Di.end,pos:Di.pos+1},!1))}Xn=Di.end+1}}return wi}function ii({openingElement:Pt,closingElement:Bt,parent:fi}){return!d2(Pt.tagName,Bt.tagName)||Gv(fi)&&d2(Pt.tagName,fi.openingElement.tagName)&&ii(fi)}function vt({closingFragment:Pt,parent:Bt}){return!!(Pt.flags&262144)||aD(Bt)&&vt(Bt)}function Ni(Pt,Bt,fi){const wi=p.getCurrentSourceFile(Pt),Ri=pd.getRangeOfEnclosingComment(wi,Bt);return Ri&&(!fi||Ri.kind===3)?DS(Ri):void 0}function ji(Pt,Bt){q();const fi=U(Pt);T.throwIfCancellationRequested();const wi=fi.text,Ri=[];if(Bt.length>0&&!on(fi.fileName)){const zi=Xn();let cn;for(;cn=zi.exec(wi);){T.throwIfCancellationRequested();const vi=3;j.assert(cn.length===Bt.length+vi);const qo=cn[1],Wo=cn.index+qo.length;if(!kS(fi,Wo))continue;let $o;for(let vu=0;vu<Bt.length;vu++)cn[vu+vi]&&($o=Bt[vu]);if($o===void 0)return j.fail();if(Di(wi.charCodeAt(Wo+$o.text.length)))continue;const Lc=cn[2];Ri.push({descriptor:$o,message:Lc,position:Wo})}}return Ri;function Ai(zi){return zi.replace(/[-[\]/{}()*+?.\\^$|]/g,"\\$&")}function Xn(){const zi=/(?:\/{2,}\s*)/.source,cn=/(?:\/\*+\s*)/.source,qo="("+/(?:^(?:\s|\*)*)/.source+"|"+zi+"|"+cn+")",Wo="(?:"+qt(Bt,Zc=>"("+Ai(Zc.text)+")").join("|")+")",$o=/(?:$|\*\/)/.source,Lc=/(?:.*?)/.source,vu="("+Wo+Lc+")",Gu=qo+vu+$o;return new RegExp(Gu,"gim")}function Di(zi){return zi>=97&&zi<=122||zi>=65&&zi<=90||zi>=48&&zi<=57}function on(zi){return zi.includes("/node_modules/")}}function gr(Pt,Bt,fi){return q(),RY.getRenameInfo(g,U(Pt),Bt,fi||{})}function te(Pt,Bt,fi,wi,Ri,Ai){const[Xn,Di]=typeof Bt=="number"?[Bt,void 0]:[Bt.pos,Bt.end];return{file:Pt,startPosition:Xn,endPosition:Di,program:Z(),host:t,formatContext:pd.getFormatContext(wi,t),cancellationToken:T,preferences:fi,triggerReason:Ri,kind:Ai}}function tt(Pt,Bt,fi){return{file:Pt,program:Z(),host:t,span:Bt,preferences:fi,cancellationToken:T}}function Gt(Pt,Bt){return ipe.getSmartSelectionRange(Bt,p.getCurrentSourceFile(Pt))}function le(Pt,Bt,fi=Bg,wi,Ri,Ai){q();const Xn=U(Pt);return pA.getApplicableRefactors(te(Xn,Bt,fi,Bg,wi,Ri),Ai)}function Ue(Pt,Bt,fi=Bg){q();const wi=U(Pt),Ri=j.checkDefined(g.getSourceFiles()),Ai=i7(Pt),Xn=Rj(te(wi,Bt,fi,Bg)),Di=O4e(Xn==null?void 0:Xn.all),on=es(Ri,zi=>{const cn=i7(zi.fileName);return!(g!=null&&g.isSourceFileFromExternalLibrary(wi))&&!(wi===U(zi.fileName)||Ai===".ts"&&cn===".d.ts"||Ai===".d.ts"&&so(ud(zi.fileName),"lib.")&&cn===".d.ts")&&(Ai===cn||(Ai===".tsx"&&cn===".ts"||Ai===".jsx"&&cn===".js")&&!Di)?zi.fileName:void 0});return{newFileName:A4e(wi,g,t,Xn),files:on}}function be(Pt,Bt,fi,wi,Ri,Ai=Bg,Xn){q();const Di=U(Pt);return pA.getEditsForRefactor(te(Di,fi,Ai,Bt),wi,Ri,Xn)}function Qt(Pt,Bt){return Bt===0?{line:0,character:0}:H.toLineColumnOffset(Pt,Bt)}function lt(Pt,Bt){q();const fi=mA.resolveCallHierarchyDeclaration(g,R_(U(Pt),Bt));return fi&&_he(fi,wi=>mA.createCallHierarchyItem(g,wi))}function st(Pt,Bt){q();const fi=U(Pt),wi=phe(mA.resolveCallHierarchyDeclaration(g,Bt===0?fi:R_(fi,Bt)));return wi?mA.getIncomingCalls(g,wi,T):[]}function hi(Pt,Bt){q();const fi=U(Pt),wi=phe(mA.resolveCallHierarchyDeclaration(g,Bt===0?fi:R_(fi,Bt)));return wi?mA.getOutgoingCalls(g,wi):[]}function vn(Pt,Bt,fi=Bg){q();const wi=U(Pt);return X_e.provideInlayHints(tt(wi,Bt,fi))}function ln(Pt,Bt,fi,wi,Ri){return Q_e.mapCode(p.getCurrentSourceFile(Pt),Bt,fi,t,pd.getFormatContext(wi,t),Ri)}const Un={dispose:pe,cleanupSemanticCache:oe,getSyntacticDiagnostics:de,getSemanticDiagnostics:ue,getRegionSemanticDiagnostics:Ie,getSuggestionDiagnostics:se,getCompilerOptionsDiagnostics:Ne,getSyntacticClassifications:Mn,getSemanticClassifications:ls,getEncodedSyntacticClassifications:Zs,getEncodedSemanticClassifications:Qs,getCompletionsAtPosition:Oe,getCompletionEntryDetails:He,getCompletionEntrySymbol:Pe,getSignatureHelpItems:Fi,getQuickInfoAtPosition:We,getDefinitionAtPosition:et,getDefinitionAndBoundSpan:Me,getImplementationAtPosition:wt,getTypeDefinitionAtPosition:ut,getReferencesAtPosition:zt,findReferences:tn,getFileReferences:xn,getDocumentHighlights:Et,getNameOrDottedNameSpan:pn,getBreakpointStatementAtPosition:qr,getNavigateToItems:Pn,getRenameInfo:gr,getSmartSelectionRange:Gt,findRenameLocations:dt,getNavigationBarItems:Fs,getNavigationTree:ko,getOutliningSpans:at,getTodoComments:ji,getBraceMatchingAtPosition:Sn,getIndentationAtPosition:dr,getFormattingEditsForRange:us,getFormattingEditsForDocument:Xr,getFormattingEditsAfterKeystroke:Gs,getDocCommentTemplateAtPosition:xe,isValidBraceCompletionAtPosition:pt,getJsxClosingTagAtPosition:Se,getLinkedEditingRangeAtPosition:Ze,getSpanOfEnclosingComment:Ni,getCodeFixesAtPosition:ma,getCombinedCodeFix:ka,applyCodeActionCommand:St,organizeImports:Ji,getEditsForFileRename:ac,getEmitOutput:_i,getNonBoundSourceFile:On,getProgram:Z,getCurrentProgram:()=>g,getAutoImportProvider:Q,updateIsDefinitionOfReferencedSymbols:re,getApplicableRefactors:le,getEditsForRefactor:be,getMoveToRefactoringFileSuggestions:Ue,toLineColumnOffset:Qt,getSourceMapper:()=>H,clearSourceMapperCache:()=>H.clearCache(),prepareCallHierarchy:lt,provideCallHierarchyIncomingCalls:st,provideCallHierarchyOutgoingCalls:hi,toggleLineComment:Dt,toggleMultilineComment:Je,commentSelection:Rt,uncommentSelection:Ct,provideInlayHints:vn,getSupportedCodeFixes:n_e,getPasteEdits:ze,mapCode:ln};switch(h){case 0:break;case 1:est.forEach(Pt=>Un[Pt]=()=>{throw new Error(`LanguageService Operation: ${Pt} not allowed in LanguageServiceMode.PartialSemantic`)});break;case 2:FBt.forEach(Pt=>Un[Pt]=()=>{throw new Error(`LanguageService Operation: ${Pt} not allowed in LanguageServiceMode.Syntactic`)});break;default:j.assertNever(h)}return Un}function s_e(t){return t.nameTable||BBt(t),t.nameTable}function BBt(t){const r=t.nameTable=new Map;t.forEachChild(function a(u){if(ot(u)&&!wfe(u)&&u.escapedText||cm(u)&&WBt(u)){const h=V8(u);r.set(h,r.get(h)===void 0?u.pos:-1)}else if(Vs(u)){const h=u.escapedText;r.set(h,r.get(h)===void 0?u.pos:-1)}if(Uo(u,a),jp(u))for(const h of u.jsDoc)Uo(h,a)})}function WBt(t){return Wy(t)||t.parent.kind===283||jBt(t)||DV(t)}function Vj(t){const r=VBt(t);return r&&(Aa(r.parent)||cD(r.parent))?r:void 0}function VBt(t){switch(t.kind){case 11:case 15:case 9:if(t.parent.kind===167)return lae(t.parent.parent)?t.parent.parent:void 0;case 80:return lae(t.parent)&&(t.parent.parent.kind===210||t.parent.parent.kind===292)&&t.parent.name===t?t.parent:void 0}}function HBt(t,r){const a=Vj(t);if(a){const u=r.getContextualType(a.parent),h=u&&_Y(a,r,u,!1);if(h&&h.length===1)return ya(h)}return r.getSymbolAtLocation(t)}function _Y(t,r,a,u){const h=yj(t.name);if(!h)return w;if(!a.isUnion()){const C=a.getProperty(h);return C?[C]:w}const p=Aa(t.parent)||cD(t.parent)?$t(a.types,C=>!r.isTypeInvalidDueToUnionDiscriminant(C,t.parent)):a.types,g=es(p,C=>C.getProperty(h));if(u&&(g.length===0||g.length===a.types.length)){const C=a.getProperty(h);if(C)return[C]}return!p.length&&!g.length?es(a.types,C=>C.getProperty(h)):Wb(g,Hw)}function jBt(t){return t&&t.parent&&t.parent.kind===212&&t.parent.argumentExpression===t}function v6e(t){if(Pd)return zr(ts(ca(Pd.getExecutingFilePath())),IW(t));throw new Error("getDefaultLibFilePath is only supported when consumed as a node module. ")}wDe(ABt());function tst(t,r,a){const u=[];a=Mhe(a,u);const h=Ao(t)?t:[t],p=$H(void 0,void 0,G,a,h,r,!0);return p.diagnostics=Js(p.diagnostics,u),p}var o_e={};c(o_e,{spanInSourceFileAtLocation:()=>zBt});function zBt(t,r){if(t.isDeclarationFile)return;let a=Rs(t,r);const u=t.getLineAndCharacterOfPosition(r).line;if(t.getLineAndCharacterOfPosition(a.getStart(t)).line>u){const N=xd(a.pos,t);if(!N||t.getLineAndCharacterOfPosition(N.getEnd()).line!==u)return;a=N}if(a.flags&33554432)return;return E(a);function h(N,R){const F=uD(N)?rt(N.modifiers,Fd):void 0,H=F?Va(t.text,F.end):N.getStart(t);return Fu(H,(R||N).getEnd())}function p(N,R){return h(N,yD(R,R.parent,t))}function g(N,R){return N&&u===t.getLineAndCharacterOfPosition(N.getStart(t)).line?E(N):E(R)}function C(N,R,F){if(N){const H=N.indexOf(R);if(H>=0){let U=H,q=H+1;for(;U>0&&F(N[U-1]);)U--;for(;q<N.length&&F(N[q]);)q++;return Fu(Va(t.text,N[U].pos),N[q-1].end)}}return h(R)}function b(N){return E(xd(N.pos,t))}function T(N){return E(yD(N,N.parent,t))}function E(N){if(N){const{parent:se}=N;switch(N.kind){case 243:return F(N.declarationList.declarations[0]);case 260:case 172:case 171:return F(N);case 169:return U(N);case 262:case 174:case 173:case 177:case 178:case 176:case 218:case 219:return X(N);case 241:if(WT(N))return Z(N);case 268:return Q(N);case 299:return Q(N.block);case 244:return h(N.expression);case 253:return h(N.getChildAt(0),N.expression);case 247:return p(N,N.expression);case 246:return E(N.statement);case 259:return h(N.getChildAt(0));case 245:return p(N,N.expression);case 256:return E(N.statement);case 252:case 251:return h(N.getChildAt(0),N.label);case 248:return oe(N);case 249:return p(N,N.expression);case 250:return re(N);case 255:return p(N,N.expression);case 296:case 297:return E(N.statements[0]);case 258:return Q(N.tryBlock);case 257:return h(N,N.expression);case 277:return h(N,N.expression);case 271:return h(N,N.moduleReference);case 272:return h(N,N.moduleSpecifier);case 278:return h(N,N.moduleSpecifier);case 267:if(nC(N)!==1)return;case 263:case 266:case 306:case 208:return h(N);case 254:return E(N.statement);case 170:return C(se.modifiers,N,Fd);case 206:case 207:return pe(N);case 264:case 265:return;case 27:case 1:return g(xd(N.pos,t));case 28:return b(N);case 19:return ue(N);case 20:return Ie(N);case 24:return we(N);case 21:return he(N);case 22:return Ae(N);case 59:return ke(N);case 32:case 30:return Te(N);case 117:return De(N);case 93:case 85:case 98:return T(N);case 165:return qe(N);default:if(TS(N))return de(N);if((N.kind===80||N.kind===230||N.kind===303||N.kind===304)&&TS(se))return h(N);if(N.kind===226){const{left:Ne,operatorToken:Oe}=N;if(TS(Ne))return de(Ne);if(Oe.kind===64&&TS(N.parent))return h(N);if(Oe.kind===28)return E(Ne)}if(F0(N))switch(se.kind){case 246:return b(N);case 170:return E(N.parent);case 248:case 250:return h(N);case 226:if(N.parent.operatorToken.kind===28)return h(N);break;case 219:if(N.parent.body===N)return h(N);break}switch(N.parent.kind){case 303:if(N.parent.name===N&&!TS(N.parent.parent))return E(N.parent.initializer);break;case 216:if(N.parent.type===N)return T(N.parent.type);break;case 260:case 169:{const{initializer:Ne,type:Oe}=N.parent;if(Ne===N||Oe===N||Gb(N.kind))return b(N);break}case 226:{const{left:Ne}=N.parent;if(TS(Ne)&&N!==Ne)return b(N);break}default:if(Ho(N.parent)&&N.parent.type===N)return b(N)}return E(N.parent)}}function R(se){return Sf(se.parent)&&se.parent.declarations[0]===se?h(xd(se.pos,t,se.parent),se):h(se)}function F(se){if(se.parent.parent.kind===249)return E(se.parent.parent);const Ne=se.parent;if(ta(se.name))return pe(se.name);if(hI(se)&&se.initializer||Zr(se,32)||Ne.parent.kind===250)return R(se);if(Sf(se.parent)&&se.parent.declarations[0]!==se)return E(xd(se.pos,t,se.parent))}function H(se){return!!se.initializer||se.dotDotDotToken!==void 0||Zr(se,3)}function U(se){if(ta(se.name))return pe(se.name);if(H(se))return h(se);{const Ne=se.parent,Oe=Ne.parameters.indexOf(se);return j.assert(Oe!==-1),Oe!==0?U(Ne.parameters[Oe-1]):E(Ne.body)}}function q(se){return Zr(se,32)||se.parent.kind===263&&se.kind!==176}function X(se){if(se.body)return q(se)?h(se):E(se.body)}function Z(se){const Ne=se.statements.length?se.statements[0]:se.getLastToken();return q(se.parent)?g(se.parent,Ne):E(Ne)}function Q(se){switch(se.parent.kind){case 267:if(nC(se.parent)!==1)return;case 247:case 245:case 249:return g(se.parent,se.statements[0]);case 248:case 250:return g(xd(se.pos,t,se.parent),se.statements[0])}return E(se.statements[0])}function re(se){if(se.initializer.kind===261){const Ne=se.initializer;if(Ne.declarations.length>0)return E(Ne.declarations[0])}else return E(se.initializer)}function oe(se){if(se.initializer)return re(se);if(se.condition)return h(se.condition);if(se.incrementor)return h(se.incrementor)}function pe(se){const Ne=O(se.elements,Oe=>Oe.kind!==232?Oe:void 0);return Ne?E(Ne):se.parent.kind===208?h(se.parent):R(se.parent)}function de(se){j.assert(se.kind!==207&&se.kind!==206);const Ne=se.kind===209?se.elements:se.properties,Oe=O(Ne,He=>He.kind!==232?He:void 0);return Oe?E(Oe):h(se.parent.kind===226?se.parent:se)}function ue(se){switch(se.parent.kind){case 266:const Ne=se.parent;return g(xd(se.pos,t,se.parent),Ne.members.length?Ne.members[0]:Ne.getLastToken(t));case 263:const Oe=se.parent;return g(xd(se.pos,t,se.parent),Oe.members.length?Oe.members[0]:Oe.getLastToken(t));case 269:return g(se.parent.parent,se.parent.clauses[0])}return E(se.parent)}function Ie(se){switch(se.parent.kind){case 268:if(nC(se.parent.parent)!==1)return;case 266:case 263:return h(se);case 241:if(WT(se.parent))return h(se);case 299:return E(rl(se.parent.statements));case 269:const Ne=se.parent,Oe=rl(Ne.clauses);return Oe?E(rl(Oe.statements)):void 0;case 206:const He=se.parent;return E(rl(He.elements)||He);default:if(TS(se.parent)){const Pe=se.parent;return h(rl(Pe.properties)||Pe)}return E(se.parent)}}function we(se){switch(se.parent.kind){case 207:const Ne=se.parent;return h(rl(Ne.elements)||Ne);default:if(TS(se.parent)){const Oe=se.parent;return h(rl(Oe.elements)||Oe)}return E(se.parent)}}function he(se){return se.parent.kind===246||se.parent.kind===213||se.parent.kind===214?b(se):se.parent.kind===217?T(se):E(se.parent)}function Ae(se){switch(se.parent.kind){case 218:case 262:case 219:case 174:case 173:case 177:case 178:case 176:case 247:case 246:case 248:case 250:case 213:case 214:case 217:return b(se);default:return E(se.parent)}}function ke(se){return Ho(se.parent)||se.parent.kind===303||se.parent.kind===169?b(se):E(se.parent)}function Te(se){return se.parent.kind===216?T(se):E(se.parent)}function De(se){return se.parent.kind===246?p(se,se.parent.expression):E(se.parent)}function qe(se){return se.parent.kind===250?T(se):E(se.parent)}}}var mA={};c(mA,{createCallHierarchyItem:()=>b6e,getIncomingCalls:()=>QBt,getOutgoingCalls:()=>aWt,resolveCallHierarchyDeclaration:()=>lst});function UBt(t){return(ml(t)||fd(t))&&Bf(t)}function ist(t){return Lo(t)||_s(t)}function Hj(t){return(ml(t)||xl(t)||fd(t))&&ist(t.parent)&&t===t.parent.initializer&&ot(t.parent.name)&&(!!(zb(t.parent)&2)||Lo(t.parent))}function nst(t){return Os(t)||rd(t)||Wu(t)||ml(t)||hd(t)||fd(t)||gu(t)||Nu(t)||W1(t)||um(t)||kp(t)}function aO(t){return Os(t)||rd(t)&&ot(t.name)||Wu(t)||hd(t)||gu(t)||Nu(t)||W1(t)||um(t)||kp(t)||UBt(t)||Hj(t)}function rst(t){return Os(t)?t:Bf(t)?t.name:Hj(t)?t.parent.name:j.checkDefined(t.modifiers&&Fe(t.modifiers,sst))}function sst(t){return t.kind===90}function ost(t,r){const a=rst(r);return a&&t.getSymbolAtLocation(a)}function qBt(t,r){if(Os(r))return{text:r.fileName,pos:0,end:0};if((Wu(r)||hd(r))&&!Bf(r)){const h=r.modifiers&&Fe(r.modifiers,sst);if(h)return{text:"default",pos:h.getStart(),end:h.getEnd()}}if(gu(r)){const h=r.getSourceFile(),p=Va(h.text,R1(r).pos),g=p+6,C=t.getTypeChecker(),b=C.getSymbolAtLocation(r.parent);return{text:`${b?`${C.symbolToString(b,r.parent)} `:""}static {}`,pos:p,end:g}}const a=Hj(r)?r.parent.name:j.checkDefined(No(r),"Expected call hierarchy item to have a name");let u=ot(a)?Fr(a):cm(a)?a.text:Ka(a)&&cm(a.expression)?a.expression.text:void 0;if(u===void 0){const h=t.getTypeChecker(),p=h.getSymbolAtLocation(a);p&&(u=h.symbolToString(p,r))}if(u===void 0){const h=rde();u=z6(p=>h.writeNode(4,r,r.getSourceFile(),p))}return{text:u,pos:a.getStart(),end:a.getEnd()}}function $Bt(t){var r,a,u,h;if(Hj(t))return Lo(t.parent)&&ss(t.parent.parent)?fd(t.parent.parent)?(r=aG(t.parent.parent))==null?void 0:r.getText():(a=t.parent.parent.name)==null?void 0:a.getText():H1(t.parent.parent.parent.parent)&&ot(t.parent.parent.parent.parent.parent.name)?t.parent.parent.parent.parent.parent.name.getText():void 0;switch(t.kind){case 177:case 178:case 174:return t.parent.kind===210?(u=aG(t.parent))==null?void 0:u.getText():(h=No(t.parent))==null?void 0:h.getText();case 262:case 263:case 267:if(H1(t.parent)&&ot(t.parent.parent.name))return t.parent.parent.name.getText()}}function ast(t,r){if(r.body)return r;if(iu(r))return Vv(r.parent);if(Wu(r)||Nu(r)){const a=ost(t,r);return a&&a.valueDeclaration&&Qc(a.valueDeclaration)&&a.valueDeclaration.body?a.valueDeclaration:void 0}return r}function cst(t,r){const a=ost(t,r);let u;if(a&&a.declarations){const h=Nk(a.declarations),p=qt(a.declarations,b=>({file:b.getSourceFile().fileName,pos:b.pos}));h.sort((b,T)=>sh(p[b].file,p[T].file)||p[b].pos-p[T].pos);const g=qt(h,b=>a.declarations[b]);let C;for(const b of g)aO(b)&&((!C||C.parent!==b.parent||C.end!==b.pos)&&(u=fn(u,b)),C=b)}return u}function a_e(t,r){return gu(r)?r:Qc(r)?ast(t,r)??cst(t,r)??r:cst(t,r)??r}function lst(t,r){const a=t.getTypeChecker();let u=!1;for(;;){if(aO(r))return a_e(a,r);if(nst(r)){const h=Qi(r,aO);return h&&a_e(a,h)}if(Wy(r)){if(aO(r.parent))return a_e(a,r.parent);if(nst(r.parent)){const h=Qi(r.parent,aO);return h&&a_e(a,h)}return ist(r.parent)&&r.parent.initializer&&Hj(r.parent.initializer)?r.parent.initializer:void 0}if(iu(r))return aO(r.parent)?r.parent:void 0;if(r.kind===126&&gu(r.parent)){r=r.parent;continue}if(_s(r)&&r.initializer&&Hj(r.initializer))return r.initializer;if(!u){let h=a.getSymbolAtLocation(r);if(h&&(h.flags&2097152&&(h=a.getAliasedSymbol(h)),h.valueDeclaration)){u=!0,r=h.valueDeclaration;continue}}return}}function b6e(t,r){const a=r.getSourceFile(),u=qBt(t,r),h=$Bt(r),p=gD(r),g=a5(r),C=Fu(Va(a.text,r.getFullStart(),!1,!0),r.getEnd()),b=Fu(u.pos,u.end);return{file:a.fileName,kind:p,kindModifiers:g,name:u.text,containerName:h,span:C,selectionSpan:b}}function JBt(t){return t!==void 0}function GBt(t){if(t.kind===kl.EntryKind.Node){const{node:r}=t;if(vfe(r,!0,!0)||GPe(r,!0,!0)||KPe(r,!0,!0)||XPe(r,!0,!0)||K3(r)||xfe(r)){const a=r.getSourceFile();return{declaration:Qi(r,aO)||a,range:Vfe(r,a)}}}}function ust(t){return Sc(t.declaration)}function KBt(t,r){return{from:t,fromSpans:r}}function XBt(t,r){return KBt(b6e(t,r[0].declaration),qt(r,a=>DS(a.range)))}function QBt(t,r,a){if(Os(r)||rd(r)||gu(r))return[];const u=rst(r),h=$t(kl.findReferenceOrRenameEntries(t,a,t.getSourceFiles(),u,0,{use:kl.FindReferencesUse.References},GBt),JBt);return h?qs(h,ust,p=>XBt(t,p)):[]}function ZBt(t,r){function a(h){const p=i2(h)?h.tag:oh(h)?h.tagName:Sl(h)||gu(h)?h:h.expression,g=lst(t,p);if(g){const C=Vfe(p,h.getSourceFile());if(Ao(g))for(const b of g)r.push({declaration:b,range:C});else r.push({declaration:g,range:C})}}function u(h){if(h&&!(h.flags&33554432)){if(aO(h)){if(ss(h))for(const p of h.members)p.name&&Ka(p.name)&&u(p.name.expression);return}switch(h.kind){case 80:case 271:case 272:case 278:case 264:case 265:return;case 175:a(h);return;case 216:case 234:u(h.expression);return;case 260:case 169:u(h.name),u(h.initializer);return;case 213:a(h),u(h.expression),O(h.arguments,u);return;case 214:a(h),u(h.expression),O(h.arguments,u);return;case 215:a(h),u(h.tag),u(h.template);return;case 286:case 285:a(h),u(h.tagName),u(h.attributes);return;case 170:a(h),u(h.expression);return;case 211:case 212:a(h),Uo(h,u);break;case 238:u(h.expression);return}N1(h)||Uo(h,u)}}return u}function YBt(t,r){O(t.statements,r)}function eWt(t,r){!Zr(t,128)&&t.body&&H1(t.body)&&O(t.body.statements,r)}function tWt(t,r,a){const u=ast(t,r);u&&(O(u.parameters,a),a(u.body))}function iWt(t,r){r(t.body)}function nWt(t,r){O(t.modifiers,r);const a=qT(t);a&&r(a.expression);for(const u of t.members)Fg(u)&&O(u.modifiers,r),Lo(u)?r(u.initializer):iu(u)&&u.body?(O(u.parameters,r),r(u.body)):gu(u)&&r(u)}function rWt(t,r){const a=[],u=ZBt(t,a);switch(r.kind){case 307:YBt(r,u);break;case 267:eWt(r,u);break;case 262:case 218:case 219:case 174:case 177:case 178:tWt(t.getTypeChecker(),r,u);break;case 263:case 231:nWt(r,u);break;case 175:iWt(r,u);break;default:j.assertNever(r)}return a}function sWt(t,r){return{to:t,fromSpans:r}}function oWt(t,r){return sWt(b6e(t,r[0].declaration),qt(r,a=>DS(a.range)))}function aWt(t,r){return r.flags&33554432||W1(r)?[]:qs(rWt(t,r),ust,a=>oWt(t,a))}var C6e={};c(C6e,{v2020:()=>dst});var dst={};c(dst,{TokenEncodingConsts:()=>Brt,TokenModifier:()=>Vrt,TokenType:()=>Wrt,getEncodedSemanticClassifications:()=>_6e,getSemanticClassifications:()=>Hrt});var wh={};c(wh,{PreserveOptionalFlags:()=>Slt,addNewNodeForMemberSymbol:()=>wlt,codeFixAll:()=>qc,createCodeFixAction:()=>ua,createCodeFixActionMaybeFixAll:()=>x6e,createCodeFixActionWithoutFixAll:()=>G0,createCombinedCodeActions:()=>gA,createFileTextChanges:()=>fst,createImportAdder:()=>kD,createImportSpecifierResolver:()=>mVt,createMissingMemberNodes:()=>z3e,createSignatureDeclarationFromCallExpression:()=>U3e,createSignatureDeclarationFromSignature:()=>O_e,createStubbedBody:()=>SY,eachDiagnostic:()=>yA,findAncestorMatchingSpan:()=>X3e,generateAccessorFromProperty:()=>Nlt,getAccessorConvertiblePropertyAtPosition:()=>Alt,getAllFixes:()=>dWt,getAllSupers:()=>Q3e,getFixes:()=>uWt,getImportCompletionAction:()=>gVt,getImportKind:()=>v_e,getJSDocTypedefNodes:()=>_Vt,getNoopSymbolTrackerWithResolver:()=>lO,getPromoteTypeOnlyCompletionAction:()=>yVt,getSupportedErrorCodes:()=>cWt,importFixName:()=>Pot,importSymbols:()=>JI,parameterShouldGetTypeFromJSDoc:()=>Hst,registerCodeFix:()=>Na,setJsonCompilerOptionValue:()=>G3e,setJsonCompilerOptionValues:()=>J3e,tryGetAutoImportableReferenceFromTypeNode:()=>TD,typePredicateToAutoImportableTypeNode:()=>klt,typeToAutoImportableTypeNode:()=>CY});var S6e=Of(),w6e=new Map;function G0(t,r,a){return k6e(t,bD(a),r,void 0,void 0)}function ua(t,r,a,u,h,p){return k6e(t,bD(a),r,u,bD(h),p)}function x6e(t,r,a,u,h,p){return k6e(t,bD(a),r,u,h&&bD(h),p)}function k6e(t,r,a,u,h,p){return{fixName:t,description:r,changes:a,fixId:u,fixAllDescription:h,commands:p?[p]:void 0}}function Na(t){for(const r of t.errorCodes)T6e=void 0,S6e.add(String(r),t);if(t.fixIds)for(const r of t.fixIds)j.assert(!w6e.has(r)),w6e.set(r,t)}var T6e;function cWt(){return T6e??(T6e=as(S6e.keys()))}function lWt(t,r){const{errorCodes:a}=t;let u=0;for(const p of r)if(Yt(a,p.code)&&u++,u>1)break;const h=u<2;return({fixId:p,fixAllDescription:g,...C})=>h?C:{...C,fixId:p,fixAllDescription:g}}function uWt(t){const r=hst(t),a=S6e.get(String(t.errorCode));return Rr(a,u=>qt(u.getCodeActions(t),lWt(u,r)))}function dWt(t){return w6e.get(ha(t.fixId,Oo)).getAllCodeActions(t)}function gA(t,r){return{changes:t,commands:r}}function fst(t,r){return{fileName:t,textChanges:r}}function qc(t,r,a){const u=[],h=er.ChangeTracker.with(t,p=>yA(t,r,g=>a(p,g,u)));return gA(h,u.length===0?void 0:u)}function yA(t,r,a){for(const u of hst(t))Yt(r,u.code)&&a(u)}function hst({program:t,sourceFile:r,cancellationToken:a}){const u=[...t.getSemanticDiagnostics(r,a),...t.getSyntacticDiagnostics(r,a),...Lhe(r,t,a)];return Q_(t.getCompilerOptions())&&u.push(...t.getDeclarationDiagnostics(r,a)),u}var D6e="addConvertToUnknownForNonOverlappingTypes",_st=[k.Conversion_of_type_0_to_type_1_may_be_a_mistake_because_neither_type_sufficiently_overlaps_with_the_other_If_this_was_intentional_convert_the_expression_to_unknown_first.code];Na({errorCodes:_st,getCodeActions:function(r){const a=mst(r.sourceFile,r.span.start);if(a===void 0)return;const u=er.ChangeTracker.with(r,h=>pst(h,r.sourceFile,a));return[ua(D6e,u,k.Add_unknown_conversion_for_non_overlapping_types,D6e,k.Add_unknown_to_all_conversions_of_non_overlapping_types)]},fixIds:[D6e],getAllCodeActions:t=>qc(t,_st,(r,a)=>{const u=mst(a.file,a.start);u&&pst(r,a.file,u)})});function pst(t,r,a){const u=v7(a)?G.createAsExpression(a.expression,G.createKeywordTypeNode(159)):G.createTypeAssertion(G.createKeywordTypeNode(159),a.expression);t.replaceNode(r,a.expression,u)}function mst(t,r){if(!nr(t))return Qi(Rs(t,r),a=>v7(a)||hle(a))}Na({errorCodes:[k.await_expressions_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module.code,k.await_using_statements_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module.code,k.for_await_loops_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module.code],getCodeActions:function(r){const{sourceFile:a}=r,u=er.ChangeTracker.with(r,h=>{const p=G.createExportDeclaration(void 0,!1,G.createNamedExports([]),void 0);h.insertNodeAtEndOfScope(a,a,p)});return[G0("addEmptyExportDeclaration",u,k.Add_export_to_make_this_file_into_a_module)]}});var E6e="addMissingAsync",gst=[k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code,k.Type_0_is_not_assignable_to_type_1.code,k.Type_0_is_not_comparable_to_type_1.code];Na({fixIds:[E6e],errorCodes:gst,getCodeActions:function(r){const{sourceFile:a,errorCode:u,cancellationToken:h,program:p,span:g}=r,C=Fe(p.getTypeChecker().getDiagnostics(a,h),hWt(g,u)),b=C&&C.relatedInformation&&Fe(C.relatedInformation,N=>N.code===k.Did_you_mean_to_mark_this_function_as_async.code),T=vst(a,b);return T?[yst(r,T,N=>er.ChangeTracker.with(r,N))]:void 0},getAllCodeActions:t=>{const{sourceFile:r}=t,a=new Set;return qc(t,gst,(u,h)=>{const p=h.relatedInformation&&Fe(h.relatedInformation,b=>b.code===k.Did_you_mean_to_mark_this_function_as_async.code),g=vst(r,p);return g?yst(t,g,b=>(b(u),[]),a):void 0})}});function yst(t,r,a,u){const h=a(p=>fWt(p,t.sourceFile,r,u));return ua(E6e,h,k.Add_async_modifier_to_containing_function,E6e,k.Add_all_missing_async_modifiers)}function fWt(t,r,a,u){if(u&&u.has(Sc(a)))return;u==null||u.add(Sc(a));const h=G.replaceModifiers(Ec(a,!0),G.createNodeArray(G.createModifiersFromModifierFlags(_S(a)|1024)));t.replaceNode(r,a,h)}function vst(t,r){if(!r)return;const a=Rs(t,r.start);return Qi(a,h=>h.getStart(t)<r.start||h.getEnd()>au(r)?"quit":(xl(h)||Nu(h)||ml(h)||Wu(h))&&eO(r,f_(h,t)))}function hWt(t,r){return({start:a,length:u,relatedInformation:h,code:p})=>Ww(a)&&Ww(u)&&eO({start:a,length:u},t)&&p===r&&!!h&&Ft(h,g=>g.code===k.Did_you_mean_to_mark_this_function_as_async.code)}var I6e="addMissingAwait",bst=k.Property_0_does_not_exist_on_type_1.code,Cst=[k.This_expression_is_not_callable.code,k.This_expression_is_not_constructable.code],N6e=[k.An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type.code,k.The_left_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type.code,k.The_right_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type.code,k.Operator_0_cannot_be_applied_to_type_1.code,k.Operator_0_cannot_be_applied_to_types_1_and_2.code,k.This_comparison_appears_to_be_unintentional_because_the_types_0_and_1_have_no_overlap.code,k.This_condition_will_always_return_true_since_this_0_is_always_defined.code,k.Type_0_is_not_an_array_type.code,k.Type_0_is_not_an_array_type_or_a_string_type.code,k.Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es2015_or_higher.code,k.Type_0_is_not_an_array_type_or_a_string_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator.code,k.Type_0_is_not_an_array_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator.code,k.Type_0_must_have_a_Symbol_iterator_method_that_returns_an_iterator.code,k.Type_0_must_have_a_Symbol_asyncIterator_method_that_returns_an_async_iterator.code,k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code,bst,...Cst];Na({fixIds:[I6e],errorCodes:N6e,getCodeActions:function(r){const{sourceFile:a,errorCode:u,span:h,cancellationToken:p,program:g}=r,C=Sst(a,u,h,p,g);if(!C)return;const b=r.program.getTypeChecker(),T=E=>er.ChangeTracker.with(r,E);return Rw([wst(r,C,u,b,T),xst(r,C,u,b,T)])},getAllCodeActions:t=>{const{sourceFile:r,program:a,cancellationToken:u}=t,h=t.program.getTypeChecker(),p=new Set;return qc(t,N6e,(g,C)=>{const b=Sst(r,C.code,C,u,a);if(!b)return;const T=E=>(E(g),[]);return wst(t,b,C.code,h,T,p)||xst(t,b,C.code,h,T,p)})}});function Sst(t,r,a,u,h){const p=hhe(t,a);return p&&_Wt(t,r,a,u,h)&&kst(p)?p:void 0}function wst(t,r,a,u,h,p){const{sourceFile:g,program:C,cancellationToken:b}=t,T=pWt(r,g,b,C,u);if(T){const E=h(N=>{O(T.initializers,({expression:R})=>L6e(N,a,g,u,R,p)),p&&T.needsSecondPassForFixAll&&L6e(N,a,g,u,r,p)});return G0("addMissingAwaitToInitializer",E,T.initializers.length===1?[k.Add_await_to_initializer_for_0,T.initializers[0].declarationSymbol.name]:k.Add_await_to_initializers)}}function xst(t,r,a,u,h,p){const g=h(C=>L6e(C,a,t.sourceFile,u,r,p));return ua(I6e,g,k.Add_await,I6e,k.Fix_all_expressions_possibly_missing_await)}function _Wt(t,r,a,u,h){const g=h.getTypeChecker().getDiagnostics(t,u);return Ft(g,({start:C,length:b,relatedInformation:T,code:E})=>Ww(C)&&Ww(b)&&eO({start:C,length:b},a)&&E===r&&!!T&&Ft(T,N=>N.code===k.Did_you_forget_to_use_await.code))}function pWt(t,r,a,u,h){const p=mWt(t,h);if(!p)return;let g=p.isCompleteFix,C;for(const b of p.identifiers){const T=h.getSymbolAtLocation(b);if(!T)continue;const E=Jr(T.valueDeclaration,_s),N=E&&Jr(E.name,ot),R=Xk(E,243);if(!E||!R||E.type||!E.initializer||R.getSourceFile()!==r||Zr(R,32)||!N||!kst(E.initializer)){g=!1;continue}const F=u.getSemanticDiagnostics(r,a);if(kl.Core.eachSymbolReferenceInFile(N,h,r,U=>b!==U&&!gWt(U,F,r,h))){g=!1;continue}(C||(C=[])).push({expression:E.initializer,declarationSymbol:T})}return C&&{initializers:C,needsSecondPassForFixAll:!g}}function mWt(t,r){if(Nr(t.parent)&&ot(t.parent.expression))return{identifiers:[t.parent.expression],isCompleteFix:!0};if(ot(t))return{identifiers:[t],isCompleteFix:!0};if(ur(t)){let a,u=!0;for(const h of[t.left,t.right]){const p=r.getTypeAtLocation(h);if(r.getPromisedTypeOfPromise(p)){if(!ot(h)){u=!1;continue}(a||(a=[])).push(h)}}return a&&{identifiers:a,isCompleteFix:u}}}function gWt(t,r,a,u){const h=Nr(t.parent)?t.parent.name:ur(t.parent)?t.parent:t,p=Fe(r,g=>g.start===h.getStart(a)&&g.start+g.length===h.getEnd());return p&&Yt(N6e,p.code)||u.getTypeAtLocation(h).flags&1}function kst(t){return t.flags&65536||!!Qi(t,r=>r.parent&&xl(r.parent)&&r.parent.body===r||Xo(r)&&(r.parent.kind===262||r.parent.kind===218||r.parent.kind===219||r.parent.kind===174))}function L6e(t,r,a,u,h,p){if(_H(h.parent)&&!h.parent.awaitModifier){const g=u.getTypeAtLocation(h),C=u.getAnyAsyncIterableType();if(C&&u.isTypeAssignableTo(g,C)){const b=h.parent;t.replaceNode(a,b,G.updateForOfStatement(b,G.createToken(135),b.initializer,b.expression,b.statement));return}}if(ur(h))for(const g of[h.left,h.right]){if(p&&ot(g)){const T=u.getSymbolAtLocation(g);if(T&&p.has(Ba(T)))continue}const C=u.getTypeAtLocation(g),b=u.getPromisedTypeOfPromise(C)?G.createAwaitExpression(g):g;t.replaceNode(a,g,b)}else if(r===bst&&Nr(h.parent)){if(p&&ot(h.parent.expression)){const g=u.getSymbolAtLocation(h.parent.expression);if(g&&p.has(Ba(g)))return}t.replaceNode(a,h.parent.expression,G.createParenthesizedExpression(G.createAwaitExpression(h.parent.expression))),Tst(t,h.parent.expression,a)}else if(Yt(Cst,r)&&T1(h.parent)){if(p&&ot(h)){const g=u.getSymbolAtLocation(h);if(g&&p.has(Ba(g)))return}t.replaceNode(a,h,G.createParenthesizedExpression(G.createAwaitExpression(h))),Tst(t,h,a)}else{if(p&&_s(h.parent)&&ot(h.parent.name)){const g=u.getSymbolAtLocation(h.parent.name);if(g&&!of(p,Ba(g)))return}t.replaceNode(a,h,G.createAwaitExpression(h))}}function Tst(t,r,a){const u=xd(r.pos,a);u&&BZ(u.end,u.parent,a)&&t.insertText(a,r.getStart(a),";")}var P6e="addMissingConst",Dst=[k.Cannot_find_name_0.code,k.No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer.code];Na({errorCodes:Dst,getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>Est(u,r.sourceFile,r.span.start,r.program));if(a.length>0)return[ua(P6e,a,k.Add_const_to_unresolved_variable,P6e,k.Add_const_to_all_unresolved_variables)]},fixIds:[P6e],getAllCodeActions:t=>{const r=new Set;return qc(t,Dst,(a,u)=>Est(a,u.file,u.start,t.program,r))}});function Est(t,r,a,u,h){const p=Rs(r,a),g=Qi(p,T=>fI(T.parent)?T.parent.initializer===T:yWt(T)?!1:"quit");if(g)return c_e(t,g,r,h);const C=p.parent;if(ur(C)&&C.operatorToken.kind===64&&uf(C.parent))return c_e(t,p,r,h);if(jf(C)){const T=u.getTypeChecker();return Ce(C.elements,E=>vWt(E,T))?c_e(t,C,r,h):void 0}const b=Qi(p,T=>uf(T.parent)?!0:bWt(T)?!1:"quit");if(b){const T=u.getTypeChecker();return Ist(b,T)?c_e(t,b,r,h):void 0}}function c_e(t,r,a,u){(!u||of(u,r))&&t.insertModifierBefore(a,87,r)}function yWt(t){switch(t.kind){case 80:case 209:case 210:case 303:case 304:return!0;default:return!1}}function vWt(t,r){const a=ot(t)?t:lf(t,!0)&&ot(t.left)?t.left:void 0;return!!a&&!r.getSymbolAtLocation(a)}function bWt(t){switch(t.kind){case 80:case 226:case 28:return!0;default:return!1}}function Ist(t,r){return ur(t)?t.operatorToken.kind===28?Ce([t.left,t.right],a=>Ist(a,r)):t.operatorToken.kind===64&&ot(t.left)&&!r.getSymbolAtLocation(t.left):!1}var A6e="addMissingDeclareProperty",Nst=[k.Property_0_will_overwrite_the_base_property_in_1_If_this_is_intentional_add_an_initializer_Otherwise_add_a_declare_modifier_or_remove_the_redundant_declaration.code];Na({errorCodes:Nst,getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>Lst(u,r.sourceFile,r.span.start));if(a.length>0)return[ua(A6e,a,k.Prefix_with_declare,A6e,k.Prefix_all_incorrect_property_declarations_with_declare)]},fixIds:[A6e],getAllCodeActions:t=>{const r=new Set;return qc(t,Nst,(a,u)=>Lst(a,u.file,u.start,r))}});function Lst(t,r,a,u){const h=Rs(r,a);if(!ot(h))return;const p=h.parent;p.kind===172&&(!u||of(u,p))&&t.insertModifierBefore(r,138,p)}var O6e="addMissingInvocationForDecorator",Pst=[k._0_accepts_too_few_arguments_to_be_used_as_a_decorator_here_Did_you_mean_to_call_it_first_and_write_0.code];Na({errorCodes:Pst,getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>Ast(u,r.sourceFile,r.span.start));return[ua(O6e,a,k.Call_decorator_expression,O6e,k.Add_to_all_uncalled_decorators)]},fixIds:[O6e],getAllCodeActions:t=>qc(t,Pst,(r,a)=>Ast(r,a.file,a.start))});function Ast(t,r,a){const u=Rs(r,a),h=Qi(u,Fd);j.assert(!!h,"Expected position to be owned by a decorator.");const p=G.createCallExpression(h.expression,void 0,void 0);t.replaceNode(r,h.expression,p)}var M6e="addNameToNamelessParameter",Ost=[k.Parameter_has_a_name_but_no_type_Did_you_mean_0_Colon_1.code];Na({errorCodes:Ost,getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>Mst(u,r.sourceFile,r.span.start));return[ua(M6e,a,k.Add_parameter_name,M6e,k.Add_names_to_all_parameters_without_names)]},fixIds:[M6e],getAllCodeActions:t=>qc(t,Ost,(r,a)=>Mst(r,a.file,a.start))});function Mst(t,r,a){const u=Rs(r,a),h=u.parent;if(!$s(h))return j.fail("Tried to add a parameter name to a non-parameter: "+j.formatSyntaxKind(u.kind));const p=h.parent.parameters.indexOf(h);j.assert(!h.type,"Tried to add a parameter name to a parameter that already had one."),j.assert(p>-1,"Parameter not found in parent parameter list.");let g=h.name.getEnd(),C=G.createTypeReferenceNode(h.name,void 0),b=Rst(r,h);for(;b;)C=G.createArrayTypeNode(C),g=b.getEnd(),b=Rst(r,b);const T=G.createParameterDeclaration(h.modifiers,h.dotDotDotToken,"arg"+p,h.questionToken,h.dotDotDotToken&&!hH(C)?G.createArrayTypeNode(C):C,h.initializer);t.replaceRange(r,Um(h.getStart(r),g),T)}function Rst(t,r){const a=yD(r.name,r.parent,t);if(a&&a.kind===23&&CS(a.parent)&&$s(a.parent.parent))return a.parent.parent}var Fst="addOptionalPropertyUndefined",CWt=[k.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target.code,k.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties.code,k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties.code];Na({errorCodes:CWt,getCodeActions(t){const r=t.program.getTypeChecker(),a=SWt(t.sourceFile,t.span,r);if(!a.length)return;const u=er.ChangeTracker.with(t,h=>xWt(h,a));return[G0(Fst,u,k.Add_undefined_to_optional_property_type)]},fixIds:[Fst]});function SWt(t,r,a){var u,h;const p=Bst(hhe(t,r),a);if(!p)return w;const{source:g,target:C}=p,b=wWt(g,C,a)?a.getTypeAtLocation(C.expression):a.getTypeAtLocation(C);return(h=(u=b.symbol)==null?void 0:u.declarations)!=null&&h.some(T=>_n(T).fileName.match(/\.d\.ts$/))?w:a.getExactOptionalProperties(b)}function wWt(t,r,a){return Nr(r)&&!!a.getExactOptionalProperties(a.getTypeAtLocation(r.expression)).length&&a.getTypeAtLocation(t)===a.getUndefinedType()}function Bst(t,r){var a;if(t){if(ur(t.parent)&&t.parent.operatorToken.kind===64)return{source:t.parent.right,target:t.parent.left};if(_s(t.parent)&&t.parent.initializer)return{source:t.parent.initializer,target:t.parent.name};if(la(t.parent)){const u=r.getSymbolAtLocation(t.parent.expression);if(!(u!=null&&u.valueDeclaration)||!tP(u.valueDeclaration.kind)||!jt(t))return;const h=t.parent.arguments.indexOf(t);if(h===-1)return;const p=u.valueDeclaration.parameters[h].name;if(ot(p))return{source:t,target:p}}else if(_d(t.parent)&&ot(t.parent.name)||lh(t.parent)){const u=Bst(t.parent.parent,r);if(!u)return;const h=r.getPropertyOfType(r.getTypeAtLocation(u.target),t.parent.name.text),p=(a=h==null?void 0:h.declarations)==null?void 0:a[0];return p?{source:_d(t.parent)?t.parent.initializer:t.parent.name,target:p}:void 0}}else return}function xWt(t,r){for(const a of r){const u=a.valueDeclaration;if(u&&(O_(u)||Lo(u))&&u.type){const h=G.createUnionTypeNode([...u.type.kind===192?u.type.types:[u.type],G.createTypeReferenceNode("undefined")]);t.replaceNode(u.getSourceFile(),u.type,h)}}}var R6e="annotateWithTypeFromJSDoc",Wst=[k.JSDoc_types_may_be_moved_to_TypeScript_types.code];Na({errorCodes:Wst,getCodeActions(t){const r=Vst(t.sourceFile,t.span.start);if(!r)return;const a=er.ChangeTracker.with(t,u=>zst(u,t.sourceFile,r));return[ua(R6e,a,k.Annotate_with_type_from_JSDoc,R6e,k.Annotate_everything_with_types_from_JSDoc)]},fixIds:[R6e],getAllCodeActions:t=>qc(t,Wst,(r,a)=>{const u=Vst(a.file,a.start);u&&zst(r,a.file,u)})});function Vst(t,r){const a=Rs(t,r);return Jr($s(a.parent)?a.parent.parent:a.parent,Hst)}function Hst(t){return kWt(t)&&jst(t)}function jst(t){return Qc(t)?t.parameters.some(jst)||!t.type&&!!MW(t):!t.type&&!!Bk(t)}function zst(t,r,a){if(Qc(a)&&(MW(a)||a.parameters.some(u=>!!Bk(u)))){if(!a.typeParameters){const h=vK(a);h.length&&t.insertTypeParameters(r,a,h)}const u=xl(a)&&!Uc(a,21,r);u&&t.insertNodeBefore(r,ya(a.parameters),G.createToken(21));for(const h of a.parameters)if(!h.type){const p=Bk(h);p&&t.tryInsertTypeAnnotation(r,h,bt(p,xD,ws))}if(u&&t.insertNodeAfter(r,va(a.parameters),G.createToken(22)),!a.type){const h=MW(a);h&&t.tryInsertTypeAnnotation(r,a,bt(h,xD,ws))}}else{const u=j.checkDefined(Bk(a),"A JSDocType for this declaration should exist");j.assert(!a.type,"The JSDocType decl should have a type"),t.tryInsertTypeAnnotation(r,a,bt(u,xD,ws))}}function kWt(t){return Qc(t)||t.kind===260||t.kind===171||t.kind===172}function xD(t){switch(t.kind){case 312:case 313:return G.createTypeReferenceNode("any",w);case 316:return DWt(t);case 315:return xD(t.type);case 314:return EWt(t);case 318:return IWt(t);case 317:return NWt(t);case 183:return PWt(t);case 322:return TWt(t);default:const r=dn(t,xD,void 0);return tr(r,1),r}}function TWt(t){const r=G.createTypeLiteralNode(qt(t.jsDocPropertyTags,a=>G.createPropertySignature(void 0,ot(a.name)?a.name:a.name.right,tH(a)?G.createToken(58):void 0,a.typeExpression&&bt(a.typeExpression.type,xD,ws)||G.createKeywordTypeNode(133))));return tr(r,1),r}function DWt(t){return G.createUnionTypeNode([bt(t.type,xD,ws),G.createTypeReferenceNode("undefined",w)])}function EWt(t){return G.createUnionTypeNode([bt(t.type,xD,ws),G.createTypeReferenceNode("null",w)])}function IWt(t){return G.createArrayTypeNode(bt(t.type,xD,ws))}function NWt(t){return G.createFunctionTypeNode(w,t.parameters.map(LWt),t.type??G.createKeywordTypeNode(133))}function LWt(t){const r=t.parent.parameters.indexOf(t),a=t.type.kind===318&&r===t.parent.parameters.length-1,u=t.name||(a?"rest":"arg"+r),h=a?G.createToken(26):t.dotDotDotToken;return G.createParameterDeclaration(t.modifiers,h,u,t.questionToken,bt(t.type,xD,ws),t.initializer)}function PWt(t){let r=t.typeName,a=t.typeArguments;if(ot(t.typeName)){if(eK(t))return AWt(t);let u=t.typeName.text;switch(t.typeName.text){case"String":case"Boolean":case"Object":case"Number":u=u.toLowerCase();break;case"array":case"date":case"promise":u=u[0].toUpperCase()+u.slice(1);break}r=G.createIdentifier(u),(u==="Array"||u==="Promise")&&!t.typeArguments?a=G.createNodeArray([G.createTypeReferenceNode("any",w)]):a=Dn(t.typeArguments,xD,ws)}return G.createTypeReferenceNode(r,a)}function AWt(t){const r=G.createParameterDeclaration(void 0,void 0,t.typeArguments[0].kind===150?"n":"s",void 0,G.createTypeReferenceNode(t.typeArguments[0].kind===150?"number":"string",[]),void 0),a=G.createTypeLiteralNode([G.createIndexSignature(void 0,[r],t.typeArguments[1])]);return tr(a,1),a}var F6e="convertFunctionToEs6Class",Ust=[k.This_constructor_function_may_be_converted_to_a_class_declaration.code];Na({errorCodes:Ust,getCodeActions(t){const r=er.ChangeTracker.with(t,a=>qst(a,t.sourceFile,t.span.start,t.program.getTypeChecker(),t.preferences,t.program.getCompilerOptions()));return[ua(F6e,r,k.Convert_function_to_an_ES2015_class,F6e,k.Convert_all_constructor_functions_to_classes)]},fixIds:[F6e],getAllCodeActions:t=>qc(t,Ust,(r,a)=>qst(r,a.file,a.start,t.program.getTypeChecker(),t.preferences,t.program.getCompilerOptions()))});function qst(t,r,a,u,h,p){const g=u.getSymbolAtLocation(Rs(r,a));if(!g||!g.valueDeclaration||!(g.flags&19))return;const C=g.valueDeclaration;if(Wu(C)||ml(C))t.replaceNode(r,C,E(C));else if(_s(C)){const N=T(C);if(!N)return;const R=C.parent.parent;Sf(C.parent)&&C.parent.declarations.length>1?(t.delete(r,C),t.insertNodeAfter(r,R,N)):t.replaceNode(r,R,N)}function b(N){const R=[];return N.exports&&N.exports.forEach(U=>{if(U.name==="prototype"&&U.declarations){const q=U.declarations[0];if(U.declarations.length===1&&Nr(q)&&ur(q.parent)&&q.parent.operatorToken.kind===64&&Aa(q.parent.right)){const X=q.parent.right;H(X.symbol,void 0,R)}}else H(U,[G.createToken(126)],R)}),N.members&&N.members.forEach((U,q)=>{var X,Z,Q,re;if(q==="constructor"&&U.valueDeclaration){const oe=(re=(Q=(Z=(X=N.exports)==null?void 0:X.get("prototype"))==null?void 0:Z.declarations)==null?void 0:Q[0])==null?void 0:re.parent;oe&&ur(oe)&&Aa(oe.right)&&Ft(oe.right.properties,u_e)||t.delete(r,U.valueDeclaration.parent);return}H(U,void 0,R)}),R;function F(U,q){return Sl(U)?Nr(U)&&u_e(U)?!0:Ho(q):Ce(U.properties,X=>!!(Nu(X)||FW(X)||_d(X)&&ml(X.initializer)&&X.name||u_e(X)))}function H(U,q,X){if(!(U.flags&8192)&&!(U.flags&4096))return;const Z=U.valueDeclaration,Q=Z.parent,re=Q.right;if(!F(Z,re)||Ft(X,Ie=>{const we=No(Ie);return!!(we&&ot(we)&&Fr(we)===Eu(U))}))return;const oe=Q.parent&&Q.parent.kind===244?Q.parent:Q;if(t.delete(r,oe),!re){X.push(G.createPropertyDeclaration(q,U.name,void 0,void 0,void 0));return}if(Sl(Z)&&(ml(re)||xl(re))){const Ie=$p(r,h),we=OWt(Z,p,Ie);we&&pe(X,re,we);return}else if(Aa(re)){O(re.properties,Ie=>{(Nu(Ie)||FW(Ie))&&X.push(Ie),_d(Ie)&&ml(Ie.initializer)&&pe(X,Ie.initializer,Ie.name),u_e(Ie)});return}else{if(r_(r)||!Nr(Z))return;const Ie=G.createPropertyDeclaration(q,Z.name,void 0,void 0,re);iO(Q.parent,Ie,r),X.push(Ie);return}function pe(Ie,we,he){return ml(we)?de(Ie,we,he):ue(Ie,we,he)}function de(Ie,we,he){const Ae=Js(q,l_e(we,134)),ke=G.createMethodDeclaration(Ae,void 0,he,void 0,void 0,we.parameters,void 0,we.body);iO(Q,ke,r),Ie.push(ke)}function ue(Ie,we,he){const Ae=we.body;let ke;Ae.kind===241?ke=Ae:ke=G.createBlock([G.createReturnStatement(Ae)]);const Te=Js(q,l_e(we,134)),De=G.createMethodDeclaration(Te,void 0,he,void 0,void 0,we.parameters,void 0,ke);iO(Q,De,r),Ie.push(De)}}}function T(N){const R=N.initializer;if(!R||!ml(R)||!ot(N.name))return;const F=b(N.symbol);R.body&&F.unshift(G.createConstructorDeclaration(void 0,R.parameters,R.body));const H=l_e(N.parent.parent,95);return G.createClassDeclaration(H,N.name,void 0,void 0,F)}function E(N){const R=b(g);N.body&&R.unshift(G.createConstructorDeclaration(void 0,N.parameters,N.body));const F=l_e(N,95);return G.createClassDeclaration(F,N.name,void 0,void 0,R)}}function l_e(t,r){return Fg(t)?$t(t.modifiers,a=>a.kind===r):void 0}function u_e(t){return t.name?!!(ot(t.name)&&t.name.text==="constructor"):!1}function OWt(t,r,a){if(Nr(t))return t.name;const u=t.argumentExpression;if(A_(u))return u;if(Bc(u))return J_(u.text,Ja(r))?G.createIdentifier(u.text):TI(u)?G.createStringLiteral(u.text,a===0):u}var B6e="convertToAsyncFunction",$st=[k.This_may_be_converted_to_an_async_function.code],d_e=!0;Na({errorCodes:$st,getCodeActions(t){d_e=!0;const r=er.ChangeTracker.with(t,a=>Jst(a,t.sourceFile,t.span.start,t.program.getTypeChecker()));return d_e?[ua(B6e,r,k.Convert_to_async_function,B6e,k.Convert_all_to_async_functions)]:[]},fixIds:[B6e],getAllCodeActions:t=>qc(t,$st,(r,a)=>Jst(r,a.file,a.start,t.program.getTypeChecker()))});function Jst(t,r,a,u){const h=Rs(r,a);let p;if(ot(h)&&_s(h.parent)&&h.parent.initializer&&Qc(h.parent.initializer)?p=h.parent.initializer:p=Jr(Up(Rs(r,a)),Ohe),!p)return;const g=new Map,C=nr(p),b=RWt(p,u),T=FWt(p,u,g);if(!Phe(T,u))return;const E=T.body&&Xo(T.body)?MWt(T.body,u):w,N={checker:u,synthNamesMap:g,setOfExpressionsToReturn:b,isInJSFile:C};if(!E.length)return;const R=Va(r.text,R1(p).pos);t.insertModifierAt(r,R,134,{suffix:" "});for(const F of E)if(Uo(F,function H(U){if(la(U)){const q=cO(U,U,N,!1);if(vA())return!0;t.replaceNodeWithNodes(r,F,q)}else if(!Ho(U)&&(Uo(U,H),vA()))return!0}),vA())return}function MWt(t,r){const a=[];return uS(t,u=>{ZZ(u,r)&&a.push(u)}),a}function RWt(t,r){if(!t.body)return new Set;const a=new Set;return Uo(t.body,function u(h){jj(h,r,"then")?(a.add(Sc(h)),O(h.arguments,u)):jj(h,r,"catch")||jj(h,r,"finally")?(a.add(Sc(h)),Uo(h,u)):Kst(h,r)?a.add(Sc(h)):Uo(h,u)}),a}function jj(t,r,a){if(!la(t))return!1;const h=lj(t,a)&&r.getTypeAtLocation(t);return!!(h&&r.getPromisedTypeOfPromise(h))}function Gst(t,r){return(Or(t)&4)!==0&&t.target===r}function f_e(t,r,a){if(t.expression.name.escapedText==="finally")return;const u=a.getTypeAtLocation(t.expression.expression);if(Gst(u,a.getPromiseType())||Gst(u,a.getPromiseLikeType()))if(t.expression.name.escapedText==="then"){if(r===sm(t.arguments,0))return sm(t.typeArguments,0);if(r===sm(t.arguments,1))return sm(t.typeArguments,1)}else return sm(t.typeArguments,0)}function Kst(t,r){return jt(t)?!!r.getPromisedTypeOfPromise(r.getTypeAtLocation(t)):!1}function FWt(t,r,a){const u=new Map,h=Of();return Uo(t,function p(g){if(!ot(g)){Uo(g,p);return}const C=r.getSymbolAtLocation(g);if(C){const b=r.getTypeAtLocation(g),T=tot(b,r),E=Ba(C).toString();if(T&&!$s(g.parent)&&!Qc(g.parent)&&!a.has(E)){const N=Ra(T.parameters),R=(N==null?void 0:N.valueDeclaration)&&$s(N.valueDeclaration)&&Jr(N.valueDeclaration.name,ot)||G.createUniqueName("result",16),F=Xst(R,h);a.set(E,F),h.add(R.text,C)}else if(g.parent&&($s(g.parent)||_s(g.parent)||ec(g.parent))){const N=g.text,R=h.get(N);if(R&&R.some(F=>F!==C)){const F=Xst(g,h);u.set(E,F.identifier),a.set(E,F),h.add(N,C)}else{const F=Ec(g);a.set(E,C5(F)),h.add(N,C)}}}}),Sj(t,!0,p=>{if(ec(p)&&ot(p.name)&&dm(p.parent)){const g=r.getSymbolAtLocation(p.name),C=g&&u.get(String(Ba(g)));if(C&&C.text!==(p.name||p.propertyName).getText())return G.createBindingElement(p.dotDotDotToken,p.propertyName||p.name,C,p.initializer)}else if(ot(p)){const g=r.getSymbolAtLocation(p),C=g&&u.get(String(Ba(g)));if(C)return G.createIdentifier(C.text)}})}function Xst(t,r){const a=(r.get(t.text)||w).length,u=a===0?t:G.createIdentifier(t.text+"_"+a);return C5(u)}function vA(){return!d_e}function b2(){return d_e=!1,w}function cO(t,r,a,u,h){if(jj(r,a.checker,"then"))return VWt(r,sm(r.arguments,0),sm(r.arguments,1),a,u,h);if(jj(r,a.checker,"catch"))return Yst(r,sm(r.arguments,0),a,u,h);if(jj(r,a.checker,"finally"))return WWt(r,sm(r.arguments,0),a,u,h);if(Nr(r))return cO(t,r.expression,a,u,h);const p=a.checker.getTypeAtLocation(r);return p&&a.checker.getPromisedTypeOfPromise(p)?(j.assertNode(Ql(r).parent,Nr),HWt(t,r,a,u,h)):b2()}function h_e({checker:t},r){if(r.kind===106)return!0;if(ot(r)&&!zl(r)&&Fr(r)==="undefined"){const a=t.getSymbolAtLocation(r);return!a||t.isUndefinedSymbol(a)}return!1}function BWt(t){const r=G.createUniqueName(t.identifier.text,16);return C5(r)}function Qst(t,r,a){let u;return a&&!Uj(t,r)&&(zj(a)?(u=a,r.synthNamesMap.forEach((h,p)=>{if(h.identifier.text===a.identifier.text){const g=BWt(a);r.synthNamesMap.set(p,g)}})):u=C5(G.createUniqueName("result",16),a.types),j6e(u)),u}function Zst(t,r,a,u,h){const p=[];let g;if(u&&!Uj(t,r)){g=Ec(j6e(u));const C=u.types,b=r.checker.getUnionType(C,2),T=r.isInJSFile?void 0:r.checker.typeToTypeNode(b,void 0,void 0),E=[G.createVariableDeclaration(g,void 0,T)],N=G.createVariableStatement(void 0,G.createVariableDeclarationList(E,1));p.push(N)}return p.push(a),h&&g&&UWt(h)&&p.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(Ec(sot(h)),void 0,void 0,g)],2))),p}function WWt(t,r,a,u,h){if(!r||h_e(a,r))return cO(t,t.expression.expression,a,u,h);const p=Qst(t,a,h),g=cO(t,t.expression.expression,a,!0,p);if(vA())return b2();const C=V6e(r,u,void 0,void 0,t,a);if(vA())return b2();const b=G.createBlock(g),T=G.createBlock(C),E=G.createTryStatement(b,void 0,T);return Zst(t,a,E,p,h)}function Yst(t,r,a,u,h){if(!r||h_e(a,r))return cO(t,t.expression.expression,a,u,h);const p=not(r,a),g=Qst(t,a,h),C=cO(t,t.expression.expression,a,!0,g);if(vA())return b2();const b=V6e(r,u,g,p,t,a);if(vA())return b2();const T=G.createBlock(C),E=G.createCatchClause(p&&Ec(pY(p)),G.createBlock(b)),N=G.createTryStatement(T,E,void 0);return Zst(t,a,N,g,h)}function VWt(t,r,a,u,h,p){if(!r||h_e(u,r))return Yst(t,a,u,h,p);if(a&&!h_e(u,a))return b2();const g=not(r,u),C=cO(t.expression.expression,t.expression.expression,u,!0,g);if(vA())return b2();const b=V6e(r,h,p,g,t,u);return vA()?b2():Js(C,b)}function HWt(t,r,a,u,h){if(Uj(t,a)){let p=Ec(r);return u&&(p=G.createAwaitExpression(p)),[G.createReturnStatement(p)]}return __e(h,G.createAwaitExpression(r),void 0)}function __e(t,r,a){return!t||rot(t)?[G.createExpressionStatement(r)]:zj(t)&&t.hasBeenDeclared?[G.createExpressionStatement(G.createAssignment(Ec(H6e(t)),r))]:[G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(Ec(pY(t)),void 0,a,r)],2))]}function W6e(t,r){if(r&&t){const a=G.createUniqueName("result",16);return[...__e(C5(a),t,r),G.createReturnStatement(a)]}return[G.createReturnStatement(t)]}function V6e(t,r,a,u,h,p){var g;switch(t.kind){case 106:break;case 211:case 80:if(!u)break;const C=G.createCallExpression(Ec(t),void 0,zj(u)?[H6e(u)]:[]);if(Uj(h,p))return W6e(C,f_e(h,t,p.checker));const b=p.checker.getTypeAtLocation(t),T=p.checker.getSignaturesOfType(b,0);if(!T.length)return b2();const E=T[0].getReturnType(),N=__e(a,G.createAwaitExpression(C),f_e(h,t,p.checker));return a&&a.types.push(p.checker.getAwaitedType(E)||E),N;case 218:case 219:{const R=t.body,F=(g=tot(p.checker.getTypeAtLocation(t),p.checker))==null?void 0:g.getReturnType();if(Xo(R)){let H=[],U=!1;for(const q of R.statements)if(Og(q))if(U=!0,ZZ(q,p.checker))H=H.concat(iot(p,q,r,a));else{const X=F&&q.expression?eot(p.checker,F,q.expression):q.expression;H.push(...W6e(X,f_e(h,t,p.checker)))}else{if(r&&uS(q,Pk))return b2();H.push(q)}return Uj(h,p)?H.map(q=>Ec(q)):jWt(H,a,p,U)}else{const H=Ahe(R,p.checker)?iot(p,G.createReturnStatement(R),r,a):w;if(H.length>0)return H;if(F){const U=eot(p.checker,F,R);if(Uj(h,p))return W6e(U,f_e(h,t,p.checker));{const q=__e(a,U,void 0);return a&&a.types.push(p.checker.getAwaitedType(F)||F),q}}else return b2()}}default:return b2()}return w}function eot(t,r,a){const u=Ec(a);return t.getPromisedTypeOfPromise(r)?G.createAwaitExpression(u):u}function tot(t,r){const a=r.getSignaturesOfType(t,0);return rl(a)}function jWt(t,r,a,u){const h=[];for(const p of t)if(Og(p)){if(p.expression){const g=Kst(p.expression,a.checker)?G.createAwaitExpression(p.expression):p.expression;r===void 0?h.push(G.createExpressionStatement(g)):zj(r)&&r.hasBeenDeclared?h.push(G.createExpressionStatement(G.createAssignment(H6e(r),g))):h.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(pY(r),void 0,void 0,g)],2)))}}else h.push(Ec(p));return!u&&r!==void 0&&h.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(pY(r),void 0,void 0,G.createIdentifier("undefined"))],2))),h}function iot(t,r,a,u){let h=[];return Uo(r,function p(g){if(la(g)){const C=cO(g,g,t,a,u);if(h=h.concat(C),h.length>0)return}else Ho(g)||Uo(g,p)}),h}function not(t,r){const a=[];let u;if(Qc(t)){if(t.parameters.length>0){const b=t.parameters[0].name;u=h(b)}}else ot(t)?u=p(t):Nr(t)&&ot(t.name)&&(u=p(t.name));if(!u||"identifier"in u&&u.identifier.text==="undefined")return;return u;function h(b){if(ot(b))return p(b);const T=Rr(b.elements,E=>Bd(E)?[]:[h(E.name)]);return zWt(b,T)}function p(b){const T=C(b),E=g(T);return E&&r.synthNamesMap.get(Ba(E).toString())||C5(b,a)}function g(b){var T;return((T=Jr(b,M0))==null?void 0:T.symbol)??r.checker.getSymbolAtLocation(b)}function C(b){return b.original?b.original:b}}function rot(t){return t?zj(t)?!t.identifier.text:Ce(t.elements,rot):!0}function C5(t,r=[]){return{kind:0,identifier:t,types:r,hasBeenDeclared:!1,hasBeenReferenced:!1}}function zWt(t,r=w,a=[]){return{kind:1,bindingPattern:t,elements:r,types:a}}function H6e(t){return t.hasBeenReferenced=!0,t.identifier}function pY(t){return zj(t)?j6e(t):sot(t)}function sot(t){for(const r of t.elements)pY(r);return t.bindingPattern}function j6e(t){return t.hasBeenDeclared=!0,t.identifier}function zj(t){return t.kind===0}function UWt(t){return t.kind===1}function Uj(t,r){return!!t.original&&r.setOfExpressionsToReturn.has(Sc(t.original))}Na({errorCodes:[k.File_is_a_CommonJS_module_it_may_be_converted_to_an_ES_module.code],getCodeActions(t){const{sourceFile:r,program:a,preferences:u}=t,h=er.ChangeTracker.with(t,p=>{if($Wt(r,a.getTypeChecker(),p,Ja(a.getCompilerOptions()),$p(r,u)))for(const C of a.getSourceFiles())qWt(C,r,a,p,$p(C,u))});return[G0("convertToEsModule",h,k.Convert_to_ES_module)]}});function qWt(t,r,a,u,h){var p;for(const g of t.imports){const C=(p=a.getResolvedModuleFromModuleSpecifier(g,t))==null?void 0:p.resolvedModule;if(!C||C.resolvedFileName!==r.fileName)continue;const b=O8(g);switch(b.kind){case 271:u.replaceNode(t,b,Sx(b.name,void 0,g,h));break;case 213:L_(b,!1)&&u.replaceNode(t,b,G.createPropertyAccessExpression(Ec(b),"default"));break}}}function $Wt(t,r,a,u,h){const p={original:sVt(t),additional:new Set},g=JWt(t,r,p);GWt(t,g,a);let C=!1,b;for(const T of $t(t.statements,Lu)){const E=aot(t,T,a,r,p,u,h);E&&DG(E,b??(b=new Map))}for(const T of $t(t.statements,E=>!Lu(E))){const E=KWt(t,T,r,a,p,u,g,b,h);C=C||E}return b==null||b.forEach((T,E)=>{a.replaceNode(t,E,T)}),C}function JWt(t,r,a){const u=new Map;return oot(t,h=>{const{text:p}=h.name;!u.has(p)&&(Qae(h.name)||r.resolveName(p,h,111551,!0))&&u.set(p,p_e(`_${p}`,a))}),u}function GWt(t,r,a){oot(t,(u,h)=>{if(h)return;const{text:p}=u.name;a.replaceNode(t,u,G.createIdentifier(r.get(p)||p))})}function oot(t,r){t.forEachChild(function a(u){if(Nr(u)&&dD(t,u.expression)&&ot(u.name)){const{parent:h}=u;r(u,ur(h)&&h.left===u&&h.operatorToken.kind===64)}u.forEachChild(a)})}function KWt(t,r,a,u,h,p,g,C,b){switch(r.kind){case 243:return aot(t,r,u,a,h,p,b),!1;case 244:{const{expression:T}=r;switch(T.kind){case 213:return L_(T,!0)&&u.replaceNode(t,r,Sx(void 0,void 0,T.arguments[0],b)),!1;case 226:{const{operatorToken:E}=T;return E.kind===64&&QWt(t,a,T,u,g,C)}}}default:return!1}}function aot(t,r,a,u,h,p,g){const{declarationList:C}=r;let b=!1;const T=qt(C.declarations,E=>{const{name:N,initializer:R}=E;if(R){if(dD(t,R))return b=!0,S5([]);if(L_(R,!0))return b=!0,nVt(N,R.arguments[0],u,h,p,g);if(Nr(R)&&L_(R.expression,!0))return b=!0,XWt(N,R.name.text,R.expression.arguments[0],h,g)}return S5([G.createVariableStatement(void 0,G.createVariableDeclarationList([E],C.flags))])});if(b){a.replaceNodeWithNodes(t,r,Rr(T,N=>N.newImports));let E;return O(T,N=>{N.useSitesToUnqualify&&DG(N.useSitesToUnqualify,E??(E=new Map))}),E}}function XWt(t,r,a,u,h){switch(t.kind){case 206:case 207:{const p=p_e(r,u);return S5([dot(p,r,a,h),m_e(void 0,t,G.createIdentifier(p))])}case 80:return S5([dot(t.text,r,a,h)]);default:return j.assertNever(t,`Convert to ES module got invalid syntax form ${t.kind}`)}}function QWt(t,r,a,u,h,p){const{left:g,right:C}=a;if(!Nr(g))return!1;if(dD(t,g))if(dD(t,C))u.delete(t,a.parent);else{const b=Aa(C)?ZWt(C,p):L_(C,!0)?eVt(C.arguments[0],r):void 0;return b?(u.replaceNodeWithNodes(t,a.parent,b[0]),b[1]):(u.replaceRangeWithText(t,Um(g.getStart(t),C.pos),"export default"),!0)}else dD(t,g.expression)&&YWt(t,a,u,h);return!1}function ZWt(t,r){const a=jl(t.properties,u=>{switch(u.kind){case 177:case 178:case 304:case 305:return;case 303:return ot(u.name)?iVt(u.name.text,u.initializer,r):void 0;case 174:return ot(u.name)?uot(u.name.text,[G.createToken(95)],u,r):void 0;default:j.assertNever(u,`Convert to ES6 got invalid prop kind ${u.kind}`)}});return a&&[a,!1]}function YWt(t,r,a,u){const{text:h}=r.left.name,p=u.get(h);if(p!==void 0){const g=[m_e(void 0,p,r.right),q6e([G.createExportSpecifier(!1,p,h)])];a.replaceNodeWithNodes(t,r.parent,g)}else tVt(r,t,a)}function eVt(t,r){const a=t.text,u=r.getSymbolAtLocation(t),h=u?u.exports:I;return h.has("export=")?[[z6e(a)],!0]:h.has("default")?h.size>1?[[cot(a),z6e(a)],!0]:[[z6e(a)],!0]:[[cot(a)],!1]}function cot(t){return q6e(void 0,t)}function z6e(t){return q6e([G.createExportSpecifier(!1,void 0,"default")],t)}function tVt({left:t,right:r,parent:a},u,h){const p=t.name.text;if((ml(r)||xl(r)||fd(r))&&(!r.name||r.name.text===p)){h.replaceRange(u,{pos:t.getStart(u),end:r.getStart(u)},G.createToken(95),{suffix:" "}),r.name||h.insertName(u,r,p);const g=Uc(a,27,u);g&&h.delete(u,g)}else h.replaceNodeRangeWithNodes(u,t.expression,Uc(t,25,u),[G.createToken(95),G.createToken(87)],{joiner:" ",suffix:" "})}function iVt(t,r,a){const u=[G.createToken(95)];switch(r.kind){case 218:{const{name:p}=r;if(p&&p.text!==t)return h()}case 219:return uot(t,u,r,a);case 231:return aVt(t,u,r,a);default:return h()}function h(){return m_e(u,G.createIdentifier(t),U6e(r,a))}}function U6e(t,r){if(!r||!Ft(as(r.keys()),u=>Ip(t,u)))return t;return Ao(t)?she(t,!0,a):Sj(t,!0,a);function a(u){if(u.kind===211){const h=r.get(u);return r.delete(u),h}}}function nVt(t,r,a,u,h,p){switch(t.kind){case 206:{const g=jl(t.elements,C=>C.dotDotDotToken||C.initializer||C.propertyName&&!ot(C.propertyName)||!ot(C.name)?void 0:fot(C.propertyName&&C.propertyName.text,C.name.text));if(g)return S5([Sx(void 0,g,r,p)])}case 207:{const g=p_e(Ij(r.text,h),u);return S5([Sx(G.createIdentifier(g),void 0,r,p),m_e(void 0,Ec(t),G.createIdentifier(g))])}case 80:return rVt(t,r,a,u,p);default:return j.assertNever(t,`Convert to ES module got invalid name kind ${t.kind}`)}}function rVt(t,r,a,u,h){const p=a.getSymbolAtLocation(t),g=new Map;let C=!1,b;for(const E of u.original.get(t.text)){if(a.getSymbolAtLocation(E)!==p||E===t)continue;const{parent:N}=E;if(Nr(N)){const{name:{text:R}}=N;if(R==="default"){C=!0;const F=E.getText();(b??(b=new Map)).set(N,G.createIdentifier(F))}else{j.assert(N.expression===E,"Didn't expect expression === use");let F=g.get(R);F===void 0&&(F=p_e(R,u),g.set(R,F)),(b??(b=new Map)).set(N,G.createIdentifier(F))}}else C=!0}const T=g.size===0?void 0:as(ms(g.entries(),([E,N])=>G.createImportSpecifier(!1,E===N?void 0:G.createIdentifier(E),G.createIdentifier(N))));return T||(C=!0),S5([Sx(C?Ec(t):void 0,T,r,h)],b)}function p_e(t,r){for(;r.original.has(t)||r.additional.has(t);)t=`_${t}`;return r.additional.add(t),t}function sVt(t){const r=Of();return lot(t,a=>r.add(a.text,a)),r}function lot(t,r){ot(t)&&oVt(t)&&r(t),t.forEachChild(a=>lot(a,r))}function oVt(t){const{parent:r}=t;switch(r.kind){case 211:return r.name!==t;case 208:return r.propertyName!==t;case 276:return r.propertyName!==t;default:return!0}}function uot(t,r,a,u){return G.createFunctionDeclaration(Js(r,vD(a.modifiers)),Ec(a.asteriskToken),t,vD(a.typeParameters),vD(a.parameters),Ec(a.type),G.converters.convertToFunctionBlock(U6e(a.body,u)))}function aVt(t,r,a,u){return G.createClassDeclaration(Js(r,vD(a.modifiers)),t,vD(a.typeParameters),vD(a.heritageClauses),U6e(a.members,u))}function dot(t,r,a,u){return r==="default"?Sx(G.createIdentifier(t),void 0,a,u):Sx(void 0,[fot(r,t)],a,u)}function fot(t,r){return G.createImportSpecifier(!1,t!==void 0&&t!==r?G.createIdentifier(t):void 0,G.createIdentifier(r))}function m_e(t,r,a){return G.createVariableStatement(t,G.createVariableDeclarationList([G.createVariableDeclaration(r,void 0,void 0,a)],2))}function q6e(t,r){return G.createExportDeclaration(void 0,!1,t&&G.createNamedExports(t),r===void 0?void 0:G.createStringLiteral(r))}function S5(t,r){return{newImports:t,useSitesToUnqualify:r}}var $6e="correctQualifiedNameToIndexedAccessType",hot=[k.Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_property_1_in_0_with_0_1.code];Na({errorCodes:hot,getCodeActions(t){const r=_ot(t.sourceFile,t.span.start);if(!r)return;const a=er.ChangeTracker.with(t,h=>pot(h,t.sourceFile,r)),u=`${r.left.text}["${r.right.text}"]`;return[ua($6e,a,[k.Rewrite_as_the_indexed_access_type_0,u],$6e,k.Rewrite_all_as_indexed_access_types)]},fixIds:[$6e],getAllCodeActions:t=>qc(t,hot,(r,a)=>{const u=_ot(a.file,a.start);u&&pot(r,a.file,u)})});function _ot(t,r){const a=Qi(Rs(t,r),o_);return j.assert(!!a,"Expected position to be owned by a qualified name."),ot(a.left)?a:void 0}function pot(t,r,a){const u=a.right.text,h=G.createIndexedAccessTypeNode(G.createTypeReferenceNode(a.left,void 0),G.createLiteralTypeNode(G.createStringLiteral(u)));t.replaceNode(r,a,h)}var J6e=[k.Re_exporting_a_type_when_0_is_enabled_requires_using_export_type.code],G6e="convertToTypeOnlyExport";Na({errorCodes:J6e,getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>got(u,mot(r.span,r.sourceFile),r));if(a.length)return[ua(G6e,a,k.Convert_to_type_only_export,G6e,k.Convert_all_re_exported_types_to_type_only_exports)]},fixIds:[G6e],getAllCodeActions:function(r){const a=new Map;return qc(r,J6e,(u,h)=>{const p=mot(h,r.sourceFile);p&&Pg(a,Sc(p.parent.parent))&&got(u,p,r)})}});function mot(t,r){return Jr(Rs(r,t.start).parent,vh)}function got(t,r,a){if(!r)return;const u=r.parent,h=u.parent,p=cVt(r,a);if(p.length===u.elements.length)t.insertModifierBefore(a.sourceFile,156,u);else{const g=G.updateExportDeclaration(h,h.modifiers,!1,G.updateNamedExports(u,$t(u.elements,b=>!Yt(p,b))),h.moduleSpecifier,void 0),C=G.createExportDeclaration(void 0,!0,G.createNamedExports(p),h.moduleSpecifier,void 0);t.replaceNode(a.sourceFile,h,g,{leadingTriviaOption:er.LeadingTriviaOption.IncludeAll,trailingTriviaOption:er.TrailingTriviaOption.Exclude}),t.insertNodeAfter(a.sourceFile,h,C)}}function cVt(t,r){const a=t.parent;if(a.elements.length===1)return a.elements;const u=MAe(f_(a),r.program.getSemanticDiagnostics(r.sourceFile,r.cancellationToken));return $t(a.elements,h=>{var p;return h===t||((p=OAe(h,u))==null?void 0:p.code)===J6e[0]})}var yot=[k._0_is_a_type_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled.code,k._0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled.code],g_e="convertToTypeOnlyImport";Na({errorCodes:yot,getCodeActions:function(r){var a;const u=vot(r.sourceFile,r.span.start);if(u){const h=er.ChangeTracker.with(r,C=>mY(C,r.sourceFile,u)),p=u.kind===276&&du(u.parent.parent.parent)&&bot(u,r.sourceFile,r.program)?er.ChangeTracker.with(r,C=>mY(C,r.sourceFile,u.parent.parent.parent)):void 0,g=ua(g_e,h,u.kind===276?[k.Use_type_0,((a=u.propertyName)==null?void 0:a.text)??u.name.text]:k.Use_import_type,g_e,k.Fix_all_with_type_only_imports);return Ft(p)?[G0(g_e,p,k.Use_import_type),g]:[g]}},fixIds:[g_e],getAllCodeActions:function(r){const a=new Set;return qc(r,yot,(u,h)=>{const p=vot(h.file,h.start);(p==null?void 0:p.kind)===272&&!a.has(p)?(mY(u,h.file,p),a.add(p)):(p==null?void 0:p.kind)===276&&du(p.parent.parent.parent)&&!a.has(p.parent.parent.parent)&&bot(p,h.file,r.program)?(mY(u,h.file,p.parent.parent.parent),a.add(p.parent.parent.parent)):(p==null?void 0:p.kind)===276&&mY(u,h.file,p)})}});function vot(t,r){const{parent:a}=Rs(t,r);return l_(a)||du(a)&&a.importClause?a:void 0}function bot(t,r,a){if(t.parent.parent.name)return!1;const u=t.parent.elements.filter(p=>!p.isTypeOnly);if(u.length===1)return!0;const h=a.getTypeChecker();for(const p of u)if(kl.Core.eachSymbolReferenceInFile(p.name,h,r,C=>{const b=h.getSymbolAtLocation(C);return!!b&&h.symbolIsValue(b)||!Yk(C)}))return!1;return!0}function mY(t,r,a){var u;if(l_(a))t.replaceNode(r,a,G.updateImportSpecifier(a,!0,a.propertyName,a.name));else{const h=a.importClause;if(h.name&&h.namedBindings)t.replaceNodeWithNodes(r,a,[G.createImportDeclaration(vD(a.modifiers,!0),G.createImportClause(!0,Ec(h.name,!0),void 0),Ec(a.moduleSpecifier,!0),Ec(a.attributes,!0)),G.createImportDeclaration(vD(a.modifiers,!0),G.createImportClause(!0,void 0,Ec(h.namedBindings,!0)),Ec(a.moduleSpecifier,!0),Ec(a.attributes,!0))]);else{const p=((u=h.namedBindings)==null?void 0:u.kind)===275?G.updateNamedImports(h.namedBindings,Wr(h.namedBindings.elements,C=>G.updateImportSpecifier(C,!1,C.propertyName,C.name))):h.namedBindings,g=G.updateImportDeclaration(a,a.modifiers,G.updateImportClause(h,!0,h.name,p),a.moduleSpecifier,a.attributes);t.replaceNode(r,a,g)}}}var K6e="convertTypedefToType",Cot=[k.JSDoc_typedef_may_be_converted_to_TypeScript_type.code];Na({fixIds:[K6e],errorCodes:Cot,getCodeActions(t){const r=rC(t.host,t.formatContext.options),a=Rs(t.sourceFile,t.span.start);if(!a)return;const u=er.ChangeTracker.with(t,h=>Sot(h,a,t.sourceFile,r));if(u.length>0)return[ua(K6e,u,k.Convert_typedef_to_TypeScript_type,K6e,k.Convert_all_typedef_to_TypeScript_types)]},getAllCodeActions:t=>qc(t,Cot,(r,a)=>{const u=rC(t.host,t.formatContext.options),h=Rs(a.file,a.start);h&&Sot(r,h,a.file,u,!0)})});function Sot(t,r,a,u,h=!1){if(!MI(r))return;const p=uVt(r);if(!p)return;const g=r.parent,{leftSibling:C,rightSibling:b}=lVt(r);let T=g.getStart(),E="";!C&&g.comment&&(T=wot(g,g.getStart(),r.getStart()),E=`${u} */${u}`),C&&(h&&MI(C)?(T=r.getStart(),E=""):(T=wot(g,C.getStart(),r.getStart()),E=`${u} */${u}`));let N=g.getEnd(),R="";b&&(h&&MI(b)?(N=b.getStart(),R=`${u}${u}`):(N=b.getStart(),R=`${u}/**${u} * `)),t.replaceRange(a,{pos:T,end:N},p,{prefix:E,suffix:R})}function lVt(t){const r=t.parent,a=r.getChildCount()-1,u=r.getChildren().findIndex(g=>g.getStart()===t.getStart()&&g.getEnd()===t.getEnd()),h=u>0?r.getChildAt(u-1):void 0,p=u<a?r.getChildAt(u+1):void 0;return{leftSibling:h,rightSibling:p}}function wot(t,r,a){const u=t.getText().substring(r-t.getStart(),a-t.getStart());for(let h=u.length;h>0;h--)if(!/[*/\s]/.test(u.substring(h-1,h)))return r+h;return a}function uVt(t){var r;const{typeExpression:a}=t;if(!a)return;const u=(r=t.name)==null?void 0:r.getText();if(u){if(a.kind===322)return dVt(u,a);if(a.kind===309)return fVt(u,a)}}function dVt(t,r){const a=xot(r);if(Ft(a))return G.createInterfaceDeclaration(void 0,t,void 0,void 0,a)}function fVt(t,r){const a=Ec(r.type);if(a)return G.createTypeAliasDeclaration(void 0,G.createIdentifier(t),void 0,a)}function xot(t){const r=t.jsDocPropertyTags;return Ft(r)?es(r,u=>{var h;const p=hVt(u),g=(h=u.typeExpression)==null?void 0:h.type,C=u.isBracketed;let b;if(g&&OI(g)){const T=xot(g);b=G.createTypeLiteralNode(T)}else g&&(b=Ec(g));if(b&&p){const T=C?G.createToken(58):void 0;return G.createPropertySignature(void 0,p,T,b)}}):void 0}function hVt(t){return t.name.kind===80?t.name.text:t.name.right.text}function _Vt(t){return jp(t)?Rr(t.jsDoc,r=>{var a;return(a=r.tags)==null?void 0:a.filter(u=>MI(u))}):[]}var X6e="convertLiteralTypeToMappedType",kot=[k._0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Did_you_mean_to_use_1_in_0.code];Na({errorCodes:kot,getCodeActions:function(r){const{sourceFile:a,span:u}=r,h=Tot(a,u.start);if(!h)return;const{name:p,constraint:g}=h,C=er.ChangeTracker.with(r,b=>Dot(b,a,h));return[ua(X6e,C,[k.Convert_0_to_1_in_0,g,p],X6e,k.Convert_all_type_literals_to_mapped_type)]},fixIds:[X6e],getAllCodeActions:t=>qc(t,kot,(r,a)=>{const u=Tot(a.file,a.start);u&&Dot(r,a.file,u)})});function Tot(t,r){const a=Rs(t,r);if(ot(a)){const u=ha(a.parent.parent,O_),h=a.getText(t);return{container:ha(u.parent,a_),typeNode:u.type,constraint:h,name:h==="K"?"P":"K"}}}function Dot(t,r,{container:a,typeNode:u,constraint:h,name:p}){t.replaceNode(r,a,G.createMappedTypeNode(void 0,G.createTypeParameterDeclaration(void 0,p,G.createTypeReferenceNode(h)),void 0,void 0,u,void 0))}var Eot=[k.Class_0_incorrectly_implements_interface_1.code,k.Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclass.code],Q6e="fixClassIncorrectlyImplementsInterface";Na({errorCodes:Eot,getCodeActions(t){const{sourceFile:r,span:a}=t,u=Iot(r,a.start);return es(i3(u),h=>{const p=er.ChangeTracker.with(t,g=>Lot(t,h,r,u,g,t.preferences));return p.length===0?void 0:ua(Q6e,p,[k.Implement_interface_0,h.getText(r)],Q6e,k.Implement_all_unimplemented_interfaces)})},fixIds:[Q6e],getAllCodeActions(t){const r=new Map;return qc(t,Eot,(a,u)=>{const h=Iot(u.file,u.start);if(Pg(r,Sc(h)))for(const p of i3(h))Lot(t,p,u.file,h,a,t.preferences)})}});function Iot(t,r){return j.checkDefined(Cf(Rs(t,r)),"There should be a containing class")}function Not(t){return!t.valueDeclaration||!(jh(t.valueDeclaration)&2)}function Lot(t,r,a,u,h,p){const g=t.program.getTypeChecker(),C=pVt(u,g),b=g.getTypeAtLocation(r),E=g.getPropertiesOfType(b).filter(jJ(Not,q=>!C.has(q.escapedName))),N=g.getTypeAtLocation(u),R=Fe(u.members,q=>iu(q));N.getNumberIndexType()||H(b,1),N.getStringIndexType()||H(b,0);const F=kD(a,t.program,p,t.host);z3e(u,E,a,t,p,F,q=>U(a,u,q)),F.writeFixes(h);function H(q,X){const Z=g.getIndexInfoOfType(q,X);Z&&U(a,u,g.indexInfoToIndexSignatureDeclaration(Z,u,void 0,void 0,lO(t)))}function U(q,X,Z){R?h.insertNodeAfter(q,R,Z):h.insertMemberAtStart(q,X,Z)}}function pVt(t,r){const a=L1(t);if(!a)return _a();const u=r.getTypeAtLocation(a),h=r.getPropertiesOfType(u);return _a(h.filter(Not))}var Pot="import",Aot="fixMissingImport",Oot=[k.Cannot_find_name_0.code,k.Cannot_find_name_0_Did_you_mean_1.code,k.Cannot_find_name_0_Did_you_mean_the_instance_member_this_0.code,k.Cannot_find_name_0_Did_you_mean_the_static_member_1_0.code,k.Cannot_find_namespace_0.code,k._0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead.code,k._0_only_refers_to_a_type_but_is_being_used_as_a_value_here.code,k.No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer.code,k._0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type.code,k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery.code,k.Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_1_or_later.code,k.Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_include_dom.code,k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha_and_then_add_jest_or_mocha_to_the_types_field_in_your_tsconfig.code,k.Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function.code,k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery_and_then_add_jquery_to_the_types_field_in_your_tsconfig.code,k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha.code,k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode.code,k.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode_and_then_add_node_to_the_types_field_in_your_tsconfig.code,k.Cannot_find_namespace_0_Did_you_mean_1.code];Na({errorCodes:Oot,getCodeActions(t){const{errorCode:r,preferences:a,sourceFile:u,span:h,program:p}=t,g=Hot(t,r,h.start,!0);if(g)return g.map(({fix:C,symbolName:b,errorIdentifierText:T})=>Y6e(t,u,b,C,b!==T,p,a))},fixIds:[Aot],getAllCodeActions:t=>{const{sourceFile:r,program:a,preferences:u,host:h,cancellationToken:p}=t,g=Mot(r,a,!0,u,h,p);return yA(t,Oot,C=>g.addImportFromDiagnostic(C,t)),gA(er.ChangeTracker.with(t,g.writeFixes))}});function kD(t,r,a,u,h){return Mot(t,r,!1,a,u,h)}function Mot(t,r,a,u,h,p){const g=r.getCompilerOptions(),C=[],b=[],T=new Map,E=new Set,N=new Set,R=new Map;return{addImportFromDiagnostic:U,addImportFromExportedSymbol:q,writeFixes:re,hasFixes:pe,addImportForUnresolvedIdentifier:H,addImportForNonExistentExport:X,removeExistingImport:Z,addVerbatimImport:F};function F(de){N.add(de)}function H(de,ue,Ie){const we=TVt(de,ue,Ie);!we||!we.length||Q(ya(we))}function U(de,ue){const Ie=Hot(ue,de.code,de.start,a);!Ie||!Ie.length||Q(ya(Ie))}function q(de,ue,Ie){var we,he;const Ae=j.checkDefined(de.parent),ke=zZ(de,Ja(g)),Te=r.getTypeChecker(),De=Te.getMergedSymbol(Vf(de,Te)),qe=Bot(t,De,ke,Ae,!1,r,h,u,p);if(!qe){j.assert((we=u.autoImportFileExcludePatterns)==null?void 0:we.length);return}const se=yY(t,r);let Ne=Rot(t,qe,r,void 0,!!ue,se,h,u);if(Ne){const Oe=((he=Jr(Ie==null?void 0:Ie.name,ot))==null?void 0:he.text)??ke;Ie&&v8(Ie)&&(Ne.kind===3||Ne.kind===2)&&Ne.addAsTypeOnly===1&&(Ne={...Ne,addAsTypeOnly:2}),Q({fix:Ne,symbolName:Oe??ke,errorIdentifierText:void 0})}}function X(de,ue,Ie,we,he){const Ae=r.getSourceFile(ue),ke=yY(t,r);if(Ae&&Ae.symbol){const{fixes:Te}=gY([{exportKind:Ie,isFromPackageJson:!1,moduleFileName:ue,moduleSymbol:Ae.symbol,targetFlags:we}],void 0,he,ke,r,t,h,u);Te.length&&Q({fix:Te[0],symbolName:de,errorIdentifierText:de})}else{const Te=GZ(ue,99,r,h),De=wS.getLocalModuleSpecifierBetweenFileNames(t,ue,g,dA(r,h),u),qe=v_e(Te,Ie,r),se=y_e(he,!0,void 0,we,r.getTypeChecker(),g);Q({fix:{kind:3,moduleSpecifierKind:"relative",moduleSpecifier:De,importKind:qe,addAsTypeOnly:se,useRequire:ke},symbolName:de,errorIdentifierText:de})}}function Z(de){de.kind===273&&j.assertIsDefined(de.name,"ImportClause should have a name if it's being removed"),E.add(de)}function Q(de){var ue,Ie;const{fix:we,symbolName:he}=de;switch(we.kind){case 0:C.push(we);break;case 1:b.push(we);break;case 2:{const{importClauseOrBindingPattern:De,importKind:qe,addAsTypeOnly:se}=we;let Ne=T.get(De);if(Ne||T.set(De,Ne={importClauseOrBindingPattern:De,defaultImport:void 0,namedImports:new Map}),qe===0){const Oe=Ne==null?void 0:Ne.namedImports.get(he);Ne.namedImports.set(he,Ae(Oe,se))}else j.assert(Ne.defaultImport===void 0||Ne.defaultImport.name===he,"(Add to Existing) Default import should be missing or match symbolName"),Ne.defaultImport={name:he,addAsTypeOnly:Ae((ue=Ne.defaultImport)==null?void 0:ue.addAsTypeOnly,se)};break}case 3:{const{moduleSpecifier:De,importKind:qe,useRequire:se,addAsTypeOnly:Ne}=we,Oe=ke(De,qe,se,Ne);switch(j.assert(Oe.useRequire===se,"(Add new) Tried to add an `import` and a `require` for the same module"),qe){case 1:j.assert(Oe.defaultImport===void 0||Oe.defaultImport.name===he,"(Add new) Default import should be missing or match symbolName"),Oe.defaultImport={name:he,addAsTypeOnly:Ae((Ie=Oe.defaultImport)==null?void 0:Ie.addAsTypeOnly,Ne)};break;case 0:const He=(Oe.namedImports||(Oe.namedImports=new Map)).get(he);Oe.namedImports.set(he,Ae(He,Ne));break;case 3:if(g.verbatimModuleSyntax){const Pe=(Oe.namedImports||(Oe.namedImports=new Map)).get(he);Oe.namedImports.set(he,Ae(Pe,Ne))}else j.assert(Oe.namespaceLikeImport===void 0||Oe.namespaceLikeImport.name===he,"Namespacelike import shoudl be missing or match symbolName"),Oe.namespaceLikeImport={importKind:qe,name:he,addAsTypeOnly:Ne};break;case 2:j.assert(Oe.namespaceLikeImport===void 0||Oe.namespaceLikeImport.name===he,"Namespacelike import shoudl be missing or match symbolName"),Oe.namespaceLikeImport={importKind:qe,name:he,addAsTypeOnly:Ne};break}break}case 4:break;default:j.assertNever(we,`fix wasn't never - got kind ${we.kind}`)}function Ae(De,qe){return Math.max(De??0,qe)}function ke(De,qe,se,Ne){const Oe=Te(De,!0),He=Te(De,!1),Pe=R.get(Oe),We=R.get(He),ze={defaultImport:void 0,namedImports:void 0,namespaceLikeImport:void 0,useRequire:se};return qe===1&&Ne===2?Pe||(R.set(Oe,ze),ze):Ne===1&&(Pe||We)?Pe||We:We||(R.set(He,ze),ze)}function Te(De,qe){return`${qe?1:0}|${De}`}}function re(de,ue){var Ie,we;let he;Fy(t)&&t.imports.length===0&&ue!==void 0?he=ue:he=$p(t,u);for(const Te of C)e3e(de,t,Te);for(const Te of b)Xot(de,t,Te,he);let Ae;if(E.size){j.assert(Fy(t),"Cannot remove imports from a future source file");const Te=new Set(es([...E],Oe=>Qi(Oe,du))),De=new Set(es([...E],Oe=>Qi(Oe,fV))),qe=[...Te].filter(Oe=>{var He,Pe,We;return!T.has(Oe.importClause)&&(!((He=Oe.importClause)!=null&&He.name)||E.has(Oe.importClause))&&(!Jr((Pe=Oe.importClause)==null?void 0:Pe.namedBindings,Jv)||E.has(Oe.importClause.namedBindings))&&(!Jr((We=Oe.importClause)==null?void 0:We.namedBindings,j1)||Ce(Oe.importClause.namedBindings.elements,ze=>E.has(ze)))}),se=[...De].filter(Oe=>(Oe.name.kind!==206||!T.has(Oe.name))&&(Oe.name.kind!==206||Ce(Oe.name.elements,He=>E.has(He)))),Ne=[...Te].filter(Oe=>{var He,Pe;return((He=Oe.importClause)==null?void 0:He.namedBindings)&&qe.indexOf(Oe)===-1&&!((Pe=T.get(Oe.importClause))!=null&&Pe.namedImports)&&(Oe.importClause.namedBindings.kind===274||Ce(Oe.importClause.namedBindings.elements,We=>E.has(We)))});for(const Oe of[...qe,...se])de.delete(t,Oe);for(const Oe of Ne)de.replaceNode(t,Oe.importClause,G.updateImportClause(Oe.importClause,Oe.importClause.isTypeOnly,Oe.importClause.name,void 0));for(const Oe of E){const He=Qi(Oe,du);He&&qe.indexOf(He)===-1&&Ne.indexOf(He)===-1?Oe.kind===273?de.delete(t,Oe.name):(j.assert(Oe.kind===276,"NamespaceImport should have been handled earlier"),(Ie=T.get(He.importClause))!=null&&Ie.namedImports?(Ae??(Ae=new Set)).add(Oe):de.delete(t,Oe)):Oe.kind===208?(we=T.get(Oe.parent))!=null&&we.namedImports?(Ae??(Ae=new Set)).add(Oe):de.delete(t,Oe):Oe.kind===271&&de.delete(t,Oe)}}T.forEach(({importClauseOrBindingPattern:Te,defaultImport:De,namedImports:qe})=>{Kot(de,t,Te,De,as(qe.entries(),([se,Ne])=>({addAsTypeOnly:Ne,name:se})),Ae,u)});let ke;R.forEach(({useRequire:Te,defaultImport:De,namedImports:qe,namespaceLikeImport:se},Ne)=>{const Oe=Ne.slice(2),Pe=(Te?Yot:Zot)(Oe,he,De,qe&&as(qe.entries(),([We,ze])=>({addAsTypeOnly:ze,name:We})),se,g,u);ke=rS(ke,Pe)}),ke=rS(ke,oe()),ke&&Gfe(de,t,ke,!0,u)}function oe(){if(!N.size)return;const de=new Set(es([...N],Ie=>Qi(Ie,du))),ue=new Set(es([...N],Ie=>Qi(Ie,hV)));return[...es([...N],Ie=>Ie.kind===271?Ec(Ie,!0):void 0),...[...de].map(Ie=>{var we;return N.has(Ie)?Ec(Ie,!0):Ec(G.updateImportDeclaration(Ie,Ie.modifiers,Ie.importClause&&G.updateImportClause(Ie.importClause,Ie.importClause.isTypeOnly,N.has(Ie.importClause)?Ie.importClause.name:void 0,N.has(Ie.importClause.namedBindings)?Ie.importClause.namedBindings:(we=Jr(Ie.importClause.namedBindings,j1))!=null&&we.elements.some(he=>N.has(he))?G.updateNamedImports(Ie.importClause.namedBindings,Ie.importClause.namedBindings.elements.filter(he=>N.has(he))):void 0),Ie.moduleSpecifier,Ie.attributes),!0)}),...[...ue].map(Ie=>N.has(Ie)?Ec(Ie,!0):Ec(G.updateVariableStatement(Ie,Ie.modifiers,G.updateVariableDeclarationList(Ie.declarationList,es(Ie.declarationList.declarations,we=>N.has(we)?we:G.updateVariableDeclaration(we,we.name.kind===206?G.updateObjectBindingPattern(we.name,we.name.elements.filter(he=>N.has(he))):we.name,we.exclamationToken,we.type,we.initializer)))),!0))]}function pe(){return C.length>0||b.length>0||T.size>0||R.size>0||N.size>0||E.size>0}}function mVt(t,r,a,u){const h=nO(t,u,a),p=Wot(t,r);return{getModuleSpecifierForBestExportInfo:g};function g(C,b,T,E){const{fixes:N,computedWithoutCacheCount:R}=gY(C,b,T,!1,r,t,a,u,p,E),F=zot(N,t,r,h,a,u);return F&&{...F,computedWithoutCacheCount:R}}}function gVt(t,r,a,u,h,p,g,C,b,T,E,N){let R;a?(R=Pj(u,g,C,E,N).get(u.path,a),j.assertIsDefined(R,"Some exportInfo should match the specified exportMapKey")):(R=Loe(zm(r.name))?[vVt(t,h,r,C,g)]:Bot(u,t,h,r,p,C,g,E,N),j.assertIsDefined(R,"Some exportInfo should match the specified symbol / moduleSymbol"));const F=yY(u,C),H=Yk(Rs(u,T)),U=j.checkDefined(Rot(u,R,C,T,H,F,g,E));return{moduleSpecifier:U.moduleSpecifier,codeAction:Fot(Y6e({host:g,formatContext:b,preferences:E},u,h,U,!1,C,E))}}function yVt(t,r,a,u,h,p){const g=a.getCompilerOptions(),C=$L(Z6e(t,a.getTypeChecker(),r,g)),b=Jot(t,r,C,a),T=C!==r.text;return b&&Fot(Y6e({host:u,formatContext:h,preferences:p},t,C,b,T,a,p))}function Rot(t,r,a,u,h,p,g,C){const b=nO(t,C,g);return zot(gY(r,u,h,p,a,t,g,C).fixes,t,a,b,g,C)}function Fot({description:t,changes:r,commands:a}){return{description:t,changes:r,commands:a}}function Bot(t,r,a,u,h,p,g,C,b){const T=Vot(p,g),E=C.autoImportFileExcludePatterns&&WAe(g,C),N=p.getTypeChecker().getMergedSymbol(u),R=E&&N.declarations&&ql(N,307),F=R&&E(R);return Pj(t,g,p,C,b).search(t.path,h,H=>H===a,H=>{if(T(H[0].isFromPackageJson).getMergedSymbol(Vf(H[0].symbol,T(H[0].isFromPackageJson)))===r&&(F||H.some(U=>U.moduleSymbol===u||U.symbol.parent===u)))return H})}function vVt(t,r,a,u,h){var p,g;const C=T(u.getTypeChecker(),!1);if(C)return C;const b=(g=(p=h.getPackageJsonAutoImportProvider)==null?void 0:p.call(h))==null?void 0:g.getTypeChecker();return j.checkDefined(b&&T(b,!0),"Could not find symbol in specified module for code actions");function T(E,N){const R=KZ(a,E);if(R&&Vf(R.symbol,E)===t)return{symbol:R.symbol,moduleSymbol:a,moduleFileName:void 0,exportKind:R.exportKind,targetFlags:Vf(t,E).flags,isFromPackageJson:N};const F=E.tryGetMemberInModuleExportsAndProperties(r,a);if(F&&Vf(F,E)===t)return{symbol:F,moduleSymbol:a,moduleFileName:void 0,exportKind:0,targetFlags:Vf(t,E).flags,isFromPackageJson:N}}}function gY(t,r,a,u,h,p,g,C,b=Fy(p)?Wot(p,h):void 0,T){const E=h.getTypeChecker(),N=b?Rr(t,b.getImportsForExportInfo):w,R=r!==void 0&&bVt(N,r),F=SVt(N,a,E,h.getCompilerOptions());if(F)return{computedWithoutCacheCount:0,fixes:[...R?[R]:w,F]};const{fixes:H,computedWithoutCacheCount:U=0}=xVt(t,N,h,p,r,a,u,g,C,T);return{computedWithoutCacheCount:U,fixes:[...R?[R]:w,...H]}}function bVt(t,r){return J(t,({declaration:a,importKind:u})=>{var h;if(u!==0)return;const p=CVt(a),g=p&&((h=Z6(a))==null?void 0:h.text);if(g)return{kind:0,namespacePrefix:p,usagePosition:r,moduleSpecifierKind:void 0,moduleSpecifier:g}})}function CVt(t){var r,a,u;switch(t.kind){case 260:return(r=Jr(t.name,ot))==null?void 0:r.text;case 271:return t.name.text;case 351:case 272:return(u=Jr((a=t.importClause)==null?void 0:a.namedBindings,Jv))==null?void 0:u.name.text;default:return j.assertNever(t)}}function y_e(t,r,a,u,h,p){return t?a&&p.verbatimModuleSyntax&&(!(u&111551)||h.getTypeOnlyAliasDeclaration(a))?2:1:4}function SVt(t,r,a,u){let h;for(const g of t){const C=p(g);if(!C)continue;const b=v8(C.importClauseOrBindingPattern);if(C.addAsTypeOnly!==4&&b||C.addAsTypeOnly===4&&!b)return C;h??(h=C)}return h;function p({declaration:g,importKind:C,symbol:b,targetFlags:T}){if(C===3||C===2||g.kind===271)return;if(g.kind===260)return(C===0||C===1)&&g.name.kind===206?{kind:2,importClauseOrBindingPattern:g.name,importKind:C,moduleSpecifierKind:void 0,moduleSpecifier:g.initializer.arguments[0].text,addAsTypeOnly:4}:void 0;const{importClause:E}=g;if(!E||!Bc(g.moduleSpecifier))return;const{name:N,namedBindings:R}=E;if(E.isTypeOnly&&!(C===0&&R))return;const F=y_e(r,!1,b,T,a,u);if(!(C===1&&(N||F===2&&R))&&!(C===0&&(R==null?void 0:R.kind)===274))return{kind:2,importClauseOrBindingPattern:E,importKind:C,moduleSpecifierKind:void 0,moduleSpecifier:g.moduleSpecifier.text,addAsTypeOnly:F}}}function Wot(t,r){const a=r.getTypeChecker();let u;for(const h of t.imports){const p=O8(h);if(fV(p.parent)){const g=a.resolveExternalModuleName(h);g&&(u||(u=Of())).add(Ba(g),p.parent)}else if(p.kind===272||p.kind===271||p.kind===351){const g=a.getSymbolAtLocation(h);g&&(u||(u=Of())).add(Ba(g),p)}}return{getImportsForExportInfo:({moduleSymbol:h,exportKind:p,targetFlags:g,symbol:C})=>{const b=u==null?void 0:u.get(Ba(h));if(!b||r_(t)&&!(g&111551)&&!Ce(b,q1))return w;const T=v_e(t,p,r);return b.map(E=>({declaration:E,importKind:T,symbol:C,targetFlags:g}))}}}function yY(t,r){if(!Uv(t.fileName))return!1;if(t.commonJsModuleIndicator&&!t.externalModuleIndicator)return!0;if(t.externalModuleIndicator&&!t.commonJsModuleIndicator)return!1;const a=r.getCompilerOptions();if(a.configFile)return zh(a)<5;if(i3e(t,r)===1)return!0;if(i3e(t,r)===99)return!1;for(const u of r.getSourceFiles())if(!(u===t||!r_(u)||r.isSourceFileFromExternalLibrary(u))){if(u.commonJsModuleIndicator&&!u.externalModuleIndicator)return!0;if(u.externalModuleIndicator&&!u.commonJsModuleIndicator)return!1}return!0}function Vot(t,r){return x1(a=>a?r.getPackageJsonAutoImportProvider().getTypeChecker():t.getTypeChecker())}function wVt(t,r,a,u,h,p,g,C,b){const T=Uv(r.fileName),E=t.getCompilerOptions(),N=dA(t,g),R=Vot(t,g),F=yh(E),H=IZ(F),U=b?Z=>wS.tryGetModuleSpecifiersFromCache(Z.moduleSymbol,r,N,C):(Z,Q)=>wS.getModuleSpecifiersWithCacheInfo(Z.moduleSymbol,Q,E,r,N,C,void 0,!0);let q=0;const X=Rr(p,(Z,Q)=>{const re=R(Z.isFromPackageJson),{computedWithoutCache:oe,moduleSpecifiers:pe,kind:de}=U(Z,re)??{},ue=!!(Z.targetFlags&111551),Ie=y_e(u,!0,Z.symbol,Z.targetFlags,re,E);return q+=oe?1:0,es(pe,we=>{if(H&&yx(we))return;if(!ue&&T&&a!==void 0)return{kind:1,moduleSpecifierKind:de,moduleSpecifier:we,usagePosition:a,exportInfo:Z,isReExport:Q>0};const he=v_e(r,Z.exportKind,t);let Ae;if(a!==void 0&&he===3&&Z.exportKind===0){const ke=re.resolveExternalModuleSymbol(Z.moduleSymbol);let Te;ke!==Z.moduleSymbol&&(Te=XZ(ke,re,Ja(E),hl)),Te||(Te=Ej(Z.moduleSymbol,Ja(E),!1)),Ae={namespacePrefix:Te,usagePosition:a}}return{kind:3,moduleSpecifierKind:de,moduleSpecifier:we,importKind:he,useRequire:h,addAsTypeOnly:Ie,exportInfo:Z,isReExport:Q>0,qualification:Ae}})});return{computedWithoutCacheCount:q,fixes:X}}function xVt(t,r,a,u,h,p,g,C,b,T){const E=J(r,N=>kVt(N,p,g,a.getTypeChecker(),a.getCompilerOptions()));return E?{fixes:[E]}:wVt(a,u,h,p,g,t,C,b,T)}function kVt({declaration:t,importKind:r,symbol:a,targetFlags:u},h,p,g,C){var b;const T=(b=Z6(t))==null?void 0:b.text;if(T){const E=p?4:y_e(h,!0,a,u,g,C);return{kind:3,moduleSpecifierKind:void 0,moduleSpecifier:T,importKind:r,addAsTypeOnly:E,useRequire:p}}}function Hot(t,r,a,u){const h=Rs(t.sourceFile,a);let p;if(r===k._0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead.code)p=NVt(t,h);else if(ot(h))if(r===k._0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type.code){const C=$L(Z6e(t.sourceFile,t.program.getTypeChecker(),h,t.program.getCompilerOptions())),b=Jot(t.sourceFile,h,C,t.program);return b&&[{fix:b,symbolName:C,errorIdentifierText:h.text}]}else p=$ot(t,h,u);else return;const g=nO(t.sourceFile,t.preferences,t.host);return p&&jot(p,t.sourceFile,t.program,g,t.host,t.preferences)}function jot(t,r,a,u,h,p){const g=C=>ol(C,h.getCurrentDirectory(),hS(h));return Mu(t,(C,b)=>Ak(!!C.isJsxNamespaceFix,!!b.isJsxNamespaceFix)||_l(C.fix.kind,b.fix.kind)||Uot(C.fix,b.fix,r,a,p,u.allowsImportingSpecifier,g))}function TVt(t,r,a){const u=$ot(t,r,a),h=nO(t.sourceFile,t.preferences,t.host);return u&&jot(u,t.sourceFile,t.program,h,t.host,t.preferences)}function zot(t,r,a,u,h,p){if(Ft(t))return t[0].kind===0||t[0].kind===2?t[0]:t.reduce((g,C)=>Uot(C,g,r,a,p,u.allowsImportingSpecifier,b=>ol(b,h.getCurrentDirectory(),hS(h)))===-1?C:g)}function Uot(t,r,a,u,h,p,g){return t.kind!==0&&r.kind!==0?Ak(r.moduleSpecifierKind!=="node_modules"||p(r.moduleSpecifier),t.moduleSpecifierKind!=="node_modules"||p(t.moduleSpecifier))||DVt(t,r,h)||IVt(t.moduleSpecifier,r.moduleSpecifier,a,u)||Ak(qot(t,a.path,g),qot(r,a.path,g))||ZV(t.moduleSpecifier,r.moduleSpecifier):0}function DVt(t,r,a){return a.importModuleSpecifierPreference==="non-relative"||a.importModuleSpecifierPreference==="project-relative"?Ak(t.moduleSpecifierKind==="relative",r.moduleSpecifierKind==="relative"):0}function qot(t,r,a){var u;if(t.isReExport&&((u=t.exportInfo)!=null&&u.moduleFileName)&&EVt(t.exportInfo.moduleFileName)){const h=a(ts(t.exportInfo.moduleFileName));return so(r,h)}return!1}function EVt(t){return ud(t,[".js",".jsx",".d.ts",".ts",".tsx"],!0)==="index"}function IVt(t,r,a,u){return so(t,"node:")&&!so(r,"node:")?qZ(a,u)?-1:1:so(r,"node:")&&!so(t,"node:")?qZ(a,u)?1:-1:0}function NVt({sourceFile:t,program:r,host:a,preferences:u},h){const p=r.getTypeChecker(),g=LVt(h,p);if(!g)return;const C=p.getAliasedSymbol(g),b=g.name,T=[{symbol:g,moduleSymbol:C,moduleFileName:void 0,exportKind:3,targetFlags:C.flags,isFromPackageJson:!1}],E=yY(t,r);return gY(T,void 0,!1,E,r,t,a,u).fixes.map(R=>{var F;return{fix:R,symbolName:b,errorIdentifierText:(F=Jr(h,ot))==null?void 0:F.text}})}function LVt(t,r){const a=ot(t)?r.getSymbolAtLocation(t):void 0;if(IK(a))return a;const{parent:u}=t;if(oh(u)&&u.tagName===t||AI(u)){const h=r.resolveName(r.getJsxNamespace(u),oh(u)?t:u,111551,!1);if(IK(h))return h}}function v_e(t,r,a,u){if(a.getCompilerOptions().verbatimModuleSyntax&&BVt(t,a)===1)return 3;switch(r){case 0:return 0;case 1:return 1;case 2:return MVt(t,a.getCompilerOptions(),!!u);case 3:return PVt(t,a,!!u);default:return j.assertNever(r)}}function PVt(t,r,a){if(EP(r.getCompilerOptions()))return 1;const u=zh(r.getCompilerOptions());switch(u){case 2:case 1:case 3:return Uv(t.fileName)&&(t.externalModuleIndicator||a)?2:3;case 4:case 5:case 6:case 7:case 99:case 0:case 200:return 2;case 100:case 199:return i3e(t,r)===99?2:3;default:return j.assertNever(u,`Unexpected moduleKind ${u}`)}}function $ot({sourceFile:t,program:r,cancellationToken:a,host:u,preferences:h},p,g){const C=r.getTypeChecker(),b=r.getCompilerOptions();return Rr(Z6e(t,C,p,b),T=>{if(T==="default")return;const E=Yk(p),N=yY(t,r),R=OVt(T,X6(p),zI(p),a,t,r,g,u,h);return as($d(R.values(),F=>gY(F,p.getStart(t),E,N,r,t,u,h).fixes),F=>({fix:F,symbolName:T,errorIdentifierText:p.text,isJsxNamespaceFix:T!==p.text}))})}function Jot(t,r,a,u){const h=u.getTypeChecker(),p=h.resolveName(a,r,111551,!0);if(!p)return;const g=h.getTypeOnlyAliasDeclaration(p);if(!(!g||_n(g)!==t))return{kind:4,typeOnlyAliasDeclaration:g}}function Z6e(t,r,a,u){const h=a.parent;if((oh(h)||oD(h))&&h.tagName===a&&yhe(u.jsx)){const p=r.getJsxNamespace(t);if(AVt(p,a,r))return!s3(a.text)&&!r.resolveName(a.text,a,111551,!1)?[a.text,p]:[p]}return[a.text]}function AVt(t,r,a){if(s3(r.text))return!0;const u=a.resolveName(t,r,111551,!0);return!u||Ft(u.declarations,Kw)&&!(u.flags&111551)}function OVt(t,r,a,u,h,p,g,C,b){var T;const E=Of(),N=nO(h,b,C),R=(T=C.getModuleSpecifierCache)==null?void 0:T.call(C),F=x1(U=>dA(U?C.getPackageJsonAutoImportProvider():p,C));function H(U,q,X,Z,Q,re){const oe=F(re);if(q&&Che(Q,h,q,b,N,oe,R)||!q&&N.allowsImportingAmbientModule(U,oe)||Lj(h,zm(U.name))){const pe=Q.getTypeChecker();E.add(xAe(X,pe).toString(),{symbol:X,moduleSymbol:U,moduleFileName:q==null?void 0:q.fileName,exportKind:Z,targetFlags:Vf(X,pe).flags,isFromPackageJson:re})}}return She(p,C,b,g,(U,q,X,Z)=>{const Q=X.getTypeChecker();u.throwIfCancellationRequested();const re=X.getCompilerOptions(),oe=KZ(U,Q);oe&&tat(Q.getSymbolFlags(oe.symbol),a)&&XZ(oe.symbol,Q,Ja(re),(de,ue)=>(r?ue??de:de)===t)&&H(U,q,oe.symbol,oe.exportKind,X,Z);const pe=Q.tryGetMemberInModuleExportsAndProperties(t,U);pe&&tat(Q.getSymbolFlags(pe),a)&&H(U,q,pe,0,X,Z)}),E}function MVt(t,r,a){const u=EP(r),h=Uv(t.fileName);if(!h&&zh(r)>=5)return u?1:2;if(h)return t.externalModuleIndicator||a?u?1:2:3;for(const p of t.statements??w)if(Wd(p)&&!lu(p.moduleReference))return 3;return u?1:3}function Y6e(t,r,a,u,h,p,g){let C;const b=er.ChangeTracker.with(t,T=>{C=RVt(T,r,a,u,h,p,g)});return ua(Pot,b,C,Aot,k.Add_all_missing_imports)}function RVt(t,r,a,u,h,p,g){const C=$p(r,g);switch(u.kind){case 0:return e3e(t,r,u),[k.Change_0_to_1,a,`${u.namespacePrefix}.${a}`];case 1:return Xot(t,r,u,C),[k.Change_0_to_1,a,Qot(u.moduleSpecifier,C)+a];case 2:{const{importClauseOrBindingPattern:b,importKind:T,addAsTypeOnly:E,moduleSpecifier:N}=u;Kot(t,r,b,T===1?{name:a,addAsTypeOnly:E}:void 0,T===0?[{name:a,addAsTypeOnly:E}]:w,void 0,g);const R=zm(N);return h?[k.Import_0_from_1,a,R]:[k.Update_import_from_0,R]}case 3:{const{importKind:b,moduleSpecifier:T,addAsTypeOnly:E,useRequire:N,qualification:R}=u,F=N?Yot:Zot,H=b===1?{name:a,addAsTypeOnly:E}:void 0,U=b===0?[{name:a,addAsTypeOnly:E}]:void 0,q=b===2||b===3?{importKind:b,name:(R==null?void 0:R.namespacePrefix)||a,addAsTypeOnly:E}:void 0;return Gfe(t,r,F(T,C,H,U,q,p.getCompilerOptions(),g),!0,g),R&&e3e(t,r,R),h?[k.Import_0_from_1,a,T]:[k.Add_import_from_0,T]}case 4:{const{typeOnlyAliasDeclaration:b}=u,T=FVt(t,b,p,r,g);return T.kind===276?[k.Remove_type_from_import_of_0_from_1,a,Got(T.parent.parent)]:[k.Remove_type_from_import_declaration_from_0,Got(T)]}default:return j.assertNever(u,`Unexpected fix kind ${u.kind}`)}}function Got(t){var r,a;return t.kind===271?((a=Jr((r=Jr(t.moduleReference,eC))==null?void 0:r.expression,Bc))==null?void 0:a.text)||t.moduleReference.getText():ha(t.parent.moduleSpecifier,Ha).text}function FVt(t,r,a,u,h){const p=a.getCompilerOptions(),g=p.verbatimModuleSyntax;switch(r.kind){case 276:if(r.isTypeOnly){if(r.parent.elements.length>1){const b=G.updateImportSpecifier(r,!1,r.propertyName,r.name),{specifierComparer:T}=w2.getNamedImportSpecifierComparerWithDetection(r.parent.parent.parent,h,u),E=w2.getImportSpecifierInsertionIndex(r.parent.elements,b,T);if(E!==r.parent.elements.indexOf(r))return t.delete(u,r),t.insertImportSpecifierAtIndex(u,b,r.parent,E),r}return t.deleteRange(u,{pos:ex(r.getFirstToken()),end:ex(r.propertyName??r.name)}),r}else return j.assert(r.parent.parent.isTypeOnly),C(r.parent.parent),r.parent.parent;case 273:return C(r),r;case 274:return C(r.parent),r.parent;case 271:return t.deleteRange(u,r.getChildAt(1)),r;default:j.failBadSyntaxKind(r)}function C(b){var T;if(t.delete(u,Kfe(b,u)),!p.allowImportingTsExtensions){const E=Z6(b.parent),N=E&&((T=a.getResolvedModuleFromModuleSpecifier(E,u))==null?void 0:T.resolvedModule);if(N!=null&&N.resolvedUsingTsExtension){const R=wW(E.text,DQ(E.text,p));t.replaceNode(u,E,G.createStringLiteral(R))}}if(g){const E=Jr(b.namedBindings,j1);if(E&&E.elements.length>1){w2.getNamedImportSpecifierComparerWithDetection(b.parent,h,u).isSorted!==!1&&r.kind===276&&E.elements.indexOf(r)!==0&&(t.delete(u,r),t.insertImportSpecifierAtIndex(u,r,E,0));for(const R of E.elements)R!==r&&!R.isTypeOnly&&t.insertModifierBefore(u,156,R)}}}}function Kot(t,r,a,u,h,p,g){var C;if(a.kind===206){if(p&&a.elements.some(N=>p.has(N))){t.replaceNode(r,a,G.createObjectBindingPattern([...a.elements.filter(N=>!p.has(N)),...u?[G.createBindingElement(void 0,"default",u.name)]:w,...h.map(N=>G.createBindingElement(void 0,void 0,N.name))]));return}u&&E(a,u.name,"default");for(const N of h)E(a,N.name,void 0);return}const b=a.isTypeOnly&&Ft([u,...h],N=>(N==null?void 0:N.addAsTypeOnly)===4),T=a.namedBindings&&((C=Jr(a.namedBindings,j1))==null?void 0:C.elements);if(u&&(j.assert(!a.name,"Cannot add a default import to an import clause that already has one"),t.insertNodeAt(r,a.getStart(r),G.createIdentifier(u.name),{suffix:", "})),h.length){const{specifierComparer:N,isSorted:R}=w2.getNamedImportSpecifierComparerWithDetection(a.parent,g,r),F=Mu(h.map(H=>G.createImportSpecifier((!a.isTypeOnly||b)&&b_e(H,g),void 0,G.createIdentifier(H.name))),N);if(p)t.replaceNode(r,a.namedBindings,G.updateNamedImports(a.namedBindings,Mu([...T.filter(H=>!p.has(H)),...F],N)));else if(T!=null&&T.length&&R!==!1){const H=b&&T?G.updateNamedImports(a.namedBindings,Wr(T,U=>G.updateImportSpecifier(U,!0,U.propertyName,U.name))).elements:T;for(const U of F){const q=w2.getImportSpecifierInsertionIndex(H,U,N);t.insertImportSpecifierAtIndex(r,U,a.namedBindings,q)}}else if(T!=null&&T.length)for(const H of F)t.insertNodeInListAfter(r,va(T),H,T);else if(F.length){const H=G.createNamedImports(F);a.namedBindings?t.replaceNode(r,a.namedBindings,H):t.insertNodeAfter(r,j.checkDefined(a.name,"Import clause must have either named imports or a default import"),H)}}if(b&&(t.delete(r,Kfe(a,r)),T))for(const N of T)t.insertModifierBefore(r,156,N);function E(N,R,F){const H=G.createBindingElement(void 0,F,R);N.elements.length?t.insertNodeInListAfter(r,va(N.elements),H):t.replaceNode(r,N,G.createObjectBindingPattern([H]))}}function e3e(t,r,{namespacePrefix:a,usagePosition:u}){t.insertText(r,u,a+".")}function Xot(t,r,{moduleSpecifier:a,usagePosition:u},h){t.insertText(r,u,Qot(a,h))}function Qot(t,r){const a=$fe(r);return`import(${a}${t}${a}).`}function t3e({addAsTypeOnly:t}){return t===2}function b_e(t,r){return t3e(t)||!!r.preferTypeOnlyAutoImports&&t.addAsTypeOnly!==4}function Zot(t,r,a,u,h,p,g){const C=l5(t,r);let b;if(a!==void 0||u!=null&&u.length){const T=(!a||t3e(a))&&Ce(u,t3e)||(p.verbatimModuleSyntax||g.preferTypeOnlyAutoImports)&&(a==null?void 0:a.addAsTypeOnly)!==4&&!Ft(u,E=>E.addAsTypeOnly===4);b=rS(b,Sx(a&&G.createIdentifier(a.name),u==null?void 0:u.map(E=>G.createImportSpecifier(!T&&b_e(E,g),void 0,G.createIdentifier(E.name))),t,r,T))}if(h){const T=h.importKind===3?G.createImportEqualsDeclaration(void 0,b_e(h,g),G.createIdentifier(h.name),G.createExternalModuleReference(C)):G.createImportDeclaration(void 0,G.createImportClause(b_e(h,g),void 0,G.createNamespaceImport(G.createIdentifier(h.name))),C,void 0);b=rS(b,T)}return j.checkDefined(b)}function Yot(t,r,a,u,h){const p=l5(t,r);let g;if(a||u!=null&&u.length){const C=(u==null?void 0:u.map(({name:T})=>G.createBindingElement(void 0,void 0,T)))||[];a&&C.unshift(G.createBindingElement(void 0,"default",a.name));const b=eat(G.createObjectBindingPattern(C),p);g=rS(g,b)}if(h){const C=eat(h.name,p);g=rS(g,C)}return j.checkDefined(g)}function eat(t,r){return G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(typeof t=="string"?G.createIdentifier(t):t,void 0,void 0,G.createCallExpression(G.createIdentifier("require"),void 0,[r]))],2))}function tat(t,r){return r===7?!0:r&1?!!(t&111551):r&2?!!(t&788968):r&4?!!(t&1920):!1}function i3e(t,r){return Fy(t)?r.getImpliedNodeFormatForEmit(t):jI(t,r.getCompilerOptions())}function BVt(t,r){return Fy(t)?r.getEmitModuleFormatOfFile(t):e5(t,r.getCompilerOptions())}var n3e="addMissingConstraint",iat=[k.Type_0_is_not_comparable_to_type_1.code,k.Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated.code,k.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties.code,k.Type_0_is_not_assignable_to_type_1.code,k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties.code,k.Property_0_is_incompatible_with_index_signature.code,k.Property_0_in_type_1_is_not_assignable_to_type_2.code,k.Type_0_does_not_satisfy_the_constraint_1.code];Na({errorCodes:iat,getCodeActions(t){const{sourceFile:r,span:a,program:u,preferences:h,host:p}=t,g=nat(u,r,a);if(g===void 0)return;const C=er.ChangeTracker.with(t,b=>rat(b,u,h,p,r,g));return[ua(n3e,C,k.Add_extends_constraint,n3e,k.Add_extends_constraint_to_all_type_parameters)]},fixIds:[n3e],getAllCodeActions:t=>{const{program:r,preferences:a,host:u}=t,h=new Map;return gA(er.ChangeTracker.with(t,p=>{yA(t,iat,g=>{const C=nat(r,g.file,Ff(g.start,g.length));if(C&&Pg(h,Sc(C.declaration)))return rat(p,r,a,u,g.file,C)})}))}});function nat(t,r,a){const u=Fe(t.getSemanticDiagnostics(r),g=>g.start===a.start&&g.length===a.length);if(u===void 0||u.relatedInformation===void 0)return;const h=Fe(u.relatedInformation,g=>g.code===k.This_type_parameter_might_need_an_extends_0_constraint.code);if(h===void 0||h.file===void 0||h.start===void 0||h.length===void 0)return;let p=X3e(h.file,Ff(h.start,h.length));if(p!==void 0&&(ot(p)&&$l(p.parent)&&(p=p.parent),$l(p))){if(II(p.parent))return;const g=Rs(r,a.start),C=t.getTypeChecker();return{constraint:VVt(C,g)||WVt(h.messageText),declaration:p,token:g}}}function rat(t,r,a,u,h,p){const{declaration:g,constraint:C}=p,b=r.getTypeChecker();if(Oo(C))t.insertText(h,g.name.end,` extends ${C}`);else{const T=Ja(r.getCompilerOptions()),E=lO({program:r,host:u}),N=kD(h,r,a,u),R=CY(b,N,C,void 0,T,void 0,void 0,E);R&&(t.replaceNode(h,g,G.updateTypeParameterDeclaration(g,void 0,g.name,R,g.default)),N.writeFixes(t))}}function WVt(t){const[,r]=$1(t,`
+`,0).match(/`extends (.*)`/)||[];return r}function VVt(t,r){return ws(r.parent)?t.getTypeArgumentConstraint(r.parent):(jt(r)?t.getContextualType(r):void 0)||t.getTypeAtLocation(r)}var sat="fixOverrideModifier",qj="fixAddOverrideModifier",vY="fixRemoveOverrideModifier",oat=[k.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0.code,k.This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class.code,k.This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0.code,k.This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0.code,k.This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0.code,k.This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code,k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class.code,k.This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code,k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0.code],aat={[k.This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0.code]:{descriptions:k.Add_override_modifier,fixId:qj,fixAllDescriptions:k.Add_all_missing_override_modifiers},[k.This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code]:{descriptions:k.Add_override_modifier,fixId:qj,fixAllDescriptions:k.Add_all_missing_override_modifiers},[k.This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class.code]:{descriptions:k.Remove_override_modifier,fixId:vY,fixAllDescriptions:k.Remove_all_unnecessary_override_modifiers},[k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class.code]:{descriptions:k.Remove_override_modifier,fixId:vY,fixAllDescriptions:k.Remove_override_modifier},[k.This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0.code]:{descriptions:k.Add_override_modifier,fixId:qj,fixAllDescriptions:k.Add_all_missing_override_modifiers},[k.This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code]:{descriptions:k.Add_override_modifier,fixId:qj,fixAllDescriptions:k.Add_all_missing_override_modifiers},[k.This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0.code]:{descriptions:k.Add_override_modifier,fixId:qj,fixAllDescriptions:k.Remove_all_unnecessary_override_modifiers},[k.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0.code]:{descriptions:k.Remove_override_modifier,fixId:vY,fixAllDescriptions:k.Remove_all_unnecessary_override_modifiers},[k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0.code]:{descriptions:k.Remove_override_modifier,fixId:vY,fixAllDescriptions:k.Remove_all_unnecessary_override_modifiers}};Na({errorCodes:oat,getCodeActions:function(r){const{errorCode:a,span:u}=r,h=aat[a];if(!h)return w;const{descriptions:p,fixId:g,fixAllDescriptions:C}=h,b=er.ChangeTracker.with(r,T=>cat(T,r,a,u.start));return[x6e(sat,b,p,g,C)]},fixIds:[sat,qj,vY],getAllCodeActions:t=>qc(t,oat,(r,a)=>{const{code:u,start:h}=a,p=aat[u];!p||p.fixId!==t.fixId||cat(r,t,u,h)})});function cat(t,r,a,u){switch(a){case k.This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0.code:case k.This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code:case k.This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0.code:case k.This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0.code:case k.This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code:return HVt(t,r.sourceFile,u);case k.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0.code:case k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0.code:case k.This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class.code:case k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class.code:return jVt(t,r.sourceFile,u);default:j.fail("Unexpected error code: "+a)}}function HVt(t,r,a){const u=uat(r,a);if(r_(r)){t.addJSDocTags(r,u,[G.createJSDocOverrideTag(G.createIdentifier("override"))]);return}const h=u.modifiers||w,p=Fe(h,WP),g=Fe(h,PEe),C=Fe(h,N=>Rfe(N.kind)),b=rt(h,Fd),T=g?g.end:p?p.end:C?C.end:b?Va(r.text,b.end):u.getStart(r),E=C||p||g?{prefix:" "}:{suffix:" "};t.insertModifierAt(r,T,164,E)}function jVt(t,r,a){const u=uat(r,a);if(r_(r)){t.filterJSDocTags(r,u,zJ(EX));return}const h=Fe(u.modifiers,AEe);j.assertIsDefined(h),t.deleteModifier(r,h)}function lat(t){switch(t.kind){case 176:case 172:case 174:case 177:case 178:return!0;case 169:return vp(t,t.parent);default:return!1}}function uat(t,r){const a=Rs(t,r),u=Qi(a,h=>ss(h)?"quit":lat(h));return j.assert(u&&lat(u)),u}var r3e="fixNoPropertyAccessFromIndexSignature",dat=[k.Property_0_comes_from_an_index_signature_so_it_must_be_accessed_with_0.code];Na({errorCodes:dat,fixIds:[r3e],getCodeActions(t){const{sourceFile:r,span:a,preferences:u}=t,h=hat(r,a.start),p=er.ChangeTracker.with(t,g=>fat(g,t.sourceFile,h,u));return[ua(r3e,p,[k.Use_element_access_for_0,h.name.text],r3e,k.Use_element_access_for_all_undeclared_properties)]},getAllCodeActions:t=>qc(t,dat,(r,a)=>fat(r,a.file,hat(a.file,a.start),t.preferences))});function fat(t,r,a,u){const h=$p(r,u),p=G.createStringLiteral(a.name.text,h===0);t.replaceNode(r,a,dG(a)?G.createElementAccessChain(a.expression,a.questionDotToken,p):G.createElementAccessExpression(a.expression,p))}function hat(t,r){return ha(Rs(t,r).parent,Nr)}var s3e="fixImplicitThis",_at=[k.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation.code];Na({errorCodes:_at,getCodeActions:function(r){const{sourceFile:a,program:u,span:h}=r;let p;const g=er.ChangeTracker.with(r,C=>{p=pat(C,a,h.start,u.getTypeChecker())});return p?[ua(s3e,g,p,s3e,k.Fix_all_implicit_this_errors)]:w},fixIds:[s3e],getAllCodeActions:t=>qc(t,_at,(r,a)=>{pat(r,a.file,a.start,t.program.getTypeChecker())})});function pat(t,r,a,u){const h=Rs(r,a);if(!X3(h))return;const p=Hh(h,!1,!1);if(!(!Wu(p)&&!ml(p))&&!Os(Hh(p,!1,!1))){const g=j.checkDefined(Uc(p,100,r)),{name:C}=p,b=j.checkDefined(p.body);return ml(p)?C&&kl.Core.isSymbolReferencedInFile(C,u,r,b)?void 0:(t.delete(r,g),C&&t.delete(r,C),t.insertText(r,b.pos," =>"),[k.Convert_function_expression_0_to_arrow_function,C?C.text:lhe]):(t.replaceNode(r,g,G.createToken(87)),t.insertText(r,C.end," = "),t.insertText(r,b.pos," =>"),[k.Convert_function_declaration_0_to_arrow_function,C.text])}}var o3e="fixImportNonExportedMember",mat=[k.Module_0_declares_1_locally_but_it_is_not_exported.code];Na({errorCodes:mat,fixIds:[o3e],getCodeActions(t){const{sourceFile:r,span:a,program:u}=t,h=gat(r,a.start,u);if(h===void 0)return;const p=er.ChangeTracker.with(t,g=>zVt(g,u,h));return[ua(o3e,p,[k.Export_0_from_module_1,h.exportName.node.text,h.moduleSpecifier],o3e,k.Export_all_referenced_locals)]},getAllCodeActions(t){const{program:r}=t;return gA(er.ChangeTracker.with(t,a=>{const u=new Map;yA(t,mat,h=>{const p=gat(h.file,h.start,r);if(p===void 0)return;const{exportName:g,node:C,moduleSourceFile:b}=p;if(C_e(b,g.isTypeOnly)===void 0&&eH(C))a.insertExportModifier(b,C);else{const T=u.get(b)||{typeOnlyExports:[],exports:[]};g.isTypeOnly?T.typeOnlyExports.push(g):T.exports.push(g),u.set(b,T)}}),u.forEach((h,p)=>{const g=C_e(p,!0);g&&g.isTypeOnly?(a3e(a,r,p,h.typeOnlyExports,g),a3e(a,r,p,h.exports,C_e(p,!1))):a3e(a,r,p,[...h.exports,...h.typeOnlyExports],g)})}))}});function gat(t,r,a){var u,h;const p=Rs(t,r);if(ot(p)){const g=Qi(p,du);if(g===void 0)return;const C=Ha(g.moduleSpecifier)?g.moduleSpecifier:void 0;if(C===void 0)return;const b=(u=a.getResolvedModuleFromModuleSpecifier(C,t))==null?void 0:u.resolvedModule;if(b===void 0)return;const T=a.getSourceFile(b.resolvedFileName);if(T===void 0||rO(a,T))return;const E=T.symbol,N=(h=Jr(E.valueDeclaration,Ay))==null?void 0:h.locals;if(N===void 0)return;const R=N.get(p.escapedText);if(R===void 0)return;const F=UVt(R);return F===void 0?void 0:{exportName:{node:p,isTypeOnly:NP(F)},node:F,moduleSourceFile:T,moduleSpecifier:C.text}}}function zVt(t,r,{exportName:a,node:u,moduleSourceFile:h}){const p=C_e(h,a.isTypeOnly);p?yat(t,r,h,p,[a]):eH(u)?t.insertExportModifier(h,u):vat(t,r,h,[a])}function a3e(t,r,a,u,h){P(u)&&(h?yat(t,r,a,h,u):vat(t,r,a,u))}function C_e(t,r){const a=u=>Ju(u)&&(r&&u.isTypeOnly||!u.isTypeOnly);return rt(t.statements,a)}function yat(t,r,a,u,h){const p=u.exportClause&&Xm(u.exportClause)?u.exportClause.elements:G.createNodeArray([]),g=!u.isTypeOnly&&!!(Ag(r.getCompilerOptions())||Fe(p,C=>C.isTypeOnly));t.replaceNode(a,u,G.updateExportDeclaration(u,u.modifiers,u.isTypeOnly,G.createNamedExports(G.createNodeArray([...p,...bat(h,g)],p.hasTrailingComma)),u.moduleSpecifier,u.attributes))}function vat(t,r,a,u){t.insertNodeAtEndOfScope(a,a,G.createExportDeclaration(void 0,!1,G.createNamedExports(bat(u,Ag(r.getCompilerOptions()))),void 0,void 0))}function bat(t,r){return G.createNodeArray(qt(t,a=>G.createExportSpecifier(r&&a.isTypeOnly,void 0,a.node)))}function UVt(t){if(t.valueDeclaration===void 0)return Ra(t.declarations);const r=t.valueDeclaration,a=_s(r)?Jr(r.parent.parent,Lu):void 0;return a&&P(a.declarationList.declarations)===1?a:r}var c3e="fixIncorrectNamedTupleSyntax",qVt=[k.A_labeled_tuple_element_is_declared_as_optional_with_a_question_mark_after_the_name_and_before_the_colon_rather_than_after_the_type.code,k.A_labeled_tuple_element_is_declared_as_rest_with_a_before_the_name_rather_than_before_the_type.code];Na({errorCodes:qVt,getCodeActions:function(r){const{sourceFile:a,span:u}=r,h=$Vt(a,u.start),p=er.ChangeTracker.with(r,g=>JVt(g,a,h));return[ua(c3e,p,k.Move_labeled_tuple_element_modifiers_to_labels,c3e,k.Move_labeled_tuple_element_modifiers_to_labels)]},fixIds:[c3e]});function $Vt(t,r){const a=Rs(t,r);return Qi(a,u=>u.kind===202)}function JVt(t,r,a){if(!a)return;let u=a.type,h=!1,p=!1;for(;u.kind===190||u.kind===191||u.kind===196;)u.kind===190?h=!0:u.kind===191&&(p=!0),u=u.type;const g=G.updateNamedTupleMember(a,a.dotDotDotToken||(p?G.createToken(26):void 0),a.name,a.questionToken||(h?G.createToken(58):void 0),u);g!==a&&t.replaceNode(r,a,g)}var Cat="fixSpelling",Sat=[k.Property_0_does_not_exist_on_type_1_Did_you_mean_2.code,k.Property_0_may_not_exist_on_type_1_Did_you_mean_2.code,k.Cannot_find_name_0_Did_you_mean_1.code,k.Could_not_find_name_0_Did_you_mean_1.code,k.Cannot_find_namespace_0_Did_you_mean_1.code,k.Cannot_find_name_0_Did_you_mean_the_instance_member_this_0.code,k.Cannot_find_name_0_Did_you_mean_the_static_member_1_0.code,k._0_has_no_exported_member_named_1_Did_you_mean_2.code,k.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1.code,k.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1.code,k.No_overload_matches_this_call.code,k.Type_0_is_not_assignable_to_type_1.code];Na({errorCodes:Sat,getCodeActions(t){const{sourceFile:r,errorCode:a}=t,u=wat(r,t.span.start,t,a);if(!u)return;const{node:h,suggestedSymbol:p}=u,g=Ja(t.host.getCompilationSettings()),C=er.ChangeTracker.with(t,b=>xat(b,r,h,p,g));return[ua("spelling",C,[k.Change_spelling_to_0,Eu(p)],Cat,k.Fix_all_detected_spelling_errors)]},fixIds:[Cat],getAllCodeActions:t=>qc(t,Sat,(r,a)=>{const u=wat(a.file,a.start,t,a.code),h=Ja(t.host.getCompilationSettings());u&&xat(r,t.sourceFile,u.node,u.suggestedSymbol,h)})});function wat(t,r,a,u){const h=Rs(t,r),p=h.parent;if((u===k.No_overload_matches_this_call.code||u===k.Type_0_is_not_assignable_to_type_1.code)&&!U1(p))return;const g=a.program.getTypeChecker();let C;if(Nr(p)&&p.name===h){j.assert(Mv(h),"Expected an identifier for spelling (property access)");let b=g.getTypeAtLocation(p.expression);p.flags&64&&(b=g.getNonNullableType(b)),C=g.getSuggestedSymbolForNonexistentProperty(h,b)}else if(ur(p)&&p.operatorToken.kind===103&&p.left===h&&Vs(h)){const b=g.getTypeAtLocation(p.right);C=g.getSuggestedSymbolForNonexistentProperty(h,b)}else if(o_(p)&&p.right===h){const b=g.getSymbolAtLocation(p.left);b&&b.flags&1536&&(C=g.getSuggestedSymbolForNonexistentModule(p.right,b))}else if(l_(p)&&p.name===h){j.assertNode(h,ot,"Expected an identifier for spelling (import)");const b=Qi(h,du),T=KVt(a,b,t);T&&T.symbol&&(C=g.getSuggestedSymbolForNonexistentModule(h,T.symbol))}else if(U1(p)&&p.name===h){j.assertNode(h,ot,"Expected an identifier for JSX attribute");const b=Qi(h,oh),T=g.getContextualTypeForArgumentAtIndex(b,0);C=g.getSuggestedSymbolForNonexistentJSXAttribute(h,T)}else if(bK(p)&&Od(p)&&p.name===h){const b=Qi(h,ss),T=b?L1(b):void 0,E=T?g.getTypeAtLocation(T):void 0;E&&(C=g.getSuggestedSymbolForNonexistentClassMember(uu(h),E))}else{const b=zI(h),T=uu(h);j.assert(T!==void 0,"name should be defined"),C=g.getSuggestedSymbolForNonexistentSymbol(h,T,GVt(b))}return C===void 0?void 0:{node:h,suggestedSymbol:C}}function xat(t,r,a,u,h){const p=Eu(u);if(!J_(p,h)&&Nr(a.parent)){const g=u.valueDeclaration;g&&Bf(g)&&Vs(g.name)?t.replaceNode(r,a,G.createIdentifier(p)):t.replaceNode(r,a.parent,G.createElementAccessExpression(a.parent.expression,G.createStringLiteral(p)))}else t.replaceNode(r,a,G.createIdentifier(p))}function GVt(t){let r=0;return t&4&&(r|=1920),t&2&&(r|=788968),t&1&&(r|=111551),r}function KVt(t,r,a){var u;if(!r||!Bc(r.moduleSpecifier))return;const h=(u=t.program.getResolvedModuleFromModuleSpecifier(r.moduleSpecifier,a))==null?void 0:u.resolvedModule;if(h)return t.program.getSourceFile(h.resolvedFileName)}var l3e="returnValueCorrect",u3e="fixAddReturnStatement",d3e="fixRemoveBracesFromArrowFunctionBody",f3e="fixWrapTheBlockWithParen",kat=[k.A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value.code,k.Type_0_is_not_assignable_to_type_1.code,k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code];Na({errorCodes:kat,fixIds:[u3e,d3e,f3e],getCodeActions:function(r){const{program:a,sourceFile:u,span:{start:h},errorCode:p}=r,g=Dat(a.getTypeChecker(),u,h,p);if(g)return g.kind===0?fn([QVt(r,g.expression,g.statement)],xl(g.declaration)?ZVt(r,g.declaration,g.expression,g.commentSource):void 0):[YVt(r,g.declaration,g.expression)]},getAllCodeActions:t=>qc(t,kat,(r,a)=>{const u=Dat(t.program.getTypeChecker(),a.file,a.start,a.code);if(u)switch(t.fixId){case u3e:Eat(r,a.file,u.expression,u.statement);break;case d3e:if(!xl(u.declaration))return;Iat(r,a.file,u.declaration,u.expression,u.commentSource);break;case f3e:if(!xl(u.declaration))return;Nat(r,a.file,u.declaration,u.expression);break;default:j.fail(JSON.stringify(t.fixId))}})});function Tat(t,r,a){const u=t.createSymbol(4,r.escapedText);u.links.type=t.getTypeAtLocation(a);const h=_a([u]);return t.createAnonymousType(void 0,h,[],[],[])}function h3e(t,r,a,u){if(!r.body||!Xo(r.body)||P(r.body.statements)!==1)return;const h=ya(r.body.statements);if(uf(h)&&_3e(t,r,t.getTypeAtLocation(h.expression),a,u))return{declaration:r,kind:0,expression:h.expression,statement:h,commentSource:h.expression};if(_x(h)&&uf(h.statement)){const p=G.createObjectLiteralExpression([G.createPropertyAssignment(h.label,h.statement.expression)]),g=Tat(t,h.label,h.statement.expression);if(_3e(t,r,g,a,u))return xl(r)?{declaration:r,kind:1,expression:p,statement:h,commentSource:h.statement.expression}:{declaration:r,kind:0,expression:p,statement:h,commentSource:h.statement.expression}}else if(Xo(h)&&P(h.statements)===1){const p=ya(h.statements);if(_x(p)&&uf(p.statement)){const g=G.createObjectLiteralExpression([G.createPropertyAssignment(p.label,p.statement.expression)]),C=Tat(t,p.label,p.statement.expression);if(_3e(t,r,C,a,u))return{declaration:r,kind:0,expression:g,statement:h,commentSource:p}}}}function _3e(t,r,a,u,h){if(h){const p=t.getSignatureFromDeclaration(r);if(p){Zr(r,1024)&&(a=t.createPromiseType(a));const g=t.createSignature(r,p.typeParameters,p.thisParameter,p.parameters,a,void 0,p.minArgumentCount,p.flags);a=t.createAnonymousType(void 0,_a(),[g],[],[])}else a=t.getAnyType()}return t.isTypeAssignableTo(a,u)}function Dat(t,r,a,u){const h=Rs(r,a);if(!h.parent)return;const p=Qi(h.parent,Qc);switch(u){case k.A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value.code:return!p||!p.body||!p.type||!Ip(p.type,h)?void 0:h3e(t,p,t.getTypeFromTypeNode(p.type),!1);case k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code:if(!p||!la(p.parent)||!p.body)return;const g=p.parent.arguments.indexOf(p);if(g===-1)return;const C=t.getContextualTypeForArgumentAtIndex(p.parent,g);return C?h3e(t,p,C,!0):void 0;case k.Type_0_is_not_assignable_to_type_1.code:if(!Wy(h)||!uP(h.parent)&&!U1(h.parent))return;const b=XVt(h.parent);return!b||!Qc(b)||!b.body?void 0:h3e(t,b,t.getTypeAtLocation(h.parent),!0)}}function XVt(t){switch(t.kind){case 260:case 169:case 208:case 172:case 303:return t.initializer;case 291:return t.initializer&&(x7(t.initializer)?t.initializer.expression:void 0);case 304:case 171:case 306:case 348:case 341:return}}function Eat(t,r,a,u){Lp(a);const h=kj(r);t.replaceNode(r,u,G.createReturnStatement(a),{leadingTriviaOption:er.LeadingTriviaOption.Exclude,trailingTriviaOption:er.TrailingTriviaOption.Exclude,suffix:h?";":void 0})}function Iat(t,r,a,u,h,p){const g=MZ(u)?G.createParenthesizedExpression(u):u;Lp(h),qI(h,g),t.replaceNode(r,a.body,g)}function Nat(t,r,a,u){t.replaceNode(r,a.body,G.createParenthesizedExpression(u))}function QVt(t,r,a){const u=er.ChangeTracker.with(t,h=>Eat(h,t.sourceFile,r,a));return ua(l3e,u,k.Add_a_return_statement,u3e,k.Add_all_missing_return_statement)}function ZVt(t,r,a,u){const h=er.ChangeTracker.with(t,p=>Iat(p,t.sourceFile,r,a,u));return ua(l3e,h,k.Remove_braces_from_arrow_function_body,d3e,k.Remove_braces_from_all_arrow_function_bodies_with_relevant_issues)}function YVt(t,r,a){const u=er.ChangeTracker.with(t,h=>Nat(h,t.sourceFile,r,a));return ua(l3e,u,k.Wrap_the_following_body_with_parentheses_which_should_be_an_object_literal,f3e,k.Wrap_all_object_literal_with_parentheses)}var C2="fixMissingMember",S_e="fixMissingProperties",w_e="fixMissingAttributes",x_e="fixMissingFunctionDeclaration",Lat=[k.Property_0_does_not_exist_on_type_1.code,k.Property_0_does_not_exist_on_type_1_Did_you_mean_2.code,k.Property_0_is_missing_in_type_1_but_required_in_type_2.code,k.Type_0_is_missing_the_following_properties_from_type_1_Colon_2.code,k.Type_0_is_missing_the_following_properties_from_type_1_Colon_2_and_3_more.code,k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code,k.Cannot_find_name_0.code];Na({errorCodes:Lat,getCodeActions(t){const r=t.program.getTypeChecker(),a=Pat(t.sourceFile,t.span.start,t.errorCode,r,t.program);if(a){if(a.kind===3){const u=er.ChangeTracker.with(t,h=>jat(h,t,a));return[ua(S_e,u,k.Add_missing_properties,S_e,k.Add_all_missing_properties)]}if(a.kind===4){const u=er.ChangeTracker.with(t,h=>Hat(h,t,a));return[ua(w_e,u,k.Add_missing_attributes,w_e,k.Add_all_missing_attributes)]}if(a.kind===2||a.kind===5){const u=er.ChangeTracker.with(t,h=>Vat(h,t,a));return[ua(x_e,u,[k.Add_missing_function_declaration_0,a.token.text],x_e,k.Add_all_missing_function_declarations)]}if(a.kind===1){const u=er.ChangeTracker.with(t,h=>Wat(h,t.program.getTypeChecker(),a));return[ua(C2,u,[k.Add_missing_enum_member_0,a.token.text],C2,k.Add_all_missing_members)]}return Js(rHt(t,a),eHt(t,a))}},fixIds:[C2,x_e,S_e,w_e],getAllCodeActions:t=>{const{program:r,fixId:a}=t,u=r.getTypeChecker(),h=new Map,p=new Map;return gA(er.ChangeTracker.with(t,g=>{yA(t,Lat,C=>{const b=Pat(C.file,C.start,C.code,u,t.program);if(!(!b||!Pg(h,Sc(b.parentDeclaration)+"#"+(b.kind===3?b.identifier:b.token.text)))){if(a===x_e&&(b.kind===2||b.kind===5))Vat(g,t,b);else if(a===S_e&&b.kind===3)jat(g,t,b);else if(a===w_e&&b.kind===4)Hat(g,t,b);else if(b.kind===1&&Wat(g,u,b),b.kind===0){const{parentDeclaration:T,token:E}=b,N=mp(p,T,()=>[]);N.some(R=>R.token.text===E.text)||N.push(b)}}}),p.forEach((C,b)=>{const T=a_(b)?void 0:Q3e(b,u);for(const E of C){if(T!=null&&T.some(X=>{const Z=p.get(X);return!!Z&&Z.some(({token:Q})=>Q.text===E.token.text)}))continue;const{parentDeclaration:N,declSourceFile:R,modifierFlags:F,token:H,call:U,isJSFile:q}=E;if(U&&!Vs(H))Bat(t,g,U,H,F&256,N,R);else if(q&&!zf(N)&&!a_(N))Aat(g,R,N,H,!!(F&256));else{const X=Mat(u,N,H);Rat(g,R,N,H.text,X,F&256)}}})}))}});function Pat(t,r,a,u,h){var p;const g=Rs(t,r),C=g.parent;if(a===k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code){if(!(g.kind===19&&Aa(C)&&la(C.parent)))return;const F=ct(C.parent.arguments,X=>X===C);if(F<0)return;const H=u.getResolvedSignature(C.parent);if(!(H&&H.declaration&&H.parameters[F]))return;const U=H.parameters[F].valueDeclaration;if(!(U&&$s(U)&&ot(U.name)))return;const q=as(u.getUnmatchedProperties(u.getTypeAtLocation(C),u.getParameterType(H,F),!1,!1));return P(q)?{kind:3,token:U.name,identifier:U.name.text,properties:q,parentDeclaration:C}:void 0}if(g.kind===19&&Aa(C)){const F=u.getContextualType(C)||u.getTypeAtLocation(C),H=as(u.getUnmatchedProperties(u.getTypeAtLocation(C),F,!1,!1));return P(H)?{kind:3,token:C,identifier:"",properties:H,parentDeclaration:C}:void 0}if(!Mv(g))return;if(ot(g)&&aS(C)&&C.initializer&&Aa(C.initializer)){const F=u.getContextualType(g)||u.getTypeAtLocation(g),H=as(u.getUnmatchedProperties(u.getTypeAtLocation(C.initializer),F,!1,!1));return P(H)?{kind:3,token:g,identifier:g.text,properties:H,parentDeclaration:C.initializer}:void 0}if(ot(g)&&oh(g.parent)){const F=Ja(h.getCompilerOptions()),H=oHt(u,F,g.parent);return P(H)?{kind:4,token:g,attributes:H,parentDeclaration:g.parent}:void 0}if(ot(g)){const F=(p=u.getContextualType(g))==null?void 0:p.getNonNullableType();if(F&&Or(F)&16){const H=Ra(u.getSignaturesOfType(F,0));return H===void 0?void 0:{kind:5,token:g,signature:H,sourceFile:t,parentDeclaration:zat(g)}}if(la(C)&&C.expression===g)return{kind:2,token:g,call:C,sourceFile:t,modifierFlags:0,parentDeclaration:zat(g)}}if(!Nr(C))return;const b=jfe(u.getTypeAtLocation(C.expression)),T=b.symbol;if(!T||!T.declarations)return;if(ot(g)&&la(C.parent)){const F=Fe(T.declarations,rd),H=F==null?void 0:F.getSourceFile();if(F&&H&&!rO(h,H))return{kind:2,token:g,call:C.parent,sourceFile:H,modifierFlags:32,parentDeclaration:F};const U=Fe(T.declarations,Os);if(t.commonJsModuleIndicator)return;if(U&&!rO(h,U))return{kind:2,token:g,call:C.parent,sourceFile:U,modifierFlags:32,parentDeclaration:U}}const E=Fe(T.declarations,ss);if(!E&&Vs(g))return;const N=E||Fe(T.declarations,F=>zf(F)||a_(F));if(N&&!rO(h,N.getSourceFile())){const F=!a_(N)&&(b.target||b)!==u.getDeclaredTypeOfSymbol(T);if(F&&(Vs(g)||zf(N)))return;const H=N.getSourceFile(),U=a_(N)?0:(F?256:0)|(ghe(g.text)?2:0),q=r_(H),X=Jr(C.parent,la);return{kind:0,token:g,call:X,modifierFlags:U,parentDeclaration:N,declSourceFile:H,isJSFile:q}}const R=Fe(T.declarations,sD);if(R&&!(b.flags&1056)&&!Vs(g)&&!rO(h,R.getSourceFile()))return{kind:1,token:g,parentDeclaration:R}}function eHt(t,r){return r.isJSFile?KL(tHt(t,r)):iHt(t,r)}function tHt(t,{parentDeclaration:r,declSourceFile:a,modifierFlags:u,token:h}){if(zf(r)||a_(r))return;const p=er.ChangeTracker.with(t,C=>Aat(C,a,r,h,!!(u&256)));if(p.length===0)return;const g=u&256?k.Initialize_static_property_0:Vs(h)?k.Declare_a_private_field_named_0:k.Initialize_property_0_in_the_constructor;return ua(C2,p,[g,h.text],C2,k.Add_all_missing_members)}function Aat(t,r,a,u,h){const p=u.text;if(h){if(a.kind===231)return;const g=a.name.getText(),C=Oat(G.createIdentifier(g),p);t.insertNodeAfter(r,a,C)}else if(Vs(u)){const g=G.createPropertyDeclaration(void 0,p,void 0,void 0,void 0),C=Fat(a);C?t.insertNodeAfter(r,C,g):t.insertMemberAtStart(r,a,g)}else{const g=Vv(a);if(!g)return;const C=Oat(G.createThis(),p);t.insertNodeAtConstructorEnd(r,g,C)}}function Oat(t,r){return G.createExpressionStatement(G.createAssignment(G.createPropertyAccessExpression(t,r),bA()))}function iHt(t,{parentDeclaration:r,declSourceFile:a,modifierFlags:u,token:h}){const p=h.text,g=u&256,C=Mat(t.program.getTypeChecker(),r,h),b=E=>er.ChangeTracker.with(t,N=>Rat(N,a,r,p,C,E)),T=[ua(C2,b(u&256),[g?k.Declare_static_property_0:k.Declare_property_0,p],C2,k.Add_all_missing_members)];return g||Vs(h)||(u&2&&T.unshift(G0(C2,b(2),[k.Declare_private_property_0,p])),T.push(nHt(t,a,r,h.text,C))),T}function Mat(t,r,a){let u;if(a.parent.parent.kind===226){const h=a.parent.parent,p=a.parent===h.left?h.right:h.left,g=t.getWidenedType(t.getBaseTypeOfLiteralType(t.getTypeAtLocation(p)));u=t.typeToTypeNode(g,r,1,8)}else{const h=t.getContextualType(a.parent);u=h?t.typeToTypeNode(h,void 0,1,8):void 0}return u||G.createKeywordTypeNode(133)}function Rat(t,r,a,u,h,p){const g=p?G.createNodeArray(G.createModifiersFromModifierFlags(p)):void 0,C=ss(a)?G.createPropertyDeclaration(g,u,void 0,h,void 0):G.createPropertySignature(void 0,u,void 0,h),b=Fat(a);b?t.insertNodeAfter(r,b,C):t.insertMemberAtStart(r,a,C)}function Fat(t){let r;for(const a of t.members){if(!Lo(a))break;r=a}return r}function nHt(t,r,a,u,h){const p=G.createKeywordTypeNode(154),g=G.createParameterDeclaration(void 0,void 0,"x",void 0,p,void 0),C=G.createIndexSignature(void 0,[g],h),b=er.ChangeTracker.with(t,T=>T.insertMemberAtStart(r,a,C));return G0(C2,b,[k.Add_index_signature_for_property_0,u])}function rHt(t,r){const{parentDeclaration:a,declSourceFile:u,modifierFlags:h,token:p,call:g}=r;if(g===void 0)return;const C=p.text,b=E=>er.ChangeTracker.with(t,N=>Bat(t,N,g,p,E,a,u)),T=[ua(C2,b(h&256),[h&256?k.Declare_static_method_0:k.Declare_method_0,C],C2,k.Add_all_missing_members)];return h&2&&T.unshift(G0(C2,b(2),[k.Declare_private_method_0,C])),T}function Bat(t,r,a,u,h,p,g){const C=kD(g,t.program,t.preferences,t.host),b=ss(p)?174:173,T=U3e(b,t,C,a,u,h,p),E=aHt(p,a);E?r.insertNodeAfter(g,E,T):r.insertMemberAtStart(g,p,T),C.writeFixes(r)}function Wat(t,r,{token:a,parentDeclaration:u}){const h=Ft(u.members,b=>{const T=r.getTypeAtLocation(b);return!!(T&&T.flags&402653316)}),p=u.getSourceFile(),g=G.createEnumMember(a,h?G.createStringLiteral(a.text):void 0),C=rl(u.members);C?t.insertNodeInListAfter(p,C,g,u.members):t.insertMemberAtStart(p,u,g)}function Vat(t,r,a){const u=$p(r.sourceFile,r.preferences),h=kD(r.sourceFile,r.program,r.preferences,r.host),p=a.kind===2?U3e(262,r,h,a.call,Fr(a.token),a.modifierFlags,a.parentDeclaration):O_e(262,r,u,a.signature,SY(k.Function_not_implemented.message,u),a.token,void 0,void 0,void 0,h);p===void 0&&j.fail("fixMissingFunctionDeclaration codefix got unexpected error."),Og(a.parentDeclaration)?t.insertNodeBefore(a.sourceFile,a.parentDeclaration,p,!0):t.insertNodeAtEndOfScope(a.sourceFile,a.parentDeclaration,p),h.writeFixes(t)}function Hat(t,r,a){const u=kD(r.sourceFile,r.program,r.preferences,r.host),h=$p(r.sourceFile,r.preferences),p=r.program.getTypeChecker(),g=a.parentDeclaration.attributes,C=Ft(g.properties,GP),b=qt(a.attributes,N=>{const R=k_e(r,p,u,h,p.getTypeOfSymbol(N),a.parentDeclaration),F=G.createIdentifier(N.name),H=G.createJsxAttribute(F,G.createJsxExpression(void 0,R));return _c(F,H),H}),T=G.createJsxAttributes(C?[...b,...g.properties]:[...g.properties,...b]),E={prefix:g.pos===g.end?" ":void 0};t.replaceNode(r.sourceFile,g,T,E),u.writeFixes(t)}function jat(t,r,a){const u=kD(r.sourceFile,r.program,r.preferences,r.host),h=$p(r.sourceFile,r.preferences),p=Ja(r.program.getCompilerOptions()),g=r.program.getTypeChecker(),C=qt(a.properties,T=>{const E=k_e(r,g,u,h,g.getTypeOfSymbol(T),a.parentDeclaration);return G.createPropertyAssignment(cHt(T,p,h,g),E)}),b={leadingTriviaOption:er.LeadingTriviaOption.Exclude,trailingTriviaOption:er.TrailingTriviaOption.Exclude,indentation:a.indentation};t.replaceNode(r.sourceFile,a.parentDeclaration,G.createObjectLiteralExpression([...a.parentDeclaration.properties,...C],!0),b),u.writeFixes(t)}function k_e(t,r,a,u,h,p){if(h.flags&3)return bA();if(h.flags&134217732)return G.createStringLiteral("",u===0);if(h.flags&8)return G.createNumericLiteral(0);if(h.flags&64)return G.createBigIntLiteral("0n");if(h.flags&16)return G.createFalse();if(h.flags&1056){const g=h.symbol.exports?k_(h.symbol.exports.values()):h.symbol,C=r.symbolToExpression(h.symbol.parent?h.symbol.parent:h.symbol,111551,void 0,64);return g===void 0||C===void 0?G.createNumericLiteral(0):G.createPropertyAccessExpression(C,r.symbolToString(g))}if(h.flags&256)return G.createNumericLiteral(h.value);if(h.flags&2048)return G.createBigIntLiteral(h.value);if(h.flags&128)return G.createStringLiteral(h.value,u===0);if(h.flags&512)return h===r.getFalseType()||h===r.getFalseType(!0)?G.createFalse():G.createTrue();if(h.flags&65536)return G.createNull();if(h.flags&1048576)return J(h.types,C=>k_e(t,r,a,u,C,p))??bA();if(r.isArrayLikeType(h))return G.createArrayLiteralExpression();if(sHt(h)){const g=qt(r.getPropertiesOfType(h),C=>{const b=k_e(t,r,a,u,r.getTypeOfSymbol(C),p);return G.createPropertyAssignment(C.name,b)});return G.createObjectLiteralExpression(g,!0)}if(Or(h)&16){if(Fe(h.symbol.declarations||w,om(Hy,W1,Nu))===void 0)return bA();const C=r.getSignaturesOfType(h,0);return C===void 0?bA():O_e(218,t,u,C[0],SY(k.Function_not_implemented.message,u),void 0,void 0,void 0,p,a)??bA()}if(Or(h)&1){const g=Xb(h.symbol);if(g===void 0||KT(g))return bA();const C=Vv(g);return C&&P(C.parameters)?bA():G.createNewExpression(G.createIdentifier(h.symbol.name),void 0,void 0)}return bA()}function bA(){return G.createIdentifier("undefined")}function sHt(t){return t.flags&524288&&(Or(t)&128||t.symbol&&Jr(Bm(t.symbol.declarations),a_))}function oHt(t,r,a){const u=t.getContextualType(a.attributes);if(u===void 0)return w;const h=u.getProperties();if(!P(h))return w;const p=new Set;for(const g of a.attributes.properties)if(U1(g)&&p.add(u7(g.name)),GP(g)){const C=t.getTypeAtLocation(g.expression);for(const b of C.getProperties())p.add(b.escapedName)}return $t(h,g=>J_(g.name,r,1)&&!(g.flags&16777216||Iu(g)&48||p.has(g.escapedName)))}function aHt(t,r){if(a_(t))return;const a=Qi(r,u=>Nu(u)||iu(u));return a&&a.parent===t?a:void 0}function cHt(t,r,a,u){if(Rv(t)){const h=u.symbolToNode(t,111551,void 0,void 0,1);if(h&&Ka(h))return h}return tX(t.name,r,a===0,!1,!1)}function zat(t){if(Qi(t,x7)){const r=Qi(t.parent,Og);if(r)return r}return _n(t)}var p3e="addMissingNewOperator",Uat=[k.Value_of_type_0_is_not_callable_Did_you_mean_to_include_new.code];Na({errorCodes:Uat,getCodeActions(t){const{sourceFile:r,span:a}=t,u=er.ChangeTracker.with(t,h=>qat(h,r,a));return[ua(p3e,u,k.Add_missing_new_operator_to_call,p3e,k.Add_missing_new_operator_to_all_calls)]},fixIds:[p3e],getAllCodeActions:t=>qc(t,Uat,(r,a)=>qat(r,t.sourceFile,a))});function qat(t,r,a){const u=ha(lHt(r,a),la),h=G.createNewExpression(u.expression,u.typeArguments,u.arguments);t.replaceNode(r,u,h)}function lHt(t,r){let a=Rs(t,r.start);const u=au(r);for(;a.end<u;)a=a.parent;return a}var T_e="addMissingParam",D_e="addOptionalParam",$at=[k.Expected_0_arguments_but_got_1.code];Na({errorCodes:$at,fixIds:[T_e,D_e],getCodeActions(t){const r=Jat(t.sourceFile,t.program,t.span.start);if(r===void 0)return;const{name:a,declarations:u,newParameters:h,newOptionalParameters:p}=r,g=[];return P(h)&&fn(g,ua(T_e,er.ChangeTracker.with(t,C=>E_e(C,t.program,t.preferences,t.host,u,h)),[P(h)>1?k.Add_missing_parameters_to_0:k.Add_missing_parameter_to_0,a],T_e,k.Add_all_missing_parameters)),P(p)&&fn(g,ua(D_e,er.ChangeTracker.with(t,C=>E_e(C,t.program,t.preferences,t.host,u,p)),[P(p)>1?k.Add_optional_parameters_to_0:k.Add_optional_parameter_to_0,a],D_e,k.Add_all_optional_parameters)),g},getAllCodeActions:t=>qc(t,$at,(r,a)=>{const u=Jat(t.sourceFile,t.program,a.start);if(u){const{declarations:h,newParameters:p,newOptionalParameters:g}=u;t.fixId===T_e&&E_e(r,t.program,t.preferences,t.host,h,p),t.fixId===D_e&&E_e(r,t.program,t.preferences,t.host,h,g)}})});function Jat(t,r,a){const u=Rs(t,a),h=Qi(u,la);if(h===void 0||P(h.arguments)===0)return;const p=r.getTypeChecker(),g=p.getTypeAtLocation(h.expression),C=$t(g.symbol.declarations,Gat);if(C===void 0)return;const b=rl(C);if(b===void 0||b.body===void 0||rO(r,b.getSourceFile()))return;const T=uHt(b);if(T===void 0)return;const E=[],N=[],R=P(b.parameters),F=P(h.arguments);if(R>F)return;const H=[b,...fHt(b,C)];for(let U=0,q=0,X=0;U<F;U++){const Z=h.arguments[U],Q=Sl(Z)?Dce(Z):Z,re=p.getWidenedType(p.getBaseTypeOfLiteralType(p.getTypeAtLocation(Z))),oe=q<R?b.parameters[q]:void 0;if(oe&&p.isTypeAssignableTo(re,p.getTypeAtLocation(oe))){q++;continue}const pe=Q&&ot(Q)?Q.text:`p${X++}`,de=dHt(p,re,b);fn(E,{pos:U,declaration:Xat(pe,de,void 0)}),!_Ht(H,q)&&fn(N,{pos:U,declaration:Xat(pe,de,G.createToken(58))})}return{newParameters:E,newOptionalParameters:N,name:al(T),declarations:H}}function uHt(t){const r=No(t);if(r)return r;if(_s(t.parent)&&ot(t.parent.name)||Lo(t.parent)||$s(t.parent))return t.parent.name}function dHt(t,r,a){return t.typeToTypeNode(t.getWidenedType(r),a,1,8)??G.createKeywordTypeNode(159)}function E_e(t,r,a,u,h,p){const g=Ja(r.getCompilerOptions());O(h,C=>{const b=_n(C),T=kD(b,r,a,u);P(C.parameters)?t.replaceNodeRangeWithNodes(b,ya(C.parameters),va(C.parameters),Kat(T,g,C,p),{joiner:", ",indentation:0,leadingTriviaOption:er.LeadingTriviaOption.IncludeAll,trailingTriviaOption:er.TrailingTriviaOption.Include}):O(Kat(T,g,C,p),(E,N)=>{P(C.parameters)===0&&N===0?t.insertNodeAt(b,C.parameters.end,E):t.insertNodeAtEndOfList(b,C.parameters,E)}),T.writeFixes(t)})}function Gat(t){switch(t.kind){case 262:case 218:case 174:case 219:return!0;default:return!1}}function Kat(t,r,a,u){const h=qt(a.parameters,p=>G.createParameterDeclaration(p.modifiers,p.dotDotDotToken,p.name,p.questionToken,p.type,p.initializer));for(const{pos:p,declaration:g}of u){const C=p>0?h[p-1]:void 0;h.splice(p,0,G.updateParameterDeclaration(g,g.modifiers,g.dotDotDotToken,g.name,C&&C.questionToken?G.createToken(58):g.questionToken,pHt(t,g.type,r),g.initializer))}return h}function fHt(t,r){const a=[];for(const u of r)if(hHt(u)){if(P(u.parameters)===P(t.parameters)){a.push(u);continue}if(P(u.parameters)>P(t.parameters))return[]}return a}function hHt(t){return Gat(t)&&t.body===void 0}function Xat(t,r,a){return G.createParameterDeclaration(void 0,void 0,t,a,r,void 0)}function _Ht(t,r){return P(t)&&Ft(t,a=>r<P(a.parameters)&&!!a.parameters[r]&&a.parameters[r].questionToken===void 0)}function pHt(t,r,a){const u=TD(r,a);return u?(JI(t,u.symbols),u.typeNode):r}var mHt="fixCannotFindModule",m3e="installTypesPackage",Qat=k.Cannot_find_module_0_or_its_corresponding_type_declarations.code,Zat=[Qat,k.Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type.code];Na({errorCodes:Zat,getCodeActions:function(r){const{host:a,sourceFile:u,span:{start:h}}=r,p=ect(u,h);if(p===void 0)return;const g=tct(p,a,r.errorCode);return g===void 0?[]:[ua(mHt,[],[k.Install_0,g],m3e,k.Install_all_missing_types_packages,Yat(u.fileName,g))]},fixIds:[m3e],getAllCodeActions:t=>qc(t,Zat,(r,a,u)=>{const h=ect(a.file,a.start);if(h!==void 0)switch(t.fixId){case m3e:{const p=tct(h,t.host,a.code);p&&u.push(Yat(a.file.fileName,p));break}default:j.fail(`Bad fixId: ${t.fixId}`)}})});function Yat(t,r){return{type:"install package",file:t,packageName:r}}function ect(t,r){const a=Jr(Rs(t,r),Ha);if(!a)return;const u=a.text,{packageName:h}=cQ(u);return Gd(h)?void 0:h}function tct(t,r,a){var u;return a===Qat?J1.nodeCoreModules.has(t)?"@types/node":void 0:(u=r.isKnownTypesPackageName)!=null&&u.call(r,t)?uQ(t):void 0}var ict=[k.Non_abstract_class_0_does_not_implement_inherited_abstract_member_1_from_class_2.code,k.Non_abstract_class_0_is_missing_implementations_for_the_following_members_of_1_Colon_2.code,k.Non_abstract_class_0_is_missing_implementations_for_the_following_members_of_1_Colon_2_and_3_more.code,k.Non_abstract_class_expression_does_not_implement_inherited_abstract_member_0_from_class_1.code,k.Non_abstract_class_expression_is_missing_implementations_for_the_following_members_of_0_Colon_1.code,k.Non_abstract_class_expression_is_missing_implementations_for_the_following_members_of_0_Colon_1_and_2_more.code],g3e="fixClassDoesntImplementInheritedAbstractMember";Na({errorCodes:ict,getCodeActions:function(r){const{sourceFile:a,span:u}=r,h=er.ChangeTracker.with(r,p=>rct(nct(a,u.start),a,r,p,r.preferences));return h.length===0?void 0:[ua(g3e,h,k.Implement_inherited_abstract_class,g3e,k.Implement_all_inherited_abstract_classes)]},fixIds:[g3e],getAllCodeActions:function(r){const a=new Map;return qc(r,ict,(u,h)=>{const p=nct(h.file,h.start);Pg(a,Sc(p))&&rct(p,r.sourceFile,r,u,r.preferences)})}});function nct(t,r){const a=Rs(t,r);return ha(a.parent,ss)}function rct(t,r,a,u,h){const p=L1(t),g=a.program.getTypeChecker(),C=g.getTypeAtLocation(p),b=g.getPropertiesOfType(C).filter(gHt),T=kD(r,a.program,h,a.host);z3e(t,b,r,a,h,T,E=>u.insertMemberAtStart(r,t,E)),T.writeFixes(u)}function gHt(t){const r=_S(ya(t.getDeclarations()));return!(r&2)&&!!(r&64)}var y3e="classSuperMustPrecedeThisAccess",sct=[k.super_must_be_called_before_accessing_this_in_the_constructor_of_a_derived_class.code];Na({errorCodes:sct,getCodeActions(t){const{sourceFile:r,span:a}=t,u=act(r,a.start);if(!u)return;const{constructor:h,superCall:p}=u,g=er.ChangeTracker.with(t,C=>oct(C,r,h,p));return[ua(y3e,g,k.Make_super_call_the_first_statement_in_the_constructor,y3e,k.Make_all_super_calls_the_first_statement_in_their_constructor)]},fixIds:[y3e],getAllCodeActions(t){const{sourceFile:r}=t,a=new Map;return qc(t,sct,(u,h)=>{const p=act(h.file,h.start);if(!p)return;const{constructor:g,superCall:C}=p;Pg(a,Sc(g.parent))&&oct(u,r,g,C)})}});function oct(t,r,a,u){t.insertNodeAtConstructorStart(r,a,u),t.delete(r,u)}function act(t,r){const a=Rs(t,r);if(a.kind!==110)return;const u=Up(a),h=cct(u.body);return h&&!h.expression.arguments.some(p=>Nr(p)&&p.expression===a)?{constructor:u,superCall:h}:void 0}function cct(t){return uf(t)&&mI(t.expression)?t:Ho(t)?void 0:Uo(t,cct)}var v3e="constructorForDerivedNeedSuperCall",lct=[k.Constructors_for_derived_classes_must_contain_a_super_call.code];Na({errorCodes:lct,getCodeActions(t){const{sourceFile:r,span:a}=t,u=uct(r,a.start),h=er.ChangeTracker.with(t,p=>dct(p,r,u));return[ua(v3e,h,k.Add_missing_super_call,v3e,k.Add_all_missing_super_calls)]},fixIds:[v3e],getAllCodeActions:t=>qc(t,lct,(r,a)=>dct(r,t.sourceFile,uct(a.file,a.start)))});function uct(t,r){const a=Rs(t,r);return j.assert(iu(a.parent),"token should be at the constructor declaration"),a.parent}function dct(t,r,a){const u=G.createExpressionStatement(G.createCallExpression(G.createSuper(),void 0,w));t.insertNodeAtConstructorStart(r,a,u)}var fct="fixEnableJsxFlag",hct=[k.Cannot_use_JSX_unless_the_jsx_flag_is_provided.code];Na({errorCodes:hct,getCodeActions:function(r){const{configFile:a}=r.program.getCompilerOptions();if(a===void 0)return;const u=er.ChangeTracker.with(r,h=>_ct(h,a));return[G0(fct,u,k.Enable_the_jsx_flag_in_your_configuration_file)]},fixIds:[fct],getAllCodeActions:t=>qc(t,hct,r=>{const{configFile:a}=t.program.getCompilerOptions();a!==void 0&&_ct(r,a)})});function _ct(t,r){G3e(t,r,"jsx",G.createStringLiteral("react"))}var b3e="fixNaNEquality",pct=[k.This_condition_will_always_return_0.code];Na({errorCodes:pct,getCodeActions(t){const{sourceFile:r,span:a,program:u}=t,h=mct(u,r,a);if(h===void 0)return;const{suggestion:p,expression:g,arg:C}=h,b=er.ChangeTracker.with(t,T=>gct(T,r,C,g));return[ua(b3e,b,[k.Use_0,p],b3e,k.Use_Number_isNaN_in_all_conditions)]},fixIds:[b3e],getAllCodeActions:t=>qc(t,pct,(r,a)=>{const u=mct(t.program,a.file,Ff(a.start,a.length));u&&gct(r,a.file,u.arg,u.expression)})});function mct(t,r,a){const u=Fe(t.getSemanticDiagnostics(r),g=>g.start===a.start&&g.length===a.length);if(u===void 0||u.relatedInformation===void 0)return;const h=Fe(u.relatedInformation,g=>g.code===k.Did_you_mean_0.code);if(h===void 0||h.file===void 0||h.start===void 0||h.length===void 0)return;const p=X3e(h.file,Ff(h.start,h.length));if(p!==void 0&&jt(p)&&ur(p.parent))return{suggestion:yHt(h.messageText),expression:p.parent,arg:p}}function gct(t,r,a,u){const h=G.createCallExpression(G.createPropertyAccessExpression(G.createIdentifier("Number"),G.createIdentifier("isNaN")),void 0,[a]),p=u.operatorToken.kind;t.replaceNode(r,u,p===38||p===36?G.createPrefixUnaryExpression(54,h):h)}function yHt(t){const[,r]=$1(t,`
+`,0).match(/'(.*)'/)||[];return r}Na({errorCodes:[k.Top_level_await_expressions_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher.code,k.Top_level_await_using_statements_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher.code,k.Top_level_for_await_loops_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher.code],getCodeActions:function(r){const a=r.program.getCompilerOptions(),{configFile:u}=a;if(u===void 0)return;const h=[],p=zh(a);if(p>=5&&p<99){const T=er.ChangeTracker.with(r,E=>{G3e(E,u,"module",G.createStringLiteral("esnext"))});h.push(G0("fixModuleOption",T,[k.Set_the_module_option_in_your_configuration_file_to_0,"esnext"]))}const C=Ja(a);if(C<4||C>99){const T=er.ChangeTracker.with(r,E=>{if(!I8(u))return;const R=[["target",G.createStringLiteral("es2017")]];p===1&&R.push(["module",G.createStringLiteral("commonjs")]),J3e(E,u,R)});h.push(G0("fixTargetOption",T,[k.Set_the_target_option_in_your_configuration_file_to_0,"es2017"]))}return h.length?h:void 0}});var C3e="fixPropertyAssignment",yct=[k.Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_part_of_a_destructuring_pattern.code];Na({errorCodes:yct,fixIds:[C3e],getCodeActions(t){const{sourceFile:r,span:a}=t,u=bct(r,a.start),h=er.ChangeTracker.with(t,p=>vct(p,t.sourceFile,u));return[ua(C3e,h,[k.Change_0_to_1,"=",":"],C3e,[k.Switch_each_misused_0_to_1,"=",":"])]},getAllCodeActions:t=>qc(t,yct,(r,a)=>vct(r,a.file,bct(a.file,a.start)))});function vct(t,r,a){t.replaceNode(r,a,G.createPropertyAssignment(a.name,a.objectAssignmentInitializer))}function bct(t,r){return ha(Rs(t,r).parent,lh)}var S3e="extendsInterfaceBecomesImplements",Cct=[k.Cannot_extend_an_interface_0_Did_you_mean_implements.code];Na({errorCodes:Cct,getCodeActions(t){const{sourceFile:r}=t,a=Sct(r,t.span.start);if(!a)return;const{extendsToken:u,heritageClauses:h}=a,p=er.ChangeTracker.with(t,g=>wct(g,r,u,h));return[ua(S3e,p,k.Change_extends_to_implements,S3e,k.Change_all_extended_interfaces_to_implements)]},fixIds:[S3e],getAllCodeActions:t=>qc(t,Cct,(r,a)=>{const u=Sct(a.file,a.start);u&&wct(r,a.file,u.extendsToken,u.heritageClauses)})});function Sct(t,r){const a=Rs(t,r),u=Cf(a).heritageClauses,h=u[0].getFirstToken();return h.kind===96?{extendsToken:h,heritageClauses:u}:void 0}function wct(t,r,a,u){if(t.replaceNode(r,a,G.createToken(119)),u.length===2&&u[0].token===96&&u[1].token===119){const h=u[1].getFirstToken(),p=h.getFullStart();t.replaceRange(r,{pos:p,end:p},G.createToken(28));const g=r.text;let C=h.end;for(;C<g.length&&k1(g.charCodeAt(C));)C++;t.deleteRange(r,{pos:h.getStart(),end:C})}}var w3e="forgottenThisPropertyAccess",xct=k.Cannot_find_name_0_Did_you_mean_the_static_member_1_0.code,kct=[k.Cannot_find_name_0_Did_you_mean_the_instance_member_this_0.code,k.Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member_declaration_property_access_or_on_the_left_hand_side_of_an_in_expression.code,xct];Na({errorCodes:kct,getCodeActions(t){const{sourceFile:r}=t,a=Tct(r,t.span.start,t.errorCode);if(!a)return;const u=er.ChangeTracker.with(t,h=>Dct(h,r,a));return[ua(w3e,u,[k.Add_0_to_unresolved_variable,a.className||"this"],w3e,k.Add_qualifier_to_all_unresolved_variables_matching_a_member_name)]},fixIds:[w3e],getAllCodeActions:t=>qc(t,kct,(r,a)=>{const u=Tct(a.file,a.start,a.code);u&&Dct(r,t.sourceFile,u)})});function Tct(t,r,a){const u=Rs(t,r);if(ot(u)||Vs(u))return{node:u,className:a===xct?Cf(u).name.text:void 0}}function Dct(t,r,{node:a,className:u}){Lp(a),t.replaceNode(r,a,G.createPropertyAccessExpression(u?G.createIdentifier(u):G.createThis(),a))}var x3e="fixInvalidJsxCharacters_expression",I_e="fixInvalidJsxCharacters_htmlEntity",Ect=[k.Unexpected_token_Did_you_mean_or_gt.code,k.Unexpected_token_Did_you_mean_or_rbrace.code];Na({errorCodes:Ect,fixIds:[x3e,I_e],getCodeActions(t){const{sourceFile:r,preferences:a,span:u}=t,h=er.ChangeTracker.with(t,g=>k3e(g,a,r,u.start,!1)),p=er.ChangeTracker.with(t,g=>k3e(g,a,r,u.start,!0));return[ua(x3e,h,k.Wrap_invalid_character_in_an_expression_container,x3e,k.Wrap_all_invalid_characters_in_an_expression_container),ua(I_e,p,k.Convert_invalid_character_to_its_html_entity_code,I_e,k.Convert_all_invalid_characters_to_HTML_entity_code)]},getAllCodeActions(t){return qc(t,Ect,(r,a)=>k3e(r,t.preferences,a.file,a.start,t.fixId===I_e))}});var Ict={">":"&gt;","}":"&rbrace;"};function vHt(t){return Li(Ict,t)}function k3e(t,r,a,u,h){const p=a.getText()[u];if(!vHt(p))return;const g=h?Ict[p]:`{${_5(a,r,p)}}`;t.replaceRangeWithText(a,{pos:u,end:u+1},g)}var N_e="deleteUnmatchedParameter",Nct="renameUnmatchedParameter",Lct=[k.JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name.code];Na({fixIds:[N_e,Nct],errorCodes:Lct,getCodeActions:function(r){const{sourceFile:a,span:u}=r,h=[],p=Pct(a,u.start);if(p)return fn(h,bHt(r,p)),fn(h,CHt(r,p)),h},getAllCodeActions:function(r){const a=new Map;return gA(er.ChangeTracker.with(r,u=>{yA(r,Lct,({file:h,start:p})=>{const g=Pct(h,p);g&&a.set(g.signature,fn(a.get(g.signature),g.jsDocParameterTag))}),a.forEach((h,p)=>{if(r.fixId===N_e){const g=new Set(h);u.filterJSDocTags(p.getSourceFile(),p,C=>!g.has(C))}})}))}});function bHt(t,{name:r,jsDocHost:a,jsDocParameterTag:u}){const h=er.ChangeTracker.with(t,p=>p.filterJSDocTags(t.sourceFile,a,g=>g!==u));return ua(N_e,h,[k.Delete_unused_param_tag_0,r.getText(t.sourceFile)],N_e,k.Delete_all_unused_param_tags)}function CHt(t,{name:r,jsDocHost:a,signature:u,jsDocParameterTag:h}){if(!P(u.parameters))return;const p=t.sourceFile,g=Wk(u),C=new Set;for(const N of g)Qm(N)&&ot(N.name)&&C.add(N.name.escapedText);const b=J(u.parameters,N=>ot(N.name)&&!C.has(N.name.escapedText)?N.name.getText(p):void 0);if(b===void 0)return;const T=G.updateJSDocParameterTag(h,h.tagName,G.createIdentifier(b),h.isBracketed,h.typeExpression,h.isNameFirst,h.comment),E=er.ChangeTracker.with(t,N=>N.replaceJSDocComment(p,a,qt(g,R=>R===h?T:R)));return G0(Nct,E,[k.Rename_param_tag_name_0_to_1,r.getText(p),b])}function Pct(t,r){const a=Rs(t,r);if(a.parent&&Qm(a.parent)&&ot(a.parent.name)){const u=a.parent,h=zT(u),p=Jk(u);if(h&&p)return{jsDocHost:h,signature:p,name:a.parent.name,jsDocParameterTag:u}}}var T3e="fixUnreferenceableDecoratorMetadata",SHt=[k.A_type_referenced_in_a_decorated_signature_must_be_imported_with_import_type_or_a_namespace_import_when_isolatedModules_and_emitDecoratorMetadata_are_enabled.code];Na({errorCodes:SHt,getCodeActions:t=>{const r=wHt(t.sourceFile,t.program,t.span.start);if(!r)return;const a=er.ChangeTracker.with(t,p=>r.kind===276&&kHt(p,t.sourceFile,r,t.program)),u=er.ChangeTracker.with(t,p=>xHt(p,t.sourceFile,r,t.program));let h;return a.length&&(h=fn(h,G0(T3e,a,k.Convert_named_imports_to_namespace_import))),u.length&&(h=fn(h,G0(T3e,u,k.Use_import_type))),h},fixIds:[T3e]});function wHt(t,r,a){const u=Jr(Rs(t,a),ot);if(!u||u.parent.kind!==183)return;const p=r.getTypeChecker().getSymbolAtLocation(u);return Fe((p==null?void 0:p.declarations)||w,om(H0,l_,Wd))}function xHt(t,r,a,u){if(a.kind===271){t.insertModifierBefore(r,156,a.name);return}const h=a.kind===273?a:a.parent.parent;if(h.name&&h.namedBindings)return;const p=u.getTypeChecker();ATe(h,C=>{if(Vf(C.symbol,p).flags&111551)return!0})||t.insertModifierBefore(r,156,h)}function kHt(t,r,a,u){pA.doChangeNamedToNamespaceOrDefault(r,u,t,a.parent)}var bY="unusedIdentifier",D3e="unusedIdentifier_prefix",E3e="unusedIdentifier_delete",L_e="unusedIdentifier_deleteImports",I3e="unusedIdentifier_infer",Act=[k._0_is_declared_but_its_value_is_never_read.code,k._0_is_declared_but_never_used.code,k.Property_0_is_declared_but_its_value_is_never_read.code,k.All_imports_in_import_declaration_are_unused.code,k.All_destructured_elements_are_unused.code,k.All_variables_are_unused.code,k.All_type_parameters_are_unused.code];Na({errorCodes:Act,getCodeActions(t){const{errorCode:r,sourceFile:a,program:u,cancellationToken:h}=t,p=u.getTypeChecker(),g=u.getSourceFiles(),C=Rs(a,t.span.start);if(Rg(C))return[w5(er.ChangeTracker.with(t,N=>N.delete(a,C)),k.Remove_template_tag)];if(C.kind===30){const N=er.ChangeTracker.with(t,R=>Mct(R,a,C));return[w5(N,k.Remove_type_parameters)]}const b=Rct(C);if(b){const N=er.ChangeTracker.with(t,R=>R.delete(a,b));return[ua(bY,N,[k.Remove_import_from_0,bDe(b)],L_e,k.Delete_all_unused_imports)]}else if(N3e(C)){const N=er.ChangeTracker.with(t,R=>P_e(a,C,R,p,g,u,h,!1));if(N.length)return[ua(bY,N,[k.Remove_unused_declaration_for_Colon_0,C.getText(a)],L_e,k.Delete_all_unused_imports)]}if(dm(C.parent)||CS(C.parent)){if($s(C.parent.parent)){const N=C.parent.elements,R=[N.length>1?k.Remove_unused_declarations_for_Colon_0:k.Remove_unused_declaration_for_Colon_0,qt(N,F=>F.getText(a)).join(", ")];return[w5(er.ChangeTracker.with(t,F=>THt(F,a,C.parent)),R)]}return[w5(er.ChangeTracker.with(t,N=>DHt(t,N,a,C.parent)),k.Remove_unused_destructuring_declaration)]}if(Fct(a,C))return[w5(er.ChangeTracker.with(t,N=>Bct(N,a,C.parent)),k.Remove_variable_statement)];if(ot(C)&&Wu(C.parent))return[w5(er.ChangeTracker.with(t,N=>OHt(N,a,C.parent)),[k.Remove_unused_declaration_for_Colon_0,C.getText(a)])];const T=[];if(C.kind===140){const N=er.ChangeTracker.with(t,F=>Oct(F,a,C)),R=ha(C.parent,DI).typeParameter.name.text;T.push(ua(bY,N,[k.Replace_infer_0_with_unknown,R],I3e,k.Replace_all_unused_infer_with_unknown))}else{const N=er.ChangeTracker.with(t,R=>P_e(a,C,R,p,g,u,h,!1));if(N.length){const R=Ka(C.parent)?C.parent:C;T.push(w5(N,[k.Remove_unused_declaration_for_Colon_0,R.getText(a)]))}}const E=er.ChangeTracker.with(t,N=>Wct(N,r,a,C));return E.length&&T.push(ua(bY,E,[k.Prefix_0_with_an_underscore,C.getText(a)],D3e,k.Prefix_all_unused_declarations_with_where_possible)),T},fixIds:[D3e,E3e,L_e,I3e],getAllCodeActions:t=>{const{sourceFile:r,program:a,cancellationToken:u}=t,h=a.getTypeChecker(),p=a.getSourceFiles();return qc(t,Act,(g,C)=>{const b=Rs(r,C.start);switch(t.fixId){case D3e:Wct(g,C.code,r,b);break;case L_e:{const T=Rct(b);T?g.delete(r,T):N3e(b)&&P_e(r,b,g,h,p,a,u,!0);break}case E3e:{if(b.kind===140||N3e(b))break;if(Rg(b))g.delete(r,b);else if(b.kind===30)Mct(g,r,b);else if(dm(b.parent)){if(b.parent.parent.initializer)break;(!$s(b.parent.parent)||Vct(b.parent.parent,h,p))&&g.delete(r,b.parent.parent)}else{if(CS(b.parent.parent)&&b.parent.parent.parent.initializer)break;Fct(r,b)?Bct(g,r,b.parent):P_e(r,b,g,h,p,a,u,!0)}break}case I3e:b.kind===140&&Oct(g,r,b);break;default:j.fail(JSON.stringify(t.fixId))}})}});function Oct(t,r,a){t.replaceNode(r,a.parent,G.createKeywordTypeNode(159))}function w5(t,r){return ua(bY,t,r,E3e,k.Delete_all_unused_declarations)}function Mct(t,r,a){t.delete(r,j.checkDefined(ha(a.parent,Iae).typeParameters,"The type parameter to delete should exist"))}function N3e(t){return t.kind===102||t.kind===80&&(t.parent.kind===276||t.parent.kind===273)}function Rct(t){return t.kind===102?Jr(t.parent,du):void 0}function Fct(t,r){return Sf(r.parent)&&ya(r.parent.getChildren(t))===r}function Bct(t,r,a){t.delete(r,a.parent.kind===243?a.parent:a)}function THt(t,r,a){O(a.elements,u=>t.delete(r,u))}function DHt(t,r,a,{parent:u}){if(_s(u)&&u.initializer&&MT(u.initializer))if(Sf(u.parent)&&P(u.parent.declarations)>1){const h=u.parent.parent,p=h.getStart(a),g=h.end;r.delete(a,u),r.insertNodeAt(a,g,u.initializer,{prefix:rC(t.host,t.formatContext.options)+a.text.slice(OZ(a.text,p-1),p),suffix:kj(a)?";":""})}else r.replaceNode(a,u.parent,u.initializer);else r.delete(a,u)}function Wct(t,r,a,u){r!==k.Property_0_is_declared_but_its_value_is_never_read.code&&(u.kind===140&&(u=ha(u.parent,DI).typeParameter.name),ot(u)&&EHt(u)&&(t.replaceNode(a,u,G.createIdentifier(`_${u.text}`)),$s(u.parent)&&M6(u.parent).forEach(h=>{ot(h.name)&&t.replaceNode(a,h.name,G.createIdentifier(`_${h.name.text}`))})))}function EHt(t){switch(t.parent.kind){case 169:case 168:return!0;case 260:switch(t.parent.parent.parent.kind){case 250:case 249:return!0}}return!1}function P_e(t,r,a,u,h,p,g,C){IHt(r,a,t,u,h,p,g,C),ot(r)&&kl.Core.eachSymbolReferenceInFile(r,u,t,b=>{Nr(b.parent)&&b.parent.name===b&&(b=b.parent),!C&&AHt(b)&&a.delete(t,b.parent.parent)})}function IHt(t,r,a,u,h,p,g,C){const{parent:b}=t;if($s(b))NHt(r,a,b,u,h,p,g,C);else if(!(C&&ot(t)&&kl.Core.isSymbolReferencedInFile(t,u,a))){const T=H0(b)?t:Ka(b)?b.parent:b;j.assert(T!==a,"should not delete whole source file"),r.delete(a,T)}}function NHt(t,r,a,u,h,p,g,C=!1){if(LHt(u,r,a,h,p,g,C))if(a.modifiers&&a.modifiers.length>0&&(!ot(a.name)||kl.Core.isSymbolReferencedInFile(a.name,u,r)))for(const b of a.modifiers)Fa(b)&&t.deleteModifier(r,b);else!a.initializer&&Vct(a,u,h)&&t.delete(r,a)}function Vct(t,r,a){const u=t.parent.parameters.indexOf(t);return!kl.Core.someSignatureUsage(t.parent,a,r,(h,p)=>!p||p.arguments.length>u)}function LHt(t,r,a,u,h,p,g){const{parent:C}=a;switch(C.kind){case 174:case 176:const b=C.parameters.indexOf(a),T=Nu(C)?C.name:C,E=kl.Core.getReferencedSymbolsForNode(C.pos,T,h,u,p);if(E){for(const N of E)for(const R of N.references)if(R.kind===kl.EntryKind.Node){const F=g7(R.node)&&la(R.node.parent)&&R.node.parent.arguments.length>b,H=Nr(R.node.parent)&&g7(R.node.parent.expression)&&la(R.node.parent.parent)&&R.node.parent.parent.arguments.length>b,U=(Nu(R.node.parent)||W1(R.node.parent))&&R.node.parent!==a.parent&&R.node.parent.parameters.length>b;if(F||H||U)return!1}}return!0;case 262:return C.name&&PHt(t,r,C.name)?Hct(C,a,g):!0;case 218:case 219:return Hct(C,a,g);case 178:return!1;case 177:return!0;default:return j.failBadSyntaxKind(C)}}function PHt(t,r,a){return!!kl.Core.eachSymbolReferenceInFile(a,t,r,u=>ot(u)&&la(u.parent)&&u.parent.arguments.includes(u))}function Hct(t,r,a){const u=t.parameters,h=u.indexOf(r);return j.assert(h!==-1,"The parameter should already be in the list"),a?u.slice(h+1).every(p=>ot(p.name)&&!p.symbol.isReferenced):h===u.length-1}function AHt(t){return(ur(t.parent)&&t.parent.left===t||(_le(t.parent)||n2(t.parent))&&t.parent.operand===t)&&uf(t.parent.parent)}function OHt(t,r,a){const u=a.symbol.declarations;if(u)for(const h of u)t.delete(r,h)}var L3e="fixUnreachableCode",jct=[k.Unreachable_code_detected.code];Na({errorCodes:jct,getCodeActions(t){if(t.program.getSyntacticDiagnostics(t.sourceFile,t.cancellationToken).length)return;const a=er.ChangeTracker.with(t,u=>zct(u,t.sourceFile,t.span.start,t.span.length,t.errorCode));return[ua(L3e,a,k.Remove_unreachable_code,L3e,k.Remove_all_unreachable_code)]},fixIds:[L3e],getAllCodeActions:t=>qc(t,jct,(r,a)=>zct(r,a.file,a.start,a.length,a.code))});function zct(t,r,a,u,h){const p=Rs(r,a),g=Qi(p,Ps);if(g.getStart(r)!==p.getStart(r)){const b=JSON.stringify({statementKind:j.formatSyntaxKind(g.kind),tokenKind:j.formatSyntaxKind(p.kind),errorCode:h,start:a,length:u});j.fail("Token and statement should start at the same point. "+b)}const C=(Xo(g.parent)?g.parent:g).parent;if(!Xo(g.parent)||g===ya(g.parent.statements))switch(C.kind){case 245:if(C.elseStatement){if(Xo(g.parent))break;t.replaceNode(r,g,G.createBlock(w));return}case 247:case 248:t.delete(r,C);return}if(Xo(g.parent)){const b=a+u,T=j.checkDefined(MHt(jce(g.parent.statements,g),E=>E.pos<b),"Some statement should be last");t.deleteNodeRange(r,g,T)}else t.delete(r,g)}function MHt(t,r){let a;for(const u of t){if(!r(u))break;a=u}return a}var P3e="fixUnusedLabel",Uct=[k.Unused_label.code];Na({errorCodes:Uct,getCodeActions(t){const r=er.ChangeTracker.with(t,a=>qct(a,t.sourceFile,t.span.start));return[ua(P3e,r,k.Remove_unused_label,P3e,k.Remove_all_unused_labels)]},fixIds:[P3e],getAllCodeActions:t=>qc(t,Uct,(r,a)=>qct(r,a.file,a.start))});function qct(t,r,a){const u=Rs(r,a),h=ha(u.parent,_x),p=u.getStart(r),g=h.statement.getStart(r),C=qm(p,g,r)?g:Va(r.text,Uc(h,59,r).end,!0);t.deleteRange(r,{pos:p,end:C})}var $ct="fixJSDocTypes_plain",A3e="fixJSDocTypes_nullable",Jct=[k.JSDoc_types_can_only_be_used_inside_documentation_comments.code,k._0_at_the_end_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1.code,k._0_at_the_start_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1.code];Na({errorCodes:Jct,getCodeActions(t){const{sourceFile:r}=t,a=t.program.getTypeChecker(),u=Kct(r,t.span.start,a);if(!u)return;const{typeNode:h,type:p}=u,g=h.getText(r),C=[b(p,$ct,k.Change_all_jsdoc_style_types_to_TypeScript)];return h.kind===314&&C.push(b(p,A3e,k.Change_all_jsdoc_style_types_to_TypeScript_and_add_undefined_to_nullable_types)),C;function b(T,E,N){const R=er.ChangeTracker.with(t,F=>Gct(F,r,h,T,a));return ua("jdocTypes",R,[k.Change_0_to_1,g,a.typeToString(T)],E,N)}},fixIds:[$ct,A3e],getAllCodeActions(t){const{fixId:r,program:a,sourceFile:u}=t,h=a.getTypeChecker();return qc(t,Jct,(p,g)=>{const C=Kct(g.file,g.start,h);if(!C)return;const{typeNode:b,type:T}=C,E=b.kind===314&&r===A3e?h.getNullableType(T,32768):T;Gct(p,u,b,E,h)})}});function Gct(t,r,a,u,h){t.replaceNode(r,a,h.typeToTypeNode(u,a,void 0))}function Kct(t,r,a){const u=Qi(Rs(t,r),RHt),h=u&&u.type;return h&&{typeNode:h,type:FHt(a,h)}}function RHt(t){switch(t.kind){case 234:case 179:case 180:case 262:case 177:case 181:case 200:case 174:case 173:case 169:case 172:case 171:case 178:case 265:case 216:case 260:return!0;default:return!1}}function FHt(t,r){if(k3(r)){const a=t.getTypeFromTypeNode(r.type);return a===t.getNeverType()||a===t.getVoidType()?a:t.getUnionType(fn([a,t.getUndefinedType()],r.postfix?void 0:t.getNullType()))}return t.getTypeFromTypeNode(r)}var O3e="fixMissingCallParentheses",Xct=[k.This_condition_will_always_return_true_since_this_function_is_always_defined_Did_you_mean_to_call_it_instead.code];Na({errorCodes:Xct,fixIds:[O3e],getCodeActions(t){const{sourceFile:r,span:a}=t,u=Zct(r,a.start);if(!u)return;const h=er.ChangeTracker.with(t,p=>Qct(p,t.sourceFile,u));return[ua(O3e,h,k.Add_missing_call_parentheses,O3e,k.Add_all_missing_call_parentheses)]},getAllCodeActions:t=>qc(t,Xct,(r,a)=>{const u=Zct(a.file,a.start);u&&Qct(r,a.file,u)})});function Qct(t,r,a){t.replaceNodeWithText(r,a,`${a.text}()`)}function Zct(t,r){const a=Rs(t,r);if(Nr(a.parent)){let u=a.parent;for(;Nr(u.parent);)u=u.parent;return u.name}if(ot(a))return a}var Yct="fixMissingTypeAnnotationOnExports",M3e="add-annotation",R3e="add-type-assertion",BHt="extract-expression",elt=[k.Function_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations.code,k.Method_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations.code,k.At_least_one_accessor_must_have_an_explicit_return_type_annotation_with_isolatedDeclarations.code,k.Variable_must_have_an_explicit_type_annotation_with_isolatedDeclarations.code,k.Parameter_must_have_an_explicit_type_annotation_with_isolatedDeclarations.code,k.Property_must_have_an_explicit_type_annotation_with_isolatedDeclarations.code,k.Expression_type_can_t_be_inferred_with_isolatedDeclarations.code,k.Binding_elements_can_t_be_exported_directly_with_isolatedDeclarations.code,k.Computed_property_names_on_class_or_object_literals_cannot_be_inferred_with_isolatedDeclarations.code,k.Computed_properties_must_be_number_or_string_literals_variables_or_dotted_expressions_with_isolatedDeclarations.code,k.Enum_member_initializers_must_be_computable_without_references_to_external_symbols_with_isolatedDeclarations.code,k.Extends_clause_can_t_contain_an_expression_with_isolatedDeclarations.code,k.Objects_that_contain_shorthand_properties_can_t_be_inferred_with_isolatedDeclarations.code,k.Objects_that_contain_spread_assignments_can_t_be_inferred_with_isolatedDeclarations.code,k.Arrays_with_spread_elements_can_t_inferred_with_isolatedDeclarations.code,k.Default_exports_can_t_be_inferred_with_isolatedDeclarations.code,k.Only_const_arrays_can_be_inferred_with_isolatedDeclarations.code,k.Assigning_properties_to_functions_without_declaring_them_is_not_supported_with_isolatedDeclarations_Add_an_explicit_declaration_for_the_properties_assigned_to_this_function.code,k.Declaration_emit_for_this_parameter_requires_implicitly_adding_undefined_to_it_s_type_This_is_not_supported_with_isolatedDeclarations.code,k.Type_containing_private_name_0_can_t_be_used_with_isolatedDeclarations.code,k.Add_satisfies_and_a_type_assertion_to_this_expression_satisfies_T_as_T_to_make_the_type_explicit.code],WHt=new Set([177,174,172,262,218,219,260,169,277,263,206,207]),tlt=531469,ilt=1;Na({errorCodes:elt,fixIds:[Yct],getCodeActions(t){const r=[];return x5(M3e,r,t,0,a=>a.addTypeAnnotation(t.span)),x5(M3e,r,t,1,a=>a.addTypeAnnotation(t.span)),x5(M3e,r,t,2,a=>a.addTypeAnnotation(t.span)),x5(R3e,r,t,0,a=>a.addInlineAssertion(t.span)),x5(R3e,r,t,1,a=>a.addInlineAssertion(t.span)),x5(R3e,r,t,2,a=>a.addInlineAssertion(t.span)),x5(BHt,r,t,0,a=>a.extractAsVariable(t.span)),r},getAllCodeActions:t=>{const r=nlt(t,0,a=>{yA(t,elt,u=>{a.addTypeAnnotation(u)})});return gA(r.textChanges)}});function x5(t,r,a,u,h){const p=nlt(a,u,h);p.result&&p.textChanges.length&&r.push(ua(t,p.textChanges,p.result,Yct,k.Add_all_missing_type_annotations))}function nlt(t,r,a){const u={typeNode:void 0,mutatedTarget:!1},h=er.ChangeTracker.fromContext(t),p=t.sourceFile,g=t.program,C=g.getTypeChecker(),b=Ja(g.getCompilerOptions()),T=kD(t.sourceFile,t.program,t.preferences,t.host),E=new Set,N=new Set,R=bx({preserveSourceNewlines:!1}),F=a({addTypeAnnotation:H,addInlineAssertion:Q,extractAsVariable:re});return T.writeFixes(h),{result:F,textChanges:h.getChanges()};function H(Me){t.cancellationToken.throwIfCancellationRequested();const ut=Rs(p,Me.start),wt=oe(ut);if(wt)return Wu(wt)?U(wt):pe(wt);const Et=$e(ut);if(Et)return pe(Et)}function U(Me){var ut;if(N!=null&&N.has(Me))return;N==null||N.add(Me);const wt=C.getTypeAtLocation(Me),Et=C.getPropertiesOfType(wt);if(!Me.name||Et.length===0)return;const dt=[];for(const tn of Et)J_(tn.name,Ja(g.getCompilerOptions()))&&(tn.valueDeclaration&&_s(tn.valueDeclaration)||dt.push(G.createVariableStatement([G.createModifier(95)],G.createVariableDeclarationList([G.createVariableDeclaration(tn.name,void 0,Pe(C.getTypeOfSymbol(tn),Me),void 0)]))));if(dt.length===0)return;const zt=[];(ut=Me.modifiers)!=null&&ut.some(tn=>tn.kind===95)&&zt.push(G.createModifier(95)),zt.push(G.createModifier(138));const Ei=G.createModuleDeclaration(zt,Me.name,G.createModuleBlock(dt),101441696);return h.insertNodeAfter(p,Me,Ei),[k.Annotate_types_of_properties_expando_function_in_a_namespace]}function q(Me){return!pl(Me)&&!la(Me)&&!Aa(Me)&&!jf(Me)}function X(Me,ut){return q(Me)&&(Me=G.createParenthesizedExpression(Me)),G.createAsExpression(Me,ut)}function Z(Me,ut){return q(Me)&&(Me=G.createParenthesizedExpression(Me)),G.createAsExpression(G.createSatisfiesExpression(Me,Ec(ut)),ut)}function Q(Me){t.cancellationToken.throwIfCancellationRequested();const ut=Rs(p,Me.start);if(oe(ut))return;const Et=et(ut,Me);if(!Et||mP(Et)||mP(Et.parent))return;const dt=jt(Et),zt=lh(Et);if(!zt&&Wf(Et)||Qi(Et,ta)||Qi(Et,SS)||dt&&(Qi(Et,Tp)||Qi(Et,ws))||Km(Et))return;const Ei=Qi(Et,_s),tn=Ei&&C.getTypeAtLocation(Ei);if(tn&&tn.flags&8192||!(dt||zt))return;const{typeNode:xn,mutatedTarget:Pn}=Te(Et,tn);if(!(!xn||Pn))return zt?h.insertNodeAt(p,Et.end,X(Ec(Et.name),xn),{prefix:": "}):dt?h.replaceNode(p,Et,Z(Ec(Et),xn)):j.assertNever(Et),[k.Add_satisfies_and_an_inline_type_assertion_with_0,Nt(xn)]}function re(Me){t.cancellationToken.throwIfCancellationRequested();const ut=Rs(p,Me.start),wt=et(ut,Me);if(!wt||mP(wt)||mP(wt.parent)||!jt(wt))return;if(jf(wt))return h.replaceNode(p,wt,X(wt,G.createTypeReferenceNode("const"))),[k.Mark_array_literal_as_const];const dt=Qi(wt,_d);if(dt){if(dt===wt.parent&&pl(wt))return;const zt=G.createUniqueName(W4e(wt,p,C,p),16);let Ei=wt,tn=wt;if(Km(Ei)&&(Ei=By(Ei.parent),Oe(Ei.parent)?tn=Ei=Ei.parent:tn=X(Ei,G.createTypeReferenceNode("const"))),pl(Ei))return;const xn=G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(zt,void 0,void 0,tn)],2)),Pn=Qi(wt,Ps);return h.insertNodeBefore(p,Pn,xn),h.replaceNode(p,Ei,G.createAsExpression(G.cloneNode(zt),G.createTypeQueryNode(G.cloneNode(zt)))),[k.Extract_to_variable_and_replace_with_0_as_typeof_0,Nt(zt)]}}function oe(Me){const ut=Qi(Me,wt=>Ps(wt)?"quit":PP(wt));if(ut&&PP(ut)){let wt=ut;if(ur(wt)&&(wt=wt.left,!PP(wt)))return;const Et=C.getTypeAtLocation(wt.expression);if(!Et)return;const dt=C.getPropertiesOfType(Et);if(Ft(dt,zt=>zt.valueDeclaration===ut||zt.valueDeclaration===ut.parent)){const zt=Et.symbol.valueDeclaration;if(zt){if(lx(zt)&&_s(zt.parent))return zt.parent;if(Wu(zt))return zt}}}}function pe(Me){if(!(E!=null&&E.has(Me)))switch(E==null||E.add(Me),Me.kind){case 169:case 172:case 260:return ze(Me);case 219:case 218:case 262:case 174:case 177:return de(Me,p);case 277:return ue(Me);case 263:return Ie(Me);case 206:case 207:return we(Me);default:throw new Error(`Cannot find a fix for the given node ${Me.kind}`)}}function de(Me,ut){if(Me.type)return;const{typeNode:wt}=Te(Me);if(wt)return h.tryInsertTypeAnnotation(ut,Me,wt),[k.Add_return_type_0,Nt(wt)]}function ue(Me){if(Me.isExportEquals)return;const{typeNode:ut}=Te(Me.expression);if(!ut)return;const wt=G.createUniqueName("_default");return h.replaceNodeWithNodes(p,Me,[G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(wt,void 0,ut,Me.expression)],2)),G.updateExportAssignment(Me,Me==null?void 0:Me.modifiers,wt)]),[k.Extract_default_export_to_variable]}function Ie(Me){var ut,wt;const Et=(ut=Me.heritageClauses)==null?void 0:ut.find(_i=>_i.token===96),dt=Et==null?void 0:Et.types[0];if(!dt)return;const{typeNode:zt}=Te(dt.expression);if(!zt)return;const Ei=G.createUniqueName(Me.name?Me.name.text+"Base":"Anonymous",16),tn=G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(Ei,void 0,zt,dt.expression)],2));h.insertNodeBefore(p,Me,tn);const xn=qw(p.text,dt.end),Pn=((wt=xn==null?void 0:xn[xn.length-1])==null?void 0:wt.end)??dt.end;return h.replaceRange(p,{pos:dt.getFullStart(),end:Pn},Ei,{prefix:" "}),[k.Extract_base_class_to_variable]}function we(Me){var ut;const wt=Me.parent,Et=Me.parent.parent.parent;if(!wt.initializer)return;let dt;const zt=[];if(ot(wt.initializer))dt={expression:{kind:3,identifier:wt.initializer}};else{const xn=G.createUniqueName("dest",16);dt={expression:{kind:3,identifier:xn}},zt.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(xn,void 0,void 0,wt.initializer)],2)))}const Ei=[];CS(Me)?he(Me,Ei,dt):Ae(Me,Ei,dt);const tn=new Map;for(const xn of Ei){if(xn.element.propertyName&&Ka(xn.element.propertyName)){const _i=xn.element.propertyName.expression,Fi=G.getGeneratedNameForNode(_i),On=G.createVariableDeclaration(Fi,void 0,void 0,_i),pn=G.createVariableDeclarationList([On],2),qr=G.createVariableStatement(void 0,pn);zt.push(qr),tn.set(_i,Fi)}const Pn=xn.element.name;if(CS(Pn))he(Pn,Ei,xn);else if(dm(Pn))Ae(Pn,Ei,xn);else{const{typeNode:_i}=Te(Pn);let Fi=ke(xn,tn);if(xn.element.initializer){const pn=(ut=xn.element)==null?void 0:ut.propertyName,qr=G.createUniqueName(pn&&ot(pn)?pn.text:"temp",16);zt.push(G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(qr,void 0,void 0,Fi)],2))),Fi=G.createConditionalExpression(G.createBinaryExpression(qr,G.createToken(37),G.createIdentifier("undefined")),G.createToken(58),xn.element.initializer,G.createToken(59),Fi)}const On=Zr(Et,32)?[G.createToken(95)]:void 0;zt.push(G.createVariableStatement(On,G.createVariableDeclarationList([G.createVariableDeclaration(Pn,void 0,_i,Fi)],2)))}}return Et.declarationList.declarations.length>1&&zt.push(G.updateVariableStatement(Et,Et.modifiers,G.updateVariableDeclarationList(Et.declarationList,Et.declarationList.declarations.filter(xn=>xn!==Me.parent)))),h.replaceNodeWithNodes(p,Et,zt),[k.Extract_binding_expressions_to_variable]}function he(Me,ut,wt){for(let Et=0;Et<Me.elements.length;++Et){const dt=Me.elements[Et];Bd(dt)||ut.push({element:dt,parent:wt,expression:{kind:2,arrayIndex:Et}})}}function Ae(Me,ut,wt){for(const Et of Me.elements){let dt;if(Et.propertyName)if(Ka(Et.propertyName)){ut.push({element:Et,parent:wt,expression:{kind:1,computed:Et.propertyName.expression}});continue}else dt=Et.propertyName.text;else dt=Et.name.text;ut.push({element:Et,parent:wt,expression:{kind:0,text:dt}})}}function ke(Me,ut){const wt=[Me];for(;Me.parent;)Me=Me.parent,wt.push(Me);let Et=wt[wt.length-1].expression.identifier;for(let dt=wt.length-2;dt>=0;--dt){const zt=wt[dt].expression;zt.kind===0?Et=G.createPropertyAccessChain(Et,void 0,G.createIdentifier(zt.text)):zt.kind===1?Et=G.createElementAccessExpression(Et,ut.get(zt.computed)):zt.kind===2&&(Et=G.createElementAccessExpression(Et,zt.arrayIndex))}return Et}function Te(Me,ut){if(r===1)return He(Me);let wt;if(mP(Me)){const zt=C.getSignatureFromDeclaration(Me);if(zt){const Ei=C.getTypePredicateOfSignature(zt);if(Ei)return Ei.type?{typeNode:We(Ei,Qi(Me,Wf)??p,dt(Ei.type)),mutatedTarget:!1}:u;wt=C.getReturnTypeOfSignature(zt)}}else wt=C.getTypeAtLocation(Me);if(!wt)return u;if(r===2){ut&&(wt=ut);const zt=C.getWidenedLiteralType(wt);if(C.isTypeAssignableTo(zt,wt))return u;wt=zt}const Et=Qi(Me,Wf)??p;return $s(Me)&&C.requiresAddingImplicitUndefined(Me,Et)&&(wt=C.getUnionType([C.getUndefinedType(),wt],0)),{typeNode:Pe(wt,Et,dt(wt)),mutatedTarget:!1};function dt(zt){return(_s(Me)||Lo(Me)&&Zr(Me,264))&&zt.flags&8192?1048576:0}}function De(Me){return G.createTypeQueryNode(Ec(Me))}function qe(Me,ut="temp"){const wt=!!Qi(Me,Oe);return wt?Ne(Me,ut,wt,Et=>Et.elements,Km,G.createSpreadElement,Et=>G.createArrayLiteralExpression(Et,!0),Et=>G.createTupleTypeNode(Et.map(G.createRestTypeNode))):u}function se(Me,ut="temp"){const wt=!!Qi(Me,Oe);return Ne(Me,ut,wt,Et=>Et.properties,Kv,G.createSpreadAssignment,Et=>G.createObjectLiteralExpression(Et,!0),G.createIntersectionTypeNode)}function Ne(Me,ut,wt,Et,dt,zt,Ei,tn){const xn=[],Pn=[];let _i;const Fi=Qi(Me,Ps);for(const qr of Et(Me))dt(qr)?(pn(),pl(qr.expression)?(xn.push(De(qr.expression)),Pn.push(qr)):On(qr.expression)):(_i??(_i=[])).push(qr);if(Pn.length===0)return u;return pn(),h.replaceNode(p,Me,Ei(Pn)),{typeNode:tn(xn),mutatedTarget:!0};function On(qr){const Fs=G.createUniqueName(ut+"_Part"+(Pn.length+1),16),ko=wt?G.createAsExpression(qr,G.createTypeReferenceNode("const")):qr,ls=G.createVariableStatement(void 0,G.createVariableDeclarationList([G.createVariableDeclaration(Fs,void 0,void 0,ko)],2));h.insertNodeBefore(p,Fi,ls),xn.push(De(Fs)),Pn.push(zt(Fs))}function pn(){_i&&(On(Ei(_i)),_i=void 0)}}function Oe(Me){return Hk(Me)&&O0(Me.type)}function He(Me){if($s(Me))return u;if(lh(Me))return{typeNode:De(Me.name),mutatedTarget:!1};if(pl(Me))return{typeNode:De(Me),mutatedTarget:!1};if(Oe(Me))return He(Me.expression);if(jf(Me)){const ut=Qi(Me,_s),wt=ut&&ot(ut.name)?ut.name.text:void 0;return qe(Me,wt)}if(Aa(Me)){const ut=Qi(Me,_s),wt=ut&&ot(ut.name)?ut.name.text:void 0;return se(Me,wt)}if(_s(Me)&&Me.initializer)return He(Me.initializer);if(qP(Me)){const{typeNode:ut,mutatedTarget:wt}=He(Me.whenTrue);if(!ut)return u;const{typeNode:Et,mutatedTarget:dt}=He(Me.whenFalse);return Et?{typeNode:G.createUnionTypeNode([ut,Et]),mutatedTarget:wt||dt}:u}return u}function Pe(Me,ut,wt=0){let Et=!1;const dt=CY(C,T,Me,ut,b,tlt|wt,ilt,{moduleResolverHost:g,trackSymbol(){return!0},reportTruncationError(){Et=!0}});return Et?G.createKeywordTypeNode(133):dt}function We(Me,ut,wt=0){let Et=!1;const dt=klt(C,T,Me,ut,b,tlt|wt,ilt,{moduleResolverHost:g,trackSymbol(){return!0},reportTruncationError(){Et=!0}});return Et?G.createKeywordTypeNode(133):dt}function ze(Me){const{typeNode:ut}=Te(Me);if(ut)return Me.type?h.replaceNode(_n(Me),Me.type,ut):h.tryInsertTypeAnnotation(_n(Me),Me,ut),[k.Add_annotation_of_type_0,Nt(ut)]}function Nt(Me){tr(Me,1);const ut=R.printNode(4,Me,p);return ut.length>x8?ut.substring(0,x8-3)+"...":(tr(Me,0),ut)}function $e(Me){return Qi(Me,ut=>WHt.has(ut.kind)&&(!dm(ut)&&!CS(ut)||_s(ut.parent)))}function et(Me,ut){for(;Me&&Me.end<ut.start+ut.length;)Me=Me.parent;for(;Me.parent.pos===Me.pos&&Me.parent.end===Me.end;)Me=Me.parent;return ot(Me)&&aS(Me.parent)&&Me.parent.initializer?Me.parent.initializer:Me}}var F3e="fixAwaitInSyncFunction",rlt=[k.await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,k.await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,k.for_await_loops_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,k.Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function.code];Na({errorCodes:rlt,getCodeActions(t){const{sourceFile:r,span:a}=t,u=slt(r,a.start);if(!u)return;const h=er.ChangeTracker.with(t,p=>olt(p,r,u));return[ua(F3e,h,k.Add_async_modifier_to_containing_function,F3e,k.Add_all_missing_async_modifiers)]},fixIds:[F3e],getAllCodeActions:function(r){const a=new Map;return qc(r,rlt,(u,h)=>{const p=slt(h.file,h.start);!p||!Pg(a,Sc(p.insertBefore))||olt(u,r.sourceFile,p)})}});function VHt(t){if(t.type)return t.type;if(_s(t.parent)&&t.parent.type&&Hy(t.parent.type))return t.parent.type.type}function slt(t,r){const a=Rs(t,r),u=Up(a);if(!u)return;let h;switch(u.kind){case 174:h=u.name;break;case 262:case 218:h=Uc(u,100,t);break;case 219:const p=u.typeParameters?30:21;h=Uc(u,p,t)||ya(u.parameters);break;default:return}return h&&{insertBefore:h,returnType:VHt(u)}}function olt(t,r,{insertBefore:a,returnType:u}){if(u){const h=cV(u);(!h||h.kind!==80||h.text!=="Promise")&&t.replaceNode(r,u,G.createTypeReferenceNode("Promise",G.createNodeArray([u])))}t.insertModifierBefore(r,134,a)}var alt=[k._0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property.code,k._0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor.code],B3e="fixPropertyOverrideAccessor";Na({errorCodes:alt,getCodeActions(t){const r=clt(t.sourceFile,t.span.start,t.span.length,t.errorCode,t);if(r)return[ua(B3e,r,k.Generate_get_and_set_accessors,B3e,k.Generate_get_and_set_accessors_for_all_overriding_properties)]},fixIds:[B3e],getAllCodeActions:t=>qc(t,alt,(r,a)=>{const u=clt(a.file,a.start,a.length,a.code,t);if(u)for(const h of u)r.pushRaw(t.sourceFile,h)})});function clt(t,r,a,u,h){let p,g;if(u===k._0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property.code)p=r,g=r+a;else if(u===k._0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor.code){const C=h.program.getTypeChecker(),b=Rs(t,r).parent;j.assert(Qw(b),"error span of fixPropertyOverrideAccessor should only be on an accessor");const T=b.parent;j.assert(ss(T),"erroneous accessors should only be inside classes");const E=Bm(Q3e(T,C));if(!E)return[];const N=Ws(lP(b.name)),R=C.getPropertyOfType(C.getTypeAtLocation(E),N);if(!R||!R.valueDeclaration)return[];p=R.valueDeclaration.pos,g=R.valueDeclaration.end,t=_n(R.valueDeclaration)}else j.fail("fixPropertyOverrideAccessor codefix got unexpected error code "+u);return Nlt(t,h.program,p,g,h,k.Generate_get_and_set_accessors.message)}var W3e="inferFromUsage",llt=[k.Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined.code,k.Variable_0_implicitly_has_an_1_type.code,k.Parameter_0_implicitly_has_an_1_type.code,k.Rest_parameter_0_implicitly_has_an_any_type.code,k.Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation.code,k._0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type.code,k.Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation.code,k.Member_0_implicitly_has_an_1_type.code,k.Variable_0_implicitly_has_type_1_in_some_locations_but_a_better_type_may_be_inferred_from_usage.code,k.Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code,k.Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code,k.Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage.code,k.Property_0_implicitly_has_type_any_but_a_better_type_for_its_get_accessor_may_be_inferred_from_usage.code,k._0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage.code,k.Property_0_implicitly_has_type_any_but_a_better_type_for_its_set_accessor_may_be_inferred_from_usage.code,k.Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code,k.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation.code];Na({errorCodes:llt,getCodeActions(t){const{sourceFile:r,program:a,span:{start:u},errorCode:h,cancellationToken:p,host:g,preferences:C}=t,b=Rs(r,u);let T;const E=er.ChangeTracker.with(t,R=>{T=ult(R,r,b,h,a,p,Pk,g,C)}),N=T&&No(T);return!N||E.length===0?void 0:[ua(W3e,E,[HHt(h,b),uu(N)],W3e,k.Infer_all_types_from_usage)]},fixIds:[W3e],getAllCodeActions(t){const{sourceFile:r,program:a,cancellationToken:u,host:h,preferences:p}=t,g=Z3();return qc(t,llt,(C,b)=>{ult(C,r,Rs(b.file,b.start),b.code,a,u,g,h,p)})}});function HHt(t,r){switch(t){case k.Parameter_0_implicitly_has_an_1_type.code:case k.Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code:return kp(Up(r))?k.Infer_type_of_0_from_usage:k.Infer_parameter_types_from_usage;case k.Rest_parameter_0_implicitly_has_an_any_type.code:case k.Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage.code:return k.Infer_parameter_types_from_usage;case k.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation.code:return k.Infer_this_type_of_0_from_usage;default:return k.Infer_type_of_0_from_usage}}function jHt(t){switch(t){case k.Variable_0_implicitly_has_type_1_in_some_locations_but_a_better_type_may_be_inferred_from_usage.code:return k.Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined.code;case k.Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code:return k.Variable_0_implicitly_has_an_1_type.code;case k.Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code:return k.Parameter_0_implicitly_has_an_1_type.code;case k.Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage.code:return k.Rest_parameter_0_implicitly_has_an_any_type.code;case k.Property_0_implicitly_has_type_any_but_a_better_type_for_its_get_accessor_may_be_inferred_from_usage.code:return k.Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation.code;case k._0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage.code:return k._0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type.code;case k.Property_0_implicitly_has_type_any_but_a_better_type_for_its_set_accessor_may_be_inferred_from_usage.code:return k.Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation.code;case k.Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code:return k.Member_0_implicitly_has_an_1_type.code}return t}function ult(t,r,a,u,h,p,g,C,b){if(!b8(a.kind)&&a.kind!==80&&a.kind!==26&&a.kind!==110)return;const{parent:T}=a,E=kD(r,h,b,C);switch(u=jHt(u),u){case k.Member_0_implicitly_has_an_1_type.code:case k.Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined.code:if(_s(T)&&g(T)||Lo(T)||O_(T))return dlt(t,E,r,T,h,C,p),E.writeFixes(t),T;if(Nr(T)){const F=$j(T.name,h,p),H=p5(F,T,h,C);if(H){const U=G.createJSDocTypeTag(void 0,G.createJSDocTypeExpression(H),void 0);t.addJSDocTags(r,ha(T.parent.parent,uf),[U])}return E.writeFixes(t),T}return;case k.Variable_0_implicitly_has_an_1_type.code:{const F=h.getTypeChecker().getSymbolAtLocation(a);return F&&F.valueDeclaration&&_s(F.valueDeclaration)&&g(F.valueDeclaration)?(dlt(t,E,_n(F.valueDeclaration),F.valueDeclaration,h,C,p),E.writeFixes(t),F.valueDeclaration):void 0}}const N=Up(a);if(N===void 0)return;let R;switch(u){case k.Parameter_0_implicitly_has_an_1_type.code:if(kp(N)){flt(t,E,r,N,h,C,p),R=N;break}case k.Rest_parameter_0_implicitly_has_an_any_type.code:if(g(N)){const F=ha(T,$s);zHt(t,E,r,F,N,h,C,p),R=F}break;case k.Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation.code:case k._0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type.code:um(N)&&ot(N.name)&&(A_e(t,E,r,N,$j(N.name,h,p),h,C),R=N);break;case k.Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation.code:kp(N)&&(flt(t,E,r,N,h,C,p),R=N);break;case k.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation.code:er.isThisTypeAnnotatable(N)&&g(N)&&(UHt(t,r,N,h,C,p),R=N);break;default:return j.fail(String(u))}return E.writeFixes(t),R}function dlt(t,r,a,u,h,p,g){ot(u.name)&&A_e(t,r,a,u,$j(u.name,h,g),h,p)}function zHt(t,r,a,u,h,p,g,C){if(!ot(u.name))return;const b=JHt(h,a,p,C);if(j.assert(h.parameters.length===b.length,"Parameter count and inference count should match"),nr(h))hlt(t,a,b,p,g);else{const T=xl(h)&&!Uc(h,21,a);T&&t.insertNodeBefore(a,ya(h.parameters),G.createToken(21));for(const{declaration:E,type:N}of b)E&&!E.type&&!E.initializer&&A_e(t,r,a,E,N,p,g);T&&t.insertNodeAfter(a,va(h.parameters),G.createToken(22))}}function UHt(t,r,a,u,h,p){const g=_lt(a,r,u,p);if(!g||!g.length)return;const C=H3e(u,g,p).thisParameter(),b=p5(C,a,u,h);b&&(nr(a)?qHt(t,r,a,b):t.tryInsertThisTypeAnnotation(r,a,b))}function qHt(t,r,a,u){t.addJSDocTags(r,a,[G.createJSDocThisTag(void 0,G.createJSDocTypeExpression(u))])}function flt(t,r,a,u,h,p,g){const C=Ra(u.parameters);if(C&&ot(u.name)&&ot(C.name)){let b=$j(u.name,h,g);b===h.getTypeChecker().getAnyType()&&(b=$j(C.name,h,g)),nr(u)?hlt(t,a,[{declaration:C,type:b}],h,p):A_e(t,r,a,C,b,h,p)}}function A_e(t,r,a,u,h,p,g){const C=p5(h,u,p,g);if(C)if(nr(a)&&u.kind!==171){const b=_s(u)?Jr(u.parent.parent,Lu):u;if(!b)return;const T=G.createJSDocTypeExpression(C),E=um(u)?G.createJSDocReturnTag(void 0,T,void 0):G.createJSDocTypeTag(void 0,T,void 0);t.addJSDocTags(a,b,[E])}else $Ht(C,u,a,t,r,Ja(p.getCompilerOptions()))||t.tryInsertTypeAnnotation(a,u,C)}function $Ht(t,r,a,u,h,p){const g=TD(t,p);return g&&u.tryInsertTypeAnnotation(a,r,g.typeNode)?(O(g.symbols,C=>h.addImportFromExportedSymbol(C,!0)),!0):!1}function hlt(t,r,a,u,h){const p=a.length&&a[0].declaration.parent;if(!p)return;const g=es(a,C=>{const b=C.declaration;if(b.initializer||Bk(b)||!ot(b.name))return;const T=C.type&&p5(C.type,b,u,h);if(T){const E=G.cloneNode(b.name);return tr(E,7168),{name:G.cloneNode(b.name),param:b,isOptional:!!C.isOptional,typeNode:T}}});if(g.length)if(xl(p)||ml(p)){const C=xl(p)&&!Uc(p,21,r);C&&t.insertNodeBefore(r,ya(p.parameters),G.createToken(21)),O(g,({typeNode:b,param:T})=>{const E=G.createJSDocTypeTag(void 0,G.createJSDocTypeExpression(b)),N=G.createJSDocComment(void 0,[E]);t.insertNodeAt(r,T.getStart(r),N,{suffix:" "})}),C&&t.insertNodeAfter(r,va(p.parameters),G.createToken(22))}else{const C=qt(g,({name:b,typeNode:T,isOptional:E})=>G.createJSDocParameterTag(void 0,b,!!E,G.createJSDocTypeExpression(T),!1,void 0));t.addJSDocTags(r,p,C)}}function V3e(t,r,a){return es(kl.getReferenceEntriesForNode(-1,t,r,r.getSourceFiles(),a),u=>u.kind!==kl.EntryKind.Span?Jr(u.node,ot):void 0)}function $j(t,r,a){const u=V3e(t,r,a);return H3e(r,u,a).single()}function JHt(t,r,a,u){const h=_lt(t,r,a,u);return h&&H3e(a,h,u).parameters(t)||t.parameters.map(p=>({declaration:p,type:ot(p.name)?$j(p.name,a,u):a.getTypeChecker().getAnyType()}))}function _lt(t,r,a,u){let h;switch(t.kind){case 176:h=Uc(t,137,r);break;case 219:case 218:const p=t.parent;h=(_s(p)||Lo(p))&&ot(p.name)?p.name:t.name;break;case 262:case 174:case 173:h=t.name;break}if(h)return V3e(h,a,u)}function H3e(t,r,a){const u=t.getTypeChecker(),h={string:()=>u.getStringType(),number:()=>u.getNumberType(),Array:We=>u.createArrayType(We),Promise:We=>u.createPromiseType(We)},p=[u.getStringType(),u.getNumberType(),u.createArrayType(u.getAnyType()),u.createPromiseType(u.getAnyType())];return{single:b,parameters:T,thisParameter:E};function g(){return{isNumber:void 0,isString:void 0,isNumberOrString:void 0,candidateTypes:void 0,properties:void 0,calls:void 0,constructs:void 0,numberIndex:void 0,stringIndex:void 0,candidateThisTypes:void 0,inferredTypes:void 0}}function C(We){const ze=new Map;for(const $e of We)$e.properties&&$e.properties.forEach((et,Me)=>{ze.has(Me)||ze.set(Me,[]),ze.get(Me).push(et)});const Nt=new Map;return ze.forEach(($e,et)=>{Nt.set(et,C($e))}),{isNumber:We.some($e=>$e.isNumber),isString:We.some($e=>$e.isString),isNumberOrString:We.some($e=>$e.isNumberOrString),candidateTypes:Rr(We,$e=>$e.candidateTypes),properties:Nt,calls:Rr(We,$e=>$e.calls),constructs:Rr(We,$e=>$e.constructs),numberIndex:O(We,$e=>$e.numberIndex),stringIndex:O(We,$e=>$e.stringIndex),candidateThisTypes:Rr(We,$e=>$e.candidateThisTypes),inferredTypes:void 0}}function b(){return Ie(N(r))}function T(We){if(r.length===0||!We.parameters)return;const ze=g();for(const $e of r)a.throwIfCancellationRequested(),R($e,ze);const Nt=[...ze.constructs||[],...ze.calls||[]];return We.parameters.map(($e,et)=>{const Me=[],ut=Oy($e);let wt=!1;for(const dt of Nt)if(dt.argumentTypes.length<=et)wt=nr(We),Me.push(u.getUndefinedType());else if(ut)for(let zt=et;zt<dt.argumentTypes.length;zt++)Me.push(u.getBaseTypeOfLiteralType(dt.argumentTypes[zt]));else Me.push(u.getBaseTypeOfLiteralType(dt.argumentTypes[et]));if(ot($e.name)){const dt=N(V3e($e.name,t,a));Me.push(...ut?es(dt,u.getElementTypeOfArrayType):dt)}const Et=Ie(Me);return{type:ut?u.createArrayType(Et):Et,isOptional:wt&&!ut,declaration:$e}})}function E(){const We=g();for(const ze of r)a.throwIfCancellationRequested(),R(ze,We);return Ie(We.candidateThisTypes||w)}function N(We){const ze=g();for(const Nt of We)a.throwIfCancellationRequested(),R(Nt,ze);return he(ze)}function R(We,ze){for(;q8(We);)We=We.parent;switch(We.parent.kind){case 244:H(We,ze);break;case 225:ze.isNumber=!0;break;case 224:U(We.parent,ze);break;case 226:q(We,We.parent,ze);break;case 296:case 297:X(We.parent,ze);break;case 213:case 214:We.parent.expression===We?Z(We.parent,ze):F(We,ze);break;case 211:Q(We.parent,ze);break;case 212:re(We.parent,We,ze);break;case 303:case 304:oe(We.parent,ze);break;case 172:pe(We.parent,ze);break;case 260:{const{name:Nt,initializer:$e}=We.parent;if(We===Nt){$e&&He(ze,u.getTypeAtLocation($e));break}}default:return F(We,ze)}}function F(We,ze){F0(We)&&He(ze,u.getContextualType(We))}function H(We,ze){He(ze,la(We)?u.getVoidType():u.getAnyType())}function U(We,ze){switch(We.operator){case 46:case 47:case 41:case 55:ze.isNumber=!0;break;case 40:ze.isNumberOrString=!0;break}}function q(We,ze,Nt){switch(ze.operatorToken.kind){case 43:case 42:case 44:case 45:case 48:case 49:case 50:case 51:case 52:case 53:case 66:case 68:case 67:case 69:case 70:case 74:case 75:case 79:case 71:case 73:case 72:case 41:case 30:case 33:case 32:case 34:const $e=u.getTypeAtLocation(ze.left===We?ze.right:ze.left);$e.flags&1056?He(Nt,$e):Nt.isNumber=!0;break;case 65:case 40:const et=u.getTypeAtLocation(ze.left===We?ze.right:ze.left);et.flags&1056?He(Nt,et):et.flags&296?Nt.isNumber=!0:et.flags&402653316?Nt.isString=!0:et.flags&1||(Nt.isNumberOrString=!0);break;case 64:case 35:case 37:case 38:case 36:case 77:case 78:case 76:He(Nt,u.getTypeAtLocation(ze.left===We?ze.right:ze.left));break;case 103:We===ze.left&&(Nt.isString=!0);break;case 57:case 61:We===ze.left&&(We.parent.parent.kind===260||lf(We.parent.parent,!0))&&He(Nt,u.getTypeAtLocation(ze.right));break}}function X(We,ze){He(ze,u.getTypeAtLocation(We.parent.parent.expression))}function Z(We,ze){const Nt={argumentTypes:[],return_:g()};if(We.arguments)for(const $e of We.arguments)Nt.argumentTypes.push(u.getTypeAtLocation($e));R(We,Nt.return_),We.kind===213?(ze.calls||(ze.calls=[])).push(Nt):(ze.constructs||(ze.constructs=[])).push(Nt)}function Q(We,ze){const Nt=cu(We.name.text);ze.properties||(ze.properties=new Map);const $e=ze.properties.get(Nt)||g();R(We,$e),ze.properties.set(Nt,$e)}function re(We,ze,Nt){if(ze===We.argumentExpression){Nt.isNumberOrString=!0;return}else{const $e=u.getTypeAtLocation(We.argumentExpression),et=g();R(We,et),$e.flags&296?Nt.numberIndex=et:Nt.stringIndex=et}}function oe(We,ze){const Nt=_s(We.parent.parent)?We.parent.parent:We.parent;Pe(ze,u.getTypeAtLocation(Nt))}function pe(We,ze){Pe(ze,u.getTypeAtLocation(We.parent))}function de(We,ze){const Nt=[];for(const $e of We)for(const{high:et,low:Me}of ze)et($e)&&(j.assert(!Me($e),"Priority can't have both low and high"),Nt.push(Me));return We.filter($e=>Nt.every(et=>!et($e)))}function ue(We){return Ie(he(We))}function Ie(We){if(!We.length)return u.getAnyType();const ze=u.getUnionType([u.getStringType(),u.getNumberType()]);let $e=de(We,[{high:Me=>Me===u.getStringType()||Me===u.getNumberType(),low:Me=>Me===ze},{high:Me=>!(Me.flags&16385),low:Me=>!!(Me.flags&16385)},{high:Me=>!(Me.flags&114689)&&!(Or(Me)&16),low:Me=>!!(Or(Me)&16)}]);const et=$e.filter(Me=>Or(Me)&16);return et.length&&($e=$e.filter(Me=>!(Or(Me)&16)),$e.push(we(et))),u.getWidenedType(u.getUnionType($e.map(u.getBaseTypeOfLiteralType),2))}function we(We){if(We.length===1)return We[0];const ze=[],Nt=[],$e=[],et=[];let Me=!1,ut=!1;const wt=Of();for(const zt of We){for(const xn of u.getPropertiesOfType(zt))wt.add(xn.escapedName,xn.valueDeclaration?u.getTypeOfSymbolAtLocation(xn,xn.valueDeclaration):u.getAnyType());ze.push(...u.getSignaturesOfType(zt,0)),Nt.push(...u.getSignaturesOfType(zt,1));const Ei=u.getIndexInfoOfType(zt,0);Ei&&($e.push(Ei.type),Me=Me||Ei.isReadonly);const tn=u.getIndexInfoOfType(zt,1);tn&&(et.push(tn.type),ut=ut||tn.isReadonly)}const Et=Nv(wt,(zt,Ei)=>{const tn=Ei.length<We.length?16777216:0,xn=u.createSymbol(4|tn,zt);return xn.links.type=u.getUnionType(Ei),[zt,xn]}),dt=[];return $e.length&&dt.push(u.createIndexInfo(u.getStringType(),u.getUnionType($e),Me)),et.length&&dt.push(u.createIndexInfo(u.getNumberType(),u.getUnionType(et),ut)),u.createAnonymousType(We[0].symbol,Et,ze,Nt,dt)}function he(We){var ze,Nt,$e;const et=[];We.isNumber&&et.push(u.getNumberType()),We.isString&&et.push(u.getStringType()),We.isNumberOrString&&et.push(u.getUnionType([u.getStringType(),u.getNumberType()])),We.numberIndex&&et.push(u.createArrayType(ue(We.numberIndex))),((ze=We.properties)!=null&&ze.size||(Nt=We.constructs)!=null&&Nt.length||We.stringIndex)&&et.push(Ae(We));const Me=(We.candidateTypes||[]).map(wt=>u.getBaseTypeOfLiteralType(wt)),ut=($e=We.calls)!=null&&$e.length?Ae(We):void 0;return ut&&Me?et.push(u.getUnionType([ut,...Me],2)):(ut&&et.push(ut),P(Me)&&et.push(...Me)),et.push(...ke(We)),et}function Ae(We){const ze=new Map;We.properties&&We.properties.forEach((Me,ut)=>{const wt=u.createSymbol(4,ut);wt.links.type=ue(Me),ze.set(ut,wt)});const Nt=We.calls?[Oe(We.calls)]:[],$e=We.constructs?[Oe(We.constructs)]:[],et=We.stringIndex?[u.createIndexInfo(u.getStringType(),ue(We.stringIndex),!1)]:[];return u.createAnonymousType(void 0,ze,Nt,$e,et)}function ke(We){if(!We.properties||!We.properties.size)return[];const ze=p.filter(Nt=>Te(Nt,We));return 0<ze.length&&ze.length<3?ze.map(Nt=>De(Nt,We)):[]}function Te(We,ze){return ze.properties?!cf(ze.properties,(Nt,$e)=>{const et=u.getTypeOfPropertyOfType(We,$e);return et?Nt.calls?!u.getSignaturesOfType(et,0).length||!u.isTypeAssignableTo(et,Ne(Nt.calls)):!u.isTypeAssignableTo(et,ue(Nt)):!0}):!1}function De(We,ze){if(!(Or(We)&4)||!ze.properties)return We;const Nt=We.target,$e=Bm(Nt.typeParameters);if(!$e)return We;const et=[];return ze.properties.forEach((Me,ut)=>{const wt=u.getTypeOfPropertyOfType(Nt,ut);j.assert(!!wt,"generic should have all the properties of its reference."),et.push(...qe(wt,ue(Me),$e))}),h[We.symbol.escapedName](Ie(et))}function qe(We,ze,Nt){if(We===Nt)return[ze];if(We.flags&3145728)return Rr(We.types,Me=>qe(Me,ze,Nt));if(Or(We)&4&&Or(ze)&4){const Me=u.getTypeArguments(We),ut=u.getTypeArguments(ze),wt=[];if(Me&&ut)for(let Et=0;Et<Me.length;Et++)ut[Et]&&wt.push(...qe(Me[Et],ut[Et],Nt));return wt}const $e=u.getSignaturesOfType(We,0),et=u.getSignaturesOfType(ze,0);return $e.length===1&&et.length===1?se($e[0],et[0],Nt):[]}function se(We,ze,Nt){var $e;const et=[];for(let wt=0;wt<We.parameters.length;wt++){const Et=We.parameters[wt],dt=ze.parameters[wt],zt=We.declaration&&Oy(We.declaration.parameters[wt]);if(!dt)break;let Ei=Et.valueDeclaration?u.getTypeOfSymbolAtLocation(Et,Et.valueDeclaration):u.getAnyType();const tn=zt&&u.getElementTypeOfArrayType(Ei);tn&&(Ei=tn);const xn=(($e=Jr(dt,Rv))==null?void 0:$e.links.type)||(dt.valueDeclaration?u.getTypeOfSymbolAtLocation(dt,dt.valueDeclaration):u.getAnyType());et.push(...qe(Ei,xn,Nt))}const Me=u.getReturnTypeOfSignature(We),ut=u.getReturnTypeOfSignature(ze);return et.push(...qe(Me,ut,Nt)),et}function Ne(We){return u.createAnonymousType(void 0,_a(),[Oe(We)],w,w)}function Oe(We){const ze=[],Nt=Math.max(...We.map(et=>et.argumentTypes.length));for(let et=0;et<Nt;et++){const Me=u.createSymbol(1,cu(`arg${et}`));Me.links.type=Ie(We.map(ut=>ut.argumentTypes[et]||u.getUndefinedType())),We.some(ut=>ut.argumentTypes[et]===void 0)&&(Me.flags|=16777216),ze.push(Me)}const $e=ue(C(We.map(et=>et.return_)));return u.createSignature(void 0,void 0,void 0,ze,$e,void 0,Nt,0)}function He(We,ze){ze&&!(ze.flags&1)&&!(ze.flags&131072)&&(We.candidateTypes||(We.candidateTypes=[])).push(ze)}function Pe(We,ze){ze&&!(ze.flags&1)&&!(ze.flags&131072)&&(We.candidateThisTypes||(We.candidateThisTypes=[])).push(ze)}}var j3e="fixReturnTypeInAsyncFunction",plt=[k.The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_Did_you_mean_to_write_Promise_0.code];Na({errorCodes:plt,fixIds:[j3e],getCodeActions:function(r){const{sourceFile:a,program:u,span:h}=r,p=u.getTypeChecker(),g=mlt(a,u.getTypeChecker(),h.start);if(!g)return;const{returnTypeNode:C,returnType:b,promisedTypeNode:T,promisedType:E}=g,N=er.ChangeTracker.with(r,R=>glt(R,a,C,T));return[ua(j3e,N,[k.Replace_0_with_Promise_1,p.typeToString(b),p.typeToString(E)],j3e,k.Fix_all_incorrect_return_type_of_an_async_functions)]},getAllCodeActions:t=>qc(t,plt,(r,a)=>{const u=mlt(a.file,t.program.getTypeChecker(),a.start);u&&glt(r,a.file,u.returnTypeNode,u.promisedTypeNode)})});function mlt(t,r,a){if(nr(t))return;const u=Rs(t,a),h=Qi(u,Qc),p=h==null?void 0:h.type;if(!p)return;const g=r.getTypeFromTypeNode(p),C=r.getAwaitedType(g)||r.getVoidType(),b=r.typeToTypeNode(C,p,void 0);if(b)return{returnTypeNode:p,returnType:g,promisedTypeNode:b,promisedType:C}}function glt(t,r,a,u){t.replaceNode(r,a,G.createTypeReferenceNode("Promise",[u]))}var ylt="disableJsDiagnostics",vlt="disableJsDiagnostics",blt=es(Object.keys(k),t=>{const r=k[t];return r.category===1?r.code:void 0});Na({errorCodes:blt,getCodeActions:function(r){const{sourceFile:a,program:u,span:h,host:p,formatContext:g}=r;if(!nr(a)||!n7(a,u.getCompilerOptions()))return;const C=a.checkJsDirective?"":rC(p,g.options),b=[G0(ylt,[fst(a.fileName,[mj(a.checkJsDirective?Fu(a.checkJsDirective.pos,a.checkJsDirective.end):Ff(0,0),`// @ts-nocheck${C}`)])],k.Disable_checking_for_this_file)];return er.isValidLocationToAddComment(a,h.start)&&b.unshift(ua(ylt,er.ChangeTracker.with(r,T=>Clt(T,a,h.start)),k.Ignore_this_error_message,vlt,k.Add_ts_ignore_to_all_error_messages)),b},fixIds:[vlt],getAllCodeActions:t=>{const r=new Set;return qc(t,blt,(a,u)=>{er.isValidLocationToAddComment(u.file,u.start)&&Clt(a,u.file,u.start,r)})}});function Clt(t,r,a,u){const{line:h}=Ia(r,a);(!u||of(u,h))&&t.insertCommentBeforeLine(r,h,a," @ts-ignore")}function z3e(t,r,a,u,h,p,g){const C=t.symbol.members;for(const b of r)C.has(b.escapedName)||wlt(b,t,a,u,h,p,g,void 0)}function lO(t){return{trackSymbol:()=>!1,moduleResolverHost:Ufe(t.program,t.host)}}var Slt=(t=>(t[t.Method=1]="Method",t[t.Property=2]="Property",t[t.All=3]="All",t))(Slt||{});function wlt(t,r,a,u,h,p,g,C,b=3,T=!1){const E=t.getDeclarations(),N=Ra(E),R=u.program.getTypeChecker(),F=Ja(u.program.getCompilerOptions()),H=(N==null?void 0:N.kind)??171,U=ke(t,N),q=N?jh(N):0;let X=q&256;X|=q&1?1:q&4?4:0,N&&I_(N)&&(X|=512);const Z=ue(),Q=R.getWidenedType(R.getTypeOfSymbolAtLocation(t,r)),re=!!(t.flags&16777216),oe=!!(r.flags&33554432)||T,pe=$p(a,h);switch(H){case 171:case 172:let Te=1;Te|=pe===0?268435456:0;let De=R.typeToTypeNode(Q,r,Te,8,lO(u));if(p){const se=TD(De,F);se&&(De=se.typeNode,JI(p,se.symbols))}g(G.createPropertyDeclaration(Z,N?we(U):t.getName(),re&&b&2?G.createToken(58):void 0,De,void 0));break;case 177:case 178:{j.assertIsDefined(E);let se=R.typeToTypeNode(Q,r,void 0,void 0,lO(u));const Ne=nx(E,N),Oe=Ne.secondAccessor?[Ne.firstAccessor,Ne.secondAccessor]:[Ne.firstAccessor];if(p){const He=TD(se,F);He&&(se=He.typeNode,JI(p,He.symbols))}for(const He of Oe)if(um(He))g(G.createGetAccessorDeclaration(Z,we(U),w,Ae(se),he(C,pe,oe)));else{j.assertNode(He,kp,"The counterpart to a getter should be a setter");const Pe=a3(He),We=Pe&&ot(Pe.name)?Fr(Pe.name):void 0;g(G.createSetAccessorDeclaration(Z,we(U),q3e(1,[We],[Ae(se)],1,!1),he(C,pe,oe)))}break}case 173:case 174:j.assertIsDefined(E);const qe=Q.isUnion()?Rr(Q.types,se=>se.getCallSignatures()):Q.getCallSignatures();if(!Ft(qe))break;if(E.length===1){j.assert(qe.length===1,"One declaration implies one signature");const se=qe[0];de(pe,se,Z,we(U),he(C,pe,oe));break}for(const se of qe)se.declaration&&se.declaration.flags&33554432||de(pe,se,Z,we(U));if(!oe)if(E.length>qe.length){const se=R.getSignatureFromDeclaration(E[E.length-1]);de(pe,se,Z,we(U),he(C,pe))}else j.assert(E.length===qe.length,"Declarations and signatures should match count"),g(QHt(R,u,r,qe,we(U),re&&!!(b&1),Z,pe,C));break}function de(Te,De,qe,se,Ne){const Oe=O_e(174,u,Te,De,Ne,se,qe,re&&!!(b&1),r,p);Oe&&g(Oe)}function ue(){let Te;return X&&(Te=rS(Te,G.createModifiersFromModifierFlags(X))),Ie()&&(Te=fn(Te,G.createToken(164))),Te&&G.createNodeArray(Te)}function Ie(){return!!(u.program.getCompilerOptions().noImplicitOverride&&N&&KT(N))}function we(Te){return ot(Te)&&Te.escapedText==="constructor"?G.createComputedPropertyName(G.createStringLiteral(Fr(Te),pe===0)):Ec(Te,!1)}function he(Te,De,qe){return qe?void 0:Ec(Te,!1)||$3e(De)}function Ae(Te){return Ec(Te,!1)}function ke(Te,De){if(Iu(Te)&262144){const qe=Te.links.nameType;if(qe&&Jm(qe))return G.createIdentifier(Ws(Gm(qe)))}return Ec(No(De),!1)}}function O_e(t,r,a,u,h,p,g,C,b,T){const E=r.program,N=E.getTypeChecker(),R=Ja(E.getCompilerOptions()),F=nr(b),H=524545|(a===0?268435456:0),U=N.signatureToSignatureDeclaration(u,t,b,H,8,lO(r));if(!U)return;let q=F?void 0:U.typeParameters,X=U.parameters,Z=F?void 0:Ec(U.type);if(T){if(q){const pe=Wr(q,de=>{let ue=de.constraint,Ie=de.default;if(ue){const we=TD(ue,R);we&&(ue=we.typeNode,JI(T,we.symbols))}if(Ie){const we=TD(Ie,R);we&&(Ie=we.typeNode,JI(T,we.symbols))}return G.updateTypeParameterDeclaration(de,de.modifiers,de.name,ue,Ie)});q!==pe&&(q=Ht(G.createNodeArray(pe,q.hasTrailingComma),q))}const oe=Wr(X,pe=>{let de=F?void 0:pe.type;if(de){const ue=TD(de,R);ue&&(de=ue.typeNode,JI(T,ue.symbols))}return G.updateParameterDeclaration(pe,pe.modifiers,pe.dotDotDotToken,pe.name,F?void 0:pe.questionToken,de,pe.initializer)});if(X!==oe&&(X=Ht(G.createNodeArray(oe,X.hasTrailingComma),X)),Z){const pe=TD(Z,R);pe&&(Z=pe.typeNode,JI(T,pe.symbols))}}const Q=C?G.createToken(58):void 0,re=U.asteriskToken;if(ml(U))return G.updateFunctionExpression(U,g,U.asteriskToken,Jr(p,ot),q,X,Z,h??U.body);if(xl(U))return G.updateArrowFunction(U,g,q,X,Z,U.equalsGreaterThanToken,h??U.body);if(Nu(U))return G.updateMethodDeclaration(U,g,re,p??G.createIdentifier(""),Q,q,X,Z,h);if(Wu(U))return G.updateFunctionDeclaration(U,g,U.asteriskToken,Jr(p,ot),q,X,Z,h??U.body)}function U3e(t,r,a,u,h,p,g){const C=$p(r.sourceFile,r.preferences),b=Ja(r.program.getCompilerOptions()),T=lO(r),E=r.program.getTypeChecker(),N=nr(g),{typeArguments:R,arguments:F,parent:H}=u,U=N?void 0:E.getContextualType(u),q=qt(F,Ie=>ot(Ie)?Ie.text:Nr(Ie)&&ot(Ie.name)?Ie.name.text:void 0),X=N?[]:qt(F,Ie=>E.getTypeAtLocation(Ie)),{argumentTypeNodes:Z,argumentTypeParameters:Q}=KHt(E,a,X,g,b,1,8,T),re=p?G.createNodeArray(G.createModifiersFromModifierFlags(p)):void 0,oe=SX(H)?G.createToken(42):void 0,pe=N?void 0:GHt(E,Q,R),de=q3e(F.length,q,Z,void 0,N),ue=N||U===void 0?void 0:E.typeToTypeNode(U,g,void 0,void 0,T);switch(t){case 174:return G.createMethodDeclaration(re,oe,h,void 0,pe,de,ue,$3e(C));case 173:return G.createMethodSignature(re,h,void 0,pe,de,ue===void 0?G.createKeywordTypeNode(159):ue);case 262:return j.assert(typeof h=="string"||ot(h),"Unexpected name"),G.createFunctionDeclaration(re,oe,h,pe,de,ue,SY(k.Function_not_implemented.message,C));default:j.fail("Unexpected kind")}}function GHt(t,r,a){const u=new Set(r.map(p=>p[0])),h=new Map(r);if(a){const p=a.filter(C=>!r.some(b=>{var T;return t.getTypeAtLocation(C)===((T=b[1])==null?void 0:T.argumentType)})),g=u.size+p.length;for(let C=0;u.size<g;C+=1)u.add(xlt(C))}return as(u.values(),p=>{var g;return G.createTypeParameterDeclaration(void 0,p,(g=h.get(p))==null?void 0:g.constraint)})}function xlt(t){return 84+t<=90?String.fromCharCode(84+t):`T${t}`}function CY(t,r,a,u,h,p,g,C){let b=t.typeToTypeNode(a,u,p,g,C);if(b&&V1(b)){const T=TD(b,h);T&&(JI(r,T.symbols),b=T.typeNode)}return Ec(b)}function klt(t,r,a,u,h,p,g,C){let b=t.typePredicateToTypePredicateNode(a,u,p,g,C);if(b!=null&&b.type&&V1(b.type)){const T=TD(b.type,h);T&&(JI(r,T.symbols),b=G.updateTypePredicateNode(b,b.assertsModifier,b.parameterName,T.typeNode))}return Ec(b)}function Tlt(t){return t.isUnionOrIntersection()?t.types.some(Tlt):t.flags&262144}function KHt(t,r,a,u,h,p,g,C){const b=[],T=new Map;for(let E=0;E<a.length;E+=1){const N=a[E];if(N.isUnionOrIntersection()&&N.types.some(Tlt)){const q=xlt(E);b.push(G.createTypeReferenceNode(q)),T.set(q,void 0);continue}const R=t.getBaseTypeOfLiteralType(N),F=CY(t,r,R,u,h,p,g,C);if(!F)continue;b.push(F);const H=Dlt(N),U=N.isTypeParameter()&&N.constraint&&!XHt(N.constraint)?CY(t,r,N.constraint,u,h,p,g,C):void 0;H&&T.set(H,{argumentType:N,constraint:U})}return{argumentTypeNodes:b,argumentTypeParameters:as(T.entries())}}function XHt(t){return t.flags&524288&&t.objectFlags===16}function Dlt(t){var r;if(t.flags&3145728)for(const a of t.types){const u=Dlt(a);if(u)return u}return t.flags&262144?(r=t.getSymbol())==null?void 0:r.getName():void 0}function q3e(t,r,a,u,h){const p=[],g=new Map;for(let C=0;C<t;C++){const b=(r==null?void 0:r[C])||`arg${C}`,T=g.get(b);g.set(b,(T||0)+1);const E=G.createParameterDeclaration(void 0,void 0,b+(T||""),u!==void 0&&C>=u?G.createToken(58):void 0,h?void 0:(a==null?void 0:a[C])||G.createKeywordTypeNode(159),void 0);p.push(E)}return p}function QHt(t,r,a,u,h,p,g,C,b){let T=u[0],E=u[0].minArgumentCount,N=!1;for(const U of u)E=Math.min(U.minArgumentCount,E),Ch(U)&&(N=!0),U.parameters.length>=T.parameters.length&&(!Ch(U)||Ch(T))&&(T=U);const R=T.parameters.length-(Ch(T)?1:0),F=T.parameters.map(U=>U.name),H=q3e(R,F,void 0,E,!1);if(N){const U=G.createParameterDeclaration(void 0,G.createToken(26),F[R]||"rest",R>=E?G.createToken(58):void 0,G.createArrayTypeNode(G.createKeywordTypeNode(159)),void 0);H.push(U)}return YHt(g,h,p,void 0,H,ZHt(u,t,r,a),C,b)}function ZHt(t,r,a,u){if(P(t)){const h=r.getUnionType(qt(t,r.getReturnTypeOfSignature));return r.typeToTypeNode(h,u,1,8,lO(a))}}function YHt(t,r,a,u,h,p,g,C){return G.createMethodDeclaration(t,void 0,r,a?G.createToken(58):void 0,u,h,p,C||$3e(g))}function $3e(t){return SY(k.Method_not_implemented.message,t)}function SY(t,r){return G.createBlock([G.createThrowStatement(G.createNewExpression(G.createIdentifier("Error"),void 0,[G.createStringLiteral(t,r===0)]))],!0)}function J3e(t,r,a){const u=I8(r);if(!u)return;const h=Elt(u,"compilerOptions");if(h===void 0){t.insertNodeAtObjectStart(r,u,K3e("compilerOptions",G.createObjectLiteralExpression(a.map(([g,C])=>K3e(g,C)),!0)));return}const p=h.initializer;if(Aa(p))for(const[g,C]of a){const b=Elt(p,g);b===void 0?t.insertNodeAtObjectStart(r,p,K3e(g,C)):t.replaceNode(r,b.initializer,C)}}function G3e(t,r,a,u){J3e(t,r,[[a,u]])}function K3e(t,r){return G.createPropertyAssignment(G.createStringLiteral(t),r)}function Elt(t,r){return Fe(t.properties,a=>_d(a)&&!!a.name&&Ha(a.name)&&a.name.text===r)}function TD(t,r){let a;const u=bt(t,h,ws);if(a&&u)return{typeNode:u,symbols:a};function h(p){if(lS(p)&&p.qualifier){const g=K_(p.qualifier);if(!g.symbol)return dn(p,h,void 0);const C=zZ(g.symbol,r),b=C!==g.text?Ilt(p.qualifier,G.createIdentifier(C)):p.qualifier;a=fn(a,g.symbol);const T=Dn(p.typeArguments,h,ws);return G.createTypeReferenceNode(b,T)}return dn(p,h,void 0)}}function Ilt(t,r){return t.kind===80?r:G.createQualifiedName(Ilt(t.left,r),t.right)}function JI(t,r){r.forEach(a=>t.addImportFromExportedSymbol(a,!0))}function X3e(t,r){const a=au(r);let u=Rs(t,r.start);for(;u.end<a;)u=u.parent;return u}function Nlt(t,r,a,u,h,p){const g=Alt(t,r,a,u);if(!g||pA.isRefactorErrorInfo(g))return;const C=er.ChangeTracker.fromContext(h),{isStatic:b,isReadonly:T,fieldName:E,accessorName:N,originalName:R,type:F,container:H,declaration:U}=g;Lp(E),Lp(N),Lp(U),Lp(H);let q,X;if(ss(H)){const Q=jh(U);if(r_(t)){const re=G.createModifiersFromModifierFlags(Q);q=re,X=re}else q=G.createModifiersFromModifierFlags(ijt(Q)),X=G.createModifiersFromModifierFlags(njt(Q));uD(U)&&(X=Js($w(U),X))}cjt(C,t,U,F,E,X);const Z=rjt(E,N,F,q,b,H);if(Lp(Z),Olt(C,t,Z,U,H),T){const Q=Vv(H);Q&&ljt(C,t,Q,E.text,R)}else{const Q=sjt(E,N,F,q,b,H);Lp(Q),Olt(C,t,Q,U,H)}return C.getChanges()}function ejt(t){return ot(t)||Ha(t)}function tjt(t){return vp(t,t.parent)||Lo(t)||_d(t)}function Llt(t,r){return ot(r)?G.createIdentifier(t):G.createStringLiteral(t)}function Plt(t,r,a){const u=r?a.name:G.createThis();return ot(t)?G.createPropertyAccessExpression(u,t):G.createElementAccessExpression(u,G.createStringLiteralFromNode(t))}function ijt(t){return t&=-9,t&=-3,t&4||(t|=1),t}function njt(t){return t&=-2,t&=-5,t|=2,t}function Alt(t,r,a,u,h=!0){const p=Rs(t,a),g=a===u&&h,C=Qi(p.parent,tjt),b=271;if(!C||!(gZ(C.name,t,a,u)||g))return{error:Bo(k.Could_not_find_property_for_which_to_generate_accessor)};if(!ejt(C.name))return{error:Bo(k.Name_is_not_valid)};if((jh(C)&98303|b)!==b)return{error:Bo(k.Can_only_convert_property_with_modifier)};const T=C.name.text,E=ghe(T),N=Llt(E?T:$I(`_${T}`,t),C.name),R=Llt(E?$I(T.substring(1),t):T,C.name);return{isStatic:bd(C),isReadonly:z8(C),type:ujt(C,r),container:C.kind===169?C.parent.parent:C.parent,originalName:C.name.text,declaration:C,fieldName:N,accessorName:R,renameAccessor:E}}function rjt(t,r,a,u,h,p){return G.createGetAccessorDeclaration(u,r,[],a,G.createBlock([G.createReturnStatement(Plt(t,h,p))],!0))}function sjt(t,r,a,u,h,p){return G.createSetAccessorDeclaration(u,r,[G.createParameterDeclaration(void 0,void 0,G.createIdentifier("value"),void 0,a)],G.createBlock([G.createExpressionStatement(G.createAssignment(Plt(t,h,p),G.createIdentifier("value")))],!0))}function ojt(t,r,a,u,h,p){const g=G.updatePropertyDeclaration(a,p,h,a.questionToken||a.exclamationToken,u,a.initializer);t.replaceNode(r,a,g)}function ajt(t,r,a,u){let h=G.updatePropertyAssignment(a,u,a.initializer);(h.modifiers||h.questionToken||h.exclamationToken)&&(h===a&&(h=G.cloneNode(h)),h.modifiers=void 0,h.questionToken=void 0,h.exclamationToken=void 0),t.replacePropertyAssignment(r,a,h)}function cjt(t,r,a,u,h,p){Lo(a)?ojt(t,r,a,u,h,p):_d(a)?ajt(t,r,a,h):t.replaceNode(r,a,G.updateParameterDeclaration(a,p,a.dotDotDotToken,ha(h,ot),a.questionToken,a.type,a.initializer))}function Olt(t,r,a,u,h){vp(u,u.parent)?t.insertMemberAtStart(r,h,a):_d(u)?t.insertNodeAfterComma(r,u,a):t.insertNodeAfter(r,u,a)}function ljt(t,r,a,u,h){a.body&&a.body.forEachChild(function p(g){wl(g)&&g.expression.kind===110&&Ha(g.argumentExpression)&&g.argumentExpression.text===h&&wP(g)&&t.replaceNode(r,g.argumentExpression,G.createStringLiteral(u)),Nr(g)&&g.expression.kind===110&&g.name.text===h&&wP(g)&&t.replaceNode(r,g.name,G.createIdentifier(u)),!Ho(g)&&!ss(g)&&g.forEachChild(p)})}function ujt(t,r){const a=ZTe(t);if(Lo(t)&&a&&t.questionToken){const u=r.getTypeChecker(),h=u.getTypeFromTypeNode(a);if(!u.isTypeAssignableTo(u.getUndefinedType(),h)){const p=fx(a)?a.types:[a];return G.createUnionTypeNode([...p,G.createKeywordTypeNode(157)])}}return a}function Q3e(t,r){const a=[];for(;t;){const u=qT(t),h=u&&r.getSymbolAtLocation(u.expression);if(!h)break;const p=h.flags&2097152?r.getAliasedSymbol(h):h,g=p.declarations&&Fe(p.declarations,ss);if(!g)break;a.push(g),t=g}return a}var Mlt="invalidImportSyntax";function djt(t,r){const a=_n(r),u=Y6(r),h=t.program.getCompilerOptions(),p=[];return p.push(Rlt(t,a,r,Sx(u.name,void 0,r.moduleSpecifier,$p(a,t.preferences)))),zh(h)===1&&p.push(Rlt(t,a,r,G.createImportEqualsDeclaration(void 0,!1,u.name,G.createExternalModuleReference(r.moduleSpecifier)))),p}function Rlt(t,r,a,u){const h=er.ChangeTracker.with(t,p=>p.replaceNode(r,a,u));return G0(Mlt,h,[k.Replace_import_with_0,h[0].textChanges[0].newText])}Na({errorCodes:[k.This_expression_is_not_callable.code,k.This_expression_is_not_constructable.code],getCodeActions:fjt});function fjt(t){const r=t.sourceFile,a=k.This_expression_is_not_callable.code===t.errorCode?213:214,u=Qi(Rs(r,t.span.start),p=>p.kind===a);if(!u)return[];const h=u.expression;return Flt(t,h)}Na({errorCodes:[k.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code,k.Type_0_does_not_satisfy_the_constraint_1.code,k.Type_0_is_not_assignable_to_type_1.code,k.Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated.code,k.Type_predicate_0_is_not_assignable_to_1.code,k.Property_0_of_type_1_is_not_assignable_to_2_index_type_3.code,k._0_index_type_1_is_not_assignable_to_2_index_type_3.code,k.Property_0_in_type_1_is_not_assignable_to_the_same_property_in_base_type_2.code,k.Property_0_in_type_1_is_not_assignable_to_type_2.code,k.Property_0_of_JSX_spread_attribute_is_not_assignable_to_target_property.code,k.The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1.code],getCodeActions:hjt});function hjt(t){const r=t.sourceFile,a=Qi(Rs(r,t.span.start),u=>u.getStart()===t.span.start&&u.getEnd()===t.span.start+t.span.length);return a?Flt(t,a):[]}function Flt(t,r){const a=t.program.getTypeChecker().getTypeAtLocation(r);if(!(a.symbol&&Rv(a.symbol)&&a.symbol.links.originatingImport))return[];const u=[],h=a.symbol.links.originatingImport;if(zp(h)||Sr(u,djt(t,h)),jt(r)&&!(Bf(r.parent)&&r.parent.name===r)){const p=t.sourceFile,g=er.ChangeTracker.with(t,C=>C.replaceNode(p,r,G.createPropertyAccessExpression(r,"default"),{}));u.push(G0(Mlt,g,k.Use_synthetic_default_member))}return u}var Z3e="strictClassInitialization",Y3e="addMissingPropertyDefiniteAssignmentAssertions",eOe="addMissingPropertyUndefinedType",tOe="addMissingPropertyInitializer",Blt=[k.Property_0_has_no_initializer_and_is_not_definitely_assigned_in_the_constructor.code];Na({errorCodes:Blt,getCodeActions:function(r){const a=Wlt(r.sourceFile,r.span.start);if(!a)return;const u=[];return fn(u,pjt(r,a)),fn(u,_jt(r,a)),fn(u,mjt(r,a)),u},fixIds:[Y3e,eOe,tOe],getAllCodeActions:t=>qc(t,Blt,(r,a)=>{const u=Wlt(a.file,a.start);if(u)switch(t.fixId){case Y3e:Vlt(r,a.file,u.prop);break;case eOe:Hlt(r,a.file,u);break;case tOe:const h=t.program.getTypeChecker(),p=zlt(h,u.prop);if(!p)return;jlt(r,a.file,u.prop,p);break;default:j.fail(JSON.stringify(t.fixId))}})});function Wlt(t,r){const a=Rs(t,r);if(ot(a)&&Lo(a.parent)){const u=dd(a.parent);if(u)return{type:u,prop:a.parent,isJs:nr(a.parent)}}}function _jt(t,r){if(r.isJs)return;const a=er.ChangeTracker.with(t,u=>Vlt(u,t.sourceFile,r.prop));return ua(Z3e,a,[k.Add_definite_assignment_assertion_to_property_0,r.prop.getText()],Y3e,k.Add_definite_assignment_assertions_to_all_uninitialized_properties)}function Vlt(t,r,a){Lp(a);const u=G.updatePropertyDeclaration(a,a.modifiers,a.name,G.createToken(54),a.type,a.initializer);t.replaceNode(r,a,u)}function pjt(t,r){const a=er.ChangeTracker.with(t,u=>Hlt(u,t.sourceFile,r));return ua(Z3e,a,[k.Add_undefined_type_to_property_0,r.prop.name.getText()],eOe,k.Add_undefined_type_to_all_uninitialized_properties)}function Hlt(t,r,a){const u=G.createKeywordTypeNode(157),h=fx(a.type)?a.type.types.concat(u):[a.type,u],p=G.createUnionTypeNode(h);a.isJs?t.addJSDocTags(r,a.prop,[G.createJSDocTypeTag(void 0,G.createJSDocTypeExpression(p))]):t.replaceNode(r,a.type,p)}function mjt(t,r){if(r.isJs)return;const a=t.program.getTypeChecker(),u=zlt(a,r.prop);if(!u)return;const h=er.ChangeTracker.with(t,p=>jlt(p,t.sourceFile,r.prop,u));return ua(Z3e,h,[k.Add_initializer_to_property_0,r.prop.name.getText()],tOe,k.Add_initializers_to_all_uninitialized_properties)}function jlt(t,r,a,u){Lp(a);const h=G.updatePropertyDeclaration(a,a.modifiers,a.name,a.questionToken,a.type,u);t.replaceNode(r,a,h)}function zlt(t,r){return Ult(t,t.getTypeFromTypeNode(r.type))}function Ult(t,r){if(r.flags&512)return r===t.getFalseType()||r===t.getFalseType(!0)?G.createFalse():G.createTrue();if(r.isStringLiteral())return G.createStringLiteral(r.value);if(r.isNumberLiteral())return G.createNumericLiteral(r.value);if(r.flags&2048)return G.createBigIntLiteral(r.value);if(r.isUnion())return J(r.types,a=>Ult(t,a));if(r.isClass()){const a=Xb(r.symbol);if(!a||Zr(a,64))return;const u=Vv(a);return u&&u.parameters.length?void 0:G.createNewExpression(G.createIdentifier(r.symbol.name),void 0,void 0)}else if(t.isArrayLikeType(r))return G.createArrayLiteralExpression()}var iOe="requireInTs",qlt=[k.require_call_may_be_converted_to_an_import.code];Na({errorCodes:qlt,getCodeActions(t){const r=Jlt(t.sourceFile,t.program,t.span.start,t.preferences);if(!r)return;const a=er.ChangeTracker.with(t,u=>$lt(u,t.sourceFile,r));return[ua(iOe,a,k.Convert_require_to_import,iOe,k.Convert_all_require_to_import)]},fixIds:[iOe],getAllCodeActions:t=>qc(t,qlt,(r,a)=>{const u=Jlt(a.file,t.program,a.start,t.preferences);u&&$lt(r,t.sourceFile,u)})});function $lt(t,r,a){const{allowSyntheticDefaults:u,defaultImportName:h,namedImports:p,statement:g,moduleSpecifier:C}=a;t.replaceNode(r,g,h&&!u?G.createImportEqualsDeclaration(void 0,!1,h,G.createExternalModuleReference(C)):G.createImportDeclaration(void 0,G.createImportClause(!1,h,p),C,void 0))}function Jlt(t,r,a,u){const{parent:h}=Rs(t,a);L_(h,!0)||j.failBadSyntaxKind(h);const p=ha(h.parent,_s),g=$p(t,u),C=Jr(p.name,ot),b=dm(p.name)?gjt(p.name):void 0;if(C||b){const T=ya(h.arguments);return{allowSyntheticDefaults:EP(r.getCompilerOptions()),defaultImportName:C,namedImports:b,statement:ha(p.parent.parent,Lu),moduleSpecifier:TI(T)?G.createStringLiteral(T.text,g===0):T}}}function gjt(t){const r=[];for(const a of t.elements){if(!ot(a.name)||a.initializer)return;r.push(G.createImportSpecifier(!1,Jr(a.propertyName,ot),a.name))}if(r.length)return G.createNamedImports(r)}var nOe="useDefaultImport",Glt=[k.Import_may_be_converted_to_a_default_import.code];Na({errorCodes:Glt,getCodeActions(t){const{sourceFile:r,span:{start:a}}=t,u=Klt(r,a);if(!u)return;const h=er.ChangeTracker.with(t,p=>Xlt(p,r,u,t.preferences));return[ua(nOe,h,k.Convert_to_default_import,nOe,k.Convert_all_to_default_imports)]},fixIds:[nOe],getAllCodeActions:t=>qc(t,Glt,(r,a)=>{const u=Klt(a.file,a.start);u&&Xlt(r,a.file,u,t.preferences)})});function Klt(t,r){const a=Rs(t,r);if(!ot(a))return;const{parent:u}=a;if(Wd(u)&&eC(u.moduleReference))return{importNode:u,name:a,moduleSpecifier:u.moduleReference.expression};if(Jv(u)&&du(u.parent.parent)){const h=u.parent.parent;return{importNode:h,name:a,moduleSpecifier:h.moduleSpecifier}}}function Xlt(t,r,a,u){t.replaceNode(r,a.importNode,Sx(a.name,void 0,a.moduleSpecifier,$p(r,u)))}var rOe="useBigintLiteral",Qlt=[k.Numeric_literals_with_absolute_values_equal_to_2_53_or_greater_are_too_large_to_be_represented_accurately_as_integers.code];Na({errorCodes:Qlt,getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>Zlt(u,r.sourceFile,r.span));if(a.length>0)return[ua(rOe,a,k.Convert_to_a_bigint_numeric_literal,rOe,k.Convert_all_to_bigint_numeric_literals)]},fixIds:[rOe],getAllCodeActions:t=>qc(t,Qlt,(r,a)=>Zlt(r,a.file,a))});function Zlt(t,r,a){const u=Jr(Rs(r,a.start),A_);if(!u)return;const h=u.getText(r)+"n";t.replaceNode(r,u,G.createBigIntLiteral(h))}var yjt="fixAddModuleReferTypeMissingTypeof",sOe=yjt,Ylt=[k.Module_0_does_not_refer_to_a_type_but_is_used_as_a_type_here_Did_you_mean_typeof_import_0.code];Na({errorCodes:Ylt,getCodeActions:function(r){const{sourceFile:a,span:u}=r,h=eut(a,u.start),p=er.ChangeTracker.with(r,g=>tut(g,a,h));return[ua(sOe,p,k.Add_missing_typeof,sOe,k.Add_missing_typeof)]},fixIds:[sOe],getAllCodeActions:t=>qc(t,Ylt,(r,a)=>tut(r,t.sourceFile,eut(a.file,a.start)))});function eut(t,r){const a=Rs(t,r);return j.assert(a.kind===102,"This token should be an ImportKeyword"),j.assert(a.parent.kind===205,"Token parent should be an ImportType"),a.parent}function tut(t,r,a){const u=G.updateImportTypeNode(a,a.argument,a.attributes,a.qualifier,a.typeArguments,!0);t.replaceNode(r,a,u)}var oOe="wrapJsxInFragment",iut=[k.JSX_expressions_must_have_one_parent_element.code];Na({errorCodes:iut,getCodeActions:function(r){const{sourceFile:a,span:u}=r,h=nut(a,u.start);if(!h)return;const p=er.ChangeTracker.with(r,g=>rut(g,a,h));return[ua(oOe,p,k.Wrap_in_JSX_fragment,oOe,k.Wrap_all_unparented_JSX_in_JSX_fragment)]},fixIds:[oOe],getAllCodeActions:t=>qc(t,iut,(r,a)=>{const u=nut(t.sourceFile,a.start);u&&rut(r,t.sourceFile,u)})});function nut(t,r){let h=Rs(t,r).parent.parent;if(!(!ur(h)&&(h=h.parent,!ur(h)))&&lu(h.operatorToken))return h}function rut(t,r,a){const u=vjt(a);u&&t.replaceNode(r,a,G.createJsxFragment(G.createJsxOpeningFragment(),u,G.createJsxJsxClosingFragment()))}function vjt(t){const r=[];let a=t;for(;;)if(ur(a)&&lu(a.operatorToken)&&a.operatorToken.kind===28){if(r.push(a.left),JW(a.right))return r.push(a.right),r;if(ur(a.right)){a=a.right;continue}else return}else return}var aOe="wrapDecoratorInParentheses",sut=[k.Expression_must_be_enclosed_in_parentheses_to_be_used_as_a_decorator.code];Na({errorCodes:sut,getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>out(u,r.sourceFile,r.span.start));return[ua(aOe,a,k.Wrap_in_parentheses,aOe,k.Wrap_all_invalid_decorator_expressions_in_parentheses)]},fixIds:[aOe],getAllCodeActions:t=>qc(t,sut,(r,a)=>out(r,a.file,a.start))});function out(t,r,a){const u=Rs(r,a),h=Qi(u,Fd);j.assert(!!h,"Expected position to be owned by a decorator.");const p=G.createParenthesizedExpression(h.expression);t.replaceNode(r,h.expression,p)}var cOe="fixConvertToMappedObjectType",aut=[k.An_index_signature_parameter_type_cannot_be_a_literal_type_or_generic_type_Consider_using_a_mapped_object_type_instead.code];Na({errorCodes:aut,getCodeActions:function(r){const{sourceFile:a,span:u}=r,h=cut(a,u.start);if(!h)return;const p=er.ChangeTracker.with(r,C=>lut(C,a,h)),g=Fr(h.container.name);return[ua(cOe,p,[k.Convert_0_to_mapped_object_type,g],cOe,[k.Convert_0_to_mapped_object_type,g])]},fixIds:[cOe],getAllCodeActions:t=>qc(t,aut,(r,a)=>{const u=cut(a.file,a.start);u&&lut(r,a.file,u)})});function cut(t,r){const a=Rs(t,r),u=Jr(a.parent.parent,eD);if(!u)return;const h=zf(u.parent)?u.parent:Jr(u.parent.parent,Mg);if(h)return{indexSignature:u,container:h}}function bjt(t,r){return G.createTypeAliasDeclaration(t.modifiers,t.name,t.typeParameters,r)}function lut(t,r,{indexSignature:a,container:u}){const p=(zf(u)?u.members:u.type.members).filter(E=>!eD(E)),g=ya(a.parameters),C=G.createTypeParameterDeclaration(void 0,ha(g.name,ot),g.type),b=G.createMappedTypeNode(z8(a)?G.createModifier(148):void 0,C,void 0,a.questionToken,a.type,void 0),T=G.createIntersectionTypeNode([...F8(u),b,...p.length?[G.createTypeLiteralNode(p)]:w]);t.replaceNode(r,u,bjt(u,T))}var uut="removeAccidentalCallParentheses",Cjt=[k.This_expression_is_not_callable_because_it_is_a_get_accessor_Did_you_mean_to_use_it_without.code];Na({errorCodes:Cjt,getCodeActions(t){const r=Qi(Rs(t.sourceFile,t.span.start),la);if(!r)return;const a=er.ChangeTracker.with(t,u=>{u.deleteRange(t.sourceFile,{pos:r.expression.end,end:r.end})});return[G0(uut,a,k.Remove_parentheses)]},fixIds:[uut]});var lOe="removeUnnecessaryAwait",dut=[k.await_has_no_effect_on_the_type_of_this_expression.code];Na({errorCodes:dut,getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>fut(u,r.sourceFile,r.span));if(a.length>0)return[ua(lOe,a,k.Remove_unnecessary_await,lOe,k.Remove_all_unnecessary_uses_of_await)]},fixIds:[lOe],getAllCodeActions:t=>qc(t,dut,(r,a)=>fut(r,a.file,a))});function fut(t,r,a){const u=Jr(Rs(r,a.start),C=>C.kind===135),h=u&&Jr(u.parent,hx);if(!h)return;let p=h;if(c_(h.parent)){const C=d3(h.expression,!1);if(ot(C)){const b=xd(h.parent.pos,r);b&&b.kind!==105&&(p=h.parent)}}t.replaceNode(r,p,h.expression)}var hut=[k.A_type_only_import_can_specify_a_default_import_or_named_bindings_but_not_both.code],uOe="splitTypeOnlyImport";Na({errorCodes:hut,fixIds:[uOe],getCodeActions:function(r){const a=er.ChangeTracker.with(r,u=>put(u,_ut(r.sourceFile,r.span),r));if(a.length)return[ua(uOe,a,k.Split_into_two_separate_import_declarations,uOe,k.Split_all_invalid_type_only_imports)]},getAllCodeActions:t=>qc(t,hut,(r,a)=>{put(r,_ut(t.sourceFile,a),t)})});function _ut(t,r){return Qi(Rs(t,r.start),du)}function put(t,r,a){if(!r)return;const u=j.checkDefined(r.importClause);t.replaceNode(a.sourceFile,r,G.updateImportDeclaration(r,r.modifiers,G.updateImportClause(u,u.isTypeOnly,u.name,void 0),r.moduleSpecifier,r.attributes)),t.insertNodeAfter(a.sourceFile,r,G.createImportDeclaration(void 0,G.updateImportClause(u,u.isTypeOnly,void 0,u.namedBindings),r.moduleSpecifier,r.attributes))}var dOe="fixConvertConstToLet",mut=[k.Cannot_assign_to_0_because_it_is_a_constant.code];Na({errorCodes:mut,getCodeActions:function(r){const{sourceFile:a,span:u,program:h}=r,p=gut(a,u.start,h);if(p===void 0)return;const g=er.ChangeTracker.with(r,C=>yut(C,a,p.token));return[x6e(dOe,g,k.Convert_const_to_let,dOe,k.Convert_all_const_to_let)]},getAllCodeActions:t=>{const{program:r}=t,a=new Map;return gA(er.ChangeTracker.with(t,u=>{yA(t,mut,h=>{const p=gut(h.file,h.start,r);if(p&&Pg(a,Ba(p.symbol)))return yut(u,h.file,p.token)})}))},fixIds:[dOe]});function gut(t,r,a){var u;const p=a.getTypeChecker().getSymbolAtLocation(Rs(t,r));if(p===void 0)return;const g=Jr((u=p==null?void 0:p.valueDeclaration)==null?void 0:u.parent,Sf);if(g===void 0)return;const C=Uc(g,87,t);if(C!==void 0)return{symbol:p,token:C}}function yut(t,r,a){t.replaceNode(r,a,G.createToken(121))}var fOe="fixExpectedComma",Sjt=k._0_expected.code,vut=[Sjt];Na({errorCodes:vut,getCodeActions(t){const{sourceFile:r}=t,a=but(r,t.span.start,t.errorCode);if(!a)return;const u=er.ChangeTracker.with(t,h=>Cut(h,r,a));return[ua(fOe,u,[k.Change_0_to_1,";",","],fOe,[k.Change_0_to_1,";",","])]},fixIds:[fOe],getAllCodeActions:t=>qc(t,vut,(r,a)=>{const u=but(a.file,a.start,a.code);u&&Cut(r,t.sourceFile,u)})});function but(t,r,a){const u=Rs(t,r);return u.kind===27&&u.parent&&(Aa(u.parent)||jf(u.parent))?{node:u}:void 0}function Cut(t,r,{node:a}){const u=G.createToken(28);t.replaceNode(r,a,u)}var wjt="addVoidToPromise",Sut="addVoidToPromise",wut=[k.Expected_1_argument_but_got_0_new_Promise_needs_a_JSDoc_hint_to_produce_a_resolve_that_can_be_called_without_arguments.code,k.Expected_0_arguments_but_got_1_Did_you_forget_to_include_void_in_your_type_argument_to_Promise.code];Na({errorCodes:wut,fixIds:[Sut],getCodeActions(t){const r=er.ChangeTracker.with(t,a=>xut(a,t.sourceFile,t.span,t.program));if(r.length>0)return[ua(wjt,r,k.Add_void_to_Promise_resolved_without_a_value,Sut,k.Add_void_to_all_Promises_resolved_without_a_value)]},getAllCodeActions(t){return qc(t,wut,(r,a)=>xut(r,a.file,a,t.program,new Set))}});function xut(t,r,a,u,h){const p=Rs(r,a.start);if(!ot(p)||!la(p.parent)||p.parent.expression!==p||p.parent.arguments.length!==0)return;const g=u.getTypeChecker(),C=g.getSymbolAtLocation(p),b=C==null?void 0:C.valueDeclaration;if(!b||!$s(b)||!rD(b.parent.parent)||h!=null&&h.has(b))return;h==null||h.add(b);const T=xjt(b.parent.parent);if(Ft(T)){const E=T[0],N=!fx(E)&&!EI(E)&&EI(G.createUnionTypeNode([E,G.createKeywordTypeNode(116)]).types[0]);N&&t.insertText(r,E.pos,"("),t.insertText(r,E.end,N?") | void":" | void")}else{const E=g.getResolvedSignature(p.parent),N=E==null?void 0:E.parameters[0],R=N&&g.getTypeOfSymbolAtLocation(N,b.parent.parent);nr(b)?(!R||R.flags&3)&&(t.insertText(r,b.parent.parent.end,")"),t.insertText(r,Va(r.text,b.parent.parent.pos),"/** @type {Promise<void>} */(")):(!R||R.flags&2)&&t.insertText(r,b.parent.parent.expression.end,"<void>")}}function xjt(t){var r;if(nr(t)){if(c_(t.parent)){const a=(r=Fk(t.parent))==null?void 0:r.typeExpression.type;if(a&&qp(a)&&ot(a.typeName)&&Fr(a.typeName)==="Promise")return a.typeArguments}}else return t.typeArguments}var CA={};c(CA,{CompletionKind:()=>jut,CompletionSource:()=>Tut,SortText:()=>xh,StringCompletions:()=>q_e,SymbolOriginInfoKind:()=>Dut,createCompletionDetails:()=>kY,createCompletionDetailsForSymbol:()=>bOe,getCompletionEntriesFromSymbols:()=>yOe,getCompletionEntryDetails:()=>tzt,getCompletionEntrySymbol:()=>nzt,getCompletionsAtPosition:()=>Pjt,getDefaultCommitCharacters:()=>GI,getPropertiesForObjectExpression:()=>j_e,moduleSpecifierResolutionCacheAttemptLimit:()=>kut,moduleSpecifierResolutionLimit:()=>hOe});var hOe=100,kut=1e3,xh={LocalDeclarationPriority:"10",LocationPriority:"11",OptionalMember:"12",MemberDeclaredBySpreadAssignment:"13",SuggestedClassMembers:"14",GlobalsOrKeywords:"15",AutoImportSuggestions:"16",ClassMemberSnippets:"17",JavascriptIdentifiers:"18",Deprecated(t){return"z"+t},ObjectLiteralProperty(t,r){return`${t}\0${r}\0`},SortBelow(t){return t+"1"}},Tut=(t=>(t.ThisProperty="ThisProperty/",t.ClassMemberSnippet="ClassMemberSnippet/",t.TypeOnlyAlias="TypeOnlyAlias/",t.ObjectLiteralMethodSnippet="ObjectLiteralMethodSnippet/",t.SwitchCases="SwitchCases/",t.ObjectLiteralMemberWithComma="ObjectLiteralMemberWithComma/",t))(Tut||{}),Dut=(t=>(t[t.ThisType=1]="ThisType",t[t.SymbolMember=2]="SymbolMember",t[t.Export=4]="Export",t[t.Promise=8]="Promise",t[t.Nullable=16]="Nullable",t[t.ResolvedExport=32]="ResolvedExport",t[t.TypeOnlyAlias=64]="TypeOnlyAlias",t[t.ObjectLiteralMethod=128]="ObjectLiteralMethod",t[t.Ignore=256]="Ignore",t[t.ComputedPropertyName=512]="ComputedPropertyName",t[t.SymbolMemberNoExport=2]="SymbolMemberNoExport",t[t.SymbolMemberExport=6]="SymbolMemberExport",t))(Dut||{});function kjt(t){return!!(t.kind&1)}function Tjt(t){return!!(t.kind&2)}function wY(t){return!!(t&&t.kind&4)}function k5(t){return!!(t&&t.kind===32)}function Djt(t){return wY(t)||k5(t)||_Oe(t)}function Ejt(t){return(wY(t)||k5(t))&&!!t.isFromPackageJson}function Ijt(t){return!!(t.kind&8)}function Njt(t){return!!(t.kind&16)}function Eut(t){return!!(t&&t.kind&64)}function Iut(t){return!!(t&&t.kind&128)}function Ljt(t){return!!(t&&t.kind&256)}function _Oe(t){return!!(t&&t.kind&512)}function Nut(t,r,a,u,h,p,g,C,b){var T,E,N,R;const F=sl(),H=g||JV(u.getCompilerOptions())||((T=p.autoImportSpecifierExcludeRegexes)==null?void 0:T.length);let U=!1,q=0,X=0,Z=0,Q=0;const re=b({tryResolve:pe,skippedAny:()=>U,resolvedAny:()=>X>0,resolvedBeyondLimit:()=>X>hOe}),oe=Q?` (${(Z/Q*100).toFixed(1)}% hit rate)`:"";return(E=r.log)==null||E.call(r,`${t}: resolved ${X} module specifiers, plus ${q} ambient and ${Z} from cache${oe}`),(N=r.log)==null||N.call(r,`${t}: response is ${U?"incomplete":"complete"}`),(R=r.log)==null||R.call(r,`${t}: ${sl()-F}`),re;function pe(de,ue){if(ue){const Ae=a.getModuleSpecifierForBestExportInfo(de,h,C);return Ae&&q++,Ae||"failed"}const Ie=H||p.allowIncompleteCompletions&&X<hOe,we=!Ie&&p.allowIncompleteCompletions&&Q<kut,he=Ie||we?a.getModuleSpecifierForBestExportInfo(de,h,C,we):void 0;return(!Ie&&!we||we&&!he)&&(U=!0),X+=(he==null?void 0:he.computedWithoutCacheCount)||0,Z+=de.length-((he==null?void 0:he.computedWithoutCacheCount)||0),we&&Q++,he||(H?"failed":"skipped")}}function GI(t){return t?[]:[".",",",";"]}function Pjt(t,r,a,u,h,p,g,C,b,T,E=!1){var N;const{previousToken:R}=B_e(h,u);if(g&&!lA(u,h,R)&&!_zt(u,g,R,h))return;if(g===" ")return p.includeCompletionsForImportStatements&&p.includeCompletionsWithInsertText?{isGlobalCompletion:!0,isMemberCompletion:!1,isNewIdentifierLocation:!0,isIncomplete:!0,entries:[],defaultCommitCharacters:GI(!0)}:void 0;const F=r.getCompilerOptions(),H=r.getTypeChecker(),U=p.allowIncompleteCompletions?(N=t.getIncompleteCompletionsCache)==null?void 0:N.call(t):void 0;if(U&&C===3&&R&&ot(R)){const Z=Ajt(U,u,R,r,t,p,b,h);if(Z)return Z}else U==null||U.clear();const q=q_e.getStringLiteralCompletions(u,h,R,F,t,r,a,p,E);if(q)return q;if(R&&m8(R.parent)&&(R.kind===83||R.kind===88||R.kind===80))return Yjt(R.parent);const X=zut(r,a,u,F,h,p,void 0,t,T,b);if(X)switch(X.kind){case 0:const Z=Bjt(u,t,r,F,a,X,p,T,h,E);return Z!=null&&Z.isIncomplete&&(U==null||U.set(Z)),Z;case 1:return pOe([...S2.getJSDocTagNameCompletions(),...Put(u,h,H,F,p,!0)]);case 2:return pOe([...S2.getJSDocTagCompletions(),...Put(u,h,H,F,p,!1)]);case 3:return pOe(S2.getJSDocParameterNameCompletions(X.tag));case 4:return Rjt(X.keywordCompletions,X.isNewIdentifierLocation);default:return j.assertNever(X)}}function xY(t,r){var a,u;let h=gW(t.sortText,r.sortText);return h===0&&(h=gW(t.name,r.name)),h===0&&((a=t.data)!=null&&a.moduleSpecifier)&&((u=r.data)!=null&&u.moduleSpecifier)&&(h=ZV(t.data.moduleSpecifier,r.data.moduleSpecifier)),h===0?-1:h}function Lut(t){return!!(t!=null&&t.moduleSpecifier)}function Ajt(t,r,a,u,h,p,g,C){const b=t.get();if(!b)return;const T=R_(r,C),E=a.text.toLowerCase(),N=Pj(r,h,u,p,g),R=Nut("continuePreviousIncompleteResponse",h,wh.createImportSpecifierResolver(r,u,h,p),u,a.getStart(),p,!1,Yk(a),F=>{const H=es(b.entries,U=>{var q;if(!U.hasAction||!U.source||!U.data||Lut(U.data))return U;if(!rdt(U.name,E))return;const{origin:X}=j.checkDefined(Uut(U.name,U.data,u,h)),Z=N.get(r.path,U.data.exportMapKey),Q=Z&&F.tryResolve(Z,!Gd(zm(X.moduleSymbol.name)));if(Q==="skipped")return U;if(!Q||Q==="failed"){(q=h.log)==null||q.call(h,`Unexpected failure resolving auto import for '${U.name}' from '${U.source}'`);return}const re={...X,kind:32,moduleSpecifier:Q.moduleSpecifier};return U.data=Wut(re),U.source=gOe(re),U.sourceDisplay=[pm(re.moduleSpecifier)],U});return F.skippedAny()||(b.isIncomplete=void 0),H});return b.entries=R,b.flags=(b.flags||0)|4,b.optionalReplacementSpan=Mut(T),b}function pOe(t){return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:!1,entries:t,defaultCommitCharacters:GI(!1)}}function Put(t,r,a,u,h,p){const g=Rs(t,r);if(!V6(g)&&!z0(g))return[];const C=z0(g)?g:g.parent;if(!z0(C))return[];const b=C.parent;if(!Ho(b))return[];const T=r_(t),E=h.includeCompletionsWithSnippetText||void 0,N=$i(C.tags,R=>Qm(R)&&R.getEnd()<=r);return es(b.parameters,R=>{if(!M6(R).length){if(ot(R.name)){const F={tabstop:1},H=R.name.text;let U=Jj(H,R.initializer,R.dotDotDotToken,T,!1,!1,a,u,h),q=E?Jj(H,R.initializer,R.dotDotDotToken,T,!1,!0,a,u,h,F):void 0;return p&&(U=U.slice(1),q&&(q=q.slice(1))),{name:U,kind:"parameter",sortText:xh.LocationPriority,insertText:E?q:void 0,isSnippet:E}}else if(R.parent.parameters.indexOf(R)===N){const F=`param${N}`,H=Aut(F,R.name,R.initializer,R.dotDotDotToken,T,!1,a,u,h),U=E?Aut(F,R.name,R.initializer,R.dotDotDotToken,T,!0,a,u,h):void 0;let q=H.join(mS(u)+"* "),X=U==null?void 0:U.join(mS(u)+"* ");return p&&(q=q.slice(1),X&&(X=X.slice(1))),{name:q,kind:"parameter",sortText:xh.LocationPriority,insertText:E?X:void 0,isSnippet:E}}}})}function Aut(t,r,a,u,h,p,g,C,b){if(!h)return[Jj(t,a,u,h,!1,p,g,C,b,{tabstop:1})];return T(t,r,a,u,{tabstop:1});function T(N,R,F,H,U){if(dm(R)&&!H){const X={tabstop:U.tabstop},Z=Jj(N,F,H,h,!0,p,g,C,b,X);let Q=[];for(const re of R.elements){const oe=E(N,re,X);if(oe)Q.push(...oe);else{Q=void 0;break}}if(Q)return U.tabstop=X.tabstop,[Z,...Q]}return[Jj(N,F,H,h,!1,p,g,C,b,U)]}function E(N,R,F){if(!R.propertyName&&ot(R.name)||ot(R.name)){const H=R.propertyName?D8(R.propertyName):R.name.text;if(!H)return;const U=`${N}.${H}`;return[Jj(U,R.initializer,R.dotDotDotToken,h,!1,p,g,C,b,F)]}else if(R.propertyName){const H=D8(R.propertyName);return H&&T(`${N}.${H}`,R.name,R.initializer,R.dotDotDotToken,F)}}}function Jj(t,r,a,u,h,p,g,C,b,T){if(p&&j.assertIsDefined(T),r&&(t=Ojt(t,r)),p&&(t=YT(t)),u){let E="*";if(h)j.assert(!a,"Cannot annotate a rest parameter with type 'Object'."),E="Object";else{if(r){const F=g.getTypeAtLocation(r.parent);if(!(F.flags&16385)){const H=r.getSourceFile(),q=$p(H,b)===0?268435456:0,X=g.typeToTypeNode(F,Qi(r,Ho),q);if(X){const Z=p?F_e({removeComments:!0,module:C.module,moduleResolution:C.moduleResolution,target:C.target}):bx({removeComments:!0,module:C.module,moduleResolution:C.moduleResolution,target:C.target});tr(X,1),E=Z.printNode(4,X,H)}}}p&&E==="*"&&(E=`\${${T.tabstop++}:${E}}`)}const N=!h&&a?"...":"",R=p?`\${${T.tabstop++}}`:"";return`@param {${N}${E}} ${t} ${R}`}else{const E=p?`\${${T.tabstop++}}`:"";return`@param ${t} ${E}`}}function Ojt(t,r){const a=r.getText().trim();return a.includes(`
+`)||a.length>80?`[${t}]`:`[${t}=${a}]`}function Mjt(t){return{name:xa(t),kind:"keyword",kindModifiers:"",sortText:xh.GlobalsOrKeywords}}function Rjt(t,r){return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:r,entries:t.slice(),defaultCommitCharacters:GI(r)}}function Out(t,r,a){return{kind:4,keywordCompletions:$ut(t,r),isNewIdentifierLocation:a}}function Fjt(t){switch(t){case 156:return 8;default:j.fail("Unknown mapping from SyntaxKind to KeywordCompletionFilters")}}function Mut(t){return(t==null?void 0:t.kind)===80?f_(t):void 0}function Bjt(t,r,a,u,h,p,g,C,b,T){const{symbols:E,contextToken:N,completionKind:R,isInSnippetScope:F,isNewIdentifierLocation:H,location:U,propertyAccessToConvert:q,keywordFilters:X,symbolToOriginInfoMap:Z,recommendedCompletion:Q,isJsxInitializer:re,isTypeOnlyLocation:oe,isJsxIdentifierExpected:pe,isRightOfOpenTag:de,isRightOfDotOrQuestionDot:ue,importStatementCompletion:Ie,insideJsDocTagTypeExpression:we,symbolToSortTextMap:he,hasUnresolvedAutoImports:Ae}=p;let ke=p.literals;const Te=a.getTypeChecker();if(qV(t.scriptKind)===1){const He=Vjt(U,t);if(He)return He}const De=Qi(N,x3);if(De&&(MEe(N)||UT(N,De.expression))){const He=JZ(Te,De.parent.clauses);ke=ke.filter(Pe=>!He.hasValue(Pe)),E.forEach((Pe,We)=>{if(Pe.valueDeclaration&&SS(Pe.valueDeclaration)){const ze=Te.getConstantValue(Pe.valueDeclaration);ze!==void 0&&He.hasValue(ze)&&(Z[We]={kind:256})}})}const qe=Lk(),se=Rut(t,u);if(se&&!H&&(!E||E.length===0)&&X===0)return;const Ne=yOe(E,qe,void 0,N,U,b,t,r,a,Ja(u),h,R,g,u,C,oe,q,pe,re,Ie,Q,Z,he,pe,de,T);if(X!==0)for(const He of $ut(X,!we&&r_(t)))(oe&&c5(oI(He.name))||!oe&&Szt(He.name)||!Ne.has(He.name))&&(Ne.add(He.name),rm(qe,He,xY,void 0,!0));for(const He of lzt(N,b))Ne.has(He.name)||(Ne.add(He.name),rm(qe,He,xY,void 0,!0));for(const He of ke){const Pe=jjt(t,g,He);Ne.add(Pe.name),rm(qe,Pe,xY,void 0,!0)}se||Hjt(t,U.pos,Ne,Ja(u),qe);let Oe;if(g.includeCompletionsWithInsertText&&N&&!de&&!ue&&(Oe=Qi(N,w7))){const He=Fut(Oe,t,g,u,r,a,C);He&&qe.push(He.entry)}return{flags:p.flags,isGlobalCompletion:F,isIncomplete:g.allowIncompleteCompletions&&Ae?!0:void 0,isMemberCompletion:Wjt(R),isNewIdentifierLocation:H,optionalReplacementSpan:Mut(U),entries:qe,defaultCommitCharacters:GI(H)}}function Rut(t,r){return!r_(t)||!!n7(t,r)}function Fut(t,r,a,u,h,p,g){const C=t.clauses,b=p.getTypeChecker(),T=b.getTypeAtLocation(t.parent.expression);if(T&&T.isUnion()&&Ce(T.types,E=>E.isLiteral())){const E=JZ(b,C),N=Ja(u),R=$p(r,a),F=wh.createImportAdder(r,p,a,h),H=[];for(const oe of T.types)if(oe.flags&1024){j.assert(oe.symbol,"An enum member type should have a symbol"),j.assert(oe.symbol.parent,"An enum member type should have a parent symbol (the enum symbol)");const pe=oe.symbol.valueDeclaration&&b.getConstantValue(oe.symbol.valueDeclaration);if(pe!==void 0){if(E.hasValue(pe))continue;E.addValue(pe)}const de=wh.typeToAutoImportableTypeNode(b,F,oe,t,N);if(!de)return;const ue=M_e(de,N,R);if(!ue)return;H.push(ue)}else if(!E.hasValue(oe.value))switch(typeof oe.value){case"object":H.push(oe.value.negative?G.createPrefixUnaryExpression(41,G.createBigIntLiteral({negative:!1,base10Value:oe.value.base10Value})):G.createBigIntLiteral(oe.value));break;case"number":H.push(oe.value<0?G.createPrefixUnaryExpression(41,G.createNumericLiteral(-oe.value)):G.createNumericLiteral(oe.value));break;case"string":H.push(G.createStringLiteral(oe.value,R===0));break}if(H.length===0)return;const U=qt(H,oe=>G.createCaseClause(oe,[])),q=rC(h,g==null?void 0:g.options),X=F_e({removeComments:!0,module:u.module,moduleResolution:u.moduleResolution,target:u.target,newLine:Nj(q)}),Z=g?oe=>X.printAndFormatNode(4,oe,r,g):oe=>X.printNode(4,oe,r),Q=qt(U,(oe,pe)=>a.includeCompletionsWithSnippetText?`${Z(oe)}$${pe+1}`:`${Z(oe)}`).join(q);return{entry:{name:`${X.printNode(4,U[0],r)} ...`,kind:"",sortText:xh.GlobalsOrKeywords,insertText:Q,hasAction:F.hasFixes()||void 0,source:"SwitchCases/",isSnippet:a.includeCompletionsWithSnippetText?!0:void 0},importAdder:F}}}function M_e(t,r,a){switch(t.kind){case 183:const u=t.typeName;return R_e(u,r,a);case 199:const h=M_e(t.objectType,r,a),p=M_e(t.indexType,r,a);return h&&p&&G.createElementAccessExpression(h,p);case 201:const g=t.literal;switch(g.kind){case 11:return G.createStringLiteral(g.text,a===0);case 9:return G.createNumericLiteral(g.text,g.numericLiteralFlags)}return;case 196:const C=M_e(t.type,r,a);return C&&(ot(C)?C:G.createParenthesizedExpression(C));case 186:return R_e(t.exprName,r,a);case 205:j.fail("We should not get an import type after calling 'codefix.typeToAutoImportableTypeNode'.")}}function R_e(t,r,a){if(ot(t))return t;const u=Ws(t.right.escapedText);return Gce(u,r)?G.createPropertyAccessExpression(R_e(t.left,r,a),u):G.createElementAccessExpression(R_e(t.left,r,a),G.createStringLiteral(u,a===0))}function Wjt(t){switch(t){case 0:case 3:case 2:return!0;default:return!1}}function Vjt(t,r){const a=Qi(t,u=>{switch(u.kind){case 287:return!0;case 44:case 32:case 80:case 211:return!1;default:return"quit"}});if(a){const u=!!Uc(a,32,r),g=a.parent.openingElement.tagName.getText(r)+(u?"":">"),C=f_(a.tagName),b={name:g,kind:"class",kindModifiers:void 0,sortText:xh.LocationPriority};return{isGlobalCompletion:!1,isMemberCompletion:!0,isNewIdentifierLocation:!1,optionalReplacementSpan:C,entries:[b],defaultCommitCharacters:GI(!1)}}}function Hjt(t,r,a,u,h){s_e(t).forEach((p,g)=>{if(p===r)return;const C=Ws(g);!a.has(C)&&J_(C,u)&&(a.add(C),rm(h,{name:C,kind:"warning",kindModifiers:"",sortText:xh.JavascriptIdentifiers,isFromUncheckedFile:!0,commitCharacters:[]},xY))})}function mOe(t,r,a){return typeof a=="object"?ZT(a)+"n":Oo(a)?_5(t,r,a):JSON.stringify(a)}function jjt(t,r,a){return{name:mOe(t,r,a),kind:"string",kindModifiers:"",sortText:xh.LocationPriority,commitCharacters:[]}}function zjt(t,r,a,u,h,p,g,C,b,T,E,N,R,F,H,U,q,X,Z,Q,re,oe,pe,de){var ue,Ie;let we,he,Ae=Bfe(a,p),ke,Te,De=gOe(N),qe,se,Ne;const Oe=b.getTypeChecker(),He=N&&Njt(N),Pe=N&&Tjt(N)||E;if(N&&kjt(N))we=E?`this${He?"?.":""}[${Vut(g,Z,T)}]`:`this${He?"?.":"."}${T}`;else if((Pe||He)&&F){we=Pe?E?`[${Vut(g,Z,T)}]`:`[${T}]`:T,(He||F.questionDotToken)&&(we=`?.${we}`);const $e=Uc(F,25,g)||Uc(F,29,g);if(!$e)return;const et=so(T,F.name.text)?F.name.end:$e.end;Ae=Fu($e.getStart(g),et)}if(H&&(we===void 0&&(we=T),we=`{${we}}`,typeof H!="boolean"&&(Ae=f_(H,g))),N&&Ijt(N)&&F){we===void 0&&(we=T);const $e=xd(F.pos,g);let et="";$e&&BZ($e.end,$e.parent,g)&&(et=";"),et+=`(await ${F.expression.getText()})`,we=E?`${et}${we}`:`${et}${He?"?.":"."}${we}`;const ut=Jr(F.parent,hx)?F.parent:F.expression;Ae=Fu(ut.getStart(g),F.end)}if(k5(N)&&(qe=[pm(N.moduleSpecifier)],U&&({insertText:we,replacementSpan:Ae}=Qjt(T,U,N,q,g,b,Z),Te=Z.includeCompletionsWithSnippetText?!0:void 0)),(N==null?void 0:N.kind)===64&&(se=!0),Q===0&&u&&((ue=xd(u.pos,g,u))==null?void 0:ue.kind)!==28&&(Nu(u.parent.parent)||um(u.parent.parent)||kp(u.parent.parent)||Kv(u.parent)||((Ie=Qi(u.parent,_d))==null?void 0:Ie.getLastToken(g))===u||lh(u.parent)&&Ia(g,u.getEnd()).line!==Ia(g,p).line)&&(De="ObjectLiteralMemberWithComma/",se=!0),Z.includeCompletionsWithClassMemberSnippets&&Z.includeCompletionsWithInsertText&&Q===3&&qjt(t,h,g)){let $e;const et=But(C,b,X,Z,T,t,h,p,u,re);if(et)({insertText:we,filterText:he,isSnippet:Te,importAdder:$e}=et),($e!=null&&$e.hasFixes()||et.eraseRange)&&(se=!0,De="ClassMemberSnippet/");else return}if(N&&Iut(N)&&({insertText:we,isSnippet:Te,labelDetails:Ne}=N,Z.useLabelDetailsInCompletionEntries||(T=T+Ne.detail,Ne=void 0),De="ObjectLiteralMethodSnippet/",r=xh.SortBelow(r)),oe&&!pe&&Z.includeCompletionsWithSnippetText&&Z.jsxAttributeCompletionStyle&&Z.jsxAttributeCompletionStyle!=="none"&&!(U1(h.parent)&&h.parent.initializer)){let $e=Z.jsxAttributeCompletionStyle==="braces";const et=Oe.getTypeOfSymbolAtLocation(t,h);Z.jsxAttributeCompletionStyle==="auto"&&!(et.flags&528)&&!(et.flags&1048576&&Fe(et.types,Me=>!!(Me.flags&528)))&&(et.flags&402653316||et.flags&1048576&&Ce(et.types,Me=>!!(Me.flags&402686084||lAe(Me)))?(we=`${YT(T)}=${_5(g,Z,"$1")}`,Te=!0):$e=!0),$e&&(we=`${YT(T)}={$1}`,Te=!0)}if(we!==void 0&&!Z.includeCompletionsWithInsertText)return;(wY(N)||k5(N))&&(ke=Wut(N),se=!U);const We=Qi(h,NK);if(We){const $e=Ja(C.getCompilationSettings());if(!J_(T,$e))we=JSON.stringify(T),We.kind===275&&(we+=" as "+Ujt(T,$e));else if(We.kind===275){const et=oI(T);et&&(et===135||Xae(et))&&(we=`${T} as ${T}_`)}}const ze=NS.getSymbolKind(Oe,t,h),Nt=ze==="warning"||ze==="string"?[]:void 0;return{name:T,kind:ze,kindModifiers:NS.getSymbolModifiers(Oe,t),sortText:r,source:De,hasAction:se?!0:void 0,isRecommended:Zjt(t,R,Oe)||void 0,insertText:we,filterText:he,replacementSpan:Ae,sourceDisplay:qe,labelDetails:Ne,isSnippet:Te,isPackageJsonImport:Ejt(N)||void 0,isImportStatementCompletion:!!U||void 0,data:ke,commitCharacters:Nt,...de?{symbol:t}:void 0}}function Ujt(t,r){let a=!1,u="",h;for(let p=0;p<t.length;p+=h!==void 0&&h>=65536?2:1)h=t.codePointAt(p),h!==void 0&&(p===0?Py(h,r):jb(h,r))?(a&&(u+="_"),u+=String.fromCodePoint(h),a=!1):a=!0;return a&&(u+="_"),u||"_"}function qjt(t,r,a){return nr(r)?!1:!!(t.flags&106500)&&(ss(r)||r.parent&&r.parent.parent&&Od(r.parent)&&r===r.parent.name&&r.parent.getLastToken(a)===r.parent.name&&ss(r.parent.parent)||r.parent&&E3(r)&&ss(r.parent))}function But(t,r,a,u,h,p,g,C,b,T){const E=Qi(g,ss);if(!E)return;let N,R=h;const F=h,H=r.getTypeChecker(),U=g.getSourceFile(),q=F_e({removeComments:!0,module:a.module,moduleResolution:a.moduleResolution,target:a.target,omitTrailingSemicolon:!1,newLine:Nj(rC(t,T==null?void 0:T.options))}),X=wh.createImportAdder(U,r,u,t);let Z;if(u.includeCompletionsWithSnippetText){N=!0;const Ie=G.createEmptyStatement();Z=G.createBlock([Ie],!0),sle(Ie,{kind:0,order:0})}else Z=G.createBlock([],!0);let Q=0;const{modifiers:re,range:oe,decorators:pe}=$jt(b,U,C),de=re&64&&E.modifierFlagsCache&64;let ue=[];if(wh.addNewNodeForMemberSymbol(p,E,U,{program:r,host:t},u,X,Ie=>{let we=0;de&&(we|=64),Od(Ie)&&H.getMemberOverrideModifierStatus(E,Ie,p)===1&&(we|=16),ue.length||(Q=Ie.modifierFlagsCache|we),Ie=G.replaceModifiers(Ie,Q),ue.push(Ie)},Z,wh.PreserveOptionalFlags.Property,!!de),ue.length){const Ie=p.flags&8192;let we=Q|16|1;Ie?we|=1024:we|=136;const he=re&we;if(re&~we)return;if(Q&4&&he&1&&(Q&=-5),he!==0&&!(he&1)&&(Q&=-2),Q|=he,ue=ue.map(ke=>G.replaceModifiers(ke,Q)),pe!=null&&pe.length){const ke=ue[ue.length-1];uD(ke)&&(ue[ue.length-1]=G.replaceDecoratorsAndModifiers(ke,pe.concat(PT(ke)||[])))}const Ae=131073;T?R=q.printAndFormatSnippetList(Ae,G.createNodeArray(ue),U,T):R=q.printSnippetList(Ae,G.createNodeArray(ue),U)}return{insertText:R,filterText:F,isSnippet:N,importAdder:X,eraseRange:oe}}function $jt(t,r,a){if(!t||Ia(r,a).line>Ia(r,t.getEnd()).line)return{modifiers:0};let u=0,h,p;const g={pos:a,end:a};if(Lo(t.parent)&&(p=Jjt(t))){t.parent.modifiers&&(u|=M1(t.parent.modifiers)&98303,h=t.parent.modifiers.filter(Fd)||[],g.pos=Math.min(...t.parent.modifiers.map(b=>b.getStart(r))));const C=CP(p);u&C||(u|=C,g.pos=Math.min(g.pos,t.getStart(r))),t.parent.name!==t&&(g.end=t.parent.name.getStart(r))}return{modifiers:u,decorators:h,range:g.pos<g.end?g:void 0}}function Jjt(t){if(Fa(t))return t.kind;if(ot(t)){const r=aI(t);if(r&&Xw(r))return r}}function Gjt(t,r,a,u,h,p,g,C){const b=g.includeCompletionsWithSnippetText||void 0;let T=r;const E=a.getSourceFile(),N=Kjt(t,a,E,u,h,g);if(!N)return;const R=F_e({removeComments:!0,module:p.module,moduleResolution:p.moduleResolution,target:p.target,omitTrailingSemicolon:!1,newLine:Nj(rC(h,C==null?void 0:C.options))});C?T=R.printAndFormatSnippetList(80,G.createNodeArray([N],!0),E,C):T=R.printSnippetList(80,G.createNodeArray([N],!0),E);const F=bx({removeComments:!0,module:p.module,moduleResolution:p.moduleResolution,target:p.target,omitTrailingSemicolon:!0}),H=G.createMethodSignature(void 0,"",N.questionToken,N.typeParameters,N.parameters,N.type),U={detail:F.printNode(4,H,E)};return{isSnippet:b,insertText:T,labelDetails:U}}function Kjt(t,r,a,u,h,p){const g=t.getDeclarations();if(!(g&&g.length))return;const C=u.getTypeChecker(),b=g[0],T=Ec(No(b),!1),E=C.getWidenedType(C.getTypeOfSymbolAtLocation(t,r)),R=33554432|($p(a,p)===0?268435456:0);switch(b.kind){case 171:case 172:case 173:case 174:{let F=E.flags&1048576&&E.types.length<10?C.getUnionType(E.types,2):E;if(F.flags&1048576){const Z=$t(F.types,Q=>C.getSignaturesOfType(Q,0).length>0);if(Z.length===1)F=Z[0];else return}if(C.getSignaturesOfType(F,0).length!==1)return;const U=C.typeToTypeNode(F,r,R,void 0,wh.getNoopSymbolTrackerWithResolver({program:u,host:h}));if(!U||!Hy(U))return;let q;if(p.includeCompletionsWithSnippetText){const Z=G.createEmptyStatement();q=G.createBlock([Z],!0),sle(Z,{kind:0,order:0})}else q=G.createBlock([],!0);const X=U.parameters.map(Z=>G.createParameterDeclaration(void 0,Z.dotDotDotToken,Z.name,void 0,void 0,Z.initializer));return G.createMethodDeclaration(void 0,void 0,T,void 0,void 0,X,void 0,q)}default:return}}function F_e(t){let r;const a=er.createWriter(mS(t)),u=bx(t,a),h={...a,write:R=>p(R,()=>a.write(R)),nonEscapingWrite:a.write,writeLiteral:R=>p(R,()=>a.writeLiteral(R)),writeStringLiteral:R=>p(R,()=>a.writeStringLiteral(R)),writeSymbol:(R,F)=>p(R,()=>a.writeSymbol(R,F)),writeParameter:R=>p(R,()=>a.writeParameter(R)),writeComment:R=>p(R,()=>a.writeComment(R)),writeProperty:R=>p(R,()=>a.writeProperty(R))};return{printSnippetList:g,printAndFormatSnippetList:b,printNode:T,printAndFormatNode:N};function p(R,F){const H=YT(R);if(H!==R){const U=a.getTextPos();F();const q=a.getTextPos();r=fn(r||(r=[]),{newText:H,span:{start:U,length:q-U}})}else F()}function g(R,F,H){const U=C(R,F,H);return r?er.applyChanges(U,r):U}function C(R,F,H){return r=void 0,h.clear(),u.writeList(R,F,H,h),h.getText()}function b(R,F,H,U){const q={text:C(R,F,H),getLineAndCharacterOfPosition(re){return Ia(this,re)}},X=$Z(U,H),Z=Rr(F,re=>{const oe=er.assignPositionsToNode(re);return pd.formatNodeGivenIndentation(oe,q,H.languageVariant,0,0,{...U,options:X})}),Q=r?Mu(Js(Z,r),(re,oe)=>VJ(re.span,oe.span)):Z;return er.applyChanges(q.text,Q)}function T(R,F,H){const U=E(R,F,H);return r?er.applyChanges(U,r):U}function E(R,F,H){return r=void 0,h.clear(),u.writeNode(R,F,H,h),h.getText()}function N(R,F,H,U){const q={text:E(R,F,H),getLineAndCharacterOfPosition(oe){return Ia(this,oe)}},X=$Z(U,H),Z=er.assignPositionsToNode(F),Q=pd.formatNodeGivenIndentation(Z,q,H.languageVariant,0,0,{...U,options:X}),re=r?Mu(Js(Q,r),(oe,pe)=>VJ(oe.span,pe.span)):Q;return er.applyChanges(q.text,re)}}function Wut(t){const r=t.fileName?void 0:zm(t.moduleSymbol.name),a=t.isFromPackageJson?!0:void 0;return k5(t)?{exportName:t.exportName,exportMapKey:t.exportMapKey,moduleSpecifier:t.moduleSpecifier,ambientModuleName:r,fileName:t.fileName,isPackageJsonImport:a}:{exportName:t.exportName,exportMapKey:t.exportMapKey,fileName:t.fileName,ambientModuleName:t.fileName?void 0:zm(t.moduleSymbol.name),isPackageJsonImport:t.isFromPackageJson?!0:void 0}}function Xjt(t,r,a){const u=t.exportName==="default",h=!!t.isPackageJsonImport;return Lut(t)?{kind:32,exportName:t.exportName,exportMapKey:t.exportMapKey,moduleSpecifier:t.moduleSpecifier,symbolName:r,fileName:t.fileName,moduleSymbol:a,isDefaultExport:u,isFromPackageJson:h}:{kind:4,exportName:t.exportName,exportMapKey:t.exportMapKey,symbolName:r,fileName:t.fileName,moduleSymbol:a,isDefaultExport:u,isFromPackageJson:h}}function Qjt(t,r,a,u,h,p,g){const C=r.replacementSpan,b=YT(_5(h,g,a.moduleSpecifier)),T=a.isDefaultExport?1:a.exportName==="export="?2:0,E=g.includeCompletionsWithSnippetText?"$1":"",N=wh.getImportKind(h,T,p,!0),R=r.couldBeTypeOnlyImportSpecifier,F=r.isTopLevelTypeOnly?` ${xa(156)} `:" ",H=R?`${xa(156)} `:"",U=u?";":"";switch(N){case 3:return{replacementSpan:C,insertText:`import${F}${YT(t)}${E} = require(${b})${U}`};case 1:return{replacementSpan:C,insertText:`import${F}${YT(t)}${E} from ${b}${U}`};case 2:return{replacementSpan:C,insertText:`import${F}* as ${YT(t)} from ${b}${U}`};case 0:return{replacementSpan:C,insertText:`import${F}{ ${H}${YT(t)}${E} } from ${b}${U}`}}}function Vut(t,r,a){return/^\d+$/.test(a)?a:_5(t,r,a)}function Zjt(t,r,a){return t===r||!!(t.flags&1048576)&&a.getExportSymbolOfSymbol(t)===r}function gOe(t){if(wY(t))return zm(t.moduleSymbol.name);if(k5(t))return t.moduleSpecifier;if((t==null?void 0:t.kind)===1)return"ThisProperty/";if((t==null?void 0:t.kind)===64)return"TypeOnlyAlias/"}function yOe(t,r,a,u,h,p,g,C,b,T,E,N,R,F,H,U,q,X,Z,Q,re,oe,pe,de,ue,Ie=!1){const we=sl(),he=vzt(u,h),Ae=kj(g),ke=b.getTypeChecker(),Te=new Map;for(let se=0;se<t.length;se++){const Ne=t[se],Oe=oe==null?void 0:oe[se],He=W_e(Ne,T,Oe,N,!!X);if(!He||Te.get(He.name)&&(!Oe||!Iut(Oe))||N===1&&pe&&!De(Ne,pe)||!U&&nr(g)&&qe(Ne))continue;const{name:Pe,needsConvertPropertyAccess:We}=He,ze=(pe==null?void 0:pe[Ba(Ne)])??xh.LocationPriority,Nt=bzt(Ne,ke)?xh.Deprecated(ze):ze,$e=zjt(Ne,Nt,a,u,h,p,g,C,b,Pe,We,Oe,re,q,Z,Q,Ae,F,R,N,H,de,ue,Ie);if(!$e)continue;const et=(!Oe||Eut(Oe))&&!(Ne.parent===void 0&&!Ft(Ne.declarations,Me=>Me.getSourceFile()===h.getSourceFile()));Te.set(Pe,et),rm(r,$e,xY,void 0,!0)}return E("getCompletionsAtPosition: getCompletionEntriesFromSymbols: "+(sl()-we)),{has:se=>Te.has(se),add:se=>Te.set(se,!0)};function De(se,Ne){var Oe;let He=se.flags;if(!Os(h)){if(Il(h.parent))return!0;if(Jr(he,_s)&&se.valueDeclaration===he)return!1;const Pe=se.valueDeclaration??((Oe=se.declarations)==null?void 0:Oe[0]);if(he&&Pe&&($l(he)&&$l(Pe)||$s(he)&&$s(Pe))){const ze=Pe.pos,Nt=$s(he)?he.parent.parameters:DI(he.parent)?void 0:he.parent.typeParameters;if(ze>=he.pos&&Nt&&ze<Nt.end)return!1}const We=Vf(se,ke);if(g.externalModuleIndicator&&!F.allowUmdGlobalAccess&&Ne[Ba(se)]===xh.GlobalsOrKeywords&&(Ne[Ba(We)]===xh.AutoImportSuggestions||Ne[Ba(We)]===xh.LocationPriority))return!1;if(He|=l3(We),hZ(h))return!!(He&1920);if(U)return wOe(se,ke)}return!!(He&111551)}function qe(se){var Ne;const Oe=l3(Vf(se,ke));return!(Oe&111551)&&(!nr((Ne=se.declarations)==null?void 0:Ne[0])||!!(Oe&788968))}}function Yjt(t){const r=ezt(t);if(r.length)return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:!1,entries:r,defaultCommitCharacters:GI(!1)}}function ezt(t){const r=[],a=new Map;let u=t;for(;u&&!Ho(u);){if(_x(u)){const h=u.label.text;a.has(h)||(a.set(h,!0),r.push({name:h,kindModifiers:"",kind:"label",sortText:xh.LocationPriority}))}u=u.parent}return r}function Hut(t,r,a,u,h,p,g){if(h.source==="SwitchCases/")return{type:"cases"};if(h.data){const Q=Uut(h.name,h.data,t,p);if(Q){const{contextToken:re,previousToken:oe}=B_e(u,a);return{type:"symbol",symbol:Q.symbol,location:R_(a,u),previousToken:oe,contextToken:re,isJsxInitializer:!1,isTypeOnlyLocation:!1,origin:Q.origin}}}const C=t.getCompilerOptions(),b=zut(t,r,a,C,u,{includeCompletionsForModuleExports:!0,includeCompletionsWithInsertText:!0},h,p,void 0);if(!b)return{type:"none"};if(b.kind!==0)return{type:"request",request:b};const{symbols:T,literals:E,location:N,completionKind:R,symbolToOriginInfoMap:F,contextToken:H,previousToken:U,isJsxInitializer:q,isTypeOnlyLocation:X}=b,Z=Fe(E,Q=>mOe(a,g,Q)===h.name);return Z!==void 0?{type:"literal",literal:Z}:J(T,(Q,re)=>{const oe=F[re],pe=W_e(Q,Ja(C),oe,R,b.isJsxIdentifierExpected);return pe&&pe.name===h.name&&(h.source==="ClassMemberSnippet/"&&Q.flags&106500||h.source==="ObjectLiteralMethodSnippet/"&&Q.flags&8196||gOe(oe)===h.source||h.source==="ObjectLiteralMemberWithComma/")?{type:"symbol",symbol:Q,location:N,origin:oe,contextToken:H,previousToken:U,isJsxInitializer:q,isTypeOnlyLocation:X}:void 0})||{type:"none"}}function tzt(t,r,a,u,h,p,g,C,b){const T=t.getTypeChecker(),E=t.getCompilerOptions(),{name:N,source:R,data:F}=h,{previousToken:H,contextToken:U}=B_e(u,a);if(lA(a,u,H))return q_e.getStringLiteralCompletionDetails(N,a,u,H,t,p,b,C);const q=Hut(t,r,a,u,h,p,C);switch(q.type){case"request":{const{request:X}=q;switch(X.kind){case 1:return S2.getJSDocTagNameCompletionDetails(N);case 2:return S2.getJSDocTagCompletionDetails(N);case 3:return S2.getJSDocParameterNameCompletionDetails(N);case 4:return Ft(X.keywordCompletions,Z=>Z.name===N)?vOe(N,"keyword",5):void 0;default:return j.assertNever(X)}}case"symbol":{const{symbol:X,location:Z,contextToken:Q,origin:re,previousToken:oe}=q,{codeActions:pe,sourceDisplay:de}=izt(N,Z,Q,re,X,t,p,E,a,u,oe,g,C,F,R,b),ue=_Oe(re)?re.symbolName:X.name;return bOe(X,ue,T,a,Z,b,pe,de)}case"literal":{const{literal:X}=q;return vOe(mOe(a,C,X),"string",typeof X=="string"?8:7)}case"cases":{const X=Fut(U.parent,a,C,t.getCompilerOptions(),p,t,void 0);if(X!=null&&X.importAdder.hasFixes()){const{entry:Z,importAdder:Q}=X,re=er.ChangeTracker.with({host:p,formatContext:g,preferences:C},Q.writeFixes);return{name:Z.name,kind:"",kindModifiers:"",displayParts:[],sourceDisplay:void 0,codeActions:[{changes:re,description:bD([k.Includes_imports_of_types_referenced_by_0,N])}]}}return{name:N,kind:"",kindModifiers:"",displayParts:[],sourceDisplay:void 0}}case"none":return qut().some(X=>X.name===N)?vOe(N,"keyword",5):void 0;default:j.assertNever(q)}}function vOe(t,r,a){return kY(t,"",r,[Z_(t,a)])}function bOe(t,r,a,u,h,p,g,C){const{displayParts:b,documentation:T,symbolKind:E,tags:N}=a.runWithCancellationToken(p,R=>NS.getSymbolDisplayPartsDocumentationAndSymbolKind(R,t,u,h,h,7));return kY(r,NS.getSymbolModifiers(a,t),E,b,T,N,g,C)}function kY(t,r,a,u,h,p,g,C){return{name:t,kindModifiers:r,kind:a,displayParts:u,documentation:h,tags:p,codeActions:g,source:C,sourceDisplay:C}}function izt(t,r,a,u,h,p,g,C,b,T,E,N,R,F,H,U){if(F!=null&&F.moduleSpecifier&&E&&Yut(a||E,b).replacementSpan)return{codeActions:void 0,sourceDisplay:[pm(F.moduleSpecifier)]};if(H==="ClassMemberSnippet/"){const{importAdder:pe,eraseRange:de}=But(g,p,C,R,t,h,r,T,a,N);if(pe!=null&&pe.hasFixes()||de)return{sourceDisplay:void 0,codeActions:[{changes:er.ChangeTracker.with({host:g,formatContext:N,preferences:R},Ie=>{pe&&pe.writeFixes(Ie),de&&Ie.deleteRange(b,de)}),description:pe!=null&&pe.hasFixes()?bD([k.Includes_imports_of_types_referenced_by_0,t]):bD([k.Update_modifiers_of_0,t])}]}}if(Eut(u)){const pe=wh.getPromoteTypeOnlyCompletionAction(b,u.declaration.name,p,g,N,R);return j.assertIsDefined(pe,"Expected to have a code action for promoting type-only alias"),{codeActions:[pe],sourceDisplay:void 0}}if(H==="ObjectLiteralMemberWithComma/"&&a){const pe=er.ChangeTracker.with({host:g,formatContext:N,preferences:R},de=>de.insertText(b,a.end,","));if(pe)return{sourceDisplay:void 0,codeActions:[{changes:pe,description:bD([k.Add_missing_comma_for_object_member_completion_0,t])}]}}if(!u||!(wY(u)||k5(u)))return{codeActions:void 0,sourceDisplay:void 0};const q=u.isFromPackageJson?g.getPackageJsonAutoImportProvider().getTypeChecker():p.getTypeChecker(),{moduleSymbol:X}=u,Z=q.getMergedSymbol(Vf(h.exportSymbol||h,q)),Q=(a==null?void 0:a.kind)===30&&oh(a.parent),{moduleSpecifier:re,codeAction:oe}=wh.getImportCompletionAction(Z,X,F==null?void 0:F.exportMapKey,b,t,Q,g,p,N,E&&ot(E)?E.getStart(b):T,R,U);return j.assert(!(F!=null&&F.moduleSpecifier)||re===F.moduleSpecifier),{sourceDisplay:[pm(re)],codeActions:[oe]}}function nzt(t,r,a,u,h,p,g){const C=Hut(t,r,a,u,h,p,g);return C.type==="symbol"?C.symbol:void 0}var jut=(t=>(t[t.ObjectPropertyDeclaration=0]="ObjectPropertyDeclaration",t[t.Global=1]="Global",t[t.PropertyAccess=2]="PropertyAccess",t[t.MemberLike=3]="MemberLike",t[t.String=4]="String",t[t.None=5]="None",t))(jut||{});function rzt(t,r,a){return J(r&&(r.isUnion()?r.types:[r]),u=>{const h=u&&u.symbol;return h&&h.flags&424&&!vDe(h)?COe(h,t,a):void 0})}function szt(t,r,a,u){const{parent:h}=t;switch(t.kind){case 80:return RZ(t,u);case 64:switch(h.kind){case 260:return u.getContextualType(h.initializer);case 226:return u.getTypeAtLocation(h.left);case 291:return u.getContextualTypeForJsxAttribute(h);default:return}case 105:return u.getContextualType(h);case 84:const p=Jr(h,x3);return p?che(p,u):void 0;case 19:return x7(h)&&!Gv(h.parent)&&!aD(h.parent)?u.getContextualTypeForJsxAttribute(h.parent):void 0;default:const g=ez.getArgumentInfoForCompletions(t,r,a,u);return g?u.getContextualTypeForArgumentAtIndex(g.invocation,g.argumentIndex):FZ(t.kind)&&ur(h)&&FZ(h.operatorToken.kind)?u.getTypeAtLocation(h.left):u.getContextualType(t,4)||u.getContextualType(t)}}function COe(t,r,a){const u=a.getAccessibleSymbolChain(t,r,-1,!1);return u?ya(u):t.parent&&(ozt(t.parent)?t:COe(t.parent,r,a))}function ozt(t){var r;return!!((r=t.declarations)!=null&&r.some(a=>a.kind===307))}function zut(t,r,a,u,h,p,g,C,b,T){const E=t.getTypeChecker(),N=Rut(a,u);let R=sl(),F=Rs(a,h);r("getCompletionData: Get current token: "+(sl()-R)),R=sl();const H=kS(a,h,F);r("getCompletionData: Is inside comment: "+(sl()-R));let U=!1,q=!1,X=!1;if(H){if(oAe(a,h)){if(a.text.charCodeAt(h-1)===64)return{kind:1};{const st=Wg(h,a);if(!/[^*|\s(/)]/.test(a.text.substring(st,h)))return{kind:2}}}const lt=uzt(F,h);if(lt){if(lt.tagName.pos<=h&&h<=lt.tagName.end)return{kind:1};if(q1(lt))q=!0;else{const st=tn(lt);if(st&&(F=Rs(a,h),(!F||!Wy(F)&&(F.parent.kind!==348||F.parent.name!==F))&&(U=Qt(st))),!U&&Qm(lt)&&(lu(lt.name)||lt.name.pos<=h&&h<=lt.name.end))return{kind:3,tag:lt}}}if(!U&&!q){r("Returning an empty list because completion was inside a regular comment or plain text part of a JsDoc comment.");return}}R=sl();const Z=!U&&!q&&r_(a),Q=B_e(h,a),re=Q.previousToken;let oe=Q.contextToken;r("getCompletionData: Get previous token: "+(sl()-R));let pe=F,de,ue=!1,Ie=!1,we=!1,he=!1,Ae=!1,ke=!1,Te,De=R_(a,h),qe=0,se=!1,Ne=0;if(oe){const lt=Yut(oe,a);if(lt.keywordCompletion){if(lt.isKeywordOnlyCompletion)return{kind:4,keywordCompletions:[Mjt(lt.keywordCompletion)],isNewIdentifierLocation:lt.isNewIdentifierLocation};qe=Fjt(lt.keywordCompletion)}if(lt.replacementSpan&&p.includeCompletionsForImportStatements&&p.includeCompletionsWithInsertText&&(Ne|=2,Te=lt,se=lt.isNewIdentifierLocation),!lt.replacementSpan&&Gs(oe))return r("Returning an empty list because completion was requested in an invalid position."),qe?Out(qe,Z,ka()):void 0;let st=oe.parent;if(oe.kind===25||oe.kind===29)switch(ue=oe.kind===25,Ie=oe.kind===29,st.kind){case 211:de=st,pe=de.expression;const hi=u3(de);if(lu(hi)||(la(pe)||Ho(pe))&&pe.end===oe.pos&&pe.getChildCount(a)&&va(pe.getChildren(a)).kind!==22)return;break;case 166:pe=st.left;break;case 267:pe=st.name;break;case 205:pe=st;break;case 236:pe=st.getFirstToken(a),j.assert(pe.kind===102||pe.kind===105);break;default:return}else if(!Te){if(st&&st.kind===211&&(oe=st,st=st.parent),F.parent===De)switch(F.kind){case 32:(F.parent.kind===284||F.parent.kind===286)&&(De=F);break;case 44:F.parent.kind===285&&(De=F);break}switch(st.kind){case 287:oe.kind===44&&(he=!0,De=oe);break;case 226:if(!Zut(st))break;case 285:case 284:case 286:ke=!0,oe.kind===30&&(we=!0,De=oe);break;case 294:case 293:(re.kind===20||re.kind===80&&re.parent.kind===291)&&(ke=!0);break;case 291:if(st.initializer===re&&re.end<h){ke=!0;break}switch(re.kind){case 64:Ae=!0;break;case 80:ke=!0,st!==re.parent&&!st.initializer&&Uc(st,64,a)&&(Ae=re)}break}}}const Oe=sl();let He=5,Pe=!1,We=[],ze;const Nt=[],$e=[],et=new Map,Me=Mn(),ut=x1(lt=>dA(lt?C.getPackageJsonAutoImportProvider():t,C));if(ue||Ie)xn();else if(we)We=E.getJsxIntrinsicTagNamesAt(De),j.assertEachIsDefined(We,"getJsxIntrinsicTagNames() should all be defined"),On(),He=1,qe=0;else if(he){const lt=oe.parent.parent.openingElement.tagName,st=E.getSymbolAtLocation(lt);st&&(We=[st]),He=1,qe=0}else if(!On())return qe?Out(qe,Z,se):void 0;r("getCompletionData: Semantic work: "+(sl()-Oe));const wt=re&&szt(re,h,a,E),dt=!Jr(re,Bc)&&!ke?es(wt&&(wt.isUnion()?wt.types:[wt]),lt=>lt.isLiteral()&&!(lt.flags&1024)?lt.value:void 0):[],zt=re&&wt&&rzt(re,wt,E);return{kind:0,symbols:We,completionKind:He,isInSnippetScope:X,propertyAccessToConvert:de,isNewIdentifierLocation:se,location:De,keywordFilters:qe,literals:dt,symbolToOriginInfoMap:Nt,recommendedCompletion:zt,previousToken:re,contextToken:oe,isJsxInitializer:Ae,insideJsDocTagTypeExpression:U,symbolToSortTextMap:$e,isTypeOnlyLocation:Me,isJsxIdentifierExpected:ke,isRightOfOpenTag:we,isRightOfDotOrQuestionDot:ue||Ie,importStatementCompletion:Te,hasUnresolvedAutoImports:Pe,flags:Ne};function Ei(lt){switch(lt.kind){case 341:case 348:case 342:case 344:case 346:case 349:case 350:return!0;case 345:return!!lt.constraint;default:return!1}}function tn(lt){if(Ei(lt)){const st=Rg(lt)?lt.constraint:lt.typeExpression;return st&&st.kind===309?st:void 0}if(KP(lt)||NX(lt))return lt.class}function xn(){He=2;const lt=lS(pe),st=lt&&!pe.isTypeOf||N1(pe.parent)||_j(oe,a,E),hi=hZ(pe);if(E_(pe)||lt||Nr(pe)){const vn=rd(pe.parent);vn&&(se=!0);let ln=E.getSymbolAtLocation(pe);if(ln&&(ln=Vf(ln,E),ln.flags&1920)){const Un=E.getExportsOfModule(ln);j.assertEachIsDefined(Un,"getExportsOfModule() should all be defined");const Pt=wi=>E.isValidPropertyAccess(lt?pe:pe.parent,wi.name),Bt=wi=>wOe(wi,E),fi=vn?wi=>{var Ri;return!!(wi.flags&1920)&&!((Ri=wi.declarations)!=null&&Ri.every(Ai=>Ai.parent===pe.parent))}:hi?wi=>Bt(wi)||Pt(wi):st||U?Bt:Pt;for(const wi of Un)fi(wi)&&We.push(wi);if(!st&&!U&&ln.declarations&&ln.declarations.some(wi=>wi.kind!==307&&wi.kind!==267&&wi.kind!==266)){let wi=E.getTypeOfSymbolAtLocation(ln,pe).getNonOptionalType(),Ri=!1;if(wi.isNullableType()){const Ai=ue&&!Ie&&p.includeAutomaticOptionalChainCompletions!==!1;(Ai||Ie)&&(wi=wi.getNonNullableType(),Ai&&(Ri=!0))}Pn(wi,!!(pe.flags&65536),Ri)}return}}if(!st||vP(pe)){E.tryGetThisTypeAt(pe,!1);let vn=E.getTypeAtLocation(pe).getNonOptionalType();if(st)Pn(vn.getNonNullableType(),!1,!1);else{let ln=!1;if(vn.isNullableType()){const Un=ue&&!Ie&&p.includeAutomaticOptionalChainCompletions!==!1;(Un||Ie)&&(vn=vn.getNonNullableType(),Un&&(ln=!0))}Pn(vn,!!(pe.flags&65536),ln)}}}function Pn(lt,st,hi){se=!!lt.getStringIndexType(),Ie&&Ft(lt.getCallSignatures())&&(se=!0);const vn=pe.kind===205?pe:pe.parent;if(N)for(const ln of lt.getApparentProperties())E.isValidPropertyAccessForCompletions(vn,lt,ln)&&_i(ln,!1,hi);else We.push(...$t(z_e(lt,E),ln=>E.isValidPropertyAccessForCompletions(vn,lt,ln)));if(st&&p.includeCompletionsWithInsertText){const ln=E.getPromisedTypeOfPromise(lt);if(ln)for(const Un of ln.getApparentProperties())E.isValidPropertyAccessForCompletions(vn,ln,Un)&&_i(Un,!0,hi)}}function _i(lt,st,hi){var vn;const ln=J(lt.declarations,fi=>Jr(No(fi),Ka));if(ln){const fi=Fi(ln.expression),wi=fi&&E.getSymbolAtLocation(fi),Ri=wi&&COe(wi,oe,E),Ai=Ri&&Ba(Ri);if(Ai&&Pg(et,Ai)){const Xn=We.length;We.push(Ri);const Di=Ri.parent;if(!Di||!H6(Di)||E.tryGetMemberInModuleExportsAndProperties(Ri.name,Di)!==Ri)Nt[Xn]={kind:Bt(2)};else{const on=Gd(zm(Di.name))?(vn=LG(Di))==null?void 0:vn.fileName:void 0,{moduleSpecifier:zi}=(ze||(ze=wh.createImportSpecifierResolver(a,t,C,p))).getModuleSpecifierForBestExportInfo([{exportKind:0,moduleFileName:on,isFromPackageJson:!1,moduleSymbol:Di,symbol:Ri,targetFlags:Vf(Ri,E).flags}],h,Yk(De))||{};if(zi){const cn={kind:Bt(6),moduleSymbol:Di,isDefaultExport:!1,symbolName:Ri.name,exportName:Ri.name,fileName:on,moduleSpecifier:zi};Nt[Xn]=cn}}}else if(p.includeCompletionsWithInsertText){if(Ai&&et.has(Ai))return;Pt(lt),Un(lt),We.push(lt)}}else Pt(lt),Un(lt),We.push(lt);function Un(fi){mzt(fi)&&($e[Ba(fi)]=xh.LocalDeclarationPriority)}function Pt(fi){p.includeCompletionsWithInsertText&&(st&&Pg(et,Ba(fi))?Nt[We.length]={kind:Bt(8)}:hi&&(Nt[We.length]={kind:16}))}function Bt(fi){return hi?fi|16:fi}}function Fi(lt){return ot(lt)?lt:Nr(lt)?Fi(lt.expression):void 0}function On(){return(ac()||St()||Fs()||ri()||xe()||pt()||pn()||Se()||qr()||(ko(),1))===1}function pn(){return gt(oe)?(He=5,se=!0,qe=4,1):0}function qr(){const lt=Je(oe),st=lt&&E.getContextualType(lt.attributes);if(!st)return 0;const hi=lt&&E.getContextualType(lt.attributes,4);return We=Js(We,be(j_e(st,hi,lt.attributes,E),lt.attributes.properties)),tt(),He=3,se=!1,1}function Fs(){return Te?(se=!0,yi(),1):0}function ko(){qe=Dt(oe)?5:1,He=1,se=ka(),re!==oe&&j.assert(!!re,"Expected 'contextToken' to be defined when different from 'previousToken'.");const lt=re!==oe?re.getStart():h,st=Xr(oe,lt,a)||a;X=Qs(st);const hi=(Me?0:111551)|788968|1920|2097152,vn=re&&!Yk(re);We=Js(We,E.getSymbolsInScope(st,hi)),j.assertEachIsDefined(We,"getSymbolsInScope() should all be defined");for(let ln=0;ln<We.length;ln++){const Un=We[ln];if(!E.isArgumentsSymbol(Un)&&!Ft(Un.declarations,Pt=>Pt.getSourceFile()===a)&&($e[Ba(Un)]=xh.GlobalsOrKeywords),vn&&!(Un.flags&111551)){const Pt=Un.declarations&&Fe(Un.declarations,v8);if(Pt){const Bt={kind:64,declaration:Pt};Nt[ln]=Bt}}}if(p.includeCompletionsWithInsertText&&st.kind!==307){const ln=E.tryGetThisTypeAt(st,!1,ss(st.parent)?st:void 0);if(ln&&!pzt(ln,a,E))for(const Un of z_e(ln,E))Nt[We.length]={kind:1},We.push(Un),$e[Ba(Un)]=xh.SuggestedClassMembers}yi(),Me&&(qe=oe&&Hk(oe.parent)?6:7)}function ls(){var lt;return Te?!0:p.includeCompletionsForModuleExports?a.externalModuleIndicator||a.commonJsModuleIndicator||zfe(t.getCompilerOptions())?!0:((lt=t.getSymlinkCache)==null?void 0:lt.call(t).hasAnySymlinks())||!!t.getCompilerOptions().paths||fAe(t):!1}function Qs(lt){switch(lt.kind){case 307:case 228:case 294:case 241:return!0;default:return Ps(lt)}}function Mn(){return U||q||!!Te&&Kw(De.parent)||!Zs(oe)&&(_j(oe,a,E)||N1(De)||at(oe))}function Zs(lt){return lt&&(lt.kind===114&&(lt.parent.kind===186||S3(lt.parent))||lt.kind===131&&lt.parent.kind===182)}function at(lt){if(lt){const st=lt.parent.kind;switch(lt.kind){case 59:return st===172||st===171||st===169||st===260||tP(st);case 64:return st===265||st===168;case 130:return st===234;case 30:return st===183||st===216;case 96:return st===168;case 152:return st===238}}return!1}function yi(){var lt,st;if(!ls()||(j.assert(!(g!=null&&g.data),"Should not run 'collectAutoImports' when faster path is available via `data`"),g&&!g.source))return;Ne|=1;const vn=re===oe&&Te?"":re&&ot(re)?re.text.toLowerCase():"",ln=(lt=C.getModuleSpecifierCache)==null?void 0:lt.call(C),Un=Pj(a,C,t,p,T),Pt=(st=C.getPackageJsonAutoImportProvider)==null?void 0:st.call(C),Bt=g?void 0:nO(a,p,C);Nut("collectAutoImports",C,ze||(ze=wh.createImportSpecifierResolver(a,t,C,p)),t,h,p,!!Te,Yk(De),wi=>{Un.search(a.path,we,(Ri,Ai)=>{if(!J_(Ri,Ja(C.getCompilationSettings()))||!g&&gP(Ri)||!Me&&!Te&&!(Ai&111551)||Me&&!(Ai&790504))return!1;const Xn=Ri.charCodeAt(0);return we&&(Xn<65||Xn>90)?!1:g?!0:rdt(Ri,vn)},(Ri,Ai,Xn,Di)=>{if(g&&!Ft(Ri,Wo=>g.source===zm(Wo.moduleSymbol.name))||(Ri=$t(Ri,fi),!Ri.length))return;const on=wi.tryResolve(Ri,Xn)||{};if(on==="failed")return;let zi=Ri[0],cn;on!=="skipped"&&({exportInfo:zi=Ri[0],moduleSpecifier:cn}=on);const vi=zi.exportKind===1,qo=vi&&$8(j.checkDefined(zi.symbol))||j.checkDefined(zi.symbol);Sn(qo,{kind:cn?32:4,moduleSpecifier:cn,symbolName:Ai,exportMapKey:Di,exportName:zi.exportKind===2?"export=":j.checkDefined(zi.symbol).name,fileName:zi.moduleFileName,isDefaultExport:vi,moduleSymbol:zi.moduleSymbol,isFromPackageJson:zi.isFromPackageJson})}),Pe=wi.skippedAny(),Ne|=wi.resolvedAny()?8:0,Ne|=wi.resolvedBeyondLimit()?16:0});function fi(wi){const Ri=Jr(wi.moduleSymbol.valueDeclaration,Os);if(!Ri){const Ai=zm(wi.moduleSymbol.name);return J1.nodeCoreModules.has(Ai)&&so(Ai,"node:")!==qZ(a,t)?!1:((Bt==null?void 0:Bt.allowsImportingAmbientModule(wi.moduleSymbol,ut(wi.isFromPackageJson)))??!0)||Lj(a,Ai)}return Che(wi.isFromPackageJson?Pt:t,a,Ri,p,Bt,ut(wi.isFromPackageJson),ln)}}function Sn(lt,st){const hi=Ba(lt);$e[hi]!==xh.GlobalsOrKeywords&&(Nt[We.length]=st,$e[hi]=Te?xh.LocationPriority:xh.AutoImportSuggestions,We.push(lt))}function dr(lt,st){nr(De)||lt.forEach(hi=>{if(!us(hi))return;const vn=W_e(hi,Ja(u),void 0,0,!1);if(!vn)return;const{name:ln}=vn,Un=Gjt(hi,ln,st,t,C,u,p,b);if(!Un)return;const Pt={kind:128,...Un};Ne|=32,Nt[We.length]=Pt,We.push(hi)})}function us(lt){return!!(lt.flags&8196)}function Xr(lt,st,hi){let vn=lt;for(;vn&&!Efe(vn,st,hi);)vn=vn.parent;return vn}function Gs(lt){const st=sl(),hi=Ji(lt)||Ct(lt)||Ni(lt)||ma(lt)||p7(lt);return r("getCompletionsAtPosition: isCompletionListBlocker: "+(sl()-st)),hi}function ma(lt){if(lt.kind===12)return!0;if(lt.kind===32&&lt.parent){if(De===lt.parent&&(De.kind===286||De.kind===285))return!1;if(lt.parent.kind===286)return De.parent.kind!==286;if(lt.parent.kind===287||lt.parent.kind===285)return!!lt.parent.parent&&lt.parent.parent.kind===284}return!1}function ka(){if(oe){const lt=oe.parent.kind,st=H_e(oe);switch(st){case 28:return lt===213||lt===176||lt===214||lt===209||lt===226||lt===184||lt===210;case 21:return lt===213||lt===176||lt===214||lt===217||lt===196;case 23:return lt===209||lt===181||lt===167;case 144:case 145:case 102:return!0;case 25:return lt===267;case 19:return lt===263||lt===210;case 64:return lt===260||lt===226;case 16:return lt===228;case 17:return lt===239;case 134:return lt===174||lt===304;case 42:return lt===174}if(TY(st))return!0}return!1}function Ji(lt){return(ale(lt)||eae(lt))&&(dj(lt,h)||h===lt.end&&(!!lt.isUnterminated||ale(lt)))}function ac(){const lt=hzt(oe);if(!lt)return 0;const hi=(zP(lt.parent)?lt.parent:void 0)||lt,vn=Qut(hi,E);if(!vn)return 0;const ln=E.getTypeFromTypeNode(hi),Un=z_e(vn,E),Pt=z_e(ln,E),Bt=new Set;return Pt.forEach(fi=>Bt.add(fi.escapedName)),We=Js(We,$t(Un,fi=>!Bt.has(fi.escapedName))),He=0,se=!0,1}function St(){if((oe==null?void 0:oe.kind)===26)return 0;const lt=We.length,st=azt(oe,h,a);if(!st)return 0;He=0;let hi,vn;if(st.kind===210){const ln=gzt(st,E);if(ln===void 0)return st.flags&67108864?2:0;const Un=E.getContextualType(st,4),Pt=(Un||ln).getStringIndexType(),Bt=(Un||ln).getNumberIndexType();if(se=!!Pt||!!Bt,hi=j_e(ln,Un,st,E),vn=st.properties,hi.length===0&&!Bt)return 0}else{j.assert(st.kind===206),se=!1;const ln=A1(st.parent);if(!uP(ln))return j.fail("Root declaration is not variable-like.");let Un=aS(ln)||!!dd(ln)||ln.parent.parent.kind===250;if(!Un&&ln.kind===169&&(jt(ln.parent)?Un=!!E.getContextualType(ln.parent):(ln.parent.kind===174||ln.parent.kind===178)&&(Un=jt(ln.parent.parent)&&!!E.getContextualType(ln.parent.parent))),Un){const Pt=E.getTypeAtLocation(st);if(!Pt)return 2;hi=E.getPropertiesOfType(Pt).filter(Bt=>E.isPropertyAccessible(st,!1,!1,Pt,Bt)),vn=st.elements}}if(hi&&hi.length>0){const ln=gr(hi,j.checkDefined(vn));We=Js(We,ln),tt(),st.kind===210&&p.includeCompletionsWithObjectLiteralMethodSnippets&&p.includeCompletionsWithInsertText&&(le(lt),dr(ln,st))}return 1}function ri(){if(!oe)return 0;const lt=oe.kind===19||oe.kind===28?Jr(oe.parent,NK):EZ(oe)?Jr(oe.parent.parent,NK):void 0;if(!lt)return 0;EZ(oe)||(qe=8);const{moduleSpecifier:st}=lt.kind===275?lt.parent.parent:lt.parent;if(!st)return se=!0,lt.kind===275?2:0;const hi=E.getSymbolAtLocation(st);if(!hi)return se=!0,2;He=3,se=!1;const vn=E.getExportsAndPropertiesOfModule(hi),ln=new Set(lt.elements.filter(Pt=>!Qt(Pt)).map(Pt=>FT(Pt.propertyName||Pt.name))),Un=vn.filter(Pt=>Pt.escapedName!=="default"&&!ln.has(Pt.escapedName));return We=Js(We,Un),Un.length||(qe=0),1}function xe(){if(oe===void 0)return 0;const lt=oe.kind===19||oe.kind===28?Jr(oe.parent,LI):oe.kind===59?Jr(oe.parent.parent,LI):void 0;if(lt===void 0)return 0;const st=new Set(lt.elements.map(sX));return We=$t(E.getTypeAtLocation(lt).getApparentProperties(),hi=>!st.has(hi.escapedName)),1}function pt(){var lt;const st=oe&&(oe.kind===19||oe.kind===28)?Jr(oe.parent,Xm):void 0;if(!st)return 0;const hi=Qi(st,om(Os,rd));return He=5,se=!1,(lt=hi.locals)==null||lt.forEach((vn,ln)=>{var Un,Pt;We.push(vn),(Pt=(Un=hi.symbol)==null?void 0:Un.exports)!=null&&Pt.has(ln)&&($e[Ba(vn)]=xh.OptionalMember)}),1}function Se(){const lt=fzt(a,oe,De,h);if(!lt)return 0;if(He=3,se=!0,qe=oe.kind===42?0:ss(lt)?2:3,!ss(lt))return 1;const st=oe.kind===27?oe.parent.parent:oe.parent;let hi=Od(st)?jh(st):0;if(oe.kind===80&&!Qt(oe))switch(oe.getText()){case"private":hi=hi|2;break;case"static":hi=hi|256;break;case"override":hi=hi|16;break}if(gu(st)&&(hi|=256),!(hi&2)){const vn=ss(lt)&&hi&16?KL(L1(lt)):F8(lt),ln=Rr(vn,Un=>{const Pt=E.getTypeAtLocation(Un);return hi&256?(Pt==null?void 0:Pt.symbol)&&E.getPropertiesOfType(E.getTypeOfSymbolAtLocation(Pt.symbol,lt)):Pt&&E.getPropertiesOfType(Pt)});We=Js(We,Ue(ln,lt.members,hi)),O(We,(Un,Pt)=>{const Bt=Un==null?void 0:Un.valueDeclaration;if(Bt&&Od(Bt)&&Bt.name&&Ka(Bt.name)){const fi={kind:512,symbolName:E.symbolToString(Un)};Nt[Pt]=fi}})}return 1}function Ze(lt){return!!lt.parent&&$s(lt.parent)&&iu(lt.parent.parent)&&(b8(lt.kind)||Wy(lt))}function gt(lt){if(lt){const st=lt.parent;switch(lt.kind){case 21:case 28:return iu(lt.parent)?lt.parent:void 0;default:if(Ze(lt))return st.parent}}}function Dt(lt){if(lt){let st;const hi=Qi(lt.parent,vn=>ss(vn)?"quit":Qc(vn)&&st===vn.body?!0:(st=vn,!1));return hi&&hi}}function Je(lt){if(lt){const st=lt.parent;switch(lt.kind){case 32:case 31:case 44:case 80:case 211:case 292:case 291:case 293:if(st&&(st.kind===285||st.kind===286)){if(lt.kind===32){const hi=xd(lt.pos,a,void 0);if(!st.typeArguments||hi&&hi.kind===44)break}return st}else if(st.kind===291)return st.parent.parent;break;case 11:if(st&&(st.kind===291||st.kind===293))return st.parent.parent;break;case 20:if(st&&st.kind===294&&st.parent&&st.parent.kind===291)return st.parent.parent.parent;if(st&&st.kind===293)return st.parent.parent;break}}}function Rt(lt,st){return a.getLineEndOfPosition(lt.getEnd())<st}function Ct(lt){const st=lt.parent,hi=st.kind;switch(lt.kind){case 28:return hi===260||ji(lt)||hi===243||hi===266||vt(hi)||hi===264||hi===207||hi===265||ss(st)&&!!st.typeParameters&&st.typeParameters.end>=lt.pos;case 25:return hi===207;case 59:return hi===208;case 23:return hi===207;case 21:return hi===299||vt(hi);case 19:return hi===266;case 30:return hi===263||hi===231||hi===264||hi===265||tP(hi);case 126:return hi===172&&!ss(st.parent);case 26:return hi===169||!!st.parent&&st.parent.kind===207;case 125:case 123:case 124:return hi===169&&!iu(st.parent);case 130:return hi===276||hi===281||hi===274;case 139:case 153:return!U_e(lt);case 80:{if(hi===276&&lt===st.name&&lt.text==="type"||Qi(lt.parent,_s)&&Rt(lt,h))return!1;break}case 86:case 94:case 120:case 100:case 115:case 102:case 121:case 87:case 140:return!0;case 156:return hi!==276;case 42:return Ho(lt.parent)&&!Nu(lt.parent)}if(TY(H_e(lt))&&U_e(lt)||Ze(lt)&&(!ot(lt)||b8(H_e(lt))||Qt(lt)))return!1;switch(H_e(lt)){case 128:case 86:case 87:case 138:case 94:case 100:case 120:case 121:case 123:case 124:case 125:case 126:case 115:return!0;case 134:return Lo(lt.parent)}if(Qi(lt.parent,ss)&&lt===re&&ii(lt,h))return!1;const ln=Xk(lt.parent,172);if(ln&&lt!==re&&ss(re.parent.parent)&&h<=re.end){if(ii(lt,re.end))return!1;if(lt.kind!==64&&(HH(ln)||wG(ln)))return!0}return Wy(lt)&&!lh(lt.parent)&&!U1(lt.parent)&&!((ss(lt.parent)||zf(lt.parent)||$l(lt.parent))&&(lt!==re||h>re.end))}function ii(lt,st){return lt.kind!==64&&(lt.kind===27||!qm(lt.end,st,a))}function vt(lt){return tP(lt)&&lt!==176}function Ni(lt){if(lt.kind===9){const st=lt.getFullText();return st.charAt(st.length-1)==="."}return!1}function ji(lt){return lt.parent.kind===261&&!_j(lt,a,E)}function gr(lt,st){if(st.length===0)return lt;const hi=new Set,vn=new Set;for(const Un of st){if(Un.kind!==303&&Un.kind!==304&&Un.kind!==208&&Un.kind!==174&&Un.kind!==177&&Un.kind!==178&&Un.kind!==305||Qt(Un))continue;let Pt;if(Kv(Un))te(Un,hi);else if(ec(Un)&&Un.propertyName)Un.propertyName.kind===80&&(Pt=Un.propertyName.escapedText);else{const Bt=No(Un);Pt=Bt&&P1(Bt)?V8(Bt):void 0}Pt!==void 0&&vn.add(Pt)}const ln=lt.filter(Un=>!vn.has(Un.escapedName));return Gt(hi,ln),ln}function te(lt,st){const hi=lt.expression,vn=E.getSymbolAtLocation(hi),ln=vn&&E.getTypeOfSymbolAtLocation(vn,hi),Un=ln&&ln.properties;Un&&Un.forEach(Pt=>{st.add(Pt.name)})}function tt(){We.forEach(lt=>{if(lt.flags&16777216){const st=Ba(lt);$e[st]=$e[st]??xh.OptionalMember}})}function Gt(lt,st){if(lt.size!==0)for(const hi of st)lt.has(hi.name)&&($e[Ba(hi)]=xh.MemberDeclaredBySpreadAssignment)}function le(lt){for(let st=lt;st<We.length;st++){const hi=We[st],vn=Ba(hi),ln=Nt==null?void 0:Nt[st],Un=Ja(u),Pt=W_e(hi,Un,ln,0,!1);if(Pt){const Bt=$e[vn]??xh.LocationPriority,{name:fi}=Pt;$e[vn]=xh.ObjectLiteralProperty(Bt,fi)}}}function Ue(lt,st,hi){const vn=new Set;for(const ln of st){if(ln.kind!==172&&ln.kind!==174&&ln.kind!==177&&ln.kind!==178||Qt(ln)||xp(ln,2)||pa(ln)!==!!(hi&256))continue;const Un=CI(ln.name);Un&&vn.add(Un)}return lt.filter(ln=>!vn.has(ln.escapedName)&&!!ln.declarations&&!($m(ln)&2)&&!(ln.valueDeclaration&&Wh(ln.valueDeclaration)))}function be(lt,st){const hi=new Set,vn=new Set;for(const Un of st)Qt(Un)||(Un.kind===291?hi.add(u7(Un.name)):GP(Un)&&te(Un,vn));const ln=lt.filter(Un=>!hi.has(Un.escapedName));return Gt(vn,ln),ln}function Qt(lt){return lt.getStart(a)<=h&&h<=lt.getEnd()}}function azt(t,r,a){var u;if(t){const{parent:h}=t;switch(t.kind){case 19:case 28:if(Aa(h)||dm(h))return h;break;case 42:return Nu(h)?Jr(h.parent,Aa):void 0;case 134:return Jr(h.parent,Aa);case 80:if(t.text==="async"&&lh(t.parent))return t.parent.parent;{if(Aa(t.parent.parent)&&(Kv(t.parent)||lh(t.parent)&&Ia(a,t.getEnd()).line!==Ia(a,r).line))return t.parent.parent;const g=Qi(h,_d);if((g==null?void 0:g.getLastToken(a))===t&&Aa(g.parent))return g.parent}break;default:if((u=h.parent)!=null&&u.parent&&(Nu(h.parent)||um(h.parent)||kp(h.parent))&&Aa(h.parent.parent))return h.parent.parent;if(Kv(h)&&Aa(h.parent))return h.parent;const p=Qi(h,_d);if(t.kind!==59&&(p==null?void 0:p.getLastToken(a))===t&&Aa(p.parent))return p.parent}}}function B_e(t,r){const a=xd(t,r);return a&&t<=a.end&&(Mv(a)||P_(a.kind))?{contextToken:xd(a.getFullStart(),r,void 0),previousToken:a}:{contextToken:a,previousToken:a}}function Uut(t,r,a,u){const h=r.isPackageJsonImport?u.getPackageJsonAutoImportProvider():a,p=h.getTypeChecker(),g=r.ambientModuleName?p.tryFindAmbientModule(r.ambientModuleName):r.fileName?p.getMergedSymbol(j.checkDefined(h.getSourceFile(r.fileName)).symbol):void 0;if(!g)return;let C=r.exportName==="export="?p.resolveExternalModuleSymbol(g):p.tryGetMemberInModuleExportsAndProperties(r.exportName,g);return C?(C=r.exportName==="default"&&$8(C)||C,{symbol:C,origin:Xjt(r,t,g)}):void 0}function W_e(t,r,a,u,h){if(Ljt(a))return;const p=Djt(a)?a.symbolName:t.name;if(p===void 0||t.flags&1536&&_V(p.charCodeAt(0))||LV(t))return;const g={name:p,needsConvertPropertyAccess:!1};if(J_(p,r,h?1:0)||t.valueDeclaration&&Wh(t.valueDeclaration))return g;if(t.flags&2097152)return{name:p,needsConvertPropertyAccess:!0};switch(u){case 3:return _Oe(a)?{name:a.symbolName,needsConvertPropertyAccess:!1}:void 0;case 0:return{name:JSON.stringify(p),needsConvertPropertyAccess:!1};case 2:case 1:return p.charCodeAt(0)===32?void 0:{name:p,needsConvertPropertyAccess:!0};case 5:case 4:return g;default:j.assertNever(u)}}var V_e=[],qut=Bh(()=>{const t=[];for(let r=83;r<=165;r++)t.push({name:xa(r),kind:"keyword",kindModifiers:"",sortText:xh.GlobalsOrKeywords});return t});function $ut(t,r){if(!r)return Jut(t);const a=t+8+1;return V_e[a]||(V_e[a]=Jut(t).filter(u=>!czt(oI(u.name))))}function Jut(t){return V_e[t]||(V_e[t]=qut().filter(r=>{const a=oI(r.name);switch(t){case 0:return!1;case 1:return Kut(a)||a===138||a===144||a===156||a===145||a===128||c5(a)&&a!==157;case 5:return Kut(a);case 2:return TY(a);case 3:return Gut(a);case 4:return b8(a);case 6:return c5(a)||a===87;case 7:return c5(a);case 8:return a===156;default:return j.assertNever(t)}}))}function czt(t){switch(t){case 128:case 133:case 163:case 136:case 138:case 94:case 162:case 119:case 140:case 120:case 142:case 143:case 144:case 145:case 146:case 150:case 151:case 164:case 123:case 124:case 125:case 148:case 154:case 155:case 156:case 158:case 159:return!0;default:return!1}}function Gut(t){return t===148}function TY(t){switch(t){case 128:case 129:case 137:case 139:case 153:case 134:case 138:case 164:return!0;default:return tae(t)}}function Kut(t){return t===134||t===135||t===160||t===130||t===152||t===156||!aK(t)&&!TY(t)}function H_e(t){return ot(t)?aI(t)??0:t.kind}function lzt(t,r){const a=[];if(t){const u=t.getSourceFile(),h=t.parent,p=u.getLineAndCharacterOfPosition(t.end).line,g=u.getLineAndCharacterOfPosition(r).line;(du(h)||Ju(h)&&h.moduleSpecifier)&&t===h.moduleSpecifier&&p===g&&a.push({name:xa(132),kind:"keyword",kindModifiers:"",sortText:xh.GlobalsOrKeywords})}return a}function uzt(t,r){return Qi(t,a=>V6(a)&&s5(a,r)?!0:z0(a)?"quit":!1)}function j_e(t,r,a,u){const h=r&&r!==t,p=u.getUnionType($t(t.flags&1048576?t.types:[t],T=>!u.getPromisedTypeOfPromise(T))),g=h&&!(r.flags&3)?u.getUnionType([p,r]):p,C=dzt(g,a,u);return g.isClass()&&Xut(C)?[]:h?$t(C,b):C;function b(T){return P(T.declarations)?Ft(T.declarations,E=>E.parent!==a):!0}}function dzt(t,r,a){return t.isUnion()?a.getAllPossiblePropertiesOfTypes($t(t.types,u=>!(u.flags&402784252||a.isArrayLikeType(u)||a.isTypeInvalidDueToUnionDiscriminant(u,r)||a.typeHasCallOrConstructSignatures(u)||u.isClass()&&Xut(u.getApparentProperties())))):t.getApparentProperties()}function Xut(t){return Ft(t,r=>!!($m(r)&6))}function z_e(t,r){return t.isUnion()?j.checkEachDefined(r.getAllPossiblePropertiesOfTypes(t.types),"getAllPossiblePropertiesOfTypes() should all be defined"):j.checkEachDefined(t.getApparentProperties(),"getApparentProperties() should all be defined")}function fzt(t,r,a,u){switch(a.kind){case 352:return Jr(a.parent,xP);case 1:const h=Jr(rl(ha(a.parent,Os).statements),xP);if(h&&!Uc(h,20,t))return h;break;case 81:if(Jr(a.parent,Lo))return Qi(a,ss);break;case 80:{if(aI(a)||Lo(a.parent)&&a.parent.initializer===a)return;if(U_e(a))return Qi(a,xP)}}if(r){if(a.kind===137||ot(r)&&Lo(r.parent)&&ss(a))return Qi(r,ss);switch(r.kind){case 64:return;case 27:case 20:return U_e(a)&&a.parent.name===a?a.parent.parent:Jr(a,xP);case 19:case 28:return Jr(r.parent,xP);default:if(xP(a)){if(Ia(t,r.getEnd()).line!==Ia(t,u).line)return a;const h=ss(r.parent.parent)?TY:Gut;return h(r.kind)||r.kind===42||ot(r)&&h(aI(r)??0)?r.parent.parent:void 0}return}}}function hzt(t){if(!t)return;const r=t.parent;switch(t.kind){case 19:if(a_(r))return r;break;case 27:case 28:case 80:if(r.kind===171&&a_(r.parent))return r.parent;break}}function Qut(t,r){if(!t)return;if(ws(t)&&xG(t.parent))return r.getTypeArgumentConstraint(t);const a=Qut(t.parent,r);if(a)switch(t.kind){case 171:return r.getTypeOfPropertyOfContextualType(a,t.symbol.escapedName);case 193:case 187:case 192:return a}}function U_e(t){return t.parent&&mG(t.parent)&&xP(t.parent.parent)}function _zt(t,r,a,u){switch(r){case".":case"@":return!0;case'"':case"'":case"`":return!!a&&IAe(a)&&u===a.getStart(t)+1;case"#":return!!a&&Vs(a)&&!!Cf(a);case"<":return!!a&&a.kind===30&&(!ur(a.parent)||Zut(a.parent));case"/":return!!a&&(Bc(a)?!!CV(a):a.kind===44&&oD(a.parent));case" ":return!!a&&y7(a)&&a.parent.kind===307;default:return j.assertNever(r)}}function Zut({left:t}){return lu(t)}function pzt(t,r,a){const u=a.resolveName("self",void 0,111551,!1);if(u&&a.getTypeOfSymbolAtLocation(u,r)===t)return!0;const h=a.resolveName("global",void 0,111551,!1);if(h&&a.getTypeOfSymbolAtLocation(h,r)===t)return!0;const p=a.resolveName("globalThis",void 0,111551,!1);return!!(p&&a.getTypeOfSymbolAtLocation(p,r)===t)}function mzt(t){return!!(t.valueDeclaration&&jh(t.valueDeclaration)&256&&ss(t.valueDeclaration.parent))}function gzt(t,r){const a=r.getContextualType(t);if(a)return a;const u=By(t.parent);if(ur(u)&&u.operatorToken.kind===64&&t===u.left)return r.getTypeAtLocation(u);if(jt(u))return r.getContextualType(u)}function Yut(t,r){var a,u,h;let p,g=!1;const C=b();return{isKeywordOnlyCompletion:g,keywordCompletion:p,isNewIdentifierLocation:!!(C||p===156),isTopLevelTypeOnly:!!((u=(a=Jr(C,du))==null?void 0:a.importClause)!=null&&u.isTypeOnly)||!!((h=Jr(C,Wd))!=null&&h.isTypeOnly),couldBeTypeOnlyImportSpecifier:!!C&&tdt(C,t),replacementSpan:yzt(C)};function b(){const T=t.parent;if(Wd(T)){const E=T.getLastToken(r);if(ot(t)&&E!==t){p=161,g=!0;return}return p=t.kind===156?void 0:156,SOe(T.moduleReference)?T:void 0}if(tdt(T,t)&&idt(T.parent))return T;if(j1(T)||Jv(T)){if(!T.parent.isTypeOnly&&(t.kind===19||t.kind===102||t.kind===28)&&(p=156),idt(T))if(t.kind===20||t.kind===80)g=!0,p=161;else return T.parent.parent;return}if(Ju(T)&&t.kind===42||Xm(T)&&t.kind===20){g=!0,p=161;return}if(y7(t)&&Os(T))return p=156,t;if(y7(t)&&du(T))return p=156,SOe(T.moduleSpecifier)?T:void 0}}function yzt(t){var r;if(!t)return;const a=Qi(t,om(du,Wd,q1))??t,u=a.getSourceFile();if(wI(a,u))return f_(a,u);j.assert(a.kind!==102&&a.kind!==276);const h=a.kind===272||a.kind===351?edt((r=a.importClause)==null?void 0:r.namedBindings)??a.moduleSpecifier:a.moduleReference,p={pos:a.getFirstToken().getStart(),end:h.pos};if(wI(p,u))return DS(p)}function edt(t){var r;return Fe((r=Jr(t,j1))==null?void 0:r.elements,a=>{var u;return!a.propertyName&&gP(a.name.text)&&((u=xd(a.name.pos,t.getSourceFile(),t))==null?void 0:u.kind)!==28})}function tdt(t,r){return l_(t)&&(t.isTypeOnly||r===t.name&&EZ(r))}function idt(t){if(!SOe(t.parent.parent.moduleSpecifier)||t.parent.name)return!1;if(j1(t)){const r=edt(t);return(r?t.elements.indexOf(r):t.elements.length)<2}return!0}function SOe(t){var r;return lu(t)?!0:!((r=Jr(eC(t)?t.expression:t,Bc))!=null&&r.text)}function vzt(t,r){if(!t)return;const a=Qi(t,h=>WT(h)||ndt(h)||ta(h)?"quit":($s(h)||$l(h))&&!eD(h.parent)),u=Qi(r,h=>WT(h)||ndt(h)||ta(h)?"quit":_s(h));return a||u}function ndt(t){return t.parent&&xl(t.parent)&&(t.parent.body===t||t.kind===39)}function wOe(t,r,a=new Map){return u(t)||u(Vf(t.exportSymbol||t,r));function u(h){return!!(h.flags&788968)||r.isUnknownSymbol(h)||!!(h.flags&1536)&&Pg(a,Ba(h))&&r.getExportsOfModule(h).some(p=>wOe(p,r,a))}}function bzt(t,r){const a=Vf(t,r).declarations;return!!P(a)&&Ce(a,UZ)}function rdt(t,r){if(r.length===0)return!0;let a=!1,u,h=0;const p=t.length;for(let g=0;g<p;g++){const C=t.charCodeAt(g),b=r.charCodeAt(h);if((C===b||C===Czt(b))&&(a||(a=u===void 0||97<=u&&u<=122&&65<=C&&C<=90||u===95&&C!==95),a&&h++,h===r.length))return!0;u=C}return!1}function Czt(t){return 97<=t&&t<=122?t-32:t}function Szt(t){return t==="abstract"||t==="async"||t==="await"||t==="declare"||t==="module"||t==="namespace"||t==="type"||t==="satisfies"||t==="as"}var q_e={};c(q_e,{getStringLiteralCompletionDetails:()=>kzt,getStringLiteralCompletions:()=>wzt});var sdt={directory:0,script:1,"external module name":2};function xOe(){const t=new Map;function r(a){const u=t.get(a.name);(!u||sdt[u.kind]<sdt[a.kind])&&t.set(a.name,a)}return{add:r,has:t.has.bind(t),values:t.values.bind(t)}}function wzt(t,r,a,u,h,p,g,C,b){if(uAe(t,r)){const T=Wzt(t,r,p,h);return T&&odt(T)}if(lA(t,r,a)){if(!a||!Bc(a))return;const T=cdt(t,a,r,p,h,C);return xzt(T,a,t,h,p,g,u,C,r,b)}}function xzt(t,r,a,u,h,p,g,C,b,T){if(t===void 0)return;const E=Wfe(r,b);switch(t.kind){case 0:return odt(t.paths);case 1:{const N=Lk();return yOe(t.symbols,N,r,r,a,b,a,u,h,99,p,4,C,g,void 0,void 0,void 0,void 0,void 0,void 0,void 0,void 0,void 0,void 0,void 0,T),{isGlobalCompletion:!1,isMemberCompletion:!0,isNewIdentifierLocation:t.hasIndexSignature,optionalReplacementSpan:E,entries:N,defaultCommitCharacters:GI(t.hasIndexSignature)}}case 2:{const N=r.kind===15?96:so(uu(r),"'")?39:34,R=t.types.map(F=>({name:Vy(F.value,N),kindModifiers:"",kind:"string",sortText:xh.LocationPriority,replacementSpan:Bfe(r,b),commitCharacters:[]}));return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:t.isNewIdentifier,optionalReplacementSpan:E,entries:R,defaultCommitCharacters:GI(t.isNewIdentifier)}}default:return j.assertNever(t)}}function kzt(t,r,a,u,h,p,g,C){if(!u||!Bc(u))return;const b=cdt(r,u,a,h,p,C);return b&&Tzt(t,u,b,r,h.getTypeChecker(),g)}function Tzt(t,r,a,u,h,p){switch(a.kind){case 0:{const g=Fe(a.paths,C=>C.name===t);return g&&kY(t,adt(g.extension),g.kind,[pm(t)])}case 1:{const g=Fe(a.symbols,C=>C.name===t);return g&&bOe(g,g.name,h,u,r,p)}case 2:return Fe(a.types,g=>g.value===t)?kY(t,"","string",[pm(t)]):void 0;default:return j.assertNever(a)}}function odt(t){return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:!0,entries:t.map(({name:h,kind:p,span:g,extension:C})=>({name:h,kind:p,kindModifiers:adt(C),sortText:xh.LocationPriority,replacementSpan:g})),defaultCommitCharacters:GI(!0)}}function adt(t){switch(t){case".d.ts":return".d.ts";case".js":return".js";case".json":return".json";case".jsx":return".jsx";case".ts":return".ts";case".tsx":return".tsx";case".d.mts":return".d.mts";case".mjs":return".mjs";case".mts":return".mts";case".d.cts":return".d.cts";case".cjs":return".cjs";case".cts":return".cts";case".tsbuildinfo":return j.fail("Extension .tsbuildinfo is unsupported.");case void 0:return"";default:return j.assertNever(t)}}function cdt(t,r,a,u,h,p){const g=u.getTypeChecker(),C=kOe(r.parent);switch(C.kind){case 201:{const re=kOe(C.parent);return re.kind===205?{kind:0,paths:ddt(t,r,u,h,p)}:b(re)}case 303:return Aa(C.parent)&&C.name===r?Izt(g,C.parent):T()||T(0);case 212:{const{expression:re,argumentExpression:oe}=C;return r===Dc(oe)?ldt(g.getTypeAtLocation(re)):void 0}case 213:case 214:case 291:if(!qzt(r)&&!zp(C)){const re=ez.getArgumentInfoForCompletions(C.kind===291?C.parent:r,a,t,g);return re&&Ezt(re.invocation,r,re,g)||T(0)}case 272:case 278:case 283:case 351:return{kind:0,paths:ddt(t,r,u,h,p)};case 296:const E=JZ(g,C.parent.clauses),N=T();return N?{kind:2,types:N.types.filter(re=>!E.hasValue(re.value)),isNewIdentifier:!1}:void 0;case 276:case 281:const F=C;if(F.propertyName&&r!==F.propertyName)return;const H=F.parent,{moduleSpecifier:U}=H.kind===275?H.parent.parent:H.parent;if(!U)return;const q=g.getSymbolAtLocation(U);if(!q)return;const X=g.getExportsAndPropertiesOfModule(q),Z=new Set(H.elements.map(re=>FT(re.propertyName||re.name)));return{kind:1,symbols:X.filter(re=>re.escapedName!=="default"&&!Z.has(re.escapedName)),hasIndexSignature:!1};default:return T()||T(0)}function b(E){switch(E.kind){case 233:case 183:{const F=Qi(C,H=>H.parent===E);return F?{kind:2,types:$_e(g.getTypeArgumentConstraint(F)),isNewIdentifier:!1}:void 0}case 199:const{indexType:N,objectType:R}=E;return s5(N,a)?ldt(g.getTypeFromTypeNode(R)):void 0;case 192:{const F=b(kOe(E.parent));if(!F)return;const H=Dzt(E,C);return F.kind===1?{kind:1,symbols:F.symbols.filter(U=>!Yt(H,U.name)),hasIndexSignature:F.hasIndexSignature}:{kind:2,types:F.types.filter(U=>!Yt(H,U.value)),isNewIdentifier:!1}}default:return}}function T(E=4){const N=$_e(RZ(r,g,E));if(N.length)return{kind:2,types:N,isNewIdentifier:!1}}}function kOe(t){switch(t.kind){case 196:return TV(t);case 217:return By(t);default:return t}}function Dzt(t,r){return es(t.types,a=>a!==r&&bS(a)&&Ha(a.literal)?a.literal.text:void 0)}function Ezt(t,r,a,u){let h=!1;const p=new Map,g=oh(t)?j.checkDefined(Qi(r.parent,U1)):r,C=u.getCandidateSignaturesForStringLiteralCompletions(t,g),b=Rr(C,T=>{if(!Ch(T)&&a.argumentCount>T.parameters.length)return;let E=T.getTypeParameterAtPosition(a.argumentIndex);if(oh(t)){const N=u.getTypeOfPropertyOfType(E,iH(g.name));N&&(E=N)}return h=h||!!(E.flags&4),$_e(E,p)});return P(b)?{kind:2,types:b,isNewIdentifier:h}:void 0}function ldt(t){return t&&{kind:1,symbols:$t(t.getApparentProperties(),r=>!(r.valueDeclaration&&Wh(r.valueDeclaration))),hasIndexSignature:ahe(t)}}function Izt(t,r){const a=t.getContextualType(r);if(!a)return;const u=t.getContextualType(r,4);return{kind:1,symbols:j_e(a,u,r,t),hasIndexSignature:ahe(a)}}function $_e(t,r=new Map){return t?(t=jfe(t),t.isUnion()?Rr(t.types,a=>$_e(a,r)):t.isStringLiteral()&&!(t.flags&1024)&&Pg(r,t.value)?[t]:w):w}function T5(t,r,a){return{name:t,kind:r,extension:a}}function TOe(t){return T5(t,"directory",void 0)}function udt(t,r,a){const u=Hzt(t,r),h=t.length===0?void 0:Ff(r,t.length);return a.map(({name:p,kind:g,extension:C})=>p.includes(Kl)||p.includes(QJ)?{name:p,kind:g,extension:C,span:h}:{name:p,kind:g,extension:C,span:u})}function ddt(t,r,a,u,h){return udt(r.text,r.getStart(t)+1,Nzt(t,r,a,u,h))}function Nzt(t,r,a,u,h){const p=Rf(r.text),g=Bc(r)?a.getModeForUsageLocation(t,r):void 0,C=t.path,b=ts(C),T=a.getCompilerOptions(),E=a.getTypeChecker(),N=DOe(T,1,t,E,h,g);return jzt(p)||!T.baseUrl&&!T.paths&&(yp(p)||Bke(p))?Lzt(p,b,a,u,C,N):Mzt(p,b,g,a,u,N)}function DOe(t,r,a,u,h,p){return{extensionsToSearch:Dr(Pzt(t,u)),referenceKind:r,importingSourceFile:a,endingPreference:h==null?void 0:h.importModuleSpecifierEnding,resolutionMode:p}}function Lzt(t,r,a,u,h,p){const g=a.getCompilerOptions();return g.rootDirs?Ozt(g.rootDirs,t,r,p,a,u,h):as(Gj(t,r,p,a,u,!0,h).values())}function Pzt(t,r){const a=r?es(r.getAmbientModules(),p=>{const g=p.name.slice(1,-1);if(!(!g.startsWith("*.")||g.includes("/")))return g.slice(1)}):[],u=[...e7(t),a],h=yh(t);return IZ(h)?QV(t,u):u}function Azt(t,r,a,u){t=t.map(p=>Ad(ca(yp(p)?p:zr(r,p))));const h=J(t,p=>Vm(p,a,r,u)?a.substr(p.length):void 0);return Wb([...t.map(p=>zr(p,h)),a].map(p=>Mk(p)),NT,sh)}function Ozt(t,r,a,u,h,p,g){const b=h.getCompilerOptions().project||p.getCurrentDirectory(),T=!(p.useCaseSensitiveFileNames&&p.useCaseSensitiveFileNames()),E=Azt(t,b,a,T);return Wb(Rr(E,N=>as(Gj(r,N,u,h,p,!0,g).values())),(N,R)=>N.name===R.name&&N.kind===R.kind&&N.extension===R.extension)}function Gj(t,r,a,u,h,p,g,C=xOe()){var b;t===void 0&&(t=""),t=Rf(t),Uw(t)||(t=ts(t)),t===""&&(t="."+Kl),t=Ad(t);const T=Ok(r,t),E=Uw(T)?T:ts(T);if(!p){const H=AAe(E,h);if(H){const q=J8(H,h).typesVersions;if(typeof q=="object"){const X=(b=iQ(q))==null?void 0:b.paths;if(X){const Z=ts(H),Q=T.slice(Ad(Z).length);if(hdt(C,Q,Z,a,u,h,X))return C}}}}const N=!(h.useCaseSensitiveFileNames&&h.useCaseSensitiveFileNames());if(!VZ(h,E))return C;const R=uhe(h,E,a.extensionsToSearch,void 0,["./*"]);if(R)for(let H of R){if(H=ca(H),g&&Hb(H,g,r,N)===0)continue;const{name:U,extension:q}=fdt(ud(H),u,a,!1);C.add(T5(U,"script",q))}const F=WZ(h,E);if(F)for(const H of F){const U=ud(ca(H));U!=="@types"&&C.add(TOe(U))}return C}function fdt(t,r,a,u){const h=wS.tryGetRealFileNameForNonJsDeclarationFileName(t);if(h)return{name:h,extension:qv(h)};if(a.referenceKind===0)return{name:t,extension:qv(t)};let p=wS.getModuleSpecifierPreferences({importModuleSpecifierEnding:a.endingPreference},r,r.getCompilerOptions(),a.importingSourceFile).getAllowedEndingsInPreferredOrder(a.resolutionMode);if(u&&(p=p.filter(C=>C!==0&&C!==1)),p[0]===3){if(Ru(t,XV))return{name:t,extension:qv(t)};const C=wS.tryGetJSExtensionForFile(t,r.getCompilerOptions());return C?{name:cx(t,C),extension:C}:{name:t,extension:qv(t)}}if(!u&&(p[0]===0||p[0]===1)&&Ru(t,[".js",".jsx",".ts",".tsx",".d.ts"]))return{name:s_(t),extension:qv(t)};const g=wS.tryGetJSExtensionForFile(t,r.getCompilerOptions());return g?{name:cx(t,g),extension:g}:{name:t,extension:qv(t)}}function hdt(t,r,a,u,h,p,g){const C=T=>g[T],b=(T,E)=>{const N=_3(T),R=_3(E),F=typeof N=="object"?N.prefix.length:T.length,H=typeof R=="object"?R.prefix.length:E.length;return _l(H,F)};return _dt(t,!1,r,a,u,h,p,wr(g),C,b)}function _dt(t,r,a,u,h,p,g,C,b,T){let E=[],N;for(const R of C){if(R===".")continue;const F=R.replace(/^\.\//,""),H=b(R);if(H){const U=_3(F);if(!U)continue;const q=typeof U=="object"&&HJ(U,a);q&&(N===void 0||T(R,N)===-1)&&(N=R,E=E.filter(Z=>!Z.matchedPattern)),(typeof U=="string"||N===void 0||T(R,N)!==1)&&E.push({matchedPattern:q,results:Rzt(F,H,a,u,h,r&&q,p,g).map(({name:Z,kind:Q,extension:re})=>T5(Z,Q,re))})}}return E.forEach(R=>R.results.forEach(F=>t.add(F))),N!==void 0}function Mzt(t,r,a,u,h,p){const g=u.getTypeChecker(),C=u.getCompilerOptions(),{baseUrl:b,paths:T}=C,E=xOe(),N=yh(C);if(b){const F=ca(zr(h.getCurrentDirectory(),b));Gj(t,F,p,u,h,!1,void 0,E)}if(T){const F=pK(C,h);hdt(E,t,F,p,u,h,T)}const R=mdt(t);for(const F of Bzt(t,R,g))E.add(T5(F,"external module name",void 0));if(vdt(h,u,r,R,p,E),IZ(N)){let F=!1;if(R===void 0)for(const H of Vzt(h,r)){const U=T5(H,"external module name",void 0);E.has(U.name)||(F=!0,E.add(U))}if(!F){let H=U=>{const q=zr(U,"node_modules");VZ(h,q)&&Gj(t,q,p,u,h,!1,void 0,E)};if(R&&JV(C)){const U=H;H=q=>{const X=af(t);X.shift();let Z=X.shift();if(!Z)return U(q);if(so(Z,"@")){const oe=X.shift();if(!oe)return U(q);Z=zr(Z,oe)}const Q=zr(q,"node_modules",Z),re=zr(Q,"package.json");if(Tj(h,re)){const pe=J8(re,h).exports;if(pe){if(typeof pe!="object"||pe===null)return;const de=wr(pe),ue=X.join("/")+(X.length&&Uw(t)?"/":""),Ie=gx(C,a);_dt(E,!0,ue,Q,p,u,h,de,we=>KL(pdt(pe[we],Ie)),Cue);return}}return U(q)}}kg(r,H)}}return as(E.values())}function pdt(t,r){if(typeof t=="string")return t;if(t&&typeof t=="object"&&!Ao(t)){for(const a in t)if(a==="default"||r.includes(a)||RH(r,a)){const u=t[a];return pdt(u,r)}}}function mdt(t){return EOe(t)?Uw(t)?t:ts(t):void 0}function Rzt(t,r,a,u,h,p,g,C){if(!su(t,"*"))return t.includes("*")?w:E(t,"script");const b=t.slice(0,t.length-1),T=noe(a,b);if(T===void 0)return t[t.length-2]==="/"?E(b,"directory"):Rr(r,R=>{var F;return(F=gdt("",u,R,h,p,g,C))==null?void 0:F.map(({name:H,...U})=>({name:b+H,...U}))});return Rr(r,N=>gdt(T,u,N,h,p,g,C));function E(N,R){return so(N,a)?[{name:Mk(N),kind:R,extension:void 0}]:w}}function gdt(t,r,a,u,h,p,g){if(!g.readDirectory)return;const C=_3(a);if(C===void 0||Oo(C))return;const b=Ok(C.prefix),T=Uw(C.prefix)?b:ts(b),E=Uw(C.prefix)?"":ud(b),N=EOe(t),R=N?Uw(t)?t:ts(t):void 0,F=N?zr(T,E+R):T,H=ca(C.suffix),U=H&&_K("_"+H),q=U?[cx(H,U),H]:[H],X=ca(zr(r,F)),Z=N?X:Ad(X)+E,Q=H?q.map(de=>"**/*"+de):["./*"],re=es(uhe(g,X,u.extensionsToSearch,void 0,Q),de=>{const ue=pe(de);if(ue){if(EOe(ue))return TOe(af(ydt(ue))[1]);const{name:Ie,extension:we}=fdt(ue,p,u,h);return T5(Ie,"script",we)}}),oe=H?w:es(WZ(g,X),de=>de==="node_modules"?void 0:TOe(de));return[...re,...oe];function pe(de){return J(q,ue=>{const Ie=Fzt(ca(de),Z,ue);return Ie===void 0?void 0:ydt(Ie)})}}function Fzt(t,r,a){return so(t,r)&&su(t,a)?t.slice(r.length,t.length-a.length):void 0}function ydt(t){return t[0]===Kl?t.slice(1):t}function Bzt(t,r,a){const h=a.getAmbientModules().map(p=>zm(p.name)).filter(p=>so(p,t)&&!p.includes("*"));if(r!==void 0){const p=Ad(r);return h.map(g=>KR(g,p))}return h}function Wzt(t,r,a,u){const h=a.getCompilerOptions(),p=Rs(t,r),g=Av(t.text,p.pos),C=g&&Fe(g,U=>r>=U.pos&&r<=U.end);if(!C)return;const b=t.text.slice(C.pos,r),T=zzt.exec(b);if(!T)return;const[,E,N,R]=T,F=ts(t.path),H=N==="path"?Gj(R,F,DOe(h,0,t),a,u,!0,t.path):N==="types"?vdt(u,a,F,mdt(R),DOe(h,1,t)):j.fail();return udt(R,C.pos+E.length,as(H.values()))}function vdt(t,r,a,u,h,p=xOe()){const g=r.getCompilerOptions(),C=new Map,b=HZ(()=>F7(g,t))||w;for(const E of b)T(E);for(const E of dhe(a,t)){const N=zr(ts(E),"node_modules/@types");T(N)}return p;function T(E){if(VZ(t,E))for(const N of WZ(t,E)){const R=FH(N);if(!(g.types&&!Yt(g.types,R)))if(u===void 0)C.has(R)||(p.add(T5(R,"external module name",void 0)),C.set(R,!0));else{const F=zr(E,N),H=Mce(u,R,hS(t));H!==void 0&&Gj(H,F,h,r,t,!1,void 0,p)}}}}function Vzt(t,r){if(!t.readFile||!t.fileExists)return w;const a=[];for(const u of dhe(r,t)){const h=J8(u,t);for(const p of Uzt){const g=h[p];if(g)for(const C in g)Li(g,C)&&!so(C,"@types/")&&a.push(C)}}return a}function Hzt(t,r){const a=Math.max(t.lastIndexOf(Kl),t.lastIndexOf(QJ)),u=a!==-1?a+1:0,h=t.length-u;return h===0||J_(t.substr(u,h),99)?void 0:Ff(r+u,h)}function jzt(t){if(t&&t.length>=2&&t.charCodeAt(0)===46){const r=t.length>=3&&t.charCodeAt(1)===46?2:1,a=t.charCodeAt(r);return a===47||a===92}return!1}var zzt=/^(\/\/\/\s*<reference\s+(path|types)\s*=\s*(?:'|"))([^\x03"]*)$/,Uzt=["dependencies","devDependencies","peerDependencies","optionalDependencies"];function EOe(t){return t.includes(Kl)}function qzt(t){return la(t.parent)&&Ra(t.parent.arguments)===t&&ot(t.parent.expression)&&t.parent.expression.escapedText==="require"}var kl={};c(kl,{Core:()=>SA,DefinitionKind:()=>Tdt,EntryKind:()=>Ddt,ExportKind:()=>bdt,FindReferencesUse:()=>Edt,ImportExport:()=>Cdt,createImportTracker:()=>IOe,findModuleReferences:()=>Sdt,findReferenceOrRenameEntries:()=>sUt,findReferencedSymbols:()=>iUt,getContextNode:()=>KI,getExportInfo:()=>NOe,getImplementationsAtPosition:()=>rUt,getImportOrExportSymbol:()=>kdt,getReferenceEntriesForNode:()=>Ndt,isContextWithStartAndEndNode:()=>POe,isDeclarationOfSymbol:()=>Mdt,isWriteAccessForReference:()=>OOe,toContextSpan:()=>AOe,toHighlightSpan:()=>fUt,toReferenceEntry:()=>Adt,toRenameLocation:()=>aUt});function IOe(t,r,a,u){const h=Kzt(t,a,u);return(p,g,C)=>{const{directImports:b,indirectUsers:T}=$zt(t,r,h,g,a,u);return{indirectUsers:T,...Jzt(b,p,g.exportKind,a,C)}}}var bdt=(t=>(t[t.Named=0]="Named",t[t.Default=1]="Default",t[t.ExportEquals=2]="ExportEquals",t))(bdt||{}),Cdt=(t=>(t[t.Import=0]="Import",t[t.Export=1]="Export",t))(Cdt||{});function $zt(t,r,a,{exportingModuleSymbol:u,exportKind:h},p,g){const C=Z3(),b=Z3(),T=[],E=!!u.globalExports,N=E?void 0:[];return F(u),{directImports:T,indirectUsers:R()};function R(){if(E)return t;if(u.declarations)for(const Q of u.declarations)BT(Q)&&r.has(Q.getSourceFile().fileName)&&X(Q);return N.map(_n)}function F(Q){const re=Z(Q);if(re){for(const oe of re)if(C(oe))switch(g&&g.throwIfCancellationRequested(),oe.kind){case 213:if(zp(oe)){H(oe);break}if(!E){const de=oe.parent;if(h===2&&de.kind===260){const{name:ue}=de;if(ue.kind===80){T.push(ue);break}}}break;case 80:break;case 271:q(oe,oe.name,Zr(oe,32),!1);break;case 272:case 351:T.push(oe);const pe=oe.importClause&&oe.importClause.namedBindings;pe&&pe.kind===274?q(oe,pe.name,!1,!0):!E&&hP(oe)&&X(DY(oe));break;case 278:oe.exportClause?oe.exportClause.kind===280?X(DY(oe),!0):T.push(oe):F(eUt(oe,p));break;case 205:!E&&oe.isTypeOf&&!oe.qualifier&&U(oe)&&X(oe.getSourceFile(),!0),T.push(oe);break;default:j.failBadSyntaxKind(oe,"Unexpected import kind.")}}}function H(Q){const re=Qi(Q,J_e)||Q.getSourceFile();X(re,!!U(Q,!0))}function U(Q,re=!1){return Qi(Q,oe=>re&&J_e(oe)?"quit":Fg(oe)&&Ft(oe.modifiers,BP))}function q(Q,re,oe,pe){if(h===2)pe||T.push(Q);else if(!E){const de=DY(Q);j.assert(de.kind===307||de.kind===267),oe||Gzt(de,re,p)?X(de,!0):X(de)}}function X(Q,re=!1){if(j.assert(!E),!b(Q)||(N.push(Q),!re))return;const pe=p.getMergedSymbol(Q.symbol);if(!pe)return;j.assert(!!(pe.flags&1536));const de=Z(pe);if(de)for(const ue of de)V1(ue)||X(DY(ue),!0)}function Z(Q){return a.get(Ba(Q).toString())}}function Jzt(t,r,a,u,h){const p=[],g=[];function C(R,F){p.push([R,F])}if(t)for(const R of t)b(R);return{importSearches:p,singleReferences:g};function b(R){if(R.kind===271){LOe(R)&&T(R.name);return}if(R.kind===80){T(R);return}if(R.kind===205){if(R.qualifier){const U=K_(R.qualifier);U.escapedText===Eu(r)&&g.push(U)}else a===2&&g.push(R.argument.literal);return}if(R.moduleSpecifier.kind!==11)return;if(R.kind===278){R.exportClause&&Xm(R.exportClause)&&E(R.exportClause);return}const{name:F,namedBindings:H}=R.importClause||{name:void 0,namedBindings:void 0};if(H)switch(H.kind){case 274:T(H.name);break;case 275:(a===0||a===1)&&E(H);break;default:j.assertNever(H)}if(F&&(a===1||a===2)&&(!h||F.escapedText===NZ(r))){const U=u.getSymbolAtLocation(F);C(F,U)}}function T(R){a===2&&(!h||N(R.escapedText))&&C(R,u.getSymbolAtLocation(R))}function E(R){if(R)for(const F of R.elements){const{name:H,propertyName:U}=F;if(N(FT(U||H)))if(U)g.push(U),(!h||FT(H)===r.escapedName)&&C(H,u.getSymbolAtLocation(H));else{const q=F.kind===281&&F.propertyName?u.getExportSpecifierLocalTargetSymbol(F):u.getSymbolAtLocation(H);C(H,q)}}}function N(R){return R===r.escapedName||a!==0&&R==="default"}}function Gzt(t,r,a){const u=a.getSymbolAtLocation(r);return!!wdt(t,h=>{if(!Ju(h))return;const{exportClause:p,moduleSpecifier:g}=h;return!g&&p&&Xm(p)&&p.elements.some(C=>a.getExportSpecifierLocalTargetSymbol(C)===u)})}function Sdt(t,r,a){var u;const h=[],p=t.getTypeChecker();for(const g of r){const C=a.valueDeclaration;if((C==null?void 0:C.kind)===307){for(const b of g.referencedFiles)t.getSourceFileFromReference(g,b)===C&&h.push({kind:"reference",referencingFile:g,ref:b});for(const b of g.typeReferenceDirectives){const T=(u=t.getResolvedTypeReferenceDirectiveFromTypeReferenceDirective(b,g))==null?void 0:u.resolvedTypeReferenceDirective;T!==void 0&&T.resolvedFileName===C.fileName&&h.push({kind:"reference",referencingFile:g,ref:b})}}xdt(g,(b,T)=>{p.getSymbolAtLocation(T)===a&&h.push(cl(b)?{kind:"implicit",literal:T,referencingFile:g}:{kind:"import",literal:T})})}return h}function Kzt(t,r,a){const u=new Map;for(const h of t)a&&a.throwIfCancellationRequested(),xdt(h,(p,g)=>{const C=r.getSymbolAtLocation(g);if(C){const b=Ba(C).toString();let T=u.get(b);T||u.set(b,T=[]),T.push(p)}});return u}function wdt(t,r){return O(t.kind===307?t.statements:t.body.statements,a=>r(a)||J_e(a)&&O(a.body&&a.body.statements,r))}function xdt(t,r){if(t.externalModuleIndicator||t.imports!==void 0)for(const a of t.imports)r(O8(a),a);else wdt(t,a=>{switch(a.kind){case 278:case 272:{const u=a;u.moduleSpecifier&&Ha(u.moduleSpecifier)&&r(u,u.moduleSpecifier);break}case 271:{const u=a;LOe(u)&&r(u,u.moduleReference.expression);break}}})}function kdt(t,r,a,u){return u?h():h()||p();function h(){var b;const{parent:T}=t,E=T.parent;if(r.exportSymbol)return T.kind===211?(b=r.declarations)!=null&&b.some(F=>F===T)&&ur(E)?R(E,!1):void 0:g(r.exportSymbol,C(T));{const F=Qzt(T,t);if(F&&Zr(F,32))return Wd(F)&&F.moduleReference===t?u?void 0:{kind:0,symbol:a.getSymbolAtLocation(F.name)}:g(r,C(F));if(jy(T))return g(r,0);if(Il(T))return N(T);if(Il(E))return N(E);if(ur(T))return R(T,!0);if(ur(E))return R(E,!0);if(MI(T)||ble(T))return g(r,0)}function N(F){if(!F.symbol.parent)return;const H=F.isExportEquals?2:1;return{kind:1,symbol:r,exportInfo:{exportingModuleSymbol:F.symbol.parent,exportKind:H}}}function R(F,H){let U;switch(Bu(F)){case 1:U=0;break;case 2:U=2;break;default:return}const q=H?a.getSymbolAtLocation(Dce(ha(F.left,Sl))):r;return q&&g(q,U)}}function p(){if(!Zzt(t))return;let T=a.getImmediateAliasedSymbol(r);if(!T||(T=Yzt(T,a),T.escapedName==="export="&&(T=Xzt(T,a),T===void 0)))return;const E=NZ(T);if(E===void 0||E==="default"||E===r.escapedName)return{kind:0,symbol:T}}function g(b,T){const E=NOe(b,T,a);return E&&{kind:1,symbol:b,exportInfo:E}}function C(b){return Zr(b,2048)?1:0}}function Xzt(t,r){var a,u;if(t.flags&2097152)return r.getImmediateAliasedSymbol(t);const h=j.checkDefined(t.valueDeclaration);if(Il(h))return(a=Jr(h.expression,M0))==null?void 0:a.symbol;if(ur(h))return(u=Jr(h.right,M0))==null?void 0:u.symbol;if(Os(h))return h.symbol}function Qzt(t,r){const a=_s(t)?t:ec(t)?YL(t):void 0;return a?t.name!==r||lD(a.parent)?void 0:Lu(a.parent.parent)?a.parent.parent:void 0:t}function Zzt(t){const{parent:r}=t;switch(r.kind){case 271:return r.name===t&&LOe(r);case 276:return!r.propertyName;case 273:case 274:return j.assert(r.name===t),!0;case 208:return nr(t)&&HT(r.parent.parent);default:return!1}}function NOe(t,r,a){const u=t.parent;if(!u)return;const h=a.getMergedSymbol(u);return H6(h)?{exportingModuleSymbol:h,exportKind:r}:void 0}function Yzt(t,r){if(t.declarations)for(const a of t.declarations){if(vh(a)&&!a.propertyName&&!a.parent.parent.moduleSpecifier)return r.getExportSpecifierLocalTargetSymbol(a)||t;if(Nr(a)&&Wv(a.expression)&&!Vs(a.name))return r.getSymbolAtLocation(a);if(lh(a)&&ur(a.parent.parent)&&Bu(a.parent.parent)===2)return r.getExportSpecifierLocalTargetSymbol(a.name)}return t}function eUt(t,r){return r.getMergedSymbol(DY(t).symbol)}function DY(t){if(t.kind===213||t.kind===351)return t.getSourceFile();const{parent:r}=t;return r.kind===307?r:(j.assert(r.kind===268),ha(r.parent,J_e))}function J_e(t){return t.kind===267&&t.name.kind===11}function LOe(t){return t.moduleReference.kind===283&&t.moduleReference.expression.kind===11}var Tdt=(t=>(t[t.Symbol=0]="Symbol",t[t.Label=1]="Label",t[t.Keyword=2]="Keyword",t[t.This=3]="This",t[t.String=4]="String",t[t.TripleSlashReference=5]="TripleSlashReference",t))(Tdt||{}),Ddt=(t=>(t[t.Span=0]="Span",t[t.Node=1]="Node",t[t.StringLiteral=2]="StringLiteral",t[t.SearchedLocalFoundProperty=3]="SearchedLocalFoundProperty",t[t.SearchedPropertyFoundLocal=4]="SearchedPropertyFoundLocal",t))(Ddt||{});function oC(t,r=1){return{kind:r,node:t.name||t,context:tUt(t)}}function POe(t){return t&&t.kind===void 0}function tUt(t){if(Wf(t))return KI(t);if(t.parent){if(!Wf(t.parent)&&!Il(t.parent)){if(nr(t)){const a=ur(t.parent)?t.parent:Sl(t.parent)&&ur(t.parent.parent)&&t.parent.parent.left===t.parent?t.parent.parent:void 0;if(a&&Bu(a)!==0)return KI(a)}if(z1(t.parent)||oD(t.parent))return t.parent.parent;if(PI(t.parent)||_x(t.parent)||m8(t.parent))return t.parent;if(Bc(t)){const a=CV(t);if(a){const u=Qi(a,h=>Wf(h)||Ps(h)||V6(h));return Wf(u)?KI(u):u}}const r=Qi(t,Ka);return r?KI(r.parent):void 0}if(t.parent.name===t||iu(t.parent)||Il(t.parent)||(Vk(t.parent)||ec(t.parent))&&t.parent.propertyName===t||t.kind===90&&Zr(t.parent,2080))return KI(t.parent)}}function KI(t){if(t)switch(t.kind){case 260:return!Sf(t.parent)||t.parent.declarations.length!==1?t:Lu(t.parent.parent)?t.parent.parent:fI(t.parent.parent)?KI(t.parent.parent):t.parent;case 208:return KI(t.parent.parent);case 276:return t.parent.parent.parent;case 281:case 274:return t.parent.parent;case 273:case 280:return t.parent;case 226:return uf(t.parent)?t.parent:t;case 250:case 249:return{start:t.initializer,end:t.expression};case 303:case 304:return TS(t.parent)?KI(Qi(t.parent,r=>ur(r)||fI(r))):t;case 255:return{start:Fe(t.getChildren(t.getSourceFile()),r=>r.kind===109),end:t.caseBlock};default:return t}}function AOe(t,r,a){if(!a)return;const u=POe(a)?IY(a.start,r,a.end):IY(a,r);return u.start!==t.start||u.length!==t.length?{contextSpan:u}:void 0}var Edt=(t=>(t[t.Other=0]="Other",t[t.References=1]="References",t[t.Rename=2]="Rename",t))(Edt||{});function iUt(t,r,a,u,h){const p=R_(u,h),g={use:1},C=SA.getReferencedSymbolsForNode(h,p,t,a,r,g),b=t.getTypeChecker(),T=SA.getAdjustedNode(p,g),E=nUt(T)?b.getSymbolAtLocation(T):void 0;return!C||!C.length?void 0:es(C,({definition:N,references:R})=>N&&{definition:b.runWithCancellationToken(r,F=>oUt(N,F,p)),references:R.map(F=>cUt(F,E))})}function nUt(t){return t.kind===90||!!R8(t)||DV(t)||t.kind===137&&iu(t.parent)}function rUt(t,r,a,u,h){const p=R_(u,h);let g;const C=Idt(t,r,a,p,h);if(p.parent.kind===211||p.parent.kind===208||p.parent.kind===212||p.kind===108)g=C&&[...C];else if(C){const T=JR(C),E=new Map;for(;!T.isEmpty();){const N=T.dequeue();if(!Pg(E,Sc(N.node)))continue;g=fn(g,N);const R=Idt(t,r,a,N.node,N.node.pos);R&&T.enqueue(...R)}}const b=t.getTypeChecker();return qt(g,T=>uUt(T,b))}function Idt(t,r,a,u,h){if(u.kind===307)return;const p=t.getTypeChecker();if(u.parent.kind===304){const g=[];return SA.getReferenceEntriesForShorthandPropertyAssignment(u,p,C=>g.push(oC(C))),g}else if(u.kind===108||G_(u.parent)){const g=p.getSymbolAtLocation(u);return g.valueDeclaration&&[oC(g.valueDeclaration)]}else return Ndt(h,u,t,a,r,{implementations:!0,use:1})}function sUt(t,r,a,u,h,p,g){return qt(Ldt(SA.getReferencedSymbolsForNode(h,u,t,a,r,p)),C=>g(C,u,t.getTypeChecker()))}function Ndt(t,r,a,u,h,p={},g=new Set(u.map(C=>C.fileName))){return Ldt(SA.getReferencedSymbolsForNode(t,r,a,u,h,p,g))}function Ldt(t){return t&&Rr(t,r=>r.references)}function oUt(t,r,a){const u=(()=>{switch(t.type){case 0:{const{symbol:E}=t,{displayParts:N,kind:R}=Pdt(E,r,a),F=N.map(q=>q.text).join(""),H=E.declarations&&Ra(E.declarations),U=H?No(H)||H:a;return{...EY(U),name:F,kind:R,displayParts:N,context:KI(H)}}case 1:{const{node:E}=t;return{...EY(E),name:E.text,kind:"label",displayParts:[Z_(E.text,17)]}}case 2:{const{node:E}=t,N=xa(E.kind);return{...EY(E),name:N,kind:"keyword",displayParts:[{text:N,kind:"keyword"}]}}case 3:{const{node:E}=t,N=r.getSymbolAtLocation(E),R=N&&NS.getSymbolDisplayPartsDocumentationAndSymbolKind(r,N,E.getSourceFile(),UI(E),E).displayParts||[pm("this")];return{...EY(E),name:"this",kind:"var",displayParts:R}}case 4:{const{node:E}=t;return{...EY(E),name:E.text,kind:"var",displayParts:[Z_(uu(E),8)]}}case 5:return{textSpan:DS(t.reference),sourceFile:t.file,name:t.reference.fileName,kind:"string",displayParts:[Z_(`"${t.reference.fileName}"`,8)]};default:return j.assertNever(t)}})(),{sourceFile:h,textSpan:p,name:g,kind:C,displayParts:b,context:T}=u;return{containerKind:"",containerName:"",fileName:h.fileName,kind:C,name:g,textSpan:p,displayParts:b,...AOe(p,h,T)}}function EY(t){const r=t.getSourceFile();return{sourceFile:r,textSpan:IY(Ka(t)?t.expression:t,r)}}function Pdt(t,r,a){const u=SA.getIntersectingMeaningFromDeclarations(a,t),h=t.declarations&&Ra(t.declarations)||a,{displayParts:p,symbolKind:g}=NS.getSymbolDisplayPartsDocumentationAndSymbolKind(r,t,h.getSourceFile(),h,h,u);return{displayParts:p,kind:g}}function aUt(t,r,a,u,h){return{...G_e(t),...u&&lUt(t,r,a,h)}}function cUt(t,r){const a=Adt(t);return r?{...a,isDefinition:t.kind!==0&&Mdt(t.node,r)}:a}function Adt(t){const r=G_e(t);if(t.kind===0)return{...r,isWriteAccess:!1};const{kind:a,node:u}=t;return{...r,isWriteAccess:OOe(u),isInString:a===2?!0:void 0}}function G_e(t){if(t.kind===0)return{textSpan:t.textSpan,fileName:t.fileName};{const r=t.node.getSourceFile(),a=IY(t.node,r);return{textSpan:a,fileName:r.fileName,...AOe(a,r,t.context)}}}function lUt(t,r,a,u){if(t.kind!==0&&(ot(r)||Bc(r))){const{node:h,kind:p}=t,g=h.parent,C=r.text,b=lh(g);if(b||vj(g)&&g.name===h&&g.dotDotDotToken===void 0){const T={prefixText:C+": "},E={suffixText:": "+C};if(p===3)return T;if(p===4)return E;if(b){const N=g.parent;return Aa(N)&&ur(N.parent)&&Wv(N.parent.left)?T:E}else return T}else if(l_(g)&&!g.propertyName){const T=vh(r.parent)?a.getExportSpecifierLocalTargetSymbol(r.parent):a.getSymbolAtLocation(r);return Yt(T.declarations,g)?{prefixText:C+" as "}:Bg}else if(vh(g)&&!g.propertyName)return r===t.node||a.getSymbolAtLocation(r)===a.getSymbolAtLocation(t.node)?{prefixText:C+" as "}:{suffixText:" as "+C}}if(t.kind!==0&&A_(t.node)&&Sl(t.node.parent)){const h=$fe(u);return{prefixText:h,suffixText:h}}return Bg}function uUt(t,r){const a=G_e(t);if(t.kind!==0){const{node:u}=t;return{...a,...dUt(u,r)}}else return{...a,kind:"",displayParts:[]}}function dUt(t,r){const a=r.getSymbolAtLocation(Wf(t)&&t.name?t.name:t);return a?Pdt(a,r,t):t.kind===210?{kind:"interface",displayParts:[Sh(21),pm("object literal"),Sh(22)]}:t.kind===231?{kind:"local class",displayParts:[Sh(21),pm("anonymous local class"),Sh(22)]}:{kind:gD(t),displayParts:[]}}function fUt(t){const r=G_e(t);if(t.kind===0)return{fileName:r.fileName,span:{textSpan:r.textSpan,kind:"reference"}};const a=OOe(t.node),u={textSpan:r.textSpan,kind:a?"writtenReference":"reference",isInString:t.kind===2?!0:void 0,...r.contextSpan&&{contextSpan:r.contextSpan}};return{fileName:r.fileName,span:u}}function IY(t,r,a){let u=t.getStart(r),h=(a||t).getEnd();return Bc(t)&&h-u>2&&(j.assert(a===void 0),u+=1,h-=1),(a==null?void 0:a.kind)===269&&(h=a.getFullStart()),Fu(u,h)}function Odt(t){return t.kind===0?t.textSpan:IY(t.node,t.node.getSourceFile())}function OOe(t){const r=R8(t);return!!r&&hUt(r)||t.kind===90||wP(t)}function Mdt(t,r){var a;if(!r)return!1;const u=R8(t)||(t.kind===90?t.parent:DV(t)||t.kind===137&&iu(t.parent)?t.parent.parent:void 0),h=u&&ur(u)?u.left:void 0;return!!(u&&((a=r.declarations)!=null&&a.some(p=>p===u||p===h)))}function hUt(t){if(t.flags&33554432)return!0;switch(t.kind){case 226:case 208:case 263:case 231:case 90:case 266:case 306:case 281:case 273:case 271:case 276:case 264:case 338:case 346:case 291:case 267:case 270:case 274:case 280:case 169:case 304:case 265:case 168:return!0;case 303:return!TS(t.parent);case 262:case 218:case 176:case 174:case 177:case 178:return!!t.body;case 260:case 172:return!!t.initializer||lD(t.parent);case 173:case 171:case 348:case 341:return!1;default:return j.failBadSyntaxKind(t)}}var SA;(t=>{function r(St,ri,xe,pt,Se,Ze={},gt=new Set(pt.map(Dt=>Dt.fileName))){var Dt,Je;if(ri=a(ri,Ze),Os(ri)){const gr=uO.getReferenceAtPosition(ri,St,xe);if(!(gr!=null&&gr.file))return;const te=xe.getTypeChecker().getMergedSymbol(gr.file.symbol);if(te)return T(xe,te,!1,pt,gt);const tt=xe.getFileIncludeReasons();return tt?[{definition:{type:5,reference:gr.reference,file:ri},references:h(gr.file,tt,xe)||w}]:void 0}if(!Ze.implementations){const gr=N(ri,pt,Se);if(gr)return gr}const Rt=xe.getTypeChecker(),Ct=Rt.getSymbolAtLocation(iu(ri)&&ri.parent.name||ri);if(!Ct){if(!Ze.implementations&&Bc(ri)){if(LZ(ri)){const gr=xe.getFileIncludeReasons(),te=(Je=(Dt=xe.getResolvedModuleFromModuleSpecifier(ri))==null?void 0:Dt.resolvedModule)==null?void 0:Je.resolvedFileName,tt=te?xe.getSourceFile(te):void 0;if(tt)return[{definition:{type:4,node:ri},references:h(tt,gr,xe)||w}]}return Qs(ri,pt,Rt,Se)}return}if(Ct.escapedName==="export=")return T(xe,Ct.parent,!1,pt,gt);const ii=g(Ct,xe,pt,Se,Ze,gt);if(ii&&!(Ct.flags&33554432))return ii;const vt=p(ri,Ct,Rt),Ni=vt&&g(vt,xe,pt,Se,Ze,gt),ji=R(Ct,ri,pt,gt,Rt,Se,Ze);return C(xe,ii,ji,Ni)}t.getReferencedSymbolsForNode=r;function a(St,ri){return ri.use===1?St=Lfe(St):ri.use===2&&(St=CZ(St)),St}t.getAdjustedNode=a;function u(St,ri,xe,pt=new Set(xe.map(Se=>Se.fileName))){var Se,Ze;const gt=(Se=ri.getSourceFile(St))==null?void 0:Se.symbol;if(gt)return((Ze=T(ri,gt,!1,xe,pt)[0])==null?void 0:Ze.references)||w;const Dt=ri.getFileIncludeReasons(),Je=ri.getSourceFile(St);return Je&&Dt&&h(Je,Dt,ri)||w}t.getReferencesForFileName=u;function h(St,ri,xe){let pt;const Se=ri.get(St.path)||w;for(const Ze of Se)if(_2(Ze)){const gt=xe.getSourceFileByPath(Ze.file),Dt=Y7(xe,Ze);U3(Dt)&&(pt=fn(pt,{kind:0,fileName:gt.fileName,textSpan:DS(Dt)}))}return pt}function p(St,ri,xe){if(St.parent&&pH(St.parent)){const pt=xe.getAliasedSymbol(ri),Se=xe.getMergedSymbol(pt);if(pt!==Se)return Se}}function g(St,ri,xe,pt,Se,Ze){const gt=St.flags&1536&&St.declarations&&Fe(St.declarations,Os);if(!gt)return;const Dt=St.exports.get("export="),Je=T(ri,St,!!Dt,xe,Ze);if(!Dt||!Ze.has(gt.fileName))return Je;const Rt=ri.getTypeChecker();return St=Vf(Dt,Rt),C(ri,Je,R(St,void 0,xe,Ze,Rt,pt,Se))}function C(St,...ri){let xe;for(const pt of ri)if(!(!pt||!pt.length)){if(!xe){xe=pt;continue}for(const Se of pt){if(!Se.definition||Se.definition.type!==0){xe.push(Se);continue}const Ze=Se.definition.symbol,gt=ct(xe,Je=>!!Je.definition&&Je.definition.type===0&&Je.definition.symbol===Ze);if(gt===-1){xe.push(Se);continue}const Dt=xe[gt];xe[gt]={definition:Dt.definition,references:Dt.references.concat(Se.references).sort((Je,Rt)=>{const Ct=b(St,Je),ii=b(St,Rt);if(Ct!==ii)return _l(Ct,ii);const vt=Odt(Je),Ni=Odt(Rt);return vt.start!==Ni.start?_l(vt.start,Ni.start):_l(vt.length,Ni.length)})}}}return xe}function b(St,ri){const xe=ri.kind===0?St.getSourceFile(ri.fileName):ri.node.getSourceFile();return St.getSourceFiles().indexOf(xe)}function T(St,ri,xe,pt,Se){j.assert(!!ri.valueDeclaration);const Ze=es(Sdt(St,pt,ri),Dt=>{if(Dt.kind==="import"){const Je=Dt.literal.parent;if(bS(Je)){const Rt=ha(Je.parent,V1);if(xe&&!Rt.qualifier)return}return oC(Dt.literal)}else if(Dt.kind==="implicit"){const Je=Dt.literal.text!==jk&&QP(Dt.referencingFile,Rt=>Rt.transformFlags&2?Gv(Rt)||PI(Rt)||aD(Rt)?Rt:void 0:"skip")||Dt.referencingFile.statements[0]||Dt.referencingFile;return oC(Je)}else return{kind:0,fileName:Dt.referencingFile.fileName,textSpan:DS(Dt.ref)}});if(ri.declarations)for(const Dt of ri.declarations)switch(Dt.kind){case 307:break;case 267:Se.has(Dt.getSourceFile().fileName)&&Ze.push(oC(Dt.name));break;default:j.assert(!!(ri.flags&33554432),"Expected a module symbol to be declared by a SourceFile or ModuleDeclaration.")}const gt=ri.exports.get("export=");if(gt!=null&&gt.declarations)for(const Dt of gt.declarations){const Je=Dt.getSourceFile();if(Se.has(Je.fileName)){const Rt=ur(Dt)&&Nr(Dt.left)?Dt.left.expression:Il(Dt)?j.checkDefined(Uc(Dt,95,Je)):No(Dt)||Dt;Ze.push(oC(Rt))}}return Ze.length?[{definition:{type:0,symbol:ri},references:Ze}]:w}function E(St){return St.kind===148&&t2(St.parent)&&St.parent.operator===148}function N(St,ri,xe){if(c5(St.kind))return St.kind===116&&UP(St.parent)||St.kind===148&&!E(St)?void 0:Oe(ri,St.kind,xe,St.kind===148?E:void 0);if(J6(St.parent)&&St.parent.name===St)return Ne(ri,xe);if(WP(St)&&gu(St.parent))return[{definition:{type:2,node:St},references:[oC(St)]}];if(uj(St)){const pt=pZ(St.parent,St.text);return pt&&qe(pt.parent,pt)}else if(Cfe(St))return qe(St.parent,St);if(X3(St))return ls(St,ri,xe);if(St.kind===108)return Fs(St)}function R(St,ri,xe,pt,Se,Ze,gt){const Dt=ri&&U(St,ri,Se,!ac(gt))||St,Je=ri?us(ri,Dt):7,Rt=[],Ct=new Z(xe,pt,ri?H(ri):0,Se,Ze,Je,gt,Rt),ii=!ac(gt)||!Dt.declarations?void 0:Fe(Dt.declarations,vh);if(ii)$e(ii.name,Dt,ii,Ct.createSearch(ri,St,void 0),Ct,!0,!0);else if(ri&&ri.kind===90&&Dt.escapedName==="default"&&Dt.parent)Et(ri,Dt,Ct),Q(ri,Dt,{exportingModuleSymbol:Dt.parent,exportKind:1},Ct);else{const vt=Ct.createSearch(ri,Dt,void 0,{allSearchSymbols:ri?Zs(Dt,ri,Se,gt.use===2,!!gt.providePrefixAndSuffixTextForRename,!!gt.implementations):[Dt]});F(Dt,Ct,vt)}return Rt}function F(St,ri,xe){const pt=Ie(St);if(pt)Pe(pt,pt.getSourceFile(),xe,ri,!(Os(pt)&&!Yt(ri.sourceFiles,pt)));else for(const Se of ri.sourceFiles)ri.cancellationToken.throwIfCancellationRequested(),de(Se,xe,ri)}function H(St){switch(St.kind){case 176:case 137:return 1;case 80:if(ss(St.parent))return j.assert(St.parent.name===St),2;default:return 0}}function U(St,ri,xe,pt){const{parent:Se}=ri;return vh(Se)&&pt?et(ri,St,Se,xe):J(St.declarations,Ze=>{if(!Ze.parent){if(St.flags&33554432)return;j.fail(`Unexpected symbol at ${j.formatSyntaxKind(ri.kind)}: ${j.formatSymbol(St)}`)}return a_(Ze.parent)&&fx(Ze.parent.parent)?xe.getPropertyOfType(xe.getTypeFromTypeNode(Ze.parent.parent),St.name):void 0})}let q;(St=>{St[St.None=0]="None",St[St.Constructor=1]="Constructor",St[St.Class=2]="Class"})(q||(q={}));function X(St){if(!(St.flags&33555968))return;const ri=St.declarations&&Fe(St.declarations,xe=>!Os(xe)&&!rd(xe));return ri&&ri.symbol}class Z{constructor(ri,xe,pt,Se,Ze,gt,Dt,Je){this.sourceFiles=ri,this.sourceFilesSet=xe,this.specialSearchKind=pt,this.checker=Se,this.cancellationToken=Ze,this.searchMeaning=gt,this.options=Dt,this.result=Je,this.inheritsFromCache=new Map,this.markSeenContainingTypeReference=Z3(),this.markSeenReExportRHS=Z3(),this.symbolIdToReferences=[],this.sourceFileToSeenSymbols=[]}includesSourceFile(ri){return this.sourceFilesSet.has(ri.fileName)}getImportSearches(ri,xe){return this.importTracker||(this.importTracker=IOe(this.sourceFiles,this.sourceFilesSet,this.checker,this.cancellationToken)),this.importTracker(ri,xe,this.options.use===2)}createSearch(ri,xe,pt,Se={}){const{text:Ze=zm(Eu($8(xe)||X(xe)||xe)),allSearchSymbols:gt=[xe]}=Se,Dt=cu(Ze),Je=this.options.implementations&&ri?Ji(ri,xe,this.checker):void 0;return{symbol:xe,comingFrom:pt,text:Ze,escapedText:Dt,parents:Je,allSearchSymbols:gt,includes:Rt=>Yt(gt,Rt)}}referenceAdder(ri){const xe=Ba(ri);let pt=this.symbolIdToReferences[xe];return pt||(pt=this.symbolIdToReferences[xe]=[],this.result.push({definition:{type:0,symbol:ri},references:pt})),(Se,Ze)=>pt.push(oC(Se,Ze))}addStringOrCommentReference(ri,xe){this.result.push({definition:void 0,references:[{kind:0,fileName:ri,textSpan:xe}]})}markSearchedSymbols(ri,xe){const pt=Sc(ri),Se=this.sourceFileToSeenSymbols[pt]||(this.sourceFileToSeenSymbols[pt]=new Set);let Ze=!1;for(const gt of xe)Ze=of(Se,Ba(gt))||Ze;return Ze}}function Q(St,ri,xe,pt){const{importSearches:Se,singleReferences:Ze,indirectUsers:gt}=pt.getImportSearches(ri,xe);if(Ze.length){const Dt=pt.referenceAdder(ri);for(const Je of Ze)oe(Je,pt)&&Dt(Je)}for(const[Dt,Je]of Se)He(Dt.getSourceFile(),pt.createSearch(Dt,Je,1),pt);if(gt.length){let Dt;switch(xe.exportKind){case 0:Dt=pt.createSearch(St,ri,1);break;case 1:Dt=pt.options.use===2?void 0:pt.createSearch(St,ri,1,{text:"default"});break}if(Dt)for(const Je of gt)de(Je,Dt,pt)}}function re(St,ri,xe,pt,Se,Ze,gt,Dt){const Je=IOe(St,new Set(St.map(vt=>vt.fileName)),ri,xe),{importSearches:Rt,indirectUsers:Ct,singleReferences:ii}=Je(pt,{exportKind:gt?1:0,exportingModuleSymbol:Se},!1);for(const[vt]of Rt)Dt(vt);for(const vt of ii)ot(vt)&&V1(vt.parent)&&Dt(vt);for(const vt of Ct)for(const Ni of Te(vt,gt?"default":Ze)){const ji=ri.getSymbolAtLocation(Ni),gr=Ft(ji==null?void 0:ji.declarations,te=>!!Jr(te,Il));ot(Ni)&&!Vk(Ni.parent)&&(ji===pt||gr)&&Dt(Ni)}}t.eachExportReference=re;function oe(St,ri){return We(St,ri)?ri.options.use!==2?!0:!ot(St)&&!Vk(St.parent)?!1:!(Vk(St.parent)&&My(St)):!1}function pe(St,ri){if(St.declarations)for(const xe of St.declarations){const pt=xe.getSourceFile();He(pt,ri.createSearch(xe,St,0),ri,ri.includesSourceFile(pt))}}function de(St,ri,xe){s_e(St).get(ri.escapedText)!==void 0&&He(St,ri,xe)}function ue(St,ri){return TS(St.parent.parent)?ri.getPropertySymbolOfDestructuringAssignment(St):void 0}function Ie(St){const{declarations:ri,flags:xe,parent:pt,valueDeclaration:Se}=St;if(Se&&(Se.kind===218||Se.kind===231))return Se;if(!ri)return;if(xe&8196){const Dt=Fe(ri,Je=>xp(Je,2)||Wh(Je));return Dt?Xk(Dt,263):void 0}if(ri.some(vj))return;const Ze=pt&&!(St.flags&262144);if(Ze&&!(H6(pt)&&!pt.globalExports))return;let gt;for(const Dt of ri){const Je=UI(Dt);if(gt&&gt!==Je||!Je||Je.kind===307&&!Cp(Je))return;if(gt=Je,ml(gt)){let Rt;for(;Rt=zae(gt);)gt=Rt}}return Ze?gt.getSourceFile():gt}function we(St,ri,xe,pt=xe){return he(St,ri,xe,()=>!0,pt)||!1}t.isSymbolReferencedInFile=we;function he(St,ri,xe,pt,Se=xe){const Ze=vp(St.parent,St.parent.parent)?ya(ri.getSymbolsOfParameterPropertyDeclaration(St.parent,St.text)):ri.getSymbolAtLocation(St);if(Ze)for(const gt of Te(xe,Ze.name,Se)){if(!ot(gt)||gt===St||gt.escapedText!==St.escapedText)continue;const Dt=ri.getSymbolAtLocation(gt);if(Dt===Ze||ri.getShorthandAssignmentValueSymbol(gt.parent)===Ze||vh(gt.parent)&&et(gt,Dt,gt.parent,ri)===Ze){const Je=pt(gt);if(Je)return Je}}}t.eachSymbolReferenceInFile=he;function Ae(St,ri){return $t(Te(ri,St),Se=>!!R8(Se)).reduce((Se,Ze)=>{const gt=pt(Ze);return!Ft(Se.declarationNames)||gt===Se.depth?(Se.declarationNames.push(Ze),Se.depth=gt):gt<Se.depth&&(Se.declarationNames=[Ze],Se.depth=gt),Se},{depth:1/0,declarationNames:[]}).declarationNames;function pt(Se){let Ze=0;for(;Se;)Se=UI(Se),Ze++;return Ze}}t.getTopMostDeclarationNamesInFile=Ae;function ke(St,ri,xe,pt){if(!St.name||!ot(St.name))return!1;const Se=j.checkDefined(xe.getSymbolAtLocation(St.name));for(const Ze of ri)for(const gt of Te(Ze,Se.name)){if(!ot(gt)||gt===St.name||gt.escapedText!==St.name.escapedText)continue;const Dt=_Z(gt),Je=la(Dt.parent)&&Dt.parent.expression===Dt?Dt.parent:void 0,Rt=xe.getSymbolAtLocation(gt);if(Rt&&xe.getRootSymbols(Rt).some(Ct=>Ct===Se)&&pt(gt,Je))return!0}return!1}t.someSignatureUsage=ke;function Te(St,ri,xe=St){return es(De(St,ri,xe),pt=>{const Se=R_(St,pt);return Se===St?void 0:Se})}function De(St,ri,xe=St){const pt=[];if(!ri||!ri.length)return pt;const Se=St.text,Ze=Se.length,gt=ri.length;let Dt=Se.indexOf(ri,xe.pos);for(;Dt>=0&&!(Dt>xe.end);){const Je=Dt+gt;(Dt===0||!jb(Se.charCodeAt(Dt-1),99))&&(Je===Ze||!jb(Se.charCodeAt(Je),99))&&pt.push(Dt),Dt=Se.indexOf(ri,Dt+gt+1)}return pt}function qe(St,ri){const xe=St.getSourceFile(),pt=ri.text,Se=es(Te(xe,pt,St),Ze=>Ze===ri||uj(Ze)&&pZ(Ze,pt)===ri?oC(Ze):void 0);return[{definition:{type:1,node:ri},references:Se}]}function se(St,ri){switch(St.kind){case 81:if(a2(St.parent))return!0;case 80:return St.text.length===ri.length;case 15:case 11:{const xe=St;return xe.text.length===ri.length&&(mZ(xe)||kfe(St)||ZPe(St)||la(St.parent)&&vI(St.parent)&&St.parent.arguments[1]===St||Vk(St.parent))}case 9:return mZ(St)&&St.text.length===ri.length;case 90:return ri.length===7;default:return!1}}function Ne(St,ri){const xe=Rr(St,pt=>(ri.throwIfCancellationRequested(),es(Te(pt,"meta",pt),Se=>{const Ze=Se.parent;if(J6(Ze))return oC(Ze)})));return xe.length?[{definition:{type:2,node:xe[0].node},references:xe}]:void 0}function Oe(St,ri,xe,pt){const Se=Rr(St,Ze=>(xe.throwIfCancellationRequested(),es(Te(Ze,xa(ri),Ze),gt=>{if(gt.kind===ri&&(!pt||pt(gt)))return oC(gt)})));return Se.length?[{definition:{type:2,node:Se[0].node},references:Se}]:void 0}function He(St,ri,xe,pt=!0){return xe.cancellationToken.throwIfCancellationRequested(),Pe(St,St,ri,xe,pt)}function Pe(St,ri,xe,pt,Se){if(pt.markSearchedSymbols(ri,xe.allSearchSymbols))for(const Ze of De(ri,xe.text,St))ze(ri,Ze,xe,pt,Se)}function We(St,ri){return!!(zI(St)&ri.searchMeaning)}function ze(St,ri,xe,pt,Se){const Ze=R_(St,ri);if(!se(Ze,xe.text)){!pt.options.implementations&&(pt.options.findInStrings&&lA(St,ri)||pt.options.findInComments&&dAe(St,ri))&&pt.addStringOrCommentReference(St.fileName,Ff(ri,xe.text.length));return}if(!We(Ze,pt))return;let gt=pt.checker.getSymbolAtLocation(Ze);if(!gt)return;const Dt=Ze.parent;if(l_(Dt)&&Dt.propertyName===Ze)return;if(vh(Dt)){j.assert(Ze.kind===80||Ze.kind===11),$e(Ze,gt,Dt,xe,pt,Se);return}if(g8(Dt)&&Dt.isNameFirst&&Dt.typeExpression&&OI(Dt.typeExpression.type)&&Dt.typeExpression.type.jsDocPropertyTags&&P(Dt.typeExpression.type.jsDocPropertyTags)){Nt(Dt.typeExpression.type.jsDocPropertyTags,Ze,xe,pt);return}const Je=dr(xe,gt,Ze,pt);if(!Je){wt(gt,xe,pt);return}switch(pt.specialSearchKind){case 0:Se&&Et(Ze,Je,pt);break;case 1:dt(Ze,St,xe,pt);break;case 2:zt(Ze,xe,pt);break;default:j.assertNever(pt.specialSearchKind)}nr(Ze)&&ec(Ze.parent)&&HT(Ze.parent.parent.parent)&&(gt=Ze.parent.symbol,!gt)||ut(Ze,gt,xe,pt)}function Nt(St,ri,xe,pt){const Se=pt.referenceAdder(xe.symbol);Et(ri,xe.symbol,pt),O(St,Ze=>{o_(Ze.name)&&Se(Ze.name.left)})}function $e(St,ri,xe,pt,Se,Ze,gt){j.assert(!gt||!!Se.options.providePrefixAndSuffixTextForRename,"If alwaysGetReferences is true, then prefix/suffix text must be enabled");const{parent:Dt,propertyName:Je,name:Rt}=xe,Ct=Dt.parent,ii=et(St,ri,xe,Se.checker);if(!gt&&!pt.includes(ii))return;if(Je?St===Je?(Ct.moduleSpecifier||vt(),Ze&&Se.options.use!==2&&Se.markSeenReExportRHS(Rt)&&Et(Rt,j.checkDefined(xe.symbol),Se)):Se.markSeenReExportRHS(St)&&vt():Se.options.use===2&&My(Rt)||vt(),!ac(Se.options)||gt){const ji=My(St)||My(xe.name)?1:0,gr=j.checkDefined(xe.symbol),te=NOe(gr,ji,Se.checker);te&&Q(St,gr,te,Se)}if(pt.comingFrom!==1&&Ct.moduleSpecifier&&!Je&&!ac(Se.options)){const Ni=Se.checker.getExportSpecifierLocalTargetSymbol(xe);Ni&&pe(Ni,Se)}function vt(){Ze&&Et(St,ii,Se)}}function et(St,ri,xe,pt){return Me(St,xe)&&pt.getExportSpecifierLocalTargetSymbol(xe)||ri}function Me(St,ri){const{parent:xe,propertyName:pt,name:Se}=ri;return j.assert(pt===St||Se===St),pt?pt===St:!xe.parent.moduleSpecifier}function ut(St,ri,xe,pt){const Se=kdt(St,ri,pt.checker,xe.comingFrom===1);if(!Se)return;const{symbol:Ze}=Se;Se.kind===0?ac(pt.options)||pe(Ze,pt):Q(St,Ze,Se.exportInfo,pt)}function wt({flags:St,valueDeclaration:ri},xe,pt){const Se=pt.checker.getShorthandAssignmentValueSymbol(ri),Ze=ri&&No(ri);!(St&33554432)&&Ze&&xe.includes(Se)&&Et(Ze,Se,pt)}function Et(St,ri,xe){const{kind:pt,symbol:Se}="kind"in ri?ri:{kind:void 0,symbol:ri};if(xe.options.use===2&&St.kind===90)return;const Ze=xe.referenceAdder(Se);xe.options.implementations?Fi(St,Ze,xe):Ze(St,pt)}function dt(St,ri,xe,pt){r5(St)&&Et(St,xe.symbol,pt);const Se=()=>pt.referenceAdder(xe.symbol);if(ss(St.parent))j.assert(St.kind===90||St.parent.name===St),Ei(xe.symbol,ri,Se());else{const Ze=ka(St);Ze&&(xn(Ze,Se()),_i(Ze,pt))}}function zt(St,ri,xe){Et(St,ri.symbol,xe);const pt=St.parent;if(xe.options.use===2||!ss(pt))return;j.assert(pt.name===St);const Se=xe.referenceAdder(ri.symbol);for(const Ze of pt.members)iP(Ze)&&pa(Ze)&&Ze.body&&Ze.body.forEachChild(function gt(Dt){Dt.kind===110?Se(Dt):!Ho(Dt)&&!ss(Dt)&&Dt.forEachChild(gt)})}function Ei(St,ri,xe){const pt=tn(St);if(pt&&pt.declarations)for(const Se of pt.declarations){const Ze=Uc(Se,137,ri);j.assert(Se.kind===176&&!!Ze),xe(Ze)}St.exports&&St.exports.forEach(Se=>{const Ze=Se.valueDeclaration;if(Ze&&Ze.kind===174){const gt=Ze.body;gt&&ma(gt,110,Dt=>{r5(Dt)&&xe(Dt)})}})}function tn(St){return St.members&&St.members.get("__constructor")}function xn(St,ri){const xe=tn(St.symbol);if(xe&&xe.declarations)for(const pt of xe.declarations){j.assert(pt.kind===176);const Se=pt.body;Se&&ma(Se,108,Ze=>{yfe(Ze)&&ri(Ze)})}}function Pn(St){return!!tn(St.symbol)}function _i(St,ri){if(Pn(St))return;const xe=St.symbol,pt=ri.createSearch(void 0,xe,void 0);F(xe,ri,pt)}function Fi(St,ri,xe){if(Wy(St)&&Xr(St.parent)){ri(St);return}if(St.kind!==80)return;St.parent.kind===304&&Gs(St,xe.checker,ri);const pt=On(St);if(pt){ri(pt);return}const Se=Qi(St,Dt=>!o_(Dt.parent)&&!ws(Dt.parent)&&!OT(Dt.parent)),Ze=Se.parent;if(wG(Ze)&&Ze.type===Se&&xe.markSeenContainingTypeReference(Ze))if(aS(Ze))gt(Ze.initializer);else if(Ho(Ze)&&Ze.body){const Dt=Ze.body;Dt.kind===241?uS(Dt,Je=>{Je.expression&&gt(Je.expression)}):gt(Dt)}else Hk(Ze)&&gt(Ze.expression);function gt(Dt){pn(Dt)&&ri(Dt)}}function On(St){return ot(St)||Nr(St)?On(St.parent):Yb(St)?Jr(St.parent.parent,om(ss,zf)):void 0}function pn(St){switch(St.kind){case 217:return pn(St.expression);case 219:case 218:case 210:case 231:case 209:return!0;default:return!1}}function qr(St,ri,xe,pt){if(St===ri)return!0;const Se=Ba(St)+","+Ba(ri),Ze=xe.get(Se);if(Ze!==void 0)return Ze;xe.set(Se,!1);const gt=!!St.declarations&&St.declarations.some(Dt=>F8(Dt).some(Je=>{const Rt=pt.getTypeAtLocation(Je);return!!Rt&&!!Rt.symbol&&qr(Rt.symbol,ri,xe,pt)}));return xe.set(Se,gt),gt}function Fs(St){let ri=oV(St,!1);if(!ri)return;let xe=256;switch(ri.kind){case 172:case 171:case 174:case 173:case 176:case 177:case 178:xe&=_S(ri),ri=ri.parent;break;default:return}const pt=ri.getSourceFile(),Se=es(Te(pt,"super",ri),Ze=>{if(Ze.kind!==108)return;const gt=oV(Ze,!1);return gt&&pa(gt)===!!xe&&gt.parent.symbol===ri.symbol?oC(Ze):void 0});return[{definition:{type:0,symbol:ri.symbol},references:Se}]}function ko(St){return St.kind===80&&St.parent.kind===169&&St.parent.name===St}function ls(St,ri,xe){let pt=Hh(St,!1,!1),Se=256;switch(pt.kind){case 174:case 173:if(Ig(pt)){Se&=_S(pt),pt=pt.parent;break}case 172:case 171:case 176:case 177:case 178:Se&=_S(pt),pt=pt.parent;break;case 307:if(wd(pt)||ko(St))return;case 262:case 218:break;default:return}const Ze=Rr(pt.kind===307?ri:[pt.getSourceFile()],Dt=>(xe.throwIfCancellationRequested(),Te(Dt,"this",Os(pt)?Dt:pt).filter(Je=>{if(!X3(Je))return!1;const Rt=Hh(Je,!1,!1);if(!M0(Rt))return!1;switch(pt.kind){case 218:case 262:return pt.symbol===Rt.symbol;case 174:case 173:return Ig(pt)&&pt.symbol===Rt.symbol;case 231:case 263:case 210:return Rt.parent&&M0(Rt.parent)&&pt.symbol===Rt.parent.symbol&&pa(Rt)===!!Se;case 307:return Rt.kind===307&&!wd(Rt)&&!ko(Je)}}))).map(Dt=>oC(Dt));return[{definition:{type:3,node:J(Ze,Dt=>$s(Dt.node.parent)?Dt.node:void 0)||St},references:Ze}]}function Qs(St,ri,xe,pt){const Se=bZ(St,xe),Ze=Rr(ri,gt=>(pt.throwIfCancellationRequested(),es(Te(gt,St.text),Dt=>{if(Bc(Dt)&&Dt.text===St.text)if(Se){const Je=bZ(Dt,xe);if(Se!==xe.getStringType()&&(Se===Je||Mn(Dt,xe)))return oC(Dt,2)}else return TI(Dt)&&!wI(Dt,gt)?void 0:oC(Dt,2)})));return[{definition:{type:4,node:St},references:Ze}]}function Mn(St,ri){if(O_(St.parent))return ri.getPropertyOfType(ri.getTypeAtLocation(St.parent.parent),St.text)}function Zs(St,ri,xe,pt,Se,Ze){const gt=[];return at(St,ri,xe,pt,!(pt&&Se),(Dt,Je,Rt)=>{Rt&&Sn(St)!==Sn(Rt)&&(Rt=void 0),gt.push(Rt||Je||Dt)},()=>!Ze),gt}function at(St,ri,xe,pt,Se,Ze,gt){const Dt=Vj(ri);if(Dt){const ji=xe.getShorthandAssignmentValueSymbol(ri.parent);if(ji&&pt)return Ze(ji,void 0,void 0,3);const gr=xe.getContextualType(Dt.parent),te=gr&&J(_Y(Dt,xe,gr,!0),Ue=>vt(Ue,4));if(te)return te;const tt=ue(ri,xe),Gt=tt&&Ze(tt,void 0,void 0,4);if(Gt)return Gt;const le=ji&&Ze(ji,void 0,void 0,3);if(le)return le}const Je=p(ri,St,xe);if(Je){const ji=Ze(Je,void 0,void 0,1);if(ji)return ji}const Rt=vt(St);if(Rt)return Rt;if(St.valueDeclaration&&vp(St.valueDeclaration,St.valueDeclaration.parent)){const ji=xe.getSymbolsOfParameterPropertyDeclaration(ha(St.valueDeclaration,$s),St.name);return j.assert(ji.length===2&&!!(ji[0].flags&1)&&!!(ji[1].flags&4)),vt(St.flags&1?ji[1]:ji[0])}const Ct=ql(St,281);if(!pt||Ct&&!Ct.propertyName){const ji=Ct&&xe.getExportSpecifierLocalTargetSymbol(Ct);if(ji){const gr=Ze(ji,void 0,void 0,1);if(gr)return gr}}if(!pt){let ji;return Se?ji=vj(ri.parent)?PZ(xe,ri.parent):void 0:ji=Ni(St,xe),ji&&vt(ji,4)}if(j.assert(pt),Se){const ji=Ni(St,xe);return ji&&vt(ji,4)}function vt(ji,gr){return J(xe.getRootSymbols(ji),te=>Ze(ji,te,void 0,gr)||(te.parent&&te.parent.flags&96&&gt(te)?yi(te.parent,te.name,xe,tt=>Ze(ji,te,tt,gr)):void 0))}function Ni(ji,gr){const te=ql(ji,208);if(te&&vj(te))return PZ(gr,te)}}function yi(St,ri,xe,pt){const Se=new Map;return Ze(St);function Ze(gt){if(!(!(gt.flags&96)||!Pg(Se,Ba(gt))))return J(gt.declarations,Dt=>J(F8(Dt),Je=>{const Rt=xe.getTypeAtLocation(Je),Ct=Rt&&Rt.symbol&&xe.getPropertyOfType(Rt,ri);return Rt&&Ct&&(J(xe.getRootSymbols(Ct),pt)||Ze(Rt.symbol))}))}}function Sn(St){return St.valueDeclaration?!!(jh(St.valueDeclaration)&256):!1}function dr(St,ri,xe,pt){const{checker:Se}=pt;return at(ri,xe,Se,!1,pt.options.use!==2||!!pt.options.providePrefixAndSuffixTextForRename,(Ze,gt,Dt,Je)=>(Dt&&Sn(ri)!==Sn(Dt)&&(Dt=void 0),St.includes(Dt||gt||Ze)?{symbol:gt&&!(Iu(Ze)&6)?gt:Ze,kind:Je}:void 0),Ze=>!(St.parents&&!St.parents.some(gt=>qr(Ze.parent,gt,pt.inheritsFromCache,Se))))}function us(St,ri){let xe=zI(St);const{declarations:pt}=ri;if(pt){let Se;do{Se=xe;for(const Ze of pt){const gt=fZ(Ze);gt&xe&&(xe|=gt)}}while(xe!==Se)}return xe}t.getIntersectingMeaningFromDeclarations=us;function Xr(St){return St.flags&33554432?!(zf(St)||Mg(St)):uP(St)?aS(St):Qc(St)?!!St.body:ss(St)||qW(St)}function Gs(St,ri,xe){const pt=ri.getSymbolAtLocation(St),Se=ri.getShorthandAssignmentValueSymbol(pt.valueDeclaration);if(Se)for(const Ze of Se.getDeclarations())fZ(Ze)&1&&xe(Ze)}t.getReferenceEntriesForShorthandPropertyAssignment=Gs;function ma(St,ri,xe){Uo(St,pt=>{pt.kind===ri&&xe(pt),ma(pt,ri,xe)})}function ka(St){return pce(_Z(St).parent)}function Ji(St,ri,xe){const pt=K3(St)?St.parent:void 0,Se=pt&&xe.getTypeAtLocation(pt.expression),Ze=es(Se&&(Se.isUnionOrIntersection()?Se.types:Se.symbol===ri.parent?void 0:[Se]),gt=>gt.symbol&&gt.symbol.flags&96?gt.symbol:void 0);return Ze.length===0?void 0:Ze}function ac(St){return St.use===2&&St.providePrefixAndSuffixTextForRename}})(SA||(SA={}));var uO={};c(uO,{createDefinitionInfo:()=>Xj,getDefinitionAndBoundSpan:()=>bUt,getDefinitionAtPosition:()=>Rdt,getReferenceAtPosition:()=>Bdt,getTypeDefinitionAtPosition:()=>yUt});function Rdt(t,r,a,u,h){var p;const g=Bdt(r,a,t),C=g&&[kUt(g.reference.fileName,g.fileName,g.unverified)]||w;if(g!=null&&g.file)return C;const b=R_(r,a);if(b===r)return;const{parent:T}=b,E=t.getTypeChecker();if(b.kind===164||ot(b)&&EX(T)&&T.tagName===b)return pUt(E,b)||w;if(uj(b)){const q=pZ(b.parent,b.text);return q?[MOe(E,q,"label",b.text,void 0)]:void 0}switch(b.kind){case 107:const q=Qi(b.parent,Z=>gu(Z)?"quit":Qc(Z));return q?[NY(E,q)]:void 0;case 90:if(!k7(b.parent))break;case 84:const X=Qi(b.parent,S7);if(X)return[xUt(X,r)];break}if(b.kind===135){const q=Qi(b,Z=>Qc(Z));return q&&Ft(q.modifiers,Z=>Z.kind===134)?[NY(E,q)]:void 0}if(b.kind===127){const q=Qi(b,Z=>Qc(Z));return q&&q.asteriskToken?[NY(E,q)]:void 0}if(WP(b)&&gu(b.parent)){const q=b.parent.parent,{symbol:X,failedAliasResolution:Z}=K_e(q,E,h),Q=$t(q.members,gu),re=X?E.symbolToString(X,q):"",oe=b.getSourceFile();return qt(Q,pe=>{let{pos:de}=R1(pe);return de=Va(oe.text,de),MOe(E,pe,"constructor","static {}",re,!1,Z,{start:de,length:6})})}let{symbol:N,failedAliasResolution:R}=K_e(b,E,h),F=b;if(u&&R){const q=O([b,...(N==null?void 0:N.declarations)||w],Z=>Qi(Z,tTe)),X=q&&Z6(q);X&&({symbol:N,failedAliasResolution:R}=K_e(X,E,h),F=X)}if(!N&&LZ(F)){const q=(p=t.getResolvedModuleFromModuleSpecifier(F,r))==null?void 0:p.resolvedModule;if(q)return[{name:F.text,fileName:q.resolvedFileName,containerName:void 0,containerKind:void 0,kind:"script",textSpan:Ff(0,0),failedAliasResolution:R,isAmbient:df(q.resolvedFileName),unverified:F!==b}]}if(!N)return Js(C,CUt(b,E));if(u&&Ce(N.declarations,q=>q.getSourceFile().fileName===r.fileName))return;const H=DUt(E,b);if(H&&!(oh(b.parent)&&EUt(H))){const q=NY(E,H,R);let X=Q=>Q!==H;if(E.getRootSymbols(N).some(Q=>_Ut(Q,H))){if(!iu(H))return[q];X=Q=>Q!==H&&(hd(Q)||fd(Q))}const Z=D5(E,N,b,R,X)||w;return b.kind===108?[q,...Z]:[...Z,q]}if(b.parent.kind===304){const q=E.getShorthandAssignmentValueSymbol(N.valueDeclaration),X=q!=null&&q.declarations?q.declarations.map(Z=>Xj(Z,E,q,b,!1,R)):w;return Js(X,Fdt(E,b))}if(id(b)&&ec(T)&&dm(T.parent)&&b===(T.propertyName||T.name)){const q=yj(b),X=E.getTypeAtLocation(T.parent);return q===void 0?w:Rr(X.isUnion()?X.types:[X],Z=>{const Q=Z.getProperty(q);return Q&&D5(E,Q,b)})}const U=Fdt(E,b);return Js(C,U.length?U:D5(E,N,b,R))}function _Ut(t,r){var a;return t===r.symbol||t===r.symbol.parent||lf(r.parent)||!MT(r.parent)&&t===((a=Jr(r.parent,M0))==null?void 0:a.symbol)}function Fdt(t,r){const a=Vj(r);if(a){const u=a&&t.getContextualType(a.parent);if(u)return Rr(_Y(a,t,u,!1),h=>D5(t,h,r))}return w}function pUt(t,r){const a=Qi(r,Od);if(!(a&&a.name))return;const u=Qi(a,ss);if(!u)return;const h=L1(u);if(!h)return;const p=Dc(h.expression),g=fd(p)?p.symbol:t.getSymbolAtLocation(p);if(!g)return;const C=Ws(lP(a.name)),b=bd(a)?t.getPropertyOfType(t.getTypeOfSymbol(g),C):t.getPropertyOfType(t.getDeclaredTypeOfSymbol(g),C);if(b)return D5(t,b,r)}function Bdt(t,r,a){var u,h;const p=Qj(t.referencedFiles,r);if(p){const b=a.getSourceFileFromReference(t,p);return b&&{reference:p,fileName:b.fileName,file:b,unverified:!1}}const g=Qj(t.typeReferenceDirectives,r);if(g){const b=(u=a.getResolvedTypeReferenceDirectiveFromTypeReferenceDirective(g,t))==null?void 0:u.resolvedTypeReferenceDirective,T=b&&a.getSourceFile(b.resolvedFileName);return T&&{reference:g,fileName:T.fileName,file:T,unverified:!1}}const C=Qj(t.libReferenceDirectives,r);if(C){const b=a.getLibFileFromReference(C);return b&&{reference:C,fileName:b.fileName,file:b,unverified:!1}}if(t.imports.length||t.moduleAugmentations.length){const b=Q3(t,r);let T;if(LZ(b)&&Gd(b.text)&&(T=a.getResolvedModuleFromModuleSpecifier(b,t))){const E=(h=T.resolvedModule)==null?void 0:h.resolvedFileName,N=E||Ok(ts(t.fileName),b.text);return{file:a.getSourceFile(N),fileName:N,reference:{pos:b.getStart(),end:b.getEnd(),fileName:b.text},unverified:!E}}}}var Wdt=new Set(["Array","ArrayLike","ReadonlyArray","Promise","PromiseLike","Iterable","IterableIterator","AsyncIterable","Set","WeakSet","ReadonlySet","Map","WeakMap","ReadonlyMap","Partial","Required","Readonly","Pick","Omit"]);function mUt(t,r){const a=r.symbol.name;if(!Wdt.has(a))return!1;const u=t.resolveName(a,void 0,788968,!1);return!!u&&u===r.target.symbol}function Vdt(t,r){if(!r.aliasSymbol)return!1;const a=r.aliasSymbol.name;if(!Wdt.has(a))return!1;const u=t.resolveName(a,void 0,788968,!1);return!!u&&u===r.aliasSymbol}function gUt(t,r,a,u){var h,p;if(Or(r)&4&&mUt(t,r))return Kj(t.getTypeArguments(r)[0],t,a,u);if(Vdt(t,r)&&r.aliasTypeArguments)return Kj(r.aliasTypeArguments[0],t,a,u);if(Or(r)&32&&r.target&&Vdt(t,r.target)){const g=(p=(h=r.aliasSymbol)==null?void 0:h.declarations)==null?void 0:p[0];if(g&&Mg(g)&&qp(g.type)&&g.type.typeArguments)return Kj(t.getTypeAtLocation(g.type.typeArguments[0]),t,a,u)}return[]}function yUt(t,r,a){const u=R_(r,a);if(u===r)return;if(J6(u.parent)&&u.parent.name===u)return Kj(t.getTypeAtLocation(u.parent),t,u.parent,!1);const{symbol:h,failedAliasResolution:p}=K_e(u,t,!1);if(!h)return;const g=t.getTypeOfSymbolAtLocation(h,u),C=vUt(h,g,t),b=C&&Kj(C,t,u,p),[T,E]=b&&b.length!==0?[C,b]:[g,Kj(g,t,u,p)];return E.length?[...gUt(t,T,u,p),...E]:!(h.flags&111551)&&h.flags&788968?D5(t,Vf(h,t),u,p):void 0}function Kj(t,r,a,u){return Rr(t.isUnion()&&!(t.flags&32)?t.types:[t],h=>h.symbol&&D5(r,h.symbol,a,u))}function vUt(t,r,a){if(r.symbol===t||t.valueDeclaration&&r.symbol&&_s(t.valueDeclaration)&&t.valueDeclaration.initializer===r.symbol.valueDeclaration){const u=r.getCallSignatures();if(u.length===1)return a.getReturnTypeOfSignature(ya(u))}}function bUt(t,r,a){const u=Rdt(t,r,a);if(!u||u.length===0)return;const h=Qj(r.referencedFiles,a)||Qj(r.typeReferenceDirectives,a)||Qj(r.libReferenceDirectives,a);if(h)return{definitions:u,textSpan:DS(h)};const p=R_(r,a),g=Ff(p.getStart(),p.getWidth());return{definitions:u,textSpan:g}}function CUt(t,r){return es(r.getIndexInfosAtLocation(t),a=>a.declaration&&NY(r,a.declaration))}function K_e(t,r,a){const u=r.getSymbolAtLocation(t);let h=!1;if(u!=null&&u.declarations&&u.flags&2097152&&!a&&SUt(t,u.declarations[0])){const p=r.getAliasedSymbol(u);if(p.declarations)return{symbol:p};h=!0}return{symbol:u,failedAliasResolution:h}}function SUt(t,r){return t.kind!==80&&(t.kind!==11||!Vk(t.parent))?!1:t.parent===r?!0:r.kind!==274}function wUt(t){if(!P8(t))return!1;const r=Qi(t,a=>lf(a)?!0:P8(a)?!1:"quit");return!!r&&Bu(r)===5}function D5(t,r,a,u,h){const p=h!==void 0?$t(r.declarations,h):r.declarations,g=!h&&(T()||E());if(g)return g;const C=$t(p,R=>!wUt(R)),b=Ft(C)?C:p;return qt(b,R=>Xj(R,t,r,a,!1,u));function T(){if(r.flags&32&&!(r.flags&19)&&(r5(a)||a.kind===137)){const R=Fe(p,ss);return R&&N(R.members,!0)}}function E(){return vfe(a)||Tfe(a)?N(p,!1):void 0}function N(R,F){if(!R)return;const H=R.filter(F?iu:Ho),U=H.filter(q=>!!q.body);return H.length?U.length!==0?U.map(q=>Xj(q,t,r,a)):[Xj(va(H),t,r,a,!1,u)]:void 0}}function Xj(t,r,a,u,h,p){const g=r.symbolToString(a),C=NS.getSymbolKind(r,a,u),b=a.parent?r.symbolToString(a.parent,u):"";return MOe(r,t,C,g,b,h,p)}function MOe(t,r,a,u,h,p,g,C){const b=r.getSourceFile();if(!C){const T=No(r)||r;C=f_(T,b)}return{fileName:b.fileName,textSpan:C,kind:a,name:u,containerKind:void 0,containerName:h,...kl.toContextSpan(C,b,kl.getContextNode(r)),isLocal:!ROe(t,r),isAmbient:!!(r.flags&33554432),unverified:p,failedAliasResolution:g}}function xUt(t,r){const a=kl.getContextNode(t),u=f_(POe(a)?a.start:a,r);return{fileName:r.fileName,textSpan:u,kind:"keyword",name:"switch",containerKind:void 0,containerName:"",...kl.toContextSpan(u,r,a),isLocal:!0,isAmbient:!1,unverified:!1,failedAliasResolution:void 0}}function ROe(t,r){if(t.isDeclarationVisible(r))return!0;if(!r.parent)return!1;if(aS(r.parent)&&r.parent.initializer===r)return ROe(t,r.parent);switch(r.kind){case 172:case 177:case 178:case 174:if(xp(r,2))return!1;case 176:case 303:case 304:case 210:case 231:case 219:case 218:return ROe(t,r.parent);default:return!1}}function NY(t,r,a){return Xj(r,t,r.symbol,r,!1,a)}function Qj(t,r){return Fe(t,a=>NW(a,r))}function kUt(t,r,a){return{fileName:r,textSpan:Fu(0,0),kind:"script",name:t,containerName:void 0,containerKind:void 0,unverified:a}}function TUt(t){const r=Qi(t,u=>!K3(u)),a=r==null?void 0:r.parent;return a&&MT(a)&&KG(a)===r?a:void 0}function DUt(t,r){const a=TUt(r),u=a&&t.getResolvedSignature(a);return Jr(u&&u.declaration,h=>Ho(h)&&!Hy(h))}function EUt(t){switch(t.kind){case 176:case 185:case 179:case 180:return!0;default:return!1}}var X_e={};c(X_e,{provideInlayHints:()=>PUt});var IUt=t=>new RegExp(`^\\s?/\\*\\*?\\s?${t}\\s?\\*\\/\\s?$`);function NUt(t){return t.includeInlayParameterNameHints==="literals"||t.includeInlayParameterNameHints==="all"}function LUt(t){return t.includeInlayParameterNameHints==="literals"}function FOe(t){return t.interactiveInlayHints===!0}function PUt(t){const{file:r,program:a,span:u,cancellationToken:h,preferences:p}=t,g=r.text,C=a.getCompilerOptions(),b=$p(r,p),T=a.getTypeChecker(),E=[];return N(r),E;function N(Oe){if(!(!Oe||Oe.getFullWidth()===0)){switch(Oe.kind){case 267:case 263:case 264:case 262:case 231:case 218:case 174:case 219:h.throwIfCancellationRequested()}if(LW(u,Oe.pos,Oe.getFullWidth())&&!(ws(Oe)&&!Yb(Oe)))return p.includeInlayVariableTypeHints&&_s(Oe)||p.includeInlayPropertyDeclarationTypeHints&&Lo(Oe)?Z(Oe):p.includeInlayEnumMemberValueHints&&SS(Oe)?q(Oe):NUt(p)&&(la(Oe)||rD(Oe))?Q(Oe):(p.includeInlayFunctionParameterTypeHints&&Qc(Oe)&&eX(Oe)&&Ie(Oe),p.includeInlayFunctionLikeReturnTypeHints&&R(Oe)&&de(Oe)),Uo(Oe,N)}}function R(Oe){return xl(Oe)||ml(Oe)||Wu(Oe)||Nu(Oe)||um(Oe)}function F(Oe,He,Pe,We){let ze=`${We?"...":""}${Oe}`,Nt;FOe(p)?(Nt=[Ne(ze,He),{text:":"}],ze=""):ze+=":",E.push({text:ze,position:Pe,kind:"Parameter",whitespaceAfter:!0,displayParts:Nt})}function H(Oe,He){E.push({text:typeof Oe=="string"?`: ${Oe}`:"",displayParts:typeof Oe=="string"?void 0:[{text:": "},...Oe],position:He,kind:"Type",whitespaceBefore:!0})}function U(Oe,He){E.push({text:`= ${Oe}`,position:He,kind:"Enum",whitespaceBefore:!0})}function q(Oe){if(Oe.initializer)return;const He=T.getConstantValue(Oe);He!==void 0&&U(He.toString(),Oe.end)}function X(Oe){return Oe.symbol&&Oe.symbol.flags&1536}function Z(Oe){if(Oe.initializer===void 0&&!(Lo(Oe)&&!(T.getTypeAtLocation(Oe).flags&1))||ta(Oe.name)||_s(Oe)&&!se(Oe)||dd(Oe))return;const Pe=T.getTypeAtLocation(Oe);if(X(Pe))return;const We=ke(Pe);if(We){const ze=typeof We=="string"?We:We.map($e=>$e.text).join("");if(p.includeInlayVariableTypeHintsWhenTypeMatchesName===!1&&jw(Oe.name.getText(),ze))return;H(We,Oe.name.end)}}function Q(Oe){const He=Oe.arguments;if(!He||!He.length)return;const Pe=[],We=T.getResolvedSignatureForSignatureHelp(Oe,Pe);if(!We||!Pe.length)return;let ze=0;for(const Nt of He){const $e=Dc(Nt);if(LUt(p)&&!pe($e)){ze++;continue}let et=0;if(Km($e)){const ut=T.getTypeAtLocation($e.expression);if(T.isTupleType(ut)){const{elementFlags:wt,fixedLength:Et}=ut.target;if(Et===0)continue;const dt=ct(wt,Ei=>!(Ei&1));(dt<0?Et:dt)>0&&(et=dt<0?Et:dt)}}const Me=T.getParameterIdentifierInfoAtPosition(We,ze);if(ze=ze+(et||1),Me){const{parameter:ut,parameterName:wt,isRestParameter:Et}=Me;if(!(p.includeInlayParameterNameHintsWhenArgumentMatchesName||!re($e,wt))&&!Et)continue;const zt=Ws(wt);if(oe($e,zt))continue;F(zt,ut,Nt.getStart(),Et)}}}function re(Oe,He){return ot(Oe)?Oe.text===He:Nr(Oe)?Oe.name.text===He:!1}function oe(Oe,He){if(!J_(He,Ja(C),qV(r.scriptKind)))return!1;const Pe=Av(g,Oe.pos);if(!(Pe!=null&&Pe.length))return!1;const We=IUt(He);return Ft(Pe,ze=>We.test(g.substring(ze.pos,ze.end)))}function pe(Oe){switch(Oe.kind){case 224:{const He=Oe.operand;return lI(He)||ot(He)&&c7(He.escapedText)}case 112:case 97:case 106:case 15:case 228:return!0;case 80:{const He=Oe.escapedText;return qe(He)||c7(He)}}return lI(Oe)}function de(Oe){if(xl(Oe)&&!Uc(Oe,21,r)||wp(Oe)||!Oe.body)return;const Pe=T.getSignatureFromDeclaration(Oe);if(!Pe)return;const We=T.getTypePredicateOfSignature(Pe);if(We!=null&&We.type){const $e=Te(We);if($e){H($e,ue(Oe));return}}const ze=T.getReturnTypeOfSignature(Pe);if(X(ze))return;const Nt=ke(ze);Nt&&H(Nt,ue(Oe))}function ue(Oe){const He=Uc(Oe,22,r);return He?He.end:Oe.parameters.end}function Ie(Oe){const He=T.getSignatureFromDeclaration(Oe);if(He)for(let Pe=0;Pe<Oe.parameters.length&&Pe<He.parameters.length;++Pe){const We=Oe.parameters[Pe];if(!se(We)||dd(We))continue;const Nt=we(He.parameters[Pe]);Nt&&H(Nt,We.questionToken?We.questionToken.end:We.name.end)}}function we(Oe){const He=Oe.valueDeclaration;if(!He||!$s(He))return;const Pe=T.getTypeOfSymbolAtLocation(Oe,He);if(!X(Pe))return ke(Pe)}function he(Oe){const Pe=_D();return z6(We=>{const ze=T.typeToTypeNode(Oe,void 0,71286784);j.assertIsDefined(ze,"should always get typenode"),Pe.writeNode(4,ze,r,We)})}function Ae(Oe){const Pe=_D();return z6(We=>{const ze=T.typePredicateToTypePredicateNode(Oe,void 0,71286784);j.assertIsDefined(ze,"should always get typePredicateNode"),Pe.writeNode(4,ze,r,We)})}function ke(Oe){if(!FOe(p))return he(Oe);const Pe=T.typeToTypeNode(Oe,void 0,71286784);return j.assertIsDefined(Pe,"should always get typeNode"),De(Pe)}function Te(Oe){if(!FOe(p))return Ae(Oe);const Pe=T.typePredicateToTypePredicateNode(Oe,void 0,71286784);return j.assertIsDefined(Pe,"should always get typenode"),De(Pe)}function De(Oe){const He=[];return Pe(Oe),He;function Pe($e){var et,Me;if(!$e)return;const ut=xa($e.kind);if(ut){He.push({text:ut});return}if(lI($e)){He.push({text:Nt($e)});return}switch($e.kind){case 80:j.assertNode($e,ot);const wt=Fr($e),Et=$e.symbol&&$e.symbol.declarations&&$e.symbol.declarations.length&&No($e.symbol.declarations[0]);Et?He.push(Ne(wt,Et)):He.push({text:wt});break;case 166:j.assertNode($e,o_),Pe($e.left),He.push({text:"."}),Pe($e.right);break;case 182:j.assertNode($e,HP),$e.assertsModifier&&He.push({text:"asserts "}),Pe($e.parameterName),$e.type&&(He.push({text:" is "}),Pe($e.type));break;case 183:j.assertNode($e,qp),Pe($e.typeName),$e.typeArguments&&(He.push({text:"<"}),ze($e.typeArguments,", "),He.push({text:">"}));break;case 168:j.assertNode($e,$l),$e.modifiers&&ze($e.modifiers," "),Pe($e.name),$e.constraint&&(He.push({text:" extends "}),Pe($e.constraint)),$e.default&&(He.push({text:" = "}),Pe($e.default));break;case 169:j.assertNode($e,$s),$e.modifiers&&ze($e.modifiers," "),$e.dotDotDotToken&&He.push({text:"..."}),Pe($e.name),$e.questionToken&&He.push({text:"?"}),$e.type&&(He.push({text:": "}),Pe($e.type));break;case 185:j.assertNode($e,v3),He.push({text:"new "}),We($e),He.push({text:" => "}),Pe($e.type);break;case 186:j.assertNode($e,tD),He.push({text:"typeof "}),Pe($e.exprName),$e.typeArguments&&(He.push({text:"<"}),ze($e.typeArguments,", "),He.push({text:">"}));break;case 187:j.assertNode($e,a_),He.push({text:"{"}),$e.members.length&&(He.push({text:" "}),ze($e.members,"; "),He.push({text:" "})),He.push({text:"}"});break;case 188:j.assertNode($e,hH),Pe($e.elementType),He.push({text:"[]"});break;case 189:j.assertNode($e,jP),He.push({text:"["}),ze($e.elements,", "),He.push({text:"]"});break;case 202:j.assertNode($e,b3),$e.dotDotDotToken&&He.push({text:"..."}),Pe($e.name),$e.questionToken&&He.push({text:"?"}),He.push({text:": "}),Pe($e.type);break;case 190:j.assertNode($e,vX),Pe($e.type),He.push({text:"?"});break;case 191:j.assertNode($e,bX),He.push({text:"..."}),Pe($e.type);break;case 192:j.assertNode($e,fx),ze($e.types," | ");break;case 193:j.assertNode($e,zP),ze($e.types," & ");break;case 194:j.assertNode($e,iD),Pe($e.checkType),He.push({text:" extends "}),Pe($e.extendsType),He.push({text:" ? "}),Pe($e.trueType),He.push({text:" : "}),Pe($e.falseType);break;case 195:j.assertNode($e,DI),He.push({text:"infer "}),Pe($e.typeParameter);break;case 196:j.assertNode($e,EI),He.push({text:"("}),Pe($e.type),He.push({text:")"});break;case 198:j.assertNode($e,t2),He.push({text:`${xa($e.operator)} `}),Pe($e.type);break;case 199:j.assertNode($e,nD),Pe($e.objectType),He.push({text:"["}),Pe($e.indexType),He.push({text:"]"});break;case 200:j.assertNode($e,II),He.push({text:"{ "}),$e.readonlyToken&&($e.readonlyToken.kind===40?He.push({text:"+"}):$e.readonlyToken.kind===41&&He.push({text:"-"}),He.push({text:"readonly "})),He.push({text:"["}),Pe($e.typeParameter),$e.nameType&&(He.push({text:" as "}),Pe($e.nameType)),He.push({text:"]"}),$e.questionToken&&($e.questionToken.kind===40?He.push({text:"+"}):$e.questionToken.kind===41&&He.push({text:"-"}),He.push({text:"?"})),He.push({text:": "}),$e.type&&Pe($e.type),He.push({text:"; }"});break;case 201:j.assertNode($e,bS),Pe($e.literal);break;case 184:j.assertNode($e,Hy),We($e),He.push({text:" => "}),Pe($e.type);break;case 205:j.assertNode($e,V1),$e.isTypeOf&&He.push({text:"typeof "}),He.push({text:"import("}),Pe($e.argument),$e.assertions&&(He.push({text:", { assert: "}),ze($e.assertions.assertClause.elements,", "),He.push({text:" }"})),He.push({text:")"}),$e.qualifier&&(He.push({text:"."}),Pe($e.qualifier)),$e.typeArguments&&(He.push({text:"<"}),ze($e.typeArguments,", "),He.push({text:">"}));break;case 171:j.assertNode($e,O_),(et=$e.modifiers)!=null&&et.length&&(ze($e.modifiers," "),He.push({text:" "})),Pe($e.name),$e.questionToken&&He.push({text:"?"}),$e.type&&(He.push({text:": "}),Pe($e.type));break;case 181:j.assertNode($e,eD),He.push({text:"["}),ze($e.parameters,", "),He.push({text:"]"}),$e.type&&(He.push({text:": "}),Pe($e.type));break;case 173:j.assertNode($e,W1),(Me=$e.modifiers)!=null&&Me.length&&(ze($e.modifiers," "),He.push({text:" "})),Pe($e.name),$e.questionToken&&He.push({text:"?"}),We($e),$e.type&&(He.push({text:": "}),Pe($e.type));break;case 179:j.assertNode($e,VP),We($e),$e.type&&(He.push({text:": "}),Pe($e.type));break;case 207:j.assertNode($e,CS),He.push({text:"["}),ze($e.elements,", "),He.push({text:"]"});break;case 206:j.assertNode($e,dm),He.push({text:"{"}),$e.elements.length&&(He.push({text:" "}),ze($e.elements,", "),He.push({text:" "})),He.push({text:"}"});break;case 208:j.assertNode($e,ec),Pe($e.name);break;case 224:j.assertNode($e,n2),He.push({text:xa($e.operator)}),Pe($e.operand);break;case 203:j.assertNode($e,REe),Pe($e.head),$e.templateSpans.forEach(Pe);break;case 16:j.assertNode($e,FP),He.push({text:Nt($e)});break;case 204:j.assertNode($e,fle),Pe($e.type),Pe($e.literal);break;case 17:j.assertNode($e,cle),He.push({text:Nt($e)});break;case 18:j.assertNode($e,pX),He.push({text:Nt($e)});break;case 197:j.assertNode($e,C3),He.push({text:"this"});break;default:j.failBadSyntaxKind($e)}}function We($e){$e.typeParameters&&(He.push({text:"<"}),ze($e.typeParameters,", "),He.push({text:">"})),He.push({text:"("}),ze($e.parameters,", "),He.push({text:")"})}function ze($e,et){$e.forEach((Me,ut)=>{ut>0&&He.push({text:et}),Pe(Me)})}function Nt($e){switch($e.kind){case 11:return b===0?`'${Vy($e.text,39)}'`:`"${Vy($e.text,34)}"`;case 16:case 17:case 18:{const et=$e.rawText??nce(Vy($e.text,96));switch($e.kind){case 16:return"`"+et+"${";case 17:return"}"+et+"${";case 18:return"}"+et+"`"}}}return $e.text}}function qe(Oe){return Oe==="undefined"}function se(Oe){if((Qk(Oe)||_s(Oe)&&$6(Oe))&&Oe.initializer){const He=Dc(Oe.initializer);return!(pe(He)||rD(He)||Aa(He)||Hk(He))}return!0}function Ne(Oe,He){const Pe=He.getSourceFile();return{text:Oe,span:f_(He,Pe),file:Pe.fileName}}}var S2={};c(S2,{getDocCommentTemplateAtPosition:()=>zUt,getJSDocParameterNameCompletionDetails:()=>jUt,getJSDocParameterNameCompletions:()=>HUt,getJSDocTagCompletionDetails:()=>Jdt,getJSDocTagCompletions:()=>VUt,getJSDocTagNameCompletionDetails:()=>WUt,getJSDocTagNameCompletions:()=>BUt,getJsDocCommentsFromDeclarations:()=>AUt,getJsDocTagsFromDeclarations:()=>RUt});var Hdt=["abstract","access","alias","argument","async","augments","author","borrows","callback","class","classdesc","constant","constructor","constructs","copyright","default","deprecated","description","emits","enum","event","example","exports","extends","external","field","file","fileoverview","fires","function","generator","global","hideconstructor","host","ignore","implements","import","inheritdoc","inner","instance","interface","kind","lends","license","link","linkcode","linkplain","listens","member","memberof","method","mixes","module","name","namespace","overload","override","package","param","private","prop","property","protected","public","readonly","requires","returns","satisfies","see","since","static","summary","template","this","throws","todo","tutorial","type","typedef","var","variation","version","virtual","yields"],jdt,zdt;function AUt(t,r){const a=[];return Zfe(t,u=>{for(const h of MUt(u)){const p=z0(h)&&h.tags&&Fe(h.tags,C=>C.kind===327&&(C.tagName.escapedText==="inheritDoc"||C.tagName.escapedText==="inheritdoc"));if(h.comment===void 0&&!p||z0(h)&&u.kind!==346&&u.kind!==338&&h.tags&&h.tags.some(C=>C.kind===346||C.kind===338)&&!h.tags.some(C=>C.kind===341||C.kind===342))continue;let g=h.comment?dO(h.comment,r):[];p&&p.comment&&(g=g.concat(dO(p.comment,r))),Yt(a,g,OUt)||a.push(g)}}),Dr(ye(a,[tO()]))}function OUt(t,r){return i_(t,r,(a,u)=>a.kind===u.kind&&a.text===u.text)}function MUt(t){switch(t.kind){case 341:case 348:return[t];case 338:case 346:return[t,t.parent];case 323:if(D3(t.parent))return[t.parent.parent];default:return jae(t)}}function RUt(t,r){const a=[];return Zfe(t,u=>{const h=Wk(u);if(!(h.some(p=>p.kind===346||p.kind===338)&&!h.some(p=>p.kind===341||p.kind===342)))for(const p of h)a.push({name:p.tagName.text,text:$dt(p,r)}),a.push(...Udt(qdt(p),r))}),a}function Udt(t,r){return Rr(t,a=>Js([{name:a.tagName.text,text:$dt(a,r)}],Udt(qdt(a),r)))}function qdt(t){return g8(t)&&t.isNameFirst&&t.typeExpression&&OI(t.typeExpression.type)?t.typeExpression.type.jsDocPropertyTags:void 0}function dO(t,r){return typeof t=="string"?[pm(t)]:Rr(t,a=>a.kind===321?[pm(a.text)]:SAe(a,r))}function $dt(t,r){const{comment:a,kind:u}=t,h=FUt(u);switch(u){case 349:const C=t.typeExpression;return C?p(C):a===void 0?void 0:dO(a,r);case 329:return p(t.class);case 328:return p(t.class);case 345:const b=t,T=[];if(b.constraint&&T.push(pm(b.constraint.getText())),P(b.typeParameters)){P(T)&&T.push(bu());const N=b.typeParameters[b.typeParameters.length-1];O(b.typeParameters,R=>{T.push(h(R.getText())),N!==R&&T.push(Sh(28),bu())})}return a&&T.push(bu(),...dO(a,r)),T;case 344:case 350:return p(t.typeExpression);case 346:case 338:case 348:case 341:case 347:const{name:E}=t;return E?p(E):a===void 0?void 0:dO(a,r);default:return a===void 0?void 0:dO(a,r)}function p(C){return g(C.getText())}function g(C){return a?C.match(/^https?$/)?[pm(C),...dO(a,r)]:[h(C),bu(),...dO(a,r)]:[pm(C)]}}function FUt(t){switch(t){case 341:return gAe;case 348:return yAe;case 345:return bAe;case 346:case 338:return vAe;default:return pm}}function BUt(){return jdt||(jdt=qt(Hdt,t=>({name:t,kind:"keyword",kindModifiers:"",sortText:CA.SortText.LocationPriority})))}var WUt=Jdt;function VUt(){return zdt||(zdt=qt(Hdt,t=>({name:`@${t}`,kind:"keyword",kindModifiers:"",sortText:CA.SortText.LocationPriority})))}function Jdt(t){return{name:t,kind:"",kindModifiers:"",displayParts:[pm(t)],documentation:w,tags:void 0,codeActions:void 0}}function HUt(t){if(!ot(t.name))return w;const r=t.name.text,a=t.parent,u=a.parent;return Ho(u)?es(u.parameters,h=>{if(!ot(h.name))return;const p=h.name.text;if(!(a.tags.some(g=>g!==t&&Qm(g)&&ot(g.name)&&g.name.escapedText===p)||r!==void 0&&!so(p,r)))return{name:p,kind:"parameter",kindModifiers:"",sortText:CA.SortText.LocationPriority}}):[]}function jUt(t){return{name:t,kind:"parameter",kindModifiers:"",displayParts:[pm(t)],documentation:w,tags:void 0,codeActions:void 0}}function zUt(t,r,a,u){const h=Rs(r,a),p=Qi(h,z0);if(p&&(p.comment!==void 0||P(p.tags)))return;const g=h.getStart(r);if(!p&&g<a)return;const C=JUt(h,u);if(!C)return;const{commentOwner:b,parameters:T,hasReturn:E}=C,N=jp(b)&&b.jsDoc?b.jsDoc:void 0,R=rl(N);if(b.getStart(r)<a||R&&p&&R!==p)return;const F=UUt(r,a),H=Uv(r.fileName),U=(T?qUt(T||[],H,F,t):"")+(E?$Ut(F,t):""),q="/**",X=" */",Z=P(Wk(b))>0;if(U&&!Z){const Q=q+t+F+" * ",re=g===a?t+F:"";return{newText:Q+t+U+F+X+re,caretOffset:Q.length}}return{newText:q+X,caretOffset:3}}function UUt(t,r){const{text:a}=t,u=Wg(r,t);let h=u;for(;h<=r&&k1(a.charCodeAt(h));h++);return a.slice(u,h)}function qUt(t,r,a,u){return t.map(({name:h,dotDotDotToken:p},g)=>{const C=h.kind===80?h.text:"param"+g;return`${a} * @param ${r?p?"{...any} ":"{any} ":""}${C}${u}`}).join("")}function $Ut(t,r){return`${t} * @returns${r}`}function JUt(t,r){return z2e(t,a=>BOe(a,r))}function BOe(t,r){switch(t.kind){case 262:case 218:case 174:case 176:case 173:case 219:const a=t;return{commentOwner:t,parameters:a.parameters,hasReturn:LY(a,r)};case 303:return BOe(t.initializer,r);case 263:case 264:case 266:case 306:case 265:return{commentOwner:t};case 171:{const h=t;return h.type&&Hy(h.type)?{commentOwner:t,parameters:h.type.parameters,hasReturn:LY(h.type,r)}:{commentOwner:t}}case 243:{const p=t.declarationList.declarations,g=p.length===1&&p[0].initializer?GUt(p[0].initializer):void 0;return g?{commentOwner:t,parameters:g.parameters,hasReturn:LY(g,r)}:{commentOwner:t}}case 307:return"quit";case 267:return t.parent.kind===267?void 0:{commentOwner:t};case 244:return BOe(t.expression,r);case 226:{const h=t;return Bu(h)===0?"quit":Ho(h.right)?{commentOwner:t,parameters:h.right.parameters,hasReturn:LY(h.right,r)}:{commentOwner:t}}case 172:const u=t.initializer;if(u&&(ml(u)||xl(u)))return{commentOwner:t,parameters:u.parameters,hasReturn:LY(u,r)}}}function LY(t,r){return!!(r!=null&&r.generateReturnInDocTemplate)&&(Hy(t)||xl(t)&&jt(t.body)||Qc(t)&&t.body&&Xo(t.body)&&!!uS(t.body,a=>a))}function GUt(t){for(;t.kind===217;)t=t.expression;switch(t.kind){case 218:case 219:return t;case 231:return Fe(t.members,iu)}}var Q_e={};c(Q_e,{mapCode:()=>KUt});function KUt(t,r,a,u,h,p){return er.ChangeTracker.with({host:u,formatContext:h,preferences:p},g=>{const C=r.map(T=>XUt(t,T)),b=a&&Dr(a);for(const T of C)QUt(t,g,T,b)})}function XUt(t,r){const a=[{parse:()=>ZP("__mapcode_content_nodes.ts",r,t.languageVersion,!0,t.scriptKind),body:p=>p.statements},{parse:()=>ZP("__mapcode_class_content_nodes.ts",`class __class {
+${r}
+}`,t.languageVersion,!0,t.scriptKind),body:p=>p.statements[0].members}],u=[];for(const{parse:p,body:g}of a){const C=p(),b=g(C);if(b.length&&C.parseDiagnostics.length===0)return b;b.length&&u.push({sourceFile:C,body:b})}u.sort((p,g)=>p.sourceFile.parseDiagnostics.length-g.sourceFile.parseDiagnostics.length);const{body:h}=u[0];return h}function QUt(t,r,a,u){Od(a[0])||OT(a[0])?ZUt(t,r,a,u):YUt(t,r,a,u)}function ZUt(t,r,a,u){let h;if(!u||!u.length?h=Fe(t.statements,om(ss,zf)):h=O(u,g=>Qi(Rs(t,g.start),om(ss,zf))),!h)return;const p=h.members.find(g=>a.some(C=>PY(C,g)));if(p){const g=rt(h.members,C=>a.some(b=>PY(b,C)));O(a,Z_e),r.replaceNodeRangeWithNodes(t,p,g,a);return}O(a,Z_e),r.insertNodesAfter(t,h.members[h.members.length-1],a)}function YUt(t,r,a,u){if(!(u!=null&&u.length)){r.insertNodesAtEndOfFile(t,a,!1);return}for(const p of u){const g=Qi(Rs(t,p.start),C=>om(Xo,Os)(C)&&Ft(C.statements,b=>a.some(T=>PY(T,b))));if(g){const C=g.statements.find(b=>a.some(T=>PY(T,b)));if(C){const b=rt(g.statements,T=>a.some(E=>PY(E,T)));O(a,Z_e),r.replaceNodeRangeWithNodes(t,C,b,a);return}}}let h=t.statements;for(const p of u){const g=Qi(Rs(t,p.start),Xo);if(g){h=g.statements;break}}O(a,Z_e),r.insertNodesAfter(t,h[h.length-1],a)}function PY(t,r){var a,u,h,p,g,C;return t.kind!==r.kind?!1:t.kind===176?t.kind===r.kind:Bf(t)&&Bf(r)?t.name.getText()===r.name.getText():r2(t)&&r2(r)||gle(t)&&gle(r)?t.expression.getText()===r.expression.getText():s2(t)&&s2(r)?((a=t.initializer)==null?void 0:a.getText())===((u=r.initializer)==null?void 0:u.getText())&&((h=t.incrementor)==null?void 0:h.getText())===((p=r.incrementor)==null?void 0:p.getText())&&((g=t.condition)==null?void 0:g.getText())===((C=r.condition)==null?void 0:C.getText()):fI(t)&&fI(r)?t.expression.getText()===r.expression.getText()&&t.initializer.getText()===r.initializer.getText():_x(t)&&_x(r)?t.label.getText()===r.label.getText():t.getText()===r.getText()}function Z_e(t){Gdt(t),t.parent=void 0}function Gdt(t){t.pos=-1,t.end=-1,t.forEachChild(Gdt)}var w2={};c(w2,{compareImportsOrRequireStatements:()=>$Oe,compareModuleSpecifiers:()=>yqt,getImportDeclarationInsertionIndex:()=>_qt,getImportSpecifierInsertionIndex:()=>pqt,getNamedImportSpecifierComparerWithDetection:()=>hqt,getOrganizeImportsStringComparerWithDetection:()=>fqt,organizeImports:()=>eqt,testCoalesceExports:()=>gqt,testCoalesceImports:()=>mqt});function eqt(t,r,a,u,h,p){const g=er.ChangeTracker.fromContext({host:a,formatContext:r,preferences:h}),C=p==="SortAndCombine"||p==="All",b=C,T=p==="RemoveUnused"||p==="All",E=t.statements.filter(du),N=VOe(t,E),{comparersToTest:R,typeOrdersToTest:F}=WOe(h),H=R[0],U={moduleSpecifierComparer:typeof h.organizeImportsIgnoreCase=="boolean"?H:void 0,namedImportComparer:typeof h.organizeImportsIgnoreCase=="boolean"?H:void 0,typeOrder:h.organizeImportsTypeOrder};if(typeof h.organizeImportsIgnoreCase!="boolean"&&({comparer:U.moduleSpecifierComparer}=Qdt(N,R)),!U.typeOrder||typeof h.organizeImportsIgnoreCase!="boolean"){const Q=UOe(E,R,F);if(Q){const{namedImportComparer:re,typeOrder:oe}=Q;U.namedImportComparer=U.namedImportComparer??re,U.typeOrder=U.typeOrder??oe}}N.forEach(Q=>X(Q,U)),p!=="RemoveUnused"&&iqt(t).forEach(Q=>Z(Q,U.namedImportComparer));for(const Q of t.statements.filter(Vh)){if(!Q.body)continue;if(VOe(t,Q.body.statements.filter(du)).forEach(oe=>X(oe,U)),p!=="RemoveUnused"){const oe=Q.body.statements.filter(Ju);Z(oe,U.namedImportComparer)}}return g.getChanges();function q(Q,re){if(P(Q)===0)return;tr(Q[0],1024);const oe=b?qs(Q,ue=>AY(ue.moduleSpecifier)):[Q],pe=C?Mu(oe,(ue,Ie)=>jOe(ue[0].moduleSpecifier,Ie[0].moduleSpecifier,U.moduleSpecifierComparer??H)):oe,de=Rr(pe,ue=>AY(ue[0].moduleSpecifier)||ue[0].moduleSpecifier===void 0?re(ue):ue);if(de.length===0)g.deleteNodes(t,Q,{leadingTriviaOption:er.LeadingTriviaOption.Exclude,trailingTriviaOption:er.TrailingTriviaOption.Include},!0);else{const ue={leadingTriviaOption:er.LeadingTriviaOption.Exclude,trailingTriviaOption:er.TrailingTriviaOption.Include,suffix:rC(a,r.options)};g.replaceNodeWithNodes(t,Q[0],de,ue);const Ie=g.nodeHasTrailingComment(t,Q[0],ue);g.deleteNodes(t,Q.slice(1),{trailingTriviaOption:er.TrailingTriviaOption.Include},Ie)}}function X(Q,re){const oe=re.moduleSpecifierComparer??H,pe=re.namedImportComparer??H,de=re.typeOrder??"last",ue=Yj({organizeImportsTypeOrder:de},pe);q(Q,we=>(T&&(we=nqt(we,t,u)),b&&(we=Kdt(we,oe,ue,t)),C&&(we=Mu(we,(he,Ae)=>$Oe(he,Ae,oe))),we))}function Z(Q,re){const oe=Yj(h,re);q(Q,pe=>Xdt(pe,oe))}}function WOe(t){return{comparersToTest:typeof t.organizeImportsIgnoreCase=="boolean"?[qOe(t,t.organizeImportsIgnoreCase)]:[qOe(t,!0),qOe(t,!1)],typeOrdersToTest:t.organizeImportsTypeOrder?[t.organizeImportsTypeOrder]:["last","inline","first"]}}function VOe(t,r){const a=Ov(t.languageVersion,!1,t.languageVariant),u=[];let h=0;for(const p of r)u[h]&&tqt(t,p,a)&&h++,u[h]||(u[h]=[]),u[h].push(p);return u}function tqt(t,r,a){const u=r.getFullStart(),h=r.getStart();a.setText(t.text,u,h-u);let p=0;for(;a.getTokenStart()<h;)if(a.scan()===4&&(p++,p>=2))return!0;return!1}function iqt(t){const r=[],a=t.statements,u=P(a);let h=0,p=0;for(;h<u;)if(Ju(a[h])){r[p]===void 0&&(r[p]=[]);const g=a[h];if(g.moduleSpecifier)r[p].push(g),h++;else{for(;h<u&&Ju(a[h]);)r[p].push(a[h++]);p++}}else h++;return Rr(r,g=>VOe(t,g))}function nqt(t,r,a){const u=a.getTypeChecker(),h=a.getCompilerOptions(),p=u.getJsxNamespace(r),g=u.getJsxFragmentFactory(r),C=!!(r.transformFlags&2),b=[];for(const E of t){const{importClause:N,moduleSpecifier:R}=E;if(!N){b.push(E);continue}let{name:F,namedBindings:H}=N;if(F&&!T(F)&&(F=void 0),H)if(Jv(H))T(H.name)||(H=void 0);else{const U=H.elements.filter(q=>T(q.name));U.length<H.elements.length&&(H=U.length?G.updateNamedImports(H,U):void 0)}F||H?b.push(Zj(E,F,H)):oqt(r,R)&&(r.isDeclarationFile?b.push(G.createImportDeclaration(E.modifiers,void 0,R,void 0)):b.push(E))}return b;function T(E){return C&&(E.text===p||g&&E.text===g)&&yhe(h.jsx)||kl.Core.isSymbolReferencedInFile(E,u,r)}}function AY(t){return t!==void 0&&Bc(t)?t.text:void 0}function rqt(t){let r;const a={defaultImports:[],namespaceImports:[],namedImports:[]},u={defaultImports:[],namespaceImports:[],namedImports:[]};for(const h of t){if(h.importClause===void 0){r=r||h;continue}const p=h.importClause.isTypeOnly?a:u,{name:g,namedBindings:C}=h.importClause;g&&p.defaultImports.push(h),C&&(Jv(C)?p.namespaceImports.push(h):p.namedImports.push(h))}return{importWithoutClause:r,typeOnlyImports:a,regularImports:u}}function Kdt(t,r,a,u){if(t.length===0)return t;const h=ra(t,g=>{if(g.attributes){let C=g.attributes.token+" ";for(const b of Mu(g.attributes.elements,(T,E)=>sh(T.name.text,E.name.text)))C+=b.name.text+":",C+=Bc(b.value)?`"${b.value.text}"`:b.value.getText()+" ";return C}return""}),p=[];for(const g in h){const C=h[g],{importWithoutClause:b,typeOnlyImports:T,regularImports:E}=rqt(C);b&&p.push(b);for(const N of[E,T]){const R=N===T,{defaultImports:F,namespaceImports:H,namedImports:U}=N;if(!R&&F.length===1&&H.length===1&&U.length===0){const ue=F[0];p.push(Zj(ue,ue.importClause.name,H[0].importClause.namedBindings));continue}const q=Mu(H,(ue,Ie)=>r(ue.importClause.namedBindings.name.text,Ie.importClause.namedBindings.name.text));for(const ue of q)p.push(Zj(ue,void 0,ue.importClause.namedBindings));const X=Ra(F),Z=Ra(U),Q=X??Z;if(!Q)continue;let re;const oe=[];if(F.length===1)re=F[0].importClause.name;else for(const ue of F)oe.push(G.createImportSpecifier(!1,G.createIdentifier("default"),ue.importClause.name));oe.push(...aqt(U));const pe=G.createNodeArray(Mu(oe,a),Z==null?void 0:Z.importClause.namedBindings.elements.hasTrailingComma),de=pe.length===0?re?void 0:G.createNamedImports(w):Z?G.updateNamedImports(Z.importClause.namedBindings,pe):G.createNamedImports(pe);u&&de&&(Z!=null&&Z.importClause.namedBindings)&&!wI(Z.importClause.namedBindings,u)&&tr(de,2),R&&re&&de?(p.push(Zj(Q,re,void 0)),p.push(Zj(Z??Q,void 0,de))):p.push(Zj(Q,re,de))}}return p}function Xdt(t,r){if(t.length===0)return t;const{exportWithoutClause:a,namedExports:u,typeOnlyExports:h}=g(t),p=[];a&&p.push(a);for(const C of[u,h]){if(C.length===0)continue;const b=[];b.push(...Rr(C,N=>N.exportClause&&Xm(N.exportClause)?N.exportClause.elements:w));const T=Mu(b,r),E=C[0];p.push(G.updateExportDeclaration(E,E.modifiers,E.isTypeOnly,E.exportClause&&(Xm(E.exportClause)?G.updateNamedExports(E.exportClause,T):G.updateNamespaceExport(E.exportClause,E.exportClause.name)),E.moduleSpecifier,E.attributes))}return p;function g(C){let b;const T=[],E=[];for(const N of C)N.exportClause===void 0?b=b||N:N.isTypeOnly?E.push(N):T.push(N);return{exportWithoutClause:b,namedExports:T,typeOnlyExports:E}}}function Zj(t,r,a){return G.updateImportDeclaration(t,t.modifiers,G.updateImportClause(t.importClause,t.importClause.isTypeOnly,r,a),t.moduleSpecifier,t.attributes)}function HOe(t,r,a,u){switch(u==null?void 0:u.organizeImportsTypeOrder){case"first":return Ak(r.isTypeOnly,t.isTypeOnly)||a(t.name.text,r.name.text);case"inline":return a(t.name.text,r.name.text);default:return Ak(t.isTypeOnly,r.isTypeOnly)||a(t.name.text,r.name.text)}}function jOe(t,r,a){const u=t===void 0?void 0:AY(t),h=r===void 0?void 0:AY(r);return Ak(u===void 0,h===void 0)||Ak(Gd(u),Gd(h))||a(u,h)}function sqt(t){return t.map(r=>AY(zOe(r))||"")}function zOe(t){var r;switch(t.kind){case 271:return(r=Jr(t.moduleReference,eC))==null?void 0:r.expression;case 272:return t.moduleSpecifier;case 243:return t.declarationList.declarations[0].initializer.arguments[0]}}function oqt(t,r){const a=Ha(r)&&r.text;return Oo(a)&&Ft(t.moduleAugmentations,u=>Ha(u)&&u.text===a)}function aqt(t){return Rr(t,r=>qt(cqt(r),a=>a.name&&a.propertyName&&FT(a.name)===FT(a.propertyName)?G.updateImportSpecifier(a,a.isTypeOnly,void 0,a.name):a))}function cqt(t){var r;return(r=t.importClause)!=null&&r.namedBindings&&j1(t.importClause.namedBindings)?t.importClause.namedBindings.elements:void 0}function Qdt(t,r){const a=[];return t.forEach(u=>{a.push(sqt(u))}),Ydt(a,r)}function UOe(t,r,a){let u=!1;const h=t.filter(b=>{var T,E;const N=(E=Jr((T=b.importClause)==null?void 0:T.namedBindings,j1))==null?void 0:E.elements;return N!=null&&N.length?(!u&&N.some(R=>R.isTypeOnly)&&N.some(R=>!R.isTypeOnly)&&(u=!0),!0):!1});if(h.length===0)return;const p=h.map(b=>{var T,E;return(E=Jr((T=b.importClause)==null?void 0:T.namedBindings,j1))==null?void 0:E.elements}).filter(b=>b!==void 0);if(!u||a.length===0){const b=Ydt(p.map(T=>T.map(E=>E.name.text)),r);return{namedImportComparer:b.comparer,typeOrder:a.length===1?a[0]:void 0,isSorted:b.isSorted}}const g={first:1/0,last:1/0,inline:1/0},C={first:r[0],last:r[0],inline:r[0]};for(const b of r){const T={first:0,last:0,inline:0};for(const E of p)for(const N of a)T[N]=(T[N]??0)+Zdt(E,(R,F)=>HOe(R,F,b,{organizeImportsTypeOrder:N}));for(const E of a){const N=E;T[N]<g[N]&&(g[N]=T[N],C[N]=b)}}e:for(const b of a){const T=b;for(const E of a)if(g[E]<g[T])continue e;return{namedImportComparer:C[T],typeOrder:T,isSorted:g[T]===0}}return{namedImportComparer:C.last,typeOrder:"last",isSorted:g.last===0}}function Zdt(t,r){let a=0;for(let u=0;u<t.length-1;u++)r(t[u],t[u+1])>0&&a++;return a}function Ydt(t,r){let a,u=1/0;for(const h of r){let p=0;for(const g of t){if(g.length<=1)continue;const C=Zdt(g,h);p+=C}p<u&&(u=p,a=h)}return{comparer:a??r[0],isSorted:u===0}}function lqt(t,r){return _l(eft(t),eft(r))}function eft(t){var r;switch(t.kind){case 272:return t.importClause?t.importClause.isTypeOnly?1:((r=t.importClause.namedBindings)==null?void 0:r.kind)===274?2:t.importClause.name?3:4:0;case 271:return 5;case 243:return 6}}function OY(t){return t?fxe:sh}function uqt(t,r){const a=dqt(r),u=r.organizeImportsCaseFirst??!1,h=r.organizeImportsNumericCollation??!1,p=r.organizeImportsAccentCollation??!0,g=t?p?"accent":"base":p?"variant":"case";return new Intl.Collator(a,{usage:"sort",caseFirst:u||"false",sensitivity:g,numeric:h}).compare}function dqt(t){let r=t.organizeImportsLocale;r==="auto"&&(r=hxe()),r===void 0&&(r="en");const a=Intl.Collator.supportedLocalesOf(r);return a.length?a[0]:"en"}function qOe(t,r){return(t.organizeImportsCollation??"ordinal")==="unicode"?uqt(r,t):OY(r)}function fqt(t,r){return Qdt([t],WOe(r).comparersToTest)}function Yj(t,r){const a=r??OY(!!t.organizeImportsIgnoreCase);return(u,h)=>HOe(u,h,a,t)}function hqt(t,r,a){const{comparersToTest:u,typeOrdersToTest:h}=WOe(r),p=UOe([t],u,h);let g=Yj(r,u[0]),C;if(typeof r.organizeImportsIgnoreCase!="boolean"||!r.organizeImportsTypeOrder){if(p){const{namedImportComparer:b,typeOrder:T,isSorted:E}=p;C=E,g=Yj({organizeImportsTypeOrder:T},b)}else if(a){const b=UOe(a.statements.filter(du),u,h);if(b){const{namedImportComparer:T,typeOrder:E,isSorted:N}=b;C=N,g=Yj({organizeImportsTypeOrder:E},T)}}}return{specifierComparer:g,isSorted:C}}function _qt(t,r,a){const u=Jt(t,r,hl,(h,p)=>$Oe(h,p,a));return u<0?~u:u}function pqt(t,r,a){const u=Jt(t,r,hl,a);return u<0?~u:u}function $Oe(t,r,a){return jOe(zOe(t),zOe(r),a)||lqt(t,r)}function mqt(t,r,a,u){const h=OY(r),p=Yj({organizeImportsTypeOrder:u==null?void 0:u.organizeImportsTypeOrder},h);return Kdt(t,h,p,a)}function gqt(t,r,a){return Xdt(t,(h,p)=>HOe(h,p,OY(r),{organizeImportsTypeOrder:(a==null?void 0:a.organizeImportsTypeOrder)??"last"}))}function yqt(t,r,a){const u=OY(!!a);return jOe(t,r,u)}var Y_e={};c(Y_e,{collectElements:()=>vqt});function vqt(t,r){const a=[];return bqt(t,r,a),Cqt(t,a),a.sort((u,h)=>u.textSpan.start-h.textSpan.start),a}function bqt(t,r,a){let u=40,h=0;const p=[...t.statements,t.endOfFileToken],g=p.length;for(;h<g;){for(;h<g&&!cP(p[h]);)C(p[h]),h++;if(h===g)break;const b=h;for(;h<g&&cP(p[h]);)C(p[h]),h++;const T=h-1;T!==b&&a.push(MY(Uc(p[b],102,t).getStart(t),p[T].getEnd(),"imports"))}function C(b){var T;if(u===0)return;r.throwIfCancellationRequested(),(Wf(b)||Lu(b)||Og(b)||T1(b)||b.kind===1)&&ift(b,t,r,a),Ho(b)&&ur(b.parent)&&Nr(b.parent.left)&&ift(b.parent.left,t,r,a),(Xo(b)||H1(b))&&JOe(b.statements.end,t,r,a),(ss(b)||zf(b))&&JOe(b.members.end,t,r,a);const E=wqt(b,t);E&&a.push(E),u--,la(b)?(u++,C(b.expression),u--,b.arguments.forEach(C),(T=b.typeArguments)==null||T.forEach(C)):r2(b)&&b.elseStatement&&r2(b.elseStatement)?(C(b.expression),C(b.thenStatement),u++,C(b.elseStatement),u--):b.forEachChild(C),u++}}function Cqt(t,r){const a=[],u=t.getLineStarts();for(const h of u){const p=t.getLineEndOfPosition(h),g=t.text.substring(h,p),C=tft(g);if(!(!C||kS(t,h)))if(C.isStart){const b=Fu(t.text.indexOf("//",h),p);a.push(wA(b,"region",b,!1,C.name||"#region"))}else{const b=a.pop();b&&(b.textSpan.length=p-b.textSpan.start,b.hintSpan.length=p-b.textSpan.start,r.push(b))}}}var Sqt=/^#(end)?region(.*)\r?$/;function tft(t){if(t=t.trimStart(),!so(t,"//"))return null;t=t.slice(2).trim();const r=Sqt.exec(t);if(r)return{isStart:!r[1],name:r[2].trim()}}function JOe(t,r,a,u){const h=Av(r.text,t);if(!h)return;let p=-1,g=-1,C=0;const b=r.getFullText();for(const{kind:E,pos:N,end:R}of h)switch(a.throwIfCancellationRequested(),E){case 2:const F=b.slice(N,R);if(tft(F)){T(),C=0;break}C===0&&(p=N),g=R,C++;break;case 3:T(),u.push(MY(N,R,"comment")),C=0;break;default:j.assertNever(E)}T();function T(){C>1&&u.push(MY(p,g,"comment"))}}function ift(t,r,a,u){RP(t)||JOe(t.pos,r,a,u)}function MY(t,r,a){return wA(Fu(t,r),a)}function wqt(t,r){switch(t.kind){case 241:if(Ho(t.parent))return xqt(t.parent,t,r);switch(t.parent.kind){case 246:case 249:case 250:case 248:case 245:case 247:case 254:case 299:return E(t.parent);case 258:const F=t.parent;if(F.tryBlock===t)return E(t.parent);if(F.finallyBlock===t){const H=Uc(F,98,r);if(H)return E(H)}default:return wA(f_(t,r),"code")}case 268:return E(t.parent);case 263:case 231:case 264:case 266:case 269:case 187:case 206:return E(t);case 189:return E(t,!1,!jP(t.parent),23);case 296:case 297:return N(t.statements);case 210:return T(t);case 209:return T(t,23);case 284:return p(t);case 288:return g(t);case 285:case 286:return C(t.attributes);case 228:case 15:return b(t);case 207:return E(t,!1,!ec(t.parent),23);case 219:return h(t);case 213:return u(t);case 217:return R(t);case 275:case 279:case 300:return a(t)}function a(F){if(!F.elements.length)return;const H=Uc(F,19,r),U=Uc(F,20,r);if(!(!H||!U||qm(H.pos,U.pos,r)))return epe(H,U,F,r,!1,!1)}function u(F){if(!F.arguments.length)return;const H=Uc(F,21,r),U=Uc(F,22,r);if(!(!H||!U||qm(H.pos,U.pos,r)))return epe(H,U,F,r,!1,!0)}function h(F){if(Xo(F.body)||c_(F.body)||qm(F.body.getFullStart(),F.body.getEnd(),r))return;const H=Fu(F.body.getFullStart(),F.body.getEnd());return wA(H,"code",f_(F))}function p(F){const H=Fu(F.openingElement.getStart(r),F.closingElement.getEnd()),U=F.openingElement.tagName.getText(r),q="<"+U+">...</"+U+">";return wA(H,"code",H,!1,q)}function g(F){const H=Fu(F.openingFragment.getStart(r),F.closingFragment.getEnd());return wA(H,"code",H,!1,"<>...</>")}function C(F){if(F.properties.length!==0)return MY(F.getStart(r),F.getEnd(),"code")}function b(F){if(!(F.kind===15&&F.text.length===0))return MY(F.getStart(r),F.getEnd(),"code")}function T(F,H=19){return E(F,!1,!jf(F.parent)&&!la(F.parent),H)}function E(F,H=!1,U=!0,q=19,X=q===19?20:24){const Z=Uc(t,q,r),Q=Uc(t,X,r);return Z&&Q&&epe(Z,Q,F,r,H,U)}function N(F){return F.length?wA(DS(F),"code"):void 0}function R(F){if(qm(F.getStart(),F.getEnd(),r))return;const H=Fu(F.getStart(),F.getEnd());return wA(H,"code",f_(F))}}function xqt(t,r,a){const u=kqt(t,r,a),h=Uc(r,20,a);return u&&h&&epe(u,h,t,a,t.kind!==219)}function epe(t,r,a,u,h=!1,p=!0){const g=Fu(p?t.getFullStart():t.getStart(u),r.getEnd());return wA(g,"code",f_(a,u),h)}function wA(t,r,a=t,u=!1,h="..."){return{textSpan:t,kind:r,hintSpan:a,bannerText:h,autoCollapse:u}}function kqt(t,r,a){if(mDe(t.parameters,a)){const u=Uc(t,21,a);if(u)return u}return Uc(r,19,a)}var RY={};c(RY,{getRenameInfo:()=>Tqt,nodeIsEligibleForRename:()=>rft});function Tqt(t,r,a,u){const h=CZ(R_(r,a));if(rft(h)){const p=Dqt(h,t.getTypeChecker(),r,t,u);if(p)return p}return tpe(k.You_cannot_rename_this_element)}function Dqt(t,r,a,u,h){const p=r.getSymbolAtLocation(t);if(!p){if(Bc(t)){const R=bZ(t,r);if(R&&(R.flags&128||R.flags&1048576&&Ce(R.types,F=>!!(F.flags&128))))return GOe(t.text,t.text,"string","",t,a)}else if(Sfe(t)){const R=uu(t);return GOe(R,R,"label","",t,a)}return}const{declarations:g}=p;if(!g||g.length===0)return;if(g.some(R=>Eqt(u,R)))return tpe(k.You_cannot_rename_elements_that_are_defined_in_the_standard_TypeScript_library);if(ot(t)&&t.escapedText==="default"&&p.parent&&p.parent.flags&1536)return;if(Bc(t)&&CV(t))return h.allowRenameOfImportPath?Nqt(t,a,p):void 0;const C=Iqt(a,p,r,h);if(C)return tpe(C);const b=NS.getSymbolKind(r,p,t),T=wAe(t)||cm(t)&&t.parent.kind===167?zm(Lg(t)):void 0,E=T||r.symbolToString(p),N=T||r.getFullyQualifiedName(p);return GOe(E,N,b,NS.getSymbolModifiers(r,p),t,a)}function Eqt(t,r){const a=r.getSourceFile();return t.isSourceFileDefaultLibrary(a)&&Xl(a.fileName,".d.ts")}function Iqt(t,r,a,u){if(!u.providePrefixAndSuffixTextForRename&&r.flags&2097152){const g=r.declarations&&Fe(r.declarations,C=>l_(C));g&&!g.propertyName&&(r=a.getAliasedSymbol(r))}const{declarations:h}=r;if(!h)return;const p=nft(t.path);if(p===void 0)return Ft(h,g=>Dj(g.getSourceFile().path))?k.You_cannot_rename_elements_that_are_defined_in_a_node_modules_folder:void 0;for(const g of h){const C=nft(g.getSourceFile().path);if(C){const b=Math.min(p.length,C.length);for(let T=0;T<=b;T++)if(sh(p[T],C[T])!==0)return k.You_cannot_rename_elements_that_are_defined_in_another_node_modules_folder}}}function nft(t){const r=af(t),a=r.lastIndexOf("node_modules");if(a!==-1)return r.slice(0,a+2)}function Nqt(t,r,a){if(!Gd(t.text))return tpe(k.You_cannot_rename_a_module_via_a_global_import);const u=a.declarations&&Fe(a.declarations,Os);if(!u)return;const h=su(t.text,"/index")||su(t.text,"/index.js")?void 0:mxe(s_(u.fileName),"/index"),p=h===void 0?u.fileName:h,g=h===void 0?"module":"directory",C=t.text.lastIndexOf("/")+1,b=Ff(t.getStart(r)+1+C,t.text.length-C);return{canRename:!0,fileToRename:p,kind:g,displayName:p,fullDisplayName:t.text,kindModifiers:"",triggerSpan:b}}function GOe(t,r,a,u,h,p){return{canRename:!0,fileToRename:void 0,kind:a,displayName:t,fullDisplayName:r,kindModifiers:u,triggerSpan:Lqt(h,p)}}function tpe(t){return{canRename:!1,localizedErrorMessage:Bo(t)}}function Lqt(t,r){let a=t.getStart(r),u=t.getWidth(r);return Bc(t)&&(a+=1,u-=2),Ff(a,u)}function rft(t){switch(t.kind){case 80:case 81:case 11:case 15:case 110:return!0;case 9:return mZ(t);default:return!1}}var ez={};c(ez,{getArgumentInfoForCompletions:()=>Rqt,getSignatureHelpItems:()=>Pqt});function Pqt(t,r,a,u,h){const p=t.getTypeChecker(),g=hj(r,a);if(!g)return;const C=!!u&&u.kind==="characterTyped";if(C&&(lA(r,a,g)||kS(r,a)))return;const b=!!u&&u.kind==="invoked",T=Kqt(g,a,r,p,b);if(!T)return;h.throwIfCancellationRequested();const E=Aqt(T,p,r,g,C);return h.throwIfCancellationRequested(),E?p.runWithCancellationToken(h,N=>E.kind===0?fft(E.candidates,E.resolvedSignature,T,r,N):Qqt(E.symbol,T,r,N)):r_(r)?Mqt(T,t,h):void 0}function Aqt({invocation:t,argumentCount:r},a,u,h,p){switch(t.kind){case 0:{if(p&&!Oqt(h,t.node,u))return;const g=[],C=a.getResolvedSignatureForSignatureHelp(t.node,g,r);return g.length===0?void 0:{kind:0,candidates:g,resolvedSignature:C}}case 1:{const{called:g}=t;if(p&&!sft(h,u,ot(g)?g.parent:g))return;const C=Afe(g,r,a);if(C.length!==0)return{kind:0,candidates:C,resolvedSignature:ya(C)};const b=a.getSymbolAtLocation(g);return b&&{kind:1,symbol:b}}case 2:return{kind:0,candidates:[t.signature],resolvedSignature:t.signature};default:return j.assertNever(t)}}function Oqt(t,r,a){if(!T1(r))return!1;const u=r.getChildren(a);switch(t.kind){case 21:return Yt(u,t);case 28:{const h=vZ(t);return!!h&&Yt(u,h)}case 30:return sft(t,a,r.expression);default:return!1}}function Mqt(t,r,a){if(t.invocation.kind===2)return;const u=uft(t.invocation),h=Nr(u)?u.name.text:void 0,p=r.getTypeChecker();return h===void 0?void 0:J(r.getSourceFiles(),g=>J(g.getNamedDeclarations().get(h),C=>{const b=C.symbol&&p.getTypeOfSymbolAtLocation(C.symbol,C),T=b&&b.getCallSignatures();if(T&&T.length)return p.runWithCancellationToken(a,E=>fft(T,T[0],t,g,E,!0))}))}function sft(t,r,a){const u=t.getFullStart();let h=t.parent;for(;h;){const p=xd(u,r,h,!0);if(p)return Ip(a,p);h=h.parent}return j.fail("Could not find preceding token")}function Rqt(t,r,a,u){const h=aft(t,r,a,u);return!h||h.isTypeParameterList||h.invocation.kind!==0?void 0:{invocation:h.invocation.node,argumentCount:h.argumentCount,argumentIndex:h.argumentIndex}}function oft(t,r,a,u){const h=Fqt(t,a,u);if(!h)return;const{list:p,argumentIndex:g}=h,C=qqt(u,p),b=Jqt(p,a);return{list:p,argumentIndex:g,argumentCount:C,argumentsSpan:b}}function Fqt(t,r,a){if(t.kind===30||t.kind===21)return{list:Xqt(t.parent,t,r),argumentIndex:0};{const u=vZ(t);return u&&{list:u,argumentIndex:Uqt(a,u,t)}}}function aft(t,r,a,u){const{parent:h}=t;if(T1(h)){const p=h,g=oft(t,r,a,u);if(!g)return;const{list:C,argumentIndex:b,argumentCount:T,argumentsSpan:E}=g;return{isTypeParameterList:!!h.typeArguments&&h.typeArguments.pos===C.pos,invocation:{kind:0,node:p},argumentsSpan:E,argumentIndex:b,argumentCount:T}}else{if(TI(t)&&i2(h))return pj(t,r,a)?XOe(h,0,a):void 0;if(FP(t)&&h.parent.kind===215){const p=h,g=p.parent;j.assert(p.kind===228);const C=pj(t,r,a)?0:1;return XOe(g,C,a)}else if(w3(h)&&i2(h.parent.parent)){const p=h,g=h.parent.parent;if(pX(t)&&!pj(t,r,a))return;const C=p.parent.templateSpans.indexOf(p),b=$qt(C,t,r,a);return XOe(g,b,a)}else if(oh(h)){const p=h.attributes.pos,g=Va(a.text,h.attributes.end,!1);return{isTypeParameterList:!1,invocation:{kind:0,node:h},argumentsSpan:Ff(p,g-p),argumentIndex:0,argumentCount:1}}else{const p=Ofe(t,a);if(p){const{called:g,nTypeArguments:C}=p,b={kind:1,called:g},T=Fu(g.getStart(a),t.end);return{isTypeParameterList:!0,invocation:b,argumentsSpan:T,argumentIndex:C,argumentCount:C+1}}return}}}function Bqt(t,r,a,u){return Wqt(t,r,a,u)||aft(t,r,a,u)}function cft(t){return ur(t.parent)?cft(t.parent):t}function KOe(t){return ur(t.left)?KOe(t.left)+1:2}function Wqt(t,r,a,u){const h=Vqt(t);if(h===void 0)return;const p=Hqt(h,a,r,u);if(p===void 0)return;const{contextualType:g,argumentIndex:C,argumentCount:b,argumentsSpan:T}=p,E=g.getNonNullableType(),N=E.symbol;if(N===void 0)return;const R=rl(E.getCallSignatures());return R===void 0?void 0:{isTypeParameterList:!1,invocation:{kind:2,signature:R,node:t,symbol:jqt(N)},argumentsSpan:T,argumentIndex:C,argumentCount:b}}function Vqt(t){switch(t.kind){case 21:case 28:return t;default:return Qi(t.parent,r=>$s(r)?!0:ec(r)||dm(r)||CS(r)?!1:"quit")}}function Hqt(t,r,a,u){const{parent:h}=t;switch(h.kind){case 217:case 174:case 218:case 219:const p=oft(t,a,r,u);if(!p)return;const{argumentIndex:g,argumentCount:C,argumentsSpan:b}=p,T=Nu(h)?u.getContextualTypeForObjectLiteralElement(h):u.getContextualType(h);return T&&{contextualType:T,argumentIndex:g,argumentCount:C,argumentsSpan:b};case 226:{const E=cft(h),N=u.getContextualType(E),R=t.kind===21?0:KOe(h)-1,F=KOe(E);return N&&{contextualType:N,argumentIndex:R,argumentCount:F,argumentsSpan:f_(h)}}default:return}}function jqt(t){return t.name==="__type"&&J(t.declarations,r=>{var a;return Hy(r)?(a=Jr(r.parent,M0))==null?void 0:a.symbol:void 0})||t}function zqt(t,r){const a=r.getTypeAtLocation(t.expression);if(r.isTupleType(a)){const{elementFlags:u,fixedLength:h}=a.target;if(h===0)return 0;const p=ct(u,g=>!(g&1));return p<0?h:p}return 0}function Uqt(t,r,a){return lft(t,r,a)}function qqt(t,r){return lft(t,r,void 0)}function lft(t,r,a){const u=r.getChildren();let h=0,p=!1;for(const g of u){if(a&&g===a)return!p&&g.kind===28&&h++,h;if(Km(g)){h+=zqt(g,t),p=!0;continue}if(g.kind!==28){h++,p=!0;continue}if(p){p=!1;continue}h++}return a?h:u.length&&va(u).kind===28?h+1:h}function $qt(t,r,a,u){return j.assert(a>=r.getStart(),"Assumed 'position' could not occur before node."),S2e(r)?pj(r,a,u)?0:t+2:t+1}function XOe(t,r,a){const u=TI(t.template)?1:t.template.templateSpans.length+1;return r!==0&&j.assertLessThan(r,u),{isTypeParameterList:!1,invocation:{kind:0,node:t},argumentsSpan:Gqt(t,a),argumentIndex:r,argumentCount:u}}function Jqt(t,r){const a=t.getFullStart(),u=Va(r.text,t.getEnd(),!1);return Ff(a,u-a)}function Gqt(t,r){const a=t.template,u=a.getStart();let h=a.getEnd();return a.kind===228&&va(a.templateSpans).literal.getFullWidth()===0&&(h=Va(r.text,h,!1)),Ff(u,h-u)}function Kqt(t,r,a,u,h){for(let p=t;!Os(p)&&(h||!Xo(p));p=p.parent){j.assert(Ip(p.parent,p),"Not a subspan",()=>`Child: ${j.formatSyntaxKind(p.kind)}, parent: ${j.formatSyntaxKind(p.parent.kind)}`);const g=Bqt(p,r,a,u);if(g)return g}}function Xqt(t,r,a){const u=t.getChildren(a),h=u.indexOf(r);return j.assert(h>=0&&u.length>h+1),u[h+1]}function uft(t){return t.kind===0?KG(t.node):t.called}function dft(t){return t.kind===0?t.node:t.kind===1?t.called:t.node}var FY=70246400;function fft(t,r,{isTypeParameterList:a,argumentCount:u,argumentsSpan:h,invocation:p,argumentIndex:g},C,b,T){var E;const N=dft(p),R=p.kind===2?p.symbol:b.getSymbolAtLocation(uft(p))||T&&((E=r.declaration)==null?void 0:E.symbol),F=R?f5(b,R,T?C:void 0,void 0):w,H=qt(t,Q=>Yqt(Q,F,a,b,N,C));let U=0,q=0;for(let Q=0;Q<H.length;Q++){const re=H[Q];if(t[Q]===r&&(U=q,re.length>1)){let oe=0;for(const pe of re){if(pe.isVariadic||pe.parameters.length>=u){U=q+oe;break}oe++}}q+=re.length}j.assert(U!==-1);const X={items:na(H,hl),applicableSpan:h,selectedItemIndex:U,argumentIndex:g,argumentCount:u},Z=X.items[U];if(Z.isVariadic){const Q=ct(Z.parameters,re=>!!re.isRest);-1<Q&&Q<Z.parameters.length-1?X.argumentIndex=Z.parameters.length:X.argumentIndex=Math.min(X.argumentIndex,Z.parameters.length-1)}return X}function Qqt(t,{argumentCount:r,argumentsSpan:a,invocation:u,argumentIndex:h},p,g){const C=g.getLocalTypeParametersOfClassOrInterfaceOrTypeAlias(t);return C?{items:[Zqt(t,C,g,dft(u),p)],applicableSpan:a,selectedItemIndex:0,argumentIndex:h,argumentCount:r}:void 0}function Zqt(t,r,a,u,h){const p=f5(a,t),g=_D(),C=r.map(N=>_ft(N,a,u,h,g)),b=t.getDocumentationComment(a),T=t.getJsDocTags(a);return{isVariadic:!1,prefixDisplayParts:[...p,Sh(30)],suffixDisplayParts:[Sh(32)],separatorDisplayParts:hft,parameters:C,documentation:b,tags:T}}var hft=[Sh(28),bu()];function Yqt(t,r,a,u,h,p){const g=(a?t$t:i$t)(t,u,h,p);return qt(g,({isVariadic:C,parameters:b,prefix:T,suffix:E})=>{const N=[...r,...T],R=[...E,...e$t(t,h,u)],F=t.getDocumentationComment(u),H=t.getJsDocTags();return{isVariadic:C,prefixDisplayParts:N,suffixDisplayParts:R,separatorDisplayParts:hft,parameters:b,documentation:F,tags:H}})}function e$t(t,r,a){return m2(u=>{u.writePunctuation(":"),u.writeSpace(" ");const h=a.getTypePredicateOfSignature(t);h?a.writeTypePredicate(h,r,void 0,u):a.writeType(a.getReturnTypeOfSignature(t),r,void 0,u)})}function t$t(t,r,a,u){const h=(t.target||t).typeParameters,p=_D(),g=(h||w).map(b=>_ft(b,r,a,u,p)),C=t.thisParameter?[r.symbolToParameterDeclaration(t.thisParameter,a,FY)]:[];return r.getExpandedParameters(t).map(b=>{const T=G.createNodeArray([...C,...qt(b,N=>r.symbolToParameterDeclaration(N,a,FY))]),E=m2(N=>{p.writeList(2576,T,u,N)});return{isVariadic:!1,parameters:g,prefix:[Sh(30)],suffix:[Sh(32),...E]}})}function i$t(t,r,a,u){const h=_D(),p=m2(b=>{if(t.typeParameters&&t.typeParameters.length){const T=G.createNodeArray(t.typeParameters.map(E=>r.typeParameterToDeclaration(E,a,FY)));h.writeList(53776,T,u,b)}}),g=r.getExpandedParameters(t),C=r.hasEffectiveRestParameter(t)?g.length===1?b=>!0:b=>{var T;return!!(b.length&&((T=Jr(b[b.length-1],Rv))==null?void 0:T.links.checkFlags)&32768)}:b=>!1;return g.map(b=>({isVariadic:C(b),parameters:b.map(T=>n$t(T,r,a,u,h)),prefix:[...p,Sh(21)],suffix:[Sh(22)]}))}function n$t(t,r,a,u,h){const p=m2(b=>{const T=r.symbolToParameterDeclaration(t,a,FY);h.writeNode(4,T,u,b)}),g=r.isOptionalParameter(t.valueDeclaration),C=Rv(t)&&!!(t.links.checkFlags&32768);return{name:t.name,documentation:t.getDocumentationComment(r),displayParts:p,isOptional:g,isRest:C}}function _ft(t,r,a,u,h){const p=m2(g=>{const C=r.typeParameterToDeclaration(t,a,FY);h.writeNode(4,C,u,g)});return{name:t.symbol.name,documentation:t.symbol.getDocumentationComment(r),displayParts:p,isOptional:!1,isRest:!1}}var ipe={};c(ipe,{getSmartSelectionRange:()=>r$t});function r$t(t,r){var a,u;let h={textSpan:Fu(r.getFullStart(),r.getEnd())},p=r;e:for(;;){const b=a$t(p);if(!b.length)break;for(let T=0;T<b.length;T++){const E=b[T-1],N=b[T],R=b[T+1];if(ex(N,r,!0)>t)break e;const F=Bm(qw(r.text,N.end));if(F&&F.kind===2&&C(F.pos,F.end),s$t(r,t,N)){if(aae(N)&&Qc(p)&&!qm(N.getStart(r),N.getEnd(),r)&&g(N.getStart(r),N.getEnd()),Xo(N)||w3(N)||FP(N)||pX(N)||E&&FP(E)||Sf(N)&&Lu(p)||E3(N)&&Sf(p)||_s(N)&&E3(p)&&b.length===1||o2(N)||tC(N)||OI(N)){p=N;break}if(w3(p)&&R&&pG(R)){const X=N.getFullStart()-2,Z=R.getStart()+1;g(X,Z)}const H=E3(N)&&c$t(E)&&l$t(R)&&!qm(E.getStart(),R.getStart(),r);let U=H?E.getEnd():N.getStart();const q=H?R.getStart():u$t(r,N);if(jp(N)&&((a=N.jsDoc)!=null&&a.length)&&g(ya(N.jsDoc).getStart(),q),E3(N)){const X=N.getChildren()[0];X&&jp(X)&&((u=X.jsDoc)!=null&&u.length)&&X.getStart()!==N.pos&&(U=Math.min(U,ya(X.jsDoc).getStart()))}g(U,q),(Ha(N)||nP(N))&&g(U+1,q-1),p=N;break}if(T===b.length-1)break e}}return h;function g(b,T){if(b!==T){const E=Fu(b,T);(!h||!eO(E,h.textSpan)&&Yke(E,t))&&(h={textSpan:E,...h&&{parent:h}})}}function C(b,T){g(b,T);let E=b;for(;r.text.charCodeAt(E)===47;)E++;g(E,T)}}function s$t(t,r,a){return j.assert(a.pos<=r),r<a.end?!0:a.getEnd()===r?R_(t,r).pos<a.end:!1}var o$t=om(du,Wd);function a$t(t){var r;if(Os(t))return tz(t.getChildAt(0).getChildren(),o$t);if(II(t)){const[a,...u]=t.getChildren(),h=j.checkDefined(u.pop());j.assertEqual(a.kind,19),j.assertEqual(h.kind,20);const p=tz(u,C=>C===t.readonlyToken||C.kind===148||C===t.questionToken||C.kind===58),g=tz(p,({kind:C})=>C===23||C===168||C===24);return[a,iz(npe(g,({kind:C})=>C===59)),h]}if(O_(t)){const a=tz(t.getChildren(),g=>g===t.name||Yt(t.modifiers,g)),u=((r=a[0])==null?void 0:r.kind)===320?a[0]:void 0,h=u?a.slice(1):a,p=npe(h,({kind:g})=>g===59);return u?[u,iz(p)]:p}if($s(t)){const a=tz(t.getChildren(),h=>h===t.dotDotDotToken||h===t.name),u=tz(a,h=>h===a[0]||h===t.questionToken);return npe(u,({kind:h})=>h===64)}return ec(t)?npe(t.getChildren(),({kind:a})=>a===64):t.getChildren()}function tz(t,r){const a=[];let u;for(const h of t)r(h)?(u=u||[],u.push(h)):(u&&(a.push(iz(u)),u=void 0),a.push(h));return u&&a.push(iz(u)),a}function npe(t,r,a=!0){if(t.length<2)return t;const u=ct(t,r);if(u===-1)return t;const h=t.slice(0,u),p=t[u],g=va(t),C=a&&g.kind===27,b=t.slice(u+1,C?t.length-1:void 0),T=Rw([h.length?iz(h):void 0,p,b.length?iz(b):void 0]);return C?T.concat(g):T}function iz(t){return j.assertGreaterThanOrEqual(t.length,1),V0(l2.createSyntaxList(t),t[0].pos,va(t).end)}function c$t(t){const r=t&&t.kind;return r===19||r===23||r===21||r===286}function l$t(t){const r=t&&t.kind;return r===20||r===24||r===22||r===287}function u$t(t,r){switch(r.kind){case 341:case 338:case 348:case 346:case 343:return t.getLineEndOfPosition(r.getStart());default:return r.getEnd()}}var NS={};c(NS,{getSymbolDisplayPartsDocumentationAndSymbolKind:()=>f$t,getSymbolKind:()=>mft,getSymbolModifiers:()=>d$t});var pft=70246400;function mft(t,r,a){const u=gft(t,r,a);if(u!=="")return u;const h=l3(r);return h&32?ql(r,231)?"local class":"class":h&384?"enum":h&524288?"type":h&64?"interface":h&262144?"type parameter":h&8?"enum member":h&2097152?"alias":h&1536?"module":u}function gft(t,r,a){const u=t.getRootSymbols(r);if(u.length===1&&ya(u).flags&8192&&t.getTypeOfSymbolAtLocation(r,a).getNonNullableType().getCallSignatures().length!==0)return"method";if(t.isUndefinedSymbol(r))return"var";if(t.isArgumentsSymbol(r))return"local var";if(a.kind===110&&jt(a)||GT(a))return"parameter";const h=l3(r);if(h&3)return ehe(r)?"parameter":r.valueDeclaration&&$6(r.valueDeclaration)?"const":r.valueDeclaration&&nV(r.valueDeclaration)?"using":r.valueDeclaration&&iV(r.valueDeclaration)?"await using":O(r.declarations,WG)?"let":bft(r)?"local var":"var";if(h&16)return bft(r)?"local function":"function";if(h&32768)return"getter";if(h&65536)return"setter";if(h&8192)return"method";if(h&16384)return"constructor";if(h&131072)return"index";if(h&4){if(h&33554432&&r.links.checkFlags&6){const p=O(t.getRootSymbols(r),g=>{if(g.getFlags()&98311)return"property"});return p||(t.getTypeOfSymbolAtLocation(r,a).getCallSignatures().length?"method":"property")}return"property"}return""}function yft(t){if(t.declarations&&t.declarations.length){const[r,...a]=t.declarations,u=P(a)&&UZ(r)&&Ft(a,p=>!UZ(p))?65536:0,h=a5(r,u);if(h)return h.split(",")}return[]}function d$t(t,r){if(!r)return"";const a=new Set(yft(r));if(r.flags&2097152){const u=t.getAliasedSymbol(r);u!==r&&O(yft(u),h=>{a.add(h)})}return r.flags&16777216&&a.add("optional"),a.size>0?as(a.values()).join(","):""}function vft(t,r,a,u,h,p,g,C){var b;const T=[];let E=[],N=[];const R=l3(r);let F=g&1?gft(t,r,h):"",H=!1;const U=h.kind===110&&XG(h)||GT(h);let q,X,Z=!1;if(h.kind===110&&!U)return{displayParts:[Np(110)],documentation:[],symbolKind:"primitive type",tags:void 0};if(F!==""||R&32||R&2097152){if(F==="getter"||F==="setter"){const Te=Fe(r.declarations,De=>De.name===h);if(Te)switch(Te.kind){case 177:F="getter";break;case 178:F="setter";break;case 172:F="accessor";break;default:j.assertNever(Te)}else F="property"}let Ae;if(p??(p=U?t.getTypeAtLocation(h):t.getTypeOfSymbolAtLocation(r,h)),h.parent&&h.parent.kind===211){const Te=h.parent.name;(Te===h||Te&&Te.getFullWidth()===0)&&(h=h.parent)}let ke;if(T1(h)?ke=h:(yfe(h)||r5(h)||h.parent&&(oh(h.parent)||i2(h.parent))&&Ho(r.valueDeclaration))&&(ke=h.parent),ke){Ae=t.getResolvedSignature(ke);const Te=ke.kind===214||la(ke)&&ke.expression.kind===108,De=Te?p.getConstructSignatures():p.getCallSignatures();if(Ae&&!Yt(De,Ae.target)&&!Yt(De,Ae)&&(Ae=De.length?De[0]:void 0),Ae){switch(Te&&R&32?(F="constructor",ue(p.symbol,F)):R&2097152?(F="alias",Ie(F),T.push(bu()),Te&&(Ae.flags&4&&(T.push(Np(128)),T.push(bu())),T.push(Np(105)),T.push(bu())),de(r)):ue(r,F),F){case"JSX attribute":case"property":case"var":case"const":case"let":case"parameter":case"local var":T.push(Sh(59)),T.push(bu()),!(Or(p)&16)&&p.symbol&&(Sr(T,f5(t,p.symbol,u,void 0,5)),T.push(tO())),Te&&(Ae.flags&4&&(T.push(Np(128)),T.push(bu())),T.push(Np(105)),T.push(bu())),we(Ae,De,262144);break;default:we(Ae,De)}H=!0,Z=De.length>1}}else if(Tfe(h)&&!(R&98304)||h.kind===137&&h.parent.kind===176){const Te=h.parent;if(r.declarations&&Fe(r.declarations,qe=>qe===(h.kind===137?Te.parent:Te))){const qe=Te.kind===176?p.getNonNullableType().getConstructSignatures():p.getNonNullableType().getCallSignatures();t.isImplementationOfOverload(Te)?Ae=qe[0]:Ae=t.getSignatureFromDeclaration(Te),Te.kind===176?(F="constructor",ue(p.symbol,F)):ue(Te.kind===179&&!(p.symbol.flags&2048||p.symbol.flags&4096)?p.symbol:r,F),Ae&&we(Ae,qe),H=!0,Z=qe.length>1}}}if(R&32&&!H&&!U&&(oe(),ql(r,231)?Ie("local class"):T.push(Np(86)),T.push(bu()),de(r),he(r,a)),R&64&&g&2&&(re(),T.push(Np(120)),T.push(bu()),de(r),he(r,a)),R&524288&&g&2&&(re(),T.push(Np(156)),T.push(bu()),de(r),he(r,a),T.push(bu()),T.push(d5(64)),T.push(bu()),Sr(T,Cj(t,h.parent&&O0(h.parent)?t.getTypeAtLocation(h.parent):t.getDeclaredTypeOfSymbol(r),u,8388608))),R&384&&(re(),Ft(r.declarations,Ae=>sD(Ae)&&Uk(Ae))&&(T.push(Np(87)),T.push(bu())),T.push(Np(94)),T.push(bu()),de(r)),R&1536&&!U){re();const Ae=ql(r,267),ke=Ae&&Ae.name&&Ae.name.kind===80;T.push(Np(ke?145:144)),T.push(bu()),de(r)}if(R&262144&&g&2)if(re(),T.push(Sh(21)),T.push(pm("type parameter")),T.push(Sh(22)),T.push(bu()),de(r),r.parent)pe(),de(r.parent,u),he(r.parent,u);else{const Ae=ql(r,168);if(Ae===void 0)return j.fail();const ke=Ae.parent;if(ke)if(Ho(ke)){pe();const Te=t.getSignatureFromDeclaration(ke);ke.kind===180?(T.push(Np(105)),T.push(bu())):ke.kind!==179&&ke.name&&de(ke.symbol),Sr(T,ihe(t,Te,a,32))}else Mg(ke)&&(pe(),T.push(Np(156)),T.push(bu()),de(ke.symbol),he(ke.symbol,a))}if(R&8){F="enum member",ue(r,"enum member");const Ae=(b=r.declarations)==null?void 0:b[0];if((Ae==null?void 0:Ae.kind)===306){const ke=t.getConstantValue(Ae);ke!==void 0&&(T.push(bu()),T.push(d5(64)),T.push(bu()),T.push(Z_(Z2e(ke),typeof ke=="number"?7:8)))}}if(r.flags&2097152){if(re(),!H||E.length===0&&N.length===0){const Ae=t.getAliasedSymbol(r);if(Ae!==r&&Ae.declarations&&Ae.declarations.length>0){const ke=Ae.declarations[0],Te=No(ke);if(Te&&!H){const De=MG(ke)&&Zr(ke,128),qe=r.name!=="default"&&!De,se=vft(t,Ae,_n(ke),u,Te,p,g,qe?r:Ae);T.push(...se.displayParts),T.push(tO()),q=se.documentation,X=se.tags}else q=Ae.getContextualDocumentationComment(ke,t),X=Ae.getJsDocTags(t)}}if(r.declarations)switch(r.declarations[0].kind){case 270:T.push(Np(95)),T.push(bu()),T.push(Np(145));break;case 277:T.push(Np(95)),T.push(bu()),T.push(Np(r.declarations[0].isExportEquals?64:90));break;case 281:T.push(Np(95));break;default:T.push(Np(102))}T.push(bu()),de(r),O(r.declarations,Ae=>{if(Ae.kind===271){const ke=Ae;if(qk(ke))T.push(bu()),T.push(d5(64)),T.push(bu()),T.push(Np(149)),T.push(Sh(21)),T.push(Z_(uu(L8(ke)),8)),T.push(Sh(22));else{const Te=t.getSymbolAtLocation(ke.moduleReference);Te&&(T.push(bu()),T.push(d5(64)),T.push(bu()),de(Te,u))}return!0}})}if(!H)if(F!==""){if(p){if(U?(re(),T.push(Np(110))):ue(r,F),F==="property"||F==="accessor"||F==="getter"||F==="setter"||F==="JSX attribute"||R&3||F==="local var"||F==="index"||F==="using"||F==="await using"||U){if(T.push(Sh(59)),T.push(bu()),p.symbol&&p.symbol.flags&262144&&F!=="index"){const Ae=m2(ke=>{const Te=t.typeParameterToDeclaration(p,u,pft);Q().writeNode(4,Te,_n(Mo(u)),ke)});Sr(T,Ae)}else Sr(T,Cj(t,p,u));if(Rv(r)&&r.links.target&&Rv(r.links.target)&&r.links.target.links.tupleLabelDeclaration){const Ae=r.links.target.links.tupleLabelDeclaration;j.assertNode(Ae.name,ot),T.push(bu()),T.push(Sh(21)),T.push(pm(Fr(Ae.name))),T.push(Sh(22))}}else if(R&16||R&8192||R&16384||R&131072||R&98304||F==="method"){const Ae=p.getNonNullableType().getCallSignatures();Ae.length&&(we(Ae[0],Ae),Z=Ae.length>1)}}}else F=mft(t,r,h);if(E.length===0&&!Z&&(E=r.getContextualDocumentationComment(u,t)),E.length===0&&R&4&&r.parent&&r.declarations&&O(r.parent.declarations,Ae=>Ae.kind===307))for(const Ae of r.declarations){if(!Ae.parent||Ae.parent.kind!==226)continue;const ke=t.getSymbolAtLocation(Ae.parent.right);if(ke&&(E=ke.getDocumentationComment(t),N=ke.getJsDocTags(t),E.length>0))break}if(E.length===0&&ot(h)&&r.valueDeclaration&&ec(r.valueDeclaration)){const Ae=r.valueDeclaration,ke=Ae.parent,Te=Ae.propertyName||Ae.name;if(ot(Te)&&dm(ke)){const De=Lg(Te),qe=t.getTypeAtLocation(ke);E=J(qe.isUnion()?qe.types:[qe],se=>{const Ne=se.getProperty(De);return Ne?Ne.getDocumentationComment(t):void 0})||w}}return N.length===0&&!Z&&(N=r.getContextualJsDocTags(u,t)),E.length===0&&q&&(E=q),N.length===0&&X&&(N=X),{displayParts:T,documentation:E,symbolKind:F,tags:N.length===0?void 0:N};function Q(){return _D()}function re(){T.length&&T.push(tO()),oe()}function oe(){C&&(Ie("alias"),T.push(bu()))}function pe(){T.push(bu()),T.push(Np(103)),T.push(bu())}function de(Ae,ke){let Te;C&&Ae===r&&(Ae=C),F==="index"&&(Te=t.getIndexInfosOfIndexSymbol(Ae));let De=[];Ae.flags&131072&&Te?(Ae.parent&&(De=f5(t,Ae.parent)),De.push(Sh(23)),Te.forEach((qe,se)=>{De.push(...Cj(t,qe.keyType)),se!==Te.length-1&&(De.push(bu()),De.push(Sh(52)),De.push(bu()))}),De.push(Sh(24))):De=f5(t,Ae,ke||a,void 0,7),Sr(T,De),r.flags&16777216&&T.push(Sh(58))}function ue(Ae,ke){re(),ke&&(Ie(ke),Ae&&!Ft(Ae.declarations,Te=>xl(Te)||(ml(Te)||fd(Te))&&!Te.name)&&(T.push(bu()),de(Ae)))}function Ie(Ae){switch(Ae){case"var":case"function":case"let":case"const":case"constructor":case"using":case"await using":T.push(the(Ae));return;default:T.push(Sh(21)),T.push(the(Ae)),T.push(Sh(22));return}}function we(Ae,ke,Te=0){Sr(T,ihe(t,Ae,u,Te|32)),ke.length>1&&(T.push(bu()),T.push(Sh(21)),T.push(d5(40)),T.push(Z_((ke.length-1).toString(),7)),T.push(bu()),T.push(pm(ke.length===2?"overload":"overloads")),T.push(Sh(22))),E=Ae.getDocumentationComment(t),N=Ae.getJsDocTags(),ke.length>1&&E.length===0&&N.length===0&&(E=ke[0].getDocumentationComment(t),N=ke[0].getJsDocTags().filter(De=>De.name!=="deprecated"))}function he(Ae,ke){const Te=m2(De=>{const qe=t.symbolToTypeParameterDeclarations(Ae,ke,pft);Q().writeList(53776,qe,_n(Mo(ke)),De)});Sr(T,Te)}}function f$t(t,r,a,u,h,p=zI(h),g){return vft(t,r,a,u,h,void 0,p,g)}function bft(t){return t.parent?!1:O(t.declarations,r=>{if(r.kind===218)return!0;if(r.kind!==260&&r.kind!==262)return!1;for(let a=r.parent;!WT(a);a=a.parent)if(a.kind===307||a.kind===268)return!1;return!0})}var er={};c(er,{ChangeTracker:()=>p$t,LeadingTriviaOption:()=>wft,TrailingTriviaOption:()=>xft,applyChanges:()=>tMe,assignPositionsToNode:()=>ape,createWriter:()=>Tft,deleteNode:()=>aC,isThisTypeAnnotatable:()=>_$t,isValidLocationToAddComment:()=>Dft});function Cft(t){const r=t.__pos;return j.assert(typeof r=="number"),r}function QOe(t,r){j.assert(typeof r=="number"),t.__pos=r}function Sft(t){const r=t.__end;return j.assert(typeof r=="number"),r}function ZOe(t,r){j.assert(typeof r=="number"),t.__end=r}var wft=(t=>(t[t.Exclude=0]="Exclude",t[t.IncludeAll=1]="IncludeAll",t[t.JSDoc=2]="JSDoc",t[t.StartLine=3]="StartLine",t))(wft||{}),xft=(t=>(t[t.Exclude=0]="Exclude",t[t.ExcludeWhitespace=1]="ExcludeWhitespace",t[t.Include=2]="Include",t))(xft||{});function kft(t,r){return Va(t,r,!1,!0)}function h$t(t,r){let a=r;for(;a<t.length;){const u=t.charCodeAt(a);if(k1(u)){a++;continue}return u===47}return!1}var nz={leadingTriviaOption:0,trailingTriviaOption:0};function rz(t,r,a,u){return{pos:XI(t,r,u),end:fO(t,a,u)}}function XI(t,r,a,u=!1){var h,p;const{leadingTriviaOption:g}=a;if(g===0)return r.getStart(t);if(g===3){const F=r.getStart(t),H=Wg(F,t);return s5(r,H)?H:F}if(g===2){const F=Pae(r,t.text);if(F!=null&&F.length)return Wg(F[0].pos,t)}const C=r.getFullStart(),b=r.getStart(t);if(C===b)return b;const T=Wg(C,t);if(Wg(b,t)===T)return g===1?C:b;if(u){const F=((h=Av(t.text,C))==null?void 0:h[0])||((p=qw(t.text,C))==null?void 0:p[0]);if(F)return Va(t.text,F.end,!0,!0)}const N=C>0?1:0;let R=Yw(j8(t,T)+N,t);return R=kft(t.text,R),Yw(j8(t,R),t)}function YOe(t,r,a){const{end:u}=r,{trailingTriviaOption:h}=a;if(h===2){const p=qw(t.text,u);if(p){const g=j8(t,r.end);for(const C of p){if(C.kind===2||j8(t,C.pos)>g)break;if(j8(t,C.end)>g)return Va(t.text,C.end,!0,!0)}}}}function fO(t,r,a){var u;const{end:h}=r,{trailingTriviaOption:p}=a;if(p===0)return h;if(p===1){const b=Js(qw(t.text,h),Av(t.text,h)),T=(u=b==null?void 0:b[b.length-1])==null?void 0:u.end;return T||h}const g=YOe(t,r,a);if(g)return g;const C=Va(t.text,h,!0);return C!==h&&(p===2||mh(t.text.charCodeAt(C-1)))?C:h}function rpe(t,r){return!!r&&!!t.parent&&(r.kind===28||r.kind===27&&t.parent.kind===210)}function _$t(t){return ml(t)||Wu(t)}var p$t=class Nqe{constructor(r,a){this.newLineCharacter=r,this.formatContext=a,this.changes=[],this.classesWithNodesInsertedAtStart=new Map,this.deletedNodes=[]}static fromContext(r){return new Nqe(rC(r.host,r.formatContext.options),r.formatContext)}static with(r,a){const u=Nqe.fromContext(r);return a(u),u.getChanges()}pushRaw(r,a){j.assertEqual(r.fileName,a.fileName);for(const u of a.textChanges)this.changes.push({kind:3,sourceFile:r,text:u.newText,range:TZ(u.span)})}deleteRange(r,a){this.changes.push({kind:0,sourceFile:r,range:a})}delete(r,a){this.deletedNodes.push({sourceFile:r,node:a})}deleteNode(r,a,u={leadingTriviaOption:1}){this.deleteRange(r,rz(r,a,a,u))}deleteNodes(r,a,u={leadingTriviaOption:1},h){for(const p of a){const g=XI(r,p,u,h),C=fO(r,p,u);this.deleteRange(r,{pos:g,end:C}),h=!!YOe(r,p,u)}}deleteModifier(r,a){this.deleteRange(r,{pos:a.getStart(r),end:Va(r.text,a.end,!0)})}deleteNodeRange(r,a,u,h={leadingTriviaOption:1}){const p=XI(r,a,h),g=fO(r,u,h);this.deleteRange(r,{pos:p,end:g})}deleteNodeRangeExcludingEnd(r,a,u,h={leadingTriviaOption:1}){const p=XI(r,a,h),g=u===void 0?r.text.length:XI(r,u,h);this.deleteRange(r,{pos:p,end:g})}replaceRange(r,a,u,h={}){this.changes.push({kind:1,sourceFile:r,range:a,options:h,node:u})}replaceNode(r,a,u,h=nz){this.replaceRange(r,rz(r,a,a,h),u,h)}replaceNodeRange(r,a,u,h,p=nz){this.replaceRange(r,rz(r,a,u,p),h,p)}replaceRangeWithNodes(r,a,u,h={}){this.changes.push({kind:2,sourceFile:r,range:a,options:h,nodes:u})}replaceNodeWithNodes(r,a,u,h=nz){this.replaceRangeWithNodes(r,rz(r,a,a,h),u,h)}replaceNodeWithText(r,a,u){this.replaceRangeWithText(r,rz(r,a,a,nz),u)}replaceNodeRangeWithNodes(r,a,u,h,p=nz){this.replaceRangeWithNodes(r,rz(r,a,u,p),h,p)}nodeHasTrailingComment(r,a,u=nz){return!!YOe(r,a,u)}nextCommaToken(r,a){const u=yD(a,a.parent,r);return u&&u.kind===28?u:void 0}replacePropertyAssignment(r,a,u){const h=this.nextCommaToken(r,a)?"":","+this.newLineCharacter;this.replaceNode(r,a,u,{suffix:h})}insertNodeAt(r,a,u,h={}){this.replaceRange(r,Um(a),u,h)}insertNodesAt(r,a,u,h={}){this.replaceRangeWithNodes(r,Um(a),u,h)}insertNodeAtTopOfFile(r,a,u){this.insertAtTopOfFile(r,a,u)}insertNodesAtTopOfFile(r,a,u){this.insertAtTopOfFile(r,a,u)}insertAtTopOfFile(r,a,u){const h=w$t(r),p={prefix:h===0?void 0:this.newLineCharacter,suffix:(mh(r.text.charCodeAt(h))?"":this.newLineCharacter)+(u?this.newLineCharacter:"")};Ao(a)?this.insertNodesAt(r,h,a,p):this.insertNodeAt(r,h,a,p)}insertNodesAtEndOfFile(r,a,u){this.insertAtEndOfFile(r,a,u)}insertAtEndOfFile(r,a,u){const h=r.end+1,p={prefix:this.newLineCharacter,suffix:this.newLineCharacter+(u?this.newLineCharacter:"")};this.insertNodesAt(r,h,a,p)}insertStatementsInNewFile(r,a,u){this.newFileChanges||(this.newFileChanges=Of()),this.newFileChanges.add(r,{oldFile:u,statements:a})}insertFirstParameter(r,a,u){const h=Ra(a);h?this.insertNodeBefore(r,h,u):this.insertNodeAt(r,a.pos,u)}insertNodeBefore(r,a,u,h=!1,p={}){this.insertNodeAt(r,XI(r,a,p),u,this.getOptionsForInsertNodeBefore(a,u,h))}insertNodesBefore(r,a,u,h=!1,p={}){this.insertNodesAt(r,XI(r,a,p),u,this.getOptionsForInsertNodeBefore(a,ya(u),h))}insertModifierAt(r,a,u,h={}){this.insertNodeAt(r,a,G.createToken(u),h)}insertModifierBefore(r,a,u){return this.insertModifierAt(r,u.getStart(r),a,{suffix:" "})}insertCommentBeforeLine(r,a,u,h){const p=Yw(a,r),g=kAe(r.text,p),C=Dft(r,g),b=Q3(r,C?g:u),T=r.text.slice(p,g),E=`${C?"":this.newLineCharacter}//${h}${this.newLineCharacter}${T}`;this.insertText(r,b.getStart(r),E)}insertJsdocCommentBefore(r,a,u){const h=a.getStart(r);if(a.jsDoc)for(const C of a.jsDoc)this.deleteRange(r,{pos:Wg(C.getStart(r),r),end:fO(r,C,{})});const p=OZ(r.text,h-1),g=r.text.slice(p,h);this.insertNodeAt(r,h,u,{suffix:this.newLineCharacter+g})}createJSDocText(r,a){const u=Rr(a.jsDoc,p=>Oo(p.comment)?G.createJSDocText(p.comment):p.comment),h=Bm(a.jsDoc);return h&&qm(h.pos,h.end,r)&&P(u)===0?void 0:G.createNodeArray(ye(u,G.createJSDocText(`
+`)))}replaceJSDocComment(r,a,u){this.insertJsdocCommentBefore(r,m$t(a),G.createJSDocComment(this.createJSDocText(r,a),G.createNodeArray(u)))}addJSDocTags(r,a,u){const h=na(a.jsDoc,g=>g.tags),p=u.filter(g=>!h.some((C,b)=>{const T=g$t(C,g);return T&&(h[b]=T),!!T}));this.replaceJSDocComment(r,a,[...h,...p])}filterJSDocTags(r,a,u){this.replaceJSDocComment(r,a,$t(na(a.jsDoc,h=>h.tags),u))}replaceRangeWithText(r,a,u){this.changes.push({kind:3,sourceFile:r,range:a,text:u})}insertText(r,a,u){this.replaceRangeWithText(r,Um(a),u)}tryInsertTypeAnnotation(r,a,u){let h;if(Ho(a)){if(h=Uc(a,22,r),!h){if(!xl(a))return!1;h=ya(a.parameters)}}else h=(a.kind===260?a.exclamationToken:a.questionToken)??a.name;return this.insertNodeAt(r,h.end,u,{prefix:": "}),!0}tryInsertThisTypeAnnotation(r,a,u){const h=Uc(a,21,r).getStart(r)+1,p=a.parameters.length?", ":"";this.insertNodeAt(r,h,u,{prefix:"this: ",suffix:p})}insertTypeParameters(r,a,u){const h=(Uc(a,21,r)||ya(a.parameters)).getStart(r);this.insertNodesAt(r,h,u,{prefix:"<",suffix:">",joiner:", "})}getOptionsForInsertNodeBefore(r,a,u){return Ps(r)||Od(r)?{suffix:u?this.newLineCharacter+this.newLineCharacter:this.newLineCharacter}:_s(r)?{suffix:", "}:$s(r)?$s(a)?{suffix:", "}:{}:Ha(r)&&du(r.parent)||j1(r)?{suffix:", "}:l_(r)?{suffix:","+(u?this.newLineCharacter:" ")}:j.failBadSyntaxKind(r)}insertNodeAtConstructorStart(r,a,u){const h=Ra(a.body.statements);!h||!a.body.multiLine?this.replaceConstructorBody(r,a,[u,...a.body.statements]):this.insertNodeBefore(r,h,u)}insertNodeAtConstructorStartAfterSuperCall(r,a,u){const h=Fe(a.body.statements,p=>uf(p)&&mI(p.expression));!h||!a.body.multiLine?this.replaceConstructorBody(r,a,[...a.body.statements,u]):this.insertNodeAfter(r,h,u)}insertNodeAtConstructorEnd(r,a,u){const h=rl(a.body.statements);!h||!a.body.multiLine?this.replaceConstructorBody(r,a,[...a.body.statements,u]):this.insertNodeAfter(r,h,u)}replaceConstructorBody(r,a,u){this.replaceNode(r,a.body,G.createBlock(u,!0))}insertNodeAtEndOfScope(r,a,u){const h=XI(r,a.getLastToken(),{});this.insertNodeAt(r,h,u,{prefix:mh(r.text.charCodeAt(a.getLastToken().pos))?this.newLineCharacter:this.newLineCharacter+this.newLineCharacter,suffix:this.newLineCharacter})}insertMemberAtStart(r,a,u){this.insertNodeAtStartWorker(r,a,u)}insertNodeAtObjectStart(r,a,u){this.insertNodeAtStartWorker(r,a,u)}insertNodeAtStartWorker(r,a,u){const h=this.guessIndentationFromExistingMembers(r,a)??this.computeIndentationForNewMember(r,a);this.insertNodeAt(r,spe(a).pos,u,this.getInsertNodeAtStartInsertOptions(r,a,h))}guessIndentationFromExistingMembers(r,a){let u,h=a;for(const p of spe(a)){if(DK(h,p,r))return;const g=p.getStart(r),C=pd.SmartIndenter.findFirstNonWhitespaceColumn(Wg(g,r),g,r,this.formatContext.options);if(u===void 0)u=C;else if(C!==u)return;h=p}return u}computeIndentationForNewMember(r,a){const u=a.getStart(r);return pd.SmartIndenter.findFirstNonWhitespaceColumn(Wg(u,r),u,r,this.formatContext.options)+(this.formatContext.options.indentSize??4)}getInsertNodeAtStartInsertOptions(r,a,u){const p=spe(a).length===0,g=Pg(this.classesWithNodesInsertedAtStart,Sc(a),{node:a,sourceFile:r}),C=Aa(a)&&(!Eg(r)||!p),b=Aa(a)&&Eg(r)&&p&&!g;return{indentation:u,prefix:(b?",":"")+this.newLineCharacter,suffix:C?",":zf(a)&&p?";":""}}insertNodeAfterComma(r,a,u){const h=this.insertNodeAfterWorker(r,this.nextCommaToken(r,a)||a,u);this.insertNodeAt(r,h,u,this.getInsertNodeAfterOptions(r,a))}insertNodeAfter(r,a,u){const h=this.insertNodeAfterWorker(r,a,u);this.insertNodeAt(r,h,u,this.getInsertNodeAfterOptions(r,a))}insertNodeAtEndOfList(r,a,u){this.insertNodeAt(r,a.end,u,{prefix:", "})}insertNodesAfter(r,a,u){const h=this.insertNodeAfterWorker(r,a,ya(u));this.insertNodesAt(r,h,u,this.getInsertNodeAfterOptions(r,a))}insertNodeAfterWorker(r,a,u){return x$t(a,u)&&r.text.charCodeAt(a.end-1)!==59&&this.replaceRange(r,Um(a.end),G.createToken(27)),fO(r,a,{})}getInsertNodeAfterOptions(r,a){const u=this.getInsertNodeAfterOptionsWorker(a);return{...u,prefix:a.end===r.end&&Ps(a)?u.prefix?`
+${u.prefix}`:`
+`:u.prefix}}getInsertNodeAfterOptionsWorker(r){switch(r.kind){case 263:case 267:return{prefix:this.newLineCharacter,suffix:this.newLineCharacter};case 260:case 11:case 80:return{prefix:", "};case 303:return{suffix:","+this.newLineCharacter};case 95:return{prefix:" "};case 169:return{};default:return j.assert(Ps(r)||mG(r)),{suffix:this.newLineCharacter}}}insertName(r,a,u){if(j.assert(!a.name),a.kind===219){const h=Uc(a,39,r),p=Uc(a,21,r);p?(this.insertNodesAt(r,p.getStart(r),[G.createToken(100),G.createIdentifier(u)],{joiner:" "}),aC(this,r,h)):(this.insertText(r,ya(a.parameters).getStart(r),`function ${u}(`),this.replaceRange(r,h,G.createToken(22))),a.body.kind!==241&&(this.insertNodesAt(r,a.body.getStart(r),[G.createToken(19),G.createToken(107)],{joiner:" ",suffix:" "}),this.insertNodesAt(r,a.body.end,[G.createToken(27),G.createToken(20)],{joiner:" "}))}else{const h=Uc(a,a.kind===218?100:86,r).end;this.insertNodeAt(r,h,G.createIdentifier(u),{prefix:" "})}}insertExportModifier(r,a){this.insertText(r,a.getStart(r),"export ")}insertImportSpecifierAtIndex(r,a,u,h){const p=u.elements[h-1];p?this.insertNodeInListAfter(r,p,a):this.insertNodeBefore(r,u.elements[0],a,!qm(u.elements[0].getStart(),u.parent.parent.getStart(),r))}insertNodeInListAfter(r,a,u,h=pd.SmartIndenter.getContainingList(a,r)){if(!h){j.fail("node is not a list element");return}const p=U6(h,a);if(p<0)return;const g=a.getEnd();if(p!==h.length-1){const C=Rs(r,a.end);if(C&&rpe(a,C)){const b=h[p+1],T=kft(r.text,b.getFullStart()),E=`${xa(C.kind)}${r.text.substring(C.end,T)}`;this.insertNodesAt(r,T,[u],{suffix:E})}}else{const C=a.getStart(r),b=Wg(C,r);let T,E=!1;if(h.length===1)T=28;else{const N=xd(a.pos,r);T=rpe(a,N)?N.kind:28,E=Wg(h[p-1].getStart(r),r)!==b}if((h$t(r.text,a.end)||!qm(h.pos,h.end,r))&&(E=!0),E){this.replaceRange(r,Um(g),G.createToken(T));const N=pd.SmartIndenter.findFirstNonWhitespaceColumn(b,C,r,this.formatContext.options);let R=Va(r.text,g,!0,!1);for(;R!==g&&mh(r.text.charCodeAt(R-1));)R--;this.replaceRange(r,Um(R),u,{indentation:N,prefix:this.newLineCharacter})}else this.replaceRange(r,Um(g),u,{prefix:`${xa(T)} `})}}parenthesizeExpression(r,a){this.replaceRange(r,zce(a),G.createParenthesizedExpression(a))}finishClassesWithNodesInsertedAtStart(){this.classesWithNodesInsertedAtStart.forEach(({node:r,sourceFile:a})=>{const[u,h]=v$t(r,a);if(u!==void 0&&h!==void 0){const p=spe(r).length===0,g=qm(u,h,a);p&&g&&u!==h-1&&this.deleteRange(a,Um(u,h-1)),g&&this.insertText(a,h-1,this.newLineCharacter)}})}finishDeleteDeclarations(){const r=new Set;for(const{sourceFile:a,node:u}of this.deletedNodes)this.deletedNodes.some(h=>h.sourceFile===a&&YPe(h.node,u))||(Ao(u)?this.deleteRange(a,Uce(a,u)):iMe.deleteDeclaration(this,r,a,u));r.forEach(a=>{const u=a.getSourceFile(),h=pd.SmartIndenter.getContainingList(a,u);if(a!==va(h))return;const p=Mt(h,g=>!r.has(g),h.length-2);p!==-1&&this.deleteRange(u,{pos:h[p].end,end:eMe(u,h[p+1])})})}getChanges(r){this.finishDeleteDeclarations(),this.finishClassesWithNodesInsertedAtStart();const a=ope.getTextChangesFromChanges(this.changes,this.newLineCharacter,this.formatContext,r);return this.newFileChanges&&this.newFileChanges.forEach((u,h)=>{a.push(ope.newFileChanges(h,u,this.newLineCharacter,this.formatContext))}),a}createNewFile(r,a,u){this.insertStatementsInNewFile(a,u,r)}};function m$t(t){if(t.kind!==219)return t;const r=t.parent.kind===172?t.parent:t.parent.parent;return r.jsDoc=t.jsDoc,r}function g$t(t,r){if(t.kind===r.kind)switch(t.kind){case 341:{const a=t,u=r;return ot(a.name)&&ot(u.name)&&a.name.escapedText===u.name.escapedText?G.createJSDocParameterTag(void 0,u.name,!1,u.typeExpression,u.isNameFirst,a.comment):void 0}case 342:return G.createJSDocReturnTag(void 0,r.typeExpression,t.comment);case 344:return G.createJSDocTypeTag(void 0,r.typeExpression,t.comment)}}function eMe(t,r){return Va(t.text,XI(t,r,{leadingTriviaOption:1}),!1,!0)}function y$t(t,r,a,u){const h=eMe(t,u);if(a===void 0||qm(fO(t,r,{}),h,t))return h;const p=xd(u.getStart(t),t);if(rpe(r,p)){const g=xd(r.getStart(t),t);if(rpe(a,g)){const C=Va(t.text,p.getEnd(),!0,!0);if(qm(g.getStart(t),p.getStart(t),t))return mh(t.text.charCodeAt(C-1))?C-1:C;if(mh(t.text.charCodeAt(C)))return C}}return h}function v$t(t,r){const a=Uc(t,19,r),u=Uc(t,20,r);return[a==null?void 0:a.end,u==null?void 0:u.end]}function spe(t){return Aa(t)?t.properties:t.members}var ope;(t=>{function r(C,b,T,E){return es(qs(C,N=>N.sourceFile.path),N=>{const R=N[0].sourceFile,F=Mu(N,(U,q)=>U.range.pos-q.range.pos||U.range.end-q.range.end);for(let U=0;U<F.length-1;U++)j.assert(F[U].range.end<=F[U+1].range.pos,"Changes overlap",()=>`${JSON.stringify(F[U].range)} and ${JSON.stringify(F[U+1].range)}`);const H=es(F,U=>{const q=DS(U.range),X=U.kind===1?_n(Ql(U.node))??U.sourceFile:U.kind===2?_n(Ql(U.nodes[0]))??U.sourceFile:U.sourceFile,Z=h(U,X,R,b,T,E);if(!(q.length===Z.length&&RAe(X.text,Z,q.start)))return mj(q,Z)});return H.length>0?{fileName:R.fileName,textChanges:H}:void 0})}t.getTextChangesFromChanges=r;function a(C,b,T,E){const N=u($K(C),b,T,E);return{fileName:C,textChanges:[mj(Ff(0,0),N)],isNewFile:!0}}t.newFileChanges=a;function u(C,b,T,E){const N=Rr(b,H=>H.statements.map(U=>U===4?"":g(U,H.oldFile,T).text)).join(T),R=ZP("any file name",N,{languageVersion:99,jsDocParsingMode:1},!0,C),F=pd.formatDocument(R,E);return tMe(N,F)+T}t.newFileChangesWorker=u;function h(C,b,T,E,N,R){var F;if(C.kind===0)return"";if(C.kind===3)return C.text;const{options:H={},range:{pos:U}}=C,q=Q=>p(Q,b,T,U,H,E,N,R),X=C.kind===2?C.nodes.map(Q=>I6(q(Q),E)).join(((F=C.options)==null?void 0:F.joiner)||E):q(C.node),Z=H.indentation!==void 0||Wg(U,b)===U?X:X.replace(/^\s+/,"");return(H.prefix||"")+Z+(!H.suffix||su(Z,H.suffix)?"":H.suffix)}function p(C,b,T,E,{indentation:N,prefix:R,delta:F},H,U,q){const{node:X,text:Z}=g(C,b,H);q&&q(X,Z);const Q=$Z(U,b),re=N!==void 0?N:pd.SmartIndenter.getIndentation(E,T,Q,R===H||Wg(E,b)===E);F===void 0&&(F=pd.SmartIndenter.shouldIndentChildNode(Q,C)&&Q.indentSize||0);const oe={text:Z,getLineAndCharacterOfPosition(de){return Ia(this,de)}},pe=pd.formatNodeGivenIndentation(X,oe,b.languageVariant,re,F,{...U,options:Q});return tMe(Z,pe)}function g(C,b,T){const E=Tft(T),N=Nj(T);return bx({newLine:N,neverAsciiEscape:!0,preserveSourceNewlines:!0,terminateUnterminatedLiterals:!0},E).writeNode(4,C,b,E),{text:E.getText(),node:ape(C)}}t.getNonformattedText=g})(ope||(ope={}));function tMe(t,r){for(let a=r.length-1;a>=0;a--){const{span:u,newText:h}=r[a];t=`${t.substring(0,u.start)}${h}${t.substring(au(u))}`}return t}function b$t(t){return Va(t,0)===t.length}var C$t={...JH,factory:rH(JH.factory.flags|1,JH.factory.baseFactory)};function ape(t){const r=dn(t,ape,C$t,S$t,ape),a=cl(r)?r:Object.create(r);return V0(a,Cft(t),Sft(t)),a}function S$t(t,r,a,u,h){const p=Dn(t,r,a,u,h);if(!p)return p;j.assert(t);const g=p===t?G.createNodeArray(p.slice(0)):p;return V0(g,Cft(t),Sft(t)),g}function Tft(t){let r=0;const a=FV(t),u=se=>{se&&QOe(se,r)},h=se=>{se&&ZOe(se,r)},p=se=>{se&&QOe(se,r)},g=se=>{se&&ZOe(se,r)},C=se=>{se&&QOe(se,r)},b=se=>{se&&ZOe(se,r)};function T(se,Ne){if(Ne||!b$t(se)){r=a.getTextPos();let Oe=0;for(;Pv(se.charCodeAt(se.length-Oe-1));)Oe++;r-=Oe}}function E(se){a.write(se),T(se,!1)}function N(se){a.writeComment(se)}function R(se){a.writeKeyword(se),T(se,!1)}function F(se){a.writeOperator(se),T(se,!1)}function H(se){a.writePunctuation(se),T(se,!1)}function U(se){a.writeTrailingSemicolon(se),T(se,!1)}function q(se){a.writeParameter(se),T(se,!1)}function X(se){a.writeProperty(se),T(se,!1)}function Z(se){a.writeSpace(se),T(se,!1)}function Q(se){a.writeStringLiteral(se),T(se,!1)}function re(se,Ne){a.writeSymbol(se,Ne),T(se,!1)}function oe(se){a.writeLine(se)}function pe(){a.increaseIndent()}function de(){a.decreaseIndent()}function ue(){return a.getText()}function Ie(se){a.rawWrite(se),T(se,!1)}function we(se){a.writeLiteral(se),T(se,!0)}function he(){return a.getTextPos()}function Ae(){return a.getLine()}function ke(){return a.getColumn()}function Te(){return a.getIndent()}function De(){return a.isAtStartOfLine()}function qe(){a.clear(),r=0}return{onBeforeEmitNode:u,onAfterEmitNode:h,onBeforeEmitNodeArray:p,onAfterEmitNodeArray:g,onBeforeEmitToken:C,onAfterEmitToken:b,write:E,writeComment:N,writeKeyword:R,writeOperator:F,writePunctuation:H,writeTrailingSemicolon:U,writeParameter:q,writeProperty:X,writeSpace:Z,writeStringLiteral:Q,writeSymbol:re,writeLine:oe,increaseIndent:pe,decreaseIndent:de,getText:ue,rawWrite:Ie,writeLiteral:we,getTextPos:he,getLine:Ae,getColumn:ke,getIndent:Te,isAtStartOfLine:De,hasTrailingComment:()=>a.hasTrailingComment(),hasTrailingWhitespace:()=>a.hasTrailingWhitespace(),clear:qe}}function w$t(t){let r;for(const T of t.statements)if(I1(T))r=T;else break;let a=0;const u=t.text;if(r)return a=r.end,b(),a;const h=rG(u);h!==void 0&&(a=h.length,b());const p=Av(u,a);if(!p)return a;let g,C;for(const T of p){if(T.kind===3){if(AG(u,T.pos)){g={range:T,pinnedOrTripleSlash:!0};continue}}else if(gae(u,T.pos,T.end)){g={range:T,pinnedOrTripleSlash:!0};continue}if(g){if(g.pinnedOrTripleSlash)break;const E=t.getLineAndCharacterOfPosition(T.pos).line,N=t.getLineAndCharacterOfPosition(g.range.end).line;if(E>=N+2)break}if(t.statements.length){C===void 0&&(C=t.getLineAndCharacterOfPosition(t.statements[0].getStart()).line);const E=t.getLineAndCharacterOfPosition(T.end).line;if(C<E+2)break}g={range:T,pinnedOrTripleSlash:!1}}return g&&(a=g.range.end,b()),a;function b(){if(a<u.length){const T=u.charCodeAt(a);mh(T)&&(a++,a<u.length&&T===13&&u.charCodeAt(a)===10&&a++)}}}function Dft(t,r){return!kS(t,r)&&!lA(t,r)&&!Pfe(t,r)&&!sAe(t,r)}function x$t(t,r){return(O_(t)||Lo(t))&&mG(r)&&r.name.kind===167||$W(t)&&$W(r)}var iMe;(t=>{function r(p,g,C,b){switch(b.kind){case 169:{const F=b.parent;xl(F)&&F.parameters.length===1&&!Uc(F,21,C)?p.replaceNodeWithText(C,b,"()"):sz(p,g,C,b);break}case 272:case 271:const T=C.imports.length&&b===ya(C.imports).parent||b===Fe(C.statements,cP);aC(p,C,b,{leadingTriviaOption:T?0:jp(b)?2:3});break;case 208:const E=b.parent;E.kind===207&&b!==va(E.elements)?aC(p,C,b):sz(p,g,C,b);break;case 260:h(p,g,C,b);break;case 168:sz(p,g,C,b);break;case 276:const R=b.parent;R.elements.length===1?u(p,C,R):sz(p,g,C,b);break;case 274:u(p,C,b);break;case 27:aC(p,C,b,{trailingTriviaOption:0});break;case 100:aC(p,C,b,{leadingTriviaOption:0});break;case 263:case 262:aC(p,C,b,{leadingTriviaOption:jp(b)?2:3});break;default:b.parent?H0(b.parent)&&b.parent.name===b?a(p,C,b.parent):la(b.parent)&&Yt(b.parent.arguments,b)?sz(p,g,C,b):aC(p,C,b):aC(p,C,b)}}t.deleteDeclaration=r;function a(p,g,C){if(!C.namedBindings)aC(p,g,C.parent);else{const b=C.name.getStart(g),T=Rs(g,C.name.end);if(T&&T.kind===28){const E=Va(g.text,T.end,!1,!0);p.deleteRange(g,{pos:b,end:E})}else aC(p,g,C.name)}}function u(p,g,C){if(C.parent.name){const b=j.checkDefined(Rs(g,C.pos-1));p.deleteRange(g,{pos:b.getStart(g),end:C.end})}else{const b=Xk(C,272);aC(p,g,b)}}function h(p,g,C,b){const{parent:T}=b;if(T.kind===299){p.deleteNodeRange(C,Uc(T,21,C),Uc(T,22,C));return}if(T.declarations.length!==1){sz(p,g,C,b);return}const E=T.parent;switch(E.kind){case 250:case 249:p.replaceNode(C,b,G.createObjectLiteralExpression());break;case 248:aC(p,C,T);break;case 243:aC(p,C,E,{leadingTriviaOption:jp(E)?2:3});break;default:j.assertNever(E)}}})(iMe||(iMe={}));function aC(t,r,a,u={leadingTriviaOption:1}){const h=XI(r,a,u),p=fO(r,a,u);t.deleteRange(r,{pos:h,end:p})}function sz(t,r,a,u){const h=j.checkDefined(pd.SmartIndenter.getContainingList(u,a)),p=U6(h,u);if(j.assert(p!==-1),h.length===1){aC(t,a,u);return}j.assert(!r.has(u),"Deleting a node twice"),r.add(u),t.deleteRange(a,{pos:eMe(a,u),end:p===h.length-1?fO(a,u,{}):y$t(a,u,h[p-1],h[p+1])})}var pd={};c(pd,{FormattingContext:()=>Ift,FormattingRequestKind:()=>Eft,RuleAction:()=>Nft,RuleFlags:()=>Lft,SmartIndenter:()=>K1,anyContext:()=>cpe,createTextRangeWithKind:()=>fpe,formatDocument:()=>pJt,formatNodeGivenIndentation:()=>SJt,formatOnClosingCurly:()=>_Jt,formatOnEnter:()=>dJt,formatOnOpeningCurly:()=>hJt,formatOnSemicolon:()=>fJt,formatSelection:()=>mJt,getAllRules:()=>Pft,getFormatContext:()=>nJt,getFormattingScanner:()=>nMe,getIndentationString:()=>gMe,getRangeOfEnclosingComment:()=>nht});var Eft=(t=>(t[t.FormatDocument=0]="FormatDocument",t[t.FormatSelection=1]="FormatSelection",t[t.FormatOnEnter=2]="FormatOnEnter",t[t.FormatOnSemicolon=3]="FormatOnSemicolon",t[t.FormatOnOpeningCurlyBrace=4]="FormatOnOpeningCurlyBrace",t[t.FormatOnClosingCurlyBrace=5]="FormatOnClosingCurlyBrace",t))(Eft||{}),Ift=class{constructor(t,r,a){this.sourceFile=t,this.formattingRequestKind=r,this.options=a}updateContext(t,r,a,u,h){this.currentTokenSpan=j.checkDefined(t),this.currentTokenParent=j.checkDefined(r),this.nextTokenSpan=j.checkDefined(a),this.nextTokenParent=j.checkDefined(u),this.contextNode=j.checkDefined(h),this.contextNodeAllOnSameLine=void 0,this.nextNodeAllOnSameLine=void 0,this.tokensAreOnSameLine=void 0,this.contextNodeBlockIsOnOneLine=void 0,this.nextNodeBlockIsOnOneLine=void 0}ContextNodeAllOnSameLine(){return this.contextNodeAllOnSameLine===void 0&&(this.contextNodeAllOnSameLine=this.NodeIsOnOneLine(this.contextNode)),this.contextNodeAllOnSameLine}NextNodeAllOnSameLine(){return this.nextNodeAllOnSameLine===void 0&&(this.nextNodeAllOnSameLine=this.NodeIsOnOneLine(this.nextTokenParent)),this.nextNodeAllOnSameLine}TokensAreOnSameLine(){if(this.tokensAreOnSameLine===void 0){const t=this.sourceFile.getLineAndCharacterOfPosition(this.currentTokenSpan.pos).line,r=this.sourceFile.getLineAndCharacterOfPosition(this.nextTokenSpan.pos).line;this.tokensAreOnSameLine=t===r}return this.tokensAreOnSameLine}ContextNodeBlockIsOnOneLine(){return this.contextNodeBlockIsOnOneLine===void 0&&(this.contextNodeBlockIsOnOneLine=this.BlockIsOnOneLine(this.contextNode)),this.contextNodeBlockIsOnOneLine}NextNodeBlockIsOnOneLine(){return this.nextNodeBlockIsOnOneLine===void 0&&(this.nextNodeBlockIsOnOneLine=this.BlockIsOnOneLine(this.nextTokenParent)),this.nextNodeBlockIsOnOneLine}NodeIsOnOneLine(t){const r=this.sourceFile.getLineAndCharacterOfPosition(t.getStart(this.sourceFile)).line,a=this.sourceFile.getLineAndCharacterOfPosition(t.getEnd()).line;return r===a}BlockIsOnOneLine(t){const r=Uc(t,19,this.sourceFile),a=Uc(t,20,this.sourceFile);if(r&&a){const u=this.sourceFile.getLineAndCharacterOfPosition(r.getEnd()).line,h=this.sourceFile.getLineAndCharacterOfPosition(a.getStart(this.sourceFile)).line;return u===h}return!1}},k$t=Ov(99,!1,0),T$t=Ov(99,!1,1);function nMe(t,r,a,u,h){const p=r===1?T$t:k$t;p.setText(t),p.resetTokenState(a);let g=!0,C,b,T,E,N;const R=h({advance:F,readTokenInfo:oe,readEOFTokenRange:de,isOnToken:ue,isOnEOF:Ie,getCurrentLeadingTrivia:()=>C,lastTrailingTriviaWasNewLine:()=>g,skipToEndOf:he,skipToStartOf:Ae,getTokenFullStart:()=>(N==null?void 0:N.token.pos)??p.getTokenStart(),getStartPos:()=>(N==null?void 0:N.token.pos)??p.getTokenStart()});return N=void 0,p.setText(void 0),R;function F(){N=void 0,p.getTokenFullStart()!==a?g=!!b&&va(b).kind===4:p.scan(),C=void 0,b=void 0;let Te=p.getTokenFullStart();for(;Te<u;){const De=p.getToken();if(!n3(De))break;p.scan();const qe={pos:Te,end:p.getTokenFullStart(),kind:De};Te=p.getTokenFullStart(),C=fn(C,qe)}T=p.getTokenFullStart()}function H(ke){switch(ke.kind){case 34:case 72:case 73:case 50:case 49:return!0}return!1}function U(ke){if(ke.parent)switch(ke.parent.kind){case 291:case 286:case 287:case 285:return P_(ke.kind)||ke.kind===80}return!1}function q(ke){return RP(ke)||Gv(ke)&&(N==null?void 0:N.token.kind)===12}function X(ke){return ke.kind===14}function Z(ke){return ke.kind===17||ke.kind===18}function Q(ke){return ke.parent&&U1(ke.parent)&&ke.parent.initializer===ke}function re(ke){return ke===44||ke===69}function oe(ke){j.assert(ue());const Te=H(ke)?1:X(ke)?2:Z(ke)?3:U(ke)?4:q(ke)?5:Q(ke)?6:0;if(N&&Te===E)return we(N,ke);p.getTokenFullStart()!==T&&(j.assert(N!==void 0),p.resetTokenState(T),p.scan());let De=pe(ke,Te);const qe=fpe(p.getTokenFullStart(),p.getTokenEnd(),De);for(b&&(b=void 0);p.getTokenFullStart()<u&&(De=p.scan(),!!n3(De));){const se=fpe(p.getTokenFullStart(),p.getTokenEnd(),De);if(b||(b=[]),b.push(se),De===4){p.scan();break}}return N={leadingTrivia:C,trailingTrivia:b,token:qe},we(N,ke)}function pe(ke,Te){const De=p.getToken();switch(E=0,Te){case 1:if(De===32){E=1;const qe=p.reScanGreaterToken();return j.assert(ke.kind===qe),qe}break;case 2:if(re(De)){E=2;const qe=p.reScanSlashToken();return j.assert(ke.kind===qe),qe}break;case 3:if(De===20)return E=3,p.reScanTemplateToken(!1);break;case 4:return E=4,p.scanJsxIdentifier();case 5:return E=5,p.reScanJsxToken(!1);case 6:return E=6,p.reScanJsxAttributeValue();case 0:break;default:j.assertNever(Te)}return De}function de(){return j.assert(Ie()),fpe(p.getTokenFullStart(),p.getTokenEnd(),1)}function ue(){const ke=N?N.token.kind:p.getToken();return ke!==1&&!n3(ke)}function Ie(){return(N?N.token.kind:p.getToken())===1}function we(ke,Te){return eP(Te)&&ke.token.kind!==Te.kind&&(ke.token.kind=Te.kind),ke}function he(ke){p.resetTokenState(ke.end),T=p.getTokenFullStart(),E=void 0,N=void 0,g=!1,C=void 0,b=void 0}function Ae(ke){p.resetTokenState(ke.pos),T=p.getTokenFullStart(),E=void 0,N=void 0,g=!1,C=void 0,b=void 0}}var cpe=w,Nft=(t=>(t[t.None=0]="None",t[t.StopProcessingSpaceActions=1]="StopProcessingSpaceActions",t[t.StopProcessingTokenActions=2]="StopProcessingTokenActions",t[t.InsertSpace=4]="InsertSpace",t[t.InsertNewLine=8]="InsertNewLine",t[t.DeleteSpace=16]="DeleteSpace",t[t.DeleteToken=32]="DeleteToken",t[t.InsertTrailingSemicolon=64]="InsertTrailingSemicolon",t[t.StopAction=3]="StopAction",t[t.ModifySpaceAction=28]="ModifySpaceAction",t[t.ModifyTokenAction=96]="ModifyTokenAction",t))(Nft||{}),Lft=(t=>(t[t.None=0]="None",t[t.CanDeleteNewLines=1]="CanDeleteNewLines",t))(Lft||{});function Pft(){const t=[];for(let pe=0;pe<=165;pe++)pe!==1&&t.push(pe);function r(...pe){return{tokens:t.filter(de=>!pe.some(ue=>ue===de)),isSpecific:!1}}const a={tokens:t,isSpecific:!1},u=E5([...t,3]),h=E5([...t,1]),p=Oft(83,165),g=Oft(30,79),C=[103,104,165,130,142,152],b=[46,47,55,54],T=[9,10,80,21,23,19,110,105],E=[80,21,110,105],N=[80,22,24,105],R=[80,21,110,105],F=[80,22,24,105],H=[2,3],U=[80,...Hfe],q=u,X=E5([80,32,3,86,95,102]),Z=E5([22,3,92,113,98,93,85]),Q=[Kr("IgnoreBeforeComment",a,H,cpe,1),Kr("IgnoreAfterLineComment",2,a,cpe,1),Kr("NotSpaceBeforeColon",a,59,[zs,BY,Fft],16),Kr("SpaceAfterColon",59,a,[zs,BY,z$t],4),Kr("NoSpaceBeforeQuestionMark",a,58,[zs,BY,Fft],16),Kr("SpaceAfterQuestionMarkInConditionalOperator",58,a,[zs,N$t],4),Kr("NoSpaceAfterQuestionMark",58,a,[zs,I$t],16),Kr("NoSpaceBeforeDot",a,[25,29],[zs,iJt],16),Kr("NoSpaceAfterDot",[25,29],a,[zs],16),Kr("NoSpaceBetweenImportParenInImportType",102,21,[zs,H$t],16),Kr("NoSpaceAfterUnaryPrefixOperator",b,T,[zs,BY],16),Kr("NoSpaceAfterUnaryPreincrementOperator",46,E,[zs],16),Kr("NoSpaceAfterUnaryPredecrementOperator",47,R,[zs],16),Kr("NoSpaceBeforeUnaryPostincrementOperator",N,46,[zs,Yft],16),Kr("NoSpaceBeforeUnaryPostdecrementOperator",F,47,[zs,Yft],16),Kr("SpaceAfterPostincrementWhenFollowedByAdd",46,40,[zs,wx],4),Kr("SpaceAfterAddWhenFollowedByUnaryPlus",40,40,[zs,wx],4),Kr("SpaceAfterAddWhenFollowedByPreincrement",40,46,[zs,wx],4),Kr("SpaceAfterPostdecrementWhenFollowedBySubtract",47,41,[zs,wx],4),Kr("SpaceAfterSubtractWhenFollowedByUnaryMinus",41,41,[zs,wx],4),Kr("SpaceAfterSubtractWhenFollowedByPredecrement",41,47,[zs,wx],4),Kr("NoSpaceAfterCloseBrace",20,[28,27],[zs],16),Kr("NewLineBeforeCloseBraceInBlockContext",u,20,[Wft],8),Kr("SpaceAfterCloseBrace",20,r(22),[zs,A$t],4),Kr("SpaceBetweenCloseBraceAndElse",20,93,[zs],4),Kr("SpaceBetweenCloseBraceAndWhile",20,117,[zs],4),Kr("NoSpaceBetweenEmptyBraceBrackets",19,20,[zs,qft],16),Kr("SpaceAfterConditionalClosingParen",22,23,[WY],4),Kr("NoSpaceBetweenFunctionKeywordAndStar",100,42,[jft],16),Kr("SpaceAfterStarInGeneratorDeclaration",42,80,[jft],4),Kr("SpaceAfterFunctionInFuncDecl",100,a,[QI],4),Kr("NewLineAfterOpenBraceInBlockContext",19,a,[Wft],8),Kr("SpaceAfterGetSetInMember",[139,153],80,[QI],4),Kr("NoSpaceBetweenYieldKeywordAndStar",127,42,[zs,Zft],16),Kr("SpaceBetweenYieldOrYieldStarAndOperand",[127,42],a,[zs,Zft],4),Kr("NoSpaceBetweenReturnAndSemicolon",107,27,[zs],16),Kr("SpaceAfterCertainKeywords",[115,111,105,91,107,114,135],a,[zs],4),Kr("SpaceAfterLetConstInVariableDeclaration",[121,87],a,[zs,$$t],4),Kr("NoSpaceBeforeOpenParenInFuncCall",a,21,[zs,R$t,F$t],16),Kr("SpaceBeforeBinaryKeywordOperator",a,C,[zs,wx],4),Kr("SpaceAfterBinaryKeywordOperator",C,a,[zs,wx],4),Kr("SpaceAfterVoidOperator",116,a,[zs,Q$t],4),Kr("SpaceBetweenAsyncAndOpenParen",134,21,[V$t,zs],4),Kr("SpaceBetweenAsyncAndFunctionKeyword",134,[100,80],[zs],4),Kr("NoSpaceBetweenTagAndTemplateString",[80,22],[15,16],[zs],16),Kr("SpaceBeforeJsxAttribute",a,80,[j$t,zs],4),Kr("SpaceBeforeSlashInJsxOpeningElement",a,44,[Kft,zs],4),Kr("NoSpaceBeforeGreaterThanTokenInJsxOpeningElement",44,32,[Kft,zs],16),Kr("NoSpaceBeforeEqualInJsxAttribute",a,64,[Jft,zs],16),Kr("NoSpaceAfterEqualInJsxAttribute",64,a,[Jft,zs],16),Kr("NoSpaceBeforeJsxNamespaceColon",80,59,[Gft],16),Kr("NoSpaceAfterJsxNamespaceColon",59,80,[Gft],16),Kr("NoSpaceAfterModuleImport",[144,149],21,[zs],16),Kr("SpaceAfterCertainTypeScriptKeywords",[128,129,86,138,90,94,95,96,139,119,102,120,144,145,123,125,124,148,153,126,156,161,143,140],a,[zs],4),Kr("SpaceBeforeCertainTypeScriptKeywords",a,[96,119,161],[zs],4),Kr("SpaceAfterModuleName",11,19,[J$t],4),Kr("SpaceBeforeArrow",a,39,[zs],4),Kr("SpaceAfterArrow",39,a,[zs],4),Kr("NoSpaceAfterEllipsis",26,80,[zs],16),Kr("NoSpaceAfterOptionalParameters",58,[22,28],[zs,BY],16),Kr("NoSpaceBetweenEmptyInterfaceBraceBrackets",19,20,[zs,G$t],16),Kr("NoSpaceBeforeOpenAngularBracket",U,30,[zs,VY],16),Kr("NoSpaceBetweenCloseParenAndAngularBracket",22,30,[zs,VY],16),Kr("NoSpaceAfterOpenAngularBracket",30,a,[zs,VY],16),Kr("NoSpaceBeforeCloseAngularBracket",a,32,[zs,VY],16),Kr("NoSpaceAfterCloseAngularBracket",32,[21,23,32,28],[zs,VY,P$t,X$t],16),Kr("SpaceBeforeAt",[22,80],60,[zs],4),Kr("NoSpaceAfterAt",60,a,[zs],16),Kr("SpaceAfterDecorator",a,[128,80,95,90,86,126,125,123,124,139,153,23,42],[q$t],4),Kr("NoSpaceBeforeNonNullAssertionOperator",a,54,[zs,Z$t],16),Kr("NoSpaceAfterNewKeywordOnConstructorSignature",105,21,[zs,K$t],16),Kr("SpaceLessThanAndNonJSXTypeAnnotation",30,30,[zs],4)],re=[Kr("SpaceAfterConstructor",137,21,[mm("insertSpaceAfterConstructor"),zs],4),Kr("NoSpaceAfterConstructor",137,21,[G1("insertSpaceAfterConstructor"),zs],16),Kr("SpaceAfterComma",28,a,[mm("insertSpaceAfterCommaDelimiter"),zs,uMe,B$t,W$t],4),Kr("NoSpaceAfterComma",28,a,[G1("insertSpaceAfterCommaDelimiter"),zs,uMe],16),Kr("SpaceAfterAnonymousFunctionKeyword",[100,42],21,[mm("insertSpaceAfterFunctionKeywordForAnonymousFunctions"),QI],4),Kr("NoSpaceAfterAnonymousFunctionKeyword",[100,42],21,[G1("insertSpaceAfterFunctionKeywordForAnonymousFunctions"),QI],16),Kr("SpaceAfterKeywordInControl",p,21,[mm("insertSpaceAfterKeywordsInControlFlowStatements"),WY],4),Kr("NoSpaceAfterKeywordInControl",p,21,[G1("insertSpaceAfterKeywordsInControlFlowStatements"),WY],16),Kr("SpaceAfterOpenParen",21,a,[mm("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),zs],4),Kr("SpaceBeforeCloseParen",a,22,[mm("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),zs],4),Kr("SpaceBetweenOpenParens",21,21,[mm("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),zs],4),Kr("NoSpaceBetweenParens",21,22,[zs],16),Kr("NoSpaceAfterOpenParen",21,a,[G1("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),zs],16),Kr("NoSpaceBeforeCloseParen",a,22,[G1("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),zs],16),Kr("SpaceAfterOpenBracket",23,a,[mm("insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets"),zs],4),Kr("SpaceBeforeCloseBracket",a,24,[mm("insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets"),zs],4),Kr("NoSpaceBetweenBrackets",23,24,[zs],16),Kr("NoSpaceAfterOpenBracket",23,a,[G1("insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets"),zs],16),Kr("NoSpaceBeforeCloseBracket",a,24,[G1("insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets"),zs],16),Kr("SpaceAfterOpenBrace",19,a,[Rft("insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces"),Bft],4),Kr("SpaceBeforeCloseBrace",a,20,[Rft("insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces"),Bft],4),Kr("NoSpaceBetweenEmptyBraceBrackets",19,20,[zs,qft],16),Kr("NoSpaceAfterOpenBrace",19,a,[rMe("insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces"),zs],16),Kr("NoSpaceBeforeCloseBrace",a,20,[rMe("insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces"),zs],16),Kr("SpaceBetweenEmptyBraceBrackets",19,20,[mm("insertSpaceAfterOpeningAndBeforeClosingEmptyBraces")],4),Kr("NoSpaceBetweenEmptyBraceBrackets",19,20,[rMe("insertSpaceAfterOpeningAndBeforeClosingEmptyBraces"),zs],16),Kr("SpaceAfterTemplateHeadAndMiddle",[16,17],a,[mm("insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces"),$ft],4,1),Kr("SpaceBeforeTemplateMiddleAndTail",a,[17,18],[mm("insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces"),zs],4),Kr("NoSpaceAfterTemplateHeadAndMiddle",[16,17],a,[G1("insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces"),$ft],16,1),Kr("NoSpaceBeforeTemplateMiddleAndTail",a,[17,18],[G1("insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces"),zs],16),Kr("SpaceAfterOpenBraceInJsxExpression",19,a,[mm("insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces"),zs,upe],4),Kr("SpaceBeforeCloseBraceInJsxExpression",a,20,[mm("insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces"),zs,upe],4),Kr("NoSpaceAfterOpenBraceInJsxExpression",19,a,[G1("insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces"),zs,upe],16),Kr("NoSpaceBeforeCloseBraceInJsxExpression",a,20,[G1("insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces"),zs,upe],16),Kr("SpaceAfterSemicolonInFor",27,a,[mm("insertSpaceAfterSemicolonInForStatements"),zs,oMe],4),Kr("NoSpaceAfterSemicolonInFor",27,a,[G1("insertSpaceAfterSemicolonInForStatements"),zs,oMe],16),Kr("SpaceBeforeBinaryOperator",a,g,[mm("insertSpaceBeforeAndAfterBinaryOperators"),zs,wx],4),Kr("SpaceAfterBinaryOperator",g,a,[mm("insertSpaceBeforeAndAfterBinaryOperators"),zs,wx],4),Kr("NoSpaceBeforeBinaryOperator",a,g,[G1("insertSpaceBeforeAndAfterBinaryOperators"),zs,wx],16),Kr("NoSpaceAfterBinaryOperator",g,a,[G1("insertSpaceBeforeAndAfterBinaryOperators"),zs,wx],16),Kr("SpaceBeforeOpenParenInFuncDecl",a,21,[mm("insertSpaceBeforeFunctionParenthesis"),zs,QI],4),Kr("NoSpaceBeforeOpenParenInFuncDecl",a,21,[G1("insertSpaceBeforeFunctionParenthesis"),zs,QI],16),Kr("NewLineBeforeOpenBraceInControl",Z,19,[mm("placeOpenBraceOnNewLineForControlBlocks"),WY,lMe],8,1),Kr("NewLineBeforeOpenBraceInFunction",q,19,[mm("placeOpenBraceOnNewLineForFunctions"),QI,lMe],8,1),Kr("NewLineBeforeOpenBraceInTypeScriptDeclWithBlock",X,19,[mm("placeOpenBraceOnNewLineForFunctions"),zft,lMe],8,1),Kr("SpaceAfterTypeAssertion",32,a,[mm("insertSpaceAfterTypeAssertion"),zs,fMe],4),Kr("NoSpaceAfterTypeAssertion",32,a,[G1("insertSpaceAfterTypeAssertion"),zs,fMe],16),Kr("SpaceBeforeTypeAnnotation",a,[58,59],[mm("insertSpaceBeforeTypeAnnotation"),zs,aMe],4),Kr("NoSpaceBeforeTypeAnnotation",a,[58,59],[G1("insertSpaceBeforeTypeAnnotation"),zs,aMe],16),Kr("NoOptionalSemicolon",27,h,[Mft("semicolons","remove"),eJt],32),Kr("OptionalSemicolon",a,h,[Mft("semicolons","insert"),tJt],64)],oe=[Kr("NoSpaceBeforeSemicolon",a,27,[zs],16),Kr("SpaceBeforeOpenBraceInControl",Z,19,[sMe("placeOpenBraceOnNewLineForControlBlocks"),WY,dMe,cMe],4,1),Kr("SpaceBeforeOpenBraceInFunction",q,19,[sMe("placeOpenBraceOnNewLineForFunctions"),QI,lpe,dMe,cMe],4,1),Kr("SpaceBeforeOpenBraceInTypeScriptDeclWithBlock",X,19,[sMe("placeOpenBraceOnNewLineForFunctions"),zft,dMe,cMe],4,1),Kr("NoSpaceBeforeComma",a,28,[zs],16),Kr("NoSpaceBeforeOpenBracket",r(134,84),23,[zs],16),Kr("NoSpaceAfterCloseBracket",24,a,[zs,U$t],16),Kr("SpaceAfterSemicolon",27,a,[zs],4),Kr("SpaceBetweenForAndAwaitKeyword",99,135,[zs],4),Kr("SpaceBetweenDotDotDotAndTypeName",26,U,[zs],16),Kr("SpaceBetweenStatements",[22,92,93,84],a,[zs,uMe,D$t],4),Kr("SpaceAfterTryCatchFinally",[113,85,98],19,[zs],4)];return[...Q,...re,...oe]}function Kr(t,r,a,u,h,p=0){return{leftTokenRange:Aft(r),rightTokenRange:Aft(a),rule:{debugName:t,context:u,action:h,flags:p}}}function E5(t){return{tokens:t,isSpecific:!0}}function Aft(t){return typeof t=="number"?E5([t]):Ao(t)?E5(t):t}function Oft(t,r,a=[]){const u=[];for(let h=t;h<=r;h++)Yt(a,h)||u.push(h);return E5(u)}function Mft(t,r){return a=>a.options&&a.options[t]===r}function mm(t){return r=>r.options&&Li(r.options,t)&&!!r.options[t]}function rMe(t){return r=>r.options&&Li(r.options,t)&&!r.options[t]}function G1(t){return r=>!r.options||!Li(r.options,t)||!r.options[t]}function sMe(t){return r=>!r.options||!Li(r.options,t)||!r.options[t]||r.TokensAreOnSameLine()}function Rft(t){return r=>!r.options||!Li(r.options,t)||!!r.options[t]}function oMe(t){return t.contextNode.kind===248}function D$t(t){return!oMe(t)}function wx(t){switch(t.contextNode.kind){case 226:return t.contextNode.operatorToken.kind!==28;case 227:case 194:case 234:case 281:case 276:case 182:case 192:case 193:case 238:return!0;case 208:case 265:case 271:case 277:case 260:case 169:case 306:case 172:case 171:return t.currentTokenSpan.kind===64||t.nextTokenSpan.kind===64;case 249:case 168:return t.currentTokenSpan.kind===103||t.nextTokenSpan.kind===103||t.currentTokenSpan.kind===64||t.nextTokenSpan.kind===64;case 250:return t.currentTokenSpan.kind===165||t.nextTokenSpan.kind===165}return!1}function BY(t){return!wx(t)}function Fft(t){return!aMe(t)}function aMe(t){const r=t.contextNode.kind;return r===172||r===171||r===169||r===260||tP(r)}function E$t(t){return Lo(t.contextNode)&&t.contextNode.questionToken}function I$t(t){return!E$t(t)}function N$t(t){return t.contextNode.kind===227||t.contextNode.kind===194}function cMe(t){return t.TokensAreOnSameLine()||lpe(t)}function Bft(t){return t.contextNode.kind===206||t.contextNode.kind===200||L$t(t)}function lMe(t){return lpe(t)&&!(t.NextNodeAllOnSameLine()||t.NextNodeBlockIsOnOneLine())}function Wft(t){return Vft(t)&&!(t.ContextNodeAllOnSameLine()||t.ContextNodeBlockIsOnOneLine())}function L$t(t){return Vft(t)&&(t.ContextNodeAllOnSameLine()||t.ContextNodeBlockIsOnOneLine())}function Vft(t){return Hft(t.contextNode)}function lpe(t){return Hft(t.nextTokenParent)}function Hft(t){if(Uft(t))return!0;switch(t.kind){case 241:case 269:case 210:case 268:return!0}return!1}function QI(t){switch(t.contextNode.kind){case 262:case 174:case 173:case 177:case 178:case 179:case 218:case 176:case 219:case 264:return!0}return!1}function P$t(t){return!QI(t)}function jft(t){return t.contextNode.kind===262||t.contextNode.kind===218}function zft(t){return Uft(t.contextNode)}function Uft(t){switch(t.kind){case 263:case 231:case 264:case 266:case 187:case 267:case 278:case 279:case 272:case 275:return!0}return!1}function A$t(t){switch(t.currentTokenParent.kind){case 263:case 267:case 266:case 299:case 268:case 255:return!0;case 241:{const r=t.currentTokenParent.parent;if(!r||r.kind!==219&&r.kind!==218)return!0}}return!1}function WY(t){switch(t.contextNode.kind){case 245:case 255:case 248:case 249:case 250:case 247:case 258:case 246:case 254:case 299:return!0;default:return!1}}function qft(t){return t.contextNode.kind===210}function O$t(t){return t.contextNode.kind===213}function M$t(t){return t.contextNode.kind===214}function R$t(t){return O$t(t)||M$t(t)}function F$t(t){return t.currentTokenSpan.kind!==28}function B$t(t){return t.nextTokenSpan.kind!==24}function W$t(t){return t.nextTokenSpan.kind!==22}function V$t(t){return t.contextNode.kind===219}function H$t(t){return t.contextNode.kind===205}function zs(t){return t.TokensAreOnSameLine()&&t.contextNode.kind!==12}function $ft(t){return t.contextNode.kind!==12}function uMe(t){return t.contextNode.kind!==284&&t.contextNode.kind!==288}function upe(t){return t.contextNode.kind===294||t.contextNode.kind===293}function j$t(t){return t.nextTokenParent.kind===291||t.nextTokenParent.kind===295&&t.nextTokenParent.parent.kind===291}function Jft(t){return t.contextNode.kind===291}function z$t(t){return t.nextTokenParent.kind!==295}function Gft(t){return t.nextTokenParent.kind===295}function Kft(t){return t.contextNode.kind===285}function U$t(t){return!QI(t)&&!lpe(t)}function q$t(t){return t.TokensAreOnSameLine()&&lm(t.contextNode)&&Xft(t.currentTokenParent)&&!Xft(t.nextTokenParent)}function Xft(t){for(;t&&jt(t);)t=t.parent;return t&&t.kind===170}function $$t(t){return t.currentTokenParent.kind===261&&t.currentTokenParent.getStart(t.sourceFile)===t.currentTokenSpan.pos}function dMe(t){return t.formattingRequestKind!==2}function J$t(t){return t.contextNode.kind===267}function G$t(t){return t.contextNode.kind===187}function K$t(t){return t.contextNode.kind===180}function Qft(t,r){if(t.kind!==30&&t.kind!==32)return!1;switch(r.kind){case 183:case 216:case 265:case 263:case 231:case 264:case 262:case 218:case 219:case 174:case 173:case 179:case 180:case 213:case 214:case 233:return!0;default:return!1}}function VY(t){return Qft(t.currentTokenSpan,t.currentTokenParent)||Qft(t.nextTokenSpan,t.nextTokenParent)}function fMe(t){return t.contextNode.kind===216}function X$t(t){return!fMe(t)}function Q$t(t){return t.currentTokenSpan.kind===116&&t.currentTokenParent.kind===222}function Zft(t){return t.contextNode.kind===229&&t.contextNode.expression!==void 0}function Z$t(t){return t.contextNode.kind===235}function Yft(t){return!Y$t(t)}function Y$t(t){switch(t.contextNode.kind){case 245:case 248:case 249:case 250:case 246:case 247:return!0;default:return!1}}function eJt(t){let r=t.nextTokenSpan.kind,a=t.nextTokenSpan.pos;if(n3(r)){const p=t.nextTokenParent===t.currentTokenParent?yD(t.currentTokenParent,Qi(t.currentTokenParent,g=>!g.parent),t.sourceFile):t.nextTokenParent.getFirstToken(t.sourceFile);if(!p)return!0;r=p.kind,a=p.getStart(t.sourceFile)}const u=t.sourceFile.getLineAndCharacterOfPosition(t.currentTokenSpan.pos).line,h=t.sourceFile.getLineAndCharacterOfPosition(a).line;return u===h?r===20||r===1:r===240||r===27?!1:t.contextNode.kind===264||t.contextNode.kind===265?!O_(t.currentTokenParent)||!!t.currentTokenParent.type||r!==21:Lo(t.currentTokenParent)?!t.currentTokenParent.initializer:t.currentTokenParent.kind!==248&&t.currentTokenParent.kind!==242&&t.currentTokenParent.kind!==240&&r!==23&&r!==21&&r!==40&&r!==41&&r!==44&&r!==14&&r!==28&&r!==228&&r!==16&&r!==15&&r!==25}function tJt(t){return BZ(t.currentTokenSpan.end,t.currentTokenParent,t.sourceFile)}function iJt(t){return!Nr(t.contextNode)||!A_(t.contextNode.expression)||t.contextNode.expression.getText().includes(".")}function nJt(t,r){return{options:t,getRules:rJt(),host:r}}var hMe;function rJt(){return hMe===void 0&&(hMe=oJt(Pft())),hMe}function sJt(t){let r=0;return t&1&&(r|=28),t&2&&(r|=96),t&28&&(r|=28),t&96&&(r|=96),r}function oJt(t){const r=aJt(t);return a=>{const u=r[eht(a.currentTokenSpan.kind,a.nextTokenSpan.kind)];if(u){const h=[];let p=0;for(const g of u){const C=~sJt(p);g.action&C&&Ce(g.context,b=>b(a))&&(h.push(g),p|=g.action)}if(h.length)return h}}}function aJt(t){const r=new Array(_Me*_Me),a=new Array(r.length);for(const u of t){const h=u.leftTokenRange.isSpecific&&u.rightTokenRange.isSpecific;for(const p of u.leftTokenRange.tokens)for(const g of u.rightTokenRange.tokens){const C=eht(p,g);let b=r[C];b===void 0&&(b=r[C]=[]),cJt(b,u.rule,h,a,C)}}return r}function eht(t,r){return j.assert(t<=165&&r<=165,"Must compute formatting context from tokens"),t*_Me+r}var I5=5,dpe=31,_Me=166,oz=(t=>(t[t.StopRulesSpecific=0]="StopRulesSpecific",t[t.StopRulesAny=I5*1]="StopRulesAny",t[t.ContextRulesSpecific=I5*2]="ContextRulesSpecific",t[t.ContextRulesAny=I5*3]="ContextRulesAny",t[t.NoContextRulesSpecific=I5*4]="NoContextRulesSpecific",t[t.NoContextRulesAny=I5*5]="NoContextRulesAny",t))(oz||{});function cJt(t,r,a,u,h){const p=r.action&3?a?0:oz.StopRulesAny:r.context!==cpe?a?oz.ContextRulesSpecific:oz.ContextRulesAny:a?oz.NoContextRulesSpecific:oz.NoContextRulesAny,g=u[h]||0;t.splice(lJt(g,p),0,r),u[h]=uJt(g,p)}function lJt(t,r){let a=0;for(let u=0;u<=r;u+=I5)a+=t&dpe,t>>=I5;return a}function uJt(t,r){const a=(t>>r&dpe)+1;return j.assert((a&dpe)===a,"Adding more rules into the sub-bucket than allowed. Maximum allowed is 32 rules."),t&~(dpe<<r)|a<<r}function fpe(t,r,a){const u={pos:t,end:r,kind:a};return j.isDebugging&&Object.defineProperty(u,"__debugKind",{get:()=>j.formatSyntaxKind(a)}),u}function dJt(t,r,a){const u=r.getLineAndCharacterOfPosition(t).line;if(u===0)return[];let h=KW(u,r);for(;k1(r.text.charCodeAt(h));)h--;mh(r.text.charCodeAt(h))&&h--;const p={pos:Yw(u-1,r),end:h+1};return HY(p,r,a,2)}function fJt(t,r,a){const u=pMe(t,27,r);return tht(mMe(u),r,a,3)}function hJt(t,r,a){const u=pMe(t,19,r);if(!u)return[];const h=u.parent,p=mMe(h),g={pos:Wg(p.getStart(r),r),end:t};return HY(g,r,a,4)}function _Jt(t,r,a){const u=pMe(t,20,r);return tht(mMe(u),r,a,5)}function pJt(t,r){const a={pos:0,end:t.text.length};return HY(a,t,r,0)}function mJt(t,r,a,u){const h={pos:Wg(t,a),end:r};return HY(h,a,u,1)}function pMe(t,r,a){const u=xd(t,a);return u&&u.kind===r&&t===u.getEnd()?u:void 0}function mMe(t){let r=t;for(;r&&r.parent&&r.parent.end===t.end&&!gJt(r.parent,r);)r=r.parent;return r}function gJt(t,r){switch(t.kind){case 263:case 264:return Ip(t.members,r);case 267:const a=t.body;return!!a&&a.kind===268&&Ip(a.statements,r);case 307:case 241:case 268:return Ip(t.statements,r);case 299:return Ip(t.block.statements,r)}return!1}function yJt(t,r){return a(r);function a(u){const h=Uo(u,p=>Dfe(p.getStart(r),p.end,t)&&p);if(h){const p=a(h);if(p)return p}return u}}function vJt(t,r){if(!t.length)return h;const a=t.filter(p=>o5(r,p.start,p.start+p.length)).sort((p,g)=>p.start-g.start);if(!a.length)return h;let u=0;return p=>{for(;;){if(u>=a.length)return!1;const g=a[u];if(p.end<=g.start)return!1;if(yZ(p.pos,p.end,g.start,g.start+g.length))return!0;u++}};function h(){return!1}}function bJt(t,r,a){const u=t.getStart(a);if(u===r.pos&&t.end===r.end)return u;const h=xd(r.pos,a);return!h||h.end>=r.pos?t.pos:h.end}function CJt(t,r,a){let u=-1,h;for(;t;){const p=a.getLineAndCharacterOfPosition(t.getStart(a)).line;if(u!==-1&&p!==u)break;if(K1.shouldIndentChildNode(r,t,h,a))return r.indentSize;u=p,h=t,t=t.parent}return 0}function SJt(t,r,a,u,h,p){const g={pos:t.pos,end:t.end};return nMe(r.text,a,g.pos,g.end,C=>iht(g,t,u,h,C,p,1,b=>!1,r))}function tht(t,r,a,u){if(!t)return[];const h={pos:Wg(t.getStart(r),r),end:t.end};return HY(h,r,a,u)}function HY(t,r,a,u){const h=yJt(t,r);return nMe(r.text,r.languageVariant,bJt(h,t,r),t.end,p=>iht(t,h,K1.getIndentationForNode(h,t,r,a.options),CJt(h,a.options,r),p,a,u,vJt(r.parseDiagnostics,t),r))}function iht(t,r,a,u,h,{options:p,getRules:g,host:C},b,T,E){var N;const R=new Ift(E,b,p);let F,H,U,q,X,Z=-1;const Q=[];if(h.advance(),h.isOnToken()){const et=E.getLineAndCharacterOfPosition(r.getStart(E)).line;let Me=et;lm(r)&&(Me=E.getLineAndCharacterOfPosition(yae(r,E)).line),Ie(r,r,et,Me,a,u)}const re=h.getCurrentLeadingTrivia();if(re){const et=K1.nodeWillIndentChild(p,r,void 0,E,!1)?a+p.indentSize:a;we(re,et,!0,Me=>{Ae(Me,E.getLineAndCharacterOfPosition(Me.pos),r,r,void 0),Te(Me.pos,et,!1)}),p.trimTrailingWhitespace!==!1&&He(re)}if(H&&h.getTokenFullStart()>=t.end){const et=h.isOnEOF()?h.readEOFTokenRange():h.isOnToken()?h.readTokenInfo(r).token:void 0;if(et&&et.pos===F){const Me=((N=xd(et.end,E,r))==null?void 0:N.parent)||U;ke(et,E.getLineAndCharacterOfPosition(et.pos).line,Me,H,q,U,Me,void 0)}}return Q;function oe(et,Me,ut,wt,Et){if(o5(wt,et,Me)||fj(wt,et,Me)){if(Et!==-1)return Et}else{const dt=E.getLineAndCharacterOfPosition(et).line,zt=Wg(et,E),Ei=K1.findFirstNonWhitespaceColumn(zt,et,E,p);if(dt!==ut||et===Ei){const tn=K1.getBaseIndentation(p);return tn>Ei?tn:Ei}}return-1}function pe(et,Me,ut,wt,Et,dt){const zt=K1.shouldIndentChildNode(p,et)?p.indentSize:0;return dt===Me?{indentation:Me===X?Z:Et.getIndentation(),delta:Math.min(p.indentSize,Et.getDelta(et)+zt)}:ut===-1?et.kind===21&&Me===X?{indentation:Z,delta:Et.getDelta(et)}:K1.childStartsOnTheSameLineWithElseInIfStatement(wt,et,Me,E)||K1.childIsUnindentedBranchOfConditionalExpression(wt,et,Me,E)||K1.argumentStartsOnSameLineAsPreviousArgument(wt,et,Me,E)?{indentation:Et.getIndentation(),delta:zt}:{indentation:Et.getIndentation()+Et.getDelta(et),delta:zt}:{indentation:ut,delta:zt}}function de(et){if(Fg(et)){const Me=Fe(et.modifiers,Fa,ct(et.modifiers,Fd));if(Me)return Me.kind}switch(et.kind){case 263:return 86;case 264:return 120;case 262:return 100;case 266:return 266;case 177:return 139;case 178:return 153;case 174:if(et.asteriskToken)return 42;case 172:case 169:const Me=No(et);if(Me)return Me.kind}}function ue(et,Me,ut,wt){return{getIndentationForComment:(zt,Ei,tn)=>{switch(zt){case 20:case 24:case 22:return ut+dt(tn)}return Ei!==-1?Ei:ut},getIndentationForToken:(zt,Ei,tn,xn)=>!xn&&Et(zt,Ei,tn)?ut+dt(tn):ut,getIndentation:()=>ut,getDelta:dt,recomputeIndentation:(zt,Ei)=>{K1.shouldIndentChildNode(p,Ei,et,E)&&(ut+=zt?p.indentSize:-p.indentSize,wt=K1.shouldIndentChildNode(p,et)?p.indentSize:0)}};function Et(zt,Ei,tn){switch(Ei){case 19:case 20:case 22:case 93:case 117:case 60:return!1;case 44:case 32:switch(tn.kind){case 286:case 287:case 285:return!1}break;case 23:case 24:if(tn.kind!==200)return!1;break}return Me!==zt&&!(lm(et)&&Ei===de(et))}function dt(zt){return K1.nodeWillIndentChild(p,et,zt,E,!0)?wt:0}}function Ie(et,Me,ut,wt,Et,dt){if(!o5(t,et.getStart(E),et.getEnd()))return;const zt=ue(et,ut,Et,dt);let Ei=Me;for(Uo(et,_i=>{tn(_i,-1,et,zt,ut,wt,!1)},_i=>{xn(_i,et,ut,zt)});h.isOnToken()&&h.getTokenFullStart()<t.end;){const _i=h.readTokenInfo(et);if(_i.token.end>Math.min(et.end,t.end))break;Pn(_i,et,zt,et)}function tn(_i,Fi,On,pn,qr,Fs,ko,ls){if(j.assert(!cl(_i)),lu(_i)||J2e(On,_i))return Fi;const Qs=_i.getStart(E),Mn=E.getLineAndCharacterOfPosition(Qs).line;let Zs=Mn;lm(_i)&&(Zs=E.getLineAndCharacterOfPosition(yae(_i,E)).line);let at=-1;if(ko&&Ip(t,On)&&(at=oe(Qs,_i.end,qr,t,Fi),at!==-1&&(Fi=at)),!o5(t,_i.pos,_i.end))return _i.end<t.pos&&h.skipToEndOf(_i),Fi;if(_i.getFullWidth()===0)return Fi;for(;h.isOnToken()&&h.getTokenFullStart()<t.end;){const dr=h.readTokenInfo(et);if(dr.token.end>t.end)return Fi;if(dr.token.end>Qs){dr.token.pos>Qs&&h.skipToStartOf(_i);break}Pn(dr,et,pn,et)}if(!h.isOnToken()||h.getTokenFullStart()>=t.end)return Fi;if(eP(_i)){const dr=h.readTokenInfo(_i);if(_i.kind!==12)return j.assert(dr.token.end===_i.end,"Token end is child end"),Pn(dr,et,pn,_i),Fi}const yi=_i.kind===170?Mn:Fs,Sn=pe(_i,Mn,at,et,pn,yi);return Ie(_i,Ei,Mn,Zs,Sn.indentation,Sn.delta),Ei=et,ls&&On.kind===209&&Fi===-1&&(Fi=Sn.indentation),Fi}function xn(_i,Fi,On,pn){j.assert(AT(_i)),j.assert(!cl(_i));const qr=wJt(Fi,_i);let Fs=pn,ko=On;if(!o5(t,_i.pos,_i.end)){_i.end<t.pos&&h.skipToEndOf(_i);return}if(qr!==0)for(;h.isOnToken()&&h.getTokenFullStart()<t.end;){const Mn=h.readTokenInfo(Fi);if(Mn.token.end>_i.pos)break;if(Mn.token.kind===qr){ko=E.getLineAndCharacterOfPosition(Mn.token.pos).line,Pn(Mn,Fi,pn,Fi);let Zs;if(Z!==-1)Zs=Z;else{const at=Wg(Mn.token.pos,E);Zs=K1.findFirstNonWhitespaceColumn(at,Mn.token.pos,E,p)}Fs=ue(Fi,On,Zs,p.indentSize)}else Pn(Mn,Fi,pn,Fi)}let ls=-1;for(let Mn=0;Mn<_i.length;Mn++){const Zs=_i[Mn];ls=tn(Zs,ls,et,Fs,ko,ko,!0,Mn===0)}const Qs=xJt(qr);if(Qs!==0&&h.isOnToken()&&h.getTokenFullStart()<t.end){let Mn=h.readTokenInfo(Fi);Mn.token.kind===28&&(Pn(Mn,Fi,Fs,Fi),Mn=h.isOnToken()?h.readTokenInfo(Fi):void 0),Mn&&Mn.token.kind===Qs&&Ip(Fi,Mn.token)&&Pn(Mn,Fi,Fs,Fi,!0)}}function Pn(_i,Fi,On,pn,qr){j.assert(Ip(Fi,_i.token));const Fs=h.lastTrailingTriviaWasNewLine();let ko=!1;_i.leadingTrivia&&he(_i.leadingTrivia,Fi,Ei,On);let ls=0;const Qs=Ip(t,_i.token),Mn=E.getLineAndCharacterOfPosition(_i.token.pos);if(Qs){const Zs=T(_i.token),at=H;if(ls=Ae(_i.token,Mn,Fi,Ei,On),!Zs)if(ls===0){const yi=at&&E.getLineAndCharacterOfPosition(at.end).line;ko=Fs&&Mn.line!==yi}else ko=ls===1}if(_i.trailingTrivia&&(F=va(_i.trailingTrivia).end,he(_i.trailingTrivia,Fi,Ei,On)),ko){const Zs=Qs&&!T(_i.token)?On.getIndentationForToken(Mn.line,_i.token.kind,pn,!!qr):-1;let at=!0;if(_i.leadingTrivia){const yi=On.getIndentationForComment(_i.token.kind,Zs,pn);at=we(_i.leadingTrivia,yi,at,Sn=>Te(Sn.pos,yi,!1))}Zs!==-1&&at&&(Te(_i.token.pos,Zs,ls===1),X=Mn.line,Z=Zs)}h.advance(),Ei=Fi}}function we(et,Me,ut,wt){for(const Et of et){const dt=Ip(t,Et);switch(Et.kind){case 3:dt&&se(Et,Me,!ut),ut=!1;break;case 2:ut&&dt&&wt(Et),ut=!1;break;case 4:ut=!0;break}}return ut}function he(et,Me,ut,wt){for(const Et of et)if(kZ(Et.kind)&&Ip(t,Et)){const dt=E.getLineAndCharacterOfPosition(Et.pos);Ae(Et,dt,Me,ut,wt)}}function Ae(et,Me,ut,wt,Et){const dt=T(et);let zt=0;if(!dt)if(H)zt=ke(et,Me.line,ut,H,q,U,wt,Et);else{const Ei=E.getLineAndCharacterOfPosition(t.pos);Ne(Ei.line,Me.line)}return H=et,F=et.end,U=ut,q=Me.line,zt}function ke(et,Me,ut,wt,Et,dt,zt,Ei){R.updateContext(wt,dt,et,ut,zt);const tn=g(R);let xn=R.options.trimTrailingWhitespace!==!1,Pn=0;return tn?z(tn,_i=>{if(Pn=$e(_i,wt,Et,et,Me),Ei)switch(Pn){case 2:ut.getStart(E)===et.pos&&Ei.recomputeIndentation(!1,zt);break;case 1:ut.getStart(E)===et.pos&&Ei.recomputeIndentation(!0,zt);break;default:j.assert(Pn===0)}xn=xn&&!(_i.action&16)&&_i.flags!==1}):xn=xn&&et.kind!==1,Me!==Et&&xn&&Ne(Et,Me,wt),Pn}function Te(et,Me,ut){const wt=gMe(Me,p);if(ut)ze(et,0,wt);else{const Et=E.getLineAndCharacterOfPosition(et),dt=Yw(Et.line,E);(Me!==De(dt,Et.character)||qe(wt,dt))&&ze(dt,Et.character,wt)}}function De(et,Me){let ut=0;for(let wt=0;wt<Me;wt++)E.text.charCodeAt(et+wt)===9?ut+=p.tabSize-ut%p.tabSize:ut++;return ut}function qe(et,Me){return et!==E.text.substr(Me,et.length)}function se(et,Me,ut,wt=!0){let Et=E.getLineAndCharacterOfPosition(et.pos).line;const dt=E.getLineAndCharacterOfPosition(et.end).line;if(Et===dt){ut||Te(et.pos,Me,!1);return}const zt=[];let Ei=et.pos;for(let Fi=Et;Fi<dt;Fi++){const On=KW(Fi,E);zt.push({pos:Ei,end:On}),Ei=Yw(Fi+1,E)}if(wt&&zt.push({pos:Ei,end:et.end}),zt.length===0)return;const tn=Yw(Et,E),xn=K1.findFirstNonWhitespaceCharacterAndColumn(tn,zt[0].pos,E,p);let Pn=0;ut&&(Pn=1,Et++);const _i=Me-xn.column;for(let Fi=Pn;Fi<zt.length;Fi++,Et++){const On=Yw(Et,E),pn=Fi===0?xn:K1.findFirstNonWhitespaceCharacterAndColumn(zt[Fi].pos,zt[Fi].end,E,p),qr=pn.column+_i;if(qr>0){const Fs=gMe(qr,p);ze(On,pn.character,Fs)}else We(On,pn.character)}}function Ne(et,Me,ut){for(let wt=et;wt<Me;wt++){const Et=Yw(wt,E),dt=KW(wt,E);if(ut&&(kZ(ut.kind)||Mfe(ut.kind))&&ut.pos<=dt&&ut.end>dt)continue;const zt=Oe(Et,dt);zt!==-1&&(j.assert(zt===Et||!k1(E.text.charCodeAt(zt-1))),We(zt,dt+1-zt))}}function Oe(et,Me){let ut=Me;for(;ut>=et&&k1(E.text.charCodeAt(ut));)ut--;return ut!==Me?ut+1:-1}function He(et){let Me=H?H.end:t.pos;for(const ut of et)kZ(ut.kind)&&(Me<ut.pos&&Pe(Me,ut.pos-1,H),Me=ut.end+1);Me<t.end&&Pe(Me,t.end,H)}function Pe(et,Me,ut){const wt=E.getLineAndCharacterOfPosition(et).line,Et=E.getLineAndCharacterOfPosition(Me).line;Ne(wt,Et+1,ut)}function We(et,Me){Me&&Q.push(DZ(et,Me,""))}function ze(et,Me,ut){(Me||ut)&&Q.push(DZ(et,Me,ut))}function Nt(et,Me){Q.push(DZ(et,0,Me))}function $e(et,Me,ut,wt,Et){const dt=Et!==ut;switch(et.action){case 1:return 0;case 16:if(Me.end!==wt.pos)return We(Me.end,wt.pos-Me.end),dt?2:0;break;case 32:We(Me.pos,Me.end-Me.pos);break;case 8:if(et.flags!==1&&ut!==Et)return 0;if(Et-ut!==1)return ze(Me.end,wt.pos-Me.end,rC(C,p)),dt?0:1;break;case 4:if(et.flags!==1&&ut!==Et)return 0;if(wt.pos-Me.end!==1||E.text.charCodeAt(Me.end)!==32)return ze(Me.end,wt.pos-Me.end," "),dt?2:0;break;case 64:Nt(Me.end,";")}return 0}}function nht(t,r,a,u=Rs(t,r)){const h=Qi(u,z0);if(h&&(u=h.parent),u.getStart(t)<=r&&r<u.getEnd())return;a=a===null?void 0:a===void 0?xd(r,t):a;const g=a&&qw(t.text,a.end),C=Lae(u,t),b=Js(g,C);return b&&Fe(b,T=>dj(T,r)||r===T.end&&(T.kind===2||r===t.getFullWidth()))}function wJt(t,r){switch(t.kind){case 176:case 262:case 218:case 174:case 173:case 219:case 179:case 180:case 184:case 185:case 177:case 178:if(t.typeParameters===r)return 30;if(t.parameters===r)return 21;break;case 213:case 214:if(t.typeArguments===r)return 30;if(t.arguments===r)return 21;break;case 263:case 231:case 264:case 265:if(t.typeParameters===r)return 30;break;case 183:case 215:case 186:case 233:case 205:if(t.typeArguments===r)return 30;break;case 187:return 19}return 0}function xJt(t){switch(t){case 21:return 22;case 30:return 32;case 19:return 20}return 0}var hpe,az,cz;function gMe(t,r){if((!hpe||hpe.tabSize!==r.tabSize||hpe.indentSize!==r.indentSize)&&(hpe={tabSize:r.tabSize,indentSize:r.indentSize},az=cz=void 0),r.convertTabsToSpaces){let u;const h=Math.floor(t/r.indentSize),p=t%r.indentSize;return cz||(cz=[]),cz[h]===void 0?(u=gj(" ",r.indentSize*h),cz[h]=u):u=cz[h],p?u+gj(" ",p):u}else{const u=Math.floor(t/r.tabSize),h=t-u*r.tabSize;let p;return az||(az=[]),az[u]===void 0?az[u]=p=gj(" ",u):p=az[u],h?p+gj(" ",h):p}}var K1;(t=>{let r;(se=>{se[se.Unknown=-1]="Unknown"})(r||(r={}));function a(se,Ne,Oe,He=!1){if(se>Ne.text.length)return C(Oe);if(Oe.indentStyle===0)return 0;const Pe=xd(se,Ne,void 0,!0),We=nht(Ne,se,Pe||null);if(We&&We.kind===3)return u(Ne,se,Oe,We);if(!Pe)return C(Oe);if(Mfe(Pe.kind)&&Pe.getStart(Ne)<=se&&se<Pe.end)return 0;const Nt=Ne.getLineAndCharacterOfPosition(se).line,$e=Rs(Ne,se),et=$e.kind===19&&$e.parent.kind===210;if(Oe.indentStyle===1||et)return h(Ne,se,Oe);if(Pe.kind===28&&Pe.parent.kind!==226){const ut=E(Pe,Ne,Oe);if(ut!==-1)return ut}const Me=re(se,Pe.parent,Ne);if(Me&&!Ip(Me,Pe)){const wt=[218,219].includes($e.parent.kind)?0:Oe.indentSize;return de(Me,Ne,Oe)+wt}return p(Ne,se,Pe,Nt,He,Oe)}t.getIndentation=a;function u(se,Ne,Oe,He){const Pe=Ia(se,Ne).line-1,We=Ia(se,He.pos).line;if(j.assert(We>=0),Pe<=We)return Ae(Yw(We,se),Ne,se,Oe);const ze=Yw(Pe,se),{column:Nt,character:$e}=he(ze,Ne,se,Oe);return Nt===0?Nt:se.text.charCodeAt(ze+$e)===42?Nt-1:Nt}function h(se,Ne,Oe){let He=Ne;for(;He>0;){const We=se.text.charCodeAt(He);if(!Pv(We))break;He--}const Pe=Wg(He,se);return Ae(Pe,He,se,Oe)}function p(se,Ne,Oe,He,Pe,We){let ze,Nt=Oe;for(;Nt;){if(Efe(Nt,Ne,se)&&De(We,Nt,ze,se,!0)){const et=H(Nt,se),Me=F(Oe,Nt,He,se),ut=Me!==0?Pe&&Me===2?We.indentSize:0:He!==et.line?We.indentSize:0;return b(Nt,et,void 0,ut,se,!0,We)}const $e=ue(Nt,se,We,!0);if($e!==-1)return $e;ze=Nt,Nt=Nt.parent}return C(We)}function g(se,Ne,Oe,He){const Pe=Oe.getLineAndCharacterOfPosition(se.getStart(Oe));return b(se,Pe,Ne,0,Oe,!1,He)}t.getIndentationForNode=g;function C(se){return se.baseIndentSize||0}t.getBaseIndentation=C;function b(se,Ne,Oe,He,Pe,We,ze){var Nt;let $e=se.parent;for(;$e;){let et=!0;if(Oe){const Et=se.getStart(Pe);et=Et<Oe.pos||Et>Oe.end}const Me=T($e,se,Pe),ut=Me.line===Ne.line||q($e,se,Ne.line,Pe);if(et){const Et=(Nt=Q(se,Pe))==null?void 0:Nt[0],dt=!!Et&&H(Et,Pe).line>Me.line;let zt=ue(se,Pe,ze,dt);if(zt!==-1||(zt=N(se,$e,Ne,ut,Pe,ze),zt!==-1))return zt+He}De(ze,$e,se,Pe,We)&&!ut&&(He+=ze.indentSize);const wt=U($e,se,Ne.line,Pe);se=$e,$e=se.parent,Ne=wt?Pe.getLineAndCharacterOfPosition(se.getStart(Pe)):Me}return He+C(ze)}function T(se,Ne,Oe){const He=Q(Ne,Oe),Pe=He?He.pos:se.getStart(Oe);return Oe.getLineAndCharacterOfPosition(Pe)}function E(se,Ne,Oe){const He=eAe(se);return He&&He.listItemIndex>0?Ie(He.list.getChildren(),He.listItemIndex-1,Ne,Oe):-1}function N(se,Ne,Oe,He,Pe,We){return(Wf(se)||$W(se))&&(Ne.kind===307||!He)?we(Oe,Pe,We):-1}let R;(se=>{se[se.Unknown=0]="Unknown",se[se.OpenBrace=1]="OpenBrace",se[se.CloseBrace=2]="CloseBrace"})(R||(R={}));function F(se,Ne,Oe,He){const Pe=yD(se,Ne,He);if(!Pe)return 0;if(Pe.kind===19)return 1;if(Pe.kind===20){const We=H(Pe,He).line;return Oe===We?2:0}return 0}function H(se,Ne){return Ne.getLineAndCharacterOfPosition(se.getStart(Ne))}function U(se,Ne,Oe,He){if(!(la(se)&&Yt(se.arguments,Ne)))return!1;const Pe=se.expression.getEnd();return Ia(He,Pe).line===Oe}t.isArgumentAndStartLineOverlapsExpressionBeingCalled=U;function q(se,Ne,Oe,He){if(se.kind===245&&se.elseStatement===Ne){const Pe=Uc(se,93,He);return j.assert(Pe!==void 0),H(Pe,He).line===Oe}return!1}t.childStartsOnTheSameLineWithElseInIfStatement=q;function X(se,Ne,Oe,He){if(qP(se)&&(Ne===se.whenTrue||Ne===se.whenFalse)){const Pe=Ia(He,se.condition.end).line;if(Ne===se.whenTrue)return Oe===Pe;{const We=H(se.whenTrue,He).line,ze=Ia(He,se.whenTrue.end).line;return Pe===We&&ze===Oe}}return!1}t.childIsUnindentedBranchOfConditionalExpression=X;function Z(se,Ne,Oe,He){if(T1(se)){if(!se.arguments)return!1;const Pe=Fe(se.arguments,$e=>$e.pos===Ne.pos);if(!Pe)return!1;const We=se.arguments.indexOf(Pe);if(We===0)return!1;const ze=se.arguments[We-1],Nt=Ia(He,ze.getEnd()).line;if(Oe===Nt)return!0}return!1}t.argumentStartsOnSameLineAsPreviousArgument=Z;function Q(se,Ne){return se.parent&&oe(se.getStart(Ne),se.getEnd(),se.parent,Ne)}t.getContainingList=Q;function re(se,Ne,Oe){return Ne&&oe(se,se,Ne,Oe)}function oe(se,Ne,Oe,He){switch(Oe.kind){case 183:return Pe(Oe.typeArguments);case 210:return Pe(Oe.properties);case 209:return Pe(Oe.elements);case 187:return Pe(Oe.members);case 262:case 218:case 219:case 174:case 173:case 179:case 176:case 185:case 180:return Pe(Oe.typeParameters)||Pe(Oe.parameters);case 177:return Pe(Oe.parameters);case 263:case 231:case 264:case 265:case 345:return Pe(Oe.typeParameters);case 214:case 213:return Pe(Oe.typeArguments)||Pe(Oe.arguments);case 261:return Pe(Oe.declarations);case 275:case 279:return Pe(Oe.elements);case 206:case 207:return Pe(Oe.elements)}function Pe(We){return We&&fj(pe(Oe,We,He),se,Ne)?We:void 0}}function pe(se,Ne,Oe){const He=se.getChildren(Oe);for(let Pe=1;Pe<He.length-1;Pe++)if(He[Pe].pos===Ne.pos&&He[Pe].end===Ne.end)return{pos:He[Pe-1].end,end:He[Pe+1].getStart(Oe)};return Ne}function de(se,Ne,Oe){return se?we(Ne.getLineAndCharacterOfPosition(se.pos),Ne,Oe):-1}function ue(se,Ne,Oe,He){if(se.parent&&se.parent.kind===261)return-1;const Pe=Q(se,Ne);if(Pe){const We=Pe.indexOf(se);if(We!==-1){const ze=Ie(Pe,We,Ne,Oe);if(ze!==-1)return ze}return de(Pe,Ne,Oe)+(He?Oe.indentSize:0)}return-1}function Ie(se,Ne,Oe,He){j.assert(Ne>=0&&Ne<se.length);const Pe=se[Ne];let We=H(Pe,Oe);for(let ze=Ne-1;ze>=0;ze--){if(se[ze].kind===28)continue;if(Oe.getLineAndCharacterOfPosition(se[ze].end).line!==We.line)return we(We,Oe,He);We=H(se[ze],Oe)}return-1}function we(se,Ne,Oe){const He=Ne.getPositionOfLineAndCharacter(se.line,0);return Ae(He,He+se.character,Ne,Oe)}function he(se,Ne,Oe,He){let Pe=0,We=0;for(let ze=se;ze<Ne;ze++){const Nt=Oe.text.charCodeAt(ze);if(!k1(Nt))break;Nt===9?We+=He.tabSize+We%He.tabSize:We++,Pe++}return{column:We,character:Pe}}t.findFirstNonWhitespaceCharacterAndColumn=he;function Ae(se,Ne,Oe,He){return he(se,Ne,Oe,He).column}t.findFirstNonWhitespaceColumn=Ae;function ke(se,Ne,Oe,He,Pe){const We=Oe?Oe.kind:0;switch(Ne.kind){case 244:case 263:case 231:case 264:case 266:case 265:case 209:case 241:case 268:case 210:case 187:case 200:case 189:case 217:case 211:case 213:case 214:case 243:case 277:case 253:case 227:case 207:case 206:case 286:case 289:case 285:case 294:case 173:case 179:case 180:case 169:case 184:case 185:case 196:case 215:case 223:case 279:case 275:case 281:case 276:case 172:case 296:case 297:return!0;case 269:return se.indentSwitchCase??!0;case 260:case 303:case 226:if(!se.indentMultiLineObjectLiteralBeginningOnBlankLine&&He&&We===210)return qe(He,Oe);if(Ne.kind===226&&He&&Oe&&We===284){const ze=He.getLineAndCharacterOfPosition(Va(He.text,Ne.pos)).line,Nt=He.getLineAndCharacterOfPosition(Va(He.text,Oe.pos)).line;return ze!==Nt}if(Ne.kind!==226)return!0;break;case 246:case 247:case 249:case 250:case 248:case 245:case 262:case 218:case 174:case 176:case 177:case 178:return We!==241;case 219:return He&&We===217?qe(He,Oe):We!==241;case 278:return We!==279;case 272:return We!==273||!!Oe.namedBindings&&Oe.namedBindings.kind!==275;case 284:return We!==287;case 288:return We!==290;case 193:case 192:case 238:if(We===187||We===189||We===200)return!1;break}return Pe}t.nodeWillIndentChild=ke;function Te(se,Ne){switch(se){case 253:case 257:case 251:case 252:return Ne.kind!==241;default:return!1}}function De(se,Ne,Oe,He,Pe=!1){return ke(se,Ne,Oe,He,!1)&&!(Pe&&Oe&&Te(Oe.kind,Ne))}t.shouldIndentChildNode=De;function qe(se,Ne){const Oe=Va(se.text,Ne.pos),He=se.getLineAndCharacterOfPosition(Oe).line,Pe=se.getLineAndCharacterOfPosition(Ne.end).line;return He===Pe}})(K1||(K1={}));var _pe={};c(_pe,{pasteEditsProvider:()=>TJt});var kJt="providePostPasteEdits";function TJt(t,r,a,u,h,p,g,C){return{edits:er.ChangeTracker.with({host:h,formatContext:g,preferences:p},T=>DJt(t,r,a,u,h,p,g,C,T)),fixId:kJt}}function DJt(t,r,a,u,h,p,g,C,b){let T;r.length!==a.length&&(T=r.length===1?r[0]:r.join(rC(g.host,g.options)));const E=[];let N=t.text;for(let F=a.length-1;F>=0;F--){const{pos:H,end:U}=a[F];N=T?N.slice(0,H)+T+N.slice(U):N.slice(0,H)+r[F]+N.slice(U)}let R;j.checkDefined(h.runWithTemporaryFileUpdate).call(h,t.fileName,N,(F,H,U)=>{if(R=wh.createImportAdder(U,F,p,h),u!=null&&u.range){j.assert(u.range.length===r.length),u.range.forEach(Z=>{const Q=u.file.statements,re=ct(Q,pe=>pe.end>Z.pos);if(re===-1)return;let oe=ct(Q,pe=>pe.end>=Z.end,re);oe!==-1&&Z.end<=Q[oe].getStart()&&oe--,E.push(...Q.slice(re,oe===-1?Q.length:oe+1))});const q=iY(u.file,E,H.getTypeChecker(),B4e(U,E,H.getTypeChecker()),{pos:u.range[0].pos,end:u.range[u.range.length-1].end});j.assertIsDefined(H);const X=!vhe(t.fileName,H,h,!!u.file.commonJsModuleIndicator);N4e(u.file,q.targetFileImportsFromOldFile,b,X),V4e(u.file,q.oldImportsNeededByTargetFile,q.targetFileImportsFromOldFile,H.getTypeChecker(),F,R)}else{const q={sourceFile:U,program:H,cancellationToken:C,host:h,preferences:p,formatContext:g};let X=0;a.forEach((Z,Q)=>{const re=Z.end-Z.pos,oe=T??r[Q],pe=Z.pos+X,de=pe+oe.length,ue={pos:pe,end:de};X+=oe.length-re;const Ie=Qi(Rs(q.sourceFile,ue.pos),we=>Ip(we,ue));Ie&&Uo(Ie,function we(he){if(ot(he)&&s5(ue,he.getStart(U))&&!(F!=null&&F.getTypeChecker().resolveName(he.text,he,-1,!1)))return R.addImportForUnresolvedIdentifier(q,he,!0);he.forEachChild(we)})})}R.writeFixes(b,$p(u?u.file:t,p))}),R.hasFixes()&&a.forEach((F,H)=>{b.replaceRangeWithText(t,{pos:F.pos,end:F.end},T??r[H])})}var rht={};c(rht,{ANONYMOUS:()=>lhe,AccessFlags:()=>tke,AssertionLevel:()=>dxe,AssignmentDeclarationKind:()=>uke,AssignmentKind:()=>MTe,Associativity:()=>zTe,BreakpointResolver:()=>o_e,BuilderFileEmit:()=>RLe,BuilderProgramKind:()=>ULe,BuilderState:()=>$0,CallHierarchy:()=>mA,CharacterCodes:()=>Cke,CheckFlags:()=>Qxe,CheckMode:()=>Iue,ClassificationType:()=>gfe,ClassificationTypeNames:()=>$Pe,CommentDirectiveType:()=>Rxe,Comparison:()=>x,CompletionInfoFlags:()=>WPe,CompletionTriggerKind:()=>pfe,Completions:()=>CA,ContainerFlags:()=>yNe,ContextFlags:()=>zxe,Debug:()=>j,DiagnosticCategory:()=>JJ,Diagnostics:()=>k,DocumentHighlights:()=>QZ,ElementFlags:()=>eke,EmitFlags:()=>xoe,EmitHint:()=>Tke,EmitOnly:()=>Bxe,EndOfLineState:()=>jPe,ExitStatus:()=>Wxe,ExportKind:()=>BAe,Extension:()=>Ske,ExternalEmitHelpers:()=>kke,FileIncludeKind:()=>_oe,FilePreprocessingDiagnosticsKind:()=>Fxe,FileSystemEntryKind:()=>Mke,FileWatcherEventKind:()=>Pke,FindAllReferences:()=>kl,FlattenLevel:()=>WNe,FlowFlags:()=>$J,ForegroundColorEscapeSequences:()=>ELe,FunctionFlags:()=>HTe,GeneratedIdentifierFlags:()=>hoe,GetLiteralTextFlags:()=>X2e,GoToDefinition:()=>uO,HighlightSpanKind:()=>FPe,IdentifierNameMap:()=>V3,ImportKind:()=>FAe,ImportsNotUsedAsValues:()=>mke,IndentStyle:()=>BPe,IndexFlags:()=>ike,IndexKind:()=>ske,InferenceFlags:()=>cke,InferencePriority:()=>ake,InlayHintKind:()=>RPe,InlayHints:()=>X_e,InternalEmitFlags:()=>wke,InternalNodeBuilderFlags:()=>qxe,InternalSymbolName:()=>Zxe,IntersectionFlags:()=>jxe,InvalidatedProjectKind:()=>hPe,JSDocParsingMode:()=>Lke,JsDoc:()=>S2,JsTyping:()=>J1,JsxEmit:()=>pke,JsxFlags:()=>Pxe,JsxReferenceKind:()=>nke,LanguageFeatureMinimumTarget:()=>xke,LanguageServiceMode:()=>OPe,LanguageVariant:()=>vke,LexicalEnvironmentFlags:()=>Eke,ListFormat:()=>Ike,LogLevel:()=>Cxe,MapCode:()=>Q_e,MemberOverrideStatus:()=>Vxe,ModifierFlags:()=>doe,ModuleDetectionKind:()=>dke,ModuleInstanceState:()=>mNe,ModuleKind:()=>bW,ModuleResolutionKind:()=>i8,ModuleSpecifierEnding:()=>VDe,NavigateTo:()=>a4e,NavigationBar:()=>l4e,NewLineKind:()=>gke,NodeBuilderFlags:()=>Uxe,NodeCheckFlags:()=>goe,NodeFactoryFlags:()=>hEe,NodeFlags:()=>uoe,NodeResolutionFeatures:()=>oNe,ObjectFlags:()=>voe,OperationCanceledException:()=>t8,OperatorPrecedence:()=>UTe,OrganizeImports:()=>w2,OrganizeImportsMode:()=>_fe,OuterExpressionKinds:()=>Dke,OutliningElementsCollector:()=>Y_e,OutliningSpanKind:()=>VPe,OutputFileType:()=>HPe,PackageJsonAutoImportPreference:()=>APe,PackageJsonDependencyGroup:()=>PPe,PatternMatchKind:()=>Ehe,PollingInterval:()=>koe,PollingWatchKind:()=>_ke,PragmaKindFlags:()=>Nke,PredicateSemantics:()=>Axe,PrivateIdentifierKind:()=>wEe,ProcessLevel:()=>zNe,ProgramUpdateLevel:()=>wLe,QuotePreference:()=>_Ae,RegularExpressionFlags:()=>Oxe,RelationComparisonResult:()=>foe,Rename:()=>RY,ScriptElementKind:()=>UPe,ScriptElementKindModifier:()=>qPe,ScriptKind:()=>Coe,ScriptSnapshot:()=>lZ,ScriptTarget:()=>yke,SemanticClassificationFormat:()=>MPe,SemanticMeaning:()=>JPe,SemicolonPreference:()=>mfe,SignatureCheckMode:()=>Nue,SignatureFlags:()=>boe,SignatureHelp:()=>ez,SignatureInfo:()=>MLe,SignatureKind:()=>rke,SmartSelectionRange:()=>ipe,SnippetKind:()=>woe,StatisticType:()=>SPe,StructureIsReused:()=>poe,SymbolAccessibility:()=>Gxe,SymbolDisplay:()=>NS,SymbolDisplayPartKind:()=>dZ,SymbolFlags:()=>moe,SymbolFormatFlags:()=>Jxe,SyntaxKind:()=>loe,Ternary:()=>lke,ThrottledCancellationToken:()=>g6e,TokenClass:()=>zPe,TokenFlags:()=>Mxe,TransformFlags:()=>Soe,TypeFacts:()=>Eue,TypeFlags:()=>yoe,TypeFormatFlags:()=>$xe,TypeMapKind:()=>oke,TypePredicateKind:()=>Kxe,TypeReferenceSerializationKind:()=>Xxe,UnionReduction:()=>Hxe,UpToDateStatusType:()=>oPe,VarianceFlags:()=>Yxe,Version:()=>P0,VersionRange:()=>qJ,WatchDirectoryFlags:()=>bke,WatchDirectoryKind:()=>hke,WatchFileKind:()=>fke,WatchLogLevel:()=>kLe,WatchType:()=>Kd,accessPrivateIdentifier:()=>BNe,addEmitFlags:()=>F1,addEmitHelper:()=>MP,addEmitHelpers:()=>Zb,addInternalEmitFlags:()=>AP,addNodeFactoryPatcher:()=>yQe,addObjectAllocatorPatcher:()=>rQe,addRange:()=>Sr,addRelatedInfo:()=>da,addSyntheticLeadingComment:()=>h7,addSyntheticTrailingComment:()=>uX,addToSeen:()=>Pg,advancedAsyncSuperHelper:()=>_X,affectsDeclarationPathOptionDeclarations:()=>IIe,affectsEmitOptionDeclarations:()=>EIe,allKeysStartWithDot:()=>lQ,altDirectorySeparator:()=>QJ,and:()=>jJ,append:()=>fn,appendIfUnique:()=>n_,arrayFrom:()=>as,arrayIsEqualTo:()=>i_,arrayIsHomogeneous:()=>KDe,arrayOf:()=>zc,arrayReverseIterator:()=>Bw,arrayToMap:()=>Ld,arrayToMultiMap:()=>Cn,arrayToNumericMap:()=>Sa,assertType:()=>MKe,assign:()=>$u,asyncSuperHelper:()=>hX,attachFileToDiagnostics:()=>TP,base64decode:()=>hDe,base64encode:()=>fDe,binarySearch:()=>Jt,binarySearchKey:()=>ti,bindSourceFile:()=>vNe,breakIntoCharacterSpans:()=>YAe,breakIntoWordSpans:()=>e4e,buildLinkParts:()=>SAe,buildOpts:()=>EH,buildOverload:()=>aht,bundlerModuleNameResolver:()=>aNe,canBeConvertedToAsync:()=>Ohe,canHaveDecorators:()=>uD,canHaveExportModifier:()=>eH,canHaveFlowNode:()=>wV,canHaveIllegalDecorators:()=>Fle,canHaveIllegalModifiers:()=>cIe,canHaveIllegalType:()=>UQe,canHaveIllegalTypeParameters:()=>aIe,canHaveJSDoc:()=>xV,canHaveLocals:()=>Ay,canHaveModifiers:()=>Fg,canHaveModuleSpecifier:()=>PTe,canHaveSymbol:()=>M0,canIncludeBindAndCheckDiagnostics:()=>r7,canJsonReportNoInputFiles:()=>O7,canProduceDiagnostics:()=>TQ,canUsePropertyAccess:()=>Gce,canWatchAffectingLocation:()=>ZLe,canWatchAtTypes:()=>QLe,canWatchDirectoryOrFile:()=>$Q,cartesianProduct:()=>vxe,cast:()=>ha,chainBundle:()=>q0,chainDiagnosticMessages:()=>jo,changeAnyExtension:()=>wW,changeCompilerHostLikeToUseCache:()=>Q7,changeExtension:()=>cx,changeFullExtension:()=>YJ,changesAffectModuleResolution:()=>TG,changesAffectingProgramStructure:()=>j2e,characterCodeToRegularExpressionFlag:()=>Roe,childIsDecorated:()=>N8,classElementOrClassElementParameterIsDecorated:()=>Mae,classHasClassThisAssignment:()=>Uue,classHasDeclaredOrExplicitlyAssignedName:()=>que,classHasExplicitlyAssignedName:()=>wQ,classOrConstructorParameterIsDecorated:()=>dS,classicNameResolver:()=>_Ne,classifier:()=>C6e,cleanExtendedConfigCache:()=>LQ,clear:()=>An,clearMap:()=>X_,clearSharedExtendedConfigFileWatcher:()=>sde,climbPastPropertyAccess:()=>_Z,clone:()=>Jd,cloneCompilerOptions:()=>Ffe,closeFileWatcher:()=>d0,closeFileWatcherOf:()=>Zm,codefix:()=>wh,collapseTextChangeRangesAcrossMultipleVersions:()=>n2e,collectExternalModuleInfo:()=>Vue,combine:()=>rS,combinePaths:()=>zr,commandLineOptionOfCustomType:()=>PIe,commentPragmas:()=>GJ,commonOptionsWithBuild:()=>jX,compact:()=>Rw,compareBooleans:()=>Ak,compareDataObjects:()=>xce,compareDiagnostics:()=>Z8,compareEmitHelpers:()=>kEe,compareNumberOfDirectorySeparators:()=>ZV,comparePaths:()=>Hb,comparePathsCaseInsensitive:()=>rXe,comparePathsCaseSensitive:()=>nXe,comparePatternKeys:()=>Cue,compareProperties:()=>pxe,compareStringsCaseInsensitive:()=>mW,compareStringsCaseInsensitiveEslintCompatible:()=>fxe,compareStringsCaseSensitive:()=>sh,compareStringsCaseSensitiveUI:()=>gW,compareTextSpans:()=>VJ,compareValues:()=>_l,compilerOptionsAffectDeclarationPath:()=>ADe,compilerOptionsAffectEmit:()=>PDe,compilerOptionsAffectSemanticDiagnostics:()=>LDe,compilerOptionsDidYouMeanDiagnostics:()=>$X,compilerOptionsIndicateEsModules:()=>zfe,computeCommonSourceDirectoryOfFilenames:()=>TLe,computeLineAndCharacterOfPosition:()=>P6,computeLineOfPosition:()=>a8,computeLineStarts:()=>ZL,computePositionOfLineAndCharacter:()=>nG,computeSignatureWithDiagnostics:()=>Tde,computeSuggestionDiagnostics:()=>Lhe,computedOptions:()=>Cd,concatenate:()=>Js,concatenateDiagnosticMessageChains:()=>TDe,consumesNodeCoreModules:()=>jZ,contains:()=>Yt,containsIgnoredPath:()=>a7,containsObjectRestOrSpread:()=>xH,containsParseError:()=>oP,containsPath:()=>Vm,convertCompilerOptionsForTelemetry:()=>GIe,convertCompilerOptionsFromJson:()=>ZZe,convertJsonOption:()=>BI,convertToBase64:()=>dDe,convertToJson:()=>LH,convertToObject:()=>HIe,convertToOptionsWithAbsolutePaths:()=>XX,convertToRelativePath:()=>s8,convertToTSConfig:()=>sue,convertTypeAcquisitionFromJson:()=>YZe,copyComments:()=>qI,copyEntries:()=>DG,copyLeadingComments:()=>iO,copyProperties:()=>wg,copyTrailingAsLeadingComments:()=>xj,copyTrailingComments:()=>h5,couldStartTrivia:()=>Uke,countWhere:()=>$i,createAbstractBuilder:()=>ltt,createAccessorPropertyBackingField:()=>Vle,createAccessorPropertyGetRedirector:()=>mIe,createAccessorPropertySetRedirector:()=>gIe,createBaseNodeFactory:()=>cEe,createBinaryExpressionTrampoline:()=>BX,createBuilderProgram:()=>Dde,createBuilderProgramUsingIncrementalBuildInfo:()=>GLe,createBuilderStatusReporter:()=>tZ,createCacheableExportInfoMap:()=>bhe,createCachedDirectoryStructureHost:()=>IQ,createClassifier:()=>Hit,createCommentDirectivesMap:()=>G2e,createCompilerDiagnostic:()=>Zl,createCompilerDiagnosticForInvalidCustomType:()=>AIe,createCompilerDiagnosticFromMessageChain:()=>PK,createCompilerHost:()=>DLe,createCompilerHostFromProgramHost:()=>$de,createCompilerHostWorker:()=>PQ,createDetachedDiagnostic:()=>kP,createDiagnosticCollection:()=>OV,createDiagnosticForFileFromMessageChain:()=>Nae,createDiagnosticForNode:()=>Kn,createDiagnosticForNodeArray:()=>q6,createDiagnosticForNodeArrayFromMessageChain:()=>eV,createDiagnosticForNodeFromMessageChain:()=>Bv,createDiagnosticForNodeInSourceFile:()=>jm,createDiagnosticForRange:()=>cTe,createDiagnosticMessageChainFromDiagnostic:()=>aTe,createDiagnosticReporter:()=>aA,createDocumentPositionMapper:()=>ANe,createDocumentRegistry:()=>jAe,createDocumentRegistryInternal:()=>khe,createEmitAndSemanticDiagnosticsBuilderProgram:()=>Lde,createEmitHelperFactory:()=>xEe,createEmptyExports:()=>gH,createEvaluator:()=>sEe,createExpressionForJsxElement:()=>tIe,createExpressionForJsxFragment:()=>iIe,createExpressionForObjectLiteralElementLike:()=>nIe,createExpressionForPropertyName:()=>Lle,createExpressionFromEntityName:()=>yH,createExternalHelpersImportDeclarationIfNeeded:()=>Ole,createFileDiagnostic:()=>Md,createFileDiagnosticFromMessageChain:()=>BG,createFlowNode:()=>zy,createForOfBindingStatement:()=>Nle,createFutureSourceFile:()=>GZ,createGetCanonicalFileName:()=>Mf,createGetIsolatedDeclarationErrors:()=>fLe,createGetSourceFile:()=>ude,createGetSymbolAccessibilityDiagnosticForNode:()=>xS,createGetSymbolAccessibilityDiagnosticForNodeName:()=>dLe,createGetSymbolWalker:()=>bNe,createIncrementalCompilerHost:()=>eZ,createIncrementalProgram:()=>sPe,createJsxFactoryExpression:()=>Ile,createLanguageService:()=>y6e,createLanguageServiceSourceFile:()=>hY,createMemberAccessForPropertyName:()=>RI,createModeAwareCache:()=>M3,createModeAwareCacheKey:()=>B7,createModeMismatchDetails:()=>_ae,createModuleNotFoundChain:()=>IG,createModuleResolutionCache:()=>R3,createModuleResolutionLoader:()=>gde,createModuleResolutionLoaderUsingGlobalCache:()=>iPe,createModuleSpecifierResolutionHost:()=>dA,createMultiMap:()=>Of,createNameResolver:()=>Yce,createNodeConverters:()=>dEe,createNodeFactory:()=>rH,createOptionNameMap:()=>UX,createOverload:()=>ppe,createPackageJsonImportFilter:()=>nO,createPackageJsonInfo:()=>fhe,createParenthesizerRules:()=>lEe,createPatternMatcher:()=>JAe,createPrinter:()=>bx,createPrinterWithDefaults:()=>CLe,createPrinterWithRemoveComments:()=>_D,createPrinterWithRemoveCommentsNeverAsciiEscape:()=>SLe,createPrinterWithRemoveCommentsOmitTrailingSemicolon:()=>rde,createProgram:()=>YH,createProgramHost:()=>Jde,createPropertyNameNodeForIdentifierOrLiteral:()=>tX,createQueue:()=>JR,createRange:()=>Um,createRedirectedBuilderProgram:()=>Nde,createResolutionCache:()=>Ade,createRuntimeTypeSerializer:()=>GNe,createScanner:()=>Ov,createSemanticDiagnosticsBuilderProgram:()=>ctt,createSet:()=>Xse,createSolutionBuilder:()=>uPe,createSolutionBuilderHost:()=>cPe,createSolutionBuilderWithWatch:()=>dPe,createSolutionBuilderWithWatchHost:()=>lPe,createSortedArray:()=>Lk,createSourceFile:()=>ZP,createSourceMapGenerator:()=>ENe,createSourceMapSource:()=>SQe,createSuperAccessVariableStatement:()=>kQ,createSymbolTable:()=>_a,createSymlinkCache:()=>Oce,createSyntacticTypeNodeBuilder:()=>IPe,createSystemWatchFunctions:()=>Rke,createTextChange:()=>mj,createTextChangeFromStartLength:()=>DZ,createTextChangeRange:()=>AW,createTextRangeFromNode:()=>Vfe,createTextRangeFromSpan:()=>TZ,createTextSpan:()=>Ff,createTextSpanFromBounds:()=>Fu,createTextSpanFromNode:()=>f_,createTextSpanFromRange:()=>DS,createTextSpanFromStringLiteralLikeContent:()=>Wfe,createTextWriter:()=>FV,createTokenRange:()=>bce,createTypeChecker:()=>TNe,createTypeReferenceDirectiveResolutionCache:()=>sQ,createTypeReferenceResolutionLoader:()=>MQ,createWatchCompilerHost:()=>vtt,createWatchCompilerHostOfConfigFile:()=>Gde,createWatchCompilerHostOfFilesAndCompilerOptions:()=>Kde,createWatchFactory:()=>qde,createWatchHost:()=>Ude,createWatchProgram:()=>Xde,createWatchStatusReporter:()=>Ode,createWriteFileMeasuringIO:()=>dde,declarationNameToString:()=>al,decodeMappings:()=>Fue,decodedTextSpanIntersectsWith:()=>PW,deduplicate:()=>Wb,defaultInitCompilerOptions:()=>Zle,defaultMaximumTruncationLength:()=>x8,diagnosticCategoryName:()=>nI,diagnosticToString:()=>bD,diagnosticsEqualityComparer:()=>AK,directoryProbablyExists:()=>B0,directorySeparator:()=>Kl,displayPart:()=>Z_,displayPartsToString:()=>Wj,disposeEmitNodes:()=>nle,documentSpansEqual:()=>Xfe,dumpTracingLegend:()=>Lxe,elementAt:()=>sm,elideNodes:()=>pIe,emitDetachedComments:()=>tDe,emitFiles:()=>ide,emitFilesAndReportErrors:()=>XQ,emitFilesAndReportErrorsAndGetExitStatus:()=>zde,emitModuleKindIsNonNodeESM:()=>KV,emitNewLineBeforeLeadingCommentOfPosition:()=>eDe,emitResolverSkipsTypeChecking:()=>tde,emitSkippedWithNoDiagnostics:()=>Cde,emptyArray:()=>w,emptyFileSystemEntries:()=>Vce,emptyMap:()=>I,emptyOptions:()=>Bg,endsWith:()=>su,ensurePathIsNonModuleName:()=>sI,ensureScriptKind:()=>qK,ensureTrailingDirectorySeparator:()=>Ad,entityNameToString:()=>bp,enumerateInsertsAndDeletes:()=>UJ,equalOwnProperties:()=>Af,equateStringsCaseInsensitive:()=>jw,equateStringsCaseSensitive:()=>NT,equateValues:()=>Hw,escapeJsxAttributeString:()=>sce,escapeLeadingUnderscores:()=>cu,escapeNonAsciiString:()=>dK,escapeSnippetText:()=>YT,escapeString:()=>Vy,escapeTemplateSubstitution:()=>nce,evaluatorResult:()=>Rd,every:()=>Ce,executeCommandLine:()=>Ztt,expandPreOrPostfixIncrementOrDecrementExpression:()=>PX,explainFiles:()=>Bde,explainIfFileIsRedirectAndImpliedFormat:()=>Wde,exportAssignmentIsAlias:()=>EV,expressionResultIsUnused:()=>QDe,extend:()=>T_,extensionFromPath:()=>i7,extensionIsTS:()=>QK,extensionsNotSupportingExtensionlessResolution:()=>KK,externalHelpersModuleNameText:()=>jk,factory:()=>G,fileContainsPackageImport:()=>Lj,fileExtensionIs:()=>Xl,fileExtensionIsOneOf:()=>Ru,fileIncludeReasonToDiagnostics:()=>jde,fileShouldUseJavaScriptRequire:()=>vhe,filter:()=>$t,filterMutate:()=>Hi,filterSemanticDiagnostics:()=>VQ,find:()=>Fe,findAncestor:()=>Qi,findBestPatternMatch:()=>ioe,findChildOfKind:()=>Uc,findComputedPropertyNameCacheAssignment:()=>WX,findConfigFile:()=>cde,findConstructorDeclaration:()=>nH,findContainingList:()=>vZ,findDiagnosticForNode:()=>OAe,findFirstNonJsxWhitespaceToken:()=>tAe,findIndex:()=>ct,findLast:()=>rt,findLastIndex:()=>Mt,findListItemInfo:()=>eAe,findModifier:()=>Y3,findNextToken:()=>yD,findPackageJson:()=>AAe,findPackageJsons:()=>dhe,findPrecedingMatchingToken:()=>xZ,findPrecedingToken:()=>xd,findSuperStatementIndexPath:()=>vQ,findTokenOnLeftOfPosition:()=>hj,findUseStrictPrologue:()=>Ale,first:()=>ya,firstDefined:()=>J,firstDefinedIterator:()=>Y,firstIterator:()=>D6,firstOrOnly:()=>phe,firstOrUndefined:()=>Ra,firstOrUndefinedIterator:()=>k_,fixupCompilerOptions:()=>Mhe,flatMap:()=>Rr,flatMapIterator:()=>$d,flatMapToMutable:()=>na,flatten:()=>Dr,flattenCommaList:()=>yIe,flattenDestructuringAssignment:()=>HI,flattenDestructuringBinding:()=>hD,flattenDiagnosticMessageText:()=>$1,forEach:()=>O,forEachAncestor:()=>z2e,forEachAncestorDirectory:()=>kg,forEachChild:()=>Uo,forEachChildRecursively:()=>QP,forEachEmittedFile:()=>Que,forEachEnclosingBlockScopeContainer:()=>rTe,forEachEntry:()=>cf,forEachExternalModuleToImportFrom:()=>She,forEachImportClauseDeclaration:()=>ATe,forEachKey:()=>qb,forEachLeadingCommentRange:()=>TW,forEachNameInAccessChainWalkingLeft:()=>CDe,forEachNameOfDefaultExport:()=>XZ,forEachPropertyAssignment:()=>G6,forEachResolvedProjectReference:()=>yde,forEachReturnStatement:()=>uS,forEachRight:()=>z,forEachTrailingCommentRange:()=>DW,forEachTsConfigPropArray:()=>sV,forEachUnique:()=>Zfe,forEachYieldExpression:()=>fTe,formatColorAndReset:()=>pD,formatDiagnostic:()=>fde,formatDiagnostics:()=>Oet,formatDiagnosticsWithColorAndContext:()=>LLe,formatGeneratedName:()=>c2,formatGeneratedNamePart:()=>L3,formatLocation:()=>hde,formatMessage:()=>DP,formatStringFromArgs:()=>jv,formatting:()=>pd,generateDjb2Hash:()=>n8,generateTSConfig:()=>zIe,getAdjustedReferenceLocation:()=>Lfe,getAdjustedRenameLocation:()=>CZ,getAliasDeclarationFromName:()=>Jae,getAllAccessorDeclarations:()=>nx,getAllDecoratorsOfClass:()=>jue,getAllDecoratorsOfClassElement:()=>CQ,getAllJSDocTags:()=>uG,getAllJSDocTagsOfKind:()=>DXe,getAllKeys:()=>vo,getAllProjectOutputs:()=>EQ,getAllSuperTypeNodes:()=>F8,getAllowJSCompilerOption:()=>ox,getAllowSyntheticDefaultImports:()=>EP,getAncestor:()=>Xk,getAnyExtensionFromPath:()=>L6,getAreDeclarationMapsEnabled:()=>MK,getAssignedExpandoInitializer:()=>dP,getAssignedName:()=>aG,getAssignmentDeclarationKind:()=>Bu,getAssignmentDeclarationPropertyAccessKind:()=>yV,getAssignmentTargetKind:()=>Kk,getAutomaticTypeDirectiveNames:()=>nQ,getBaseFileName:()=>ud,getBinaryOperatorPrecedence:()=>AV,getBuildInfo:()=>nde,getBuildInfoFileVersionMap:()=>Ide,getBuildInfoText:()=>vLe,getBuildOrderFromAnyBuildOrder:()=>nj,getBuilderCreationParameters:()=>UQ,getBuilderFileEmit:()=>Cx,getCanonicalDiagnostic:()=>lTe,getCheckFlags:()=>Iu,getClassExtendsHeritageElement:()=>qT,getClassLikeDeclarationOfSymbol:()=>Xb,getCombinedLocalAndExportSymbolFlags:()=>l3,getCombinedModifierFlags:()=>Rk,getCombinedNodeFlags:()=>zb,getCombinedNodeFlagsAlwaysIncludeJSDoc:()=>joe,getCommentRange:()=>B1,getCommonSourceDirectory:()=>X7,getCommonSourceDirectoryOfConfig:()=>oA,getCompilerOptionValue:()=>BK,getCompilerOptionsDiffValue:()=>jIe,getConditions:()=>gx,getConfigFileParsingDiagnostics:()=>mD,getConstantValue:()=>mEe,getContainerFlags:()=>wue,getContainerNode:()=>UI,getContainingClass:()=>Cf,getContainingClassExcludingClassDecorators:()=>$G,getContainingClassStaticBlock:()=>STe,getContainingFunction:()=>Up,getContainingFunctionDeclaration:()=>CTe,getContainingFunctionOrClassStaticBlock:()=>qG,getContainingNodeArray:()=>ZDe,getContainingObjectLiteralElement:()=>Vj,getContextualTypeFromParent:()=>RZ,getContextualTypeFromParentOrAncestorTypeNode:()=>bZ,getDeclarationDiagnostics:()=>hLe,getDeclarationEmitExtensionForPath:()=>_K,getDeclarationEmitOutputFilePath:()=>GTe,getDeclarationEmitOutputFilePathWorker:()=>hK,getDeclarationFileExtension:()=>Ule,getDeclarationFromName:()=>R8,getDeclarationModifierFlagsFromSymbol:()=>$m,getDeclarationOfKind:()=>ql,getDeclarationsOfKind:()=>H2e,getDeclaredExpandoInitializer:()=>A8,getDecorators:()=>$w,getDefaultCompilerOptions:()=>fY,getDefaultFormatCodeSettings:()=>uZ,getDefaultLibFileName:()=>IW,getDefaultLibFilePath:()=>v6e,getDefaultLikeExportInfo:()=>KZ,getDefaultLikeExportNameFromDeclaration:()=>mhe,getDefaultResolutionModeForFileWorker:()=>WQ,getDiagnosticText:()=>M_,getDiagnosticsWithinSpan:()=>MAe,getDirectoryPath:()=>ts,getDirectoryToWatchFailedLookupLocation:()=>Pde,getDirectoryToWatchFailedLookupLocationFromTypeRoot:()=>ePe,getDocumentPositionMapper:()=>Nhe,getDocumentSpansEqualityComparer:()=>Qfe,getESModuleInterop:()=>zv,getEditsForFileRename:()=>UAe,getEffectiveBaseTypeNode:()=>L1,getEffectiveConstraintOfTypeParameter:()=>R6,getEffectiveContainerForJSDocTemplateTag:()=>rK,getEffectiveImplementsTypeNodes:()=>i3,getEffectiveInitializer:()=>pV,getEffectiveJSDocHost:()=>Gk,getEffectiveModifierFlags:()=>jh,getEffectiveModifierFlagsAlwaysIncludeJSDoc:()=>sDe,getEffectiveModifierFlagsNoCache:()=>oDe,getEffectiveReturnTypeNode:()=>wp,getEffectiveSetAccessorTypeAnnotationNode:()=>YTe,getEffectiveTypeAnnotationNode:()=>dd,getEffectiveTypeParameterDeclarations:()=>Jw,getEffectiveTypeRoots:()=>F7,getElementOrPropertyAccessArgumentExpressionOrName:()=>nK,getElementOrPropertyAccessName:()=>$b,getElementsOfBindingOrAssignmentPattern:()=>N3,getEmitDeclarations:()=>Q_,getEmitFlags:()=>Ya,getEmitHelpers:()=>dX,getEmitModuleDetectionKind:()=>EDe,getEmitModuleFormatOfFileWorker:()=>e5,getEmitModuleKind:()=>zh,getEmitModuleResolutionKind:()=>yh,getEmitScriptTarget:()=>Ja,getEmitStandardClassFields:()=>Pce,getEnclosingBlockScopeContainer:()=>R0,getEnclosingContainer:()=>FG,getEncodedSemanticClassifications:()=>whe,getEncodedSyntacticClassifications:()=>xhe,getEndLinePosition:()=>KW,getEntityNameFromTypeNode:()=>cV,getEntrypointsFromPackageJsonInfo:()=>yue,getErrorCountForSummary:()=>GQ,getErrorSpanForNode:()=>pI,getErrorSummaryText:()=>Rde,getEscapedTextOfIdentifierOrLiteral:()=>V8,getEscapedTextOfJsxAttributeName:()=>u7,getEscapedTextOfJsxNamespacedName:()=>LP,getExpandoInitializer:()=>$k,getExportAssignmentExpression:()=>Gae,getExportInfoMap:()=>Pj,getExportNeedsImportStarHelper:()=>ONe,getExpressionAssociativity:()=>tce,getExpressionPrecedence:()=>H8,getExternalHelpersModuleName:()=>MX,getExternalModuleImportEqualsDeclarationExpression:()=>L8,getExternalModuleName:()=>fP,getExternalModuleNameFromDeclaration:()=>$Te,getExternalModuleNameFromPath:()=>cce,getExternalModuleNameLiteral:()=>XP,getExternalModuleRequireArgument:()=>Fae,getFallbackOptions:()=>XH,getFileEmitOutput:()=>OLe,getFileMatcherPatterns:()=>UK,getFileNamesFromConfigSpecs:()=>M7,getFileWatcherEventKind:()=>Eoe,getFilesInErrorForSummary:()=>KQ,getFirstConstructorWithBody:()=>Vv,getFirstIdentifier:()=>K_,getFirstNonSpaceCharacterPosition:()=>kAe,getFirstProjectOutput:()=>ede,getFixableErrorSpanExpression:()=>hhe,getFormatCodeSettingsForWriting:()=>$Z,getFullWidth:()=>GW,getFunctionFlags:()=>nd,getHeritageClause:()=>IV,getHostSignatureFromJSDoc:()=>Jk,getIdentifierAutoGenerate:()=>kQe,getIdentifierGeneratedImportReference:()=>SEe,getIdentifierTypeArguments:()=>kI,getImmediatelyInvokedFunctionExpression:()=>VT,getImpliedNodeFormatForEmitWorker:()=>jI,getImpliedNodeFormatForFile:()=>ZH,getImpliedNodeFormatForFileWorker:()=>BQ,getImportNeedsImportDefaultHelper:()=>Wue,getImportNeedsImportStarHelper:()=>gQ,getIndentString:()=>fK,getInferredLibraryNameResolveFrom:()=>FQ,getInitializedVariables:()=>K8,getInitializerOfBinaryExpression:()=>Hae,getInitializerOfBindingOrAssignmentElement:()=>CH,getInterfaceBaseTypeNodes:()=>B8,getInternalEmitFlags:()=>u0,getInvokedExpression:()=>KG,getIsFileExcluded:()=>WAe,getIsolatedModules:()=>Ag,getJSDocAugmentsTag:()=>h2e,getJSDocClassTag:()=>qoe,getJSDocCommentRanges:()=>Pae,getJSDocCommentsAndTags:()=>jae,getJSDocDeprecatedTag:()=>$oe,getJSDocDeprecatedTagNoCache:()=>b2e,getJSDocEnumTag:()=>Joe,getJSDocHost:()=>zT,getJSDocImplementsTags:()=>_2e,getJSDocOverloadTags:()=>Uae,getJSDocOverrideTagNoCache:()=>v2e,getJSDocParameterTags:()=>M6,getJSDocParameterTagsNoCache:()=>l2e,getJSDocPrivateTag:()=>wXe,getJSDocPrivateTagNoCache:()=>m2e,getJSDocProtectedTag:()=>xXe,getJSDocProtectedTagNoCache:()=>g2e,getJSDocPublicTag:()=>SXe,getJSDocPublicTagNoCache:()=>p2e,getJSDocReadonlyTag:()=>kXe,getJSDocReadonlyTagNoCache:()=>y2e,getJSDocReturnTag:()=>C2e,getJSDocReturnType:()=>MW,getJSDocRoot:()=>t3,getJSDocSatisfiesExpressionType:()=>Xce,getJSDocSatisfiesTag:()=>Goe,getJSDocTags:()=>Wk,getJSDocTemplateTag:()=>TXe,getJSDocThisTag:()=>cG,getJSDocType:()=>Bk,getJSDocTypeAliasName:()=>Rle,getJSDocTypeAssertionType:()=>N7,getJSDocTypeParameterDeclarations:()=>vK,getJSDocTypeParameterTags:()=>u2e,getJSDocTypeParameterTagsNoCache:()=>d2e,getJSDocTypeTag:()=>Fk,getJSXImplicitImportBase:()=>VK,getJSXRuntimeImport:()=>HK,getJSXTransformEnabled:()=>WK,getKeyForCompilerOptions:()=>hue,getLanguageVariant:()=>qV,getLastChild:()=>kce,getLeadingCommentRanges:()=>Av,getLeadingCommentRangesOfNode:()=>Lae,getLeftmostAccessExpression:()=>u3,getLeftmostExpression:()=>d3,getLibraryNameFromLibFileName:()=>vde,getLineAndCharacterOfPosition:()=>Ia,getLineInfo:()=>Rue,getLineOfLocalPosition:()=>j8,getLineStartPositionForPosition:()=>Wg,getLineStarts:()=>Lv,getLinesBetweenPositionAndNextNonWhitespaceCharacter:()=>yDe,getLinesBetweenPositionAndPrecedingNonWhitespaceCharacter:()=>gDe,getLinesBetweenPositions:()=>c8,getLinesBetweenRangeEndAndRangeStart:()=>Cce,getLinesBetweenRangeEndPositions:()=>iQe,getLiteralText:()=>Q2e,getLocalNameForExternalImport:()=>I3,getLocalSymbolForExportDefault:()=>$8,getLocaleSpecificMessage:()=>Bo,getLocaleTimeString:()=>ij,getMappedContextSpan:()=>Yfe,getMappedDocumentSpan:()=>AZ,getMappedLocation:()=>u5,getMatchedFileSpec:()=>Vde,getMatchedIncludeSpec:()=>Hde,getMeaningFromDeclaration:()=>fZ,getMeaningFromLocation:()=>zI,getMembersOfDeclaration:()=>hTe,getModeForFileReference:()=>AQ,getModeForResolutionAtIndex:()=>Vet,getModeForUsageLocation:()=>pde,getModifiedTime:()=>XL,getModifiers:()=>PT,getModuleInstanceState:()=>nC,getModuleNameStringLiteralAt:()=>ej,getModuleSpecifierEndingPreference:()=>HDe,getModuleSpecifierResolverHost:()=>Ufe,getNameForExportedSymbol:()=>zZ,getNameFromImportAttribute:()=>sX,getNameFromIndexInfo:()=>sTe,getNameFromPropertyName:()=>yj,getNameOfAccessExpression:()=>Dce,getNameOfCompilerOptionValue:()=>oue,getNameOfDeclaration:()=>No,getNameOfExpando:()=>Bae,getNameOfJSDocTypedef:()=>c2e,getNameOfScriptTarget:()=>FK,getNameOrArgument:()=>gV,getNameTable:()=>s_e,getNamespaceDeclarationNode:()=>Y6,getNewLineCharacter:()=>mS,getNewLineKind:()=>Nj,getNewLineOrDefaultFromHost:()=>rC,getNewTargetContainer:()=>xTe,getNextJSDocCommentLocation:()=>zae,getNodeChildren:()=>Dle,getNodeForGeneratedName:()=>wH,getNodeId:()=>Sc,getNodeKind:()=>gD,getNodeModifiers:()=>a5,getNodeModulePathParts:()=>iX,getNonAssignedNameOfDeclaration:()=>oG,getNonAssignmentOperatorForCompoundAssignment:()=>U7,getNonAugmentationDeclaration:()=>xae,getNonDecoratorTokenPosOfNode:()=>yae,getNonIncrementalBuildInfoRoots:()=>KLe,getNonModifierTokenPosOfNode:()=>K2e,getNormalizedAbsolutePath:()=>wo,getNormalizedAbsolutePathWithoutRoot:()=>Poe,getNormalizedPathComponents:()=>SW,getObjectFlags:()=>Or,getOperatorAssociativity:()=>ice,getOperatorPrecedence:()=>PV,getOptionFromName:()=>eue,getOptionsForLibraryResolution:()=>_ue,getOptionsNameMap:()=>A3,getOrCreateEmitNode:()=>ch,getOrUpdate:()=>mp,getOriginalNode:()=>Ql,getOriginalNodeId:()=>d_,getOutputDeclarationFileName:()=>j3,getOutputDeclarationFileNameWorker:()=>Zue,getOutputExtension:()=>DQ,getOutputFileNames:()=>Pet,getOutputJSFileNameWorker:()=>Yue,getOutputPathsFor:()=>K7,getOwnEmitOutputFilePath:()=>JTe,getOwnKeys:()=>wr,getOwnValues:()=>So,getPackageJsonTypesVersionsPaths:()=>iQ,getPackageNameFromTypesPackageName:()=>H7,getPackageScopeForPath:()=>V7,getParameterSymbolFromJSDoc:()=>kV,getParentNodeInSpan:()=>bj,getParseTreeNode:()=>Mo,getParsedCommandLineOfConfigFile:()=>IH,getPathComponents:()=>af,getPathFromPathComponents:()=>sS,getPathUpdater:()=>Dhe,getPathsBasePath:()=>pK,getPatternFromSpec:()=>FDe,getPendingEmitKindWithSeen:()=>zQ,getPositionOfLineAndCharacter:()=>xW,getPossibleGenericSignatures:()=>Afe,getPossibleOriginalInputExtensionForExtension:()=>KTe,getPossibleTypeArgumentsInfo:()=>Ofe,getPreEmitDiagnostics:()=>Aet,getPrecedingNonSpaceCharacterPosition:()=>OZ,getPrivateIdentifier:()=>zue,getProperties:()=>Hue,getProperty:()=>hr,getPropertyArrayElementValue:()=>bTe,getPropertyAssignmentAliasLikeExpression:()=>VTe,getPropertyNameForPropertyNameNode:()=>CI,getPropertyNameFromType:()=>Gm,getPropertyNameOfBindingOrAssignmentElement:()=>Mle,getPropertySymbolFromBindingElement:()=>PZ,getPropertySymbolsFromContextualType:()=>_Y,getQuoteFromPreference:()=>$fe,getQuotePreference:()=>$p,getRangesWhere:()=>Ey,getRefactorContextSpan:()=>fA,getReferencedFileLocation:()=>Y7,getRegexFromPattern:()=>ax,getRegularExpressionForWildcard:()=>Y8,getRegularExpressionsForWildcards:()=>jK,getRelativePathFromDirectory:()=>c0,getRelativePathFromFile:()=>o8,getRelativePathToDirectoryOrUrl:()=>QL,getRenameLocation:()=>wj,getReplacementSpanForContextToken:()=>Bfe,getResolutionDiagnostic:()=>wde,getResolutionModeOverride:()=>z3,getResolveJsonModule:()=>XT,getResolvePackageJsonExports:()=>JV,getResolvePackageJsonImports:()=>OK,getResolvedExternalModuleName:()=>ace,getResolvedModuleFromResolution:()=>sP,getResolvedTypeReferenceDirectiveFromResolution:()=>EG,getRestIndicatorOfBindingOrAssignmentElement:()=>RX,getRestParameterElementType:()=>Aae,getRightMostAssignedExpression:()=>mV,getRootDeclaration:()=>A1,getRootDirectoryOfResolutionCache:()=>tPe,getRootLength:()=>Ly,getScriptKind:()=>nhe,getScriptKindFromFileName:()=>$K,getScriptTargetFeatures:()=>vae,getSelectedEffectiveModifierFlags:()=>bP,getSelectedSyntacticModifierFlags:()=>nDe,getSemanticClassifications:()=>VAe,getSemanticJsxChildren:()=>r3,getSetAccessorTypeAnnotationNode:()=>QTe,getSetAccessorValueParameter:()=>a3,getSetExternalModuleIndicator:()=>$V,getShebang:()=>rG,getSingleVariableOfVariableStatement:()=>pP,getSnapshotText:()=>uA,getSnippetElement:()=>rle,getSourceFileOfModule:()=>LG,getSourceFileOfNode:()=>_n,getSourceFilePathInNewDir:()=>gK,getSourceFileVersionAsHashFromText:()=>QQ,getSourceFilesToEmit:()=>mK,getSourceMapRange:()=>yS,getSourceMapper:()=>i4e,getSourceTextOfNodeFromSourceFile:()=>RT,getSpanOfTokenAtPosition:()=>E1,getSpellingSuggestion:()=>LT,getStartPositionOfLine:()=>Yw,getStartPositionOfRange:()=>G8,getStartsOnNewLine:()=>f7,getStaticPropertiesAndClassStaticBlock:()=>bQ,getStrictOptionValue:()=>ah,getStringComparer:()=>E6,getSubPatternFromSpec:()=>zK,getSuperCallFromStatement:()=>yQ,getSuperContainer:()=>oV,getSupportedCodeFixes:()=>n_e,getSupportedExtensions:()=>e7,getSupportedExtensionsWithJsonIfResolveJsonModule:()=>QV,getSwitchedType:()=>che,getSymbolId:()=>Ba,getSymbolNameForPrivateIdentifier:()=>NV,getSymbolTarget:()=>rhe,getSyntacticClassifications:()=>HAe,getSyntacticModifierFlags:()=>_S,getSyntacticModifierFlagsNoCache:()=>hce,getSynthesizedDeepClone:()=>Ec,getSynthesizedDeepCloneWithReplacements:()=>Sj,getSynthesizedDeepClones:()=>vD,getSynthesizedDeepClonesWithReplacements:()=>she,getSyntheticLeadingComments:()=>y3,getSyntheticTrailingComments:()=>cH,getTargetLabel:()=>pZ,getTargetOfBindingOrAssignmentElement:()=>px,getTemporaryModuleResolutionState:()=>W7,getTextOfConstantValue:()=>Z2e,getTextOfIdentifierOrLiteral:()=>Lg,getTextOfJSDocComment:()=>RW,getTextOfJsxAttributeName:()=>iH,getTextOfJsxNamespacedName:()=>d7,getTextOfNode:()=>uu,getTextOfNodeFromSourceText:()=>T8,getTextOfPropertyName:()=>lP,getThisContainer:()=>Hh,getThisParameter:()=>$T,getTokenAtPosition:()=>Rs,getTokenPosOfNode:()=>ex,getTokenSourceMapRange:()=>wQe,getTouchingPropertyName:()=>R_,getTouchingToken:()=>Q3,getTrailingCommentRanges:()=>qw,getTrailingSemicolonDeferringWriter:()=>oce,getTransformers:()=>mLe,getTsBuildInfoEmitOutputFilePath:()=>h2,getTsConfigObjectLiteralExpression:()=>I8,getTsConfigPropArrayElementValue:()=>UG,getTypeAnnotationNode:()=>ZTe,getTypeArgumentOrTypeParameterList:()=>cAe,getTypeKeywordOfTypeOnlyImport:()=>Kfe,getTypeNode:()=>bEe,getTypeNodeIfAccessible:()=>p5,getTypeParameterFromJsDoc:()=>OTe,getTypeParameterOwner:()=>yXe,getTypesPackageName:()=>uQ,getUILocale:()=>hxe,getUniqueName:()=>$I,getUniqueSymbolId:()=>xAe,getUseDefineForClassFields:()=>GV,getWatchErrorSummaryDiagnosticMessage:()=>Mde,getWatchFactory:()=>ade,group:()=>qs,groupBy:()=>ra,guessIndentation:()=>W2e,handleNoEmitOptions:()=>Sde,handleWatchOptionsConfigDirTemplateSubstitution:()=>QX,hasAbstractModifier:()=>KT,hasAccessorModifier:()=>O1,hasAmbientModifier:()=>fce,hasChangesInResolutions:()=>pae,hasContextSensitiveParameters:()=>eX,hasDecorators:()=>lm,hasDocComment:()=>oAe,hasDynamicName:()=>Jb,hasEffectiveModifier:()=>xp,hasEffectiveModifiers:()=>dce,hasEffectiveReadonlyModifier:()=>z8,hasExtension:()=>N6,hasImplementationTSFileExtension:()=>WDe,hasIndexSignature:()=>ahe,hasInferredType:()=>aEe,hasInitializer:()=>aS,hasInvalidEscape:()=>rce,hasJSDocNodes:()=>jp,hasJSDocParameterTags:()=>f2e,hasJSFileExtension:()=>Uv,hasJsonModuleEmitEnabled:()=>RK,hasOnlyExpressionInitializer:()=>hI,hasOverrideModifier:()=>bK,hasPossibleExternalModuleReference:()=>nTe,hasProperty:()=>Li,hasPropertyAccessExpressionWithName:()=>lj,hasQuestionToken:()=>_P,hasRecordedExternalHelpers:()=>oIe,hasResolutionModeOverride:()=>nEe,hasRestParameter:()=>uae,hasScopeMarker:()=>L2e,hasStaticModifier:()=>bd,hasSyntacticModifier:()=>Zr,hasSyntacticModifiers:()=>iDe,hasTSFileExtension:()=>IP,hasTabstop:()=>eEe,hasTrailingDirectorySeparator:()=>Uw,hasType:()=>wG,hasTypeArguments:()=>$Xe,hasZeroOrOneAsteriskCharacter:()=>Ace,hostGetCanonicalFileName:()=>hS,hostUsesCaseSensitiveFileNames:()=>yP,idText:()=>Fr,identifierIsThisKeyword:()=>uce,identifierToKeywordKind:()=>aI,identity:()=>hl,identitySourceMapConsumer:()=>Bue,ignoreSourceNewlines:()=>ole,ignoredPaths:()=>XJ,importFromModuleSpecifier:()=>O8,importSyntaxAffectsModuleResolution:()=>Lce,indexOfAnyCharCode:()=>Bi,indexOfNode:()=>U6,indicesOf:()=>Nk,inferredTypesContainingFile:()=>Z7,injectClassNamedEvaluationHelperBlockIfMissing:()=>xQ,injectClassThisAssignmentIfMissing:()=>jNe,insertImports:()=>Gfe,insertSorted:()=>rm,insertStatementAfterCustomPrologue:()=>_I,insertStatementAfterStandardPrologue:()=>WXe,insertStatementsAfterCustomPrologue:()=>mae,insertStatementsAfterStandardPrologue:()=>Fv,intersperse:()=>ye,intrinsicTagNameToString:()=>Qce,introducesArgumentsExoticObject:()=>gTe,inverseJsxOptionMap:()=>DH,isAbstractConstructorSymbol:()=>vDe,isAbstractModifier:()=>PEe,isAccessExpression:()=>Sl,isAccessibilityModifier:()=>Rfe,isAccessor:()=>Qw,isAccessorModifier:()=>OEe,isAliasableExpression:()=>sK,isAmbientModule:()=>Vh,isAmbientPropertyDeclaration:()=>Tae,isAnyDirectorySeparator:()=>Ioe,isAnyImportOrBareOrAccessedRequire:()=>tTe,isAnyImportOrReExport:()=>ZW,isAnyImportOrRequireStatement:()=>iTe,isAnyImportSyntax:()=>cP,isAnySupportedFileExtension:()=>pQe,isApplicableVersionedTypesKey:()=>RH,isArgumentExpressionOfElementAccess:()=>xfe,isArray:()=>Ao,isArrayBindingElement:()=>gG,isArrayBindingOrAssignmentElement:()=>jW,isArrayBindingOrAssignmentPattern:()=>rae,isArrayBindingPattern:()=>CS,isArrayLiteralExpression:()=>jf,isArrayLiteralOrObjectLiteralDestructuringPattern:()=>TS,isArrayTypeNode:()=>hH,isArrowFunction:()=>xl,isAsExpression:()=>v7,isAssertClause:()=>VEe,isAssertEntry:()=>OQe,isAssertionExpression:()=>Hk,isAssertsKeyword:()=>NEe,isAssignmentDeclaration:()=>P8,isAssignmentExpression:()=>lf,isAssignmentOperator:()=>Gb,isAssignmentPattern:()=>S8,isAssignmentTarget:()=>fS,isAsteriskToken:()=>uH,isAsyncFunction:()=>W8,isAsyncModifier:()=>m7,isAutoAccessorPropertyDeclaration:()=>I_,isAwaitExpression:()=>hx,isAwaitKeyword:()=>dle,isBigIntLiteral:()=>p7,isBinaryExpression:()=>ur,isBinaryLogicalOperator:()=>BV,isBinaryOperatorToken:()=>_Ie,isBindableObjectDefinePropertyCall:()=>vI,isBindableStaticAccessExpression:()=>jT,isBindableStaticElementAccessExpression:()=>iK,isBindableStaticNameExpression:()=>bI,isBindingElement:()=>ec,isBindingElementOfBareOrAccessedRequire:()=>DTe,isBindingName:()=>dI,isBindingOrAssignmentElement:()=>D2e,isBindingOrAssignmentPattern:()=>VW,isBindingPattern:()=>ta,isBlock:()=>Xo,isBlockLike:()=>hA,isBlockOrCatchScoped:()=>bae,isBlockScope:()=>Dae,isBlockScopedContainerTopLevel:()=>eTe,isBooleanLiteral:()=>C8,isBreakOrContinueStatement:()=>m8,isBreakStatement:()=>LQe,isBuild:()=>wPe,isBuildInfoFile:()=>gLe,isBuilderProgram:()=>Fde,isBundle:()=>UEe,isCallChain:()=>cI,isCallExpression:()=>la,isCallExpressionTarget:()=>yfe,isCallLikeExpression:()=>MT,isCallLikeOrFunctionLikeExpression:()=>sae,isCallOrNewExpression:()=>T1,isCallOrNewExpressionTarget:()=>vfe,isCallSignatureDeclaration:()=>VP,isCallToHelper:()=>_7,isCaseBlock:()=>w7,isCaseClause:()=>x3,isCaseKeyword:()=>MEe,isCaseOrDefaultClause:()=>CG,isCatchClause:()=>lD,isCatchClauseVariableDeclaration:()=>YDe,isCatchClauseVariableDeclarationOrBindingElement:()=>Cae,isCheckJsEnabledForFile:()=>n7,isCircularBuildOrder:()=>cA,isClassDeclaration:()=>hd,isClassElement:()=>Od,isClassExpression:()=>fd,isClassInstanceProperty:()=>k2e,isClassLike:()=>ss,isClassMemberModifier:()=>tae,isClassNamedEvaluationHelperBlock:()=>sA,isClassOrTypeElement:()=>mG,isClassStaticBlockDeclaration:()=>gu,isClassThisAssignmentBlock:()=>$7,isColonToken:()=>EEe,isCommaExpression:()=>vH,isCommaListExpression:()=>C7,isCommaSequence:()=>I7,isCommaToken:()=>DEe,isComment:()=>kZ,isCommonJsExportPropertyAssignment:()=>jG,isCommonJsExportedExpression:()=>pTe,isCompoundAssignment:()=>z7,isComputedNonLiteralName:()=>YW,isComputedPropertyName:()=>Ka,isConciseBody:()=>vG,isConditionalExpression:()=>qP,isConditionalTypeNode:()=>iD,isConstAssertion:()=>Zce,isConstTypeReference:()=>O0,isConstructSignatureDeclaration:()=>fH,isConstructorDeclaration:()=>iu,isConstructorTypeNode:()=>v3,isContextualKeyword:()=>aK,isContinueStatement:()=>NQe,isCustomPrologue:()=>rV,isDebuggerStatement:()=>PQe,isDeclaration:()=>Wf,isDeclarationBindingElement:()=>WW,isDeclarationFileName:()=>df,isDeclarationName:()=>Wy,isDeclarationNameOfEnumOrNamespace:()=>Sce,isDeclarationReadonly:()=>tV,isDeclarationStatement:()=>M2e,isDeclarationWithTypeParameterChildren:()=>Iae,isDeclarationWithTypeParameters:()=>Eae,isDecorator:()=>Fd,isDecoratorTarget:()=>KPe,isDefaultClause:()=>k7,isDefaultImport:()=>hP,isDefaultModifier:()=>yX,isDefaultedExpandoInitializer:()=>ETe,isDeleteExpression:()=>FEe,isDeleteTarget:()=>$ae,isDeprecatedDeclaration:()=>UZ,isDestructuringAssignment:()=>pS,isDiskPathRoot:()=>Noe,isDoStatement:()=>IQe,isDocumentRegistryEntry:()=>Aj,isDotDotDotToken:()=>mX,isDottedName:()=>VV,isDynamicName:()=>lK,isEffectiveExternalModule:()=>aP,isEffectiveStrictModeSourceFile:()=>kae,isElementAccessChain:()=>Koe,isElementAccessExpression:()=>wl,isEmittedFileOfProgram:()=>xLe,isEmptyArrayLiteral:()=>uDe,isEmptyBindingElement:()=>s2e,isEmptyBindingPattern:()=>r2e,isEmptyObjectLiteral:()=>yce,isEmptyStatement:()=>mle,isEmptyStringLiteral:()=>Rae,isEntityName:()=>E_,isEntityNameExpression:()=>pl,isEnumConst:()=>Uk,isEnumDeclaration:()=>sD,isEnumMember:()=>SS,isEqualityOperatorKind:()=>FZ,isEqualsGreaterThanToken:()=>IEe,isExclamationToken:()=>dH,isExcludedFile:()=>qIe,isExclusivelyTypeOnlyImportOrExport:()=>_de,isExpandoPropertyDeclaration:()=>PP,isExportAssignment:()=>Il,isExportDeclaration:()=>Ju,isExportModifier:()=>BP,isExportName:()=>AX,isExportNamespaceAsDefaultDeclaration:()=>OG,isExportOrDefaultModifier:()=>SH,isExportSpecifier:()=>vh,isExportsIdentifier:()=>yI,isExportsOrModuleExportsOrAlias:()=>dD,isExpression:()=>jt,isExpressionNode:()=>F0,isExpressionOfExternalModuleImportEqualsDeclaration:()=>ZPe,isExpressionOfOptionalChainRoot:()=>fG,isExpressionStatement:()=>uf,isExpressionWithTypeArguments:()=>Yb,isExpressionWithTypeArgumentsInClassExtendsClause:()=>SK,isExternalModule:()=>wd,isExternalModuleAugmentation:()=>BT,isExternalModuleImportEqualsDeclaration:()=>qk,isExternalModuleIndicator:()=>UW,isExternalModuleNameRelative:()=>Gd,isExternalModuleReference:()=>eC,isExternalModuleSymbol:()=>H6,isExternalOrCommonJsModule:()=>Cp,isFileLevelReservedGeneratedIdentifier:()=>BW,isFileLevelUniqueName:()=>PG,isFileProbablyExternalModule:()=>kH,isFirstDeclarationOfSymbolParameter:()=>ehe,isFixablePromiseHandler:()=>Ahe,isForInOrOfStatement:()=>fI,isForInStatement:()=>xX,isForInitializer:()=>Hm,isForOfStatement:()=>_H,isForStatement:()=>s2,isFullSourceFile:()=>Fy,isFunctionBlock:()=>WT,isFunctionBody:()=>aae,isFunctionDeclaration:()=>Wu,isFunctionExpression:()=>ml,isFunctionExpressionOrArrowFunction:()=>lx,isFunctionLike:()=>Ho,isFunctionLikeDeclaration:()=>Qc,isFunctionLikeKind:()=>tP,isFunctionLikeOrClassStaticBlockDeclaration:()=>B6,isFunctionOrConstructorTypeNode:()=>T2e,isFunctionOrModuleBlock:()=>iae,isFunctionSymbol:()=>LTe,isFunctionTypeNode:()=>Hy,isGeneratedIdentifier:()=>zl,isGeneratedPrivateIdentifier:()=>uI,isGetAccessor:()=>oS,isGetAccessorDeclaration:()=>um,isGetOrSetAccessorDeclaration:()=>FW,isGlobalScopeAugmentation:()=>Ry,isGlobalSourceFile:()=>cS,isGrammarError:()=>J2e,isHeritageClause:()=>Tp,isHoistedFunction:()=>VG,isHoistedVariableStatement:()=>HG,isIdentifier:()=>ot,isIdentifierANonContextualKeyword:()=>Qae,isIdentifierName:()=>WTe,isIdentifierOrThisTypeNode:()=>uIe,isIdentifierPart:()=>jb,isIdentifierStart:()=>Py,isIdentifierText:()=>J_,isIdentifierTypePredicate:()=>yTe,isIdentifierTypeReference:()=>GDe,isIfStatement:()=>r2,isIgnoredFileFromWildCardWatching:()=>KH,isImplicitGlob:()=>Rce,isImportAttribute:()=>HEe,isImportAttributeName:()=>x2e,isImportAttributes:()=>LI,isImportCall:()=>zp,isImportClause:()=>H0,isImportDeclaration:()=>du,isImportEqualsDeclaration:()=>Wd,isImportKeyword:()=>y7,isImportMeta:()=>J6,isImportOrExportSpecifier:()=>Vk,isImportOrExportSpecifierName:()=>wAe,isImportSpecifier:()=>l_,isImportTypeAssertionContainer:()=>AQe,isImportTypeNode:()=>V1,isImportableFile:()=>Che,isInComment:()=>kS,isInCompoundLikeAssignment:()=>qae,isInExpressionContext:()=>XG,isInJSDoc:()=>dV,isInJSFile:()=>nr,isInJSXText:()=>sAe,isInJsonFile:()=>ZG,isInNonReferenceComment:()=>dAe,isInReferenceComment:()=>uAe,isInRightSideOfInternalImportEqualsDeclaration:()=>hZ,isInString:()=>lA,isInTemplateString:()=>Pfe,isInTopLevelContext:()=>JG,isInTypeQuery:()=>vP,isIncrementalBuildInfo:()=>tj,isIncrementalBundleEmitBuildInfo:()=>zLe,isIncrementalCompilation:()=>QT,isIndexSignatureDeclaration:()=>eD,isIndexedAccessTypeNode:()=>nD,isInferTypeNode:()=>DI,isInfinityOrNaNString:()=>c7,isInitializedProperty:()=>HH,isInitializedVariable:()=>UV,isInsideJsxElement:()=>wZ,isInsideJsxElementOrAttribute:()=>rAe,isInsideNodeModules:()=>Dj,isInsideTemplateLiteral:()=>pj,isInstanceOfExpression:()=>wK,isInstantiatedModule:()=>Pue,isInterfaceDeclaration:()=>zf,isInternalDeclaration:()=>V2e,isInternalModuleImportEqualsDeclaration:()=>gI,isInternalName:()=>Ple,isIntersectionTypeNode:()=>zP,isIntrinsicJsxName:()=>s3,isIterationStatement:()=>Zw,isJSDoc:()=>z0,isJSDocAllType:()=>JEe,isJSDocAugmentsTag:()=>KP,isJSDocAuthorTag:()=>BQe,isJSDocCallbackTag:()=>ble,isJSDocClassTag:()=>KEe,isJSDocCommentContainingNode:()=>SG,isJSDocConstructSignature:()=>e3,isJSDocDeprecatedTag:()=>kle,isJSDocEnumTag:()=>mH,isJSDocFunctionType:()=>T3,isJSDocImplementsTag:()=>NX,isJSDocImportTag:()=>q1,isJSDocIndexSignature:()=>eK,isJSDocLikeText:()=>Hle,isJSDocLink:()=>qEe,isJSDocLinkCode:()=>$Ee,isJSDocLinkLike:()=>rP,isJSDocLinkPlain:()=>RQe,isJSDocMemberName:()=>a2,isJSDocNameReference:()=>T7,isJSDocNamepathType:()=>FQe,isJSDocNamespaceBody:()=>PXe,isJSDocNode:()=>W6,isJSDocNonNullableType:()=>TX,isJSDocNullableType:()=>k3,isJSDocOptionalParameter:()=>nX,isJSDocOptionalType:()=>vle,isJSDocOverloadTag:()=>D3,isJSDocOverrideTag:()=>EX,isJSDocParameterTag:()=>Qm,isJSDocPrivateTag:()=>Sle,isJSDocPropertyLikeTag:()=>g8,isJSDocPropertyTag:()=>XEe,isJSDocProtectedTag:()=>wle,isJSDocPublicTag:()=>Cle,isJSDocReadonlyTag:()=>xle,isJSDocReturnTag:()=>IX,isJSDocSatisfiesExpression:()=>Kce,isJSDocSatisfiesTag:()=>LX,isJSDocSeeTag:()=>WQe,isJSDocSignature:()=>tC,isJSDocTag:()=>V6,isJSDocTemplateTag:()=>Rg,isJSDocThisTag:()=>Tle,isJSDocThrowsTag:()=>HQe,isJSDocTypeAlias:()=>Ng,isJSDocTypeAssertion:()=>FI,isJSDocTypeExpression:()=>o2,isJSDocTypeLiteral:()=>OI,isJSDocTypeTag:()=>D7,isJSDocTypedefTag:()=>MI,isJSDocUnknownTag:()=>VQe,isJSDocUnknownType:()=>GEe,isJSDocVariadicType:()=>DX,isJSXTagName:()=>X6,isJsonEqual:()=>ZK,isJsonSourceFile:()=>Eg,isJsxAttribute:()=>U1,isJsxAttributeLike:()=>bG,isJsxAttributeName:()=>iEe,isJsxAttributes:()=>cD,isJsxChild:()=>JW,isJsxClosingElement:()=>oD,isJsxClosingFragment:()=>zEe,isJsxElement:()=>Gv,isJsxExpression:()=>x7,isJsxFragment:()=>aD,isJsxNamespacedName:()=>j0,isJsxOpeningElement:()=>z1,isJsxOpeningFragment:()=>AI,isJsxOpeningLikeElement:()=>oh,isJsxOpeningLikeElementTagName:()=>XPe,isJsxSelfClosingElement:()=>PI,isJsxSpreadAttribute:()=>GP,isJsxTagNameExpression:()=>w8,isJsxText:()=>RP,isJumpStatementTarget:()=>uj,isKeyword:()=>P_,isKeywordOrPunctuation:()=>oK,isKnownSymbol:()=>LV,isLabelName:()=>Sfe,isLabelOfLabeledStatement:()=>Cfe,isLabeledStatement:()=>_x,isLateVisibilityPaintedStatement:()=>RG,isLeftHandSideExpression:()=>N_,isLet:()=>WG,isLineBreak:()=>mh,isLiteralComputedPropertyDeclarationName:()=>DV,isLiteralExpression:()=>lI,isLiteralExpressionOfObject:()=>Yoe,isLiteralImportTypeNode:()=>lS,isLiteralKind:()=>y8,isLiteralNameOfPropertyDeclarationOrIndexAccess:()=>mZ,isLiteralTypeLiteral:()=>N2e,isLiteralTypeNode:()=>bS,isLocalName:()=>iC,isLogicalOperator:()=>aDe,isLogicalOrCoalescingAssignmentExpression:()=>_ce,isLogicalOrCoalescingAssignmentOperator:()=>U8,isLogicalOrCoalescingBinaryExpression:()=>WV,isLogicalOrCoalescingBinaryOperator:()=>CK,isMappedTypeNode:()=>II,isMemberName:()=>Mv,isMetaProperty:()=>b7,isMethodDeclaration:()=>Nu,isMethodOrAccessor:()=>iP,isMethodSignature:()=>W1,isMinusToken:()=>ule,isMissingDeclaration:()=>MQe,isMissingPackageJsonInfo:()=>nNe,isModifier:()=>Fa,isModifierKind:()=>Xw,isModifierLike:()=>Ul,isModuleAugmentationExternal:()=>wae,isModuleBlock:()=>H1,isModuleBody:()=>P2e,isModuleDeclaration:()=>rd,isModuleExportName:()=>kX,isModuleExportsAccessExpression:()=>Wv,isModuleIdentifier:()=>Wae,isModuleName:()=>hIe,isModuleOrEnumDeclaration:()=>qW,isModuleReference:()=>F2e,isModuleSpecifierLike:()=>LZ,isModuleWithStringLiteralName:()=>MG,isNameOfFunctionDeclaration:()=>Tfe,isNameOfModuleDeclaration:()=>kfe,isNamedDeclaration:()=>Bf,isNamedEvaluation:()=>Sp,isNamedEvaluationSource:()=>Zae,isNamedExportBindings:()=>Qoe,isNamedExports:()=>Xm,isNamedImportBindings:()=>cae,isNamedImports:()=>j1,isNamedImportsOrExports:()=>NK,isNamedTupleMember:()=>b3,isNamespaceBody:()=>LXe,isNamespaceExport:()=>jy,isNamespaceExportDeclaration:()=>pH,isNamespaceImport:()=>Jv,isNamespaceReexportDeclaration:()=>TTe,isNewExpression:()=>rD,isNewExpressionTarget:()=>r5,isNoSubstitutionTemplateLiteral:()=>TI,isNodeArray:()=>AT,isNodeArrayMultiLine:()=>mDe,isNodeDescendantOf:()=>UT,isNodeKind:()=>_G,isNodeLikeSystem:()=>soe,isNodeModulesDirectory:()=>eG,isNodeWithPossibleHoistedDeclaration:()=>FTe,isNonContextualKeyword:()=>Xae,isNonGlobalAmbientModule:()=>Sae,isNonNullAccess:()=>tEe,isNonNullChain:()=>hG,isNonNullExpression:()=>$P,isNonStaticMethodOrAccessorWithPrivateName:()=>MNe,isNotEmittedStatement:()=>jEe,isNullishCoalesce:()=>Xoe,isNumber:()=>Ww,isNumericLiteral:()=>A_,isNumericLiteralName:()=>$v,isObjectBindingElementWithoutPropertyName:()=>vj,isObjectBindingOrAssignmentElement:()=>HW,isObjectBindingOrAssignmentPattern:()=>nae,isObjectBindingPattern:()=>dm,isObjectLiteralElement:()=>lae,isObjectLiteralElementLike:()=>Ub,isObjectLiteralExpression:()=>Aa,isObjectLiteralMethod:()=>Ig,isObjectLiteralOrClassExpressionMethodOrAccessor:()=>zG,isObjectTypeDeclaration:()=>xP,isOmittedExpression:()=>Bd,isOptionalChain:()=>gh,isOptionalChainRoot:()=>_8,isOptionalDeclaration:()=>g3,isOptionalJSDocPropertyLikeTag:()=>tH,isOptionalTypeNode:()=>vX,isOuterExpression:()=>OX,isOutermostOptionalChain:()=>p8,isOverrideModifier:()=>AEe,isPackageJsonInfo:()=>rQ,isPackedArrayLiteral:()=>Jce,isParameter:()=>$s,isParameterPropertyDeclaration:()=>vp,isParameterPropertyModifier:()=>b8,isParenthesizedExpression:()=>c_,isParenthesizedTypeNode:()=>EI,isParseTreeNode:()=>h8,isPartOfParameterDeclaration:()=>Qk,isPartOfTypeNode:()=>N1,isPartOfTypeQuery:()=>QG,isPartiallyEmittedExpression:()=>ple,isPatternMatch:()=>HJ,isPinnedComment:()=>AG,isPlainJsFile:()=>k8,isPlusToken:()=>lle,isPossiblyTypeArgumentPosition:()=>_j,isPostfixUnaryExpression:()=>_le,isPrefixUnaryExpression:()=>n2,isPrimitiveLiteralValue:()=>oX,isPrivateIdentifier:()=>Vs,isPrivateIdentifierClassElementDeclaration:()=>Wh,isPrivateIdentifierPropertyAccessExpression:()=>F6,isPrivateIdentifierSymbol:()=>jTe,isProgramUptoDate:()=>bde,isPrologueDirective:()=>I1,isPropertyAccessChain:()=>dG,isPropertyAccessEntityNameExpression:()=>HV,isPropertyAccessExpression:()=>Nr,isPropertyAccessOrQualifiedName:()=>zW,isPropertyAccessOrQualifiedNameOrImportTypeNode:()=>E2e,isPropertyAssignment:()=>_d,isPropertyDeclaration:()=>Lo,isPropertyName:()=>id,isPropertyNameLiteral:()=>P1,isPropertySignature:()=>O_,isPrototypeAccess:()=>rx,isPrototypePropertyAssignment:()=>vV,isPunctuation:()=>Kae,isPushOrUnshiftIdentifier:()=>Yae,isQualifiedName:()=>o_,isQuestionDotToken:()=>gX,isQuestionOrExclamationToken:()=>lIe,isQuestionOrPlusOrMinusToken:()=>fIe,isQuestionToken:()=>dx,isReadonlyKeyword:()=>LEe,isReadonlyKeywordOrPlusOrMinusToken:()=>dIe,isRecognizedTripleSlashComment:()=>gae,isReferenceFileLocation:()=>U3,isReferencedFile:()=>_2,isRegularExpressionLiteral:()=>ale,isRequireCall:()=>L_,isRequireVariableStatement:()=>hV,isRestParameter:()=>Oy,isRestTypeNode:()=>bX,isReturnStatement:()=>Og,isReturnStatementWithFixablePromiseHandler:()=>ZZ,isRightSideOfAccessExpression:()=>gce,isRightSideOfInstanceofExpression:()=>lDe,isRightSideOfPropertyAccess:()=>K3,isRightSideOfQualifiedName:()=>QPe,isRightSideOfQualifiedNameOrPropertyAccess:()=>q8,isRightSideOfQualifiedNameOrPropertyAccessOrJSDocMemberName:()=>cDe,isRootedDiskPath:()=>yp,isSameEntityName:()=>Q6,isSatisfiesExpression:()=>wX,isSemicolonClassElement:()=>BEe,isSetAccessor:()=>D1,isSetAccessorDeclaration:()=>kp,isShiftOperatorOrHigher:()=>Ble,isShorthandAmbientModuleSymbol:()=>QW,isShorthandPropertyAssignment:()=>lh,isSideEffectImport:()=>ele,isSignedNumericLiteral:()=>cK,isSimpleCopiableExpression:()=>fD,isSimpleInlineableExpression:()=>Uy,isSimpleParameterList:()=>jH,isSingleOrDoubleQuote:()=>_V,isSourceElement:()=>rEe,isSourceFile:()=>Os,isSourceFileFromLibrary:()=>rO,isSourceFileJS:()=>r_,isSourceFileNotJson:()=>YG,isSourceMapping:()=>PNe,isSpecialPropertyDeclaration:()=>NTe,isSpreadAssignment:()=>Kv,isSpreadElement:()=>Km,isStatement:()=>Ps,isStatementButNotDeclaration:()=>$W,isStatementOrBlock:()=>R2e,isStatementWithLocals:()=>$2e,isStatic:()=>pa,isStaticModifier:()=>WP,isString:()=>Oo,isStringANonContextualKeyword:()=>gP,isStringAndEmptyAnonymousObjectIntersection:()=>lAe,isStringDoubleQuoted:()=>tK,isStringLiteral:()=>Ha,isStringLiteralLike:()=>Bc,isStringLiteralOrJsxExpression:()=>B2e,isStringLiteralOrTemplate:()=>IAe,isStringOrNumericLiteralLike:()=>cm,isStringOrRegularExpressionOrTemplateLiteral:()=>Mfe,isStringTextContainingNode:()=>eae,isSuperCall:()=>mI,isSuperKeyword:()=>g7,isSuperProperty:()=>G_,isSupportedSourceFileName:()=>jDe,isSwitchStatement:()=>S7,isSyntaxList:()=>E3,isSyntheticExpression:()=>EQe,isSyntheticReference:()=>JP,isTagName:()=>wfe,isTaggedTemplateExpression:()=>i2,isTaggedTemplateTag:()=>GPe,isTemplateExpression:()=>CX,isTemplateHead:()=>FP,isTemplateLiteral:()=>nP,isTemplateLiteralKind:()=>Gw,isTemplateLiteralToken:()=>S2e,isTemplateLiteralTypeNode:()=>REe,isTemplateLiteralTypeSpan:()=>fle,isTemplateMiddle:()=>cle,isTemplateMiddleOrTemplateTail:()=>pG,isTemplateSpan:()=>w3,isTemplateTail:()=>pX,isTextWhiteSpaceLike:()=>pAe,isThis:()=>X3,isThisContainerOrFunctionBlock:()=>wTe,isThisIdentifier:()=>ix,isThisInTypeQuery:()=>GT,isThisInitializedDeclaration:()=>GG,isThisInitializedObjectBindingExpression:()=>kTe,isThisProperty:()=>aV,isThisTypeNode:()=>C3,isThisTypeParameter:()=>l7,isThisTypePredicate:()=>vTe,isThrowStatement:()=>yle,isToken:()=>eP,isTokenKind:()=>Zoe,isTraceEnabled:()=>mx,isTransientSymbol:()=>Rv,isTrivia:()=>n3,isTryStatement:()=>NI,isTupleTypeNode:()=>jP,isTypeAlias:()=>SV,isTypeAliasDeclaration:()=>Mg,isTypeAssertionExpression:()=>hle,isTypeDeclaration:()=>NP,isTypeElement:()=>OT,isTypeKeyword:()=>c5,isTypeKeywordTokenOrIdentifier:()=>EZ,isTypeLiteralNode:()=>a_,isTypeNode:()=>ws,isTypeNodeKind:()=>Tce,isTypeOfExpression:()=>S3,isTypeOnlyExportDeclaration:()=>w2e,isTypeOnlyImportDeclaration:()=>v8,isTypeOnlyImportOrExportDeclaration:()=>Kw,isTypeOperatorNode:()=>t2,isTypeParameterDeclaration:()=>$l,isTypePredicateNode:()=>HP,isTypeQueryNode:()=>tD,isTypeReferenceNode:()=>qp,isTypeReferenceType:()=>xG,isTypeUsableAsPropertyName:()=>Jm,isUMDExportSymbol:()=>IK,isUnaryExpression:()=>oae,isUnaryExpressionWithWrite:()=>I2e,isUnicodeIdentifierStart:()=>iG,isUnionTypeNode:()=>fx,isUrl:()=>Bke,isValidBigIntString:()=>YK,isValidESSymbolDeclaration:()=>mTe,isValidTypeOnlyAliasUseSite:()=>Yk,isValueSignatureDeclaration:()=>mP,isVarAwaitUsing:()=>iV,isVarConst:()=>$6,isVarConstLike:()=>dTe,isVarUsing:()=>nV,isVariableDeclaration:()=>_s,isVariableDeclarationInVariableStatement:()=>E8,isVariableDeclarationInitializedToBareOrAccessedRequire:()=>HT,isVariableDeclarationInitializedToRequire:()=>fV,isVariableDeclarationList:()=>Sf,isVariableLike:()=>uP,isVariableLikeOrAccessor:()=>_Te,isVariableStatement:()=>Lu,isVoidExpression:()=>UP,isWatchSet:()=>wce,isWhileStatement:()=>gle,isWhiteSpaceLike:()=>Pv,isWhiteSpaceSingleLine:()=>k1,isWithStatement:()=>WEe,isWriteAccess:()=>wP,isWriteOnlyAccess:()=>EK,isYieldExpression:()=>SX,jsxModeNeedsExplicitImport:()=>yhe,keywordPart:()=>Np,last:()=>va,lastOrUndefined:()=>rl,length:()=>P,libMap:()=>Jle,libs:()=>HX,lineBreakPart:()=>tO,loadModuleFromGlobalCache:()=>pNe,loadWithModeAwareCache:()=>QH,makeIdentifierFromModuleName:()=>Y2e,makeImport:()=>Sx,makeStringLiteral:()=>l5,mangleScopedPackageName:()=>B3,map:()=>qt,mapAllOrFail:()=>jl,mapDefined:()=>es,mapDefinedIterator:()=>ld,mapEntries:()=>Nv,mapIterator:()=>ms,mapOneOrMany:()=>_he,mapToDisplayParts:()=>m2,matchFiles:()=>Fce,matchPatternOrExact:()=>Hce,matchedText:()=>yxe,matchesExclude:()=>eQ,maxBy:()=>Qse,maybeBind:()=>to,maybeSetLocalizedDiagnosticMessages:()=>kDe,memoize:()=>Bh,memoizeOne:()=>x1,min:()=>Zse,minAndMax:()=>qDe,missingFileModifiedTime:()=>gp,modifierToFlag:()=>CP,modifiersToFlags:()=>M1,moduleExportNameIsDefault:()=>My,moduleExportNameTextEscaped:()=>FT,moduleExportNameTextUnescaped:()=>tx,moduleOptionDeclaration:()=>kIe,moduleResolutionIsEqualTo:()=>U2e,moduleResolutionNameAndModeGetter:()=>OQ,moduleResolutionOptionDeclarations:()=>Kle,moduleResolutionSupportsPackageJsonExportsAndImports:()=>f3,moduleResolutionUsesNodeModules:()=>IZ,moduleSpecifierToValidIdentifier:()=>Ij,moduleSpecifiers:()=>wS,moduleSymbolToValidIdentifier:()=>Ej,moveEmitHelpers:()=>yEe,moveRangeEnd:()=>TK,moveRangePastDecorators:()=>Kb,moveRangePastModifiers:()=>R1,moveRangePos:()=>Zk,moveSyntheticComments:()=>pEe,mutateMap:()=>Q8,mutateMapSkippingNewValues:()=>Hv,needsParentheses:()=>MZ,needsScopeMarker:()=>yG,newCaseClauseTracker:()=>JZ,newPrivateEnvironment:()=>FNe,noEmitNotification:()=>qH,noEmitSubstitution:()=>G7,noTransformers:()=>pLe,noTruncationMaximumTruncationLength:()=>fae,nodeCanBeDecorated:()=>lV,nodeHasName:()=>OW,nodeIsDecorated:()=>K6,nodeIsMissing:()=>lu,nodeIsPresent:()=>Dg,nodeIsSynthesized:()=>cl,nodeModuleNameResolver:()=>cNe,nodeModulesPathPart:()=>Xv,nodeNextJsonConfigResolver:()=>lNe,nodeOrChildIsDecorated:()=>uV,nodeOverlapsWithStartEnd:()=>gZ,nodePosToString:()=>MXe,nodeSeenTracker:()=>Z3,nodeStartsNewLexicalEnvironment:()=>ece,noop:()=>Tc,noopFileWatcher:()=>t5,normalizePath:()=>ca,normalizeSlashes:()=>Rf,normalizeSpans:()=>Hoe,not:()=>zJ,notImplemented:()=>wa,notImplementedResolver:()=>bLe,nullNodeConverters:()=>fEe,nullParenthesizerRules:()=>uEe,nullTransformationContext:()=>JH,objectAllocator:()=>Hf,operatorPart:()=>d5,optionDeclarations:()=>U0,optionMapToObject:()=>GX,optionsAffectingProgramStructure:()=>NIe,optionsForBuild:()=>Qle,optionsForWatch:()=>eA,optionsHaveChanges:()=>j6,or:()=>om,orderedRemoveItem:()=>GR,orderedRemoveItemAt:()=>zw,packageIdToPackageName:()=>NG,packageIdToString:()=>zk,parameterIsThisKeyword:()=>JT,parameterNamePart:()=>gAe,parseBaseNodeFactory:()=>vIe,parseBigInt:()=>JDe,parseBuildCommand:()=>BIe,parseCommandLine:()=>RIe,parseCommandLineWorker:()=>Yle,parseConfigFileTextToJson:()=>tue,parseConfigFileWithSystem:()=>nPe,parseConfigHostFromCompilerHostLike:()=>HQ,parseCustomTypeOption:()=>qX,parseIsolatedEntityName:()=>YP,parseIsolatedJSDocComment:()=>CIe,parseJSDocTypeExpressionForTests:()=>dZe,parseJsonConfigFileContent:()=>VZe,parseJsonSourceFileConfigFileContent:()=>PH,parseJsonText:()=>TH,parseListTypeOption:()=>OIe,parseNodeFactory:()=>l2,parseNodeModuleFromPath:()=>MH,parsePackageName:()=>cQ,parsePseudoBigInt:()=>s7,parseValidBigInt:()=>qce,pasteEdits:()=>_pe,patchWriteFileEnsuringDirectory:()=>Fke,pathContainsNodeModules:()=>yx,pathIsAbsolute:()=>r8,pathIsBareSpecifier:()=>Loe,pathIsRelative:()=>am,patternText:()=>gxe,performIncrementalCompilation:()=>rPe,performance:()=>Txe,positionBelongsToNode:()=>Efe,positionIsASICandidate:()=>BZ,positionIsSynthesized:()=>W0,positionsAreOnSameLine:()=>qm,preProcessFile:()=>tnt,probablyUsesSemicolons:()=>kj,processCommentPragmas:()=>qle,processPragmasIntoFields:()=>$le,processTaggedTemplateExpression:()=>$ue,programContainsEsModules:()=>hAe,programContainsModules:()=>fAe,projectReferenceIsEqualTo:()=>hae,propertyNamePart:()=>yAe,pseudoBigIntToString:()=>ZT,punctuationPart:()=>Sh,pushIfUnique:()=>mu,quote:()=>_5,quotePreferenceFromString:()=>qfe,rangeContainsPosition:()=>s5,rangeContainsPositionExclusive:()=>dj,rangeContainsRange:()=>Ip,rangeContainsRangeExclusive:()=>YPe,rangeContainsStartEnd:()=>fj,rangeEndIsOnSameLineAsRangeStart:()=>zV,rangeEndPositionsAreOnSameLine:()=>_De,rangeEquals:()=>Vb,rangeIsOnSingleLine:()=>wI,rangeOfNode:()=>zce,rangeOfTypeParameters:()=>Uce,rangeOverlapsWithStartEnd:()=>o5,rangeStartIsOnSameLineAsRangeEnd:()=>pDe,rangeStartPositionsAreOnSameLine:()=>DK,readBuilderProgram:()=>YQ,readConfigFile:()=>NH,readJson:()=>J8,readJsonConfigFile:()=>WIe,readJsonOrUndefined:()=>vce,reduceEachLeadingCommentRange:()=>$ke,reduceEachTrailingCommentRange:()=>Jke,reduceLeft:()=>oi,reduceLeftIterator:()=>ae,reducePathComponents:()=>rI,refactor:()=>pA,regExpEscape:()=>uQe,regularExpressionFlagToCharacterCode:()=>lXe,relativeComplement:()=>T6,removeAllComments:()=>oH,removeEmitHelper:()=>xQe,removeExtension:()=>YV,removeFileExtension:()=>s_,removeIgnoredPath:()=>qQ,removeMinAndVersionNumbers:()=>toe,removePrefix:()=>KR,removeSuffix:()=>I6,removeTrailingDirectorySeparator:()=>Mk,repeatString:()=>gj,replaceElement:()=>Fc,replaceFirstStar:()=>xI,resolutionExtensionIsTSOrJson:()=>t7,resolveConfigFileProjectName:()=>Qde,resolveJSModule:()=>sNe,resolveLibrary:()=>oQ,resolveModuleName:()=>nA,resolveModuleNameFromCache:()=>mYe,resolvePackageNameToPackageJson:()=>fue,resolvePath:()=>Ok,resolveProjectReferencePath:()=>q3,resolveTripleslashReference:()=>lde,resolveTypeReferenceDirective:()=>tNe,resolvingEmptyArray:()=>dae,returnFalse:()=>w1,returnNoopFileWatcher:()=>i5,returnTrue:()=>Pk,returnUndefined:()=>IT,returnsPromise:()=>Phe,sameFlatMap:()=>Ca,sameMap:()=>Wr,sameMapping:()=>oet,scanTokenAtPosition:()=>uTe,scanner:()=>Uh,semanticDiagnosticsOptionDeclarations:()=>DIe,serializeCompilerOptions:()=>KX,server:()=>cht,servicesVersion:()=>qrt,setCommentRange:()=>Sd,setConfigFileInOptions:()=>aue,setConstantValue:()=>gEe,setEmitFlags:()=>tr,setGetSourceFileAsHashVersioned:()=>ZQ,setIdentifierAutoGenerate:()=>lH,setIdentifierGeneratedImportReference:()=>CEe,setIdentifierTypeArguments:()=>vS,setInternalEmitFlags:()=>aH,setLocalizedDiagnosticMessages:()=>xDe,setNodeChildren:()=>QEe,setNodeFlags:()=>XDe,setObjectAllocator:()=>wDe,setOriginalNode:()=>Ir,setParent:()=>_c,setParentRecursive:()=>Qb,setPrivateIdentifier:()=>VI,setSnippetElement:()=>sle,setSourceMapRange:()=>Ga,setStackTraceLimit:()=>JKe,setStartsOnNewLine:()=>lX,setSyntheticLeadingComments:()=>e2,setSyntheticTrailingComments:()=>OP,setSys:()=>YKe,setSysLog:()=>Oke,setTextRange:()=>Ht,setTextRangeEnd:()=>m3,setTextRangePos:()=>o7,setTextRangePosEnd:()=>V0,setTextRangePosWidth:()=>$ce,setTokenSourceMapRange:()=>_Ee,setTypeNode:()=>vEe,setUILocale:()=>_xe,setValueDeclaration:()=>bV,shouldAllowImportingTsExtension:()=>W3,shouldPreserveConstEnums:()=>sx,shouldUseUriStyleNodeCoreModules:()=>qZ,showModuleSpecifier:()=>bDe,signatureHasRestParameter:()=>Ch,signatureToDisplayParts:()=>ihe,single:()=>$L,singleElementArray:()=>KL,singleIterator:()=>Bb,singleOrMany:()=>Sg,singleOrUndefined:()=>Bm,skipAlias:()=>Vf,skipConstraint:()=>jfe,skipOuterExpressions:()=>Vu,skipParentheses:()=>Dc,skipPartiallyEmittedExpressions:()=>l0,skipTrivia:()=>Va,skipTypeChecking:()=>p3,skipTypeCheckingIgnoringNoCheck:()=>$De,skipTypeParentheses:()=>M8,skipWhile:()=>bxe,sliceAfter:()=>jce,some:()=>Ft,sortAndDeduplicate:()=>Iy,sortAndDeduplicateDiagnostics:()=>O6,sourceFileAffectingCompilerOptions:()=>Xle,sourceFileMayBeEmitted:()=>SI,sourceMapCommentRegExp:()=>Oue,sourceMapCommentRegExpDontCareLineStart:()=>INe,spacePart:()=>bu,spanMap:()=>nm,startEndContainsRange:()=>Dfe,startEndOverlapsWithStartEnd:()=>yZ,startOnNewLine:()=>bh,startTracing:()=>Nxe,startsWith:()=>so,startsWithDirectory:()=>Ooe,startsWithUnderscore:()=>ghe,startsWithUseStrict:()=>rIe,stringContainsAt:()=>RAe,stringToToken:()=>oI,stripQuotes:()=>zm,supportedDeclarationExtensions:()=>GK,supportedJSExtensionsFlat:()=>h3,supportedLocaleDirectories:()=>a2e,supportedTSExtensionsFlat:()=>Bce,supportedTSImplementationExtensions:()=>XV,suppressLeadingAndTrailingTrivia:()=>Lp,suppressLeadingTrivia:()=>ohe,suppressTrailingTrivia:()=>TAe,symbolEscapedNameNoDefault:()=>NZ,symbolName:()=>Eu,symbolNameNoDefault:()=>Jfe,symbolToDisplayParts:()=>f5,sys:()=>Pd,sysLog:()=>CW,tagNamesAreEquivalent:()=>d2,takeWhile:()=>roe,targetOptionDeclaration:()=>Gle,testFormatSettings:()=>Sit,textChangeRangeIsUnchanged:()=>i2e,textChangeRangeNewSpan:()=>f8,textChanges:()=>er,textOrKeywordPart:()=>the,textPart:()=>pm,textRangeContainsPositionInclusive:()=>NW,textRangeContainsTextSpan:()=>Xke,textRangeIntersectsWithTextSpan:()=>e2e,textSpanContainsPosition:()=>Woe,textSpanContainsTextRange:()=>Voe,textSpanContainsTextSpan:()=>Kke,textSpanEnd:()=>au,textSpanIntersection:()=>t2e,textSpanIntersectsWith:()=>LW,textSpanIntersectsWithPosition:()=>Yke,textSpanIntersectsWithTextSpan:()=>Zke,textSpanIsEmpty:()=>Gke,textSpanOverlap:()=>Qke,textSpanOverlapsWith:()=>gXe,textSpansEqual:()=>eO,textToKeywordObj:()=>tG,timestamp:()=>sl,toArray:()=>JL,toBuilderFileEmit:()=>$Le,toBuilderStateFileInfoForMultiEmit:()=>qLe,toEditorSettings:()=>Bj,toFileNameLowerCase:()=>Vw,toPath:()=>ol,toProgramEmitPending:()=>JLe,toSorted:()=>Mu,tokenIsIdentifierOrKeyword:()=>D_,tokenIsIdentifierOrKeywordOrGreaterThan:()=>Vke,tokenToString:()=>xa,trace:()=>bo,tracing:()=>Zn,tracingEnabled:()=>vW,transferSourceFileChildren:()=>ZEe,transform:()=>tst,transformClassFields:()=>JNe,transformDeclarations:()=>Xue,transformECMAScriptModule:()=>Kue,transformES2015:()=>aLe,transformES2016:()=>oLe,transformES2017:()=>QNe,transformES2018:()=>ZNe,transformES2019:()=>YNe,transformES2020:()=>eLe,transformES2021:()=>tLe,transformESDecorators:()=>XNe,transformESNext:()=>iLe,transformGenerators:()=>cLe,transformImpliedNodeFormatDependentModule:()=>uLe,transformJsx:()=>sLe,transformLegacyDecorators:()=>KNe,transformModule:()=>Gue,transformNamedEvaluation:()=>Dp,transformNodes:()=>$H,transformSystemModule:()=>lLe,transformTypeScript:()=>$Ne,transpile:()=>unt,transpileDeclaration:()=>cnt,transpileModule:()=>r4e,transpileOptionValueCompilerOptions:()=>LIe,tryAddToSet:()=>of,tryAndIgnoreErrors:()=>HZ,tryCast:()=>Jr,tryDirectoryExists:()=>VZ,tryExtractTSExtension:()=>xK,tryFileExists:()=>Tj,tryGetClassExtendingExpressionWithTypeArguments:()=>pce,tryGetClassImplementingOrExtendingExpressionWithTypeArguments:()=>mce,tryGetDirectories:()=>WZ,tryGetExtensionFromPath:()=>qv,tryGetImportFromModuleSpecifier:()=>CV,tryGetJSDocSatisfiesTypeNode:()=>rX,tryGetModuleNameFromFile:()=>bH,tryGetModuleSpecifierFromDeclaration:()=>Z6,tryGetNativePerformanceHooks:()=>kxe,tryGetPropertyAccessOrIdentifierToString:()=>jV,tryGetPropertyNameOfBindingOrAssignmentElement:()=>FX,tryGetSourceMappingURL:()=>NNe,tryGetTextOfPropertyName:()=>D8,tryParseJson:()=>kK,tryParsePattern:()=>_3,tryParsePatterns:()=>XK,tryParseRawSourceMap:()=>LNe,tryReadDirectory:()=>uhe,tryReadFile:()=>A7,tryRemoveDirectoryPrefix:()=>Mce,tryRemoveExtension:()=>UDe,tryRemovePrefix:()=>noe,tryRemoveSuffix:()=>mxe,typeAcquisitionDeclarations:()=>zX,typeAliasNamePart:()=>vAe,typeDirectiveIsEqualTo:()=>q2e,typeKeywords:()=>Hfe,typeParameterNamePart:()=>bAe,typeToDisplayParts:()=>Cj,unchangedPollThresholds:()=>KJ,unchangedTextChangeRange:()=>sG,unescapeLeadingUnderscores:()=>Ws,unmangleScopedPackageName:()=>FH,unorderedRemoveItem:()=>GL,unreachableCodeIsError:()=>IDe,unsetNodeChildren:()=>Ele,unusedLabelIsError:()=>NDe,unwrapInnermostStatementOfLabel:()=>Oae,unwrapParenthesizedExpression:()=>oEe,updateErrorForNoInputFiles:()=>YX,updateLanguageServiceSourceFile:()=>r_e,updateMissingFilePathsWatch:()=>ode,updateResolutionField:()=>O3,updateSharedExtendedConfigFileWatcher:()=>NQ,updateSourceFile:()=>jle,updateWatchingWildcardDirectories:()=>GH,usingSingleLineStringWriter:()=>z6,utf16EncodeAsString:()=>d8,validateLocaleAndSetLanguage:()=>zoe,version:()=>v,versionMajorMinor:()=>m,visitArray:()=>VH,visitCommaListElements:()=>mQ,visitEachChild:()=>dn,visitFunctionBody:()=>_m,visitIterationBody:()=>u_,visitLexicalEnvironment:()=>Aue,visitNode:()=>bt,visitNodes:()=>Dn,visitParameterList:()=>yu,walkUpBindingElementsAndPatterns:()=>YL,walkUpOuterExpressions:()=>sIe,walkUpParenthesizedExpressions:()=>By,walkUpParenthesizedTypes:()=>TV,walkUpParenthesizedTypesAndGetParentAndChild:()=>BTe,whitespaceOrMapCommentRegExp:()=>Mue,writeCommentRange:()=>c3,writeFile:()=>yK,writeFileEnsuringDirectories:()=>lce,zipWith:()=>me});var sht;function EJt(){return sht??(sht=new P0(v))}function oht(t,r,a,u,h){let p=r?"DeprecationError: ":"DeprecationWarning: ";return p+=`'${t}' `,p+=u?`has been deprecated since v${u}`:"is deprecated",p+=r?" and can no longer be used.":a?` and will no longer be usable after v${a}.`:".",p+=h?` ${jv(h,[t])}`:"",p}function IJt(t,r,a,u){const h=oht(t,!0,r,a,u);return()=>{throw new TypeError(h)}}function NJt(t,r,a,u){let h=!1;return()=>{h||(j.log.warn(oht(t,!1,r,a,u)),h=!0)}}function LJt(t,r={}){const a=typeof r.typeScriptVersion=="string"?new P0(r.typeScriptVersion):r.typeScriptVersion??EJt(),u=typeof r.errorAfter=="string"?new P0(r.errorAfter):r.errorAfter,h=typeof r.warnAfter=="string"?new P0(r.warnAfter):r.warnAfter,p=typeof r.since=="string"?new P0(r.since):r.since??h,g=r.error||u&&a.compareTo(u)>=0,C=!h||a.compareTo(h)>=0;return g?IJt(t,u,p,r.message):C?NJt(t,u,p,r.message):Tc}function PJt(t,r){return function(){return t(),r.apply(this,arguments)}}function AJt(t,r){const a=LJt((r==null?void 0:r.name)??j.getFunctionName(t),r);return PJt(a,t)}function ppe(t,r,a,u){if(Object.defineProperty(p,"name",{...Object.getOwnPropertyDescriptor(p,"name"),value:t}),u)for(const g of Object.keys(u)){const C=+g;!isNaN(C)&&Li(r,`${C}`)&&(r[C]=AJt(r[C],{...u[C],name:t}))}const h=OJt(r,a);return p;function p(...g){const C=h(g),b=C!==void 0?r[C]:void 0;if(typeof b=="function")return b(...g);throw new TypeError("Invalid arguments")}}function OJt(t,r){return a=>{for(let u=0;Li(t,`${u}`)&&Li(r,`${u}`);u++){const h=r[u];if(h(a))return u}}}function aht(t){return{overload:r=>({bind:a=>({finish:()=>ppe(t,r,a),deprecate:u=>({finish:()=>ppe(t,r,a,u)})})})}}var cht={};c(cht,{ActionInvalidate:()=>aZ,ActionPackageInstalled:()=>cZ,ActionSet:()=>oZ,ActionWatchTypingLocations:()=>aj,Arguments:()=>hfe,AutoImportProviderProject:()=>VMe,AuxiliaryProject:()=>BMe,CharRangeSection:()=>uRe,CloseFileWatcherEvent:()=>Epe,CommandNames:()=>Wht,ConfigFileDiagEvent:()=>wpe,ConfiguredProject:()=>HMe,ConfiguredProjectLoadKind:()=>JMe,CreateDirectoryWatcherEvent:()=>Dpe,CreateFileWatcherEvent:()=>Tpe,Errors:()=>cC,EventBeginInstallTypes:()=>dfe,EventEndInstallTypes:()=>ffe,EventInitializationFailed:()=>NPe,EventTypesRegistry:()=>ufe,ExternalProject:()=>gpe,GcTimer:()=>EMe,InferredProject:()=>FMe,LargeFileReferencedEvent:()=>Spe,LineIndex:()=>mz,LineLeaf:()=>$Y,LineNode:()=>yO,LogLevel:()=>vMe,Msg:()=>bMe,OpenFileInfoTelemetryEvent:()=>jMe,Project:()=>xA,ProjectInfoTelemetryEvent:()=>kpe,ProjectKind:()=>uz,ProjectLanguageServiceStateEvent:()=>xpe,ProjectLoadingFinishEvent:()=>Cpe,ProjectLoadingStartEvent:()=>bpe,ProjectService:()=>eRe,ProjectsUpdatedInBackgroundEvent:()=>zY,ScriptInfo:()=>PMe,ScriptVersionCache:()=>Wpe,Session:()=>Uht,TextStorage:()=>LMe,ThrottledOperations:()=>DMe,TypingsInstallerAdapter:()=>Xht,allFilesAreJsOrDts:()=>OMe,allRootFilesAreJsOrDts:()=>AMe,asNormalizedPath:()=>fht,convertCompilerOptions:()=>UY,convertFormatOptions:()=>pO,convertScriptKindName:()=>Npe,convertTypeAcquisition:()=>UMe,convertUserPreferences:()=>qMe,convertWatchOptions:()=>_z,countEachFileTypes:()=>dz,createInstallTypingsRequest:()=>CMe,createModuleSpecifierCache:()=>nRe,createNormalizedPathMap:()=>hht,createPackageJsonCache:()=>rRe,createSortedArray:()=>TMe,emptyArray:()=>Vd,findArgument:()=>dit,formatDiagnosticToProtocol:()=>pz,formatMessage:()=>sRe,getBaseConfigFileName:()=>mpe,getLocationInNewDocument:()=>lRe,hasArgument:()=>uit,hasNoTypeScriptSource:()=>MMe,indent:()=>n5,isBackgroundProject:()=>hz,isConfigFile:()=>tRe,isConfiguredProject:()=>LS,isDynamicFileName:()=>lz,isExternalProject:()=>fz,isInferredProject:()=>_O,isInferredProjectName:()=>SMe,isProjectDeferredClose:()=>jY,makeAutoImportProviderProjectName:()=>xMe,makeAuxiliaryProjectName:()=>kMe,makeInferredProjectName:()=>wMe,maxFileSize:()=>vpe,maxProgramSizeForNonTsFiles:()=>ype,normalizedPathToPath:()=>hO,nowString:()=>fit,nullCancellationToken:()=>Rht,nullTypingsInstaller:()=>qY,protocol:()=>IMe,stringifyIndented:()=>p2,toEvent:()=>oRe,toNormalizedPath:()=>Jl,tryConvertScriptKindName:()=>Ipe,typingsInstaller:()=>yMe,updateProjectIfDirty:()=>Ym});var yMe={};c(yMe,{TypingsInstaller:()=>FJt,getNpmCommandForInstallation:()=>uht,installNpmPackages:()=>RJt,typingsName:()=>dht});var MJt={isEnabled:()=>!1,writeLine:Tc};function lht(t,r,a,u){try{const h=nA(r,zr(t,"index.d.ts"),{moduleResolution:2},a);return h.resolvedModule&&h.resolvedModule.resolvedFileName}catch(h){u.isEnabled()&&u.writeLine(`Failed to resolve ${r} in folder '${t}': ${h.message}`);return}}function RJt(t,r,a,u){let h=!1;for(let p=a.length;p>0;){const g=uht(t,r,a,p);p=g.remaining,h=u(g.command)||h}return h}function uht(t,r,a,u){const h=a.length-u;let p,g=u;for(;p=`${t} install --ignore-scripts ${(g===a.length?a:a.slice(h,h+g)).join(" ")} --save-dev --user-agent="typesInstaller/${r}"`,!(p.length<8e3);)g=g-Math.floor(g/2);return{command:p,remaining:u-g}}var FJt=class{constructor(t,r,a,u,h,p=MJt){this.installTypingHost=t,this.globalCachePath=r,this.safeListPath=a,this.typesMapLocation=u,this.throttleLimit=h,this.log=p,this.packageNameToTypingLocation=new Map,this.missingTypingsSet=new Set,this.knownCachesSet=new Set,this.projectWatchers=new Map,this.pendingRunRequests=[],this.installRunCount=1,this.inFlightRequestCount=0,this.latestDistTag="latest",this.log.isEnabled()&&this.log.writeLine(`Global cache location '${r}', safe file path '${a}', types map path ${u}`),this.processCacheLocation(this.globalCachePath)}handleRequest(t){switch(t.kind){case"discover":this.install(t);break;case"closeProject":this.closeProject(t);break;case"typesRegistry":{const r={};this.typesRegistry.forEach((u,h)=>{r[h]=u});const a={kind:ufe,typesRegistry:r};this.sendResponse(a);break}case"installPackage":{this.installPackage(t);break}default:j.assertNever(t)}}closeProject(t){this.closeWatchers(t.projectName)}closeWatchers(t){if(this.log.isEnabled()&&this.log.writeLine(`Closing file watchers for project '${t}'`),!this.projectWatchers.get(t)){this.log.isEnabled()&&this.log.writeLine(`No watchers are registered for project '${t}'`);return}this.projectWatchers.delete(t),this.sendResponse({kind:aj,projectName:t,files:[]}),this.log.isEnabled()&&this.log.writeLine(`Closing file watchers for project '${t}' - done.`)}install(t){this.log.isEnabled()&&this.log.writeLine(`Got install request${p2(t)}`),t.cachePath&&(this.log.isEnabled()&&this.log.writeLine(`Request specifies cache path '${t.cachePath}', loading cached information...`),this.processCacheLocation(t.cachePath)),this.safeList===void 0&&this.initializeSafeList();const r=J1.discoverTypings(this.installTypingHost,this.log.isEnabled()?a=>this.log.writeLine(a):void 0,t.fileNames,t.projectRootPath,this.safeList,this.packageNameToTypingLocation,t.typeAcquisition,t.unresolvedImports,this.typesRegistry,t.compilerOptions);this.watchFiles(t.projectName,r.filesToWatch),r.newTypingNames.length?this.installTypings(t,t.cachePath||this.globalCachePath,r.cachedTypingPaths,r.newTypingNames):(this.sendResponse(this.createSetTypings(t,r.cachedTypingPaths)),this.log.isEnabled()&&this.log.writeLine("No new typings were requested as a result of typings discovery"))}installPackage(t){const{fileName:r,packageName:a,projectName:u,projectRootPath:h,id:p}=t,g=kg(ts(r),C=>{if(this.installTypingHost.fileExists(zr(C,"package.json")))return C})||h;if(g)this.installWorker(-1,[a],g,C=>{const b=C?`Package ${a} installed.`:`There was an error installing ${a}.`,T={kind:cZ,projectName:u,id:p,success:C,message:b};this.sendResponse(T)});else{const C={kind:cZ,projectName:u,id:p,success:!1,message:"Could not determine a project root path."};this.sendResponse(C)}}initializeSafeList(){if(this.typesMapLocation){const t=J1.loadTypesMap(this.installTypingHost,this.typesMapLocation);if(t){this.log.writeLine(`Loaded safelist from types map file '${this.typesMapLocation}'`),this.safeList=t;return}this.log.writeLine(`Failed to load safelist from types map file '${this.typesMapLocation}'`)}this.safeList=J1.loadSafeList(this.installTypingHost,this.safeListPath)}processCacheLocation(t){if(this.log.isEnabled()&&this.log.writeLine(`Processing cache location '${t}'`),this.knownCachesSet.has(t)){this.log.isEnabled()&&this.log.writeLine("Cache location was already processed...");return}const r=zr(t,"package.json"),a=zr(t,"package-lock.json");if(this.log.isEnabled()&&this.log.writeLine(`Trying to find '${r}'...`),this.installTypingHost.fileExists(r)&&this.installTypingHost.fileExists(a)){const u=JSON.parse(this.installTypingHost.readFile(r)),h=JSON.parse(this.installTypingHost.readFile(a));if(this.log.isEnabled()&&(this.log.writeLine(`Loaded content of '${r}':${p2(u)}`),this.log.writeLine(`Loaded content of '${a}':${p2(h)}`)),u.devDependencies&&h.dependencies)for(const p in u.devDependencies){if(!Li(h.dependencies,p))continue;const g=ud(p);if(!g)continue;const C=lht(t,g,this.installTypingHost,this.log);if(!C){this.missingTypingsSet.add(g);continue}const b=this.packageNameToTypingLocation.get(g);if(b){if(b.typingLocation===C)continue;this.log.isEnabled()&&this.log.writeLine(`New typing for package ${g} from '${C}' conflicts with existing typing file '${b}'`)}this.log.isEnabled()&&this.log.writeLine(`Adding entry into typings cache: '${g}' => '${C}'`);const T=hr(h.dependencies,p),E=T&&T.version;if(!E)continue;const N={typingLocation:C,version:new P0(E)};this.packageNameToTypingLocation.set(g,N)}}this.log.isEnabled()&&this.log.writeLine(`Finished processing cache location '${t}'`),this.knownCachesSet.add(t)}filterTypings(t){return es(t,r=>{const a=B3(r);if(this.missingTypingsSet.has(a)){this.log.isEnabled()&&this.log.writeLine(`'${r}':: '${a}' is in missingTypingsSet - skipping...`);return}const u=J1.validatePackageName(r);if(u!==J1.NameValidationResult.Ok){this.missingTypingsSet.add(a),this.log.isEnabled()&&this.log.writeLine(J1.renderPackageNameValidationFailure(u,r));return}if(!this.typesRegistry.has(a)){this.log.isEnabled()&&this.log.writeLine(`'${r}':: Entry for package '${a}' does not exist in local types registry - skipping...`);return}if(this.packageNameToTypingLocation.get(a)&&J1.isTypingUpToDate(this.packageNameToTypingLocation.get(a),this.typesRegistry.get(a))){this.log.isEnabled()&&this.log.writeLine(`'${r}':: '${a}' already has an up-to-date typing - skipping...`);return}return a})}ensurePackageDirectoryExists(t){const r=zr(t,"package.json");this.log.isEnabled()&&this.log.writeLine(`Npm config file: ${r}`),this.installTypingHost.fileExists(r)||(this.log.isEnabled()&&this.log.writeLine(`Npm config file: '${r}' is missing, creating new one...`),this.ensureDirectoryExists(t,this.installTypingHost),this.installTypingHost.writeFile(r,'{ "private": true }'))}installTypings(t,r,a,u){this.log.isEnabled()&&this.log.writeLine(`Installing typings ${JSON.stringify(u)}`);const h=this.filterTypings(u);if(h.length===0){this.log.isEnabled()&&this.log.writeLine("All typings are known to be missing or invalid - no need to install more typings"),this.sendResponse(this.createSetTypings(t,a));return}this.ensurePackageDirectoryExists(r);const p=this.installRunCount;this.installRunCount++,this.sendResponse({kind:dfe,eventId:p,typingsInstallerVersion:v,projectName:t.projectName});const g=h.map(dht);this.installTypingsAsync(p,g,r,C=>{try{if(!C){this.log.isEnabled()&&this.log.writeLine(`install request failed, marking packages as missing to prevent repeated requests: ${JSON.stringify(h)}`);for(const T of h)this.missingTypingsSet.add(T);return}this.log.isEnabled()&&this.log.writeLine(`Installed typings ${JSON.stringify(g)}`);const b=[];for(const T of h){const E=lht(r,T,this.installTypingHost,this.log);if(!E){this.missingTypingsSet.add(T);continue}const N=this.typesRegistry.get(T),R=new P0(N[`ts${m}`]||N[this.latestDistTag]),F={typingLocation:E,version:R};this.packageNameToTypingLocation.set(T,F),b.push(E)}this.log.isEnabled()&&this.log.writeLine(`Installed typing files ${JSON.stringify(b)}`),this.sendResponse(this.createSetTypings(t,a.concat(b)))}finally{const b={kind:ffe,eventId:p,projectName:t.projectName,packagesToInstall:g,installSuccess:C,typingsInstallerVersion:v};this.sendResponse(b)}})}ensureDirectoryExists(t,r){const a=ts(t);r.directoryExists(a)||this.ensureDirectoryExists(a,r),r.directoryExists(t)||r.createDirectory(t)}watchFiles(t,r){if(!r.length){this.closeWatchers(t);return}const a=this.projectWatchers.get(t),u=new Set(r);!a||qb(u,h=>!a.has(h))||qb(a,h=>!u.has(h))?(this.projectWatchers.set(t,u),this.sendResponse({kind:aj,projectName:t,files:r})):this.sendResponse({kind:aj,projectName:t,files:void 0})}createSetTypings(t,r){return{projectName:t.projectName,typeAcquisition:t.typeAcquisition,compilerOptions:t.compilerOptions,typings:r,unresolvedImports:t.unresolvedImports,kind:oZ}}installTypingsAsync(t,r,a,u){this.pendingRunRequests.unshift({requestId:t,packageNames:r,cwd:a,onRequestCompleted:u}),this.executeWithThrottling()}executeWithThrottling(){for(;this.inFlightRequestCount<this.throttleLimit&&this.pendingRunRequests.length;){this.inFlightRequestCount++;const t=this.pendingRunRequests.pop();this.installWorker(t.requestId,t.packageNames,t.cwd,r=>{this.inFlightRequestCount--,t.onRequestCompleted(r),this.executeWithThrottling()})}}};function dht(t){return`@types/${t}@ts${m}`}var vMe=(t=>(t[t.terse=0]="terse",t[t.normal=1]="normal",t[t.requestTime=2]="requestTime",t[t.verbose=3]="verbose",t))(vMe||{}),Vd=TMe(),bMe=(t=>(t.Err="Err",t.Info="Info",t.Perf="Perf",t))(bMe||{});function CMe(t,r,a,u){return{projectName:t.getProjectName(),fileNames:t.getFileNames(!0,!0).concat(t.getExcludedFiles()),compilerOptions:t.getCompilationSettings(),typeAcquisition:r,unresolvedImports:a,projectRootPath:t.getCurrentDirectory(),cachePath:u,kind:"discover"}}var cC;(t=>{function r(){throw new Error("No Project.")}t.ThrowNoProject=r;function a(){throw new Error("The project's language service is disabled.")}t.ThrowProjectLanguageServiceDisabled=a;function u(h,p){throw new Error(`Project '${p.getProjectName()}' does not contain document '${h}'`)}t.ThrowProjectDoesNotContainDocument=u})(cC||(cC={}));function Jl(t){return ca(t)}function hO(t,r,a){const u=yp(t)?t:wo(t,r);return a(u)}function fht(t){return t}function hht(){const t=new Map;return{get(r){return t.get(r)},set(r,a){t.set(r,a)},contains(r){return t.has(r)},remove(r){t.delete(r)}}}function SMe(t){return/dev\/null\/inferredProject\d+\*/.test(t)}function wMe(t){return`/dev/null/inferredProject${t}*`}function xMe(t){return`/dev/null/autoImportProviderProject${t}*`}function kMe(t){return`/dev/null/auxiliaryProject${t}*`}function TMe(){return[]}var DMe=class cAt{constructor(r,a){this.host=r,this.pendingTimeouts=new Map,this.logger=a.hasLevel(3)?a:void 0}schedule(r,a,u){const h=this.pendingTimeouts.get(r);h&&this.host.clearTimeout(h),this.pendingTimeouts.set(r,this.host.setTimeout(cAt.run,a,r,this,u)),this.logger&&this.logger.info(`Scheduled: ${r}${h?", Cancelled earlier one":""}`)}cancel(r){const a=this.pendingTimeouts.get(r);return a?(this.host.clearTimeout(a),this.pendingTimeouts.delete(r)):!1}static run(r,a,u){a.pendingTimeouts.delete(r),a.logger&&a.logger.info(`Running: ${r}`),u()}},EMe=class lAt{constructor(r,a,u){this.host=r,this.delay=a,this.logger=u}scheduleCollect(){!this.host.gc||this.timerId!==void 0||(this.timerId=this.host.setTimeout(lAt.run,this.delay,this))}static run(r){r.timerId=void 0;const a=r.logger.hasLevel(2),u=a&&r.host.getMemoryUsage();if(r.host.gc(),a){const h=r.host.getMemoryUsage();r.logger.perftrc(`GC::before ${u}, after ${h}`)}}};function mpe(t){const r=ud(t);return r==="tsconfig.json"||r==="jsconfig.json"?r:void 0}var IMe={};c(IMe,{ClassificationType:()=>gfe,CommandTypes:()=>NMe,CompletionTriggerKind:()=>pfe,IndentStyle:()=>ght,JsxEmit:()=>yht,ModuleKind:()=>vht,ModuleResolutionKind:()=>bht,NewLineKind:()=>Cht,OrganizeImportsMode:()=>_fe,PollingWatchKind:()=>mht,ScriptTarget:()=>Sht,SemicolonPreference:()=>mfe,WatchDirectoryKind:()=>pht,WatchFileKind:()=>_ht});var NMe=(t=>(t.JsxClosingTag="jsxClosingTag",t.LinkedEditingRange="linkedEditingRange",t.Brace="brace",t.BraceFull="brace-full",t.BraceCompletion="braceCompletion",t.GetSpanOfEnclosingComment="getSpanOfEnclosingComment",t.Change="change",t.Close="close",t.Completions="completions",t.CompletionInfo="completionInfo",t.CompletionsFull="completions-full",t.CompletionDetails="completionEntryDetails",t.CompletionDetailsFull="completionEntryDetails-full",t.CompileOnSaveAffectedFileList="compileOnSaveAffectedFileList",t.CompileOnSaveEmitFile="compileOnSaveEmitFile",t.Configure="configure",t.Definition="definition",t.DefinitionFull="definition-full",t.DefinitionAndBoundSpan="definitionAndBoundSpan",t.DefinitionAndBoundSpanFull="definitionAndBoundSpan-full",t.Implementation="implementation",t.ImplementationFull="implementation-full",t.EmitOutput="emit-output",t.Exit="exit",t.FileReferences="fileReferences",t.FileReferencesFull="fileReferences-full",t.Format="format",t.Formatonkey="formatonkey",t.FormatFull="format-full",t.FormatonkeyFull="formatonkey-full",t.FormatRangeFull="formatRange-full",t.Geterr="geterr",t.GeterrForProject="geterrForProject",t.SemanticDiagnosticsSync="semanticDiagnosticsSync",t.SyntacticDiagnosticsSync="syntacticDiagnosticsSync",t.SuggestionDiagnosticsSync="suggestionDiagnosticsSync",t.NavBar="navbar",t.NavBarFull="navbar-full",t.Navto="navto",t.NavtoFull="navto-full",t.NavTree="navtree",t.NavTreeFull="navtree-full",t.DocumentHighlights="documentHighlights",t.DocumentHighlightsFull="documentHighlights-full",t.Open="open",t.Quickinfo="quickinfo",t.QuickinfoFull="quickinfo-full",t.References="references",t.ReferencesFull="references-full",t.Reload="reload",t.Rename="rename",t.RenameInfoFull="rename-full",t.RenameLocationsFull="renameLocations-full",t.Saveto="saveto",t.SignatureHelp="signatureHelp",t.SignatureHelpFull="signatureHelp-full",t.FindSourceDefinition="findSourceDefinition",t.Status="status",t.TypeDefinition="typeDefinition",t.ProjectInfo="projectInfo",t.ReloadProjects="reloadProjects",t.Unknown="unknown",t.OpenExternalProject="openExternalProject",t.OpenExternalProjects="openExternalProjects",t.CloseExternalProject="closeExternalProject",t.SynchronizeProjectList="synchronizeProjectList",t.ApplyChangedToOpenFiles="applyChangedToOpenFiles",t.UpdateOpen="updateOpen",t.EncodedSyntacticClassificationsFull="encodedSyntacticClassifications-full",t.EncodedSemanticClassificationsFull="encodedSemanticClassifications-full",t.Cleanup="cleanup",t.GetOutliningSpans="getOutliningSpans",t.GetOutliningSpansFull="outliningSpans",t.TodoComments="todoComments",t.Indentation="indentation",t.DocCommentTemplate="docCommentTemplate",t.CompilerOptionsDiagnosticsFull="compilerOptionsDiagnostics-full",t.NameOrDottedNameSpan="nameOrDottedNameSpan",t.BreakpointStatement="breakpointStatement",t.CompilerOptionsForInferredProjects="compilerOptionsForInferredProjects",t.GetCodeFixes="getCodeFixes",t.GetCodeFixesFull="getCodeFixes-full",t.GetCombinedCodeFix="getCombinedCodeFix",t.GetCombinedCodeFixFull="getCombinedCodeFix-full",t.ApplyCodeActionCommand="applyCodeActionCommand",t.GetSupportedCodeFixes="getSupportedCodeFixes",t.GetApplicableRefactors="getApplicableRefactors",t.GetEditsForRefactor="getEditsForRefactor",t.GetMoveToRefactoringFileSuggestions="getMoveToRefactoringFileSuggestions",t.GetPasteEdits="getPasteEdits",t.GetEditsForRefactorFull="getEditsForRefactor-full",t.OrganizeImports="organizeImports",t.OrganizeImportsFull="organizeImports-full",t.GetEditsForFileRename="getEditsForFileRename",t.GetEditsForFileRenameFull="getEditsForFileRename-full",t.ConfigurePlugin="configurePlugin",t.SelectionRange="selectionRange",t.SelectionRangeFull="selectionRange-full",t.ToggleLineComment="toggleLineComment",t.ToggleLineCommentFull="toggleLineComment-full",t.ToggleMultilineComment="toggleMultilineComment",t.ToggleMultilineCommentFull="toggleMultilineComment-full",t.CommentSelection="commentSelection",t.CommentSelectionFull="commentSelection-full",t.UncommentSelection="uncommentSelection",t.UncommentSelectionFull="uncommentSelection-full",t.PrepareCallHierarchy="prepareCallHierarchy",t.ProvideCallHierarchyIncomingCalls="provideCallHierarchyIncomingCalls",t.ProvideCallHierarchyOutgoingCalls="provideCallHierarchyOutgoingCalls",t.ProvideInlayHints="provideInlayHints",t.WatchChange="watchChange",t.MapCode="mapCode",t))(NMe||{}),_ht=(t=>(t.FixedPollingInterval="FixedPollingInterval",t.PriorityPollingInterval="PriorityPollingInterval",t.DynamicPriorityPolling="DynamicPriorityPolling",t.FixedChunkSizePolling="FixedChunkSizePolling",t.UseFsEvents="UseFsEvents",t.UseFsEventsOnParentDirectory="UseFsEventsOnParentDirectory",t))(_ht||{}),pht=(t=>(t.UseFsEvents="UseFsEvents",t.FixedPollingInterval="FixedPollingInterval",t.DynamicPriorityPolling="DynamicPriorityPolling",t.FixedChunkSizePolling="FixedChunkSizePolling",t))(pht||{}),mht=(t=>(t.FixedInterval="FixedInterval",t.PriorityInterval="PriorityInterval",t.DynamicPriority="DynamicPriority",t.FixedChunkSize="FixedChunkSize",t))(mht||{}),ght=(t=>(t.None="None",t.Block="Block",t.Smart="Smart",t))(ght||{}),yht=(t=>(t.None="none",t.Preserve="preserve",t.ReactNative="react-native",t.React="react",t.ReactJSX="react-jsx",t.ReactJSXDev="react-jsxdev",t))(yht||{}),vht=(t=>(t.None="none",t.CommonJS="commonjs",t.AMD="amd",t.UMD="umd",t.System="system",t.ES6="es6",t.ES2015="es2015",t.ES2020="es2020",t.ES2022="es2022",t.ESNext="esnext",t.Node16="node16",t.NodeNext="nodenext",t.Preserve="preserve",t))(vht||{}),bht=(t=>(t.Classic="classic",t.Node="node",t.NodeJs="node",t.Node10="node10",t.Node16="node16",t.NodeNext="nodenext",t.Bundler="bundler",t))(bht||{}),Cht=(t=>(t.Crlf="Crlf",t.Lf="Lf",t))(Cht||{}),Sht=(t=>(t.ES3="es3",t.ES5="es5",t.ES6="es6",t.ES2015="es2015",t.ES2016="es2016",t.ES2017="es2017",t.ES2018="es2018",t.ES2019="es2019",t.ES2020="es2020",t.ES2021="es2021",t.ES2022="es2022",t.ES2023="es2023",t.ESNext="esnext",t.JSON="json",t.Latest="esnext",t))(Sht||{}),LMe=class{constructor(t,r,a){this.host=t,this.info=r,this.isOpen=!1,this.ownFileText=!1,this.pendingReloadFromDisk=!1,this.version=a||0}getVersion(){return this.svc?`SVC-${this.version}-${this.svc.getSnapshotVersion()}`:`Text-${this.version}`}hasScriptVersionCache_TestOnly(){return this.svc!==void 0}resetSourceMapInfo(){this.info.sourceFileLike=void 0,this.info.closeSourceMapFileWatcher(),this.info.sourceMapFilePath=void 0,this.info.declarationInfoPath=void 0,this.info.sourceInfos=void 0,this.info.documentPositionMapper=void 0}useText(t){this.svc=void 0,this.text=t,this.textSnapshot=void 0,this.lineMap=void 0,this.fileSize=void 0,this.resetSourceMapInfo(),this.version++}edit(t,r,a){this.switchToScriptVersionCache().edit(t,r-t,a),this.ownFileText=!1,this.text=void 0,this.textSnapshot=void 0,this.lineMap=void 0,this.fileSize=void 0,this.resetSourceMapInfo()}reload(t){return j.assert(t!==void 0),this.pendingReloadFromDisk=!1,!this.text&&this.svc&&(this.text=uA(this.svc.getSnapshot())),this.text!==t?(this.useText(t),this.ownFileText=!1,!0):!1}reloadWithFileText(t){const{text:r,fileSize:a}=t||!this.info.isDynamicOrHasMixedContent()?this.getFileTextAndSize(t):{text:"",fileSize:void 0},u=this.reload(r);return this.fileSize=a,this.ownFileText=!t||t===this.info.fileName,this.ownFileText&&this.info.mTime===gp.getTime()&&(this.info.mTime=(this.host.getModifiedTime(this.info.fileName)||gp).getTime()),u}scheduleReloadIfNeeded(){return!this.pendingReloadFromDisk&&!this.ownFileText?this.pendingReloadFromDisk=!0:!1}delayReloadFromFileIntoText(){this.pendingReloadFromDisk=!0}getTelemetryFileSize(){return this.fileSize?this.fileSize:this.text?this.text.length:this.svc?this.svc.getSnapshot().getLength():this.getSnapshot().getLength()}getSnapshot(){var t;return((t=this.tryUseScriptVersionCache())==null?void 0:t.getSnapshot())||(this.textSnapshot??(this.textSnapshot=lZ.fromString(j.checkDefined(this.text))))}getAbsolutePositionAndLineText(t){const r=this.tryUseScriptVersionCache();if(r)return r.getAbsolutePositionAndLineText(t);const a=this.getLineMap();return t<=a.length?{absolutePosition:a[t-1],lineText:this.text.substring(a[t-1],a[t])}:{absolutePosition:this.text.length,lineText:void 0}}lineToTextSpan(t){const r=this.tryUseScriptVersionCache();if(r)return r.lineToTextSpan(t);const a=this.getLineMap(),u=a[t],h=t+1<a.length?a[t+1]:this.text.length;return Fu(u,h)}lineOffsetToPosition(t,r,a){const u=this.tryUseScriptVersionCache();return u?u.lineOffsetToPosition(t,r):nG(this.getLineMap(),t-1,r-1,this.text,a)}positionToLineOffset(t){const r=this.tryUseScriptVersionCache();if(r)return r.positionToLineOffset(t);const{line:a,character:u}=P6(this.getLineMap(),t);return{line:a+1,offset:u+1}}getFileTextAndSize(t){let r;const a=t||this.info.fileName,u=()=>r===void 0?r=this.host.readFile(a)||"":r;if(!IP(this.info.fileName)){const h=this.host.getFileSize?this.host.getFileSize(a):u().length;if(h>vpe)return j.assert(!!this.info.containingProjects.length),this.info.containingProjects[0].projectService.logger.info(`Skipped loading contents of large file ${a} for info ${this.info.fileName}: fileSize: ${h}`),this.info.containingProjects[0].projectService.sendLargeFileReferencedEvent(a,h),{text:"",fileSize:h}}return{text:u()}}switchToScriptVersionCache(){return(!this.svc||this.pendingReloadFromDisk)&&(this.svc=Wpe.fromString(this.getOrLoadText()),this.textSnapshot=void 0,this.version++),this.svc}tryUseScriptVersionCache(){return(!this.svc||this.pendingReloadFromDisk)&&this.getOrLoadText(),this.isOpen?(!this.svc&&!this.textSnapshot&&(this.svc=Wpe.fromString(j.checkDefined(this.text)),this.textSnapshot=void 0),this.svc):this.svc}getOrLoadText(){return(this.text===void 0||this.pendingReloadFromDisk)&&(j.assert(!this.svc||this.pendingReloadFromDisk,"ScriptVersionCache should not be set when reloading from disk"),this.reloadWithFileText()),this.text}getLineMap(){return j.assert(!this.svc,"ScriptVersionCache should not be set"),this.lineMap||(this.lineMap=ZL(j.checkDefined(this.text)))}getLineInfo(){const t=this.tryUseScriptVersionCache();if(t)return{getLineCount:()=>t.getLineCount(),getLineText:a=>t.getAbsolutePositionAndLineText(a+1).lineText};const r=this.getLineMap();return Rue(this.text,r)}};function lz(t){return t[0]==="^"||(t.includes("walkThroughSnippet:/")||t.includes("untitled:/"))&&ud(t)[0]==="^"||t.includes(":^")&&!t.includes(Kl)}var PMe=class{constructor(t,r,a,u,h,p){this.host=t,this.fileName=r,this.scriptKind=a,this.hasMixedContent=u,this.path=h,this.containingProjects=[],this.isDynamic=lz(r),this.textStorage=new LMe(t,this,p),(u||this.isDynamic)&&(this.realpath=this.path),this.scriptKind=a||$K(r)}isDynamicOrHasMixedContent(){return this.hasMixedContent||this.isDynamic}isScriptOpen(){return this.textStorage.isOpen}open(t){this.textStorage.isOpen=!0,t!==void 0&&this.textStorage.reload(t)&&this.markContainingProjectsAsDirty()}close(t=!0){this.textStorage.isOpen=!1,t&&this.textStorage.scheduleReloadIfNeeded()&&this.markContainingProjectsAsDirty()}getSnapshot(){return this.textStorage.getSnapshot()}ensureRealPath(){if(this.realpath===void 0&&(this.realpath=this.path,this.host.realpath)){j.assert(!!this.containingProjects.length);const t=this.containingProjects[0],r=this.host.realpath(this.path);r&&(this.realpath=t.toPath(r),this.realpath!==this.path&&t.projectService.realpathToScriptInfos.add(this.realpath,this))}}getRealpathIfDifferent(){return this.realpath&&this.realpath!==this.path?this.realpath:void 0}isSymlink(){return this.realpath&&this.realpath!==this.path}getFormatCodeSettings(){return this.formatSettings}getPreferences(){return this.preferences}attachToProject(t){const r=!this.isAttached(t);return r&&(this.containingProjects.push(t),t.getCompilerOptions().preserveSymlinks||this.ensureRealPath(),t.onFileAddedOrRemoved(this.isSymlink())),r}isAttached(t){switch(this.containingProjects.length){case 0:return!1;case 1:return this.containingProjects[0]===t;case 2:return this.containingProjects[0]===t||this.containingProjects[1]===t;default:return Yt(this.containingProjects,t)}}detachFromProject(t){switch(this.containingProjects.length){case 0:return;case 1:this.containingProjects[0]===t&&(t.onFileAddedOrRemoved(this.isSymlink()),this.containingProjects.pop());break;case 2:this.containingProjects[0]===t?(t.onFileAddedOrRemoved(this.isSymlink()),this.containingProjects[0]=this.containingProjects.pop()):this.containingProjects[1]===t&&(t.onFileAddedOrRemoved(this.isSymlink()),this.containingProjects.pop());break;default:GR(this.containingProjects,t)&&t.onFileAddedOrRemoved(this.isSymlink());break}}detachAllProjects(){for(const t of this.containingProjects){LS(t)&&t.getCachedDirectoryStructureHost().addOrDeleteFile(this.fileName,this.path,2);const r=t.getRootFilesMap().get(this.path);t.removeFile(this,!1,!1),t.onFileAddedOrRemoved(this.isSymlink()),r&&!_O(t)&&t.addMissingFileRoot(r.fileName)}An(this.containingProjects)}getDefaultProject(){switch(this.containingProjects.length){case 0:return cC.ThrowNoProject();case 1:return jY(this.containingProjects[0])||hz(this.containingProjects[0])?cC.ThrowNoProject():this.containingProjects[0];default:let t,r,a,u;for(let h=0;h<this.containingProjects.length;h++){const p=this.containingProjects[h];if(LS(p)){if(p.deferredClose)continue;if(!p.isSourceOfProjectReferenceRedirect(this.fileName)){if(u===void 0&&h!==this.containingProjects.length-1&&(u=p.projectService.findDefaultConfiguredProject(this)||!1),u===p)return p;a||(a=p)}t||(t=p)}else{if(fz(p))return p;!r&&_O(p)&&(r=p)}}return(u||a||t||r)??cC.ThrowNoProject()}}registerFileUpdate(){for(const t of this.containingProjects)t.registerFileUpdate(this.path)}setOptions(t,r){t&&(this.formatSettings?this.formatSettings={...this.formatSettings,...t}:(this.formatSettings=uZ(this.host.newLine),$u(this.formatSettings,t))),r&&(this.preferences||(this.preferences=Bg),this.preferences={...this.preferences,...r})}getLatestVersion(){return this.textStorage.getSnapshot(),this.textStorage.getVersion()}saveTo(t){this.host.writeFile(t,uA(this.textStorage.getSnapshot()))}delayReloadNonMixedContentFile(){j.assert(!this.isDynamicOrHasMixedContent()),this.textStorage.delayReloadFromFileIntoText(),this.markContainingProjectsAsDirty()}reloadFromFile(t){return this.textStorage.reloadWithFileText(t)?(this.markContainingProjectsAsDirty(),!0):!1}editContent(t,r,a){this.textStorage.edit(t,r,a),this.markContainingProjectsAsDirty()}markContainingProjectsAsDirty(){for(const t of this.containingProjects)t.markFileAsDirty(this.path)}isOrphan(){return this.deferredDelete||!O(this.containingProjects,t=>!t.isOrphan())}isContainedByBackgroundProject(){return Ft(this.containingProjects,hz)}lineToTextSpan(t){return this.textStorage.lineToTextSpan(t)}lineOffsetToPosition(t,r,a){return this.textStorage.lineOffsetToPosition(t,r,a)}positionToLineOffset(t){BJt(t);const r=this.textStorage.positionToLineOffset(t);return WJt(r),r}isJavaScript(){return this.scriptKind===1||this.scriptKind===2}closeSourceMapFileWatcher(){this.sourceMapFilePath&&!Oo(this.sourceMapFilePath)&&(Zm(this.sourceMapFilePath),this.sourceMapFilePath=void 0)}};function BJt(t){j.assert(typeof t=="number",`Expected position ${t} to be a number.`),j.assert(t>=0,"Expected position to be non-negative.")}function WJt(t){j.assert(typeof t.line=="number",`Expected line ${t.line} to be a number.`),j.assert(typeof t.offset=="number",`Expected offset ${t.offset} to be a number.`),j.assert(t.line>0,`Expected line to be non-${t.line===0?"zero":"negative"}`),j.assert(t.offset>0,`Expected offset to be non-${t.offset===0?"zero":"negative"}`)}var uz=(t=>(t[t.Inferred=0]="Inferred",t[t.Configured=1]="Configured",t[t.External=2]="External",t[t.AutoImportProvider=3]="AutoImportProvider",t[t.Auxiliary=4]="Auxiliary",t))(uz||{});function dz(t,r=!1){const a={js:0,jsSize:0,jsx:0,jsxSize:0,ts:0,tsSize:0,tsx:0,tsxSize:0,dts:0,dtsSize:0,deferred:0,deferredSize:0};for(const u of t){const h=r?u.textStorage.getTelemetryFileSize():0;switch(u.scriptKind){case 1:a.js+=1,a.jsSize+=h;break;case 2:a.jsx+=1,a.jsxSize+=h;break;case 3:df(u.fileName)?(a.dts+=1,a.dtsSize+=h):(a.ts+=1,a.tsSize+=h);break;case 4:a.tsx+=1,a.tsxSize+=h;break;case 7:a.deferred+=1,a.deferredSize+=h;break}}return a}function VJt(t){const r=dz(t.getScriptInfos());return r.js>0&&r.ts===0&&r.tsx===0}function AMe(t){const r=dz(t.getRootScriptInfos());return r.ts===0&&r.tsx===0}function OMe(t){const r=dz(t.getScriptInfos());return r.ts===0&&r.tsx===0}function MMe(t){return!t.some(r=>Xl(r,".ts")&&!df(r)||Xl(r,".tsx"))}function RMe(t){return t.generatedFilePath!==void 0}function wht(t,r){if(t===r||(t||Vd).length===0&&(r||Vd).length===0)return!0;const a=new Map;let u=0;for(const h of t)a.get(h)!==!0&&(a.set(h,!0),u++);for(const h of r){const p=a.get(h);if(p===void 0)return!1;p===!0&&(a.set(h,!1),u--)}return u===0}function HJt(t,r){return t.enable!==r.enable||!wht(t.include,r.include)||!wht(t.exclude,r.exclude)}function jJt(t,r){return ox(t)!==ox(r)}function zJt(t,r){return t===r?!1:!i_(t,r)}var xA=class uAt{constructor(r,a,u,h,p,g,C,b,T,E,N){switch(this.projectKind=a,this.projectService=u,this.documentRegistry=h,this.compilerOptions=C,this.compileOnSaveEnabled=b,this.watchOptions=T,this.rootFilesMap=new Map,this.plugins=[],this.cachedUnresolvedImportsPerFile=new Map,this.hasAddedorRemovedFiles=!1,this.hasAddedOrRemovedSymlinks=!1,this.lastReportedVersion=0,this.projectProgramVersion=0,this.projectStateVersion=0,this.isInitialLoadPending=w1,this.dirty=!1,this.typingFiles=Vd,this.moduleSpecifierCache=nRe(this),this.createHash=to(this.projectService.host,this.projectService.host.createHash),this.globalCacheResolutionModuleName=J1.nonRelativeModuleNameForTypingCache,this.updateFromProjectInProgress=!1,this.projectName=r,this.directoryStructureHost=E,this.currentDirectory=this.projectService.getNormalizedAbsolutePath(N),this.getCanonicalFileName=this.projectService.toCanonicalFileName,this.jsDocParsingMode=this.projectService.jsDocParsingMode,this.cancellationToken=new g6e(this.projectService.cancellationToken,this.projectService.throttleWaitMilliseconds),this.compilerOptions?(p||ox(this.compilerOptions)||this.projectService.hasDeferredExtension())&&(this.compilerOptions.allowNonTsExtensions=!0):(this.compilerOptions=fY(),this.compilerOptions.allowNonTsExtensions=!0,this.compilerOptions.allowJs=!0),u.serverMode){case 0:this.languageServiceEnabled=!0;break;case 1:this.languageServiceEnabled=!0,this.compilerOptions.noResolve=!0,this.compilerOptions.types=[];break;case 2:this.languageServiceEnabled=!1,this.compilerOptions.noResolve=!0,this.compilerOptions.types=[];break;default:j.assertNever(u.serverMode)}this.setInternalCompilerOptionsForEmittingJsFiles();const R=this.projectService.host;this.projectService.logger.loggingEnabled()?this.trace=F=>this.writeLog(F):R.trace&&(this.trace=F=>R.trace(F)),this.realpath=to(R,R.realpath),this.preferNonRecursiveWatch=this.projectService.canUseWatchEvents||R.preferNonRecursiveWatch,this.resolutionCache=Ade(this,this.currentDirectory,!0),this.languageService=y6e(this,this.documentRegistry,this.projectService.serverMode),g&&this.disableLanguageService(g),this.markAsDirty(),hz(this)||(this.projectService.pendingEnsureProjectForOpenFiles=!0),this.projectService.onProjectCreation(this)}getResolvedProjectReferenceToRedirect(r){}isNonTsProject(){return Ym(this),OMe(this)}isJsOnlyProject(){return Ym(this),VJt(this)}static resolveModule(r,a,u,h){return uAt.importServicePluginSync({name:r},[a],u,h).resolvedModule}static importServicePluginSync(r,a,u,h){j.assertIsDefined(u.require);let p,g;for(const C of a){const b=Rf(u.resolvePath(zr(C,"node_modules")));h(`Loading ${r.name} from ${C} (resolved to ${b})`);const T=u.require(b,r.name);if(!T.error){g=T.module;break}const E=T.error.stack||T.error.message||JSON.stringify(T.error);(p??(p=[])).push(`Failed to load module '${r.name}' from ${b}: ${E}`)}return{pluginConfigEntry:r,resolvedModule:g,errorLogs:p}}static async importServicePluginAsync(r,a,u,h){j.assertIsDefined(u.importPlugin);let p,g;for(const C of a){const b=zr(C,"node_modules");h(`Dynamically importing ${r.name} from ${C} (resolved to ${b})`);let T;try{T=await u.importPlugin(b,r.name)}catch(N){T={module:void 0,error:N}}if(!T.error){g=T.module;break}const E=T.error.stack||T.error.message||JSON.stringify(T.error);(p??(p=[])).push(`Failed to dynamically import module '${r.name}' from ${b}: ${E}`)}return{pluginConfigEntry:r,resolvedModule:g,errorLogs:p}}isKnownTypesPackageName(r){return this.projectService.typingsInstaller.isKnownTypesPackageName(r)}installPackage(r){return this.projectService.typingsInstaller.installPackage({...r,projectName:this.projectName,projectRootPath:this.toPath(this.currentDirectory)})}getGlobalTypingsCacheLocation(){return this.getGlobalCache()}getSymlinkCache(){return this.symlinks||(this.symlinks=Oce(this.getCurrentDirectory(),this.getCanonicalFileName)),this.program&&!this.symlinks.hasProcessedResolutions()&&this.symlinks.setSymlinksFromResolutions(this.program.forEachResolvedModule,this.program.forEachResolvedTypeReferenceDirective,this.program.getAutomaticTypeDirectiveResolutions()),this.symlinks}getCompilationSettings(){return this.compilerOptions}getCompilerOptions(){return this.getCompilationSettings()}getNewLine(){return this.projectService.host.newLine}getProjectVersion(){return this.projectStateVersion.toString()}getProjectReferences(){}getScriptFileNames(){if(!this.rootFilesMap.size)return w;let r;return this.rootFilesMap.forEach(a=>{(this.languageServiceEnabled||a.info&&a.info.isScriptOpen())&&(r||(r=[])).push(a.fileName)}),Sr(r,this.typingFiles)||w}getOrCreateScriptInfoAndAttachToProject(r){const a=this.projectService.getOrCreateScriptInfoNotOpenedByClient(r,this.currentDirectory,this.directoryStructureHost,!1);if(a){const u=this.rootFilesMap.get(a.path);u&&u.info!==a&&(u.info=a),a.attachToProject(this)}return a}getScriptKind(r){const a=this.projectService.getScriptInfoForPath(this.toPath(r));return a&&a.scriptKind}getScriptVersion(r){const a=this.projectService.getOrCreateScriptInfoNotOpenedByClient(r,this.currentDirectory,this.directoryStructureHost,!1);return a&&a.getLatestVersion()}getScriptSnapshot(r){const a=this.getOrCreateScriptInfoAndAttachToProject(r);if(a)return a.getSnapshot()}getCancellationToken(){return this.cancellationToken}getCurrentDirectory(){return this.currentDirectory}getDefaultLibFileName(){const r=ts(ca(this.projectService.getExecutingFilePath()));return zr(r,IW(this.compilerOptions))}useCaseSensitiveFileNames(){return this.projectService.host.useCaseSensitiveFileNames}readDirectory(r,a,u,h,p){return this.directoryStructureHost.readDirectory(r,a,u,h,p)}readFile(r){return this.projectService.host.readFile(r)}writeFile(r,a){return this.projectService.host.writeFile(r,a)}fileExists(r){const a=this.toPath(r);return!!this.projectService.getScriptInfoForPath(a)||!this.isWatchedMissingFile(a)&&this.directoryStructureHost.fileExists(r)}resolveModuleNameLiterals(r,a,u,h,p,g){return this.resolutionCache.resolveModuleNameLiterals(r,a,u,h,p,g)}getModuleResolutionCache(){return this.resolutionCache.getModuleResolutionCache()}resolveTypeReferenceDirectiveReferences(r,a,u,h,p,g){return this.resolutionCache.resolveTypeReferenceDirectiveReferences(r,a,u,h,p,g)}resolveLibrary(r,a,u,h){return this.resolutionCache.resolveLibrary(r,a,u,h)}directoryExists(r){return this.directoryStructureHost.directoryExists(r)}getDirectories(r){return this.directoryStructureHost.getDirectories(r)}getCachedDirectoryStructureHost(){}toPath(r){return ol(r,this.currentDirectory,this.projectService.toCanonicalFileName)}watchDirectoryOfFailedLookupLocation(r,a,u){return this.projectService.watchFactory.watchDirectory(r,a,u,this.projectService.getWatchOptions(this),Kd.FailedLookupLocations,this)}watchAffectingFileLocation(r,a){return this.projectService.watchFactory.watchFile(r,a,2e3,this.projectService.getWatchOptions(this),Kd.AffectingFileLocation,this)}clearInvalidateResolutionOfFailedLookupTimer(){return this.projectService.throttledOperations.cancel(`${this.getProjectName()}FailedLookupInvalidation`)}scheduleInvalidateResolutionsOfFailedLookupLocations(){this.projectService.throttledOperations.schedule(`${this.getProjectName()}FailedLookupInvalidation`,1e3,()=>{this.resolutionCache.invalidateResolutionsOfFailedLookupLocations()&&this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this)})}invalidateResolutionsOfFailedLookupLocations(){this.clearInvalidateResolutionOfFailedLookupTimer()&&this.resolutionCache.invalidateResolutionsOfFailedLookupLocations()&&(this.markAsDirty(),this.projectService.delayEnsureProjectForOpenFiles())}onInvalidatedResolution(){this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this)}watchTypeRootsDirectory(r,a,u){return this.projectService.watchFactory.watchDirectory(r,a,u,this.projectService.getWatchOptions(this),Kd.TypeRoots,this)}hasChangedAutomaticTypeDirectiveNames(){return this.resolutionCache.hasChangedAutomaticTypeDirectiveNames()}onChangedAutomaticTypeDirectiveNames(){this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this)}getGlobalCache(){return this.getTypeAcquisition().enable?this.projectService.typingsInstaller.globalTypingsCacheLocation:void 0}fileIsOpen(r){return this.projectService.openFiles.has(r)}writeLog(r){this.projectService.logger.info(r)}log(r){this.writeLog(r)}error(r){this.projectService.logger.msg(r,"Err")}setInternalCompilerOptionsForEmittingJsFiles(){(this.projectKind===0||this.projectKind===2)&&(this.compilerOptions.noEmitForJsFiles=!0)}getGlobalProjectErrors(){return $t(this.projectErrors,r=>!r.file)||Vd}getAllProjectErrors(){return this.projectErrors||Vd}setProjectErrors(r){this.projectErrors=r}getLanguageService(r=!0){return r&&Ym(this),this.languageService}getSourceMapper(){return this.getLanguageService().getSourceMapper()}clearSourceMapperCache(){this.languageService.clearSourceMapperCache()}getDocumentPositionMapper(r,a){return this.projectService.getDocumentPositionMapper(this,r,a)}getSourceFileLike(r){return this.projectService.getSourceFileLike(r,this)}shouldEmitFile(r){return r&&!r.isDynamicOrHasMixedContent()&&!this.program.isSourceOfProjectReferenceRedirect(r.path)}getCompileOnSaveAffectedFileList(r){return this.languageServiceEnabled?(Ym(this),this.builderState=$0.create(this.program,this.builderState,!0),es($0.getFilesAffectedBy(this.builderState,this.program,r.path,this.cancellationToken,this.projectService.host),a=>this.shouldEmitFile(this.projectService.getScriptInfoForPath(a.path))?a.fileName:void 0)):[]}emitFile(r,a){if(!this.languageServiceEnabled||!this.shouldEmitFile(r))return{emitSkipped:!0,diagnostics:Vd};const{emitSkipped:u,diagnostics:h,outputFiles:p}=this.getLanguageService().getEmitOutput(r.fileName);if(!u){for(const g of p){const C=wo(g.name,this.currentDirectory);a(C,g.text,g.writeByteOrderMark)}if(this.builderState&&Q_(this.compilerOptions)){const g=p.filter(C=>df(C.name));if(g.length===1){const C=this.program.getSourceFile(r.fileName),b=this.projectService.host.createHash?this.projectService.host.createHash(g[0].text):n8(g[0].text);$0.updateSignatureOfFile(this.builderState,b,C.resolvedPath)}}}return{emitSkipped:u,diagnostics:h}}enableLanguageService(){this.languageServiceEnabled||this.projectService.serverMode===2||(this.languageServiceEnabled=!0,this.lastFileExceededProgramSize=void 0,this.projectService.onUpdateLanguageServiceStateForProject(this,!0))}cleanupProgram(){if(this.program){for(const r of this.program.getSourceFiles())this.detachScriptInfoIfNotRoot(r.fileName);this.program.forEachResolvedProjectReference(r=>this.detachScriptInfoFromProject(r.sourceFile.fileName)),this.program=void 0}}disableLanguageService(r){this.languageServiceEnabled&&(j.assert(this.projectService.serverMode!==2),this.languageService.cleanupSemanticCache(),this.languageServiceEnabled=!1,this.cleanupProgram(),this.lastFileExceededProgramSize=r,this.builderState=void 0,this.autoImportProviderHost&&this.autoImportProviderHost.close(),this.autoImportProviderHost=void 0,this.resolutionCache.closeTypeRootsWatch(),this.clearGeneratedFileWatch(),this.projectService.verifyDocumentRegistry(),this.projectService.onUpdateLanguageServiceStateForProject(this,!1))}getProjectName(){return this.projectName}removeLocalTypingsFromTypeAcquisition(r){return!r.enable||!r.include?r:{...r,include:this.removeExistingTypings(r.include)}}getExternalFiles(r){return Mu(Rr(this.plugins,a=>{if(typeof a.module.getExternalFiles=="function")try{return a.module.getExternalFiles(this,r||0)}catch(u){this.projectService.logger.info(`A plugin threw an exception in getExternalFiles: ${u}`),u.stack&&this.projectService.logger.info(u.stack)}}))}getSourceFile(r){if(this.program)return this.program.getSourceFileByPath(r)}getSourceFileOrConfigFile(r){const a=this.program.getCompilerOptions();return r===a.configFilePath?a.configFile:this.getSourceFile(r)}close(){var r;this.typingsCache&&this.projectService.typingsInstaller.onProjectClosed(this),this.typingsCache=void 0,this.closeWatchingTypingLocations(),this.cleanupProgram(),O(this.externalFiles,a=>this.detachScriptInfoIfNotRoot(a)),this.rootFilesMap.forEach(a=>{var u;return(u=a.info)==null?void 0:u.detachFromProject(this)}),this.projectService.pendingEnsureProjectForOpenFiles=!0,this.rootFilesMap=void 0,this.externalFiles=void 0,this.program=void 0,this.builderState=void 0,this.resolutionCache.clear(),this.resolutionCache=void 0,this.cachedUnresolvedImportsPerFile=void 0,(r=this.packageJsonWatches)==null||r.forEach(a=>{a.projects.delete(this),a.close()}),this.packageJsonWatches=void 0,this.moduleSpecifierCache.clear(),this.moduleSpecifierCache=void 0,this.directoryStructureHost=void 0,this.exportMapCache=void 0,this.projectErrors=void 0,this.plugins.length=0,this.missingFilesMap&&(X_(this.missingFilesMap,d0),this.missingFilesMap=void 0),this.clearGeneratedFileWatch(),this.clearInvalidateResolutionOfFailedLookupTimer(),this.autoImportProviderHost&&this.autoImportProviderHost.close(),this.autoImportProviderHost=void 0,this.noDtsResolutionProject&&this.noDtsResolutionProject.close(),this.noDtsResolutionProject=void 0,this.languageService.dispose(),this.languageService=void 0}detachScriptInfoIfNotRoot(r){const a=this.projectService.getScriptInfo(r);a&&!this.isRoot(a)&&a.detachFromProject(this)}isClosed(){return this.rootFilesMap===void 0}hasRoots(){var r;return!!((r=this.rootFilesMap)!=null&&r.size)}isOrphan(){return!1}getRootFiles(){return this.rootFilesMap&&as(ld(this.rootFilesMap.values(),r=>{var a;return(a=r.info)==null?void 0:a.fileName}))}getRootFilesMap(){return this.rootFilesMap}getRootScriptInfos(){return as(ld(this.rootFilesMap.values(),r=>r.info))}getScriptInfos(){return this.languageServiceEnabled?qt(this.program.getSourceFiles(),r=>{const a=this.projectService.getScriptInfoForPath(r.resolvedPath);return j.assert(!!a,"getScriptInfo",()=>`scriptInfo for a file '${r.fileName}' Path: '${r.path}' / '${r.resolvedPath}' is missing.`),a}):this.getRootScriptInfos()}getExcludedFiles(){return Vd}getFileNames(r,a){if(!this.program)return[];if(!this.languageServiceEnabled){let h=this.getRootFiles();if(this.compilerOptions){const p=v6e(this.compilerOptions);p&&(h||(h=[])).push(p)}return h}const u=[];for(const h of this.program.getSourceFiles())r&&this.program.isSourceFileFromExternalLibrary(h)||u.push(h.fileName);if(!a){const h=this.program.getCompilerOptions().configFile;if(h&&(u.push(h.fileName),h.extendedSourceFiles))for(const p of h.extendedSourceFiles)u.push(p)}return u}getFileNamesWithRedirectInfo(r){return this.getFileNames().map(a=>({fileName:a,isSourceOfProjectReferenceRedirect:r&&this.isSourceOfProjectReferenceRedirect(a)}))}hasConfigFile(r){if(this.program&&this.languageServiceEnabled){const a=this.program.getCompilerOptions().configFile;if(a){if(r===a.fileName)return!0;if(a.extendedSourceFiles){for(const u of a.extendedSourceFiles)if(r===u)return!0}}}return!1}containsScriptInfo(r){if(this.isRoot(r))return!0;if(!this.program)return!1;const a=this.program.getSourceFileByPath(r.path);return!!a&&a.resolvedPath===r.path}containsFile(r,a){const u=this.projectService.getScriptInfoForNormalizedPath(r);return u&&(u.isScriptOpen()||!a)?this.containsScriptInfo(u):!1}isRoot(r){var a,u;return((u=(a=this.rootFilesMap)==null?void 0:a.get(r.path))==null?void 0:u.info)===r}addRoot(r,a){j.assert(!this.isRoot(r)),this.rootFilesMap.set(r.path,{fileName:a||r.fileName,info:r}),r.attachToProject(this),this.markAsDirty()}addMissingFileRoot(r){const a=this.projectService.toPath(r);this.rootFilesMap.set(a,{fileName:r}),this.markAsDirty()}removeFile(r,a,u){this.isRoot(r)&&this.removeRoot(r),a?this.resolutionCache.removeResolutionsOfFile(r.path):this.resolutionCache.invalidateResolutionOfFile(r.path),this.cachedUnresolvedImportsPerFile.delete(r.path),u&&r.detachFromProject(this),this.markAsDirty()}registerFileUpdate(r){(this.updatedFileNames||(this.updatedFileNames=new Set)).add(r)}markFileAsDirty(r){this.markAsDirty(),this.exportMapCache&&!this.exportMapCache.isEmpty()&&(this.changedFilesForExportMapCache||(this.changedFilesForExportMapCache=new Set)).add(r)}markAsDirty(){this.dirty||(this.projectStateVersion++,this.dirty=!0)}markAutoImportProviderAsDirty(){var r;this.autoImportProviderHost||(this.autoImportProviderHost=void 0),(r=this.autoImportProviderHost)==null||r.markAsDirty()}onAutoImportProviderSettingsChanged(){var r;this.autoImportProviderHost===!1?this.autoImportProviderHost=void 0:(r=this.autoImportProviderHost)==null||r.markAsDirty()}onPackageJsonChange(){this.moduleSpecifierCache.clear(),this.autoImportProviderHost&&this.autoImportProviderHost.markAsDirty()}onFileAddedOrRemoved(r){this.hasAddedorRemovedFiles=!0,r&&(this.hasAddedOrRemovedSymlinks=!0)}onDiscoveredSymlink(){this.hasAddedOrRemovedSymlinks=!0}onReleaseOldSourceFile(r,a,u,h){(!h||r.resolvedPath===r.path&&h.resolvedPath!==r.path)&&this.detachScriptInfoFromProject(r.fileName,u)}updateFromProject(){Ym(this)}updateGraph(){var r,a;(r=Zn)==null||r.push(Zn.Phase.Session,"updateGraph",{name:this.projectName,kind:uz[this.projectKind]}),this.resolutionCache.startRecordingFilesWithChangedResolutions();const u=this.updateGraphWorker(),h=this.hasAddedorRemovedFiles;this.hasAddedorRemovedFiles=!1,this.hasAddedOrRemovedSymlinks=!1;const p=this.resolutionCache.finishRecordingFilesWithChangedResolutions()||Vd;for(const C of p)this.cachedUnresolvedImportsPerFile.delete(C);this.languageServiceEnabled&&this.projectService.serverMode===0&&!this.isOrphan()?((u||p.length)&&(this.lastCachedUnresolvedImportsList=UJt(this.program,this.cachedUnresolvedImportsPerFile)),this.enqueueInstallTypingsForProject(h)):this.lastCachedUnresolvedImportsList=void 0;const g=this.projectProgramVersion===0&&u;return u&&this.projectProgramVersion++,h&&this.markAutoImportProviderAsDirty(),g&&this.getPackageJsonAutoImportProvider(),(a=Zn)==null||a.pop(),!u}enqueueInstallTypingsForProject(r){const a=this.getTypeAcquisition();if(!a||!a.enable||this.projectService.typingsInstaller===qY)return;const u=this.typingsCache;(r||!u||HJt(a,u.typeAcquisition)||jJt(this.getCompilationSettings(),u.compilerOptions)||zJt(this.lastCachedUnresolvedImportsList,u.unresolvedImports))&&(this.typingsCache={compilerOptions:this.getCompilationSettings(),typeAcquisition:a,unresolvedImports:this.lastCachedUnresolvedImportsList},this.projectService.typingsInstaller.enqueueInstallTypingsRequest(this,a,this.lastCachedUnresolvedImportsList))}updateTypingFiles(r,a,u,h){this.typingsCache={compilerOptions:r,typeAcquisition:a,unresolvedImports:u};const p=!a||!a.enable?Vd:Mu(h);UJ(p,this.typingFiles,E6(!this.useCaseSensitiveFileNames()),Tc,g=>this.detachScriptInfoFromProject(g))&&(this.typingFiles=p,this.resolutionCache.setFilesWithInvalidatedNonRelativeUnresolvedImports(this.cachedUnresolvedImportsPerFile),this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this))}closeWatchingTypingLocations(){this.typingWatchers&&X_(this.typingWatchers,d0),this.typingWatchers=void 0}onTypingInstallerWatchInvoke(){this.typingWatchers.isInvoked=!0,this.projectService.updateTypingsForProject({projectName:this.getProjectName(),kind:aZ})}watchTypingLocations(r){if(!r){this.typingWatchers.isInvoked=!1;return}if(!r.length){this.closeWatchingTypingLocations();return}const a=new Map(this.typingWatchers);this.typingWatchers||(this.typingWatchers=new Map),this.typingWatchers.isInvoked=!1;const u=(h,p)=>{const g=this.toPath(h);a.delete(g),this.typingWatchers.has(g)||this.typingWatchers.set(g,p==="FileWatcher"?this.projectService.watchFactory.watchFile(h,()=>this.typingWatchers.isInvoked?this.writeLog("TypingWatchers already invoked"):this.onTypingInstallerWatchInvoke(),2e3,this.projectService.getWatchOptions(this),Kd.TypingInstallerLocationFile,this):this.projectService.watchFactory.watchDirectory(h,C=>{if(this.typingWatchers.isInvoked)return this.writeLog("TypingWatchers already invoked");if(!Xl(C,".json"))return this.writeLog("Ignoring files that are not *.json");if(Hb(C,zr(this.projectService.typingsInstaller.globalTypingsCacheLocation,"package.json"),!this.useCaseSensitiveFileNames()))return this.writeLog("Ignoring package.json change at global typings location");this.onTypingInstallerWatchInvoke()},1,this.projectService.getWatchOptions(this),Kd.TypingInstallerLocationDirectory,this))};for(const h of r){const p=ud(h);if(p==="package.json"||p==="bower.json"){u(h,"FileWatcher");continue}if(Vm(this.currentDirectory,h,this.currentDirectory,!this.useCaseSensitiveFileNames())){const g=h.indexOf(Kl,this.currentDirectory.length+1);u(g!==-1?h.substr(0,g):h,"DirectoryWatcher");continue}if(Vm(this.projectService.typingsInstaller.globalTypingsCacheLocation,h,this.currentDirectory,!this.useCaseSensitiveFileNames())){u(this.projectService.typingsInstaller.globalTypingsCacheLocation,"DirectoryWatcher");continue}u(h,"DirectoryWatcher")}a.forEach((h,p)=>{h.close(),this.typingWatchers.delete(p)})}getCurrentProgram(){return this.program}removeExistingTypings(r){if(!r.length)return r;const a=nQ(this.getCompilerOptions(),this.directoryStructureHost);return $t(r,u=>!a.includes(u))}updateGraphWorker(){var r,a;const u=this.languageService.getCurrentProgram();j.assert(u===this.program),j.assert(!this.isClosed(),"Called update graph worker of closed project"),this.writeLog(`Starting updateGraphWorker: Project: ${this.getProjectName()}`);const h=sl(),{hasInvalidatedResolutions:p,hasInvalidatedLibResolutions:g}=this.resolutionCache.createHasInvalidatedResolutions(w1,w1);this.hasInvalidatedResolutions=p,this.hasInvalidatedLibResolutions=g,this.resolutionCache.startCachingPerDirectoryResolution(),this.dirty=!1,this.updateFromProjectInProgress=!0,this.program=this.languageService.getProgram(),this.updateFromProjectInProgress=!1,(r=Zn)==null||r.push(Zn.Phase.Session,"finishCachingPerDirectoryResolution"),this.resolutionCache.finishCachingPerDirectoryResolution(this.program,u),(a=Zn)==null||a.pop(),j.assert(u===void 0||this.program!==void 0);let C=!1;if(this.program&&(!u||this.program!==u&&this.program.structureIsReused!==2)){if(C=!0,this.rootFilesMap.forEach((E,N)=>{var R;const F=this.program.getSourceFileByPath(N),H=E.info;!F||((R=E.info)==null?void 0:R.path)===F.resolvedPath||(E.info=this.projectService.getScriptInfo(F.fileName),j.assert(E.info.isAttached(this)),H==null||H.detachFromProject(this))}),ode(this.program,this.missingFilesMap||(this.missingFilesMap=new Map),(E,N)=>this.addMissingFileWatcher(E,N)),this.generatedFilesMap){const E=this.compilerOptions.outFile;RMe(this.generatedFilesMap)?(!E||!this.isValidGeneratedFileWatcher(s_(E)+".d.ts",this.generatedFilesMap))&&this.clearGeneratedFileWatch():E?this.clearGeneratedFileWatch():this.generatedFilesMap.forEach((N,R)=>{const F=this.program.getSourceFileByPath(R);(!F||F.resolvedPath!==R||!this.isValidGeneratedFileWatcher(hK(F.fileName,this.compilerOptions,this.program),N))&&(Zm(N),this.generatedFilesMap.delete(R))})}this.languageServiceEnabled&&this.projectService.serverMode===0&&this.resolutionCache.updateTypeRootsWatch()}this.projectService.verifyProgram(this),this.exportMapCache&&!this.exportMapCache.isEmpty()&&(this.exportMapCache.releaseSymbols(),this.hasAddedorRemovedFiles||u&&!this.program.structureIsReused?this.exportMapCache.clear():this.changedFilesForExportMapCache&&u&&this.program&&qb(this.changedFilesForExportMapCache,E=>{const N=u.getSourceFileByPath(E),R=this.program.getSourceFileByPath(E);return!N||!R?(this.exportMapCache.clear(),!0):this.exportMapCache.onFileChanged(N,R,!!this.getTypeAcquisition().enable)})),this.changedFilesForExportMapCache&&this.changedFilesForExportMapCache.clear(),(this.hasAddedOrRemovedSymlinks||this.program&&!this.program.structureIsReused&&this.getCompilerOptions().preserveSymlinks)&&(this.symlinks=void 0,this.moduleSpecifierCache.clear());const b=this.externalFiles||Vd;this.externalFiles=this.getExternalFiles(),UJ(this.externalFiles,b,E6(!this.useCaseSensitiveFileNames()),E=>{const N=this.projectService.getOrCreateScriptInfoNotOpenedByClient(E,this.currentDirectory,this.directoryStructureHost,!1);N==null||N.attachToProject(this)},E=>this.detachScriptInfoFromProject(E));const T=sl()-h;return this.sendPerformanceEvent("UpdateGraph",T),this.writeLog(`Finishing updateGraphWorker: Project: ${this.getProjectName()} projectStateVersion: ${this.projectStateVersion} projectProgramVersion: ${this.projectProgramVersion} structureChanged: ${C}${this.program?` structureIsReused:: ${poe[this.program.structureIsReused]}`:""} Elapsed: ${T}ms`),this.projectService.logger.isTestLogger?this.program!==u?this.print(!0,this.hasAddedorRemovedFiles,!0):this.writeLog("Same program as before"):this.hasAddedorRemovedFiles?this.print(!0,!0,!1):this.program!==u&&this.writeLog("Different program with same set of files"),this.projectService.verifyDocumentRegistry(),C}sendPerformanceEvent(r,a){this.projectService.sendPerformanceEvent(r,a)}detachScriptInfoFromProject(r,a){const u=this.projectService.getScriptInfo(r);u&&(u.detachFromProject(this),a||this.resolutionCache.removeResolutionsOfFile(u.path))}addMissingFileWatcher(r,a){var u;if(LS(this)){const p=this.projectService.configFileExistenceInfoCache.get(r);if((u=p==null?void 0:p.config)!=null&&u.projects.has(this.canonicalConfigFilePath))return t5}const h=this.projectService.watchFactory.watchFile(wo(a,this.currentDirectory),(p,g)=>{LS(this)&&this.getCachedDirectoryStructureHost().addOrDeleteFile(p,r,g),g===0&&this.missingFilesMap.has(r)&&(this.missingFilesMap.delete(r),h.close(),this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this))},500,this.projectService.getWatchOptions(this),Kd.MissingFile,this);return h}isWatchedMissingFile(r){return!!this.missingFilesMap&&this.missingFilesMap.has(r)}addGeneratedFileWatch(r,a){if(this.compilerOptions.outFile)this.generatedFilesMap||(this.generatedFilesMap=this.createGeneratedFileWatcher(r));else{const u=this.toPath(a);if(this.generatedFilesMap){if(RMe(this.generatedFilesMap)){j.fail(`${this.projectName} Expected to not have --out watcher for generated file with options: ${JSON.stringify(this.compilerOptions)}`);return}if(this.generatedFilesMap.has(u))return}else this.generatedFilesMap=new Map;this.generatedFilesMap.set(u,this.createGeneratedFileWatcher(r))}}createGeneratedFileWatcher(r){return{generatedFilePath:this.toPath(r),watcher:this.projectService.watchFactory.watchFile(r,()=>{this.clearSourceMapperCache(),this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this)},2e3,this.projectService.getWatchOptions(this),Kd.MissingGeneratedFile,this)}}isValidGeneratedFileWatcher(r,a){return this.toPath(r)===a.generatedFilePath}clearGeneratedFileWatch(){this.generatedFilesMap&&(RMe(this.generatedFilesMap)?Zm(this.generatedFilesMap):X_(this.generatedFilesMap,Zm),this.generatedFilesMap=void 0)}getScriptInfoForNormalizedPath(r){const a=this.projectService.getScriptInfoForPath(this.toPath(r));return a&&!a.isAttached(this)?cC.ThrowProjectDoesNotContainDocument(r,this):a}getScriptInfo(r){return this.projectService.getScriptInfo(r)}filesToString(r){return this.filesToStringWorker(r,!0,!1)}filesToStringWorker(r,a,u){if(this.isInitialLoadPending())return` Files (0) InitialLoadPending
+`;if(!this.program)return` Files (0) NoProgram
+`;const h=this.program.getSourceFiles();let p=` Files (${h.length})
+`;if(r){for(const g of h)p+=` ${g.fileName}${u?` ${g.version} ${JSON.stringify(g.text)}`:""}
+`;a&&(p+=`
+
+`,Bde(this.program,g=>p+=` ${g}
+`))}return p}print(r,a,u){var h;this.writeLog(`Project '${this.projectName}' (${uz[this.projectKind]})`),this.writeLog(this.filesToStringWorker(r&&this.projectService.logger.hasLevel(3),a&&this.projectService.logger.hasLevel(3),u&&this.projectService.logger.hasLevel(3))),this.writeLog("-----------------------------------------------"),this.autoImportProviderHost&&this.autoImportProviderHost.print(!1,!1,!1),(h=this.noDtsResolutionProject)==null||h.print(!1,!1,!1)}setCompilerOptions(r){var a;if(r){r.allowNonTsExtensions=!0;const u=this.compilerOptions;this.compilerOptions=r,this.setInternalCompilerOptionsForEmittingJsFiles(),(a=this.noDtsResolutionProject)==null||a.setCompilerOptions(this.getCompilerOptionsForNoDtsResolutionProject()),TG(u,r)&&(this.cachedUnresolvedImportsPerFile.clear(),this.lastCachedUnresolvedImportsList=void 0,this.resolutionCache.onChangesAffectModuleResolution(),this.moduleSpecifierCache.clear()),this.markAsDirty()}}setWatchOptions(r){this.watchOptions=r}getWatchOptions(){return this.watchOptions}setTypeAcquisition(r){r&&(this.typeAcquisition=this.removeLocalTypingsFromTypeAcquisition(r))}getTypeAcquisition(){return this.typeAcquisition||{}}getChangesSinceVersion(r,a){var u,h;const p=a?b=>as(b.entries(),([T,E])=>({fileName:T,isSourceOfProjectReferenceRedirect:E})):b=>as(b.keys());this.isInitialLoadPending()||Ym(this);const g={projectName:this.getProjectName(),version:this.projectProgramVersion,isInferred:_O(this),options:this.getCompilationSettings(),languageServiceDisabled:!this.languageServiceEnabled,lastFileExceededProgramSize:this.lastFileExceededProgramSize},C=this.updatedFileNames;if(this.updatedFileNames=void 0,this.lastReportedFileNames&&r===this.lastReportedVersion){if(this.projectProgramVersion===this.lastReportedVersion&&!C)return{info:g,projectErrors:this.getGlobalProjectErrors()};const b=this.lastReportedFileNames,T=((u=this.externalFiles)==null?void 0:u.map(U=>({fileName:Jl(U),isSourceOfProjectReferenceRedirect:!1})))||Vd,E=Ld(this.getFileNamesWithRedirectInfo(!!a).concat(T),U=>U.fileName,U=>U.isSourceOfProjectReferenceRedirect),N=new Map,R=new Map,F=C?as(C.keys()):[],H=[];return cf(E,(U,q)=>{b.has(q)?a&&U!==b.get(q)&&H.push({fileName:q,isSourceOfProjectReferenceRedirect:U}):N.set(q,U)}),cf(b,(U,q)=>{E.has(q)||R.set(q,U)}),this.lastReportedFileNames=E,this.lastReportedVersion=this.projectProgramVersion,{info:g,changes:{added:p(N),removed:p(R),updated:a?F.map(U=>({fileName:U,isSourceOfProjectReferenceRedirect:this.isSourceOfProjectReferenceRedirect(U)})):F,updatedRedirects:a?H:void 0},projectErrors:this.getGlobalProjectErrors()}}else{const b=this.getFileNamesWithRedirectInfo(!!a),T=((h=this.externalFiles)==null?void 0:h.map(N=>({fileName:Jl(N),isSourceOfProjectReferenceRedirect:!1})))||Vd,E=b.concat(T);return this.lastReportedFileNames=Ld(E,N=>N.fileName,N=>N.isSourceOfProjectReferenceRedirect),this.lastReportedVersion=this.projectProgramVersion,{info:g,files:a?E:E.map(N=>N.fileName),projectErrors:this.getGlobalProjectErrors()}}}removeRoot(r){this.rootFilesMap.delete(r.path)}isSourceOfProjectReferenceRedirect(r){return!!this.program&&this.program.isSourceOfProjectReferenceRedirect(r)}getGlobalPluginSearchPaths(){return[...this.projectService.pluginProbeLocations,zr(this.projectService.getExecutingFilePath(),"../../..")]}enableGlobalPlugins(r){if(!this.projectService.globalPlugins.length)return;const a=this.projectService.host;if(!a.require&&!a.importPlugin){this.projectService.logger.info("Plugins were requested but not running in environment that supports 'require'. Nothing will be loaded");return}const u=this.getGlobalPluginSearchPaths();for(const h of this.projectService.globalPlugins)h&&(r.plugins&&r.plugins.some(p=>p.name===h)||(this.projectService.logger.info(`Loading global plugin ${h}`),this.enablePlugin({name:h,global:!0},u)))}enablePlugin(r,a){this.projectService.requestEnablePlugin(this,r,a)}enableProxy(r,a){try{if(typeof r!="function"){this.projectService.logger.info(`Skipped loading plugin ${a.name} because it did not expose a proper factory function`);return}const u={config:a,project:this,languageService:this.languageService,languageServiceHost:this,serverHost:this.projectService.host,session:this.projectService.session},h=r({typescript:rht}),p=h.create(u);for(const g of Object.keys(this.languageService))g in p||(this.projectService.logger.info(`Plugin activation warning: Missing proxied method ${g} in created LS. Patching.`),p[g]=this.languageService[g]);this.projectService.logger.info("Plugin validation succeeded"),this.languageService=p,this.plugins.push({name:a.name,module:h})}catch(u){this.projectService.logger.info(`Plugin activation failed: ${u}`)}}onPluginConfigurationChanged(r,a){this.plugins.filter(u=>u.name===r).forEach(u=>{u.module.onConfigurationChanged&&u.module.onConfigurationChanged(a)})}refreshDiagnostics(){this.projectService.sendProjectsUpdatedInBackgroundEvent()}getPackageJsonsVisibleToFile(r,a){return this.projectService.serverMode!==0?Vd:this.projectService.getPackageJsonsVisibleToFile(r,this,a)}getNearestAncestorDirectoryWithPackageJson(r){return this.projectService.getNearestAncestorDirectoryWithPackageJson(r)}getPackageJsonsForAutoImport(r){return this.getPackageJsonsVisibleToFile(zr(this.currentDirectory,Z7),r)}getPackageJsonCache(){return this.projectService.packageJsonCache}getCachedExportInfoMap(){return this.exportMapCache||(this.exportMapCache=bhe(this))}clearCachedExportInfoMap(){var r;(r=this.exportMapCache)==null||r.clear()}getModuleSpecifierCache(){return this.moduleSpecifierCache}includePackageJsonAutoImports(){return this.projectService.includePackageJsonAutoImports()===0||!this.languageServiceEnabled||Dj(this.currentDirectory)||!this.isDefaultProjectForOpenFiles()?0:this.projectService.includePackageJsonAutoImports()}getHostForAutoImportProvider(){var r,a;return this.program?{fileExists:this.program.fileExists,directoryExists:this.program.directoryExists,realpath:this.program.realpath||((r=this.projectService.host.realpath)==null?void 0:r.bind(this.projectService.host)),getCurrentDirectory:this.getCurrentDirectory.bind(this),readFile:this.projectService.host.readFile.bind(this.projectService.host),getDirectories:this.projectService.host.getDirectories.bind(this.projectService.host),trace:(a=this.projectService.host.trace)==null?void 0:a.bind(this.projectService.host),useCaseSensitiveFileNames:this.program.useCaseSensitiveFileNames(),readDirectory:this.projectService.host.readDirectory.bind(this.projectService.host)}:this.projectService.host}getPackageJsonAutoImportProvider(){var r,a,u;if(this.autoImportProviderHost===!1)return;if(this.projectService.serverMode!==0){this.autoImportProviderHost=!1;return}if(this.autoImportProviderHost){if(Ym(this.autoImportProviderHost),this.autoImportProviderHost.isEmpty()){this.autoImportProviderHost.close(),this.autoImportProviderHost=void 0;return}return this.autoImportProviderHost.getCurrentProgram()}const h=this.includePackageJsonAutoImports();if(h){(r=Zn)==null||r.push(Zn.Phase.Session,"getPackageJsonAutoImportProvider");const p=sl();if(this.autoImportProviderHost=VMe.create(h,this,this.getHostForAutoImportProvider(),this.documentRegistry),this.autoImportProviderHost)return Ym(this.autoImportProviderHost),this.sendPerformanceEvent("CreatePackageJsonAutoImportProvider",sl()-p),(a=Zn)==null||a.pop(),this.autoImportProviderHost.getCurrentProgram();(u=Zn)==null||u.pop()}}isDefaultProjectForOpenFiles(){return!!cf(this.projectService.openFiles,(r,a)=>this.projectService.tryGetDefaultProjectForFile(this.projectService.getScriptInfoForPath(a))===this)}watchNodeModulesForPackageJsonChanges(r){return this.projectService.watchPackageJsonsInNodeModules(r,this)}getIncompleteCompletionsCache(){return this.projectService.getIncompleteCompletionsCache()}getNoDtsResolutionProject(r){return j.assert(this.projectService.serverMode===0),this.noDtsResolutionProject||(this.noDtsResolutionProject=new BMe(this.projectService,this.documentRegistry,this.getCompilerOptionsForNoDtsResolutionProject(),this.currentDirectory)),this.noDtsResolutionProject.rootFile!==r&&(this.projectService.setFileNamesOfAutpImportProviderOrAuxillaryProject(this.noDtsResolutionProject,[r]),this.noDtsResolutionProject.rootFile=r),this.noDtsResolutionProject}runWithTemporaryFileUpdate(r,a,u){var h,p,g,C;const b=this.program,T=j.checkDefined((h=this.program)==null?void 0:h.getSourceFile(r),"Expected file to be part of program"),E=j.checkDefined(T.getFullText());(p=this.getScriptInfo(r))==null||p.editContent(0,E.length,a),this.updateGraph();try{u(this.program,b,(g=this.program)==null?void 0:g.getSourceFile(r))}finally{(C=this.getScriptInfo(r))==null||C.editContent(0,a.length,E)}}getCompilerOptionsForNoDtsResolutionProject(){return{...this.getCompilerOptions(),noDtsResolution:!0,allowJs:!0,maxNodeModuleJsDepth:3,diagnostics:!1,skipLibCheck:!0,sourceMap:!1,types:w,lib:w,noLib:!0}}};function UJt(t,r){var a,u;const h=t.getSourceFiles();(a=Zn)==null||a.push(Zn.Phase.Session,"getUnresolvedImports",{count:h.length});const p=t.getTypeChecker().getAmbientModules().map(C=>zm(C.getName())),g=Iy(Rr(h,C=>qJt(t,C,p,r)));return(u=Zn)==null||u.pop(),g}function qJt(t,r,a,u){return mp(u,r.path,()=>{let h;return t.forEachResolvedModule(({resolvedModule:p},g)=>{(!p||!t7(p.extension))&&!Gd(g)&&!a.some(C=>C===g)&&(h=fn(h,cQ(g).packageName))},r),h||Vd})}var FMe=class extends xA{constructor(t,r,a,u,h,p,g){super(t.newInferredProjectName(),0,t,r,void 0,void 0,a,!1,u,t.host,p),this._isJsInferredProject=!1,this.typeAcquisition=g,this.projectRootPath=h&&t.toCanonicalFileName(h),!h&&!t.useSingleInferredProject&&(this.canonicalCurrentDirectory=t.toCanonicalFileName(this.currentDirectory)),this.enableGlobalPlugins(this.getCompilerOptions())}toggleJsInferredProject(t){t!==this._isJsInferredProject&&(this._isJsInferredProject=t,this.setCompilerOptions())}setCompilerOptions(t){if(!t&&!this.getCompilationSettings())return;const r=Ffe(t||this.getCompilationSettings());this._isJsInferredProject&&typeof r.maxNodeModuleJsDepth!="number"?r.maxNodeModuleJsDepth=2:this._isJsInferredProject||(r.maxNodeModuleJsDepth=void 0),r.allowJs=!0,super.setCompilerOptions(r)}addRoot(t){j.assert(t.isScriptOpen()),this.projectService.startWatchingConfigFilesForInferredProjectRoot(t),!this._isJsInferredProject&&t.isJavaScript()?this.toggleJsInferredProject(!0):this.isOrphan()&&this._isJsInferredProject&&!t.isJavaScript()&&this.toggleJsInferredProject(!1),super.addRoot(t)}removeRoot(t){this.projectService.stopWatchingConfigFilesForScriptInfo(t),super.removeRoot(t),!this.isOrphan()&&this._isJsInferredProject&&t.isJavaScript()&&Ce(this.getRootScriptInfos(),r=>!r.isJavaScript())&&this.toggleJsInferredProject(!1)}isOrphan(){return!this.hasRoots()}isProjectWithSingleRoot(){return!this.projectRootPath&&!this.projectService.useSingleInferredProject||this.getRootScriptInfos().length===1}close(){O(this.getRootScriptInfos(),t=>this.projectService.stopWatchingConfigFilesForScriptInfo(t)),super.close()}getTypeAcquisition(){return this.typeAcquisition||{enable:AMe(this),include:w,exclude:w}}},BMe=class extends xA{constructor(t,r,a,u){super(t.newAuxiliaryProjectName(),4,t,r,!1,void 0,a,!1,void 0,t.host,u)}isOrphan(){return!0}scheduleInvalidateResolutionsOfFailedLookupLocations(){}},WMe=class Lqe extends xA{constructor(r,a,u,h){super(r.projectService.newAutoImportProviderProjectName(),3,r.projectService,u,!1,void 0,h,!1,r.getWatchOptions(),r.projectService.host,r.currentDirectory),this.hostProject=r,this.rootFileNames=a,this.useSourceOfProjectReferenceRedirect=to(this.hostProject,this.hostProject.useSourceOfProjectReferenceRedirect),this.getParsedCommandLine=to(this.hostProject,this.hostProject.getParsedCommandLine)}static getRootFileNames(r,a,u,h){var p,g;if(!r)return w;const C=a.getCurrentProgram();if(!C)return w;const b=sl();let T,E;const N=zr(a.currentDirectory,Z7),R=a.getPackageJsonsForAutoImport(zr(a.currentDirectory,N));for(const re of R)(p=re.dependencies)==null||p.forEach((oe,pe)=>X(pe)),(g=re.peerDependencies)==null||g.forEach((oe,pe)=>X(pe));let F=0;if(T){const re=a.getSymlinkCache();for(const oe of as(T.keys())){if(r===2&&F>this.maxDependencies)return a.log(`AutoImportProviderProject: attempted to add more than ${this.maxDependencies} dependencies. Aborting.`),w;const pe=fue(oe,a.currentDirectory,h,u,C.getModuleResolutionCache());if(pe){const ue=Z(pe,C,re);if(ue){F+=q(ue);continue}}if(!O([a.currentDirectory,a.getGlobalTypingsCacheLocation()],ue=>{if(ue){const Ie=fue(`@types/${oe}`,ue,h,u,C.getModuleResolutionCache());if(Ie){const we=Z(Ie,C,re);return F+=q(we),!0}}})&&pe&&h.allowJs&&h.maxNodeModuleJsDepth){const ue=Z(pe,C,re,!0);F+=q(ue)}}}const H=C.getResolvedProjectReferences();let U=0;return H!=null&&H.length&&a.projectService.getHostPreferences().includeCompletionsForModuleExports&&H.forEach(re=>{if(re!=null&&re.commandLine.options.outFile)U+=q(Q([cx(re.commandLine.options.outFile,".d.ts")]));else if(re){const oe=Bh(()=>oA(re.commandLine,!a.useCaseSensitiveFileNames()));U+=q(Q(es(re.commandLine.fileNames,pe=>!df(pe)&&!Xl(pe,".json")&&!C.getSourceFile(pe)?j3(pe,re.commandLine,!a.useCaseSensitiveFileNames(),oe):void 0)))}}),E!=null&&E.size&&a.log(`AutoImportProviderProject: found ${E.size} root files in ${F} dependencies ${U} referenced projects in ${sl()-b} ms`),E?as(E.values()):w;function q(re){return re!=null&&re.length?(E??(E=new Set),re.forEach(oe=>E.add(oe)),1):0}function X(re){so(re,"@types/")||(T||(T=new Set)).add(re)}function Z(re,oe,pe,de){var ue;const Ie=yue(re,h,u,oe.getModuleResolutionCache(),de);if(Ie){const we=(ue=u.realpath)==null?void 0:ue.call(u,re.packageDirectory),he=we?a.toPath(we):void 0,Ae=he&&he!==a.toPath(re.packageDirectory);return Ae&&pe.setSymlinkedDirectory(re.packageDirectory,{real:Ad(we),realPath:Ad(he)}),Q(Ie,Ae?ke=>ke.replace(re.packageDirectory,we):void 0)}}function Q(re,oe){return es(re,pe=>{const de=oe?oe(pe):pe;if(!C.getSourceFile(de)&&!(oe&&C.getSourceFile(pe)))return de})}}static create(r,a,u,h){if(r===0)return;const p={...a.getCompilerOptions(),...this.compilerOptionsOverrides},g=this.getRootFileNames(r,a,u,p);if(g.length)return new Lqe(a,g,h,p)}isEmpty(){return!Ft(this.rootFileNames)}isOrphan(){return!0}updateGraph(){let r=this.rootFileNames;r||(r=Lqe.getRootFileNames(this.hostProject.includePackageJsonAutoImports(),this.hostProject,this.hostProject.getHostForAutoImportProvider(),this.getCompilationSettings())),this.projectService.setFileNamesOfAutpImportProviderOrAuxillaryProject(this,r),this.rootFileNames=r;const a=this.getCurrentProgram(),u=super.updateGraph();return a&&a!==this.getCurrentProgram()&&this.hostProject.clearCachedExportInfoMap(),u}scheduleInvalidateResolutionsOfFailedLookupLocations(){}hasRoots(){var r;return!!((r=this.rootFileNames)!=null&&r.length)}markAsDirty(){this.rootFileNames=void 0,super.markAsDirty()}getScriptFileNames(){return this.rootFileNames||w}getLanguageService(){throw new Error("AutoImportProviderProject language service should never be used. To get the program, use `project.getCurrentProgram()`.")}onAutoImportProviderSettingsChanged(){throw new Error("AutoImportProviderProject is an auto import provider; use `markAsDirty()` instead.")}onPackageJsonChange(){throw new Error("package.json changes should be notified on an AutoImportProvider's host project")}getHostForAutoImportProvider(){throw new Error("AutoImportProviderProject cannot provide its own host; use `hostProject.getModuleResolutionHostForAutomImportProvider()` instead.")}getProjectReferences(){return this.hostProject.getProjectReferences()}includePackageJsonAutoImports(){return 0}getSymlinkCache(){return this.hostProject.getSymlinkCache()}getModuleResolutionCache(){var r;return(r=this.hostProject.getCurrentProgram())==null?void 0:r.getModuleResolutionCache()}};WMe.maxDependencies=10,WMe.compilerOptionsOverrides={diagnostics:!1,skipLibCheck:!0,sourceMap:!1,types:w,lib:w,noLib:!0};var VMe=WMe,HMe=class extends xA{constructor(t,r,a,u,h,p){super(t,1,a,u,!1,void 0,{},!1,void 0,h,ts(t)),this.canonicalConfigFilePath=r,this.openFileWatchTriggered=new Map,this.canConfigFileJsonReportNoInputFiles=!1,this.isInitialLoadPending=Pk,this.sendLoadingProjectFinish=!1,this.pendingUpdateLevel=2,this.pendingUpdateReason=p}setCompilerHost(t){this.compilerHost=t}getCompilerHost(){return this.compilerHost}useSourceOfProjectReferenceRedirect(){return this.languageServiceEnabled}getParsedCommandLine(t){const r=ca(t),a=this.projectService.toCanonicalFileName(r);let u=this.projectService.configFileExistenceInfoCache.get(a);return u||this.projectService.configFileExistenceInfoCache.set(a,u={exists:this.projectService.host.fileExists(r)}),this.projectService.ensureParsedConfigUptoDate(r,a,u,this),this.languageServiceEnabled&&this.projectService.serverMode===0&&this.projectService.watchWildcards(r,u,this),u.exists?u.config.parsedCommandLine:void 0}onReleaseParsedCommandLine(t){this.releaseParsedConfig(this.projectService.toCanonicalFileName(ca(t)))}releaseParsedConfig(t){this.projectService.stopWatchingWildCards(t,this),this.projectService.releaseParsedConfig(t,this)}updateGraph(){if(this.deferredClose)return!1;const t=this.dirty;this.isInitialLoadPending=w1;const r=this.pendingUpdateLevel;this.pendingUpdateLevel=0;let a;switch(r){case 1:this.openFileWatchTriggered.clear(),a=this.projectService.reloadFileNamesOfConfiguredProject(this);break;case 2:this.openFileWatchTriggered.clear();const u=j.checkDefined(this.pendingUpdateReason);this.projectService.reloadConfiguredProject(this,u),a=!0;break;default:a=super.updateGraph()}return this.compilerHost=void 0,this.projectService.sendProjectLoadingFinishEvent(this),this.projectService.sendProjectTelemetry(this),r===2||a&&(!t||!this.triggerFileForConfigFileDiag||this.getCurrentProgram().structureIsReused===2)?this.triggerFileForConfigFileDiag=void 0:this.triggerFileForConfigFileDiag||this.projectService.sendConfigFileDiagEvent(this,void 0,!1),a}getCachedDirectoryStructureHost(){return this.directoryStructureHost}getConfigFilePath(){return this.getProjectName()}getProjectReferences(){return this.projectReferences}updateReferences(t){this.projectReferences=t,this.potentialProjectReferences=void 0}setPotentialProjectReference(t){j.assert(this.isInitialLoadPending()),(this.potentialProjectReferences||(this.potentialProjectReferences=new Set)).add(t)}getResolvedProjectReferenceToRedirect(t){const r=this.getCurrentProgram();return r&&r.getResolvedProjectReferenceToRedirect(t)}forEachResolvedProjectReference(t){var r;return(r=this.getCurrentProgram())==null?void 0:r.forEachResolvedProjectReference(t)}enablePluginsWithOptions(t){var r;if(this.plugins.length=0,!((r=t.plugins)!=null&&r.length)&&!this.projectService.globalPlugins.length)return;const a=this.projectService.host;if(!a.require&&!a.importPlugin){this.projectService.logger.info("Plugins were requested but not running in environment that supports 'require'. Nothing will be loaded");return}const u=this.getGlobalPluginSearchPaths();if(this.projectService.allowLocalPluginLoads){const h=ts(this.canonicalConfigFilePath);this.projectService.logger.info(`Local plugin loading enabled; adding ${h} to search paths`),u.unshift(h)}if(t.plugins)for(const h of t.plugins)this.enablePlugin(h,u);return this.enableGlobalPlugins(t)}getGlobalProjectErrors(){return $t(this.projectErrors,t=>!t.file)||Vd}getAllProjectErrors(){return this.projectErrors||Vd}setProjectErrors(t){this.projectErrors=t}close(){this.projectService.configFileExistenceInfoCache.forEach((t,r)=>this.releaseParsedConfig(r)),this.projectErrors=void 0,this.openFileWatchTriggered.clear(),this.compilerHost=void 0,super.close()}markAsDirty(){this.deferredClose||super.markAsDirty()}isSolution(){return this.getRootFilesMap().size===0&&!this.canConfigFileJsonReportNoInputFiles}isOrphan(){return!!this.deferredClose}getEffectiveTypeRoots(){return F7(this.getCompilationSettings(),this)||[]}updateErrorOnNoInputFiles(t){YX(t,this.getConfigFilePath(),this.getCompilerOptions().configFile.configFileSpecs,this.projectErrors,this.canConfigFileJsonReportNoInputFiles)}},gpe=class extends xA{constructor(t,r,a,u,h,p,g,C){super(t,2,r,a,!0,h,u,p,C,r.host,ts(g||Rf(t))),this.externalProjectName=t,this.compileOnSaveEnabled=p,this.excludedFiles=[],this.enableGlobalPlugins(this.getCompilerOptions())}updateGraph(){const t=super.updateGraph();return this.projectService.sendProjectTelemetry(this),t}getExcludedFiles(){return this.excludedFiles}};function _O(t){return t.projectKind===0}function LS(t){return t.projectKind===1}function fz(t){return t.projectKind===2}function hz(t){return t.projectKind===3||t.projectKind===4}function jY(t){return LS(t)&&!!t.deferredClose}var ype=20*1024*1024,vpe=4*1024*1024,zY="projectsUpdatedInBackground",bpe="projectLoadingStart",Cpe="projectLoadingFinish",Spe="largeFileReferenced",wpe="configFileDiag",xpe="projectLanguageServiceState",kpe="projectInfo",jMe="openFileInfo",Tpe="createFileWatcher",Dpe="createDirectoryWatcher",Epe="closeFileWatcher",xht="*ensureProjectForOpenFiles*";function kht(t){const r=new Map;for(const a of t)if(typeof a.type=="object"){const u=a.type;u.forEach(h=>{j.assert(typeof h=="number")}),r.set(a.name,u)}return r}var $Jt=kht(U0),JJt=kht(eA),GJt=new Map(Object.entries({none:0,block:1,smart:2})),zMe={jquery:{match:/jquery(-[\d.]+)?(\.intellisense)?(\.min)?\.js$/i,types:["jquery"]},WinJS:{match:/^(.*\/winjs-[.\d]+)\/js\/base\.js$/i,exclude:[["^",1,"/.*"]],types:["winjs"]},Kendo:{match:/^(.*\/kendo(-ui)?)\/kendo\.all(\.min)?\.js$/i,exclude:[["^",1,"/.*"]],types:["kendo-ui"]},"Office Nuget":{match:/^(.*\/office\/1)\/excel-\d+\.debug\.js$/i,exclude:[["^",1,"/.*"]],types:["office"]},References:{match:/^(.*\/_references\.js)$/i,exclude:[["^",1,"$"]]}};function pO(t){return Oo(t.indentStyle)&&(t.indentStyle=GJt.get(t.indentStyle.toLowerCase()),j.assert(t.indentStyle!==void 0)),t}function UY(t){return $Jt.forEach((r,a)=>{const u=t[a];Oo(u)&&(t[a]=r.get(u.toLowerCase()))}),t}function _z(t,r){let a,u;return eA.forEach(h=>{const p=t[h.name];if(p===void 0)return;const g=JJt.get(h.name);(a||(a={}))[h.name]=g?Oo(p)?g.get(p.toLowerCase()):p:BI(h,p,r||"",u||(u=[]))}),a&&{watchOptions:a,errors:u}}function UMe(t){let r;return zX.forEach(a=>{const u=t[a.name];u!==void 0&&((r||(r={}))[a.name]=u)}),r}function Ipe(t){return Oo(t)?Npe(t):t}function Npe(t){switch(t){case"JS":return 1;case"JSX":return 2;case"TS":return 3;case"TSX":return 4;default:return 0}}function qMe(t){const{lazyConfiguredProjectsFromExternalProject:r,...a}=t;return a}var Lpe={getFileName:t=>t,getScriptKind:(t,r)=>{let a;if(r){const u=L6(t);u&&Ft(r,h=>h.extension===u?(a=h.scriptKind,!0):!1)}return a},hasMixedContent:(t,r)=>Ft(r,a=>a.isMixedContent&&Xl(t,a.extension))},Ppe={getFileName:t=>t.fileName,getScriptKind:t=>Ipe(t.scriptKind),hasMixedContent:t=>!!t.hasMixedContent};function Tht(t,r){for(const a of r)if(a.getProjectName()===t)return a}var qY={isKnownTypesPackageName:w1,installPackage:wa,enqueueInstallTypingsRequest:Tc,attach:Tc,onProjectClosed:Tc,globalTypingsCacheLocation:void 0},$Me={close:Tc};function Dht(t,r){if(!(!r||Ape(t)))return r.get(t.path)}function KJt(t){return!!t.containingProjects}function Ape(t){return!!t.configFileInfo}var JMe=(t=>(t[t.Find=0]="Find",t[t.Create=1]="Create",t[t.Reload=2]="Reload",t))(JMe||{});function XJt(t,r,a,u,h,p,g,C){for(;;){if(!r.isInitialLoadPending()&&(!r.getCompilerOptions().composite||r.getCompilerOptions().disableSolutionSearching))return;const b=r.projectService.getConfigFileNameForFile({fileName:r.getConfigFilePath(),path:t.path,configFileInfo:!0},u===0);if(!b)return;const T=r.projectService.findCreateOrReloadConfiguredProject(b,u,h,p,void 0,g,!0,C);if(!T)return;T.project.isInitialLoadPending()&&r.getCompilerOptions().composite&&T.project.setPotentialProjectReference(r.canonicalConfigFilePath);const E=a(T.project);if(E)return E;r=T.project}}function Eht(t,r,a,u,h,p,g,C){var b;const T=(b=t.getCurrentProgram())==null?void 0:b.getResolvedProjectReferences();if(!T)return;const E=r?t.getResolvedProjectReferenceToRedirect(r):void 0;if(E){const F=Jl(E.sourceFile.fileName),H=t.projectService.findConfiguredProjectByProjectName(F,p);if(H){const U=R(H);if(U)return U}else if(u!==0){const U=GMe(T,t.getCompilerOptions(),(q,X)=>E===q?N(q,X):void 0,u,t.projectService);if(U)return U}}return GMe(T,t.getCompilerOptions(),(F,H)=>E!==F?N(F,H):void 0,u,t.projectService);function N(F,H){const U=t.projectService.findCreateOrReloadConfiguredProject(Jl(F.sourceFile.fileName),H,h,p,g,C);return U&&(H===u?a(U.project,U.sentConfigFileDiag):R(U.project))}function R(F){let H=!1;switch(u){case 1:H=Pht(F,g);break;case 2:H=F.projectService.reloadConfiguredProjectClearingSemanticCache(F,h,C);break;case 0:break;default:j.assertNever(u)}const U=a(F,H);if(U)return U}}function GMe(t,r,a,u,h,p){const g=r.disableReferencedProjectLoad?0:u;return O(t,C=>{if(!C)return;const b=Jl(C.sourceFile.fileName),T=h.toCanonicalFileName(b),E=p==null?void 0:p.get(T);if(E!==void 0&&E>=g)return;const N=a(C,g);return N||((p||(p=new Map)).set(T,g),C.references&&GMe(C.references,C.commandLine.options,a,g,h,p))})}function Iht(t,r){return t.potentialProjectReferences&&qb(t.potentialProjectReferences,r)}function QJt(t,r,a,u){return t.getCurrentProgram()?t.forEachResolvedProjectReference(r):t.isInitialLoadPending()?Iht(t,u):O(t.getProjectReferences(),a)}function KMe(t,r,a){const u=a&&t.projectService.configuredProjects.get(a);return u&&r(u)}function Nht(t,r){return QJt(t,a=>KMe(t,r,a.sourceFile.path),a=>KMe(t,r,t.toPath(q3(a))),a=>KMe(t,r,a))}function ZJt(t,r){return`${Oo(r)?`Config: ${r} `:r?`Project: ${r.getProjectName()} `:""}WatchType: ${t}`}function XMe(t){return!t.isScriptOpen()&&t.mTime!==void 0}function Ym(t){return t.invalidateResolutionsOfFailedLookupLocations(),t.dirty&&!t.updateGraph()}function Lht(t,r,a){if(!a&&(t.invalidateResolutionsOfFailedLookupLocations(),!t.dirty))return!1;t.triggerFileForConfigFileDiag=r;const u=t.pendingUpdateLevel;if(t.updateGraph(),!t.triggerFileForConfigFileDiag&&!a)return u===2;const h=t.projectService.sendConfigFileDiagEvent(t,r,a);return t.triggerFileForConfigFileDiag=void 0,h}function Pht(t,r){if(r){if(Lht(t,r,!1))return!0}else Ym(t);return!1}function Aht(t){return`Creating possible configured project for ${t.fileName} to open`}function Ope(t){return`User requested reload projects: ${t}`}function QMe(t){LS(t)&&(t.projectOptions=!0)}function ZMe(t){let r=1;return()=>t(r++)}function YMe(){return{idToCallbacks:new Map,pathToId:new Map}}function Oht(t,r){return!!r&&!!t.eventHandler&&!!t.session}function YJt(t,r){if(!Oht(t,r))return;const a=YMe(),u=YMe(),h=YMe();let p=1;return t.session.addProtocolHandler("watchChange",F=>(T(F.arguments),{responseRequired:!1})),{watchFile:g,watchDirectory:C,getCurrentDirectory:()=>t.host.getCurrentDirectory(),useCaseSensitiveFileNames:t.host.useCaseSensitiveFileNames};function g(F,H){return b(a,F,H,U=>({eventName:Tpe,data:{id:U,path:F}}))}function C(F,H,U){return b(U?h:u,F,H,q=>({eventName:Dpe,data:{id:q,path:F,recursive:!!U,ignoreUpdate:F.endsWith("/node_modules")?void 0:!0}}))}function b({pathToId:F,idToCallbacks:H},U,q,X){const Z=t.toPath(U);let Q=F.get(Z);Q||F.set(Z,Q=p++);let re=H.get(Q);return re||(H.set(Q,re=new Set),t.eventHandler(X(Q))),re.add(q),{close(){const oe=H.get(Q);oe!=null&&oe.delete(q)&&(oe.size||(H.delete(Q),F.delete(Z),t.eventHandler({eventName:Epe,data:{id:Q}})))}}}function T(F){Ao(F)?F.forEach(E):E(F)}function E({id:F,created:H,deleted:U,updated:q}){N(F,H,0),N(F,U,2),N(F,q,1)}function N(F,H,U){H!=null&&H.length&&(R(a,F,H,(q,X)=>q(X,U)),R(u,F,H,(q,X)=>q(X)),R(h,F,H,(q,X)=>q(X)))}function R(F,H,U,q){var X;(X=F.idToCallbacks.get(H))==null||X.forEach(Z=>{U.forEach(Q=>q(Z,Rf(Q)))})}}var Mht=class Pqe{constructor(r){this.filenameToScriptInfo=new Map,this.nodeModulesWatchers=new Map,this.filenameToScriptInfoVersion=new Map,this.allJsFilesForOpenFileTelemetry=new Map,this.externalProjectToConfiguredProjectMap=new Map,this.externalProjects=[],this.inferredProjects=[],this.configuredProjects=new Map,this.newInferredProjectName=ZMe(wMe),this.newAutoImportProviderProjectName=ZMe(xMe),this.newAuxiliaryProjectName=ZMe(kMe),this.openFiles=new Map,this.configFileForOpenFiles=new Map,this.rootOfInferredProjects=new Set,this.openFilesWithNonRootedDiskPath=new Map,this.compilerOptionsForInferredProjectsPerProjectRoot=new Map,this.watchOptionsForInferredProjectsPerProjectRoot=new Map,this.typeAcquisitionForInferredProjectsPerProjectRoot=new Map,this.projectToSizeMap=new Map,this.configFileExistenceInfoCache=new Map,this.safelist=zMe,this.legacySafelist=new Map,this.pendingProjectUpdates=new Map,this.pendingEnsureProjectForOpenFiles=!1,this.seenProjects=new Map,this.sharedExtendedConfigFileWatchers=new Map,this.extendedConfigCache=new Map,this.baseline=Tc,this.verifyDocumentRegistry=Tc,this.verifyProgram=Tc,this.onProjectCreation=Tc;var a;this.host=r.host,this.logger=r.logger,this.cancellationToken=r.cancellationToken,this.useSingleInferredProject=r.useSingleInferredProject,this.useInferredProjectPerProjectRoot=r.useInferredProjectPerProjectRoot,this.typingsInstaller=r.typingsInstaller||qY,this.throttleWaitMilliseconds=r.throttleWaitMilliseconds,this.eventHandler=r.eventHandler,this.suppressDiagnosticEvents=r.suppressDiagnosticEvents,this.globalPlugins=r.globalPlugins||Vd,this.pluginProbeLocations=r.pluginProbeLocations||Vd,this.allowLocalPluginLoads=!!r.allowLocalPluginLoads,this.typesMapLocation=r.typesMapLocation===void 0?zr(ts(this.getExecutingFilePath()),"typesMap.json"):r.typesMapLocation,this.session=r.session,this.jsDocParsingMode=r.jsDocParsingMode,r.serverMode!==void 0?this.serverMode=r.serverMode:this.serverMode=0,this.host.realpath&&(this.realpathToScriptInfos=Of()),this.currentDirectory=Jl(this.host.getCurrentDirectory()),this.toCanonicalFileName=Mf(this.host.useCaseSensitiveFileNames),this.globalCacheLocationDirectoryPath=this.typingsInstaller.globalTypingsCacheLocation?Ad(this.toPath(this.typingsInstaller.globalTypingsCacheLocation)):void 0,this.throttledOperations=new DMe(this.host,this.logger),this.typesMapLocation?this.loadTypesMap():this.logger.info("No types map provided; using the default"),this.typingsInstaller.attach(this),this.hostConfiguration={formatCodeOptions:uZ(this.host.newLine),preferences:Bg,hostInfo:"Unknown host",extraFileExtensions:[]},this.documentRegistry=khe(this.host.useCaseSensitiveFileNames,this.currentDirectory,this.jsDocParsingMode,this);const u=this.logger.hasLevel(3)?2:this.logger.loggingEnabled()?1:0,h=u!==0?p=>this.logger.info(p):Tc;this.packageJsonCache=rRe(this),this.watchFactory=this.serverMode!==0?{watchFile:i5,watchDirectory:i5}:ade(YJt(this,r.canUseWatchEvents)||this.host,u,h,ZJt),this.canUseWatchEvents=Oht(this,r.canUseWatchEvents),(a=r.incrementalVerifier)==null||a.call(r,this)}toPath(r){return ol(r,this.currentDirectory,this.toCanonicalFileName)}getExecutingFilePath(){return this.getNormalizedAbsolutePath(this.host.getExecutingFilePath())}getNormalizedAbsolutePath(r){return wo(r,this.host.getCurrentDirectory())}setDocument(r,a,u){const h=j.checkDefined(this.getScriptInfoForPath(a));h.cacheSourceFile={key:r,sourceFile:u}}getDocument(r,a){const u=this.getScriptInfoForPath(a);return u&&u.cacheSourceFile&&u.cacheSourceFile.key===r?u.cacheSourceFile.sourceFile:void 0}ensureInferredProjectsUpToDate_TestOnly(){this.ensureProjectStructuresUptoDate()}getCompilerOptionsForInferredProjects(){return this.compilerOptionsForInferredProjects}onUpdateLanguageServiceStateForProject(r,a){if(!this.eventHandler)return;const u={eventName:xpe,data:{project:r,languageServiceEnabled:a}};this.eventHandler(u)}loadTypesMap(){try{const r=this.host.readFile(this.typesMapLocation);if(r===void 0){this.logger.info(`Provided types map file "${this.typesMapLocation}" doesn't exist`);return}const a=JSON.parse(r);for(const u of Object.keys(a.typesMap))a.typesMap[u].match=new RegExp(a.typesMap[u].match,"i");this.safelist=a.typesMap;for(const u in a.simpleMap)Li(a.simpleMap,u)&&this.legacySafelist.set(u,a.simpleMap[u].toLowerCase())}catch(r){this.logger.info(`Error loading types map: ${r}`),this.safelist=zMe,this.legacySafelist.clear()}}updateTypingsForProject(r){const a=this.findProject(r.projectName);if(a)switch(r.kind){case oZ:a.updateTypingFiles(r.compilerOptions,r.typeAcquisition,r.unresolvedImports,r.typings);return;case aZ:a.enqueueInstallTypingsForProject(!0);return}}watchTypingLocations(r){var a;(a=this.findProject(r.projectName))==null||a.watchTypingLocations(r.files)}delayEnsureProjectForOpenFiles(){this.openFiles.size&&(this.pendingEnsureProjectForOpenFiles=!0,this.throttledOperations.schedule(xht,2500,()=>{this.pendingProjectUpdates.size!==0?this.delayEnsureProjectForOpenFiles():this.pendingEnsureProjectForOpenFiles&&(this.ensureProjectForOpenFiles(),this.sendProjectsUpdatedInBackgroundEvent())}))}delayUpdateProjectGraph(r){if(jY(r)||(r.markAsDirty(),hz(r)))return;const a=r.getProjectName();this.pendingProjectUpdates.set(a,r),this.throttledOperations.schedule(a,250,()=>{this.pendingProjectUpdates.delete(a)&&Ym(r)})}hasPendingProjectUpdate(r){return this.pendingProjectUpdates.has(r.getProjectName())}sendProjectsUpdatedInBackgroundEvent(){if(!this.eventHandler)return;const r={eventName:zY,data:{openFiles:as(this.openFiles.keys(),a=>this.getScriptInfoForPath(a).fileName)}};this.eventHandler(r)}sendLargeFileReferencedEvent(r,a){if(!this.eventHandler)return;const u={eventName:Spe,data:{file:r,fileSize:a,maxFileSize:vpe}};this.eventHandler(u)}sendProjectLoadingStartEvent(r,a){if(!this.eventHandler)return;r.sendLoadingProjectFinish=!0;const u={eventName:bpe,data:{project:r,reason:a}};this.eventHandler(u)}sendProjectLoadingFinishEvent(r){if(!this.eventHandler||!r.sendLoadingProjectFinish)return;r.sendLoadingProjectFinish=!1;const a={eventName:Cpe,data:{project:r}};this.eventHandler(a)}sendPerformanceEvent(r,a){this.performanceEventHandler&&this.performanceEventHandler({kind:r,durationMs:a})}delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(r){this.delayUpdateProjectGraph(r),this.delayEnsureProjectForOpenFiles()}delayUpdateProjectGraphs(r,a){if(r.length){for(const u of r)a&&u.clearSourceMapperCache(),this.delayUpdateProjectGraph(u);this.delayEnsureProjectForOpenFiles()}}setCompilerOptionsForInferredProjects(r,a){j.assert(a===void 0||this.useInferredProjectPerProjectRoot,"Setting compiler options per project root path is only supported when useInferredProjectPerProjectRoot is enabled");const u=UY(r),h=_z(r,a),p=UMe(r);u.allowNonTsExtensions=!0;const g=a&&this.toCanonicalFileName(a);g?(this.compilerOptionsForInferredProjectsPerProjectRoot.set(g,u),this.watchOptionsForInferredProjectsPerProjectRoot.set(g,h||!1),this.typeAcquisitionForInferredProjectsPerProjectRoot.set(g,p)):(this.compilerOptionsForInferredProjects=u,this.watchOptionsForInferredProjects=h,this.typeAcquisitionForInferredProjects=p);for(const C of this.inferredProjects)(g?C.projectRootPath===g:!C.projectRootPath||!this.compilerOptionsForInferredProjectsPerProjectRoot.has(C.projectRootPath))&&(C.setCompilerOptions(u),C.setTypeAcquisition(p),C.setWatchOptions(h==null?void 0:h.watchOptions),C.setProjectErrors(h==null?void 0:h.errors),C.compileOnSaveEnabled=u.compileOnSave,C.markAsDirty(),this.delayUpdateProjectGraph(C));this.delayEnsureProjectForOpenFiles()}findProject(r){if(r!==void 0)return SMe(r)?Tht(r,this.inferredProjects):this.findExternalProjectByProjectName(r)||this.findConfiguredProjectByProjectName(Jl(r))}forEachProject(r){this.externalProjects.forEach(r),this.configuredProjects.forEach(r),this.inferredProjects.forEach(r)}forEachEnabledProject(r){this.forEachProject(a=>{!a.isOrphan()&&a.languageServiceEnabled&&r(a)})}getDefaultProjectForFile(r,a){return a?this.ensureDefaultProjectForFile(r):this.tryGetDefaultProjectForFile(r)}tryGetDefaultProjectForFile(r){const a=Oo(r)?this.getScriptInfoForNormalizedPath(r):r;return a&&!a.isOrphan()?a.getDefaultProject():void 0}tryGetDefaultProjectForEnsuringConfiguredProjectForFile(r){var a;const u=Oo(r)?this.getScriptInfoForNormalizedPath(r):r;if(u)return(a=this.pendingOpenFileProjectUpdates)!=null&&a.delete(u.path)&&(this.tryFindDefaultConfiguredProjectAndLoadAncestorsForOpenScriptInfo(u,1),u.isOrphan()&&this.assignOrphanScriptInfoToInferredProject(u,this.openFiles.get(u.path))),this.tryGetDefaultProjectForFile(u)}ensureDefaultProjectForFile(r){return this.tryGetDefaultProjectForEnsuringConfiguredProjectForFile(r)||this.doEnsureDefaultProjectForFile(r)}doEnsureDefaultProjectForFile(r){this.ensureProjectStructuresUptoDate();const a=Oo(r)?this.getScriptInfoForNormalizedPath(r):r;return a?a.getDefaultProject():(this.logErrorForScriptInfoNotFound(Oo(r)?r:r.fileName),cC.ThrowNoProject())}getScriptInfoEnsuringProjectsUptoDate(r){return this.ensureProjectStructuresUptoDate(),this.getScriptInfo(r)}ensureProjectStructuresUptoDate(){let r=this.pendingEnsureProjectForOpenFiles;this.pendingProjectUpdates.clear();const a=u=>{r=Ym(u)||r};this.externalProjects.forEach(a),this.configuredProjects.forEach(a),this.inferredProjects.forEach(a),r&&this.ensureProjectForOpenFiles()}getFormatCodeOptions(r){const a=this.getScriptInfoForNormalizedPath(r);return a&&a.getFormatCodeSettings()||this.hostConfiguration.formatCodeOptions}getPreferences(r){const a=this.getScriptInfoForNormalizedPath(r);return{...this.hostConfiguration.preferences,...a&&a.getPreferences()}}getHostFormatCodeOptions(){return this.hostConfiguration.formatCodeOptions}getHostPreferences(){return this.hostConfiguration.preferences}onSourceFileChanged(r,a){j.assert(!r.isScriptOpen()),a===2?this.handleDeletedFile(r,!0):(r.deferredDelete&&(r.deferredDelete=void 0),r.delayReloadNonMixedContentFile(),this.delayUpdateProjectGraphs(r.containingProjects,!1),this.handleSourceMapProjects(r))}handleSourceMapProjects(r){if(r.sourceMapFilePath)if(Oo(r.sourceMapFilePath)){const a=this.getScriptInfoForPath(r.sourceMapFilePath);this.delayUpdateSourceInfoProjects(a==null?void 0:a.sourceInfos)}else this.delayUpdateSourceInfoProjects(r.sourceMapFilePath.sourceInfos);this.delayUpdateSourceInfoProjects(r.sourceInfos),r.declarationInfoPath&&this.delayUpdateProjectsOfScriptInfoPath(r.declarationInfoPath)}delayUpdateSourceInfoProjects(r){r&&r.forEach((a,u)=>this.delayUpdateProjectsOfScriptInfoPath(u))}delayUpdateProjectsOfScriptInfoPath(r){const a=this.getScriptInfoForPath(r);a&&this.delayUpdateProjectGraphs(a.containingProjects,!0)}handleDeletedFile(r,a){j.assert(!r.isScriptOpen()),this.delayUpdateProjectGraphs(r.containingProjects,!1),this.handleSourceMapProjects(r),r.detachAllProjects(),a?(r.delayReloadNonMixedContentFile(),r.deferredDelete=!0):this.deleteScriptInfo(r)}watchWildcardDirectory(r,a,u,h){let p=this.watchFactory.watchDirectory(r,C=>this.onWildCardDirectoryWatcherInvoke(r,u,h,g,C),a,this.getWatchOptionsFromProjectWatchOptions(h.parsedCommandLine.watchOptions,ts(u)),Kd.WildcardDirectory,u);const g={packageJsonWatches:void 0,close(){var C;p&&(p.close(),p=void 0,(C=g.packageJsonWatches)==null||C.forEach(b=>{b.projects.delete(g),b.close()}),g.packageJsonWatches=void 0)}};return g}onWildCardDirectoryWatcherInvoke(r,a,u,h,p){const g=this.toPath(p),C=u.cachedDirectoryStructureHost.addOrDeleteFileOrDirectory(p,g);if(ud(g)==="package.json"&&!Dj(g)&&(C&&C.fileExists||!C&&this.host.fileExists(p))){const T=this.getNormalizedAbsolutePath(p);this.logger.info(`Config: ${a} Detected new package.json: ${T}`),this.packageJsonCache.addOrUpdate(T,g),this.watchPackageJsonFile(T,g,h)}C!=null&&C.fileExists||this.sendSourceFileChange(g);const b=this.findConfiguredProjectByProjectName(a);KH({watchedDirPath:this.toPath(r),fileOrDirectory:p,fileOrDirectoryPath:g,configFileName:a,extraFileExtensions:this.hostConfiguration.extraFileExtensions,currentDirectory:this.currentDirectory,options:u.parsedCommandLine.options,program:(b==null?void 0:b.getCurrentProgram())||u.parsedCommandLine.fileNames,useCaseSensitiveFileNames:this.host.useCaseSensitiveFileNames,writeLog:T=>this.logger.info(T),toPath:T=>this.toPath(T),getScriptKind:b?T=>b.getScriptKind(T):void 0})||(u.updateLevel!==2&&(u.updateLevel=1),u.projects.forEach((T,E)=>{var N;if(!T)return;const R=this.getConfiguredProjectByCanonicalConfigFilePath(E);if(!R)return;if(b!==R&&this.getHostPreferences().includeCompletionsForModuleExports){const H=this.toPath(a);Fe((N=R.getCurrentProgram())==null?void 0:N.getResolvedProjectReferences(),U=>(U==null?void 0:U.sourceFile.path)===H)&&R.markAutoImportProviderAsDirty()}const F=b===R?1:0;if(!(R.pendingUpdateLevel>F))if(this.openFiles.has(g))if(j.checkDefined(this.getScriptInfoForPath(g)).isAttached(R)){const U=Math.max(F,R.openFileWatchTriggered.get(g)||0);R.openFileWatchTriggered.set(g,U)}else R.pendingUpdateLevel=F,this.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(R);else R.pendingUpdateLevel=F,this.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(R)}))}delayUpdateProjectsFromParsedConfigOnConfigFileChange(r,a){const u=this.configFileExistenceInfoCache.get(r);if(!(u!=null&&u.config))return!1;let h=!1;return u.config.updateLevel=2,u.config.projects.forEach((p,g)=>{var C;const b=this.getConfiguredProjectByCanonicalConfigFilePath(g);if(b)if(h=!0,g===r){if(b.isInitialLoadPending())return;b.pendingUpdateLevel=2,b.pendingUpdateReason=a,this.delayUpdateProjectGraph(b),b.markAutoImportProviderAsDirty()}else{const T=this.toPath(r);b.resolutionCache.removeResolutionsFromProjectReferenceRedirects(T),this.delayUpdateProjectGraph(b),this.getHostPreferences().includeCompletionsForModuleExports&&Fe((C=b.getCurrentProgram())==null?void 0:C.getResolvedProjectReferences(),E=>(E==null?void 0:E.sourceFile.path)===T)&&b.markAutoImportProviderAsDirty()}}),h}onConfigFileChanged(r,a,u){const h=this.configFileExistenceInfoCache.get(a),p=this.getConfiguredProjectByCanonicalConfigFilePath(a),g=p==null?void 0:p.deferredClose;u===2?(h.exists=!1,p&&(p.deferredClose=!0)):(h.exists=!0,g&&(p.deferredClose=void 0,p.markAsDirty())),this.delayUpdateProjectsFromParsedConfigOnConfigFileChange(a,"Change in config file detected");const C=new Set(p?[p]:void 0);this.openFiles.forEach((b,T)=>{var E,N;const R=this.configFileForOpenFiles.get(T);if(!((E=h.openFilesImpactedByConfigFile)!=null&&E.has(T)))return;this.configFileForOpenFiles.delete(T);const F=this.getScriptInfoForPath(T),H=this.getConfigFileNameForFile(F,!1);if(!H)return;const U=this.findConfiguredProjectByProjectName(H)??this.createConfiguredProject(H,`Change in config file ${r} detected, ${Aht(F)}`);(N=this.pendingOpenFileProjectUpdates)!=null&&N.has(T)||(this.pendingOpenFileProjectUpdates??(this.pendingOpenFileProjectUpdates=new Map)).set(T,R),of(C,U)&&U.isInitialLoadPending()&&this.delayUpdateProjectGraph(U)}),this.delayEnsureProjectForOpenFiles()}removeProject(r){switch(this.logger.info("`remove Project::"),r.print(!0,!0,!1),r.close(),j.shouldAssert(1)&&this.filenameToScriptInfo.forEach(a=>j.assert(!a.isAttached(r),"Found script Info still attached to project",()=>`${r.projectName}: ScriptInfos still attached: ${JSON.stringify(as(ld(this.filenameToScriptInfo.values(),u=>u.isAttached(r)?{fileName:u.fileName,projects:u.containingProjects.map(h=>h.projectName),hasMixedContent:u.hasMixedContent}:void 0)),void 0," ")}`)),this.pendingProjectUpdates.delete(r.getProjectName()),r.projectKind){case 2:GL(this.externalProjects,r),this.projectToSizeMap.delete(r.getProjectName());break;case 1:this.configuredProjects.delete(r.canonicalConfigFilePath),this.projectToSizeMap.delete(r.canonicalConfigFilePath);break;case 0:GL(this.inferredProjects,r);break}}assignOrphanScriptInfoToInferredProject(r,a){j.assert(r.isOrphan());const u=this.getOrCreateInferredProjectForProjectRootPathIfEnabled(r,a)||this.getOrCreateSingleInferredProjectIfEnabled()||this.getOrCreateSingleInferredWithoutProjectRoot(r.isDynamic?a||this.currentDirectory:ts(yp(r.fileName)?r.fileName:wo(r.fileName,a?this.getNormalizedAbsolutePath(a):this.currentDirectory)));if(u.addRoot(r),r.containingProjects[0]!==u&&(GR(r.containingProjects,u),r.containingProjects.unshift(u)),u.updateGraph(),!this.useSingleInferredProject&&!u.projectRootPath)for(const h of this.inferredProjects){if(h===u||h.isOrphan())continue;const p=h.getRootScriptInfos();j.assert(p.length===1||!!h.projectRootPath),p.length===1&&O(p[0].containingProjects,g=>g!==p[0].containingProjects[0]&&!g.isOrphan())&&h.removeFile(p[0],!0,!0)}return u}assignOrphanScriptInfosToInferredProject(){this.openFiles.forEach((r,a)=>{const u=this.getScriptInfoForPath(a);u.isOrphan()&&this.assignOrphanScriptInfoToInferredProject(u,r)})}closeOpenFile(r,a){var u;const h=r.isDynamic?!1:this.host.fileExists(r.fileName);r.close(h),this.stopWatchingConfigFilesForScriptInfo(r);const p=this.toCanonicalFileName(r.fileName);this.openFilesWithNonRootedDiskPath.get(p)===r&&this.openFilesWithNonRootedDiskPath.delete(p);let g=!1;for(const C of r.containingProjects){if(LS(C)){r.hasMixedContent&&r.registerFileUpdate();const b=C.openFileWatchTriggered.get(r.path);b!==void 0&&(C.openFileWatchTriggered.delete(r.path),C.pendingUpdateLevel<b&&(C.pendingUpdateLevel=b,C.markFileAsDirty(r.path)))}else _O(C)&&C.isRoot(r)&&(C.isProjectWithSingleRoot()&&(g=!0),C.removeFile(r,h,!0));C.languageServiceEnabled||C.markAsDirty()}return this.openFiles.delete(r.path),this.configFileForOpenFiles.delete(r.path),(u=this.pendingOpenFileProjectUpdates)==null||u.delete(r.path),j.assert(!this.rootOfInferredProjects.has(r)),!a&&g&&this.assignOrphanScriptInfosToInferredProject(),h?this.watchClosedScriptInfo(r):this.handleDeletedFile(r,!1),g}deleteScriptInfo(r){j.assert(!r.isScriptOpen()),this.filenameToScriptInfo.delete(r.path),this.filenameToScriptInfoVersion.set(r.path,r.textStorage.version),this.stopWatchingScriptInfo(r);const a=r.getRealpathIfDifferent();a&&this.realpathToScriptInfos.remove(a,r),r.closeSourceMapFileWatcher()}configFileExists(r,a,u){const h=this.configFileExistenceInfoCache.get(a);let p;if(this.openFiles.has(u.path)&&!Ape(u)&&(h?(h.openFilesImpactedByConfigFile??(h.openFilesImpactedByConfigFile=new Set)).add(u.path):(p=new Set).add(u.path)),h)return h.exists;const g=this.host.fileExists(r);return this.configFileExistenceInfoCache.set(a,{exists:g,openFilesImpactedByConfigFile:p}),g}createConfigFileWatcherForParsedConfig(r,a,u){var h,p;const g=this.configFileExistenceInfoCache.get(a);(!g.watcher||g.watcher===$Me)&&(g.watcher=this.watchFactory.watchFile(r,(b,T)=>this.onConfigFileChanged(r,a,T),2e3,this.getWatchOptionsFromProjectWatchOptions((p=(h=g==null?void 0:g.config)==null?void 0:h.parsedCommandLine)==null?void 0:p.watchOptions,ts(r)),Kd.ConfigFile,u));const C=g.config.projects;C.set(u.canonicalConfigFilePath,C.get(u.canonicalConfigFilePath)||!1)}releaseParsedConfig(r,a){var u,h,p;const g=this.configFileExistenceInfoCache.get(r);(u=g.config)!=null&&u.projects.delete(a.canonicalConfigFilePath)&&((h=g.config)!=null&&h.projects.size||(g.config=void 0,sde(r,this.sharedExtendedConfigFileWatchers),j.checkDefined(g.watcher),(p=g.openFilesImpactedByConfigFile)!=null&&p.size?g.inferredProjectRoots?$Q(af(ts(r)))||(g.watcher.close(),g.watcher=$Me):(g.watcher.close(),g.watcher=void 0):(g.watcher.close(),this.configFileExistenceInfoCache.delete(r))))}stopWatchingConfigFilesForScriptInfo(r){if(this.serverMode!==0)return;const a=this.rootOfInferredProjects.delete(r),u=r.isScriptOpen();u&&!a||this.forEachConfigFileLocation(r,h=>{var p,g,C;const b=this.configFileExistenceInfoCache.get(h);if(b){if(u){if(!((p=b==null?void 0:b.openFilesImpactedByConfigFile)!=null&&p.has(r.path)))return}else if(!((g=b.openFilesImpactedByConfigFile)!=null&&g.delete(r.path)))return;a&&(b.inferredProjectRoots--,b.watcher&&!b.config&&!b.inferredProjectRoots&&(b.watcher.close(),b.watcher=void 0)),!((C=b.openFilesImpactedByConfigFile)!=null&&C.size)&&!b.config&&(j.assert(!b.watcher),this.configFileExistenceInfoCache.delete(h))}})}startWatchingConfigFilesForInferredProjectRoot(r){this.serverMode===0&&(j.assert(r.isScriptOpen()),this.rootOfInferredProjects.add(r),this.forEachConfigFileLocation(r,(a,u)=>{let h=this.configFileExistenceInfoCache.get(a);h?h.inferredProjectRoots=(h.inferredProjectRoots??0)+1:(h={exists:this.host.fileExists(u),inferredProjectRoots:1},this.configFileExistenceInfoCache.set(a,h)),(h.openFilesImpactedByConfigFile??(h.openFilesImpactedByConfigFile=new Set)).add(r.path),h.watcher||(h.watcher=$Q(af(ts(a)))?this.watchFactory.watchFile(u,(p,g)=>this.onConfigFileChanged(u,a,g),2e3,this.hostConfiguration.watchOptions,Kd.ConfigFileForInferredRoot):$Me)}))}forEachConfigFileLocation(r,a){if(this.serverMode!==0)return;j.assert(!KJt(r)||this.openFiles.has(r.path));const u=this.openFiles.get(r.path);if(j.checkDefined(this.getScriptInfo(r.path)).isDynamic)return;let p=ts(r.fileName);const g=()=>Vm(u,p,this.currentDirectory,!this.host.useCaseSensitiveFileNames),C=!u||!g();let b=!Ape(r);do{if(b){const E=hO(p,this.currentDirectory,this.toCanonicalFileName),N=zr(p,"tsconfig.json");let R=a(zr(E,"tsconfig.json"),N);if(R)return N;const F=zr(p,"jsconfig.json");if(R=a(zr(E,"jsconfig.json"),F),R)return F;if(eG(E))break}const T=ts(p);if(T===p)break;p=T,b=!0}while(C||g())}findDefaultConfiguredProject(r){var a;return r.isScriptOpen()?(a=this.tryFindDefaultConfiguredProjectForOpenScriptInfo(r,0))==null?void 0:a.defaultProject:void 0}getConfigFileNameForFileFromCache(r,a){if(a){const u=Dht(r,this.pendingOpenFileProjectUpdates);if(u!==void 0)return u}return Dht(r,this.configFileForOpenFiles)}setConfigFileNameForFileInCache(r,a){this.openFiles.has(r.path)&&(Ape(r)||this.configFileForOpenFiles.set(r.path,a||!1))}getConfigFileNameForFile(r,a){const u=this.getConfigFileNameForFileFromCache(r,a);if(u!==void 0)return u||void 0;if(a)return;const h=this.forEachConfigFileLocation(r,(p,g)=>this.configFileExists(g,p,r));return this.logger.info(`getConfigFileNameForFile:: File: ${r.fileName} ProjectRootPath: ${this.openFiles.get(r.path)}:: Result: ${h}`),this.setConfigFileNameForFileInCache(r,h),h}printProjects(){this.logger.hasLevel(1)&&(this.logger.startGroup(),this.externalProjects.forEach(iRe),this.configuredProjects.forEach(iRe),this.inferredProjects.forEach(iRe),this.logger.info("Open files: "),this.openFiles.forEach((r,a)=>{const u=this.getScriptInfoForPath(a);this.logger.info(` FileName: ${u.fileName} ProjectRootPath: ${r}`),this.logger.info(` Projects: ${u.containingProjects.map(h=>h.getProjectName())}`)}),this.logger.endGroup())}findConfiguredProjectByProjectName(r,a){const u=this.toCanonicalFileName(r),h=this.getConfiguredProjectByCanonicalConfigFilePath(u);return a?h:h!=null&&h.deferredClose?void 0:h}getConfiguredProjectByCanonicalConfigFilePath(r){return this.configuredProjects.get(r)}findExternalProjectByProjectName(r){return Tht(r,this.externalProjects)}getFilenameForExceededTotalSizeLimitForNonTsFiles(r,a,u,h){if(a&&a.disableSizeLimit||!this.host.getFileSize)return;let p=ype;this.projectToSizeMap.set(r,0),this.projectToSizeMap.forEach(C=>p-=C||0);let g=0;for(const C of u){const b=h.getFileName(C);if(!IP(b)&&(g+=this.host.getFileSize(b),g>ype||g>p)){const T=u.map(E=>h.getFileName(E)).filter(E=>!IP(E)).map(E=>({name:E,size:this.host.getFileSize(E)})).sort((E,N)=>N.size-E.size).slice(0,5);return this.logger.info(`Non TS file size exceeded limit (${g}). Largest files: ${T.map(E=>`${E.name}:${E.size}`).join(", ")}`),b}}this.projectToSizeMap.set(r,g)}createExternalProject(r,a,u,h,p){const g=UY(u),C=_z(u,ts(Rf(r))),b=new gpe(r,this,this.documentRegistry,g,this.getFilenameForExceededTotalSizeLimitForNonTsFiles(r,g,a,Ppe),u.compileOnSave===void 0?!0:u.compileOnSave,void 0,C==null?void 0:C.watchOptions);return b.setProjectErrors(C==null?void 0:C.errors),b.excludedFiles=p,this.addFilesToNonInferredProject(b,a,Ppe,h),this.externalProjects.push(b),b}sendProjectTelemetry(r){if(this.seenProjects.has(r.projectName)){QMe(r);return}if(this.seenProjects.set(r.projectName,!0),!this.eventHandler||!this.host.createSHA256Hash){QMe(r);return}const a=LS(r)?r.projectOptions:void 0;QMe(r);const u={projectId:this.host.createSHA256Hash(r.projectName),fileStats:dz(r.getScriptInfos(),!0),compilerOptions:GIe(r.getCompilationSettings()),typeAcquisition:p(r.getTypeAcquisition()),extends:a&&a.configHasExtendsProperty,files:a&&a.configHasFilesProperty,include:a&&a.configHasIncludeProperty,exclude:a&&a.configHasExcludeProperty,compileOnSave:r.compileOnSaveEnabled,configFileName:h(),projectType:r instanceof gpe?"external":"configured",languageServiceEnabled:r.languageServiceEnabled,version:v};this.eventHandler({eventName:kpe,data:u});function h(){return LS(r)&&mpe(r.getConfigFilePath())||"other"}function p({enable:g,include:C,exclude:b}){return{enable:g,include:C!==void 0&&C.length!==0,exclude:b!==void 0&&b.length!==0}}}addFilesToNonInferredProject(r,a,u,h){this.updateNonInferredProjectFiles(r,a,u),r.setTypeAcquisition(h),r.markAsDirty()}createConfiguredProject(r,a){var u;(u=Zn)==null||u.instant(Zn.Phase.Session,"createConfiguredProject",{configFilePath:r}),this.logger.info(`Creating configuration project ${r}`);const h=this.toCanonicalFileName(r);let p=this.configFileExistenceInfoCache.get(h);p?p.exists=!0:this.configFileExistenceInfoCache.set(h,p={exists:!0}),p.config||(p.config={cachedDirectoryStructureHost:IQ(this.host,this.host.getCurrentDirectory(),this.host.useCaseSensitiveFileNames),projects:new Map,updateLevel:2});const g=new HMe(r,h,this,this.documentRegistry,p.config.cachedDirectoryStructureHost,a);return j.assert(!this.configuredProjects.has(h)),this.configuredProjects.set(h,g),this.createConfigFileWatcherForParsedConfig(r,h,g),g}loadConfiguredProject(r,a){var u,h;(u=Zn)==null||u.push(Zn.Phase.Session,"loadConfiguredProject",{configFilePath:r.canonicalConfigFilePath}),this.sendProjectLoadingStartEvent(r,a);const p=ca(r.getConfigFilePath()),g=this.ensureParsedConfigUptoDate(p,r.canonicalConfigFilePath,this.configFileExistenceInfoCache.get(r.canonicalConfigFilePath),r),C=g.config.parsedCommandLine;j.assert(!!C.fileNames);const b=C.options;r.projectOptions||(r.projectOptions={configHasExtendsProperty:C.raw.extends!==void 0,configHasFilesProperty:C.raw.files!==void 0,configHasIncludeProperty:C.raw.include!==void 0,configHasExcludeProperty:C.raw.exclude!==void 0}),r.canConfigFileJsonReportNoInputFiles=O7(C.raw),r.setProjectErrors(C.options.configFile.parseDiagnostics),r.updateReferences(C.projectReferences);const T=this.getFilenameForExceededTotalSizeLimitForNonTsFiles(r.canonicalConfigFilePath,b,C.fileNames,Lpe);T?(r.disableLanguageService(T),this.configFileExistenceInfoCache.forEach((N,R)=>this.stopWatchingWildCards(R,r))):(r.setCompilerOptions(b),r.setWatchOptions(C.watchOptions),r.enableLanguageService(),this.watchWildcards(p,g,r)),r.enablePluginsWithOptions(b);const E=C.fileNames.concat(r.getExternalFiles(2));this.updateRootAndOptionsOfNonInferredProject(r,E,Lpe,b,C.typeAcquisition,C.compileOnSave,C.watchOptions),(h=Zn)==null||h.pop()}ensureParsedConfigUptoDate(r,a,u,h){var p,g,C;if(u.config){if(!u.config.updateLevel)return u;if(u.config.updateLevel===1)return this.reloadFileNamesOfParsedConfig(r,u.config),u}const b=((p=u.config)==null?void 0:p.cachedDirectoryStructureHost)||IQ(this.host,this.host.getCurrentDirectory(),this.host.useCaseSensitiveFileNames),T=A7(r,U=>this.host.readFile(U)),E=TH(r,Oo(T)?T:""),N=E.parseDiagnostics;Oo(T)||N.push(T);const R=ts(r),F=PH(E,b,R,void 0,r,void 0,this.hostConfiguration.extraFileExtensions,this.extendedConfigCache);F.errors.length&&N.push(...F.errors),this.logger.info(`Config: ${r} : ${JSON.stringify({rootNames:F.fileNames,options:F.options,watchOptions:F.watchOptions,projectReferences:F.projectReferences},void 0," ")}`);const H=(g=u.config)==null?void 0:g.parsedCommandLine;return u.config?(u.config.parsedCommandLine=F,u.config.watchedDirectoriesStale=!0,u.config.updateLevel=void 0):u.config={parsedCommandLine:F,cachedDirectoryStructureHost:b,projects:new Map},!H&&!ZK(this.getWatchOptionsFromProjectWatchOptions(void 0,R),this.getWatchOptionsFromProjectWatchOptions(F.watchOptions,R))&&((C=u.watcher)==null||C.close(),u.watcher=void 0),this.createConfigFileWatcherForParsedConfig(r,a,h),NQ(a,F.options,this.sharedExtendedConfigFileWatchers,(U,q)=>this.watchFactory.watchFile(U,()=>{var X;LQ(this.extendedConfigCache,q,Q=>this.toPath(Q));let Z=!1;(X=this.sharedExtendedConfigFileWatchers.get(q))==null||X.projects.forEach(Q=>{Z=this.delayUpdateProjectsFromParsedConfigOnConfigFileChange(Q,`Change in extended config file ${U} detected`)||Z}),Z&&this.delayEnsureProjectForOpenFiles()},2e3,this.hostConfiguration.watchOptions,Kd.ExtendedConfigFile,r),U=>this.toPath(U)),u}watchWildcards(r,{exists:a,config:u},h){if(u.projects.set(h.canonicalConfigFilePath,!0),a){if(u.watchedDirectories&&!u.watchedDirectoriesStale)return;u.watchedDirectoriesStale=!1,GH(u.watchedDirectories||(u.watchedDirectories=new Map),u.parsedCommandLine.wildcardDirectories,(p,g)=>this.watchWildcardDirectory(p,g,r,u))}else{if(u.watchedDirectoriesStale=!1,!u.watchedDirectories)return;X_(u.watchedDirectories,Zm),u.watchedDirectories=void 0}}stopWatchingWildCards(r,a){const u=this.configFileExistenceInfoCache.get(r);!u.config||!u.config.projects.get(a.canonicalConfigFilePath)||(u.config.projects.set(a.canonicalConfigFilePath,!1),!cf(u.config.projects,hl)&&(u.config.watchedDirectories&&(X_(u.config.watchedDirectories,Zm),u.config.watchedDirectories=void 0),u.config.watchedDirectoriesStale=void 0))}updateNonInferredProjectFiles(r,a,u){var h;const p=r.getRootFilesMap(),g=new Map;for(const C of a){const b=u.getFileName(C),T=Jl(b),E=lz(T);let N;if(!E&&!r.fileExists(b)){N=hO(T,this.currentDirectory,this.toCanonicalFileName);const R=p.get(N);R?(((h=R.info)==null?void 0:h.path)===N&&(r.removeFile(R.info,!1,!0),R.info=void 0),R.fileName=T):p.set(N,{fileName:T})}else{const R=u.getScriptKind(C,this.hostConfiguration.extraFileExtensions),F=u.hasMixedContent(C,this.hostConfiguration.extraFileExtensions),H=j.checkDefined(this.getOrCreateScriptInfoNotOpenedByClientForNormalizedPath(T,r.currentDirectory,R,F,r.directoryStructureHost,!1));N=H.path;const U=p.get(N);!U||U.info!==H?(r.addRoot(H,T),H.isScriptOpen()&&this.removeRootOfInferredProjectIfNowPartOfOtherProject(H)):U.fileName=T}g.set(N,!0)}p.size>g.size&&p.forEach((C,b)=>{g.has(b)||(C.info?r.removeFile(C.info,r.fileExists(C.info.fileName),!0):p.delete(b))})}updateRootAndOptionsOfNonInferredProject(r,a,u,h,p,g,C){r.setCompilerOptions(h),r.setWatchOptions(C),g!==void 0&&(r.compileOnSaveEnabled=g),this.addFilesToNonInferredProject(r,a,u,p)}reloadFileNamesOfConfiguredProject(r){const a=this.reloadFileNamesOfParsedConfig(r.getConfigFilePath(),this.configFileExistenceInfoCache.get(r.canonicalConfigFilePath).config);return r.updateErrorOnNoInputFiles(a),this.updateNonInferredProjectFiles(r,a.concat(r.getExternalFiles(1)),Lpe),r.markAsDirty(),r.updateGraph()}reloadFileNamesOfParsedConfig(r,a){if(a.updateLevel===void 0)return a.parsedCommandLine.fileNames;j.assert(a.updateLevel===1);const u=a.parsedCommandLine.options.configFile.configFileSpecs,h=M7(u,ts(r),a.parsedCommandLine.options,a.cachedDirectoryStructureHost,this.hostConfiguration.extraFileExtensions);return a.parsedCommandLine={...a.parsedCommandLine,fileNames:h},h}setFileNamesOfAutpImportProviderOrAuxillaryProject(r,a){this.updateNonInferredProjectFiles(r,a,Lpe)}reloadConfiguredProjectClearingSemanticCache(r,a,u){return of(u,r)?(this.clearSemanticCache(r),this.reloadConfiguredProject(r,Ope(a)),!0):!1}reloadConfiguredProject(r,a){r.isInitialLoadPending=w1,r.pendingUpdateReason=void 0,r.pendingUpdateLevel=0,r.getCachedDirectoryStructureHost().clearCache(),this.loadConfiguredProject(r,a),Lht(r,r.triggerFileForConfigFileDiag??r.getConfigFilePath(),!0)}clearSemanticCache(r){r.originalConfiguredProjects=void 0,r.resolutionCache.clear(),r.getLanguageService(!1).cleanupSemanticCache(),r.cleanupProgram(),r.markAsDirty()}sendConfigFileDiagEvent(r,a,u){if(!this.eventHandler||this.suppressDiagnosticEvents)return!1;const h=r.getLanguageService().getCompilerOptionsDiagnostics();return h.push(...r.getAllProjectErrors()),!u&&h.length===(r.configDiagDiagnosticsReported??0)?!1:(r.configDiagDiagnosticsReported=h.length,this.eventHandler({eventName:wpe,data:{configFileName:r.getConfigFilePath(),diagnostics:h,triggerFile:a??r.getConfigFilePath()}}),!0)}getOrCreateInferredProjectForProjectRootPathIfEnabled(r,a){if(!this.useInferredProjectPerProjectRoot||r.isDynamic&&a===void 0)return;if(a){const h=this.toCanonicalFileName(a);for(const p of this.inferredProjects)if(p.projectRootPath===h)return p;return this.createInferredProject(a,!1,a)}let u;for(const h of this.inferredProjects)h.projectRootPath&&Vm(h.projectRootPath,r.path,this.host.getCurrentDirectory(),!this.host.useCaseSensitiveFileNames)&&(u&&u.projectRootPath.length>h.projectRootPath.length||(u=h));return u}getOrCreateSingleInferredProjectIfEnabled(){if(this.useSingleInferredProject)return this.inferredProjects.length>0&&this.inferredProjects[0].projectRootPath===void 0?this.inferredProjects[0]:this.createInferredProject("",!0)}getOrCreateSingleInferredWithoutProjectRoot(r){j.assert(!this.useSingleInferredProject);const a=this.toCanonicalFileName(this.getNormalizedAbsolutePath(r));for(const u of this.inferredProjects)if(!u.projectRootPath&&u.isOrphan()&&u.canonicalCurrentDirectory===a)return u;return this.createInferredProject(r)}createInferredProject(r,a,u){const h=u&&this.compilerOptionsForInferredProjectsPerProjectRoot.get(u)||this.compilerOptionsForInferredProjects;let p,g;u&&(p=this.watchOptionsForInferredProjectsPerProjectRoot.get(u),g=this.typeAcquisitionForInferredProjectsPerProjectRoot.get(u)),p===void 0&&(p=this.watchOptionsForInferredProjects),g===void 0&&(g=this.typeAcquisitionForInferredProjects),p=p||void 0;const C=new FMe(this,this.documentRegistry,h,p==null?void 0:p.watchOptions,u,r,g);return C.setProjectErrors(p==null?void 0:p.errors),a?this.inferredProjects.unshift(C):this.inferredProjects.push(C),C}getOrCreateScriptInfoNotOpenedByClient(r,a,u,h){return this.getOrCreateScriptInfoNotOpenedByClientForNormalizedPath(Jl(r),a,void 0,void 0,u,h)}getScriptInfo(r){return this.getScriptInfoForNormalizedPath(Jl(r))}getScriptInfoOrConfig(r){const a=Jl(r),u=this.getScriptInfoForNormalizedPath(a);if(u)return u;const h=this.configuredProjects.get(this.toPath(r));return h&&h.getCompilerOptions().configFile}logErrorForScriptInfoNotFound(r){const a=as(ld(this.filenameToScriptInfo.entries(),u=>u[1].deferredDelete?void 0:u),([u,h])=>({path:u,fileName:h.fileName}));this.logger.msg(`Could not find file ${JSON.stringify(r)}.
+All files are: ${JSON.stringify(a)}`,"Err")}getSymlinkedProjects(r){let a;if(this.realpathToScriptInfos){const h=r.getRealpathIfDifferent();h&&O(this.realpathToScriptInfos.get(h),u),O(this.realpathToScriptInfos.get(r.path),u)}return a;function u(h){if(h!==r)for(const p of h.containingProjects)p.languageServiceEnabled&&!p.isOrphan()&&!p.getCompilerOptions().preserveSymlinks&&!r.isAttached(p)&&(a?cf(a,(g,C)=>C===h.path?!1:Yt(g,p))||a.add(h.path,p):(a=Of(),a.add(h.path,p)))}}watchClosedScriptInfo(r){if(j.assert(!r.fileWatcher),!r.isDynamicOrHasMixedContent()&&(!this.globalCacheLocationDirectoryPath||!so(r.path,this.globalCacheLocationDirectoryPath))){const a=r.fileName.indexOf("/node_modules/");!this.host.getModifiedTime||a===-1?r.fileWatcher=this.watchFactory.watchFile(r.fileName,(u,h)=>this.onSourceFileChanged(r,h),500,this.hostConfiguration.watchOptions,Kd.ClosedScriptInfo):(r.mTime=this.getModifiedTime(r),r.fileWatcher=this.watchClosedScriptInfoInNodeModules(r.fileName.substring(0,a)))}}createNodeModulesWatcher(r,a){let u=this.watchFactory.watchDirectory(r,p=>{var g;const C=qQ(this.toPath(p));if(!C)return;const b=ud(C);if((g=h.affectedModuleSpecifierCacheProjects)!=null&&g.size&&(b==="package.json"||b==="node_modules")&&h.affectedModuleSpecifierCacheProjects.forEach(T=>{var E;(E=T.getModuleSpecifierCache())==null||E.clear()}),h.refreshScriptInfoRefCount)if(a===C)this.refreshScriptInfosInDirectory(a);else{const T=this.filenameToScriptInfo.get(C);T?XMe(T)&&this.refreshScriptInfo(T):N6(C)||this.refreshScriptInfosInDirectory(C)}},1,this.hostConfiguration.watchOptions,Kd.NodeModules);const h={refreshScriptInfoRefCount:0,affectedModuleSpecifierCacheProjects:void 0,close:()=>{var p;u&&!h.refreshScriptInfoRefCount&&!((p=h.affectedModuleSpecifierCacheProjects)!=null&&p.size)&&(u.close(),u=void 0,this.nodeModulesWatchers.delete(a))}};return this.nodeModulesWatchers.set(a,h),h}watchPackageJsonsInNodeModules(r,a){var u;const h=this.toPath(r),p=this.nodeModulesWatchers.get(h)||this.createNodeModulesWatcher(r,h);return j.assert(!((u=p.affectedModuleSpecifierCacheProjects)!=null&&u.has(a))),(p.affectedModuleSpecifierCacheProjects||(p.affectedModuleSpecifierCacheProjects=new Set)).add(a),{close:()=>{var g;(g=p.affectedModuleSpecifierCacheProjects)==null||g.delete(a),p.close()}}}watchClosedScriptInfoInNodeModules(r){const a=r+"/node_modules",u=this.toPath(a),h=this.nodeModulesWatchers.get(u)||this.createNodeModulesWatcher(a,u);return h.refreshScriptInfoRefCount++,{close:()=>{h.refreshScriptInfoRefCount--,h.close()}}}getModifiedTime(r){return(this.host.getModifiedTime(r.fileName)||gp).getTime()}refreshScriptInfo(r){const a=this.getModifiedTime(r);if(a!==r.mTime){const u=Eoe(r.mTime,a);r.mTime=a,this.onSourceFileChanged(r,u)}}refreshScriptInfosInDirectory(r){r=r+Kl,this.filenameToScriptInfo.forEach(a=>{XMe(a)&&so(a.path,r)&&this.refreshScriptInfo(a)})}stopWatchingScriptInfo(r){r.fileWatcher&&(r.fileWatcher.close(),r.fileWatcher=void 0)}getOrCreateScriptInfoNotOpenedByClientForNormalizedPath(r,a,u,h,p,g){if(yp(r)||lz(r))return this.getOrCreateScriptInfoWorker(r,a,!1,void 0,u,!!h,p,g);const C=this.openFilesWithNonRootedDiskPath.get(this.toCanonicalFileName(r));if(C)return C}getOrCreateScriptInfoForNormalizedPath(r,a,u,h,p,g){return this.getOrCreateScriptInfoWorker(r,this.currentDirectory,a,u,h,!!p,g,!1)}getOrCreateScriptInfoWorker(r,a,u,h,p,g,C,b){j.assert(h===void 0||u,"ScriptInfo needs to be opened by client to be able to set its user defined content");const T=hO(r,a,this.toCanonicalFileName);let E=this.filenameToScriptInfo.get(T);if(E){if(E.deferredDelete){if(j.assert(!E.isDynamic),!u&&!(C||this.host).fileExists(r))return b?E:void 0;E.deferredDelete=void 0}}else{const N=lz(r);if(j.assert(yp(r)||N||u,"",()=>`${JSON.stringify({fileName:r,currentDirectory:a,hostCurrentDirectory:this.currentDirectory,openKeys:as(this.openFilesWithNonRootedDiskPath.keys())})}
+Script info with non-dynamic relative file name can only be open script info or in context of host currentDirectory`),j.assert(!yp(r)||this.currentDirectory===a||!this.openFilesWithNonRootedDiskPath.has(this.toCanonicalFileName(r)),"",()=>`${JSON.stringify({fileName:r,currentDirectory:a,hostCurrentDirectory:this.currentDirectory,openKeys:as(this.openFilesWithNonRootedDiskPath.keys())})}
+Open script files with non rooted disk path opened with current directory context cannot have same canonical names`),j.assert(!N||this.currentDirectory===a||this.useInferredProjectPerProjectRoot,"",()=>`${JSON.stringify({fileName:r,currentDirectory:a,hostCurrentDirectory:this.currentDirectory,openKeys:as(this.openFilesWithNonRootedDiskPath.keys())})}
+Dynamic files must always be opened with service's current directory or service should support inferred project per projectRootPath.`),!u&&!N&&!(C||this.host).fileExists(r))return;E=new PMe(this.host,r,p,g,T,this.filenameToScriptInfoVersion.get(T)),this.filenameToScriptInfo.set(E.path,E),this.filenameToScriptInfoVersion.delete(E.path),u?!yp(r)&&(!N||this.currentDirectory!==a)&&this.openFilesWithNonRootedDiskPath.set(this.toCanonicalFileName(r),E):this.watchClosedScriptInfo(E)}return u&&(this.stopWatchingScriptInfo(E),E.open(h),g&&E.registerFileUpdate()),E}getScriptInfoForNormalizedPath(r){return!yp(r)&&this.openFilesWithNonRootedDiskPath.get(this.toCanonicalFileName(r))||this.getScriptInfoForPath(hO(r,this.currentDirectory,this.toCanonicalFileName))}getScriptInfoForPath(r){const a=this.filenameToScriptInfo.get(r);return!a||!a.deferredDelete?a:void 0}getDocumentPositionMapper(r,a,u){const h=this.getOrCreateScriptInfoNotOpenedByClient(a,r.currentDirectory,this.host,!1);if(!h){u&&r.addGeneratedFileWatch(a,u);return}if(h.getSnapshot(),Oo(h.sourceMapFilePath)){const T=this.getScriptInfoForPath(h.sourceMapFilePath);if(T&&(T.getSnapshot(),T.documentPositionMapper!==void 0))return T.sourceInfos=this.addSourceInfoToSourceMap(u,r,T.sourceInfos),T.documentPositionMapper?T.documentPositionMapper:void 0;h.sourceMapFilePath=void 0}else if(h.sourceMapFilePath){h.sourceMapFilePath.sourceInfos=this.addSourceInfoToSourceMap(u,r,h.sourceMapFilePath.sourceInfos);return}else if(h.sourceMapFilePath!==void 0)return;let p,g=(T,E)=>{const N=this.getOrCreateScriptInfoNotOpenedByClient(T,r.currentDirectory,this.host,!0);if(p=N||E,!N||N.deferredDelete)return;const R=N.getSnapshot();return N.documentPositionMapper!==void 0?N.documentPositionMapper:uA(R)};const C=r.projectName,b=Nhe({getCanonicalFileName:this.toCanonicalFileName,log:T=>this.logger.info(T),getSourceFileLike:T=>this.getSourceFileLike(T,C,h)},h.fileName,h.textStorage.getLineInfo(),g);return g=void 0,p?Oo(p)?h.sourceMapFilePath={watcher:this.addMissingSourceMapFile(r.currentDirectory===this.currentDirectory?p:wo(p,r.currentDirectory),h.path),sourceInfos:this.addSourceInfoToSourceMap(u,r)}:(h.sourceMapFilePath=p.path,p.declarationInfoPath=h.path,p.deferredDelete||(p.documentPositionMapper=b||!1),p.sourceInfos=this.addSourceInfoToSourceMap(u,r,p.sourceInfos)):h.sourceMapFilePath=!1,b}addSourceInfoToSourceMap(r,a,u){if(r){const h=this.getOrCreateScriptInfoNotOpenedByClient(r,a.currentDirectory,a.directoryStructureHost,!1);(u||(u=new Set)).add(h.path)}return u}addMissingSourceMapFile(r,a){return this.watchFactory.watchFile(r,()=>{const h=this.getScriptInfoForPath(a);h&&h.sourceMapFilePath&&!Oo(h.sourceMapFilePath)&&(this.delayUpdateProjectGraphs(h.containingProjects,!0),this.delayUpdateSourceInfoProjects(h.sourceMapFilePath.sourceInfos),h.closeSourceMapFileWatcher())},2e3,this.hostConfiguration.watchOptions,Kd.MissingSourceMapFile)}getSourceFileLike(r,a,u){const h=a.projectName?a:this.findProject(a);if(h){const g=h.toPath(r),C=h.getSourceFile(g);if(C&&C.resolvedPath===g)return C}const p=this.getOrCreateScriptInfoNotOpenedByClient(r,(h||this).currentDirectory,h?h.directoryStructureHost:this.host,!1);if(p){if(u&&Oo(u.sourceMapFilePath)&&p!==u){const g=this.getScriptInfoForPath(u.sourceMapFilePath);g&&(g.sourceInfos??(g.sourceInfos=new Set)).add(p.path)}return p.cacheSourceFile?p.cacheSourceFile.sourceFile:(p.sourceFileLike||(p.sourceFileLike={get text(){return j.fail("shouldnt need text"),""},getLineAndCharacterOfPosition:g=>{const C=p.positionToLineOffset(g);return{line:C.line-1,character:C.offset-1}},getPositionOfLineAndCharacter:(g,C,b)=>p.lineOffsetToPosition(g+1,C+1,b)}),p.sourceFileLike)}}setPerformanceEventHandler(r){this.performanceEventHandler=r}setHostConfiguration(r){var a;if(r.file){const u=this.getScriptInfoForNormalizedPath(Jl(r.file));u&&(u.setOptions(pO(r.formatOptions),r.preferences),this.logger.info(`Host configuration update for file ${r.file}`))}else{if(r.hostInfo!==void 0&&(this.hostConfiguration.hostInfo=r.hostInfo,this.logger.info(`Host information ${r.hostInfo}`)),r.formatOptions&&(this.hostConfiguration.formatCodeOptions={...this.hostConfiguration.formatCodeOptions,...pO(r.formatOptions)},this.logger.info("Format host information updated")),r.preferences){const{lazyConfiguredProjectsFromExternalProject:u,includePackageJsonAutoImports:h,includeCompletionsForModuleExports:p}=this.hostConfiguration.preferences;this.hostConfiguration.preferences={...this.hostConfiguration.preferences,...r.preferences},u&&!this.hostConfiguration.preferences.lazyConfiguredProjectsFromExternalProject&&this.externalProjectToConfiguredProjectMap.forEach(g=>g.forEach(C=>{!C.deferredClose&&!C.isClosed()&&C.pendingUpdateLevel===2&&!this.hasPendingProjectUpdate(C)&&C.updateGraph()})),(h!==r.preferences.includePackageJsonAutoImports||!!p!=!!r.preferences.includeCompletionsForModuleExports)&&this.forEachProject(g=>{g.onAutoImportProviderSettingsChanged()})}if(r.extraFileExtensions&&(this.hostConfiguration.extraFileExtensions=r.extraFileExtensions,this.reloadProjects(),this.logger.info("Host file extension mappings updated")),r.watchOptions){const u=(a=_z(r.watchOptions))==null?void 0:a.watchOptions,h=QX(u,this.currentDirectory);this.hostConfiguration.watchOptions=h,this.hostConfiguration.beforeSubstitution=h===u?void 0:u,this.logger.info(`Host watch options changed to ${JSON.stringify(this.hostConfiguration.watchOptions)}, it will be take effect for next watches.`)}}}getWatchOptions(r){return this.getWatchOptionsFromProjectWatchOptions(r.getWatchOptions(),r.getCurrentDirectory())}getWatchOptionsFromProjectWatchOptions(r,a){const u=this.hostConfiguration.beforeSubstitution?QX(this.hostConfiguration.beforeSubstitution,a):this.hostConfiguration.watchOptions;return r&&u?{...u,...r}:r||u}closeLog(){this.logger.close()}sendSourceFileChange(r){this.filenameToScriptInfo.forEach(a=>{if(this.openFiles.has(a.path)||!a.fileWatcher)return;const u=Bh(()=>this.host.fileExists(a.fileName)?a.deferredDelete?0:1:2);if(r){if(XMe(a)||!a.path.startsWith(r)||u()===2&&a.deferredDelete)return;this.logger.info(`Invoking sourceFileChange on ${a.fileName}:: ${u()}`)}this.onSourceFileChanged(a,u())})}reloadProjects(){this.logger.info("reload projects."),this.sendSourceFileChange(void 0),this.pendingProjectUpdates.forEach((u,h)=>{this.throttledOperations.cancel(h),this.pendingProjectUpdates.delete(h)}),this.throttledOperations.cancel(xht),this.pendingOpenFileProjectUpdates=void 0,this.pendingEnsureProjectForOpenFiles=!1,this.configFileExistenceInfoCache.forEach(u=>{u.config&&(u.config.updateLevel=2)}),this.configFileForOpenFiles.clear(),this.externalProjects.forEach(u=>{this.clearSemanticCache(u),u.updateGraph()});const r=new Set,a=new Set;this.externalProjectToConfiguredProjectMap.forEach((u,h)=>{const p=`Reloading configured project in external project: ${h}`;u.forEach(g=>{this.getHostPreferences().lazyConfiguredProjectsFromExternalProject?(g.isInitialLoadPending()||(this.clearSemanticCache(g),g.pendingUpdateLevel=2,g.pendingUpdateReason=Ope(p)),a.add(g)):this.reloadConfiguredProjectClearingSemanticCache(g,p,r)})}),this.openFiles.forEach((u,h)=>{const p=this.getScriptInfoForPath(h);Fe(p.containingProjects,fz)||this.tryFindDefaultConfiguredProjectAndLoadAncestorsForOpenScriptInfo(p,2,r,a)}),a.forEach(u=>r.add(u)),this.inferredProjects.forEach(u=>this.clearSemanticCache(u)),this.ensureProjectForOpenFiles(),this.cleanupProjectsAndScriptInfos(r,new Set(this.openFiles.keys()),new Set(this.externalProjectToConfiguredProjectMap.keys())),this.logger.info("After reloading projects.."),this.printProjects()}removeRootOfInferredProjectIfNowPartOfOtherProject(r){j.assert(r.containingProjects.length>0);const a=r.containingProjects[0];!a.isOrphan()&&_O(a)&&a.isRoot(r)&&O(r.containingProjects,u=>u!==a&&!u.isOrphan())&&a.removeFile(r,!0,!0)}ensureProjectForOpenFiles(){this.logger.info("Before ensureProjectForOpenFiles:"),this.printProjects();const r=this.pendingOpenFileProjectUpdates;this.pendingOpenFileProjectUpdates=void 0,r==null||r.forEach((a,u)=>this.tryFindDefaultConfiguredProjectAndLoadAncestorsForOpenScriptInfo(this.getScriptInfoForPath(u),1)),this.openFiles.forEach((a,u)=>{const h=this.getScriptInfoForPath(u);h.isOrphan()?this.assignOrphanScriptInfoToInferredProject(h,a):this.removeRootOfInferredProjectIfNowPartOfOtherProject(h)}),this.pendingEnsureProjectForOpenFiles=!1,this.inferredProjects.forEach(Ym),this.logger.info("After ensureProjectForOpenFiles:"),this.printProjects()}openClientFile(r,a,u,h){return this.openClientFileWithNormalizedPath(Jl(r),a,u,!1,h?Jl(h):void 0)}getOriginalLocationEnsuringConfiguredProject(r,a){const u=r.isSourceOfProjectReferenceRedirect(a.fileName),h=u?a:r.getSourceMapper().tryGetSourcePosition(a);if(!h)return;const{fileName:p}=h,g=this.getScriptInfo(p);if(!g&&!this.host.fileExists(p))return;const C={fileName:Jl(p),path:this.toPath(p)},b=this.getConfigFileNameForFile(C,!1);if(!b)return;let T=this.findConfiguredProjectByProjectName(b);if(!T){if(r.getCompilerOptions().disableReferencedProjectLoad)return u?a:g!=null&&g.containingProjects.length?h:a;T=this.createConfiguredProject(b,`Creating project for original file: ${C.fileName}${a!==h?" for location: "+a.fileName:""}`)}Ym(T);const E=F=>{const H=this.getScriptInfo(p);return H&&F.containsScriptInfo(H)&&!F.isSourceOfProjectReferenceRedirect(H.path)};if(T.isSolution()||!E(T)){if(T=Eht(T,p,F=>E(F)?F:void 0,1,`Creating project referenced in solution ${T.projectName} to find possible configured project for original file: ${C.fileName}${a!==h?" for location: "+a.fileName:""}`),!T)return;if(T===r)return h}R(T);const N=this.getScriptInfo(p);if(!N||!N.containingProjects.length)return;return N.containingProjects.forEach(F=>{LS(F)&&R(F)}),h;function R(F){(r.originalConfiguredProjects??(r.originalConfiguredProjects=new Set)).add(F.canonicalConfigFilePath)}}fileExists(r){return!!this.getScriptInfoForNormalizedPath(r)||this.host.fileExists(r)}findExternalProjectContainingOpenScriptInfo(r){return Fe(this.externalProjects,a=>(Ym(a),a.containsScriptInfo(r)))}getOrCreateOpenScriptInfo(r,a,u,h,p){const g=this.getOrCreateScriptInfoWorker(r,p?this.getNormalizedAbsolutePath(p):this.currentDirectory,!0,a,u,!!h,void 0,!0);return this.openFiles.set(g.path,p),g}assignProjectToOpenedScriptInfo(r){let a,u;const h=this.findExternalProjectContainingOpenScriptInfo(r);let p,g;if(!h&&this.serverMode===0){const C=this.tryFindDefaultConfiguredProjectAndLoadAncestorsForOpenScriptInfo(r,1);C&&(p=C.seenProjects,g=C.sentConfigDiag,C.defaultProject&&(a=C.defaultProject.getConfigFilePath(),u=C.defaultProject.getAllProjectErrors()))}return r.containingProjects.forEach(Ym),r.isOrphan()&&(p==null||p.forEach(C=>{g.has(C)||this.sendConfigFileDiagEvent(C,r.fileName,!0)}),j.assert(this.openFiles.has(r.path)),this.assignOrphanScriptInfoToInferredProject(r,this.openFiles.get(r.path))),j.assert(!r.isOrphan()),{configFileName:a,configFileErrors:u,retainProjects:p}}findCreateOrReloadConfiguredProject(r,a,u,h,p,g,C,b){let T=this.findConfiguredProjectByProjectName(r,h),E=!1;switch(a){case 0:if(!T)return;break;case 1:T??(T=this.createConfiguredProject(r,u)),E=!C&&Pht(T,p);break;case 2:T??(T=this.createConfiguredProject(r,Ope(u))),E=!b&&this.reloadConfiguredProjectClearingSemanticCache(T,u,g),b&&!b.has(T)&&!g.has(T)&&(T.pendingUpdateLevel=2,T.pendingUpdateReason=Ope(u),b.add(T));break;default:j.assertNever(a)}return{project:T,sentConfigFileDiag:E}}tryFindDefaultConfiguredProjectForOpenScriptInfo(r,a,u,h){const p=this.getConfigFileNameForFile(r,a===0);if(!p)return;const g=this.findCreateOrReloadConfiguredProject(p,a,Aht(r),u,r.fileName,h);if(!g)return;const C=new Set,b=new Set(g.sentConfigFileDiag?[g.project]:void 0);let T,E;return N(g.project),{defaultProject:T??E,sentConfigDiag:b,seenProjects:C};function N(H){return R(H)?T:F(H)}function R(H){if(!of(C,H))return;const U=H.containsScriptInfo(r);if(U&&!H.isSourceOfProjectReferenceRedirect(r.path))return T=H;E??(E=U?H:void 0)}function F(H){return Eht(H,r.path,(U,q)=>(q&&b.add(U),R(U)),a,`Creating project referenced in solution ${H.projectName} to find possible configured project for ${r.fileName} to open`,u,r.fileName,h)}}tryFindDefaultConfiguredProjectAndLoadAncestorsForOpenScriptInfo(r,a,u,h){const p=a===0,g=this.tryFindDefaultConfiguredProjectForOpenScriptInfo(r,a,p,u);if(!g)return;const{defaultProject:C,seenProjects:b}=g;return C&&XJt(r,C,T=>{b.add(T)},a,`Creating project possibly referencing default composite project ${C.getProjectName()} of open file ${r.fileName}`,p,u,h),g}loadAncestorProjectTree(r){r??(r=new Set(ld(this.configuredProjects.entries(),([h,p])=>p.isInitialLoadPending()?void 0:h)));const a=new Set,u=as(this.configuredProjects.values());for(const h of u)Iht(h,p=>r.has(p))&&Ym(h),this.ensureProjectChildren(h,r,a)}ensureProjectChildren(r,a,u){var h;if(!of(u,r.canonicalConfigFilePath)||r.getCompilerOptions().disableReferencedProjectLoad)return;const p=(h=r.getCurrentProgram())==null?void 0:h.getResolvedProjectReferences();if(p)for(const g of p){if(!g)continue;const C=yde(g.references,E=>a.has(E.sourceFile.path)?E:void 0);if(!C)continue;const b=Jl(g.sourceFile.fileName),T=this.findConfiguredProjectByProjectName(b)??this.createConfiguredProject(b,`Creating project referenced by : ${r.projectName} as it references project ${C.sourceFile.fileName}`);Ym(T),this.ensureProjectChildren(T,a,u)}}cleanupConfiguredProjects(r,a,u){this.getOrphanConfiguredProjects(r,u,a).forEach(h=>this.removeProject(h))}cleanupProjectsAndScriptInfos(r,a,u){this.cleanupConfiguredProjects(r,u,a);for(const h of this.inferredProjects.slice())h.isOrphan()&&this.removeProject(h);this.removeOrphanScriptInfos()}tryInvokeWildCardDirectories(r){this.configFileExistenceInfoCache.forEach((a,u)=>{var h,p;!((h=a.config)!=null&&h.parsedCommandLine)||Yt(a.config.parsedCommandLine.fileNames,r.fileName,this.host.useCaseSensitiveFileNames?NT:jw)||(p=a.config.watchedDirectories)==null||p.forEach((g,C)=>{Vm(C,r.fileName,!this.host.useCaseSensitiveFileNames)&&(this.logger.info(`Invoking ${u}:: wildcard for open scriptInfo:: ${r.fileName}`),this.onWildCardDirectoryWatcherInvoke(C,u,a.config,g.watcher,r.fileName))})})}openClientFileWithNormalizedPath(r,a,u,h,p){const g=this.getScriptInfoForPath(hO(r,p?this.getNormalizedAbsolutePath(p):this.currentDirectory,this.toCanonicalFileName)),C=this.getOrCreateOpenScriptInfo(r,a,u,h,p);!g&&C&&!C.isDynamic&&this.tryInvokeWildCardDirectories(C);const{retainProjects:b,...T}=this.assignProjectToOpenedScriptInfo(C);return this.cleanupProjectsAndScriptInfos(b,new Set([C.path]),void 0),this.telemetryOnOpenFile(C),this.printProjects(),T}getOrphanConfiguredProjects(r,a,u){const h=new Set(this.configuredProjects.values()),p=T=>{T.originalConfiguredProjects&&(LS(T)||!T.isOrphan())&&T.originalConfiguredProjects.forEach((E,N)=>{const R=this.getConfiguredProjectByCanonicalConfigFilePath(N);return R&&b(R)})};return r==null||r.forEach(b),this.inferredProjects.forEach(p),this.externalProjects.forEach(p),this.externalProjectToConfiguredProjectMap.forEach((T,E)=>{u!=null&&u.has(E)||T.forEach(b)}),this.openFiles.forEach((T,E)=>{if(a!=null&&a.has(E))return;const N=this.getScriptInfoForPath(E);if(Fe(N.containingProjects,fz))return;const R=this.tryFindDefaultConfiguredProjectAndLoadAncestorsForOpenScriptInfo(N,0);R!=null&&R.defaultProject&&(R==null||R.seenProjects.forEach(b))}),this.configuredProjects.forEach(T=>{h.has(T)&&(C(T)||Nht(T,g))&&b(T)}),h;function g(T){return!h.has(T)||C(T)}function C(T){var E,N;return(T.deferredClose||T.projectService.hasPendingProjectUpdate(T))&&!!((N=(E=T.projectService.configFileExistenceInfoCache.get(T.canonicalConfigFilePath))==null?void 0:E.openFilesImpactedByConfigFile)!=null&&N.size)}function b(T){h.delete(T)&&(p(T),Nht(T,b))}}removeOrphanScriptInfos(){const r=new Map(this.filenameToScriptInfo);this.filenameToScriptInfo.forEach(a=>{if(!a.deferredDelete){if(!a.isScriptOpen()&&a.isOrphan()&&!a.isContainedByBackgroundProject()){if(!a.sourceMapFilePath)return;let u;if(Oo(a.sourceMapFilePath)){const h=this.filenameToScriptInfo.get(a.sourceMapFilePath);u=h==null?void 0:h.sourceInfos}else u=a.sourceMapFilePath.sourceInfos;if(!u||!qb(u,h=>{const p=this.getScriptInfoForPath(h);return!!p&&(p.isScriptOpen()||!p.isOrphan())}))return}if(r.delete(a.path),a.sourceMapFilePath){let u;if(Oo(a.sourceMapFilePath)){const h=this.filenameToScriptInfo.get(a.sourceMapFilePath);h!=null&&h.deferredDelete?a.sourceMapFilePath={watcher:this.addMissingSourceMapFile(h.fileName,a.path),sourceInfos:h.sourceInfos}:r.delete(a.sourceMapFilePath),u=h==null?void 0:h.sourceInfos}else u=a.sourceMapFilePath.sourceInfos;u&&u.forEach((h,p)=>r.delete(p))}}}),r.forEach(a=>this.deleteScriptInfo(a))}telemetryOnOpenFile(r){if(this.serverMode!==0||!this.eventHandler||!r.isJavaScript()||!Pg(this.allJsFilesForOpenFileTelemetry,r.path))return;const a=this.ensureDefaultProjectForFile(r);if(!a.languageServiceEnabled)return;const u=a.getSourceFile(r.path),h=!!u&&!!u.checkJsDirective;this.eventHandler({eventName:jMe,data:{info:{checkJs:h}}})}closeClientFile(r,a){const u=this.getScriptInfoForNormalizedPath(Jl(r)),h=u?this.closeOpenFile(u,a):!1;return a||this.printProjects(),h}collectChanges(r,a,u,h){for(const p of a){const g=Fe(r,C=>C.projectName===p.getProjectName());h.push(p.getChangesSinceVersion(g&&g.version,u))}}synchronizeProjectList(r,a){const u=[];return this.collectChanges(r,this.externalProjects,a,u),this.collectChanges(r,ld(this.configuredProjects.values(),h=>h.deferredClose?void 0:h),a,u),this.collectChanges(r,this.inferredProjects,a,u),u}applyChangesInOpenFiles(r,a,u){let h,p,g=!1;if(r)for(const b of r){(h??(h=[])).push(this.getScriptInfoForPath(hO(Jl(b.fileName),b.projectRootPath?this.getNormalizedAbsolutePath(b.projectRootPath):this.currentDirectory,this.toCanonicalFileName)));const T=this.getOrCreateOpenScriptInfo(Jl(b.fileName),b.content,Ipe(b.scriptKind),b.hasMixedContent,b.projectRootPath?Jl(b.projectRootPath):void 0);(p||(p=[])).push(T)}if(a)for(const b of a){const T=this.getScriptInfo(b.fileName);j.assert(!!T),this.applyChangesToFile(T,b.changes)}if(u)for(const b of u)g=this.closeClientFile(b,!0)||g;let C;O(h,(b,T)=>!b&&p[T]&&!p[T].isDynamic?this.tryInvokeWildCardDirectories(p[T]):void 0),p==null||p.forEach(b=>{var T;return(T=this.assignProjectToOpenedScriptInfo(b).retainProjects)==null?void 0:T.forEach(E=>(C??(C=new Set)).add(E))}),g&&this.assignOrphanScriptInfosToInferredProject(),p?(this.cleanupProjectsAndScriptInfos(C,new Set(p.map(b=>b.path)),void 0),p.forEach(b=>this.telemetryOnOpenFile(b)),this.printProjects()):P(u)&&this.printProjects()}applyChangesToFile(r,a){for(const u of a)r.editContent(u.span.start,u.span.start+u.span.length,u.newText)}closeExternalProject(r,a){const u=Jl(r);if(this.externalProjectToConfiguredProjectMap.get(u))this.externalProjectToConfiguredProjectMap.delete(u);else{const p=this.findExternalProjectByProjectName(r);p&&this.removeProject(p)}a&&(this.cleanupConfiguredProjects(),this.printProjects())}openExternalProjects(r){const a=new Set(this.externalProjects.map(u=>u.getProjectName()));this.externalProjectToConfiguredProjectMap.forEach((u,h)=>a.add(h));for(const u of r)this.openExternalProject(u,!1),a.delete(u.projectFileName);a.forEach(u=>this.closeExternalProject(u,!1)),this.cleanupConfiguredProjects(),this.printProjects()}static escapeFilenameForRegex(r){return r.replace(this.filenameEscapeRegexp,"\\$&")}resetSafeList(){this.safelist=zMe}applySafeList(r){const a=r.typeAcquisition;j.assert(!!a,"proj.typeAcquisition should be set by now");const u=this.applySafeListWorker(r,r.rootFiles,a);return(u==null?void 0:u.excludedFiles)??[]}applySafeListWorker(r,a,u){if(u.enable===!1||u.disableFilenameBasedTypeAcquisition)return;const h=u.include||(u.include=[]),p=[],g=a.map(N=>Rf(N.fileName));for(const N of Object.keys(this.safelist)){const R=this.safelist[N];for(const F of g)if(R.match.test(F)){if(this.logger.info(`Excluding files based on rule ${N} matching file '${F}'`),R.types)for(const H of R.types)h.includes(H)||h.push(H);if(R.exclude)for(const H of R.exclude){const U=F.replace(R.match,(...q)=>H.map(X=>typeof X=="number"?Oo(q[X])?Pqe.escapeFilenameForRegex(q[X]):(this.logger.info(`Incorrect RegExp specification in safelist rule ${N} - not enough groups`),"\\*"):X).join(""));p.includes(U)||p.push(U)}else{const H=Pqe.escapeFilenameForRegex(F);p.includes(H)||p.push(H)}}}const C=p.map(N=>new RegExp(N,"i"));let b,T;for(let N=0;N<a.length;N++)if(C.some(R=>R.test(g[N])))E(N);else{if(u.enable){const R=ud(Vw(g[N]));if(Xl(R,"js")){const F=s_(R),H=toe(F),U=this.legacySafelist.get(H);if(U!==void 0){this.logger.info(`Excluded '${g[N]}' because it matched ${H} from the legacy safelist`),E(N),h.includes(U)||h.push(U);continue}}}/^.+[.-]min\.js$/.test(g[N])?E(N):b==null||b.push(a[N])}return T?{rootFiles:b,excludedFiles:T}:void 0;function E(N){T||(j.assert(!b),b=a.slice(0,N),T=[]),T.push(g[N])}}openExternalProject(r,a){const u=this.findExternalProjectByProjectName(r.projectFileName);let h,p=[];for(const g of r.rootFiles){const C=Jl(g.fileName);if(mpe(C)){if(this.serverMode===0&&this.host.fileExists(C)){let b=this.findConfiguredProjectByProjectName(C);b||(b=this.createConfiguredProject(C,`Creating configured project in external project: ${r.projectFileName}`),this.getHostPreferences().lazyConfiguredProjectsFromExternalProject||b.updateGraph()),(h??(h=new Set)).add(b),j.assert(!b.isClosed())}}else p.push(g)}if(h)this.externalProjectToConfiguredProjectMap.set(r.projectFileName,h),u&&this.removeProject(u);else{this.externalProjectToConfiguredProjectMap.delete(r.projectFileName);const g=r.typeAcquisition||{};g.include=g.include||[],g.exclude=g.exclude||[],g.enable===void 0&&(g.enable=MMe(p.map(T=>T.fileName)));const C=this.applySafeListWorker(r,p,g),b=(C==null?void 0:C.excludedFiles)??[];if(p=(C==null?void 0:C.rootFiles)??p,u){u.excludedFiles=b;const T=UY(r.options),E=_z(r.options,u.getCurrentDirectory()),N=this.getFilenameForExceededTotalSizeLimitForNonTsFiles(r.projectFileName,T,p,Ppe);N?u.disableLanguageService(N):u.enableLanguageService(),u.setProjectErrors(E==null?void 0:E.errors),this.updateRootAndOptionsOfNonInferredProject(u,p,Ppe,T,g,r.options.compileOnSave,E==null?void 0:E.watchOptions),u.updateGraph()}else this.createExternalProject(r.projectFileName,p,r.options,g,b).updateGraph()}a&&(this.cleanupConfiguredProjects(h,new Set(r.projectFileName)),this.printProjects())}hasDeferredExtension(){for(const r of this.hostConfiguration.extraFileExtensions)if(r.scriptKind===7)return!0;return!1}requestEnablePlugin(r,a,u){if(!this.host.importPlugin&&!this.host.require){this.logger.info("Plugins were requested but not running in environment that supports 'require'. Nothing will be loaded");return}if(this.logger.info(`Enabling plugin ${a.name} from candidate paths: ${u.join(",")}`),!a.name||Gd(a.name)||/[\\/]\.\.?(?:$|[\\/])/.test(a.name)){this.logger.info(`Skipped loading plugin ${a.name||JSON.stringify(a)} because only package name is allowed plugin name`);return}if(this.host.importPlugin){const h=xA.importServicePluginAsync(a,u,this.host,g=>this.logger.info(g));this.pendingPluginEnablements??(this.pendingPluginEnablements=new Map);let p=this.pendingPluginEnablements.get(r);p||this.pendingPluginEnablements.set(r,p=[]),p.push(h);return}this.endEnablePlugin(r,xA.importServicePluginSync(a,u,this.host,h=>this.logger.info(h)))}endEnablePlugin(r,{pluginConfigEntry:a,resolvedModule:u,errorLogs:h}){var p;if(u){const g=(p=this.currentPluginConfigOverrides)==null?void 0:p.get(a.name);if(g){const C=a.name;a=g,a.name=C}r.enableProxy(u,a)}else O(h,g=>this.logger.info(g)),this.logger.info(`Couldn't find ${a.name}`)}hasNewPluginEnablementRequests(){return!!this.pendingPluginEnablements}hasPendingPluginEnablements(){return!!this.currentPluginEnablementPromise}async waitForPendingPlugins(){for(;this.currentPluginEnablementPromise;)await this.currentPluginEnablementPromise}enableRequestedPlugins(){this.pendingPluginEnablements&&this.enableRequestedPluginsAsync()}async enableRequestedPluginsAsync(){if(this.currentPluginEnablementPromise&&await this.waitForPendingPlugins(),!this.pendingPluginEnablements)return;const r=as(this.pendingPluginEnablements.entries());this.pendingPluginEnablements=void 0,this.currentPluginEnablementPromise=this.enableRequestedPluginsWorker(r),await this.currentPluginEnablementPromise}async enableRequestedPluginsWorker(r){j.assert(this.currentPluginEnablementPromise===void 0);let a=!1;await Promise.all(qt(r,async([u,h])=>{const p=await Promise.all(h);if(u.isClosed()||jY(u)){this.logger.info(`Cancelling plugin enabling for ${u.getProjectName()} as it is ${u.isClosed()?"closed":"deferred close"}`);return}a=!0;for(const g of p)this.endEnablePlugin(u,g);this.delayUpdateProjectGraph(u)})),this.currentPluginEnablementPromise=void 0,a&&this.sendProjectsUpdatedInBackgroundEvent()}configurePlugin(r){this.forEachEnabledProject(a=>a.onPluginConfigurationChanged(r.pluginName,r.configuration)),this.currentPluginConfigOverrides=this.currentPluginConfigOverrides||new Map,this.currentPluginConfigOverrides.set(r.pluginName,r.configuration)}getPackageJsonsVisibleToFile(r,a,u){const h=this.packageJsonCache,p=u&&this.toPath(u),g=[],C=b=>{switch(h.directoryHasPackageJson(b)){case 3:return h.searchDirectoryAndAncestors(b),C(b);case-1:const T=zr(b,"package.json");this.watchPackageJsonFile(T,this.toPath(T),a);const E=h.getInDirectory(b);E&&g.push(E)}if(p&&p===b)return!0};return kg(ts(r),C),g}getNearestAncestorDirectoryWithPackageJson(r){return kg(r,a=>{switch(this.packageJsonCache.directoryHasPackageJson(a)){case-1:return a;case 0:return;case 3:return this.host.fileExists(zr(a,"package.json"))?a:void 0}})}watchPackageJsonFile(r,a,u){j.assert(u!==void 0);let h=(this.packageJsonFilesMap??(this.packageJsonFilesMap=new Map)).get(a);if(!h){let p=this.watchFactory.watchFile(r,(g,C)=>{switch(C){case 0:case 1:this.packageJsonCache.addOrUpdate(g,a),this.onPackageJsonChange(h);break;case 2:this.packageJsonCache.delete(a),this.onPackageJsonChange(h),h.projects.clear(),h.close()}},250,this.hostConfiguration.watchOptions,Kd.PackageJson);h={projects:new Set,close:()=>{var g;h.projects.size||!p||(p.close(),p=void 0,(g=this.packageJsonFilesMap)==null||g.delete(a),this.packageJsonCache.invalidate(a))}},this.packageJsonFilesMap.set(a,h)}h.projects.add(u),(u.packageJsonWatches??(u.packageJsonWatches=new Set)).add(h)}onPackageJsonChange(r){r.projects.forEach(a=>{var u;return(u=a.onPackageJsonChange)==null?void 0:u.call(a)})}includePackageJsonAutoImports(){switch(this.hostConfiguration.preferences.includePackageJsonAutoImports){case"on":return 1;case"off":return 0;default:return 2}}getIncompleteCompletionsCache(){return this.incompleteCompletionsCache||(this.incompleteCompletionsCache=eGt())}};Mht.filenameEscapeRegexp=/[-/\\^$*+?.()|[\]{}]/g;var eRe=Mht;function eGt(){let t;return{get(){return t},set(r){t=r},clear(){t=void 0}}}function tRe(t){return t.kind!==void 0}function iRe(t){t.print(!1,!1,!1)}function nRe(t){let r,a,u;const h={get(b,T,E,N){if(!(!a||u!==g(b,E,N)))return a.get(T)},set(b,T,E,N,R,F,H){if(p(b,E,N).set(T,C(R,F,H,void 0,!1)),H){for(const U of F)if(U.isInNodeModules){const q=U.path.substring(0,U.path.indexOf(Xv)+Xv.length-1),X=t.toPath(q);r!=null&&r.has(X)||(r||(r=new Map)).set(X,t.watchNodeModulesForPackageJsonChanges(q))}}},setModulePaths(b,T,E,N,R){const F=p(b,E,N),H=F.get(T);H?H.modulePaths=R:F.set(T,C(void 0,R,void 0,void 0,void 0))},setBlockedByPackageJsonDependencies(b,T,E,N,R,F){const H=p(b,E,N),U=H.get(T);U?(U.isBlockedByPackageJsonDependencies=F,U.packageName=R):H.set(T,C(void 0,void 0,void 0,R,F))},clear(){r==null||r.forEach(d0),a==null||a.clear(),r==null||r.clear(),u=void 0},count(){return a?a.size:0}};return j.isDebugging&&Object.defineProperty(h,"__cache",{get:()=>a}),h;function p(b,T,E){const N=g(b,T,E);return a&&u!==N&&h.clear(),u=N,a||(a=new Map)}function g(b,T,E){return`${b},${T.importModuleSpecifierEnding},${T.importModuleSpecifierPreference},${E.overrideImportMode}`}function C(b,T,E,N,R){return{kind:b,modulePaths:T,moduleSpecifiers:E,packageName:N,isBlockedByPackageJsonDependencies:R}}}function rRe(t){const r=new Map,a=new Map;return{addOrUpdate:u,invalidate:h,delete:g=>{r.delete(g),a.set(ts(g),!0)},getInDirectory:g=>r.get(t.toPath(zr(g,"package.json")))||void 0,directoryHasPackageJson:g=>p(t.toPath(g)),searchDirectoryAndAncestors:g=>{kg(g,C=>{const b=t.toPath(C);if(p(b)!==3)return!0;const T=zr(C,"package.json");Tj(t,T)?u(T,zr(b,"package.json")):a.set(b,!0)})}};function u(g,C){const b=j.checkDefined(fhe(g,t.host));r.set(C,b),a.delete(ts(C))}function h(g){r.delete(g),a.delete(ts(g))}function p(g){return r.has(zr(g,"package.json"))?-1:a.has(g)?0:3}}var Rht={isCancellationRequested:()=>!1,setRequest:()=>{},resetRequest:()=>{}};function tGt(t){const r=t[0],a=t[1];return(1e9*r+a)/1e6}function Fht(t,r){if((_O(t)||fz(t))&&t.isJsOnlyProject()){const a=t.getScriptInfoForNormalizedPath(r);return a&&!a.isJavaScript()}return!1}function iGt(t){return Q_(t)||!!t.emitDecoratorMetadata}function Bht(t,r,a){const u=r.getScriptInfoForNormalizedPath(t);return{start:u.positionToLineOffset(a.start),end:u.positionToLineOffset(a.start+a.length),text:$1(a.messageText,`
+`),code:a.code,category:nI(a),reportsUnnecessary:a.reportsUnnecessary,reportsDeprecated:a.reportsDeprecated,source:a.source,relatedInformation:qt(a.relatedInformation,Mpe)}}function Mpe(t){return t.file?{span:{start:mO(Ia(t.file,t.start)),end:mO(Ia(t.file,t.start+t.length)),file:t.file.fileName},message:$1(t.messageText,`
+`),category:nI(t),code:t.code}:{message:$1(t.messageText,`
+`),category:nI(t),code:t.code}}function mO(t){return{line:t.line+1,offset:t.character+1}}function pz(t,r){const a=t.file&&mO(Ia(t.file,t.start)),u=t.file&&mO(Ia(t.file,t.start+t.length)),h=$1(t.messageText,`
+`),{code:p,source:g}=t,C=nI(t),b={start:a,end:u,text:h,code:p,category:C,reportsUnnecessary:t.reportsUnnecessary,reportsDeprecated:t.reportsDeprecated,source:g,relatedInformation:qt(t.relatedInformation,Mpe)};return r?{...b,fileName:t.file&&t.file.fileName}:b}function nGt(t,r){return t.every(a=>au(a.span)<r)}var Wht=NMe;function sRe(t,r,a,u){const h=r.hasLevel(3),p=JSON.stringify(t);return h&&r.info(`${t.type}:${p2(t)}`),`Content-Length: ${1+a(p,"utf8")}\r
+\r
+${p}${u}`}var rGt=class{constructor(t){this.operationHost=t}startNew(t){this.complete(),this.requestId=this.operationHost.getCurrentRequestId(),this.executeAction(t)}complete(){this.requestId!==void 0&&(this.operationHost.sendRequestCompletedEvent(this.requestId,this.performanceData),this.requestId=void 0),this.setTimerHandle(void 0),this.setImmediateId(void 0),this.performanceData=void 0}immediate(t,r){const a=this.requestId;j.assert(a===this.operationHost.getCurrentRequestId(),"immediate: incorrect request id"),this.setImmediateId(this.operationHost.getServerHost().setImmediate(()=>{this.immediateId=void 0,this.operationHost.executeWithRequestId(a,()=>this.executeAction(r),this.performanceData)},t))}delay(t,r,a){const u=this.requestId;j.assert(u===this.operationHost.getCurrentRequestId(),"delay: incorrect request id"),this.setTimerHandle(this.operationHost.getServerHost().setTimeout(()=>{this.timerHandle=void 0,this.operationHost.executeWithRequestId(u,()=>this.executeAction(a),this.performanceData)},r,t))}executeAction(t){var r,a,u,h,p,g;let C=!1;try{this.operationHost.isCancellationRequested()?(C=!0,(r=Zn)==null||r.instant(Zn.Phase.Session,"stepCanceled",{seq:this.requestId,early:!0})):((a=Zn)==null||a.push(Zn.Phase.Session,"stepAction",{seq:this.requestId}),t(this),(u=Zn)==null||u.pop())}catch(b){(h=Zn)==null||h.popAll(),C=!0,b instanceof t8?(p=Zn)==null||p.instant(Zn.Phase.Session,"stepCanceled",{seq:this.requestId}):((g=Zn)==null||g.instant(Zn.Phase.Session,"stepError",{seq:this.requestId,message:b.message}),this.operationHost.logError(b,`delayed processing of request ${this.requestId}`))}this.performanceData=this.operationHost.getPerformanceData(),(C||!this.hasPendingWork())&&this.complete()}setTimerHandle(t){this.timerHandle!==void 0&&this.operationHost.getServerHost().clearTimeout(this.timerHandle),this.timerHandle=t}setImmediateId(t){this.immediateId!==void 0&&this.operationHost.getServerHost().clearImmediate(this.immediateId),this.immediateId=t}hasPendingWork(){return!!this.timerHandle||!!this.immediateId}};function oRe(t,r){return{seq:0,type:"event",event:t,body:r}}function sGt(t,r,a,u){const h=na(Ao(a)?a:a.projects,p=>u(p,t));return!Ao(a)&&a.symLinkedProjects&&a.symLinkedProjects.forEach((p,g)=>{const C=r(g);h.push(...Rr(p,b=>u(b,C)))}),Wb(h,Hw)}function Rpe(t){return Xse(({textSpan:r})=>r.start+100003*r.length,Qfe(t))}function oGt(t,r,a,u,h,p,g){const C=Vht(t,r,a,!0,(E,N)=>E.getLanguageService().findRenameLocations(N.fileName,N.pos,u,h,p),(E,N)=>N(N5(E)));if(Ao(C))return C;const b=[],T=Rpe(g);return C.forEach((E,N)=>{for(const R of E)!T.has(R)&&!Fpe(N5(R),N)&&(b.push(R),T.add(R))}),b}function aGt(t,r,a){const u=t.getLanguageService().getDefinitionAtPosition(r.fileName,r.pos,!1,a),h=u&&Ra(u);return h&&!h.isLocal?{fileName:h.fileName,pos:h.textSpan.start}:void 0}function cGt(t,r,a,u,h){var p,g;const C=Vht(t,r,a,!1,(N,R)=>(h.info(`Finding references to ${R.fileName} position ${R.pos} in project ${N.getProjectName()}`),N.getLanguageService().findReferences(R.fileName,R.pos)),(N,R)=>{R(N5(N.definition));for(const F of N.references)R(N5(F))});if(Ao(C))return C;const b=C.get(r);if(((g=(p=b==null?void 0:b[0])==null?void 0:p.references[0])==null?void 0:g.isDefinition)===void 0)C.forEach(N=>{for(const R of N)for(const F of R.references)delete F.isDefinition});else{const N=Rpe(u);for(const F of b)for(const H of F.references)if(H.isDefinition){N.add(H);break}const R=new Set;for(;;){let F=!1;if(C.forEach((H,U)=>{if(R.has(U))return;U.getLanguageService().updateIsDefinitionOfReferencedSymbols(H,N)&&(R.add(U),F=!0)}),!F)break}C.forEach((F,H)=>{if(!R.has(H))for(const U of F)for(const q of U.references)q.isDefinition=!1})}const T=[],E=Rpe(u);return C.forEach((N,R)=>{for(const F of N){const H=Fpe(N5(F.definition),R),U=H===void 0?F.definition:{...F.definition,textSpan:Ff(H.pos,F.definition.textSpan.length),fileName:H.fileName,contextSpan:dGt(F.definition,R)};let q=Fe(T,X=>Xfe(X.definition,U,u));q||(q={definition:U,references:[]},T.push(q));for(const X of F.references)!E.has(X)&&!Fpe(N5(X),R)&&(E.add(X),q.references.push(X))}}),T.filter(N=>N.references.length!==0)}function aRe(t,r,a){for(const u of Ao(t)?t:t.projects)a(u,r);!Ao(t)&&t.symLinkedProjects&&t.symLinkedProjects.forEach((u,h)=>{for(const p of u)a(p,h)})}function Vht(t,r,a,u,h,p){const g=new Map,C=JR();C.enqueue({project:r,location:a}),aRe(t,a.fileName,(U,q)=>{const X={fileName:q,pos:a.pos};C.enqueue({project:U,location:X})});const b=r.projectService,T=r.getCancellationToken(),E=aGt(r,a,u),N=Bh(()=>r.isSourceOfProjectReferenceRedirect(E.fileName)?E:r.getLanguageService().getSourceMapper().tryGetGeneratedPosition(E)),R=Bh(()=>r.isSourceOfProjectReferenceRedirect(E.fileName)?E:r.getLanguageService().getSourceMapper().tryGetSourcePosition(E)),F=new Set;e:for(;!C.isEmpty();){for(;!C.isEmpty();){if(T.isCancellationRequested())break e;const{project:U,location:q}=C.dequeue();if(g.has(U)||Hht(U,q)||(Ym(U),!U.containsFile(Jl(q.fileName))))continue;const X=H(U,q);g.set(U,X??Vd),F.add(uGt(U))}E&&(b.loadAncestorProjectTree(F),b.forEachEnabledProject(U=>{if(T.isCancellationRequested()||g.has(U))return;const q=lGt(E,U,N,R);q&&C.enqueue({project:U,location:q})}))}if(g.size===1)return D6(g.values());return g;function H(U,q){const X=h(U,q);if(X){for(const Z of X)p(Z,Q=>{const re=b.getOriginalLocationEnsuringConfiguredProject(U,Q);if(!re)return;const oe=b.getScriptInfo(re.fileName);for(const de of oe.containingProjects)!de.isOrphan()&&!g.has(de)&&C.enqueue({project:de,location:re});const pe=b.getSymlinkedProjects(oe);pe&&pe.forEach((de,ue)=>{for(const Ie of de)!Ie.isOrphan()&&!g.has(Ie)&&C.enqueue({project:Ie,location:{fileName:ue,pos:re.pos}})})});return X}}}function lGt(t,r,a,u){if(r.containsFile(Jl(t.fileName))&&!Hht(r,t))return t;const h=a();if(h&&r.containsFile(Jl(h.fileName)))return h;const p=u();return p&&r.containsFile(Jl(p.fileName))?p:void 0}function Hht(t,r){if(!r)return!1;const a=t.getLanguageService().getProgram();if(!a)return!1;const u=a.getSourceFile(r.fileName);return!!u&&u.resolvedPath!==u.path&&u.resolvedPath!==t.toPath(r.fileName)}function uGt(t){return LS(t)?t.canonicalConfigFilePath:t.getProjectName()}function N5({fileName:t,textSpan:r}){return{fileName:t,pos:r.start}}function Fpe(t,r){return u5(t,r.getSourceMapper(),a=>r.projectService.fileExists(a))}function jht(t,r){return AZ(t,r.getSourceMapper(),a=>r.projectService.fileExists(a))}function dGt(t,r){return Yfe(t,r.getSourceMapper(),a=>r.projectService.fileExists(a))}var zht=["openExternalProject","openExternalProjects","closeExternalProject","synchronizeProjectList","emit-output","compileOnSaveAffectedFileList","compileOnSaveEmitFile","compilerOptionsDiagnostics-full","encodedSemanticClassifications-full","semanticDiagnosticsSync","suggestionDiagnosticsSync","geterrForProject","reload","reloadProjects","getCodeFixes","getCodeFixes-full","getCombinedCodeFix","getCombinedCodeFix-full","applyCodeActionCommand","getSupportedCodeFixes","getApplicableRefactors","getMoveToRefactoringFileSuggestions","getEditsForRefactor","getEditsForRefactor-full","organizeImports","organizeImports-full","getEditsForFileRename","getEditsForFileRename-full","prepareCallHierarchy","provideCallHierarchyIncomingCalls","provideCallHierarchyOutgoingCalls","getPasteEdits"],fGt=[...zht,"definition","definition-full","definitionAndBoundSpan","definitionAndBoundSpan-full","typeDefinition","implementation","implementation-full","references","references-full","rename","renameLocations-full","rename-full","quickinfo","quickinfo-full","completionInfo","completions","completions-full","completionEntryDetails","completionEntryDetails-full","signatureHelp","signatureHelp-full","navto","navto-full","documentHighlights","documentHighlights-full"],Uht=class j1e{constructor(r){this.changeSeq=0,this.regionDiagLineCountThreshold=500,this.handlers=new Map(Object.entries({status:()=>{const p={version:v};return this.requiredResponse(p)},openExternalProject:p=>(this.projectService.openExternalProject(p.arguments,!0),this.requiredResponse(!0)),openExternalProjects:p=>(this.projectService.openExternalProjects(p.arguments.projects),this.requiredResponse(!0)),closeExternalProject:p=>(this.projectService.closeExternalProject(p.arguments.projectFileName,!0),this.requiredResponse(!0)),synchronizeProjectList:p=>{const g=this.projectService.synchronizeProjectList(p.arguments.knownProjects,p.arguments.includeProjectReferenceRedirectInfo);if(!g.some(b=>b.projectErrors&&b.projectErrors.length!==0))return this.requiredResponse(g);const C=qt(g,b=>!b.projectErrors||b.projectErrors.length===0?b:{info:b.info,changes:b.changes,files:b.files,projectErrors:this.convertToDiagnosticsWithLinePosition(b.projectErrors,void 0)});return this.requiredResponse(C)},updateOpen:p=>(this.changeSeq++,this.projectService.applyChangesInOpenFiles(p.arguments.openFiles&&ms(p.arguments.openFiles,g=>({fileName:g.file,content:g.fileContent,scriptKind:g.scriptKindName,projectRootPath:g.projectRootPath})),p.arguments.changedFiles&&ms(p.arguments.changedFiles,g=>({fileName:g.fileName,changes:ld(Bw(g.textChanges),C=>{const b=j.checkDefined(this.projectService.getScriptInfo(g.fileName)),T=b.lineOffsetToPosition(C.start.line,C.start.offset),E=b.lineOffsetToPosition(C.end.line,C.end.offset);return T>=0?{span:{start:T,length:E-T},newText:C.newText}:void 0})})),p.arguments.closedFiles),this.requiredResponse(!0)),applyChangedToOpenFiles:p=>(this.changeSeq++,this.projectService.applyChangesInOpenFiles(p.arguments.openFiles,p.arguments.changedFiles&&ms(p.arguments.changedFiles,g=>({fileName:g.fileName,changes:Bw(g.changes)})),p.arguments.closedFiles),this.requiredResponse(!0)),exit:()=>(this.exit(),this.notRequired(void 0)),definition:p=>this.requiredResponse(this.getDefinition(p.arguments,!0)),"definition-full":p=>this.requiredResponse(this.getDefinition(p.arguments,!1)),definitionAndBoundSpan:p=>this.requiredResponse(this.getDefinitionAndBoundSpan(p.arguments,!0)),"definitionAndBoundSpan-full":p=>this.requiredResponse(this.getDefinitionAndBoundSpan(p.arguments,!1)),findSourceDefinition:p=>this.requiredResponse(this.findSourceDefinition(p.arguments)),"emit-output":p=>this.requiredResponse(this.getEmitOutput(p.arguments)),typeDefinition:p=>this.requiredResponse(this.getTypeDefinition(p.arguments)),implementation:p=>this.requiredResponse(this.getImplementation(p.arguments,!0)),"implementation-full":p=>this.requiredResponse(this.getImplementation(p.arguments,!1)),references:p=>this.requiredResponse(this.getReferences(p.arguments,!0)),"references-full":p=>this.requiredResponse(this.getReferences(p.arguments,!1)),rename:p=>this.requiredResponse(this.getRenameLocations(p.arguments,!0)),"renameLocations-full":p=>this.requiredResponse(this.getRenameLocations(p.arguments,!1)),"rename-full":p=>this.requiredResponse(this.getRenameInfo(p.arguments)),open:p=>(this.openClientFile(Jl(p.arguments.file),p.arguments.fileContent,Npe(p.arguments.scriptKindName),p.arguments.projectRootPath?Jl(p.arguments.projectRootPath):void 0),this.notRequired(p)),quickinfo:p=>this.requiredResponse(this.getQuickInfoWorker(p.arguments,!0)),"quickinfo-full":p=>this.requiredResponse(this.getQuickInfoWorker(p.arguments,!1)),getOutliningSpans:p=>this.requiredResponse(this.getOutliningSpans(p.arguments,!0)),outliningSpans:p=>this.requiredResponse(this.getOutliningSpans(p.arguments,!1)),todoComments:p=>this.requiredResponse(this.getTodoComments(p.arguments)),indentation:p=>this.requiredResponse(this.getIndentation(p.arguments)),nameOrDottedNameSpan:p=>this.requiredResponse(this.getNameOrDottedNameSpan(p.arguments)),breakpointStatement:p=>this.requiredResponse(this.getBreakpointStatement(p.arguments)),braceCompletion:p=>this.requiredResponse(this.isValidBraceCompletion(p.arguments)),docCommentTemplate:p=>this.requiredResponse(this.getDocCommentTemplate(p.arguments)),getSpanOfEnclosingComment:p=>this.requiredResponse(this.getSpanOfEnclosingComment(p.arguments)),fileReferences:p=>this.requiredResponse(this.getFileReferences(p.arguments,!0)),"fileReferences-full":p=>this.requiredResponse(this.getFileReferences(p.arguments,!1)),format:p=>this.requiredResponse(this.getFormattingEditsForRange(p.arguments)),formatonkey:p=>this.requiredResponse(this.getFormattingEditsAfterKeystroke(p.arguments)),"format-full":p=>this.requiredResponse(this.getFormattingEditsForDocumentFull(p.arguments)),"formatonkey-full":p=>this.requiredResponse(this.getFormattingEditsAfterKeystrokeFull(p.arguments)),"formatRange-full":p=>this.requiredResponse(this.getFormattingEditsForRangeFull(p.arguments)),completionInfo:p=>this.requiredResponse(this.getCompletions(p.arguments,"completionInfo")),completions:p=>this.requiredResponse(this.getCompletions(p.arguments,"completions")),"completions-full":p=>this.requiredResponse(this.getCompletions(p.arguments,"completions-full")),completionEntryDetails:p=>this.requiredResponse(this.getCompletionEntryDetails(p.arguments,!1)),"completionEntryDetails-full":p=>this.requiredResponse(this.getCompletionEntryDetails(p.arguments,!0)),compileOnSaveAffectedFileList:p=>this.requiredResponse(this.getCompileOnSaveAffectedFileList(p.arguments)),compileOnSaveEmitFile:p=>this.requiredResponse(this.emitFile(p.arguments)),signatureHelp:p=>this.requiredResponse(this.getSignatureHelpItems(p.arguments,!0)),"signatureHelp-full":p=>this.requiredResponse(this.getSignatureHelpItems(p.arguments,!1)),"compilerOptionsDiagnostics-full":p=>this.requiredResponse(this.getCompilerOptionsDiagnostics(p.arguments)),"encodedSyntacticClassifications-full":p=>this.requiredResponse(this.getEncodedSyntacticClassifications(p.arguments)),"encodedSemanticClassifications-full":p=>this.requiredResponse(this.getEncodedSemanticClassifications(p.arguments)),cleanup:()=>(this.cleanup(),this.requiredResponse(!0)),semanticDiagnosticsSync:p=>this.requiredResponse(this.getSemanticDiagnosticsSync(p.arguments)),syntacticDiagnosticsSync:p=>this.requiredResponse(this.getSyntacticDiagnosticsSync(p.arguments)),suggestionDiagnosticsSync:p=>this.requiredResponse(this.getSuggestionDiagnosticsSync(p.arguments)),geterr:p=>(this.errorCheck.startNew(g=>this.getDiagnostics(g,p.arguments.delay,p.arguments.files)),this.notRequired(void 0)),geterrForProject:p=>(this.errorCheck.startNew(g=>this.getDiagnosticsForProject(g,p.arguments.delay,p.arguments.file)),this.notRequired(void 0)),change:p=>(this.change(p.arguments),this.notRequired(p)),configure:p=>(this.projectService.setHostConfiguration(p.arguments),this.notRequired(p)),reload:p=>(this.reload(p.arguments),this.requiredResponse({reloadFinished:!0})),saveto:p=>{const g=p.arguments;return this.saveToTmp(g.file,g.tmpfile),this.notRequired(p)},close:p=>{const g=p.arguments;return this.closeClientFile(g.file),this.notRequired(p)},navto:p=>this.requiredResponse(this.getNavigateToItems(p.arguments,!0)),"navto-full":p=>this.requiredResponse(this.getNavigateToItems(p.arguments,!1)),brace:p=>this.requiredResponse(this.getBraceMatching(p.arguments,!0)),"brace-full":p=>this.requiredResponse(this.getBraceMatching(p.arguments,!1)),navbar:p=>this.requiredResponse(this.getNavigationBarItems(p.arguments,!0)),"navbar-full":p=>this.requiredResponse(this.getNavigationBarItems(p.arguments,!1)),navtree:p=>this.requiredResponse(this.getNavigationTree(p.arguments,!0)),"navtree-full":p=>this.requiredResponse(this.getNavigationTree(p.arguments,!1)),documentHighlights:p=>this.requiredResponse(this.getDocumentHighlights(p.arguments,!0)),"documentHighlights-full":p=>this.requiredResponse(this.getDocumentHighlights(p.arguments,!1)),compilerOptionsForInferredProjects:p=>(this.setCompilerOptionsForInferredProjects(p.arguments),this.requiredResponse(!0)),projectInfo:p=>this.requiredResponse(this.getProjectInfo(p.arguments)),reloadProjects:p=>(this.projectService.reloadProjects(),this.notRequired(p)),jsxClosingTag:p=>this.requiredResponse(this.getJsxClosingTag(p.arguments)),linkedEditingRange:p=>this.requiredResponse(this.getLinkedEditingRange(p.arguments)),getCodeFixes:p=>this.requiredResponse(this.getCodeFixes(p.arguments,!0)),"getCodeFixes-full":p=>this.requiredResponse(this.getCodeFixes(p.arguments,!1)),getCombinedCodeFix:p=>this.requiredResponse(this.getCombinedCodeFix(p.arguments,!0)),"getCombinedCodeFix-full":p=>this.requiredResponse(this.getCombinedCodeFix(p.arguments,!1)),applyCodeActionCommand:p=>this.requiredResponse(this.applyCodeActionCommand(p.arguments)),getSupportedCodeFixes:p=>this.requiredResponse(this.getSupportedCodeFixes(p.arguments)),getApplicableRefactors:p=>this.requiredResponse(this.getApplicableRefactors(p.arguments)),getEditsForRefactor:p=>this.requiredResponse(this.getEditsForRefactor(p.arguments,!0)),getMoveToRefactoringFileSuggestions:p=>this.requiredResponse(this.getMoveToRefactoringFileSuggestions(p.arguments)),getPasteEdits:p=>this.requiredResponse(this.getPasteEdits(p.arguments)),"getEditsForRefactor-full":p=>this.requiredResponse(this.getEditsForRefactor(p.arguments,!1)),organizeImports:p=>this.requiredResponse(this.organizeImports(p.arguments,!0)),"organizeImports-full":p=>this.requiredResponse(this.organizeImports(p.arguments,!1)),getEditsForFileRename:p=>this.requiredResponse(this.getEditsForFileRename(p.arguments,!0)),"getEditsForFileRename-full":p=>this.requiredResponse(this.getEditsForFileRename(p.arguments,!1)),configurePlugin:p=>(this.configurePlugin(p.arguments),this.notRequired(p)),selectionRange:p=>this.requiredResponse(this.getSmartSelectionRange(p.arguments,!0)),"selectionRange-full":p=>this.requiredResponse(this.getSmartSelectionRange(p.arguments,!1)),prepareCallHierarchy:p=>this.requiredResponse(this.prepareCallHierarchy(p.arguments)),provideCallHierarchyIncomingCalls:p=>this.requiredResponse(this.provideCallHierarchyIncomingCalls(p.arguments)),provideCallHierarchyOutgoingCalls:p=>this.requiredResponse(this.provideCallHierarchyOutgoingCalls(p.arguments)),toggleLineComment:p=>this.requiredResponse(this.toggleLineComment(p.arguments,!0)),"toggleLineComment-full":p=>this.requiredResponse(this.toggleLineComment(p.arguments,!1)),toggleMultilineComment:p=>this.requiredResponse(this.toggleMultilineComment(p.arguments,!0)),"toggleMultilineComment-full":p=>this.requiredResponse(this.toggleMultilineComment(p.arguments,!1)),commentSelection:p=>this.requiredResponse(this.commentSelection(p.arguments,!0)),"commentSelection-full":p=>this.requiredResponse(this.commentSelection(p.arguments,!1)),uncommentSelection:p=>this.requiredResponse(this.uncommentSelection(p.arguments,!0)),"uncommentSelection-full":p=>this.requiredResponse(this.uncommentSelection(p.arguments,!1)),provideInlayHints:p=>this.requiredResponse(this.provideInlayHints(p.arguments)),mapCode:p=>this.requiredResponse(this.mapCode(p.arguments))})),this.host=r.host,this.cancellationToken=r.cancellationToken,this.typingsInstaller=r.typingsInstaller||qY,this.byteLength=r.byteLength,this.hrtime=r.hrtime,this.logger=r.logger,this.canUseEvents=r.canUseEvents,this.suppressDiagnosticEvents=r.suppressDiagnosticEvents,this.noGetErrOnBackgroundUpdate=r.noGetErrOnBackgroundUpdate;const{throttleWaitMilliseconds:a}=r;this.eventHandler=this.canUseEvents?r.eventHandler||(p=>this.defaultEventHandler(p)):void 0;const u={executeWithRequestId:(p,g,C)=>this.executeWithRequestId(p,g,C),getCurrentRequestId:()=>this.currentRequestId,getPerformanceData:()=>this.performanceData,getServerHost:()=>this.host,logError:(p,g)=>this.logError(p,g),sendRequestCompletedEvent:(p,g)=>this.sendRequestCompletedEvent(p,g),isCancellationRequested:()=>this.cancellationToken.isCancellationRequested()};this.errorCheck=new rGt(u);const h={host:this.host,logger:this.logger,cancellationToken:this.cancellationToken,useSingleInferredProject:r.useSingleInferredProject,useInferredProjectPerProjectRoot:r.useInferredProjectPerProjectRoot,typingsInstaller:this.typingsInstaller,throttleWaitMilliseconds:a,eventHandler:this.eventHandler,suppressDiagnosticEvents:this.suppressDiagnosticEvents,globalPlugins:r.globalPlugins,pluginProbeLocations:r.pluginProbeLocations,allowLocalPluginLoads:r.allowLocalPluginLoads,typesMapLocation:r.typesMapLocation,serverMode:r.serverMode,session:this,canUseWatchEvents:r.canUseWatchEvents,incrementalVerifier:r.incrementalVerifier};switch(this.projectService=new eRe(h),this.projectService.setPerformanceEventHandler(this.performanceEventHandler.bind(this)),this.gcTimer=new EMe(this.host,7e3,this.logger),this.projectService.serverMode){case 0:break;case 1:zht.forEach(p=>this.handlers.set(p,g=>{throw new Error(`Request: ${g.command} not allowed in LanguageServiceMode.PartialSemantic`)}));break;case 2:fGt.forEach(p=>this.handlers.set(p,g=>{throw new Error(`Request: ${g.command} not allowed in LanguageServiceMode.Syntactic`)}));break;default:j.assertNever(this.projectService.serverMode)}}sendRequestCompletedEvent(r,a){this.event({request_seq:r,performanceData:a&&qht(a)},"requestCompleted")}addPerformanceData(r,a){this.performanceData||(this.performanceData={}),this.performanceData[r]=(this.performanceData[r]??0)+a}addDiagnosticsPerformanceData(r,a,u){var h,p;this.performanceData||(this.performanceData={});let g=(h=this.performanceData.diagnosticsDuration)==null?void 0:h.get(r);g||((p=this.performanceData).diagnosticsDuration??(p.diagnosticsDuration=new Map)).set(r,g={}),g[a]=u}performanceEventHandler(r){switch(r.kind){case"UpdateGraph":this.addPerformanceData("updateGraphDurationMs",r.durationMs);break;case"CreatePackageJsonAutoImportProvider":this.addPerformanceData("createAutoImportProviderProgramDurationMs",r.durationMs);break}}defaultEventHandler(r){switch(r.eventName){case zY:this.projectsUpdatedInBackgroundEvent(r.data.openFiles);break;case bpe:this.event({projectName:r.data.project.getProjectName(),reason:r.data.reason},r.eventName);break;case Cpe:this.event({projectName:r.data.project.getProjectName()},r.eventName);break;case Spe:case Tpe:case Dpe:case Epe:this.event(r.data,r.eventName);break;case wpe:this.event({triggerFile:r.data.triggerFile,configFile:r.data.configFileName,diagnostics:qt(r.data.diagnostics,a=>pz(a,!0))},r.eventName);break;case xpe:{this.event({projectName:r.data.project.getProjectName(),languageServiceEnabled:r.data.languageServiceEnabled},r.eventName);break}case kpe:{this.event({telemetryEventName:r.eventName,payload:r.data},"telemetry");break}}}projectsUpdatedInBackgroundEvent(r){this.projectService.logger.info(`got projects updated in background ${r}`),r.length&&(!this.suppressDiagnosticEvents&&!this.noGetErrOnBackgroundUpdate&&(this.projectService.logger.info(`Queueing diagnostics update for ${r}`),this.errorCheck.startNew(a=>this.updateErrorCheck(a,r,100,!0))),this.event({openFiles:r},zY))}logError(r,a){this.logErrorWorker(r,a)}logErrorWorker(r,a,u){let h="Exception on executing command "+a;if(r.message&&(h+=`:
+`+n5(r.message),r.stack&&(h+=`
+`+n5(r.stack))),this.logger.hasLevel(3)){if(u)try{const{file:p,project:g}=this.getFileAndProject(u),C=g.getScriptInfoForNormalizedPath(p);if(C){const b=uA(C.getSnapshot());h+=`
+
+File text of ${u.file}:${n5(b)}
+`}}catch{}if(r.ProgramFiles){h+=`
+
+Program files: ${JSON.stringify(r.ProgramFiles)}
+`,h+=`
+
+Projects::
+`;let p=0;const g=C=>{h+=`
+Project '${C.projectName}' (${uz[C.projectKind]}) ${p}
+`,h+=C.filesToString(!0),h+=`
+-----------------------------------------------
+`,p++};this.projectService.externalProjects.forEach(g),this.projectService.configuredProjects.forEach(g),this.projectService.inferredProjects.forEach(g)}}this.logger.msg(h,"Err")}send(r){if(r.type==="event"&&!this.canUseEvents){this.logger.hasLevel(3)&&this.logger.info(`Session does not support events: ignored event: ${p2(r)}`);return}this.writeMessage(r)}writeMessage(r){const a=sRe(r,this.logger,this.byteLength,this.host.newLine);this.host.write(a)}event(r,a){this.send(oRe(a,r))}doOutput(r,a,u,h,p,g){const C={seq:0,type:"response",command:a,request_seq:u,success:h,performanceData:p&&qht(p)};if(h){let b;if(Ao(r))C.body=r,b=r.metadata,delete r.metadata;else if(typeof r=="object")if(r.metadata){const{metadata:T,...E}=r;C.body=E,b=T}else C.body=r;else C.body=r;b&&(C.metadata=b)}else j.assert(r===void 0);g&&(C.message=g),this.send(C)}semanticCheck(r,a){var u,h;const p=sl();(u=Zn)==null||u.push(Zn.Phase.Session,"semanticCheck",{file:r,configFilePath:a.canonicalConfigFilePath});const g=Fht(a,r)?Vd:a.getLanguageService().getSemanticDiagnostics(r).filter(C=>!!C.file);this.sendDiagnosticsEvent(r,a,g,"semanticDiag",p),(h=Zn)==null||h.pop()}syntacticCheck(r,a){var u,h;const p=sl();(u=Zn)==null||u.push(Zn.Phase.Session,"syntacticCheck",{file:r,configFilePath:a.canonicalConfigFilePath}),this.sendDiagnosticsEvent(r,a,a.getLanguageService().getSyntacticDiagnostics(r),"syntaxDiag",p),(h=Zn)==null||h.pop()}suggestionCheck(r,a){var u,h;const p=sl();(u=Zn)==null||u.push(Zn.Phase.Session,"suggestionCheck",{file:r,configFilePath:a.canonicalConfigFilePath}),this.sendDiagnosticsEvent(r,a,a.getLanguageService().getSuggestionDiagnostics(r),"suggestionDiag",p),(h=Zn)==null||h.pop()}regionSemanticCheck(r,a,u){var h,p,g;const C=sl();(h=Zn)==null||h.push(Zn.Phase.Session,"regionSemanticCheck",{file:r,configFilePath:a.canonicalConfigFilePath});let b;if(!this.shouldDoRegionCheck(r)||!(b=a.getLanguageService().getRegionSemanticDiagnostics(r,u))){(p=Zn)==null||p.pop();return}this.sendDiagnosticsEvent(r,a,b.diagnostics,"regionSemanticDiag",C,b.spans),(g=Zn)==null||g.pop()}shouldDoRegionCheck(r){var a;const u=(a=this.projectService.getScriptInfoForNormalizedPath(r))==null?void 0:a.textStorage.getLineInfo().getLineCount();return!!(u&&u>=this.regionDiagLineCountThreshold)}sendDiagnosticsEvent(r,a,u,h,p,g){try{const C=j.checkDefined(a.getScriptInfo(r)),b=sl()-p,T={file:r,diagnostics:u.map(E=>Bht(r,a,E)),spans:g==null?void 0:g.map(E=>X1(E,C))};this.event(T,h),this.addDiagnosticsPerformanceData(r,h,b)}catch(C){this.logError(C,h)}}updateErrorCheck(r,a,u,h=!0){if(a.length===0)return;j.assert(!this.suppressDiagnosticEvents);const p=this.changeSeq,g=Math.min(u,200);let C=0;const b=()=>{if(C++,a.length>C)return r.delay("checkOne",g,E)},T=(N,R)=>{if(this.semanticCheck(N,R),this.changeSeq===p){if(this.getPreferences(N).disableSuggestions)return b();r.immediate("suggestionCheck",()=>{this.suggestionCheck(N,R),b()})}},E=()=>{if(this.changeSeq!==p)return;let N,R=a[C];if(Oo(R)?R=this.toPendingErrorCheck(R):"ranges"in R&&(N=R.ranges,R=this.toPendingErrorCheck(R.file)),!R)return b();const{fileName:F,project:H}=R;if(Ym(H),!!H.containsFile(F,h)&&(this.syntacticCheck(F,H),this.changeSeq===p)){if(H.projectService.serverMode!==0)return b();if(N)return r.immediate("regionSemanticCheck",()=>{const U=this.projectService.getScriptInfoForNormalizedPath(F);U&&this.regionSemanticCheck(F,H,N.map(q=>this.getRange({file:F,...q},U))),this.changeSeq===p&&r.immediate("semanticCheck",()=>T(F,H))});r.immediate("semanticCheck",()=>T(F,H))}};a.length>C&&this.changeSeq===p&&r.delay("checkOne",u,E)}cleanProjects(r,a){if(a){this.logger.info(`cleaning ${r}`);for(const u of a)u.getLanguageService(!1).cleanupSemanticCache(),u.cleanupProgram()}}cleanup(){this.cleanProjects("inferred projects",this.projectService.inferredProjects),this.cleanProjects("configured projects",as(this.projectService.configuredProjects.values())),this.cleanProjects("external projects",this.projectService.externalProjects),this.host.gc&&(this.logger.info("host.gc()"),this.host.gc())}getEncodedSyntacticClassifications(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r);return u.getEncodedSyntacticClassifications(a,r)}getEncodedSemanticClassifications(r){const{file:a,project:u}=this.getFileAndProject(r),h=r.format==="2020"?"2020":"original";return u.getLanguageService().getEncodedSemanticClassifications(a,r,h)}getProject(r){return r===void 0?void 0:this.projectService.findProject(r)}getConfigFileAndProject(r){const a=this.getProject(r.projectFileName),u=Jl(r.file);return{configFile:a&&a.hasConfigFile(u)?u:void 0,project:a}}getConfigFileDiagnostics(r,a,u){const h=a.getAllProjectErrors(),p=a.getLanguageService().getCompilerOptionsDiagnostics(),g=$t(Js(h,p),C=>!!C.file&&C.file.fileName===r);return u?this.convertToDiagnosticsWithLinePositionFromDiagnosticFile(g):qt(g,C=>pz(C,!1))}convertToDiagnosticsWithLinePositionFromDiagnosticFile(r){return r.map(a=>({message:$1(a.messageText,this.host.newLine),start:a.start,length:a.length,category:nI(a),code:a.code,source:a.source,startLocation:a.file&&mO(Ia(a.file,a.start)),endLocation:a.file&&mO(Ia(a.file,a.start+a.length)),reportsUnnecessary:a.reportsUnnecessary,reportsDeprecated:a.reportsDeprecated,relatedInformation:qt(a.relatedInformation,Mpe)}))}getCompilerOptionsDiagnostics(r){const a=this.getProject(r.projectFileName);return this.convertToDiagnosticsWithLinePosition($t(a.getLanguageService().getCompilerOptionsDiagnostics(),u=>!u.file),void 0)}convertToDiagnosticsWithLinePosition(r,a){return r.map(u=>({message:$1(u.messageText,this.host.newLine),start:u.start,length:u.length,category:nI(u),code:u.code,source:u.source,startLocation:a&&a.positionToLineOffset(u.start),endLocation:a&&a.positionToLineOffset(u.start+u.length),reportsUnnecessary:u.reportsUnnecessary,reportsDeprecated:u.reportsDeprecated,relatedInformation:qt(u.relatedInformation,Mpe)}))}getDiagnosticsWorker(r,a,u,h){const{project:p,file:g}=this.getFileAndProject(r);if(a&&Fht(p,g))return Vd;const C=p.getScriptInfoForNormalizedPath(g),b=u(p,g);return h?this.convertToDiagnosticsWithLinePosition(b,C):b.map(T=>Bht(g,p,T))}getDefinition(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=this.getPositionInFile(r,u),g=this.mapDefinitionInfoLocations(h.getLanguageService().getDefinitionAtPosition(u,p)||Vd,h);return a?this.mapDefinitionInfo(g,h):g.map(j1e.mapToOriginalLocation)}mapDefinitionInfoLocations(r,a){return r.map(u=>{const h=jht(u,a);return h?{...h,containerKind:u.containerKind,containerName:u.containerName,kind:u.kind,name:u.name,failedAliasResolution:u.failedAliasResolution,...u.unverified&&{unverified:u.unverified}}:u})}getDefinitionAndBoundSpan(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=this.getPositionInFile(r,u),g=j.checkDefined(h.getScriptInfo(u)),C=h.getLanguageService().getDefinitionAndBoundSpan(u,p);if(!C||!C.definitions)return{definitions:Vd,textSpan:void 0};const b=this.mapDefinitionInfoLocations(C.definitions,h),{textSpan:T}=C;return a?{definitions:this.mapDefinitionInfo(b,h),textSpan:X1(T,g)}:{definitions:b.map(j1e.mapToOriginalLocation),textSpan:T}}findSourceDefinition(r){var a;const{file:u,project:h}=this.getFileAndProject(r),p=this.getPositionInFile(r,u),g=h.getLanguageService().getDefinitionAtPosition(u,p);let C=this.mapDefinitionInfoLocations(g||Vd,h).slice();if(this.projectService.serverMode===0&&(!Ft(C,F=>Jl(F.fileName)!==u&&!F.isAmbient)||Ft(C,F=>!!F.failedAliasResolution))){const F=Xse(X=>X.textSpan.start,Qfe(this.host.useCaseSensitiveFileNames));C==null||C.forEach(X=>F.add(X));const H=h.getNoDtsResolutionProject(u),U=H.getLanguageService(),q=(a=U.getDefinitionAtPosition(u,p,!0,!1))==null?void 0:a.filter(X=>Jl(X.fileName)!==u);if(Ft(q))for(const X of q){if(X.unverified){const Z=N(X,h.getLanguageService().getProgram(),U.getProgram());if(Ft(Z)){for(const Q of Z)F.add(Q);continue}}F.add(X)}else{const X=C.filter(Z=>Jl(Z.fileName)!==u&&Z.isAmbient);for(const Z of Ft(X)?X:E()){const Q=T(Z.fileName,u,H);if(!Q)continue;const re=this.projectService.getOrCreateScriptInfoNotOpenedByClient(Q,H.currentDirectory,H.directoryStructureHost,!1);if(!re)continue;H.containsScriptInfo(re)||(H.addRoot(re),H.updateGraph());const oe=U.getProgram(),pe=j.checkDefined(oe.getSourceFile(Q));for(const de of R(Z.name,pe,oe))F.add(de)}}C=as(F.values())}return C=C.filter(F=>!F.isAmbient&&!F.failedAliasResolution),this.mapDefinitionInfo(C,h);function T(F,H,U){var q,X,Z;const Q=iX(F);if(Q&&F.lastIndexOf(Xv)===Q.topLevelNodeModulesIndex){const re=F.substring(0,Q.packageRootIndex),oe=(q=h.getModuleResolutionCache())==null?void 0:q.getPackageJsonInfoCache(),pe=h.getCompilationSettings(),de=V7(wo(re,h.getCurrentDirectory()),W7(oe,h,pe));if(!de)return;const ue=yue(de,{moduleResolution:2},h,h.getModuleResolutionCache()),Ie=F.substring(Q.topLevelPackageNameIndex+1,Q.packageRootIndex),we=H7(FH(Ie)),he=h.toPath(F);if(ue&&Ft(ue,Ae=>h.toPath(Ae)===he))return(X=U.resolutionCache.resolveSingleModuleNameWithoutWatching(we,H).resolvedModule)==null?void 0:X.resolvedFileName;{const Ae=F.substring(Q.packageRootIndex+1),ke=`${we}/${s_(Ae)}`;return(Z=U.resolutionCache.resolveSingleModuleNameWithoutWatching(ke,H).resolvedModule)==null?void 0:Z.resolvedFileName}}}function E(){const F=h.getLanguageService(),H=F.getProgram(),U=R_(H.getSourceFile(u),p);return(Bc(U)||ot(U))&&Sl(U.parent)&&CDe(U,q=>{var X;if(q===U)return;const Z=(X=F.getDefinitionAtPosition(u,q.getStart(),!0,!1))==null?void 0:X.filter(Q=>Jl(Q.fileName)!==u&&Q.isAmbient).map(Q=>({fileName:Q.fileName,name:Lg(U)}));if(Ft(Z))return Z})||Vd}function N(F,H,U){var q;const X=U.getSourceFile(F.fileName);if(!X)return;const Z=R_(H.getSourceFile(u),p),Q=H.getTypeChecker().getSymbolAtLocation(Z),re=Q&&ql(Q,276);if(!re)return;const oe=((q=re.propertyName)==null?void 0:q.text)||re.name.text;return R(oe,X,U)}function R(F,H,U){const q=kl.Core.getTopMostDeclarationNamesInFile(F,H);return es(q,X=>{const Z=U.getTypeChecker().getSymbolAtLocation(X),Q=R8(X);if(Z&&Q)return uO.createDefinitionInfo(Q,U.getTypeChecker(),Z,Q,!0)})}}getEmitOutput(r){const{file:a,project:u}=this.getFileAndProject(r);if(!u.shouldEmitFile(u.getScriptInfo(a)))return{emitSkipped:!0,outputFiles:[],diagnostics:[]};const h=u.getLanguageService().getEmitOutput(a);return r.richResponse?{...h,diagnostics:r.includeLinePosition?this.convertToDiagnosticsWithLinePositionFromDiagnosticFile(h.diagnostics):h.diagnostics.map(p=>pz(p,!0))}:h}mapJSDocTagInfo(r,a,u){return r?r.map(h=>{var p;return{...h,text:u?this.mapDisplayParts(h.text,a):(p=h.text)==null?void 0:p.map(g=>g.text).join("")}}):[]}mapDisplayParts(r,a){return r?r.map(u=>u.kind!=="linkName"?u:{...u,target:this.toFileSpan(u.target.fileName,u.target.textSpan,a)}):[]}mapSignatureHelpItems(r,a,u){return r.map(h=>({...h,documentation:this.mapDisplayParts(h.documentation,a),parameters:h.parameters.map(p=>({...p,documentation:this.mapDisplayParts(p.documentation,a)})),tags:this.mapJSDocTagInfo(h.tags,a,u)}))}mapDefinitionInfo(r,a){return r.map(u=>({...this.toFileSpanWithContext(u.fileName,u.textSpan,u.contextSpan,a),...u.unverified&&{unverified:u.unverified}}))}static mapToOriginalLocation(r){return r.originalFileName?(j.assert(r.originalTextSpan!==void 0,"originalTextSpan should be present if originalFileName is"),{...r,fileName:r.originalFileName,textSpan:r.originalTextSpan,targetFileName:r.fileName,targetTextSpan:r.textSpan,contextSpan:r.originalContextSpan,targetContextSpan:r.contextSpan}):r}toFileSpan(r,a,u){const h=u.getLanguageService(),p=h.toLineColumnOffset(r,a.start),g=h.toLineColumnOffset(r,au(a));return{file:r,start:{line:p.line+1,offset:p.character+1},end:{line:g.line+1,offset:g.character+1}}}toFileSpanWithContext(r,a,u,h){const p=this.toFileSpan(r,a,h),g=u&&this.toFileSpan(r,u,h);return g?{...p,contextStart:g.start,contextEnd:g.end}:p}getTypeDefinition(r){const{file:a,project:u}=this.getFileAndProject(r),h=this.getPositionInFile(r,a),p=this.mapDefinitionInfoLocations(u.getLanguageService().getTypeDefinitionAtPosition(a,h)||Vd,u);return this.mapDefinitionInfo(p,u)}mapImplementationLocations(r,a){return r.map(u=>{const h=jht(u,a);return h?{...h,kind:u.kind,displayParts:u.displayParts}:u})}getImplementation(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=this.getPositionInFile(r,u),g=this.mapImplementationLocations(h.getLanguageService().getImplementationAtPosition(u,p)||Vd,h);return a?g.map(({fileName:C,textSpan:b,contextSpan:T})=>this.toFileSpanWithContext(C,b,T,h)):g.map(j1e.mapToOriginalLocation)}getSyntacticDiagnosticsSync(r){const{configFile:a}=this.getConfigFileAndProject(r);return a?Vd:this.getDiagnosticsWorker(r,!1,(u,h)=>u.getLanguageService().getSyntacticDiagnostics(h),!!r.includeLinePosition)}getSemanticDiagnosticsSync(r){const{configFile:a,project:u}=this.getConfigFileAndProject(r);return a?this.getConfigFileDiagnostics(a,u,!!r.includeLinePosition):this.getDiagnosticsWorker(r,!0,(h,p)=>h.getLanguageService().getSemanticDiagnostics(p).filter(g=>!!g.file),!!r.includeLinePosition)}getSuggestionDiagnosticsSync(r){const{configFile:a}=this.getConfigFileAndProject(r);return a?Vd:this.getDiagnosticsWorker(r,!0,(u,h)=>u.getLanguageService().getSuggestionDiagnostics(h),!!r.includeLinePosition)}getJsxClosingTag(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.getPositionInFile(r,a),p=u.getJsxClosingTagAtPosition(a,h);return p===void 0?void 0:{newText:p.newText,caretOffset:0}}getLinkedEditingRange(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.getPositionInFile(r,a),p=u.getLinkedEditingRangeAtPosition(a,h),g=this.projectService.getScriptInfoForNormalizedPath(a);if(!(g===void 0||p===void 0))return _Gt(p,g)}getDocumentHighlights(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=this.getPositionInFile(r,u),g=h.getLanguageService().getDocumentHighlights(u,p,r.filesToSearch);return g?a?g.map(({fileName:C,highlightSpans:b})=>{const T=h.getScriptInfo(C);return{file:C,highlightSpans:b.map(({textSpan:E,kind:N,contextSpan:R})=>({...cRe(E,R,T),kind:N}))}}):g:Vd}provideInlayHints(r){const{file:a,project:u}=this.getFileAndProject(r),h=this.projectService.getScriptInfoForNormalizedPath(a);return u.getLanguageService().provideInlayHints(a,r,this.getPreferences(a)).map(g=>{const{position:C,displayParts:b}=g;return{...g,position:h.positionToLineOffset(C),displayParts:b==null?void 0:b.map(({text:T,span:E,file:N})=>{if(E){j.assertIsDefined(N,"Target file should be defined together with its span.");const R=this.projectService.getScriptInfo(N);return{text:T,span:{start:R.positionToLineOffset(E.start),end:R.positionToLineOffset(E.start+E.length),file:N}}}else return{text:T}})}})}mapCode(r){var a;const u=this.getHostFormatOptions(),h=this.getHostPreferences(),{file:p,languageService:g}=this.getFileAndLanguageServiceForSyntacticOperation(r),C=this.projectService.getScriptInfoForNormalizedPath(p),b=(a=r.mapping.focusLocations)==null?void 0:a.map(E=>E.map(N=>{const R=C.lineOffsetToPosition(N.start.line,N.start.offset),F=C.lineOffsetToPosition(N.end.line,N.end.offset);return{start:R,length:F-R}})),T=g.mapCode(p,r.mapping.contents,b,u,h);return this.mapTextChangesToCodeEdits(T)}setCompilerOptionsForInferredProjects(r){this.projectService.setCompilerOptionsForInferredProjects(r.options,r.projectRootPath)}getProjectInfo(r){return this.getProjectInfoWorker(r.file,r.projectFileName,r.needFileNameList,!1)}getProjectInfoWorker(r,a,u,h){const{project:p}=this.getFileAndProjectWorker(r,a);return Ym(p),{configFileName:p.getProjectName(),languageServiceDisabled:!p.languageServiceEnabled,fileNames:u?p.getFileNames(!1,h):void 0}}getRenameInfo(r){const{file:a,project:u}=this.getFileAndProject(r),h=this.getPositionInFile(r,a),p=this.getPreferences(a);return u.getLanguageService().getRenameInfo(a,h,p)}getProjects(r,a,u){let h,p;if(r.projectFileName){const g=this.getProject(r.projectFileName);g&&(h=[g])}else{const g=a?this.projectService.getScriptInfoEnsuringProjectsUptoDate(r.file):this.projectService.getScriptInfo(r.file);if(g)a||this.projectService.ensureDefaultProjectForFile(g);else return u?Vd:(this.projectService.logErrorForScriptInfoNotFound(r.file),cC.ThrowNoProject());h=g.containingProjects,p=this.projectService.getSymlinkedProjects(g)}return h=$t(h,g=>g.languageServiceEnabled&&!g.isOrphan()),!u&&(!h||!h.length)&&!p?(this.projectService.logErrorForScriptInfoNotFound(r.file??r.projectFileName),cC.ThrowNoProject()):p?{projects:h,symLinkedProjects:p}:h}getDefaultProject(r){if(r.projectFileName){const u=this.getProject(r.projectFileName);if(u)return u;if(!r.file)return cC.ThrowNoProject()}return this.projectService.getScriptInfo(r.file).getDefaultProject()}getRenameLocations(r,a){const u=Jl(r.file),h=this.getPositionInFile(r,u),p=this.getProjects(r),g=this.getDefaultProject(r),C=this.getPreferences(u),b=this.mapRenameInfo(g.getLanguageService().getRenameInfo(u,h,C),j.checkDefined(this.projectService.getScriptInfo(u)));if(!b.canRename)return a?{info:b,locs:[]}:[];const T=oGt(p,g,{fileName:r.file,pos:h},!!r.findInStrings,!!r.findInComments,C,this.host.useCaseSensitiveFileNames);return a?{info:b,locs:this.toSpanGroups(T)}:T}mapRenameInfo(r,a){if(r.canRename){const{canRename:u,fileToRename:h,displayName:p,fullDisplayName:g,kind:C,kindModifiers:b,triggerSpan:T}=r;return{canRename:u,fileToRename:h,displayName:p,fullDisplayName:g,kind:C,kindModifiers:b,triggerSpan:X1(T,a)}}else return r}toSpanGroups(r){const a=new Map;for(const{fileName:u,textSpan:h,contextSpan:p,originalContextSpan:g,originalTextSpan:C,originalFileName:b,...T}of r){let E=a.get(u);E||a.set(u,E={file:u,locs:[]});const N=j.checkDefined(this.projectService.getScriptInfo(u));E.locs.push({...cRe(h,p,N),...T})}return as(a.values())}getReferences(r,a){const u=Jl(r.file),h=this.getProjects(r),p=this.getPositionInFile(r,u),g=cGt(h,this.getDefaultProject(r),{fileName:r.file,pos:p},this.host.useCaseSensitiveFileNames,this.logger);if(!a)return g;const C=this.getPreferences(u),b=this.getDefaultProject(r),T=b.getScriptInfoForNormalizedPath(u),E=b.getLanguageService().getQuickInfoAtPosition(u,p),N=E?Wj(E.displayParts):"",R=E&&E.textSpan,F=R?T.positionToLineOffset(R.start).offset:0,H=R?T.getSnapshot().getText(R.start,au(R)):"";return{refs:Rr(g,q=>q.references.map(X=>Jht(this.projectService,X,C))),symbolName:H,symbolStartOffset:F,symbolDisplayString:N}}getFileReferences(r,a){const u=this.getProjects(r),h=r.file,p=this.getPreferences(Jl(h)),g=[],C=Rpe(this.host.useCaseSensitiveFileNames);return aRe(u,void 0,T=>{if(T.getCancellationToken().isCancellationRequested())return;const E=T.getLanguageService().getFileReferences(h);if(E)for(const N of E)C.has(N)||(g.push(N),C.add(N))}),a?{refs:g.map(T=>Jht(this.projectService,T,p)),symbolName:`"${r.file}"`}:g}openClientFile(r,a,u,h){this.projectService.openClientFileWithNormalizedPath(r,a,u,!1,h)}getPosition(r,a){return r.position!==void 0?r.position:a.lineOffsetToPosition(r.line,r.offset)}getPositionInFile(r,a){const u=this.projectService.getScriptInfoForNormalizedPath(a);return this.getPosition(r,u)}getFileAndProject(r){return this.getFileAndProjectWorker(r.file,r.projectFileName)}getFileAndLanguageServiceForSyntacticOperation(r){const{file:a,project:u}=this.getFileAndProject(r);return{file:a,languageService:u.getLanguageService(!1)}}getFileAndProjectWorker(r,a){const u=Jl(r),h=this.getProject(a)||this.projectService.ensureDefaultProjectForFile(u);return{file:u,project:h}}getOutliningSpans(r,a){const{file:u,languageService:h}=this.getFileAndLanguageServiceForSyntacticOperation(r),p=h.getOutliningSpans(u);if(a){const g=this.projectService.getScriptInfoForNormalizedPath(u);return p.map(C=>({textSpan:X1(C.textSpan,g),hintSpan:X1(C.hintSpan,g),bannerText:C.bannerText,autoCollapse:C.autoCollapse,kind:C.kind}))}else return p}getTodoComments(r){const{file:a,project:u}=this.getFileAndProject(r);return u.getLanguageService().getTodoComments(a,r.descriptors)}getDocCommentTemplate(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.getPositionInFile(r,a);return u.getDocCommentTemplateAtPosition(a,h,this.getPreferences(a),this.getFormatOptions(a))}getSpanOfEnclosingComment(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=r.onlyMultiLine,p=this.getPositionInFile(r,a);return u.getSpanOfEnclosingComment(a,p,h)}getIndentation(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.getPositionInFile(r,a),p=r.options?pO(r.options):this.getFormatOptions(a),g=u.getIndentationAtPosition(a,h,p);return{position:h,indentation:g}}getBreakpointStatement(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.getPositionInFile(r,a);return u.getBreakpointStatementAtPosition(a,h)}getNameOrDottedNameSpan(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.getPositionInFile(r,a);return u.getNameOrDottedNameSpan(a,h,h)}isValidBraceCompletion(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.getPositionInFile(r,a);return u.isValidBraceCompletionAtPosition(a,h,r.openingBrace.charCodeAt(0))}getQuickInfoWorker(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=this.projectService.getScriptInfoForNormalizedPath(u),g=h.getLanguageService().getQuickInfoAtPosition(u,this.getPosition(r,p));if(!g)return;const C=!!this.getPreferences(u).displayPartsForJSDoc;if(a){const b=Wj(g.displayParts);return{kind:g.kind,kindModifiers:g.kindModifiers,start:p.positionToLineOffset(g.textSpan.start),end:p.positionToLineOffset(au(g.textSpan)),displayString:b,documentation:C?this.mapDisplayParts(g.documentation,h):Wj(g.documentation),tags:this.mapJSDocTagInfo(g.tags,h,C)}}else return C?g:{...g,tags:this.mapJSDocTagInfo(g.tags,h,!1)}}getFormattingEditsForRange(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.projectService.getScriptInfoForNormalizedPath(a),p=h.lineOffsetToPosition(r.line,r.offset),g=h.lineOffsetToPosition(r.endLine,r.endOffset),C=u.getFormattingEditsForRange(a,p,g,this.getFormatOptions(a));if(C)return C.map(b=>this.convertTextChangeToCodeEdit(b,h))}getFormattingEditsForRangeFull(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=r.options?pO(r.options):this.getFormatOptions(a);return u.getFormattingEditsForRange(a,r.position,r.endPosition,h)}getFormattingEditsForDocumentFull(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=r.options?pO(r.options):this.getFormatOptions(a);return u.getFormattingEditsForDocument(a,h)}getFormattingEditsAfterKeystrokeFull(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=r.options?pO(r.options):this.getFormatOptions(a);return u.getFormattingEditsAfterKeystroke(a,r.position,r.key,h)}getFormattingEditsAfterKeystroke(r){const{file:a,languageService:u}=this.getFileAndLanguageServiceForSyntacticOperation(r),h=this.projectService.getScriptInfoForNormalizedPath(a),p=h.lineOffsetToPosition(r.line,r.offset),g=this.getFormatOptions(a),C=u.getFormattingEditsAfterKeystroke(a,p,r.key,g);if(r.key===`
+`&&(!C||C.length===0||nGt(C,p))){const{lineText:b,absolutePosition:T}=h.textStorage.getAbsolutePositionAndLineText(r.line);if(b&&b.search("\\S")<0){const E=u.getIndentationAtPosition(a,p,g);let N=0,R,F;for(R=0,F=b.length;R<F;R++)if(b.charAt(R)===" ")N++;else if(b.charAt(R)===" ")N+=g.tabSize;else break;if(E!==N){const H=T+R;C.push({span:Fu(T,H),newText:pd.getIndentationString(E,g)})}}}if(C)return C.map(b=>({start:h.positionToLineOffset(b.span.start),end:h.positionToLineOffset(au(b.span)),newText:b.newText?b.newText:""}))}getCompletions(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=this.projectService.getScriptInfoForNormalizedPath(u),g=this.getPosition(r,p),C=h.getLanguageService().getCompletionsAtPosition(u,g,{...qMe(this.getPreferences(u)),triggerCharacter:r.triggerCharacter,triggerKind:r.triggerKind,includeExternalModuleExports:r.includeExternalModuleExports,includeInsertTextCompletions:r.includeInsertTextCompletions},h.projectService.getFormatCodeOptions(u));if(C===void 0)return;if(a==="completions-full")return C;const b=r.prefix||"",T=es(C.entries,N=>{if(C.isMemberCompletion||so(N.name.toLowerCase(),b.toLowerCase())){const R=N.replacementSpan?X1(N.replacementSpan,p):void 0;return{...N,replacementSpan:R,hasAction:N.hasAction||void 0,symbol:void 0}}});return a==="completions"?(C.metadata&&(T.metadata=C.metadata),T):{...C,optionalReplacementSpan:C.optionalReplacementSpan&&X1(C.optionalReplacementSpan,p),entries:T}}getCompletionEntryDetails(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=this.projectService.getScriptInfoForNormalizedPath(u),g=this.getPosition(r,p),C=h.projectService.getFormatCodeOptions(u),b=!!this.getPreferences(u).displayPartsForJSDoc,T=es(r.entryNames,E=>{const{name:N,source:R,data:F}=typeof E=="string"?{name:E,source:void 0,data:void 0}:E;return h.getLanguageService().getCompletionEntryDetails(u,g,N,C,R,this.getPreferences(u),F?ha(F,vGt):void 0)});return a?b?T:T.map(E=>({...E,tags:this.mapJSDocTagInfo(E.tags,h,!1)})):T.map(E=>({...E,codeActions:qt(E.codeActions,N=>this.mapCodeAction(N)),documentation:this.mapDisplayParts(E.documentation,h),tags:this.mapJSDocTagInfo(E.tags,h,b)}))}getCompileOnSaveAffectedFileList(r){const a=this.getProjects(r,!0,!0),u=this.projectService.getScriptInfo(r.file);return u?sGt(u,h=>this.projectService.getScriptInfoForPath(h),a,(h,p)=>{if(!h.compileOnSaveEnabled||!h.languageServiceEnabled||h.isOrphan())return;const g=h.getCompilationSettings();if(!(g.noEmit||df(p.fileName)&&!iGt(g)))return{projectFileName:h.getProjectName(),fileNames:h.getCompileOnSaveAffectedFileList(p),projectUsesOutFile:!!g.outFile}}):Vd}emitFile(r){const{file:a,project:u}=this.getFileAndProject(r);if(u||cC.ThrowNoProject(),!u.languageServiceEnabled)return r.richResponse?{emitSkipped:!0,diagnostics:[]}:!1;const h=u.getScriptInfo(a),{emitSkipped:p,diagnostics:g}=u.emitFile(h,(C,b,T)=>this.host.writeFile(C,b,T));return r.richResponse?{emitSkipped:p,diagnostics:r.includeLinePosition?this.convertToDiagnosticsWithLinePositionFromDiagnosticFile(g):g.map(C=>pz(C,!0))}:!p}getSignatureHelpItems(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=this.projectService.getScriptInfoForNormalizedPath(u),g=this.getPosition(r,p),C=h.getLanguageService().getSignatureHelpItems(u,g,r),b=!!this.getPreferences(u).displayPartsForJSDoc;if(C&&a){const T=C.applicableSpan;return{...C,applicableSpan:{start:p.positionToLineOffset(T.start),end:p.positionToLineOffset(T.start+T.length)},items:this.mapSignatureHelpItems(C.items,h,b)}}else return b||!C?C:{...C,items:C.items.map(T=>({...T,tags:this.mapJSDocTagInfo(T.tags,h,!1)}))}}toPendingErrorCheck(r){const a=Jl(r),u=this.projectService.tryGetDefaultProjectForFile(a);return u&&{fileName:a,project:u}}getDiagnostics(r,a,u){this.suppressDiagnosticEvents||u.length>0&&this.updateErrorCheck(r,u,a)}change(r){const a=this.projectService.getScriptInfo(r.file);j.assert(!!a),a.textStorage.switchToScriptVersionCache();const u=a.lineOffsetToPosition(r.line,r.offset),h=a.lineOffsetToPosition(r.endLine,r.endOffset);u>=0&&(this.changeSeq++,this.projectService.applyChangesToFile(a,Bb({span:{start:u,length:h-u},newText:r.insertString})))}reload(r){const a=Jl(r.file),u=r.tmpfile===void 0?void 0:Jl(r.tmpfile),h=this.projectService.getScriptInfoForNormalizedPath(a);h&&(this.changeSeq++,h.reloadFromFile(u))}saveToTmp(r,a){const u=this.projectService.getScriptInfo(r);u&&u.saveTo(a)}closeClientFile(r){if(!r)return;const a=ca(r);this.projectService.closeClientFile(a)}mapLocationNavigationBarItems(r,a){return qt(r,u=>({text:u.text,kind:u.kind,kindModifiers:u.kindModifiers,spans:u.spans.map(h=>X1(h,a)),childItems:this.mapLocationNavigationBarItems(u.childItems,a),indent:u.indent}))}getNavigationBarItems(r,a){const{file:u,languageService:h}=this.getFileAndLanguageServiceForSyntacticOperation(r),p=h.getNavigationBarItems(u);return p?a?this.mapLocationNavigationBarItems(p,this.projectService.getScriptInfoForNormalizedPath(u)):p:void 0}toLocationNavigationTree(r,a){return{text:r.text,kind:r.kind,kindModifiers:r.kindModifiers,spans:r.spans.map(u=>X1(u,a)),nameSpan:r.nameSpan&&X1(r.nameSpan,a),childItems:qt(r.childItems,u=>this.toLocationNavigationTree(u,a))}}getNavigationTree(r,a){const{file:u,languageService:h}=this.getFileAndLanguageServiceForSyntacticOperation(r),p=h.getNavigationTree(u);return p?a?this.toLocationNavigationTree(p,this.projectService.getScriptInfoForNormalizedPath(u)):p:void 0}getNavigateToItems(r,a){const u=this.getFullNavigateToItems(r);return a?Rr(u,({project:h,navigateToItems:p})=>p.map(g=>{const C=h.getScriptInfo(g.fileName),b={name:g.name,kind:g.kind,kindModifiers:g.kindModifiers,isCaseSensitive:g.isCaseSensitive,matchKind:g.matchKind,file:g.fileName,start:C.positionToLineOffset(g.textSpan.start),end:C.positionToLineOffset(au(g.textSpan))};return g.kindModifiers&&g.kindModifiers!==""&&(b.kindModifiers=g.kindModifiers),g.containerName&&g.containerName.length>0&&(b.containerName=g.containerName),g.containerKind&&g.containerKind.length>0&&(b.containerKind=g.containerKind),b})):Rr(u,({navigateToItems:h})=>h)}getFullNavigateToItems(r){const{currentFileOnly:a,searchValue:u,maxResultCount:h,projectFileName:p}=r;if(a){j.assertIsDefined(r.file);const{file:R,project:F}=this.getFileAndProject(r);return[{project:F,navigateToItems:F.getLanguageService().getNavigateToItems(u,h,R)}]}const g=this.getHostPreferences(),C=[],b=new Map;if(!r.file&&!p)this.projectService.loadAncestorProjectTree(),this.projectService.forEachEnabledProject(R=>T(R));else{const R=this.getProjects(r);aRe(R,void 0,F=>T(F))}return C;function T(R){const F=R.getLanguageService().getNavigateToItems(u,h,void 0,R.isNonTsProject(),g.excludeLibrarySymbolsInNavTo),H=$t(F,U=>E(U)&&!Fpe(N5(U),R));H.length&&C.push({project:R,navigateToItems:H})}function E(R){const F=R.name;if(!b.has(F))return b.set(F,[R]),!0;const H=b.get(F);for(const U of H)if(N(U,R))return!1;return H.push(R),!0}function N(R,F){return R===F?!0:!R||!F?!1:R.containerKind===F.containerKind&&R.containerName===F.containerName&&R.fileName===F.fileName&&R.isCaseSensitive===F.isCaseSensitive&&R.kind===F.kind&&R.kindModifiers===F.kindModifiers&&R.matchKind===F.matchKind&&R.name===F.name&&R.textSpan.start===F.textSpan.start&&R.textSpan.length===F.textSpan.length}}getSupportedCodeFixes(r){if(!r)return n_e();if(r.file){const{file:u,project:h}=this.getFileAndProject(r);return h.getLanguageService().getSupportedCodeFixes(u)}const a=this.getProject(r.projectFileName);return a||cC.ThrowNoProject(),a.getLanguageService().getSupportedCodeFixes()}isLocation(r){return r.line!==void 0}extractPositionOrRange(r,a){let u,h;return this.isLocation(r)?u=p(r):h=this.getRange(r,a),j.checkDefined(u===void 0?h:u);function p(g){return g.position!==void 0?g.position:a.lineOffsetToPosition(g.line,g.offset)}}getRange(r,a){const{startPosition:u,endPosition:h}=this.getStartAndEndPosition(r,a);return{pos:u,end:h}}getApplicableRefactors(r){const{file:a,project:u}=this.getFileAndProject(r),h=u.getScriptInfoForNormalizedPath(a);return u.getLanguageService().getApplicableRefactors(a,this.extractPositionOrRange(r,h),this.getPreferences(a),r.triggerReason,r.kind,r.includeInteractiveActions).map(g=>({...g,actions:g.actions.map(C=>({...C,range:C.range?{start:mO({line:C.range.start.line,character:C.range.start.offset}),end:mO({line:C.range.end.line,character:C.range.end.offset})}:void 0}))}))}getEditsForRefactor(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=h.getScriptInfoForNormalizedPath(u),g=h.getLanguageService().getEditsForRefactor(u,this.getFormatOptions(u),this.extractPositionOrRange(r,p),r.refactor,r.action,this.getPreferences(u),r.interactiveRefactorArguments);if(g===void 0)return{edits:[]};if(a){const{renameFilename:C,renameLocation:b,edits:T}=g;let E;if(C!==void 0&&b!==void 0){const N=h.getScriptInfoForNormalizedPath(Jl(C));E=lRe(uA(N.getSnapshot()),C,b,T)}return{renameLocation:E,renameFilename:C,edits:this.mapTextChangesToCodeEdits(T),notApplicableReason:g.notApplicableReason}}return g}getMoveToRefactoringFileSuggestions(r){const{file:a,project:u}=this.getFileAndProject(r),h=u.getScriptInfoForNormalizedPath(a);return u.getLanguageService().getMoveToRefactoringFileSuggestions(a,this.extractPositionOrRange(r,h),this.getPreferences(a))}getPasteEdits(r){const{file:a,project:u}=this.getFileAndProject(r),h=r.copiedFrom?{file:r.copiedFrom.file,range:r.copiedFrom.spans.map(g=>this.getRange({file:r.copiedFrom.file,startLine:g.start.line,startOffset:g.start.offset,endLine:g.end.line,endOffset:g.end.offset},u.getScriptInfoForNormalizedPath(Jl(r.copiedFrom.file))))}:void 0,p=u.getLanguageService().getPasteEdits({targetFile:a,pastedText:r.pastedText,pasteLocations:r.pasteLocations.map(g=>this.getRange({file:a,startLine:g.start.line,startOffset:g.start.offset,endLine:g.end.line,endOffset:g.end.offset},u.getScriptInfoForNormalizedPath(a))),copiedFrom:h,preferences:this.getPreferences(a)},this.getFormatOptions(a));return p&&this.mapPasteEditsAction(p)}organizeImports(r,a){j.assert(r.scope.type==="file");const{file:u,project:h}=this.getFileAndProject(r.scope.args),p=h.getLanguageService().organizeImports({fileName:u,mode:r.mode??(r.skipDestructiveCodeActions?"SortAndCombine":void 0),type:"file"},this.getFormatOptions(u),this.getPreferences(u));return a?this.mapTextChangesToCodeEdits(p):p}getEditsForFileRename(r,a){const u=Jl(r.oldFilePath),h=Jl(r.newFilePath),p=this.getHostFormatOptions(),g=this.getHostPreferences(),C=new Set,b=[];return this.projectService.loadAncestorProjectTree(),this.projectService.forEachEnabledProject(T=>{const E=T.getLanguageService().getEditsForFileRename(u,h,p,g),N=[];for(const R of E)C.has(R.fileName)||(b.push(R),N.push(R.fileName));for(const R of N)C.add(R)}),a?b.map(T=>this.mapTextChangeToCodeEdit(T)):b}getCodeFixes(r,a){const{file:u,project:h}=this.getFileAndProject(r),p=h.getScriptInfoForNormalizedPath(u),{startPosition:g,endPosition:C}=this.getStartAndEndPosition(r,p);let b;try{b=h.getLanguageService().getCodeFixesAtPosition(u,g,C,r.errorCodes,this.getFormatOptions(u),this.getPreferences(u))}catch(T){const E=h.getLanguageService(),N=[...E.getSyntacticDiagnostics(u),...E.getSemanticDiagnostics(u),...E.getSuggestionDiagnostics(u)].map(F=>PW(g,C-g,F.start,F.length)&&F.code),R=r.errorCodes.find(F=>!N.includes(F));throw R!==void 0&&(T.message=`BADCLIENT: Bad error code, ${R} not found in range ${g}..${C} (found: ${N.join(", ")}); could have caused this error:
+${T.message}`),T}return a?b.map(T=>this.mapCodeFixAction(T)):b}getCombinedCodeFix({scope:r,fixId:a},u){j.assert(r.type==="file");const{file:h,project:p}=this.getFileAndProject(r.args),g=p.getLanguageService().getCombinedCodeFix({type:"file",fileName:h},a,this.getFormatOptions(h),this.getPreferences(h));return u?{changes:this.mapTextChangesToCodeEdits(g.changes),commands:g.commands}:g}applyCodeActionCommand(r){const a=r.command;for(const u of JL(a)){const{file:h,project:p}=this.getFileAndProject(u);p.getLanguageService().applyCodeActionCommand(u,this.getFormatOptions(h)).then(g=>{},g=>{})}return{}}getStartAndEndPosition(r,a){let u,h;return r.startPosition!==void 0?u=r.startPosition:(u=a.lineOffsetToPosition(r.startLine,r.startOffset),r.startPosition=u),r.endPosition!==void 0?h=r.endPosition:(h=a.lineOffsetToPosition(r.endLine,r.endOffset),r.endPosition=h),{startPosition:u,endPosition:h}}mapCodeAction({description:r,changes:a,commands:u}){return{description:r,changes:this.mapTextChangesToCodeEdits(a),commands:u}}mapCodeFixAction({fixName:r,description:a,changes:u,commands:h,fixId:p,fixAllDescription:g}){return{fixName:r,description:a,changes:this.mapTextChangesToCodeEdits(u),commands:h,fixId:p,fixAllDescription:g}}mapPasteEditsAction({edits:r,fixId:a}){return{edits:this.mapTextChangesToCodeEdits(r),fixId:a}}mapTextChangesToCodeEdits(r){return r.map(a=>this.mapTextChangeToCodeEdit(a))}mapTextChangeToCodeEdit(r){const a=this.projectService.getScriptInfoOrConfig(r.fileName);return!!r.isNewFile==!!a&&(a||this.projectService.logErrorForScriptInfoNotFound(r.fileName),j.fail("Expected isNewFile for (only) new files. "+JSON.stringify({isNewFile:!!r.isNewFile,hasScriptInfo:!!a}))),a?{fileName:r.fileName,textChanges:r.textChanges.map(u=>hGt(u,a))}:mGt(r)}convertTextChangeToCodeEdit(r,a){return{start:a.positionToLineOffset(r.span.start),end:a.positionToLineOffset(r.span.start+r.span.length),newText:r.newText?r.newText:""}}getBraceMatching(r,a){const{file:u,languageService:h}=this.getFileAndLanguageServiceForSyntacticOperation(r),p=this.projectService.getScriptInfoForNormalizedPath(u),g=this.getPosition(r,p),C=h.getBraceMatchingAtPosition(u,g);return C?a?C.map(b=>X1(b,p)):C:void 0}getDiagnosticsForProject(r,a,u){if(this.suppressDiagnosticEvents)return;const{fileNames:h,languageServiceDisabled:p}=this.getProjectInfoWorker(u,void 0,!0,!0);if(p)return;const g=h.filter(U=>!U.includes("lib.d.ts"));if(g.length===0)return;const C=[],b=[],T=[],E=[],N=Jl(u),R=this.projectService.ensureDefaultProjectForFile(N);for(const U of g)this.getCanonicalFileName(U)===this.getCanonicalFileName(u)?C.push(U):this.projectService.getScriptInfo(U).isScriptOpen()?b.push(U):df(U)?E.push(U):T.push(U);const H=[...C,...b,...T,...E].map(U=>({fileName:U,project:R}));this.updateErrorCheck(r,H,a,!1)}configurePlugin(r){this.projectService.configurePlugin(r)}getSmartSelectionRange(r,a){const{locations:u}=r,{file:h,languageService:p}=this.getFileAndLanguageServiceForSyntacticOperation(r),g=j.checkDefined(this.projectService.getScriptInfo(h));return qt(u,C=>{const b=this.getPosition(C,g),T=p.getSmartSelectionRange(h,b);return a?this.mapSelectionRange(T,g):T})}toggleLineComment(r,a){const{file:u,languageService:h}=this.getFileAndLanguageServiceForSyntacticOperation(r),p=this.projectService.getScriptInfo(u),g=this.getRange(r,p),C=h.toggleLineComment(u,g);if(a){const b=this.projectService.getScriptInfoForNormalizedPath(u);return C.map(T=>this.convertTextChangeToCodeEdit(T,b))}return C}toggleMultilineComment(r,a){const{file:u,languageService:h}=this.getFileAndLanguageServiceForSyntacticOperation(r),p=this.projectService.getScriptInfoForNormalizedPath(u),g=this.getRange(r,p),C=h.toggleMultilineComment(u,g);if(a){const b=this.projectService.getScriptInfoForNormalizedPath(u);return C.map(T=>this.convertTextChangeToCodeEdit(T,b))}return C}commentSelection(r,a){const{file:u,languageService:h}=this.getFileAndLanguageServiceForSyntacticOperation(r),p=this.projectService.getScriptInfoForNormalizedPath(u),g=this.getRange(r,p),C=h.commentSelection(u,g);if(a){const b=this.projectService.getScriptInfoForNormalizedPath(u);return C.map(T=>this.convertTextChangeToCodeEdit(T,b))}return C}uncommentSelection(r,a){const{file:u,languageService:h}=this.getFileAndLanguageServiceForSyntacticOperation(r),p=this.projectService.getScriptInfoForNormalizedPath(u),g=this.getRange(r,p),C=h.uncommentSelection(u,g);if(a){const b=this.projectService.getScriptInfoForNormalizedPath(u);return C.map(T=>this.convertTextChangeToCodeEdit(T,b))}return C}mapSelectionRange(r,a){const u={textSpan:X1(r.textSpan,a)};return r.parent&&(u.parent=this.mapSelectionRange(r.parent,a)),u}getScriptInfoFromProjectService(r){const a=Jl(r),u=this.projectService.getScriptInfoForNormalizedPath(a);return u||(this.projectService.logErrorForScriptInfoNotFound(a),cC.ThrowNoProject())}toProtocolCallHierarchyItem(r){const a=this.getScriptInfoFromProjectService(r.file);return{name:r.name,kind:r.kind,kindModifiers:r.kindModifiers,file:r.file,containerName:r.containerName,span:X1(r.span,a),selectionSpan:X1(r.selectionSpan,a)}}toProtocolCallHierarchyIncomingCall(r){const a=this.getScriptInfoFromProjectService(r.from.file);return{from:this.toProtocolCallHierarchyItem(r.from),fromSpans:r.fromSpans.map(u=>X1(u,a))}}toProtocolCallHierarchyOutgoingCall(r,a){return{to:this.toProtocolCallHierarchyItem(r.to),fromSpans:r.fromSpans.map(u=>X1(u,a))}}prepareCallHierarchy(r){const{file:a,project:u}=this.getFileAndProject(r),h=this.projectService.getScriptInfoForNormalizedPath(a);if(h){const p=this.getPosition(r,h),g=u.getLanguageService().prepareCallHierarchy(a,p);return g&&_he(g,C=>this.toProtocolCallHierarchyItem(C))}}provideCallHierarchyIncomingCalls(r){const{file:a,project:u}=this.getFileAndProject(r),h=this.getScriptInfoFromProjectService(a);return u.getLanguageService().provideCallHierarchyIncomingCalls(a,this.getPosition(r,h)).map(g=>this.toProtocolCallHierarchyIncomingCall(g))}provideCallHierarchyOutgoingCalls(r){const{file:a,project:u}=this.getFileAndProject(r),h=this.getScriptInfoFromProjectService(a);return u.getLanguageService().provideCallHierarchyOutgoingCalls(a,this.getPosition(r,h)).map(g=>this.toProtocolCallHierarchyOutgoingCall(g,h))}getCanonicalFileName(r){const a=this.host.useCaseSensitiveFileNames?r:Vw(r);return ca(a)}exit(){}notRequired(r){return r&&this.doOutput(void 0,r.command,r.seq,!0,this.performanceData),{responseRequired:!1,performanceData:this.performanceData}}requiredResponse(r){return{response:r,responseRequired:!0,performanceData:this.performanceData}}addProtocolHandler(r,a){if(this.handlers.has(r))throw new Error(`Protocol handler already exists for command "${r}"`);this.handlers.set(r,a)}setCurrentRequest(r){j.assert(this.currentRequestId===void 0),this.currentRequestId=r,this.cancellationToken.setRequest(r)}resetCurrentRequest(r){j.assert(this.currentRequestId===r),this.currentRequestId=void 0,this.cancellationToken.resetRequest(r)}executeWithRequestId(r,a,u){const h=this.performanceData;try{return this.performanceData=u,this.setCurrentRequest(r),a()}finally{this.resetCurrentRequest(r),this.performanceData=h}}executeCommand(r){const a=this.handlers.get(r.command);if(a){const u=this.executeWithRequestId(r.seq,()=>a(r),void 0);return this.projectService.enableRequestedPlugins(),u}else return this.logger.msg(`Unrecognized JSON command:${p2(r)}`,"Err"),this.doOutput(void 0,"unknown",r.seq,!1,void 0,`Unrecognized JSON command: ${r.command}`),{responseRequired:!1}}onMessage(r){var a,u,h,p,g,C,b;this.gcTimer.scheduleCollect();let T;const E=this.performanceData;this.logger.hasLevel(2)&&(T=this.hrtime(),this.logger.hasLevel(3)&&this.logger.info(`request:${n5(this.toStringMessage(r))}`));let N,R;try{N=this.parseMessage(r),R=N.arguments&&N.arguments.file?N.arguments:void 0,(a=Zn)==null||a.instant(Zn.Phase.Session,"request",{seq:N.seq,command:N.command}),(u=Zn)==null||u.push(Zn.Phase.Session,"executeCommand",{seq:N.seq,command:N.command},!0);const{response:F,responseRequired:H,performanceData:U}=this.executeCommand(N);if((h=Zn)==null||h.pop(),this.logger.hasLevel(2)){const q=tGt(this.hrtime(T)).toFixed(4);H?this.logger.perftrc(`${N.seq}::${N.command}: elapsed time (in milliseconds) ${q}`):this.logger.perftrc(`${N.seq}::${N.command}: async elapsed time (in milliseconds) ${q}`)}(p=Zn)==null||p.instant(Zn.Phase.Session,"response",{seq:N.seq,command:N.command,success:!!F}),F?this.doOutput(F,N.command,N.seq,!0,U):H&&this.doOutput(void 0,N.command,N.seq,!1,U,"No content available.")}catch(F){if((g=Zn)==null||g.popAll(),F instanceof t8){(C=Zn)==null||C.instant(Zn.Phase.Session,"commandCanceled",{seq:N==null?void 0:N.seq,command:N==null?void 0:N.command}),this.doOutput({canceled:!0},N.command,N.seq,!0,this.performanceData);return}this.logErrorWorker(F,this.toStringMessage(r),R),(b=Zn)==null||b.instant(Zn.Phase.Session,"commandError",{seq:N==null?void 0:N.seq,command:N==null?void 0:N.command,message:F.message}),this.doOutput(void 0,N?N.command:"unknown",N?N.seq:0,!1,this.performanceData,"Error processing request. "+F.message+`
+`+F.stack)}finally{this.performanceData=E}}parseMessage(r){return JSON.parse(r)}toStringMessage(r){return r}getFormatOptions(r){return this.projectService.getFormatCodeOptions(r)}getPreferences(r){return this.projectService.getPreferences(r)}getHostFormatOptions(){return this.projectService.getHostFormatCodeOptions()}getHostPreferences(){return this.projectService.getHostPreferences()}};function qht(t){const r=t.diagnosticsDuration&&as(t.diagnosticsDuration,([a,u])=>({...u,file:a}));return{...t,diagnosticsDuration:r}}function X1(t,r){return{start:r.positionToLineOffset(t.start),end:r.positionToLineOffset(au(t))}}function cRe(t,r,a){const u=X1(t,a),h=r&&X1(r,a);return h?{...u,contextStart:h.start,contextEnd:h.end}:u}function hGt(t,r){return{start:$ht(r,t.span.start),end:$ht(r,au(t.span)),newText:t.newText}}function $ht(t,r){return tRe(t)?pGt(t.getLineAndCharacterOfPosition(r)):t.positionToLineOffset(r)}function _Gt(t,r){const a=t.ranges.map(u=>({start:r.positionToLineOffset(u.start),end:r.positionToLineOffset(u.start+u.length)}));return t.wordPattern?{ranges:a,wordPattern:t.wordPattern}:{ranges:a}}function pGt(t){return{line:t.line+1,offset:t.character+1}}function mGt(t){j.assert(t.textChanges.length===1);const r=ya(t.textChanges);return j.assert(r.span.start===0&&r.span.length===0),{fileName:t.fileName,textChanges:[{start:{line:0,offset:0},end:{line:0,offset:0},newText:r.newText}]}}function lRe(t,r,a,u){const h=gGt(t,r,u),{line:p,character:g}=P6(ZL(h),a);return{line:p+1,offset:g+1}}function gGt(t,r,a){for(const{fileName:u,textChanges:h}of a)if(u===r)for(let p=h.length-1;p>=0;p--){const{newText:g,span:{start:C,length:b}}=h[p];t=t.slice(0,C)+g+t.slice(C+b)}return t}function Jht(t,{fileName:r,textSpan:a,contextSpan:u,isWriteAccess:h,isDefinition:p},{disableLineTextInReferences:g}){const C=j.checkDefined(t.getScriptInfo(r)),b=cRe(a,u,C),T=g?void 0:yGt(C,b);return{file:r,...b,lineText:T,isWriteAccess:h,isDefinition:p}}function yGt(t,r){const a=t.lineToTextSpan(r.start.line-1);return t.getSnapshot().getText(a.start,au(a)).replace(/\r|\n/g,"")}function vGt(t){return t===void 0||t&&typeof t=="object"&&typeof t.exportName=="string"&&(t.fileName===void 0||typeof t.fileName=="string")&&(t.ambientModuleName===void 0||typeof t.ambientModuleName=="string"&&(t.isPackageJsonImport===void 0||typeof t.isPackageJsonImport=="boolean"))}var gO=4,uRe=(t=>(t[t.PreStart=0]="PreStart",t[t.Start=1]="Start",t[t.Entire=2]="Entire",t[t.Mid=3]="Mid",t[t.End=4]="End",t[t.PostEnd=5]="PostEnd",t))(uRe||{}),bGt=class{constructor(){this.goSubtree=!0,this.lineIndex=new mz,this.endBranch=[],this.state=2,this.initialText="",this.trailingText="",this.lineIndex.root=new yO,this.startPath=[this.lineIndex.root],this.stack=[this.lineIndex.root]}get done(){return!1}insertLines(t,r){r&&(this.trailingText=""),t?t=this.initialText+t+this.trailingText:t=this.initialText+this.trailingText;const u=mz.linesFromText(t).lines;u.length>1&&u[u.length-1]===""&&u.pop();let h,p;for(let C=this.endBranch.length-1;C>=0;C--)this.endBranch[C].updateCounts(),this.endBranch[C].charCount()===0&&(p=this.endBranch[C],C>0?h=this.endBranch[C-1]:h=this.branchNode);p&&h.remove(p);const g=this.startPath[this.startPath.length-1];if(u.length>0)if(g.text=u[0],u.length>1){let C=new Array(u.length-1),b=g;for(let N=1;N<u.length;N++)C[N-1]=new $Y(u[N]);let T=this.startPath.length-2;for(;T>=0;){const N=this.startPath[T];C=N.insertAt(b,C),T--,b=N}let E=C.length;for(;E>0;){const N=new yO;N.add(this.lineIndex.root),C=N.insertAt(this.lineIndex.root,C),E=C.length,this.lineIndex.root=N}this.lineIndex.root.updateCounts()}else for(let C=this.startPath.length-2;C>=0;C--)this.startPath[C].updateCounts();else{this.startPath[this.startPath.length-2].remove(g);for(let b=this.startPath.length-2;b>=0;b--)this.startPath[b].updateCounts()}return this.lineIndex}post(t,r,a){a===this.lineCollectionAtBranch&&(this.state=4),this.stack.pop()}pre(t,r,a,u,h){const p=this.stack[this.stack.length-1];this.state===2&&h===1&&(this.state=1,this.branchNode=p,this.lineCollectionAtBranch=a);let g;function C(b){return b.isLeaf()?new $Y(""):new yO}switch(h){case 0:this.goSubtree=!1,this.state!==4&&p.add(a);break;case 1:this.state===4?this.goSubtree=!1:(g=C(a),p.add(g),this.startPath.push(g));break;case 2:this.state!==4?(g=C(a),p.add(g),this.startPath.push(g)):a.isLeaf()||(g=C(a),p.add(g),this.endBranch.push(g));break;case 3:this.goSubtree=!1;break;case 4:this.state!==4?this.goSubtree=!1:a.isLeaf()||(g=C(a),p.add(g),this.endBranch.push(g));break;case 5:this.goSubtree=!1,this.state!==1&&p.add(a);break}this.goSubtree&&this.stack.push(g)}leaf(t,r,a){this.state===1?this.initialText=a.text.substring(0,t):this.state===2?(this.initialText=a.text.substring(0,t),this.trailingText=a.text.substring(t+r)):this.trailingText=a.text.substring(t+r)}},CGt=class{constructor(t,r,a){this.pos=t,this.deleteLen=r,this.insertedText=a}getTextChangeRange(){return AW(Ff(this.pos,this.deleteLen),this.insertedText?this.insertedText.length:0)}},Bpe=class tL{constructor(){this.changes=[],this.versions=new Array(tL.maxVersions),this.minVersion=0,this.currentVersion=0}versionToIndex(r){if(!(r<this.minVersion||r>this.currentVersion))return r%tL.maxVersions}currentVersionToIndex(){return this.currentVersion%tL.maxVersions}edit(r,a,u){this.changes.push(new CGt(r,a,u)),(this.changes.length>tL.changeNumberThreshold||a>tL.changeLengthThreshold||u&&u.length>tL.changeLengthThreshold)&&this.getSnapshot()}getSnapshot(){return this._getSnapshot()}_getSnapshot(){let r=this.versions[this.currentVersionToIndex()];if(this.changes.length>0){let a=r.index;for(const u of this.changes)a=a.edit(u.pos,u.deleteLen,u.insertedText);r=new Ght(this.currentVersion+1,this,a,this.changes),this.currentVersion=r.version,this.versions[this.currentVersionToIndex()]=r,this.changes=[],this.currentVersion-this.minVersion>=tL.maxVersions&&(this.minVersion=this.currentVersion-tL.maxVersions+1)}return r}getSnapshotVersion(){return this._getSnapshot().version}getAbsolutePositionAndLineText(r){return this._getSnapshot().index.lineNumberToInfo(r)}lineOffsetToPosition(r,a){return this._getSnapshot().index.absolutePositionOfStartOfLine(r)+(a-1)}positionToLineOffset(r){return this._getSnapshot().index.positionToLineOffset(r)}lineToTextSpan(r){const a=this._getSnapshot().index,{lineText:u,absolutePosition:h}=a.lineNumberToInfo(r+1),p=u!==void 0?u.length:a.absolutePositionOfStartOfLine(r+2)-h;return Ff(h,p)}getTextChangesBetweenVersions(r,a){if(r<a)if(r>=this.minVersion){const u=[];for(let h=r+1;h<=a;h++){const p=this.versions[this.versionToIndex(h)];for(const g of p.changesSincePreviousVersion)u.push(g.getTextChangeRange())}return n2e(u)}else return;else return sG}getLineCount(){return this._getSnapshot().index.getLineCount()}static fromString(r){const a=new tL,u=new Ght(0,a,new mz);a.versions[a.currentVersion]=u;const h=mz.linesFromText(r);return u.index.load(h.lines),a}};Bpe.changeNumberThreshold=8,Bpe.changeLengthThreshold=256,Bpe.maxVersions=8;var Wpe=Bpe,Ght=class dAt{constructor(r,a,u,h=Vd){this.version=r,this.cache=a,this.index=u,this.changesSincePreviousVersion=h}getText(r,a){return this.index.getText(r,a-r)}getLength(){return this.index.getLength()}getChangeRange(r){if(r instanceof dAt&&this.cache===r.cache)return this.version<=r.version?sG:this.cache.getTextChangesBetweenVersions(r.version,this.version)}},mz=class Aqe{constructor(){this.checkEdits=!1}absolutePositionOfStartOfLine(r){return this.lineNumberToInfo(r).absolutePosition}positionToLineOffset(r){const{oneBasedLine:a,zeroBasedColumn:u}=this.root.charOffsetToLineInfo(1,r);return{line:a,offset:u+1}}positionToColumnAndLineText(r){return this.root.charOffsetToLineInfo(1,r)}getLineCount(){return this.root.lineCount()}lineNumberToInfo(r){const a=this.getLineCount();if(r<=a){const{position:u,leaf:h}=this.root.lineNumberToInfo(r,0);return{absolutePosition:u,lineText:h&&h.text}}else return{absolutePosition:this.root.charCount(),lineText:void 0}}load(r){if(r.length>0){const a=[];for(let u=0;u<r.length;u++)a[u]=new $Y(r[u]);this.root=Aqe.buildTreeFromBottom(a)}else this.root=new yO}walk(r,a,u){this.root.walk(r,a,u)}getText(r,a){let u="";return a>0&&r<this.root.charCount()&&this.walk(r,a,{goSubtree:!0,done:!1,leaf:(h,p,g)=>{u=u.concat(g.text.substring(h,h+p))}}),u}getLength(){return this.root.charCount()}every(r,a,u){u||(u=this.root.charCount());const h={goSubtree:!0,done:!1,leaf(p,g,C){r(C,p,g)||(this.done=!0)}};return this.walk(a,u-a,h),!h.done}edit(r,a,u){if(this.root.charCount()===0)return j.assert(a===0),u!==void 0?(this.load(Aqe.linesFromText(u).lines),this):void 0;{let h;if(this.checkEdits){const C=this.getText(0,this.root.charCount());h=C.slice(0,r)+u+C.slice(r+a)}const p=new bGt;let g=!1;if(r>=this.root.charCount()){r=this.root.charCount()-1;const C=this.getText(r,1);u?u=C+u:u=C,a=0,g=!0}else if(a>0){const C=r+a,{zeroBasedColumn:b,lineText:T}=this.positionToColumnAndLineText(C);b===0&&(a+=T.length,u=u?u+T:T)}if(this.root.walk(r,a,p),p.insertLines(u,g),this.checkEdits){const C=p.lineIndex.getText(0,p.lineIndex.getLength());j.assert(h===C,"buffer edit mismatch")}return p.lineIndex}}static buildTreeFromBottom(r){if(r.length<gO)return new yO(r);const a=new Array(Math.ceil(r.length/gO));let u=0;for(let h=0;h<a.length;h++){const p=Math.min(u+gO,r.length);a[h]=new yO(r.slice(u,p)),u=p}return this.buildTreeFromBottom(a)}static linesFromText(r){const a=ZL(r);if(a.length===0)return{lines:[],lineMap:a};const u=new Array(a.length),h=a.length-1;for(let g=0;g<h;g++)u[g]=r.substring(a[g],a[g+1]);const p=r.substring(a[h]);return p.length>0?u[h]=p:u.pop(),{lines:u,lineMap:a}}},yO=class Oqe{constructor(r=[]){this.children=r,this.totalChars=0,this.totalLines=0,r.length&&this.updateCounts()}isLeaf(){return!1}updateCounts(){this.totalChars=0,this.totalLines=0;for(const r of this.children)this.totalChars+=r.charCount(),this.totalLines+=r.lineCount()}execWalk(r,a,u,h,p){return u.pre&&u.pre(r,a,this.children[h],this,p),u.goSubtree?(this.children[h].walk(r,a,u),u.post&&u.post(r,a,this.children[h],this,p)):u.goSubtree=!0,u.done}skipChild(r,a,u,h,p){h.pre&&!h.done&&(h.pre(r,a,this.children[u],this,p),h.goSubtree=!0)}walk(r,a,u){let h=0,p=this.children[h].charCount(),g=r;for(;g>=p;)this.skipChild(g,a,h,u,0),g-=p,h++,p=this.children[h].charCount();if(g+a<=p){if(this.execWalk(g,a,u,h,2))return}else{if(this.execWalk(g,p-g,u,h,1))return;let C=a-(p-g);for(h++,p=this.children[h].charCount();C>p;){if(this.execWalk(0,p,u,h,3))return;C-=p,h++,p=this.children[h].charCount()}if(C>0&&this.execWalk(0,C,u,h,4))return}if(u.pre){const C=this.children.length;if(h<C-1)for(let b=h+1;b<C;b++)this.skipChild(0,0,b,u,5)}}charOffsetToLineInfo(r,a){if(this.children.length===0)return{oneBasedLine:r,zeroBasedColumn:a,lineText:void 0};for(const p of this.children){if(p.charCount()>a)return p.isLeaf()?{oneBasedLine:r,zeroBasedColumn:a,lineText:p.text}:p.charOffsetToLineInfo(r,a);a-=p.charCount(),r+=p.lineCount()}const u=this.lineCount();if(u===0)return{oneBasedLine:1,zeroBasedColumn:0,lineText:void 0};const h=j.checkDefined(this.lineNumberToInfo(u,0).leaf);return{oneBasedLine:u,zeroBasedColumn:h.charCount(),lineText:void 0}}lineNumberToInfo(r,a){for(const u of this.children){const h=u.lineCount();if(h>=r)return u.isLeaf()?{position:a,leaf:u}:u.lineNumberToInfo(r,a);r-=h,a+=u.charCount()}return{position:a,leaf:void 0}}splitAfter(r){let a;const u=this.children.length;r++;const h=r;if(r<u){for(a=new Oqe;r<u;)a.add(this.children[r]),r++;a.updateCounts()}return this.children.length=h,a}remove(r){const a=this.findChildIndex(r),u=this.children.length;if(a<u-1)for(let h=a;h<u-1;h++)this.children[h]=this.children[h+1];this.children.pop()}findChildIndex(r){const a=this.children.indexOf(r);return j.assert(a!==-1),a}insertAt(r,a){let u=this.findChildIndex(r);const h=this.children.length,p=a.length;if(h<gO&&u===h-1&&p===1)return this.add(a[0]),this.updateCounts(),[];{const g=this.splitAfter(u);let C=0;for(u++;u<gO&&C<p;)this.children[u]=a[C],u++,C++;let b=[],T=0;if(C<p){T=Math.ceil((p-C)/gO),b=new Array(T);let E=0;for(let R=0;R<T;R++)b[R]=new Oqe;let N=b[0];for(;C<p;)N.add(a[C]),C++,N.children.length===gO&&(E++,N=b[E]);for(let R=b.length-1;R>=0;R--)b[R].children.length===0&&b.pop()}g&&b.push(g),this.updateCounts();for(let E=0;E<T;E++)b[E].updateCounts();return b}}add(r){this.children.push(r),j.assert(this.children.length<=gO)}charCount(){return this.totalChars}lineCount(){return this.totalLines}},$Y=class{constructor(t){this.text=t}isLeaf(){return!0}walk(t,r,a){a.leaf(t,r,this)}charCount(){return this.text.length}lineCount(){return 1}},Kht=class fAt{constructor(r,a,u,h,p,g){this.telemetryEnabled=r,this.logger=a,this.host=u,this.globalTypingsCacheLocation=h,this.event=p,this.maxActiveRequestCount=g,this.activeRequestCount=0,this.requestQueue=JR(),this.requestMap=new Map,this.requestedRegistry=!1,this.packageInstallId=0}isKnownTypesPackageName(r){var a;return J1.validatePackageName(r)!==J1.NameValidationResult.Ok?!1:(this.requestedRegistry||(this.requestedRegistry=!0,this.installer.send({kind:"typesRegistry"})),!!((a=this.typesRegistryCache)!=null&&a.has(r)))}installPackage(r){this.packageInstallId++;const a={kind:"installPackage",...r,id:this.packageInstallId},u=new Promise((h,p)=>{(this.packageInstalledPromise??(this.packageInstalledPromise=new Map)).set(this.packageInstallId,{resolve:h,reject:p})});return this.installer.send(a),u}attach(r){this.projectService=r,this.installer=this.createInstallerProcess()}onProjectClosed(r){this.installer.send({projectName:r.getProjectName(),kind:"closeProject"})}enqueueInstallTypingsRequest(r,a,u){const h=CMe(r,a,u);this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Scheduling throttled operation:${p2(h)}`),this.activeRequestCount<this.maxActiveRequestCount?this.scheduleRequest(h):(this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Deferring request for: ${h.projectName}`),this.requestQueue.enqueue(h),this.requestMap.set(h.projectName,h))}handleMessage(r){var a,u;switch(this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Received response:${p2(r)}`),r.kind){case ufe:this.typesRegistryCache=new Map(Object.entries(r.typesRegistry));break;case cZ:{const h=(a=this.packageInstalledPromise)==null?void 0:a.get(r.id);j.assertIsDefined(h,"Should find the promise for package install"),(u=this.packageInstalledPromise)==null||u.delete(r.id),r.success?h.resolve({successMessage:r.message}):h.reject(r.message),this.projectService.updateTypingsForProject(r),this.event(r,"setTypings");break}case NPe:{const h={message:r.message};this.event(h,"typesInstallerInitializationFailed");break}case dfe:{const h={eventId:r.eventId,packages:r.packagesToInstall};this.event(h,"beginInstallTypes");break}case ffe:{if(this.telemetryEnabled){const g={telemetryEventName:"typingsInstalled",payload:{installedPackages:r.packagesToInstall.join(","),installSuccess:r.installSuccess,typingsInstallerVersion:r.typingsInstallerVersion}};this.event(g,"telemetry")}const h={eventId:r.eventId,packages:r.packagesToInstall,success:r.installSuccess};this.event(h,"endInstallTypes");break}case aZ:{this.projectService.updateTypingsForProject(r);break}case oZ:{for(this.activeRequestCount>0?this.activeRequestCount--:j.fail("TIAdapter:: Received too many responses");!this.requestQueue.isEmpty();){const h=this.requestQueue.dequeue();if(this.requestMap.get(h.projectName)===h){this.requestMap.delete(h.projectName),this.scheduleRequest(h);break}this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Skipping defunct request for: ${h.projectName}`)}this.projectService.updateTypingsForProject(r),this.event(r,"setTypings");break}case aj:this.projectService.watchTypingLocations(r);break}}scheduleRequest(r){this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Scheduling request for: ${r.projectName}`),this.activeRequestCount++,this.host.setTimeout(()=>{this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Sending request:${p2(r)}`),this.installer.send(r)},fAt.requestDelayMillis,`${r.projectName}::${r.kind}`)}};Kht.requestDelayMillis=100;var Xht=Kht,Qht={};c(Qht,{ActionInvalidate:()=>aZ,ActionPackageInstalled:()=>cZ,ActionSet:()=>oZ,ActionWatchTypingLocations:()=>aj,Arguments:()=>hfe,AutoImportProviderProject:()=>VMe,AuxiliaryProject:()=>BMe,CharRangeSection:()=>uRe,CloseFileWatcherEvent:()=>Epe,CommandNames:()=>Wht,ConfigFileDiagEvent:()=>wpe,ConfiguredProject:()=>HMe,ConfiguredProjectLoadKind:()=>JMe,CreateDirectoryWatcherEvent:()=>Dpe,CreateFileWatcherEvent:()=>Tpe,Errors:()=>cC,EventBeginInstallTypes:()=>dfe,EventEndInstallTypes:()=>ffe,EventInitializationFailed:()=>NPe,EventTypesRegistry:()=>ufe,ExternalProject:()=>gpe,GcTimer:()=>EMe,InferredProject:()=>FMe,LargeFileReferencedEvent:()=>Spe,LineIndex:()=>mz,LineLeaf:()=>$Y,LineNode:()=>yO,LogLevel:()=>vMe,Msg:()=>bMe,OpenFileInfoTelemetryEvent:()=>jMe,Project:()=>xA,ProjectInfoTelemetryEvent:()=>kpe,ProjectKind:()=>uz,ProjectLanguageServiceStateEvent:()=>xpe,ProjectLoadingFinishEvent:()=>Cpe,ProjectLoadingStartEvent:()=>bpe,ProjectService:()=>eRe,ProjectsUpdatedInBackgroundEvent:()=>zY,ScriptInfo:()=>PMe,ScriptVersionCache:()=>Wpe,Session:()=>Uht,TextStorage:()=>LMe,ThrottledOperations:()=>DMe,TypingsInstallerAdapter:()=>Xht,allFilesAreJsOrDts:()=>OMe,allRootFilesAreJsOrDts:()=>AMe,asNormalizedPath:()=>fht,convertCompilerOptions:()=>UY,convertFormatOptions:()=>pO,convertScriptKindName:()=>Npe,convertTypeAcquisition:()=>UMe,convertUserPreferences:()=>qMe,convertWatchOptions:()=>_z,countEachFileTypes:()=>dz,createInstallTypingsRequest:()=>CMe,createModuleSpecifierCache:()=>nRe,createNormalizedPathMap:()=>hht,createPackageJsonCache:()=>rRe,createSortedArray:()=>TMe,emptyArray:()=>Vd,findArgument:()=>dit,formatDiagnosticToProtocol:()=>pz,formatMessage:()=>sRe,getBaseConfigFileName:()=>mpe,getLocationInNewDocument:()=>lRe,hasArgument:()=>uit,hasNoTypeScriptSource:()=>MMe,indent:()=>n5,isBackgroundProject:()=>hz,isConfigFile:()=>tRe,isConfiguredProject:()=>LS,isDynamicFileName:()=>lz,isExternalProject:()=>fz,isInferredProject:()=>_O,isInferredProjectName:()=>SMe,isProjectDeferredClose:()=>jY,makeAutoImportProviderProjectName:()=>xMe,makeAuxiliaryProjectName:()=>kMe,makeInferredProjectName:()=>wMe,maxFileSize:()=>vpe,maxProgramSizeForNonTsFiles:()=>ype,normalizedPathToPath:()=>hO,nowString:()=>fit,nullCancellationToken:()=>Rht,nullTypingsInstaller:()=>qY,protocol:()=>IMe,stringifyIndented:()=>p2,toEvent:()=>oRe,toNormalizedPath:()=>Jl,tryConvertScriptKindName:()=>Ipe,typingsInstaller:()=>yMe,updateProjectIfDirty:()=>Ym}),typeof console<"u"&&(j.loggingHost={log(t,r){switch(t){case 1:return console.error(r);case 2:return console.warn(r);case 3:return console.log(r);case 4:return console.log(r)}}})})({get exports(){return i},set exports(n){i=n,l.exports&&(l.exports=n)}})})(sAt);var hAt=sAt.exports;const _At=iai(hAt),V9i=lai({__proto__:null,default:_At},[hAt]);window.MonacoEnvironment={getWorker(l,e){return e==="json"?new B8i:e==="css"||e==="scss"||e==="less"?new R8i:e==="html"||e==="handlebars"||e==="razor"?new F8i:e==="typescript"||e==="javascript"?new W8i:new M8i}};class j8i extends fDt{showContextView(...e){super.showContextView(...e);const i=this.contextView.view;i.style.left=`calc(${i.style.left} / var(--slidev-slide-scale))`,i.style.top=`calc(${i.style.top} / var(--slidev-slide-scale))`,i.style.transform="scale(calc(1 / var(--slidev-slide-scale)))",i.style.transformOrigin="0 0"}}oa.initialize({contextViewService:new wE(j8i,[],!0)});const z8i=_ai(async()=>{const l=aT.typescript.typescriptDefaults;l.setCompilerOptions({...l.getCompilerOptions(),strict:!0,moduleResolution:aT.typescript.ModuleResolutionKind.NodeJs,module:aT.typescript.ModuleKind.ESNext});const e=KB.monacoTypesSource==="cdn"?Nai({projectName:"TypeScript Playground",typescript:_At,logger:console,delegate:{receivedFile:(m,v)=>{l.addExtraLib(m,`file://${v}`);const x=Bwe.file(v);c9.getModel(x)===null&&c9.createModel(m,"javascript",x)},progress:(m,v)=>{console.debug(`[Typescript ATA] ${m} / ${v}`)}}}):()=>{};aT.register({id:"vue"}),aT.register({id:"html"}),aT.register({id:"css"}),aT.register({id:"typescript"}),aT.register({id:"javascript"});const{shiki:i,languages:n,themes:s,shikiToMonaco:c}=await _o(async()=>{const{shiki:m,languages:v,themes:x,shikiToMonaco:w}=await import("../slidev/shiki-Bsl5RiOy.js");return{shiki:m,languages:v,themes:x,shikiToMonaco:w}},__vite__mapDeps([17,18,2,19,1])),d=await i,f={};for(const m of bai){const v=await m(rBe);Object.assign(f,v==null?void 0:v.editorOptions)}c(d,rBe),typeof s=="string"?c9.setTheme(s):cai(()=>{c9.setTheme(Mqe.value?s.dark||"vitesse-dark":s.light||"vitesse-light")});for(const m of n)aT.register({id:m});return{monaco:rBe,ata:e,editorOptions:f}});async function U8i(l,e){const i=Bwe.file(e),n=(await l()).default;aT.typescript.typescriptDefaults.addExtraLib(n,`file:///${e}`),c9.createModel(n,"javascript",i)}const sBe=new Map;async function pAt(l,e){if(sBe.has(e))return sBe.get(e);const i=U8i(l,e);return sBe.set(e,i),i}const H9i=Object.freeze(Object.defineProperty({__proto__:null,addFile:pAt,default:z8i},Symbol.toStringTag,{value:"Module"}));pAt(()=>_o(()=>Promise.resolve().then(()=>$8i),void 0),"external.ts");const j9i=Object.freeze(Object.defineProperty({__proto__:null},Symbol.toStringTag,{value:"Module"})),q8i=`/* eslint-disable no-console */
+
+// #region snippet
+// Inside ./snippets/external.ts
+export function emptyArray<T>(length: number) {
+ return Array.from<T>({ length })
+}
+// #endregion snippet
+
+export function sayHello() {
+ console.log('Hello from snippets/external.ts')
+}
+`,$8i=Object.freeze(Object.defineProperty({__proto__:null,default:q8i},Symbol.toStringTag,{value:"Module"}));export{_o as _,KB as a,s7i as b,Q8i as c,r7i as d,mai as e,Mqe as f,a7i as g,gai as h,Myt as i,n7i as j,uai as k,t7i as l,i7i as m,_ai as n,Fse as o,o7i as p,ENt as q,e7i as r,Z8i as s,V9i as t,Y8i as u,H9i as v,j9i as w};
diff --git a/docs/assets/monaco/bundled-types-B_1qVWDs.css b/docs/assets/monaco/bundled-types-B_1qVWDs.css
new file mode 100644
index 0000000..3280ad6
--- /dev/null
+++ b/docs/assets/monaco/bundled-types-B_1qVWDs.css
@@ -0,0 +1 @@
+.monaco-editor{font-family:-apple-system,BlinkMacSystemFont,Segoe WPC,Segoe UI,HelveticaNeue-Light,system-ui,Ubuntu,Droid Sans,sans-serif;--monaco-monospace-font: "SF Mono", Monaco, Menlo, Consolas, "Ubuntu Mono", "Liberation Mono", "DejaVu Sans Mono", "Courier New", monospace}.monaco-menu .monaco-action-bar.vertical .action-item .action-menu-item:focus .action-label{stroke-width:1.2px}.monaco-editor.vs-dark .monaco-menu .monaco-action-bar.vertical .action-menu-item:focus .action-label,.monaco-editor.hc-black .monaco-menu .monaco-action-bar.vertical .action-menu-item:focus .action-label,.monaco-editor.hc-light .monaco-menu .monaco-action-bar.vertical .action-menu-item:focus .action-label{stroke-width:1.2px}.monaco-hover p{margin:0}.monaco-aria-container{position:absolute!important;top:0;height:1px;width:1px;margin:-1px;overflow:hidden;padding:0;clip:rect(1px,1px,1px,1px);clip-path:inset(50%)}.monaco-editor,.monaco-diff-editor .synthetic-focus,.monaco-diff-editor [tabindex="0"]:focus,.monaco-diff-editor [tabindex="-1"]:focus,.monaco-diff-editor button:focus,.monaco-diff-editor input[type=button]:focus,.monaco-diff-editor input[type=checkbox]:focus,.monaco-diff-editor input[type=search]:focus,.monaco-diff-editor input[type=text]:focus,.monaco-diff-editor select:focus,.monaco-diff-editor textarea:focus{outline-width:1px;outline-style:solid;outline-offset:-1px;outline-color:var(--vscode-focusBorder);opacity:1}.monaco-workbench .workbench-hover{position:relative;font-size:13px;line-height:19px;z-index:40;overflow:hidden;max-width:700px;background:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-editorHoverWidget-border);border-radius:3px;color:var(--vscode-editorHoverWidget-foreground);box-shadow:0 2px 8px var(--vscode-widget-shadow)}.monaco-workbench .workbench-hover hr{border-bottom:none}.monaco-workbench .workbench-hover:not(.skip-fade-in){animation:fadein .1s linear}.monaco-workbench .workbench-hover.compact{font-size:12px}.monaco-workbench .workbench-hover.compact .hover-contents{padding:2px 8px}.monaco-workbench .workbench-hover-container.locked .workbench-hover{outline:1px solid var(--vscode-editorHoverWidget-border)}.monaco-workbench .workbench-hover-container.locked .workbench-hover:focus,.monaco-workbench .workbench-hover-lock:focus{outline:1px solid var(--vscode-focusBorder)}.monaco-workbench .workbench-hover-container.locked .workbench-hover-lock:hover{background:var(--vscode-toolbar-hoverBackground)}.monaco-workbench .workbench-hover-pointer{position:absolute;z-index:41;pointer-events:none}.monaco-workbench .workbench-hover-pointer:after{content:"";position:absolute;width:5px;height:5px;background-color:var(--vscode-editorHoverWidget-background);border-right:1px solid var(--vscode-editorHoverWidget-border);border-bottom:1px solid var(--vscode-editorHoverWidget-border)}.monaco-workbench .locked .workbench-hover-pointer:after{width:4px;height:4px;border-right-width:2px;border-bottom-width:2px}.monaco-workbench .workbench-hover-pointer.left{left:-3px}.monaco-workbench .workbench-hover-pointer.right{right:3px}.monaco-workbench .workbench-hover-pointer.top{top:-3px}.monaco-workbench .workbench-hover-pointer.bottom{bottom:3px}.monaco-workbench .workbench-hover-pointer.left:after{transform:rotate(135deg)}.monaco-workbench .workbench-hover-pointer.right:after{transform:rotate(315deg)}.monaco-workbench .workbench-hover-pointer.top:after{transform:rotate(225deg)}.monaco-workbench .workbench-hover-pointer.bottom:after{transform:rotate(45deg)}.monaco-workbench .workbench-hover a{color:var(--vscode-textLink-foreground)}.monaco-workbench .workbench-hover a:focus{outline:1px solid;outline-offset:-1px;text-decoration:underline;outline-color:var(--vscode-focusBorder)}.monaco-workbench .workbench-hover a:hover,.monaco-workbench .workbench-hover a:active{color:var(--vscode-textLink-activeForeground)}.monaco-workbench .workbench-hover code{background:var(--vscode-textCodeBlock-background)}.monaco-workbench .workbench-hover .hover-row .actions{background:var(--vscode-editorHoverWidget-statusBarBackground)}.monaco-workbench .workbench-hover.right-aligned{left:1px}.monaco-workbench .workbench-hover.right-aligned .hover-row.status-bar .actions{flex-direction:row-reverse}.monaco-workbench .workbench-hover.right-aligned .hover-row.status-bar .actions .action-container{margin-right:0;margin-left:16px}.monaco-scrollable-element>.scrollbar>.scra{cursor:pointer;font-size:11px!important}.monaco-scrollable-element>.visible{opacity:1;background:#0000;transition:opacity .1s linear;z-index:11}.monaco-scrollable-element>.invisible{opacity:0;pointer-events:none}.monaco-scrollable-element>.invisible.fade{transition:opacity .8s linear}.monaco-scrollable-element>.shadow{position:absolute;display:none}.monaco-scrollable-element>.shadow.top{display:block;top:0;left:3px;height:3px;width:100%;box-shadow:var(--vscode-scrollbar-shadow) 0 6px 6px -6px inset}.monaco-scrollable-element>.shadow.left{display:block;top:3px;left:0;height:100%;width:3px;box-shadow:var(--vscode-scrollbar-shadow) 6px 0 6px -6px inset}.monaco-scrollable-element>.shadow.top-left-corner{display:block;top:0;left:0;height:3px;width:3px}.monaco-scrollable-element>.shadow.top.left{box-shadow:var(--vscode-scrollbar-shadow) 6px 0 6px -6px inset}.monaco-scrollable-element>.scrollbar>.slider{background:var(--vscode-scrollbarSlider-background)}.monaco-scrollable-element>.scrollbar>.slider:hover{background:var(--vscode-scrollbarSlider-hoverBackground)}.monaco-scrollable-element>.scrollbar>.slider.active{background:var(--vscode-scrollbarSlider-activeBackground)}.monaco-hover{cursor:default;position:absolute;overflow:hidden;user-select:text;-webkit-user-select:text;box-sizing:border-box;animation:fadein .1s linear;line-height:1.5em;white-space:var(--vscode-hover-whiteSpace, normal)}.monaco-hover.hidden{display:none}.monaco-hover a:hover:not(.disabled){cursor:pointer}.monaco-hover .hover-contents:not(.html-hover-contents){padding:4px 8px}.monaco-hover .markdown-hover>.hover-contents:not(.code-hover-contents){max-width:var(--vscode-hover-maxWidth, 500px);word-wrap:break-word}.monaco-hover .markdown-hover>.hover-contents:not(.code-hover-contents) hr{min-width:100%}.monaco-hover p,.monaco-hover .code,.monaco-hover ul,.monaco-hover h1,.monaco-hover h2,.monaco-hover h3,.monaco-hover h4,.monaco-hover h5,.monaco-hover h6{margin:8px 0}.monaco-hover h1,.monaco-hover h2,.monaco-hover h3,.monaco-hover h4,.monaco-hover h5,.monaco-hover h6{line-height:1.1}.monaco-hover code{font-family:var(--monaco-monospace-font)}.monaco-hover hr{box-sizing:border-box;border-left:0px;border-right:0px;margin:4px -8px -4px;height:1px}.monaco-hover p:first-child,.monaco-hover .code:first-child,.monaco-hover ul:first-child{margin-top:0}.monaco-hover p:last-child,.monaco-hover .code:last-child,.monaco-hover ul:last-child{margin-bottom:0}.monaco-hover ul,.monaco-hover ol{padding-left:20px}.monaco-hover li>p{margin-bottom:0}.monaco-hover li>ul{margin-top:0}.monaco-hover code{border-radius:3px;padding:0 .4em}.monaco-hover .monaco-tokenized-source{white-space:var(--vscode-hover-sourceWhiteSpace, pre-wrap)}.monaco-hover .hover-row.status-bar{font-size:12px;line-height:22px}.monaco-hover .hover-row.status-bar .info{font-style:italic;padding:0 8px}.monaco-hover .hover-row.status-bar .actions{display:flex;padding:0 8px;width:100%}.monaco-hover .hover-row.status-bar .actions .action-container{margin-right:16px;cursor:pointer}.monaco-hover .hover-row.status-bar .actions .action-container .action .icon{padding-right:4px}.monaco-hover .hover-row.status-bar .actions .action-container a{color:var(--vscode-textLink-foreground);text-decoration:var(--text-link-decoration)}.monaco-hover .markdown-hover .hover-contents .codicon{color:inherit;font-size:inherit;vertical-align:middle}.monaco-hover .hover-contents a.code-link:hover,.monaco-hover .hover-contents a.code-link{color:inherit}.monaco-hover .hover-contents a.code-link:before{content:"("}.monaco-hover .hover-contents a.code-link:after{content:")"}.monaco-hover .hover-contents a.code-link>span{text-decoration:underline;border-bottom:1px solid transparent;text-underline-position:under;color:var(--vscode-textLink-foreground)}.monaco-hover .hover-contents a.code-link>span:hover{color:var(--vscode-textLink-activeForeground)}.monaco-hover .markdown-hover .hover-contents:not(.code-hover-contents):not(.html-hover-contents) span{margin-bottom:4px;display:inline-block}.monaco-hover .markdown-hover .hover-contents:not(.code-hover-contents):not(.html-hover-contents) span.codicon{margin-bottom:2px}.monaco-hover-content .action-container a{-webkit-user-select:none;user-select:none}.monaco-hover-content .action-container.disabled{pointer-events:none;opacity:.4;cursor:default}.monaco-editor .rendered-markdown kbd{background-color:var(--vscode-keybindingLabel-background);color:var(--vscode-keybindingLabel-foreground);border-style:solid;border-width:1px;border-radius:3px;border-color:var(--vscode-keybindingLabel-border);border-bottom-color:var(--vscode-keybindingLabel-bottomBorder);box-shadow:inset 0 -1px 0 var(--vscode-widget-shadow);vertical-align:middle;padding:1px 3px}.rendered-markdown li:has(input[type=checkbox]){list-style-type:none}.monaco-aria-container{position:absolute;left:-999em}.context-view{position:absolute}.context-view.fixed{all:initial;font-family:inherit;font-size:13px;position:fixed;color:inherit}.monaco-list{position:relative;height:100%;width:100%;white-space:nowrap}.monaco-list.mouse-support{user-select:none;-webkit-user-select:none}.monaco-list>.monaco-scrollable-element{height:100%}.monaco-list-rows{position:relative;width:100%;height:100%}.monaco-list.horizontal-scrolling .monaco-list-rows{width:auto;min-width:100%}.monaco-list-row{position:absolute;box-sizing:border-box;overflow:hidden;width:100%}.monaco-list.mouse-support .monaco-list-row{cursor:pointer;touch-action:none}.monaco-list .monaco-scrollable-element>.scrollbar.vertical,.monaco-pane-view>.monaco-split-view2.vertical>.monaco-scrollable-element>.scrollbar.vertical{z-index:14}.monaco-list-row.scrolling{display:none!important}.monaco-list.element-focused,.monaco-list.selection-single,.monaco-list.selection-multiple{outline:0!important}.monaco-drag-image{display:inline-block;padding:1px 7px;border-radius:10px;font-size:12px;position:absolute;z-index:1000}.monaco-list-type-filter-message{position:absolute;box-sizing:border-box;width:100%;height:100%;top:0;left:0;padding:40px 1em 1em;text-align:center;white-space:normal;opacity:.7;pointer-events:none}.monaco-list-type-filter-message:empty{display:none}.monaco-select-box-dropdown-padding{--dropdown-padding-top: 1px;--dropdown-padding-bottom: 1px}.hc-black .monaco-select-box-dropdown-padding,.hc-light .monaco-select-box-dropdown-padding{--dropdown-padding-top: 3px;--dropdown-padding-bottom: 4px}.monaco-select-box-dropdown-container{display:none;box-sizing:border-box}.monaco-select-box-dropdown-container>.select-box-details-pane>.select-box-description-markdown *{margin:0}.monaco-select-box-dropdown-container>.select-box-details-pane>.select-box-description-markdown a:focus{outline:1px solid -webkit-focus-ring-color;outline-offset:-1px}.monaco-select-box-dropdown-container>.select-box-details-pane>.select-box-description-markdown code{line-height:15px;font-family:var(--monaco-monospace-font)}.monaco-select-box-dropdown-container.visible{display:flex;flex-direction:column;text-align:left;width:1px;overflow:hidden;border-bottom-left-radius:3px;border-bottom-right-radius:3px}.monaco-select-box-dropdown-container>.select-box-dropdown-list-container{flex:0 0 auto;align-self:flex-start;padding-top:var(--dropdown-padding-top);padding-bottom:var(--dropdown-padding-bottom);padding-left:1px;padding-right:1px;width:100%;overflow:hidden;box-sizing:border-box}.monaco-select-box-dropdown-container>.select-box-details-pane{padding:5px}.hc-black .monaco-select-box-dropdown-container>.select-box-dropdown-list-container{padding-top:var(--dropdown-padding-top);padding-bottom:var(--dropdown-padding-bottom)}.monaco-select-box-dropdown-container>.select-box-dropdown-list-container .monaco-list .monaco-list-row{cursor:pointer}.monaco-select-box-dropdown-container>.select-box-dropdown-list-container .monaco-list .monaco-list-row>.option-text{text-overflow:ellipsis;overflow:hidden;padding-left:3.5px;white-space:nowrap;float:left}.monaco-select-box-dropdown-container>.select-box-dropdown-list-container .monaco-list .monaco-list-row>.option-detail{text-overflow:ellipsis;overflow:hidden;padding-left:3.5px;white-space:nowrap;float:left;opacity:.7}.monaco-select-box-dropdown-container>.select-box-dropdown-list-container .monaco-list .monaco-list-row>.option-decorator-right{text-overflow:ellipsis;overflow:hidden;padding-right:10px;white-space:nowrap;float:right}.monaco-select-box-dropdown-container>.select-box-dropdown-list-container .monaco-list .monaco-list-row>.visually-hidden{position:absolute;left:-10000px;top:auto;width:1px;height:1px;overflow:hidden}.monaco-select-box-dropdown-container>.select-box-dropdown-container-width-control{flex:1 1 auto;align-self:flex-start;opacity:0}.monaco-select-box-dropdown-container>.select-box-dropdown-container-width-control>.width-control-div{overflow:hidden;max-height:0px}.monaco-select-box-dropdown-container>.select-box-dropdown-container-width-control>.width-control-div>.option-text-width-control{padding-left:4px;padding-right:8px;white-space:nowrap}.monaco-select-box{width:100%;cursor:pointer;border-radius:2px}.monaco-select-box-dropdown-container{font-size:13px;font-weight:400;text-transform:none}.monaco-action-bar .action-item.select-container{cursor:default}.monaco-action-bar .action-item .monaco-select-box{cursor:pointer;min-width:100px;min-height:18px;padding:2px 23px 2px 8px}.mac .monaco-action-bar .action-item .monaco-select-box{font-size:11px;border-radius:5px}.monaco-action-bar{white-space:nowrap;height:100%}.monaco-action-bar .actions-container{display:flex;margin:0 auto;padding:0;height:100%;width:100%;align-items:center}.monaco-action-bar.vertical .actions-container{display:inline-block}.monaco-action-bar .action-item{display:block;align-items:center;justify-content:center;cursor:pointer;position:relative}.monaco-action-bar .action-item.disabled{cursor:default}.monaco-action-bar .action-item .icon,.monaco-action-bar .action-item .codicon{display:block}.monaco-action-bar .action-item .codicon{display:flex;align-items:center;width:16px;height:16px}.monaco-action-bar .action-label{display:flex;font-size:11px;padding:3px;border-radius:5px}.monaco-action-bar .action-item.disabled .action-label,.monaco-action-bar .action-item.disabled .action-label:before,.monaco-action-bar .action-item.disabled .action-label:hover{color:var(--vscode-disabledForeground)}.monaco-action-bar.vertical{text-align:left}.monaco-action-bar.vertical .action-item{display:block}.monaco-action-bar.vertical .action-label.separator{display:block;border-bottom:1px solid #bbb;padding-top:1px;margin-left:.8em;margin-right:.8em}.monaco-action-bar .action-item .action-label.separator{width:1px;height:16px;margin:5px 4px!important;cursor:default;min-width:1px;padding:0;background-color:#bbb}.secondary-actions .monaco-action-bar .action-label{margin-left:6px}.monaco-action-bar .action-item.select-container{overflow:hidden;flex:1;max-width:170px;min-width:60px;display:flex;align-items:center;justify-content:center;margin-right:10px}.monaco-action-bar .action-item.action-dropdown-item{display:flex}.monaco-action-bar .action-item.action-dropdown-item>.action-dropdown-item-separator{display:flex;align-items:center;cursor:default}.monaco-action-bar .action-item.action-dropdown-item>.action-dropdown-item-separator>div{width:1px}.monaco-dropdown{height:100%;padding:0}.monaco-dropdown>.dropdown-label{cursor:pointer;height:100%;display:flex;align-items:center;justify-content:center}.monaco-dropdown>.dropdown-label>.action-label.disabled{cursor:default}.monaco-dropdown-with-primary{display:flex!important;flex-direction:row;border-radius:5px}.monaco-dropdown-with-primary>.action-container>.action-label{margin-right:0}.monaco-dropdown-with-primary>.dropdown-action-container>.monaco-dropdown>.dropdown-label .codicon[class*=codicon-]{font-size:12px;padding-left:0;padding-right:0;line-height:16px;margin-left:-3px}.monaco-dropdown-with-primary>.dropdown-action-container>.monaco-dropdown>.dropdown-label>.action-label{display:block;background-size:16px;background-position:center center;background-repeat:no-repeat}.monaco-action-bar .action-item.menu-entry .action-label.icon{width:16px;height:16px;background-repeat:no-repeat;background-position:50%;background-size:16px}.monaco-action-bar .action-item.menu-entry.text-only .action-label{color:var(--vscode-descriptionForeground);overflow:hidden;border-radius:2px}.monaco-action-bar .action-item.menu-entry.text-only.use-comma:not(:last-of-type) .action-label:after{content:", "}.monaco-action-bar .action-item.menu-entry.text-only+.action-item:not(.text-only)>.monaco-dropdown .action-label{color:var(--vscode-descriptionForeground)}.monaco-dropdown-with-default{display:flex!important;flex-direction:row;border-radius:5px}.monaco-dropdown-with-default>.action-container>.action-label{margin-right:0}.monaco-dropdown-with-default>.action-container.menu-entry>.action-label.icon{width:16px;height:16px;background-repeat:no-repeat;background-position:50%;background-size:16px}.monaco-dropdown-with-default:hover{background-color:var(--vscode-toolbar-hoverBackground)}.monaco-dropdown-with-default>.dropdown-action-container>.monaco-dropdown>.dropdown-label .codicon[class*=codicon-]{font-size:12px;padding-left:0;padding-right:0;line-height:16px;margin-left:-3px}.monaco-dropdown-with-default>.dropdown-action-container>.monaco-dropdown>.dropdown-label>.action-label{display:block;background-size:16px;background-position:center center;background-repeat:no-repeat}.quick-input-widget{font-size:13px}.quick-input-widget .monaco-highlighted-label .highlight{color:#0066bf}.vs .quick-input-widget .monaco-list-row.focused .monaco-highlighted-label .highlight{color:#9dddff}.vs-dark .quick-input-widget .monaco-highlighted-label .highlight{color:#0097fb}.hc-black .quick-input-widget .monaco-highlighted-label .highlight{color:#f38518}.hc-light .quick-input-widget .monaco-highlighted-label .highlight{color:#0f4a85}.monaco-keybinding>.monaco-keybinding-key{background-color:#ddd6;border:solid 1px rgba(204,204,204,.4);border-bottom-color:#bbb6;box-shadow:inset 0 -1px #bbb6;color:#555}.hc-black .monaco-keybinding>.monaco-keybinding-key{background-color:transparent;border:solid 1px rgb(111,195,223);box-shadow:none;color:#fff}.hc-light .monaco-keybinding>.monaco-keybinding-key{background-color:transparent;border:solid 1px #0F4A85;box-shadow:none;color:#292929}.vs-dark .monaco-keybinding>.monaco-keybinding-key{background-color:#8080802b;border:solid 1px rgba(51,51,51,.6);border-bottom-color:#4449;box-shadow:inset 0 -1px #4449;color:#ccc}.monaco-custom-toggle{margin-left:2px;float:left;cursor:pointer;overflow:hidden;width:20px;height:20px;border-radius:3px;border:1px solid transparent;padding:1px;box-sizing:border-box;user-select:none;-webkit-user-select:none}.monaco-custom-toggle:hover{background-color:var(--vscode-inputOption-hoverBackground)}.hc-black .monaco-custom-toggle:hover,.hc-light .monaco-custom-toggle:hover{border:1px dashed var(--vscode-focusBorder)}.hc-black .monaco-custom-toggle,.hc-light .monaco-custom-toggle,.hc-black .monaco-custom-toggle:hover,.hc-light .monaco-custom-toggle:hover{background:none}.monaco-custom-toggle.monaco-checkbox{height:18px;width:18px;border:1px solid transparent;border-radius:3px;margin-right:9px;margin-left:0;padding:0;opacity:1;background-size:16px!important}.monaco-action-bar .checkbox-action-item{display:flex;align-items:center;border-radius:2px;padding-right:2px}.monaco-action-bar .checkbox-action-item:hover{background-color:var(--vscode-toolbar-hoverBackground)}.monaco-action-bar .checkbox-action-item>.monaco-custom-toggle.monaco-checkbox{margin-right:4px}.monaco-action-bar .checkbox-action-item>.checkbox-label{font-size:12px}.monaco-custom-toggle.monaco-checkbox:not(.checked):before{visibility:hidden}.quick-input-widget{position:absolute;width:600px;z-index:2550;left:50%;margin-left:-300px;-webkit-app-region:no-drag;border-radius:6px}.quick-input-titlebar{display:flex;align-items:center;border-top-right-radius:5px;border-top-left-radius:5px}.quick-input-left-action-bar{display:flex;margin-left:4px;flex:1}.quick-input-inline-action-bar{margin:2px 0 0 5px}.quick-input-title{padding:3px 0;text-align:center;text-overflow:ellipsis;overflow:hidden}.quick-input-right-action-bar{display:flex;margin-right:4px;flex:1}.quick-input-right-action-bar>.actions-container{justify-content:flex-end}.quick-input-titlebar .monaco-action-bar .action-label.codicon{background-position:center;background-repeat:no-repeat;padding:2px}.quick-input-description{margin:6px 6px 6px 11px}.quick-input-header .quick-input-description{margin:4px 2px;flex:1}.quick-input-header{display:flex;padding:8px 6px 2px}.quick-input-widget.hidden-input .quick-input-header{padding:0;margin-bottom:0}.quick-input-and-message{display:flex;flex-direction:column;flex-grow:1;min-width:0;position:relative}.quick-input-check-all{align-self:center;margin:0}.quick-input-filter{flex-grow:1;display:flex;position:relative}.quick-input-box{flex-grow:1}.quick-input-widget.show-checkboxes .quick-input-box,.quick-input-widget.show-checkboxes .quick-input-message{margin-left:5px}.quick-input-visible-count{position:absolute;left:-10000px}.quick-input-count{align-self:center;position:absolute;right:4px;display:flex;align-items:center}.quick-input-count .monaco-count-badge{vertical-align:middle;padding:2px 4px;border-radius:2px;min-height:auto;line-height:normal}.quick-input-action{margin-left:6px}.quick-input-action .monaco-text-button{font-size:11px;padding:0 6px;display:flex;height:25px;align-items:center}.quick-input-message{margin-top:-1px;padding:5px;overflow-wrap:break-word}.quick-input-message>.codicon{margin:0 .2em;vertical-align:text-bottom}.quick-input-message a{color:inherit}.quick-input-progress.monaco-progress-container{position:relative}.quick-input-list{line-height:22px}.quick-input-widget.hidden-input .quick-input-list{margin-top:4px;padding-bottom:4px}.quick-input-list .monaco-list{overflow:hidden;max-height:440px;padding-bottom:5px}.quick-input-list .monaco-scrollable-element{padding:0 5px}.quick-input-list .quick-input-list-entry{box-sizing:border-box;overflow:hidden;display:flex;padding:0 6px}.quick-input-list .quick-input-list-entry.quick-input-list-separator-border{border-top-width:1px;border-top-style:solid}.quick-input-list .monaco-list-row{border-radius:3px}.quick-input-list .monaco-list-row[data-index="0"] .quick-input-list-entry.quick-input-list-separator-border{border-top-style:none}.quick-input-list .quick-input-list-label{overflow:hidden;display:flex;height:100%;flex:1}.quick-input-list .quick-input-list-checkbox{align-self:center;margin:0}.quick-input-list .quick-input-list-icon{background-size:16px;background-position:left center;background-repeat:no-repeat;padding-right:6px;width:16px;height:22px;display:flex;align-items:center;justify-content:center}.quick-input-list .quick-input-list-rows{overflow:hidden;text-overflow:ellipsis;display:flex;flex-direction:column;height:100%;flex:1;margin-left:5px}.quick-input-widget.show-checkboxes .quick-input-list .quick-input-list-rows{margin-left:10px}.quick-input-widget .quick-input-list .quick-input-list-checkbox{display:none}.quick-input-widget.show-checkboxes .quick-input-list .quick-input-list-checkbox{display:inline}.quick-input-list .quick-input-list-rows>.quick-input-list-row{display:flex;align-items:center}.quick-input-list .quick-input-list-rows>.quick-input-list-row .monaco-icon-label,.quick-input-list .quick-input-list-rows>.quick-input-list-row .monaco-icon-label .monaco-icon-label-container>.monaco-icon-name-container{flex:1}.quick-input-list .quick-input-list-rows>.quick-input-list-row .codicon[class*=codicon-]{vertical-align:text-bottom}.quick-input-list .quick-input-list-rows .monaco-highlighted-label>span{opacity:1}.quick-input-list .quick-input-list-entry .quick-input-list-entry-keybinding{margin-right:8px}.quick-input-list .quick-input-list-label-meta{opacity:.7;line-height:normal;text-overflow:ellipsis;overflow:hidden}.quick-input-list .monaco-list .monaco-list-row .monaco-highlighted-label .highlight{font-weight:700;background-color:unset;color:var(--vscode-list-highlightForeground)!important}.quick-input-list .monaco-list .monaco-list-row.focused .monaco-highlighted-label .highlight{color:var(--vscode-list-focusHighlightForeground)!important}.quick-input-list .quick-input-list-entry .quick-input-list-separator{margin-right:4px}.quick-input-list .quick-input-list-entry-action-bar{display:flex;flex:0;overflow:visible}.quick-input-list .quick-input-list-entry-action-bar .action-label{display:none}.quick-input-list .quick-input-list-entry-action-bar .action-label.codicon{margin-right:4px;padding:2px}.quick-input-list .quick-input-list-entry-action-bar{margin-top:1px}.quick-input-list .quick-input-list-entry-action-bar{margin-right:4px}.quick-input-list .quick-input-list-entry .quick-input-list-entry-action-bar .action-label.always-visible,.quick-input-list .quick-input-list-entry:hover .quick-input-list-entry-action-bar .action-label,.quick-input-list .quick-input-list-entry.focus-inside .quick-input-list-entry-action-bar .action-label,.quick-input-list .monaco-list-row.focused .quick-input-list-entry-action-bar .action-label,.quick-input-list .monaco-list-row.passive-focused .quick-input-list-entry-action-bar .action-label{display:flex}.quick-input-list .monaco-list-row.focused .monaco-keybinding-key,.quick-input-list .monaco-list-row.focused .quick-input-list-entry .quick-input-list-separator{color:inherit}.quick-input-list .monaco-list-row.focused .monaco-keybinding-key{background:none}.quick-input-list .quick-input-list-separator-as-item{padding:4px 6px;font-size:12px}.quick-input-list .quick-input-list-separator-as-item .label-name{font-weight:600}.quick-input-list .quick-input-list-separator-as-item .label-description{opacity:1!important}.quick-input-list .monaco-tree-sticky-row .quick-input-list-entry.quick-input-list-separator-as-item.quick-input-list-separator-border{border-top-style:none}.quick-input-list .monaco-tree-sticky-row{padding:0 5px}.quick-input-list .monaco-tl-twistie{display:none!important}.monaco-text-button{box-sizing:border-box;display:flex;width:100%;padding:4px;border-radius:2px;text-align:center;cursor:pointer;justify-content:center;align-items:center;border:1px solid var(--vscode-button-border, transparent);line-height:18px}.monaco-text-button:focus{outline-offset:2px!important}.monaco-text-button:hover{text-decoration:none!important}.monaco-button.disabled:focus,.monaco-button.disabled{opacity:.4!important;cursor:default}.monaco-text-button .codicon{margin:0 .2em;color:inherit!important}.monaco-text-button.monaco-text-button-with-short-label{flex-direction:row;flex-wrap:wrap;padding:0 4px;overflow:hidden;height:28px}.monaco-text-button.monaco-text-button-with-short-label>.monaco-button-label{flex-basis:100%}.monaco-text-button.monaco-text-button-with-short-label>.monaco-button-label-short{flex-grow:1;width:0;overflow:hidden}.monaco-text-button.monaco-text-button-with-short-label>.monaco-button-label,.monaco-text-button.monaco-text-button-with-short-label>.monaco-button-label-short{display:flex;justify-content:center;align-items:center;font-weight:400;font-style:inherit;padding:4px 0}.monaco-button-dropdown{display:flex;cursor:pointer}.monaco-button-dropdown.disabled{cursor:default}.monaco-button-dropdown>.monaco-button:focus{outline-offset:-1px!important}.monaco-button-dropdown.disabled>.monaco-button.disabled,.monaco-button-dropdown.disabled>.monaco-button.disabled:focus,.monaco-button-dropdown.disabled>.monaco-button-dropdown-separator{opacity:.4!important}.monaco-button-dropdown>.monaco-button.monaco-text-button{border-right-width:0!important}.monaco-button-dropdown .monaco-button-dropdown-separator{padding:4px 0;cursor:default}.monaco-button-dropdown .monaco-button-dropdown-separator>div{height:100%;width:1px}.monaco-button-dropdown>.monaco-button.monaco-dropdown-button{border:1px solid var(--vscode-button-border, transparent);border-left-width:0!important;border-radius:0 2px 2px 0;display:flex;align-items:center}.monaco-button-dropdown>.monaco-button.monaco-text-button{border-radius:2px 0 0 2px}.monaco-description-button{display:flex;flex-direction:column;align-items:center;margin:4px 5px}.monaco-description-button .monaco-button-description{font-style:italic;font-size:11px;padding:4px 20px}.monaco-description-button .monaco-button-label,.monaco-description-button .monaco-button-description{display:flex;justify-content:center;align-items:center}.monaco-description-button .monaco-button-label>.codicon,.monaco-description-button .monaco-button-description>.codicon{margin:0 .2em;color:inherit!important}.monaco-button.default-colors,.monaco-button-dropdown.default-colors>.monaco-button{color:var(--vscode-button-foreground);background-color:var(--vscode-button-background)}.monaco-button.default-colors:hover,.monaco-button-dropdown.default-colors>.monaco-button:hover{background-color:var(--vscode-button-hoverBackground)}.monaco-button.default-colors.secondary,.monaco-button-dropdown.default-colors>.monaco-button.secondary{color:var(--vscode-button-secondaryForeground);background-color:var(--vscode-button-secondaryBackground)}.monaco-button.default-colors.secondary:hover,.monaco-button-dropdown.default-colors>.monaco-button.secondary:hover{background-color:var(--vscode-button-secondaryHoverBackground)}.monaco-button-dropdown.default-colors .monaco-button-dropdown-separator{background-color:var(--vscode-button-background);border-top:1px solid var(--vscode-button-border);border-bottom:1px solid var(--vscode-button-border)}.monaco-button-dropdown.default-colors .monaco-button.secondary+.monaco-button-dropdown-separator{background-color:var(--vscode-button-secondaryBackground)}.monaco-button-dropdown.default-colors .monaco-button-dropdown-separator>div{background-color:var(--vscode-button-separator)}.monaco-count-badge{padding:3px 6px;border-radius:11px;font-size:11px;min-width:18px;min-height:18px;line-height:11px;font-weight:400;text-align:center;display:inline-block;box-sizing:border-box}.monaco-count-badge.long{padding:2px 3px;border-radius:2px;min-height:auto;line-height:normal}.monaco-progress-container{width:100%;height:2px;overflow:hidden}.monaco-progress-container .progress-bit{width:2%;height:2px;position:absolute;left:0;display:none}.monaco-progress-container.active .progress-bit{display:inherit}.monaco-progress-container.discrete .progress-bit{left:0;transition:width .1s linear}.monaco-progress-container.discrete.done .progress-bit{width:100%}.monaco-progress-container.infinite .progress-bit{animation-name:progress;animation-duration:4s;animation-iteration-count:infinite;transform:translateZ(0);animation-timing-function:linear}.monaco-progress-container.infinite.infinite-long-running .progress-bit{animation-timing-function:steps(100)}@keyframes progress{0%{transform:translate(0) scaleX(1)}50%{transform:translate(2500%) scaleX(3)}to{transform:translate(4900%) scaleX(1)}}.monaco-inputbox{position:relative;display:block;padding:0;box-sizing:border-box;border-radius:2px;font-size:inherit}.monaco-inputbox>.ibwrapper>.input,.monaco-inputbox>.ibwrapper>.mirror{padding:4px 6px}.monaco-inputbox>.ibwrapper{position:relative;width:100%;height:100%}.monaco-inputbox>.ibwrapper>.input{display:inline-block;box-sizing:border-box;width:100%;height:100%;line-height:inherit;border:none;font-family:inherit;font-size:inherit;resize:none;color:inherit}.monaco-inputbox>.ibwrapper>input{text-overflow:ellipsis}.monaco-inputbox>.ibwrapper>textarea.input{display:block;scrollbar-width:none;outline:none}.monaco-inputbox>.ibwrapper>textarea.input::-webkit-scrollbar{display:none}.monaco-inputbox>.ibwrapper>textarea.input.empty{white-space:nowrap}.monaco-inputbox>.ibwrapper>.mirror{position:absolute;display:inline-block;width:100%;top:0;left:0;box-sizing:border-box;white-space:pre-wrap;visibility:hidden;word-wrap:break-word}.monaco-inputbox-container{text-align:right}.monaco-inputbox-container .monaco-inputbox-message{display:inline-block;overflow:hidden;text-align:left;width:100%;box-sizing:border-box;padding:.4em;font-size:12px;line-height:17px;margin-top:-1px;word-wrap:break-word}.monaco-inputbox .monaco-action-bar{position:absolute;right:2px;top:4px}.monaco-inputbox .monaco-action-bar .action-item{margin-left:2px}.monaco-inputbox .monaco-action-bar .action-item .codicon{background-repeat:no-repeat;width:16px;height:16px}.monaco-findInput{position:relative}.monaco-findInput .monaco-inputbox{font-size:13px;width:100%}.monaco-findInput>.controls{position:absolute;top:3px;right:2px}.vs .monaco-findInput.disabled{background-color:#e1e1e1}.vs-dark .monaco-findInput.disabled{background-color:#333}.monaco-findInput.highlight-0 .controls,.hc-light .monaco-findInput.highlight-0 .controls{animation:monaco-findInput-highlight-0 .1s linear 0s}.monaco-findInput.highlight-1 .controls,.hc-light .monaco-findInput.highlight-1 .controls{animation:monaco-findInput-highlight-1 .1s linear 0s}.hc-black .monaco-findInput.highlight-0 .controls,.vs-dark .monaco-findInput.highlight-0 .controls{animation:monaco-findInput-highlight-dark-0 .1s linear 0s}.hc-black .monaco-findInput.highlight-1 .controls,.vs-dark .monaco-findInput.highlight-1 .controls{animation:monaco-findInput-highlight-dark-1 .1s linear 0s}@keyframes monaco-findInput-highlight-0{0%{background:#fdff00cc}to{background:transparent}}@keyframes monaco-findInput-highlight-1{0%{background:#fdff00cc}99%{background:transparent}}@keyframes monaco-findInput-highlight-dark-0{0%{background:#ffffff70}to{background:transparent}}@keyframes monaco-findInput-highlight-dark-1{0%{background:#ffffff70}99%{background:transparent}}:root{--vscode-sash-size: 4px;--vscode-sash-hover-size: 4px}.monaco-sash{position:absolute;z-index:35;touch-action:none}.monaco-sash.disabled{pointer-events:none}.monaco-sash.mac.vertical{cursor:col-resize}.monaco-sash.vertical.minimum{cursor:e-resize}.monaco-sash.vertical.maximum{cursor:w-resize}.monaco-sash.mac.horizontal{cursor:row-resize}.monaco-sash.horizontal.minimum{cursor:s-resize}.monaco-sash.horizontal.maximum{cursor:n-resize}.monaco-sash.disabled{cursor:default!important;pointer-events:none!important}.monaco-sash.vertical{cursor:ew-resize;top:0;width:var(--vscode-sash-size);height:100%}.monaco-sash.horizontal{cursor:ns-resize;left:0;width:100%;height:var(--vscode-sash-size)}.monaco-sash:not(.disabled)>.orthogonal-drag-handle{content:" ";height:calc(var(--vscode-sash-size) * 2);width:calc(var(--vscode-sash-size) * 2);z-index:100;display:block;cursor:all-scroll;position:absolute}.monaco-sash.horizontal.orthogonal-edge-north:not(.disabled)>.orthogonal-drag-handle.start,.monaco-sash.horizontal.orthogonal-edge-south:not(.disabled)>.orthogonal-drag-handle.end{cursor:nwse-resize}.monaco-sash.horizontal.orthogonal-edge-north:not(.disabled)>.orthogonal-drag-handle.end,.monaco-sash.horizontal.orthogonal-edge-south:not(.disabled)>.orthogonal-drag-handle.start{cursor:nesw-resize}.monaco-sash.vertical>.orthogonal-drag-handle.start{left:calc(var(--vscode-sash-size) * -.5);top:calc(var(--vscode-sash-size) * -1)}.monaco-sash.vertical>.orthogonal-drag-handle.end{left:calc(var(--vscode-sash-size) * -.5);bottom:calc(var(--vscode-sash-size) * -1)}.monaco-sash.horizontal>.orthogonal-drag-handle.start{top:calc(var(--vscode-sash-size) * -.5);left:calc(var(--vscode-sash-size) * -1)}.monaco-sash.horizontal>.orthogonal-drag-handle.end{top:calc(var(--vscode-sash-size) * -.5);right:calc(var(--vscode-sash-size) * -1)}.monaco-sash:before{content:"";pointer-events:none;position:absolute;width:100%;height:100%;background:transparent}.monaco-workbench:not(.reduce-motion) .monaco-sash:before{transition:background-color .1s ease-out}.monaco-sash.hover:before,.monaco-sash.active:before{background:var(--vscode-sash-hoverBorder)}.monaco-sash.vertical:before{width:var(--vscode-sash-hover-size);left:calc(50% - (var(--vscode-sash-hover-size) / 2))}.monaco-sash.horizontal:before{height:var(--vscode-sash-hover-size);top:calc(50% - (var(--vscode-sash-hover-size) / 2))}.pointer-events-disabled{pointer-events:none!important}.monaco-sash.debug{background:#0ff}.monaco-sash.debug.disabled{background:#0ff3}.monaco-sash.debug:not(.disabled)>.orthogonal-drag-handle{background:red}.monaco-split-view2{position:relative;width:100%;height:100%}.monaco-split-view2>.sash-container{position:absolute;width:100%;height:100%;pointer-events:none}.monaco-split-view2>.sash-container>.monaco-sash{pointer-events:initial}.monaco-split-view2>.monaco-scrollable-element{width:100%;height:100%}.monaco-split-view2>.monaco-scrollable-element>.split-view-container{width:100%;height:100%;white-space:nowrap;position:relative}.monaco-split-view2>.monaco-scrollable-element>.split-view-container>.split-view-view{white-space:initial;position:absolute}.monaco-split-view2>.monaco-scrollable-element>.split-view-container>.split-view-view:not(.visible){display:none}.monaco-split-view2.vertical>.monaco-scrollable-element>.split-view-container>.split-view-view{width:100%}.monaco-split-view2.horizontal>.monaco-scrollable-element>.split-view-container>.split-view-view{height:100%}.monaco-split-view2.separator-border>.monaco-scrollable-element>.split-view-container>.split-view-view:not(:first-child):before{content:" ";position:absolute;top:0;left:0;z-index:5;pointer-events:none;background-color:var(--separator-border)}.monaco-split-view2.separator-border.horizontal>.monaco-scrollable-element>.split-view-container>.split-view-view:not(:first-child):before{height:100%;width:1px}.monaco-split-view2.separator-border.vertical>.monaco-scrollable-element>.split-view-container>.split-view-view:not(:first-child):before{height:1px;width:100%}.monaco-table{display:flex;flex-direction:column;position:relative;height:100%;width:100%;white-space:nowrap;overflow:hidden}.monaco-table>.monaco-split-view2{border-bottom:1px solid transparent}.monaco-table>.monaco-list{flex:1}.monaco-table-tr{display:flex;height:100%}.monaco-table-th{width:100%;height:100%;font-weight:700;overflow:hidden;text-overflow:ellipsis}.monaco-table-th,.monaco-table-td{box-sizing:border-box;flex-shrink:0;overflow:hidden;white-space:nowrap;text-overflow:ellipsis}.monaco-table>.monaco-split-view2 .monaco-sash.vertical:before{content:"";position:absolute;left:calc(var(--vscode-sash-size) / 2);width:0;border-left:1px solid transparent}.monaco-workbench:not(.reduce-motion) .monaco-table>.monaco-split-view2,.monaco-workbench:not(.reduce-motion) .monaco-table>.monaco-split-view2 .monaco-sash.vertical:before{transition:border-color .2s ease-out}.monaco-tl-row{display:flex;height:100%;align-items:center;position:relative}.monaco-tl-row.disabled{cursor:default}.monaco-tl-indent{height:100%;position:absolute;top:0;left:16px;pointer-events:none}.hide-arrows .monaco-tl-indent{left:12px}.monaco-tl-indent>.indent-guide{display:inline-block;box-sizing:border-box;height:100%;border-left:1px solid transparent}.monaco-workbench:not(.reduce-motion) .monaco-tl-indent>.indent-guide{transition:border-color .1s linear}.monaco-tl-twistie,.monaco-tl-contents{height:100%}.monaco-tl-twistie{font-size:10px;text-align:right;padding-right:6px;flex-shrink:0;width:16px;display:flex!important;align-items:center;justify-content:center;transform:translate(3px)}.monaco-tl-contents{flex:1;overflow:hidden}.monaco-tl-twistie:before{border-radius:20px}.monaco-tl-twistie.collapsed:before{transform:rotate(-90deg)}.monaco-tl-twistie.codicon-tree-item-loading:before{animation:codicon-spin 1.25s steps(30) infinite}.monaco-tree-type-filter{position:absolute;top:0;display:flex;padding:3px;max-width:200px;z-index:100;margin:0 6px;border:1px solid var(--vscode-widget-border);border-bottom-left-radius:4px;border-bottom-right-radius:4px}.monaco-workbench:not(.reduce-motion) .monaco-tree-type-filter{transition:top .3s}.monaco-tree-type-filter.disabled{top:-40px!important}.monaco-tree-type-filter-grab{display:flex!important;align-items:center;justify-content:center;cursor:grab;margin-right:2px}.monaco-tree-type-filter-grab.grabbing{cursor:grabbing}.monaco-tree-type-filter-input{flex:1}.monaco-tree-type-filter-input .monaco-inputbox{height:23px}.monaco-tree-type-filter-input .monaco-inputbox>.ibwrapper>.input,.monaco-tree-type-filter-input .monaco-inputbox>.ibwrapper>.mirror{padding:2px 4px}.monaco-tree-type-filter-input .monaco-findInput>.controls{top:2px}.monaco-tree-type-filter-actionbar{margin-left:4px}.monaco-tree-type-filter-actionbar .monaco-action-bar .action-label{padding:2px}.monaco-list .monaco-scrollable-element .monaco-tree-sticky-container{position:absolute;top:0;left:0;width:100%;height:0;z-index:13;background-color:var(--vscode-sideBar-background)}.monaco-list .monaco-scrollable-element .monaco-tree-sticky-container .monaco-tree-sticky-row.monaco-list-row{position:absolute;width:100%;opacity:1!important;overflow:hidden;background-color:var(--vscode-sideBar-background)}.monaco-list .monaco-scrollable-element .monaco-tree-sticky-container .monaco-tree-sticky-row:hover{background-color:var(--vscode-list-hoverBackground)!important;cursor:pointer}.monaco-list .monaco-scrollable-element .monaco-tree-sticky-container.empty,.monaco-list .monaco-scrollable-element .monaco-tree-sticky-container.empty .monaco-tree-sticky-container-shadow{display:none}.monaco-list .monaco-scrollable-element .monaco-tree-sticky-container .monaco-tree-sticky-container-shadow{position:absolute;bottom:-3px;left:0;height:0px;width:100%}.monaco-list .monaco-scrollable-element .monaco-tree-sticky-container[tabindex="0"]:focus{outline:none}.monaco-icon-label{display:flex;overflow:hidden;text-overflow:ellipsis}.monaco-icon-label:before{background-size:16px;background-position:left center;background-repeat:no-repeat;padding-right:6px;width:16px;height:22px;line-height:inherit!important;display:inline-block;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale;vertical-align:top;flex-shrink:0}.monaco-icon-label-iconpath{width:16px;height:16px;padding-left:2px;margin-top:2px;display:flex}.monaco-icon-label-container.disabled{color:var(--vscode-disabledForeground)}.monaco-icon-label>.monaco-icon-label-container{min-width:0;overflow:hidden;text-overflow:ellipsis;flex:1}.monaco-icon-label>.monaco-icon-label-container>.monaco-icon-name-container>.label-name{color:inherit;white-space:pre}.monaco-icon-label>.monaco-icon-label-container>.monaco-icon-name-container>.label-name>.label-separator{margin:0 2px;opacity:.5}.monaco-icon-label>.monaco-icon-label-container>.monaco-icon-suffix-container>.label-suffix{opacity:.7;white-space:pre}.monaco-icon-label>.monaco-icon-label-container>.monaco-icon-description-container>.label-description{opacity:.7;margin-left:.5em;font-size:.9em;white-space:pre}.monaco-icon-label.nowrap>.monaco-icon-label-container>.monaco-icon-description-container>.label-description{white-space:nowrap}.vs .monaco-icon-label>.monaco-icon-label-container>.monaco-icon-description-container>.label-description{opacity:.95}.monaco-icon-label.italic>.monaco-icon-label-container>.monaco-icon-name-container>.label-name,.monaco-icon-label.italic>.monaco-icon-label-container>.monaco-icon-description-container>.label-description{font-style:italic}.monaco-icon-label.deprecated{text-decoration:line-through;opacity:.66}.monaco-icon-label.italic:after{font-style:italic}.monaco-icon-label.strikethrough>.monaco-icon-label-container>.monaco-icon-name-container>.label-name,.monaco-icon-label.strikethrough>.monaco-icon-label-container>.monaco-icon-description-container>.label-description{text-decoration:line-through}.monaco-icon-label:after{opacity:.75;font-size:90%;font-weight:600;margin:auto 16px 0 5px;text-align:center}.monaco-list:focus .selected .monaco-icon-label,.monaco-list:focus .selected .monaco-icon-label:after{color:inherit!important}.monaco-list-row.focused.selected .label-description,.monaco-list-row.selected .label-description{opacity:.8}.monaco-keybinding{display:flex;align-items:center;line-height:10px}.monaco-keybinding>.monaco-keybinding-key{display:inline-block;border-style:solid;border-width:1px;border-radius:3px;vertical-align:middle;font-size:11px;padding:3px 5px;margin:0 2px}.monaco-keybinding>.monaco-keybinding-key:first-child{margin-left:0}.monaco-keybinding>.monaco-keybinding-key:last-child{margin-right:0}.monaco-keybinding>.monaco-keybinding-key-separator{display:inline-block}.monaco-keybinding>.monaco-keybinding-key-chord-separator{width:6px}::-ms-clear{display:none}.monaco-editor .editor-widget input{color:inherit}.monaco-editor{position:relative;overflow:visible;-webkit-text-size-adjust:100%;color:var(--vscode-editor-foreground);background-color:var(--vscode-editor-background);overflow-wrap:initial}.monaco-editor-background{background-color:var(--vscode-editor-background)}.monaco-editor .rangeHighlight{background-color:var(--vscode-editor-rangeHighlightBackground);box-sizing:border-box;border:1px solid var(--vscode-editor-rangeHighlightBorder)}.monaco-editor.hc-black .rangeHighlight,.monaco-editor.hc-light .rangeHighlight{border-style:dotted}.monaco-editor .symbolHighlight{background-color:var(--vscode-editor-symbolHighlightBackground);box-sizing:border-box;border:1px solid var(--vscode-editor-symbolHighlightBorder)}.monaco-editor.hc-black .symbolHighlight,.monaco-editor.hc-light .symbolHighlight{border-style:dotted}.monaco-editor .overflow-guard{position:relative;overflow:hidden}.monaco-editor .view-overlays{position:absolute;top:0}.monaco-editor .view-overlays>div,.monaco-editor .margin-view-overlays>div{position:absolute;width:100%}.monaco-editor .squiggly-error{border-bottom:4px double var(--vscode-editorError-border)}.monaco-editor .squiggly-error:before{display:block;content:"";width:100%;height:100%;background:var(--vscode-editorError-background)}.monaco-editor .squiggly-warning{border-bottom:4px double var(--vscode-editorWarning-border)}.monaco-editor .squiggly-warning:before{display:block;content:"";width:100%;height:100%;background:var(--vscode-editorWarning-background)}.monaco-editor .squiggly-info{border-bottom:4px double var(--vscode-editorInfo-border)}.monaco-editor .squiggly-info:before{display:block;content:"";width:100%;height:100%;background:var(--vscode-editorInfo-background)}.monaco-editor .squiggly-hint{border-bottom:2px dotted var(--vscode-editorHint-border)}.monaco-editor.showUnused .squiggly-unnecessary{border-bottom:2px dashed var(--vscode-editorUnnecessaryCode-border)}.monaco-editor.showDeprecated .squiggly-inline-deprecated{text-decoration:line-through;text-decoration-color:var(--vscode-editor-foreground, inherit)}.monaco-editor .inputarea{min-width:0;min-height:0;margin:0;padding:0;position:absolute;outline:none!important;resize:none;border:none;overflow:hidden;color:transparent;background-color:transparent;z-index:-10}.monaco-editor .inputarea.ime-input{z-index:10;caret-color:var(--vscode-editorCursor-foreground);color:var(--vscode-editor-foreground)}.monaco-editor .margin-view-overlays .line-numbers{bottom:0;font-variant-numeric:tabular-nums;position:absolute;text-align:right;display:inline-block;vertical-align:middle;box-sizing:border-box;cursor:default}.monaco-editor .relative-current-line-number{text-align:left;display:inline-block;width:100%}.monaco-editor .margin-view-overlays .line-numbers.lh-odd{margin-top:1px}.monaco-editor .line-numbers{color:var(--vscode-editorLineNumber-foreground)}.monaco-editor .line-numbers.active-line-number{color:var(--vscode-editorLineNumber-activeForeground)}.monaco-editor .margin{background-color:var(--vscode-editorGutter-background)}.monaco-mouse-cursor-text{cursor:text}.monaco-editor .blockDecorations-container{position:absolute;top:0;pointer-events:none}.monaco-editor .blockDecorations-block{position:absolute;box-sizing:border-box}.monaco-editor .view-overlays .current-line,.monaco-editor .margin-view-overlays .current-line{display:block;position:absolute;left:0;top:0;box-sizing:border-box;height:100%}.monaco-editor .margin-view-overlays .current-line.current-line-margin.current-line-margin-both{border-right:0}.monaco-editor .lines-content .cdr{position:absolute;height:100%}.monaco-editor .glyph-margin{position:absolute;top:0}.monaco-editor .glyph-margin-widgets .cgmr{position:absolute;display:flex;align-items:center;justify-content:center}.monaco-editor .glyph-margin-widgets .cgmr.codicon-modifier-spin:before{position:absolute;top:50%;left:50%;transform:translate(-50%,-50%)}.monaco-editor .lines-content .core-guide{position:absolute;box-sizing:border-box;height:100%}.mtkcontrol{color:#fff!important;background:#960000!important}.mtkoverflow{background-color:var(--vscode-button-background, var(--vscode-editor-background));color:var(--vscode-button-foreground, var(--vscode-editor-foreground));border-width:1px;border-style:solid;border-color:var(--vscode-contrastBorder);border-radius:2px;padding:4px;cursor:pointer}.mtkoverflow:hover{background-color:var(--vscode-button-hoverBackground)}.monaco-editor.no-user-select .lines-content,.monaco-editor.no-user-select .view-line,.monaco-editor.no-user-select .view-lines{user-select:none;-webkit-user-select:none}.monaco-editor.mac .lines-content:hover,.monaco-editor.mac .view-line:hover,.monaco-editor.mac .view-lines:hover{user-select:text;-webkit-user-select:text;-ms-user-select:text}.monaco-editor.enable-user-select{user-select:initial;-webkit-user-select:initial}.monaco-editor .view-lines{white-space:nowrap}.monaco-editor .view-line{position:absolute;width:100%}.monaco-editor .lines-content>.view-lines>.view-line>span{top:0;bottom:0;position:absolute}.monaco-editor .mtkw{color:var(--vscode-editorWhitespace-foreground)!important}.monaco-editor .mtkz{display:inline-block;color:var(--vscode-editorWhitespace-foreground)!important}.monaco-editor .lines-decorations{position:absolute;top:0;background:#fff}.monaco-editor .margin-view-overlays .cldr{position:absolute;height:100%}.monaco-editor .margin-view-overlays .cmdr{position:absolute;left:0;width:100%;height:100%}.monaco-editor .minimap.slider-mouseover .minimap-slider{opacity:0;transition:opacity .1s linear}.monaco-editor .minimap.slider-mouseover:hover .minimap-slider,.monaco-editor .minimap.slider-mouseover .minimap-slider.active{opacity:1}.monaco-editor .minimap-slider .minimap-slider-horizontal{background:var(--vscode-minimapSlider-background)}.monaco-editor .minimap-slider:hover .minimap-slider-horizontal{background:var(--vscode-minimapSlider-hoverBackground)}.monaco-editor .minimap-slider.active .minimap-slider-horizontal{background:var(--vscode-minimapSlider-activeBackground)}.monaco-editor .minimap-shadow-visible{box-shadow:var(--vscode-scrollbar-shadow) -6px 0 6px -6px inset}.monaco-editor .minimap-shadow-hidden{position:absolute;width:0}.monaco-editor .minimap-shadow-visible{position:absolute;left:-6px;width:6px}.monaco-editor.no-minimap-shadow .minimap-shadow-visible{position:absolute;left:-1px;width:1px}.minimap.autohide{opacity:0;transition:opacity .5s}.minimap.autohide:hover{opacity:1}.monaco-editor .minimap{z-index:5}.monaco-editor .overlayWidgets{position:absolute;top:0;left:0}.monaco-editor .view-ruler{position:absolute;top:0;box-shadow:1px 0 0 0 var(--vscode-editorRuler-foreground) inset}.monaco-editor .scroll-decoration{position:absolute;top:0;left:0;height:6px;box-shadow:var(--vscode-scrollbar-shadow) 0 6px 6px -6px inset}.monaco-editor .lines-content .cslr{position:absolute}.monaco-editor .focused .selected-text{background-color:var(--vscode-editor-selectionBackground)}.monaco-editor .selected-text{background-color:var(--vscode-editor-inactiveSelectionBackground)}.monaco-editor .top-left-radius{border-top-left-radius:3px}.monaco-editor .bottom-left-radius{border-bottom-left-radius:3px}.monaco-editor .top-right-radius{border-top-right-radius:3px}.monaco-editor .bottom-right-radius{border-bottom-right-radius:3px}.monaco-editor.hc-black .top-left-radius{border-top-left-radius:0}.monaco-editor.hc-black .bottom-left-radius{border-bottom-left-radius:0}.monaco-editor.hc-black .top-right-radius{border-top-right-radius:0}.monaco-editor.hc-black .bottom-right-radius{border-bottom-right-radius:0}.monaco-editor.hc-light .top-left-radius{border-top-left-radius:0}.monaco-editor.hc-light .bottom-left-radius{border-bottom-left-radius:0}.monaco-editor.hc-light .top-right-radius{border-top-right-radius:0}.monaco-editor.hc-light .bottom-right-radius{border-bottom-right-radius:0}.monaco-editor .cursors-layer{position:absolute;top:0}.monaco-editor .cursors-layer>.cursor{position:absolute;overflow:hidden;box-sizing:border-box}.monaco-editor .cursors-layer.cursor-smooth-caret-animation>.cursor{transition:all 80ms}.monaco-editor .cursors-layer.cursor-block-outline-style>.cursor{background:transparent!important;border-style:solid;border-width:1px}.monaco-editor .cursors-layer.cursor-underline-style>.cursor{border-bottom-width:2px;border-bottom-style:solid;background:transparent!important}.monaco-editor .cursors-layer.cursor-underline-thin-style>.cursor{border-bottom-width:1px;border-bottom-style:solid;background:transparent!important}@keyframes monaco-cursor-smooth{0%,20%{opacity:1}60%,to{opacity:0}}@keyframes monaco-cursor-phase{0%,20%{opacity:1}90%,to{opacity:0}}@keyframes monaco-cursor-expand{0%,20%{transform:scaleY(1)}80%,to{transform:scaleY(0)}}.cursor-smooth{animation:monaco-cursor-smooth .5s ease-in-out 0s 20 alternate}.cursor-phase{animation:monaco-cursor-phase .5s ease-in-out 0s 20 alternate}.cursor-expand>.cursor{animation:monaco-cursor-expand .5s ease-in-out 0s 20 alternate}.monaco-editor .mwh{position:absolute;color:var(--vscode-editorWhitespace-foreground)!important}.monaco-editor .diff-hidden-lines-widget{width:100%}.monaco-editor .diff-hidden-lines{height:0px;transform:translateY(-10px);font-size:13px;line-height:14px}.monaco-editor .diff-hidden-lines:not(.dragging) .top:hover,.monaco-editor .diff-hidden-lines:not(.dragging) .bottom:hover,.monaco-editor .diff-hidden-lines .top.dragging,.monaco-editor .diff-hidden-lines .bottom.dragging{background-color:var(--vscode-focusBorder)}.monaco-editor .diff-hidden-lines .top,.monaco-editor .diff-hidden-lines .bottom{transition:background-color .1s ease-out;height:4px;background-color:transparent;background-clip:padding-box;border-bottom:2px solid transparent;border-top:4px solid transparent}.monaco-editor.draggingUnchangedRegion.canMoveTop:not(.canMoveBottom) *,.monaco-editor .diff-hidden-lines .top.canMoveTop:not(.canMoveBottom),.monaco-editor .diff-hidden-lines .bottom.canMoveTop:not(.canMoveBottom){cursor:n-resize!important}.monaco-editor.draggingUnchangedRegion:not(.canMoveTop).canMoveBottom *,.monaco-editor .diff-hidden-lines .top:not(.canMoveTop).canMoveBottom,.monaco-editor .diff-hidden-lines .bottom:not(.canMoveTop).canMoveBottom{cursor:s-resize!important}.monaco-editor.draggingUnchangedRegion.canMoveTop.canMoveBottom *,.monaco-editor .diff-hidden-lines .top.canMoveTop.canMoveBottom,.monaco-editor .diff-hidden-lines .bottom.canMoveTop.canMoveBottom{cursor:ns-resize!important}.monaco-editor .diff-hidden-lines .top{transform:translateY(4px)}.monaco-editor .diff-hidden-lines .bottom{transform:translateY(-6px)}.monaco-editor .diff-unchanged-lines{background:var(--vscode-diffEditor-unchangedCodeBackground)}.monaco-editor .noModificationsOverlay{z-index:1;background:var(--vscode-editor-background);display:flex;justify-content:center;align-items:center}.monaco-editor .diff-hidden-lines .center{background:var(--vscode-diffEditor-unchangedRegionBackground);color:var(--vscode-diffEditor-unchangedRegionForeground);overflow:hidden;display:block;text-overflow:ellipsis;white-space:nowrap;height:24px;box-shadow:inset 0 -5px 5px -7px var(--vscode-diffEditor-unchangedRegionShadow),inset 0 5px 5px -7px var(--vscode-diffEditor-unchangedRegionShadow)}.monaco-editor .diff-hidden-lines .center span.codicon{vertical-align:middle}.monaco-editor .diff-hidden-lines .center a:hover .codicon{cursor:pointer;color:var(--vscode-editorLink-activeForeground)!important}.monaco-editor .diff-hidden-lines div.breadcrumb-item{cursor:pointer}.monaco-editor .diff-hidden-lines div.breadcrumb-item:hover{color:var(--vscode-editorLink-activeForeground)}.monaco-editor .movedOriginal,.monaco-editor .movedModified{border:2px solid var(--vscode-diffEditor-move-border)}.monaco-editor .movedOriginal.currentMove,.monaco-editor .movedModified.currentMove{border:2px solid var(--vscode-diffEditor-moveActive-border)}.monaco-diff-editor .moved-blocks-lines path.currentMove{stroke:var(--vscode-diffEditor-moveActive-border)}.monaco-diff-editor .moved-blocks-lines path{pointer-events:visiblestroke}.monaco-diff-editor .moved-blocks-lines .arrow{fill:var(--vscode-diffEditor-move-border)}.monaco-diff-editor .moved-blocks-lines .arrow.currentMove{fill:var(--vscode-diffEditor-moveActive-border)}.monaco-diff-editor .moved-blocks-lines .arrow-rectangle{fill:var(--vscode-editor-background)}.monaco-diff-editor .moved-blocks-lines{position:absolute;pointer-events:none}.monaco-diff-editor .moved-blocks-lines path{fill:none;stroke:var(--vscode-diffEditor-move-border);stroke-width:2}.monaco-editor .char-delete.diff-range-empty{margin-left:-1px;border-left:solid var(--vscode-diffEditor-removedTextBackground) 3px}.monaco-editor .char-insert.diff-range-empty{border-left:solid var(--vscode-diffEditor-insertedTextBackground) 3px}.monaco-editor .fold-unchanged{cursor:pointer}.monaco-diff-editor .diff-moved-code-block{display:flex;justify-content:flex-end;margin-top:-4px}.monaco-diff-editor .diff-moved-code-block .action-bar .action-label.codicon{width:12px;height:12px;font-size:12px}.monaco-diff-editor .diffOverview{z-index:9}.monaco-diff-editor .diffOverview .diffViewport{z-index:10}.monaco-diff-editor.vs .diffOverview{background:#00000008}.monaco-diff-editor.vs-dark .diffOverview{background:#ffffff03}.monaco-scrollable-element.modified-in-monaco-diff-editor.vs .scrollbar,.monaco-scrollable-element.modified-in-monaco-diff-editor.vs-dark .scrollbar{background:#0000}.monaco-scrollable-element.modified-in-monaco-diff-editor.hc-black .scrollbar,.monaco-scrollable-element.modified-in-monaco-diff-editor.hc-light .scrollbar{background:none}.monaco-scrollable-element.modified-in-monaco-diff-editor .slider{z-index:10}.modified-in-monaco-diff-editor .slider.active{background:#ababab66}.modified-in-monaco-diff-editor.hc-black .slider.active,.modified-in-monaco-diff-editor.hc-light .slider.active{background:none}.monaco-editor .insert-sign,.monaco-diff-editor .insert-sign,.monaco-editor .delete-sign,.monaco-diff-editor .delete-sign{font-size:11px!important;opacity:.7!important;display:flex!important;align-items:center}.monaco-editor.hc-black .insert-sign,.monaco-diff-editor.hc-black .insert-sign,.monaco-editor.hc-black .delete-sign,.monaco-diff-editor.hc-black .delete-sign,.monaco-editor.hc-light .insert-sign,.monaco-diff-editor.hc-light .insert-sign,.monaco-editor.hc-light .delete-sign,.monaco-diff-editor.hc-light .delete-sign{opacity:1}.monaco-editor .inline-deleted-margin-view-zone,.monaco-editor .inline-added-margin-view-zone{text-align:right}.monaco-editor .arrow-revert-change{z-index:10;position:absolute}.monaco-editor .arrow-revert-change:hover{cursor:pointer}.monaco-editor .view-zones .view-lines .view-line span{display:inline-block}.monaco-editor .margin-view-zones .lightbulb-glyph:hover{cursor:pointer}.monaco-editor .char-insert,.monaco-diff-editor .char-insert{background-color:var(--vscode-diffEditor-insertedTextBackground)}.monaco-editor .line-insert,.monaco-diff-editor .line-insert{background-color:var(--vscode-diffEditor-insertedLineBackground, var(--vscode-diffEditor-insertedTextBackground))}.monaco-editor .line-insert,.monaco-editor .char-insert{box-sizing:border-box;border:1px solid var(--vscode-diffEditor-insertedTextBorder)}.monaco-editor.hc-black .line-insert,.monaco-editor.hc-light .line-insert,.monaco-editor.hc-black .char-insert,.monaco-editor.hc-light .char-insert{border-style:dashed}.monaco-editor .line-delete,.monaco-editor .char-delete{box-sizing:border-box;border:1px solid var(--vscode-diffEditor-removedTextBorder)}.monaco-editor.hc-black .line-delete,.monaco-editor.hc-light .line-delete,.monaco-editor.hc-black .char-delete,.monaco-editor.hc-light .char-delete{border-style:dashed}.monaco-editor .inline-added-margin-view-zone,.monaco-editor .gutter-insert,.monaco-diff-editor .gutter-insert{background-color:var(--vscode-diffEditorGutter-insertedLineBackground, var(--vscode-diffEditor-insertedLineBackground), var(--vscode-diffEditor-insertedTextBackground))}.monaco-editor .char-delete,.monaco-diff-editor .char-delete,.monaco-editor .inline-deleted-text{background-color:var(--vscode-diffEditor-removedTextBackground)}.monaco-editor .inline-deleted-text{text-decoration:line-through}.monaco-editor .line-delete,.monaco-diff-editor .line-delete{background-color:var(--vscode-diffEditor-removedLineBackground, var(--vscode-diffEditor-removedTextBackground))}.monaco-editor .inline-deleted-margin-view-zone,.monaco-editor .gutter-delete,.monaco-diff-editor .gutter-delete{background-color:var(--vscode-diffEditorGutter-removedLineBackground, var(--vscode-diffEditor-removedLineBackground), var(--vscode-diffEditor-removedTextBackground))}.monaco-diff-editor.side-by-side .editor.modified{box-shadow:-6px 0 5px -5px var(--vscode-scrollbar-shadow);border-left:1px solid var(--vscode-diffEditor-border)}.monaco-diff-editor.side-by-side .editor.original{box-shadow:6px 0 5px -5px var(--vscode-scrollbar-shadow);border-right:1px solid var(--vscode-diffEditor-border)}.monaco-diff-editor .diffViewport{background:var(--vscode-scrollbarSlider-background)}.monaco-diff-editor .diffViewport:hover{background:var(--vscode-scrollbarSlider-hoverBackground)}.monaco-diff-editor .diffViewport:active{background:var(--vscode-scrollbarSlider-activeBackground)}.monaco-editor .diagonal-fill{background-image:linear-gradient(-45deg,var(--vscode-diffEditor-diagonalFill) 12.5%,#0000 12.5%,#0000 50%,var(--vscode-diffEditor-diagonalFill) 50%,var(--vscode-diffEditor-diagonalFill) 62.5%,#0000 62.5%,#0000 100%);background-size:8px 8px}.monaco-diff-editor .gutter{position:relative;overflow:hidden;flex-shrink:0;flex-grow:0}.monaco-diff-editor .gutter>div{position:absolute}.monaco-diff-editor .gutter .gutterItem{opacity:0;transition:opacity .7s}.monaco-diff-editor .gutter .gutterItem.showAlways{opacity:1;transition:none}.monaco-diff-editor .gutter .gutterItem.noTransition{transition:none}.monaco-diff-editor .gutter:hover .gutterItem{opacity:1;transition:opacity .1s ease-in-out}.monaco-diff-editor .gutter .gutterItem .background{position:absolute;height:100%;left:50%;width:1px;border-left:2px var(--vscode-menu-border) solid}.monaco-diff-editor .gutter .gutterItem .buttons{position:absolute;width:100%;display:flex;justify-content:center;align-items:center}.monaco-diff-editor .gutter .gutterItem .buttons .monaco-toolbar{height:fit-content}.monaco-diff-editor .gutter .gutterItem .buttons .monaco-toolbar .monaco-action-bar{line-height:1}.monaco-diff-editor .gutter .gutterItem .buttons .monaco-toolbar .monaco-action-bar .actions-container{width:fit-content;border-radius:4px;background:var(--vscode-editorGutter-commentRangeForeground)}.monaco-diff-editor .gutter .gutterItem .buttons .monaco-toolbar .monaco-action-bar .actions-container .action-item:hover{background:var(--vscode-toolbar-hoverBackground)}.monaco-diff-editor .gutter .gutterItem .buttons .monaco-toolbar .monaco-action-bar .actions-container .action-item .action-label{padding:1px 2px}.monaco-diff-editor .diff-hidden-lines-compact{display:flex;height:11px}.monaco-diff-editor .diff-hidden-lines-compact .line-left,.monaco-diff-editor .diff-hidden-lines-compact .line-right{height:1px;border-top:1px solid;border-color:var(--vscode-editorCodeLens-foreground);opacity:.5;margin:auto;width:100%}.monaco-diff-editor .diff-hidden-lines-compact .line-left{width:20px}.monaco-diff-editor .diff-hidden-lines-compact .text{color:var(--vscode-editorCodeLens-foreground);text-wrap:nowrap;font-size:11px;line-height:11px;margin:0 4px}.monaco-component.diff-review{user-select:none;-webkit-user-select:none;z-index:99}.monaco-diff-editor .diff-review{position:absolute}.monaco-component.diff-review .diff-review-line-number{text-align:right;display:inline-block;color:var(--vscode-editorLineNumber-foreground)}.monaco-component.diff-review .diff-review-summary{padding-left:10px}.monaco-component.diff-review .diff-review-shadow{position:absolute;box-shadow:var(--vscode-scrollbar-shadow) 0 -6px 6px -6px inset}.monaco-component.diff-review .diff-review-row{white-space:pre}.monaco-component.diff-review .diff-review-table{display:table;min-width:100%}.monaco-component.diff-review .diff-review-row{display:table-row;width:100%}.monaco-component.diff-review .diff-review-spacer{display:inline-block;width:10px;vertical-align:middle}.monaco-component.diff-review .diff-review-spacer>.codicon{font-size:9px!important}.monaco-component.diff-review .diff-review-actions{display:inline-block;position:absolute;right:10px;top:2px;z-index:100}.monaco-component.diff-review .diff-review-actions .action-label{width:16px;height:16px;margin:2px 0}.monaco-component.diff-review .revertButton{cursor:pointer}.monaco-toolbar{height:100%}.monaco-toolbar .toolbar-toggle-more{display:inline-block;padding:0}.monaco-component.multiDiffEditor{background:var(--vscode-multiDiffEditor-background);position:relative;height:100%;width:100%;overflow-y:hidden}.monaco-component.multiDiffEditor>div{position:absolute;top:0;left:0;height:100%;width:100%}.monaco-component.multiDiffEditor>div.placeholder{visibility:hidden}.monaco-component.multiDiffEditor>div.placeholder.visible{visibility:visible}.monaco-component.multiDiffEditor>div.placeholder{display:grid;place-items:center;place-content:center}.monaco-component.multiDiffEditor .active{--vscode-multiDiffEditor-border: var(--vscode-focusBorder)}.monaco-component.multiDiffEditor .multiDiffEntry{display:flex;flex-direction:column;flex:1;overflow:hidden}.monaco-component.multiDiffEditor .multiDiffEntry .collapse-button{margin:0 5px;cursor:pointer}.monaco-component.multiDiffEditor .multiDiffEntry .collapse-button a{display:block}.monaco-component.multiDiffEditor .multiDiffEntry .header{z-index:1000;background:var(--vscode-editor-background)}.monaco-component.multiDiffEditor .multiDiffEntry .header:not(.collapsed) .header-content{border-bottom:1px solid var(--vscode-sideBarSectionHeader-border)}.monaco-component.multiDiffEditor .multiDiffEntry .header .header-content{margin:8px 0 0;padding:4px 5px;border-top:1px solid var(--vscode-multiDiffEditor-border);display:flex;align-items:center;color:var(--vscode-foreground);background:var(--vscode-multiDiffEditor-headerBackground)}.monaco-component.multiDiffEditor .multiDiffEntry .header .header-content.shadow{box-shadow:var(--vscode-scrollbar-shadow) 0 6px 6px -6px}.monaco-component.multiDiffEditor .multiDiffEntry .header .header-content .file-path{display:flex;flex:1;min-width:0}.monaco-component.multiDiffEditor .multiDiffEntry .header .header-content .file-path .title{font-size:14px;line-height:22px}.monaco-component.multiDiffEditor .multiDiffEntry .header .header-content .file-path .title.original{flex:1;min-width:0;text-overflow:ellipsis}.monaco-component.multiDiffEditor .multiDiffEntry .header .header-content .file-path .status{font-weight:600;opacity:.75;margin:0 10px;line-height:22px}.monaco-component.multiDiffEditor .multiDiffEntry .header .header-content .actions{padding:0 8px}.monaco-component.multiDiffEditor .multiDiffEntry .editorParent{flex:1;display:flex;flex-direction:column;border-bottom:1px solid var(--vscode-multiDiffEditor-border);overflow:hidden}.monaco-component.multiDiffEditor .multiDiffEntry .editorContainer{flex:1}.monaco-editor .selection-anchor{background-color:#007acc;width:2px!important}.monaco-editor .bracket-match{box-sizing:border-box;background-color:var(--vscode-editorBracketMatch-background);border:1px solid var(--vscode-editorBracketMatch-border)}.inline-editor-progress-decoration{display:inline-block;width:1em;height:1em}.inline-progress-widget{display:flex!important;justify-content:center;align-items:center}.inline-progress-widget .icon{font-size:80%!important}.inline-progress-widget:hover .icon{font-size:90%!important;animation:none}.inline-progress-widget:hover .icon:before{content:var(--vscode-icon-x-content);font-family:var(--vscode-icon-x-font-family)}.monaco-editor .monaco-editor-overlaymessage{padding-bottom:8px;z-index:10000}.monaco-editor .monaco-editor-overlaymessage.below{padding-bottom:0;padding-top:8px;z-index:10000}@keyframes fadeIn{0%{opacity:0}to{opacity:1}}.monaco-editor .monaco-editor-overlaymessage.fadeIn{animation:fadeIn .15s ease-out}@keyframes fadeOut{0%{opacity:1}to{opacity:0}}.monaco-editor .monaco-editor-overlaymessage.fadeOut{animation:fadeOut .1s ease-out}.monaco-editor .monaco-editor-overlaymessage .message{padding:2px 4px;color:var(--vscode-editorHoverWidget-foreground);background-color:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-inputValidation-infoBorder);border-radius:3px}.monaco-editor .monaco-editor-overlaymessage .message p{margin-block:0px}.monaco-editor .monaco-editor-overlaymessage .message a{color:var(--vscode-textLink-foreground)}.monaco-editor .monaco-editor-overlaymessage .message a:hover{color:var(--vscode-textLink-activeForeground)}.monaco-editor.hc-black .monaco-editor-overlaymessage .message,.monaco-editor.hc-light .monaco-editor-overlaymessage .message{border-width:2px}.monaco-editor .monaco-editor-overlaymessage .anchor{width:0!important;height:0!important;border-color:transparent;border-style:solid;z-index:1000;border-width:8px;position:absolute;left:2px}.monaco-editor .monaco-editor-overlaymessage .anchor.top{border-bottom-color:var(--vscode-inputValidation-infoBorder)}.monaco-editor .monaco-editor-overlaymessage .anchor.below{border-top-color:var(--vscode-inputValidation-infoBorder)}.monaco-editor .monaco-editor-overlaymessage:not(.below) .anchor.top,.monaco-editor .monaco-editor-overlaymessage.below .anchor.below{display:none}.monaco-editor .monaco-editor-overlaymessage.below .anchor.top{display:inherit;top:-8px}.post-edit-widget{box-shadow:0 0 8px 2px var(--vscode-widget-shadow);border:1px solid var(--vscode-widget-border, transparent);border-radius:4px;background-color:var(--vscode-editorWidget-background);overflow:hidden}.post-edit-widget .monaco-button{padding:2px;border:none;border-radius:0}.post-edit-widget .monaco-button:hover{background-color:var(--vscode-button-secondaryHoverBackground)!important}.post-edit-widget .monaco-button .codicon{margin:0}@font-face{font-family:codicon;font-display:block;src:url(/tuela24/assets/codicon-DCmgc-ay.ttf) format("truetype")}.codicon[class*=codicon-]{font: 16px/1 codicon;display:inline-block;text-decoration:none;text-rendering:auto;text-align:center;text-transform:none;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale;user-select:none;-webkit-user-select:none}.codicon-wrench-subaction{opacity:.5}@keyframes codicon-spin{to{transform:rotate(360deg)}}.codicon-sync.codicon-modifier-spin,.codicon-loading.codicon-modifier-spin,.codicon-gear.codicon-modifier-spin,.codicon-notebook-state-executing.codicon-modifier-spin{animation:codicon-spin 1.5s steps(30) infinite}.codicon-modifier-disabled{opacity:.4}.codicon-loading,.codicon-tree-item-loading:before{animation-duration:1s!important;animation-timing-function:cubic-bezier(.53,.21,.29,.67)!important}.monaco-editor .codicon.codicon-symbol-array,.monaco-workbench .codicon.codicon-symbol-array{color:var(--vscode-symbolIcon-arrayForeground)}.monaco-editor .codicon.codicon-symbol-boolean,.monaco-workbench .codicon.codicon-symbol-boolean{color:var(--vscode-symbolIcon-booleanForeground)}.monaco-editor .codicon.codicon-symbol-class,.monaco-workbench .codicon.codicon-symbol-class{color:var(--vscode-symbolIcon-classForeground)}.monaco-editor .codicon.codicon-symbol-method,.monaco-workbench .codicon.codicon-symbol-method{color:var(--vscode-symbolIcon-methodForeground)}.monaco-editor .codicon.codicon-symbol-color,.monaco-workbench .codicon.codicon-symbol-color{color:var(--vscode-symbolIcon-colorForeground)}.monaco-editor .codicon.codicon-symbol-constant,.monaco-workbench .codicon.codicon-symbol-constant{color:var(--vscode-symbolIcon-constantForeground)}.monaco-editor .codicon.codicon-symbol-constructor,.monaco-workbench .codicon.codicon-symbol-constructor{color:var(--vscode-symbolIcon-constructorForeground)}.monaco-editor .codicon.codicon-symbol-value,.monaco-workbench .codicon.codicon-symbol-value,.monaco-editor .codicon.codicon-symbol-enum,.monaco-workbench .codicon.codicon-symbol-enum{color:var(--vscode-symbolIcon-enumeratorForeground)}.monaco-editor .codicon.codicon-symbol-enum-member,.monaco-workbench .codicon.codicon-symbol-enum-member{color:var(--vscode-symbolIcon-enumeratorMemberForeground)}.monaco-editor .codicon.codicon-symbol-event,.monaco-workbench .codicon.codicon-symbol-event{color:var(--vscode-symbolIcon-eventForeground)}.monaco-editor .codicon.codicon-symbol-field,.monaco-workbench .codicon.codicon-symbol-field{color:var(--vscode-symbolIcon-fieldForeground)}.monaco-editor .codicon.codicon-symbol-file,.monaco-workbench .codicon.codicon-symbol-file{color:var(--vscode-symbolIcon-fileForeground)}.monaco-editor .codicon.codicon-symbol-folder,.monaco-workbench .codicon.codicon-symbol-folder{color:var(--vscode-symbolIcon-folderForeground)}.monaco-editor .codicon.codicon-symbol-function,.monaco-workbench .codicon.codicon-symbol-function{color:var(--vscode-symbolIcon-functionForeground)}.monaco-editor .codicon.codicon-symbol-interface,.monaco-workbench .codicon.codicon-symbol-interface{color:var(--vscode-symbolIcon-interfaceForeground)}.monaco-editor .codicon.codicon-symbol-key,.monaco-workbench .codicon.codicon-symbol-key{color:var(--vscode-symbolIcon-keyForeground)}.monaco-editor .codicon.codicon-symbol-keyword,.monaco-workbench .codicon.codicon-symbol-keyword{color:var(--vscode-symbolIcon-keywordForeground)}.monaco-editor .codicon.codicon-symbol-module,.monaco-workbench .codicon.codicon-symbol-module{color:var(--vscode-symbolIcon-moduleForeground)}.monaco-editor .codicon.codicon-symbol-namespace,.monaco-workbench .codicon.codicon-symbol-namespace{color:var(--vscode-symbolIcon-namespaceForeground)}.monaco-editor .codicon.codicon-symbol-null,.monaco-workbench .codicon.codicon-symbol-null{color:var(--vscode-symbolIcon-nullForeground)}.monaco-editor .codicon.codicon-symbol-number,.monaco-workbench .codicon.codicon-symbol-number{color:var(--vscode-symbolIcon-numberForeground)}.monaco-editor .codicon.codicon-symbol-object,.monaco-workbench .codicon.codicon-symbol-object{color:var(--vscode-symbolIcon-objectForeground)}.monaco-editor .codicon.codicon-symbol-operator,.monaco-workbench .codicon.codicon-symbol-operator{color:var(--vscode-symbolIcon-operatorForeground)}.monaco-editor .codicon.codicon-symbol-package,.monaco-workbench .codicon.codicon-symbol-package{color:var(--vscode-symbolIcon-packageForeground)}.monaco-editor .codicon.codicon-symbol-property,.monaco-workbench .codicon.codicon-symbol-property{color:var(--vscode-symbolIcon-propertyForeground)}.monaco-editor .codicon.codicon-symbol-reference,.monaco-workbench .codicon.codicon-symbol-reference{color:var(--vscode-symbolIcon-referenceForeground)}.monaco-editor .codicon.codicon-symbol-snippet,.monaco-workbench .codicon.codicon-symbol-snippet{color:var(--vscode-symbolIcon-snippetForeground)}.monaco-editor .codicon.codicon-symbol-string,.monaco-workbench .codicon.codicon-symbol-string{color:var(--vscode-symbolIcon-stringForeground)}.monaco-editor .codicon.codicon-symbol-struct,.monaco-workbench .codicon.codicon-symbol-struct{color:var(--vscode-symbolIcon-structForeground)}.monaco-editor .codicon.codicon-symbol-text,.monaco-workbench .codicon.codicon-symbol-text{color:var(--vscode-symbolIcon-textForeground)}.monaco-editor .codicon.codicon-symbol-type-parameter,.monaco-workbench .codicon.codicon-symbol-type-parameter{color:var(--vscode-symbolIcon-typeParameterForeground)}.monaco-editor .codicon.codicon-symbol-unit,.monaco-workbench .codicon.codicon-symbol-unit{color:var(--vscode-symbolIcon-unitForeground)}.monaco-editor .codicon.codicon-symbol-variable,.monaco-workbench .codicon.codicon-symbol-variable{color:var(--vscode-symbolIcon-variableForeground)}.monaco-editor .lightBulbWidget{display:flex;align-items:center;justify-content:center}.monaco-editor .lightBulbWidget:hover{cursor:pointer}.monaco-editor .lightBulbWidget.codicon-light-bulb,.monaco-editor .lightBulbWidget.codicon-lightbulb-sparkle{color:var(--vscode-editorLightBulb-foreground)}.monaco-editor .lightBulbWidget.codicon-lightbulb-autofix,.monaco-editor .lightBulbWidget.codicon-lightbulb-sparkle-autofix{color:var(--vscode-editorLightBulbAutoFix-foreground, var(--vscode-editorLightBulb-foreground))}.monaco-editor .lightBulbWidget.codicon-sparkle-filled{color:var(--vscode-editorLightBulbAi-foreground, var(--vscode-icon-foreground))}.monaco-editor .lightBulbWidget:before{position:relative;z-index:2}.monaco-editor .lightBulbWidget:after{position:absolute;top:0;left:0;content:"";display:block;width:100%;height:100%;opacity:.3;z-index:1}.monaco-editor .glyph-margin-widgets .cgmr[class*=codicon-gutter-lightbulb]{display:block;cursor:pointer}.monaco-editor .glyph-margin-widgets .cgmr.codicon-gutter-lightbulb,.monaco-editor .glyph-margin-widgets .cgmr.codicon-gutter-lightbulb-sparkle{color:var(--vscode-editorLightBulb-foreground)}.monaco-editor .glyph-margin-widgets .cgmr.codicon-gutter-lightbulb-auto-fix,.monaco-editor .glyph-margin-widgets .cgmr.codicon-gutter-lightbulb-aifix-auto-fix{color:var(--vscode-editorLightBulbAutoFix-foreground, var(--vscode-editorLightBulb-foreground))}.monaco-editor .glyph-margin-widgets .cgmr.codicon-gutter-lightbulb-sparkle-filled{color:var(--vscode-editorLightBulbAi-foreground, var(--vscode-icon-foreground))}.action-widget{font-size:13px;min-width:160px;max-width:80vw;z-index:40;display:block;width:100%;border:1px solid var(--vscode-editorWidget-border)!important;border-radius:5px;background-color:var(--vscode-editorActionList-background);color:var(--vscode-editorActionList-foreground);padding:4px;box-shadow:0 2px 8px var(--vscode-widget-shadow)}.context-view-block{position:fixed;cursor:initial;left:0;top:0;width:100%;height:100%;z-index:-1}.context-view-pointerBlock{position:fixed;cursor:initial;left:0;top:0;width:100%;height:100%;z-index:2}.action-widget .monaco-list{user-select:none;-webkit-user-select:none;border:none!important;border-width:0!important}.action-widget .monaco-list:focus:before{outline:0!important}.action-widget .monaco-list .monaco-scrollable-element{overflow:visible}.action-widget .monaco-list .monaco-list-row{padding:0 10px;white-space:nowrap;cursor:pointer;touch-action:none;width:100%;border-radius:4px}.action-widget .monaco-list .monaco-list-row.action.focused:not(.option-disabled){background-color:var(--vscode-editorActionList-focusBackground)!important;color:var(--vscode-editorActionList-focusForeground);outline:1px solid var(--vscode-menu-selectionBorder, transparent);outline-offset:-1px}.action-widget .monaco-list-row.group-header{color:var(--vscode-descriptionForeground)!important;font-weight:600;font-size:12px}.action-widget .monaco-list-row.group-header:not(:first-of-type){margin-top:2px}.action-widget .monaco-list .group-header,.action-widget .monaco-list .option-disabled,.action-widget .monaco-list .option-disabled:before,.action-widget .monaco-list .option-disabled .focused,.action-widget .monaco-list .option-disabled .focused:before{cursor:default!important;-webkit-touch-callout:none;-webkit-user-select:none;user-select:none;background-color:transparent!important;outline:0 solid!important}.action-widget .monaco-list-row.action{display:flex;gap:8px;align-items:center}.action-widget .monaco-list-row.action.option-disabled,.action-widget .monaco-list:focus .monaco-list-row.focused.action.option-disabled,.action-widget .monaco-list-row.action.option-disabled .codicon,.action-widget .monaco-list:not(.drop-target):not(.dragging) .monaco-list-row:hover:not(.selected):not(.focused).option-disabled{color:var(--vscode-disabledForeground)}.action-widget .monaco-list-row.action:not(.option-disabled) .codicon{color:inherit}.action-widget .monaco-list-row.action .title{flex:1;overflow:hidden;text-overflow:ellipsis}.action-widget .monaco-list-row.action .monaco-keybinding>.monaco-keybinding-key{background-color:var(--vscode-keybindingLabel-background);color:var(--vscode-keybindingLabel-foreground);border-style:solid;border-width:1px;border-radius:3px;border-color:var(--vscode-keybindingLabel-border);border-bottom-color:var(--vscode-keybindingLabel-bottomBorder);box-shadow:inset 0 -1px 0 var(--vscode-widget-shadow)}.action-widget .action-widget-action-bar{background-color:var(--vscode-editorActionList-background);border-top:1px solid var(--vscode-editorHoverWidget-border);margin-top:2px}.action-widget .action-widget-action-bar:before{display:block;content:"";width:100%}.action-widget .action-widget-action-bar .actions-container{padding:3px 8px 0}.action-widget-action-bar .action-label{color:var(--vscode-textLink-activeForeground);font-size:12px;line-height:22px;padding:0;pointer-events:all}.action-widget-action-bar .action-item{margin-right:16px;pointer-events:none}.action-widget-action-bar .action-label:hover{background-color:transparent!important}.monaco-action-bar .actions-container.highlight-toggled .action-label.checked{background:var(--vscode-actionBar-toggledBackground)!important}.monaco-editor .codelens-decoration{overflow:hidden;display:inline-block;text-overflow:ellipsis;white-space:nowrap;color:var(--vscode-editorCodeLens-foreground);line-height:var(--vscode-editorCodeLens-lineHeight);font-size:var(--vscode-editorCodeLens-fontSize);padding-right:calc(var(--vscode-editorCodeLens-fontSize)*.5);font-feature-settings:var(--vscode-editorCodeLens-fontFeatureSettings);font-family:var(--vscode-editorCodeLens-fontFamily),var(--vscode-editorCodeLens-fontFamilyDefault)}.monaco-editor .codelens-decoration>span,.monaco-editor .codelens-decoration>a{user-select:none;-webkit-user-select:none;white-space:nowrap;vertical-align:sub}.monaco-editor .codelens-decoration>a{text-decoration:none}.monaco-editor .codelens-decoration>a:hover{cursor:pointer;color:var(--vscode-editorLink-activeForeground)!important}.monaco-editor .codelens-decoration>a:hover .codicon{color:var(--vscode-editorLink-activeForeground)!important}.monaco-editor .codelens-decoration .codicon{vertical-align:middle;color:currentColor!important;color:var(--vscode-editorCodeLens-foreground);line-height:var(--vscode-editorCodeLens-lineHeight);font-size:var(--vscode-editorCodeLens-fontSize)}.monaco-editor .codelens-decoration>a:hover .codicon:before{cursor:pointer}@keyframes fadein{0%{opacity:0;visibility:visible}to{opacity:1}}.monaco-editor .codelens-decoration.fadein{animation:fadein .1s linear}.colorpicker-widget{height:190px;user-select:none;-webkit-user-select:none}.colorpicker-color-decoration,.hc-light .colorpicker-color-decoration{border:solid .1em #000;box-sizing:border-box;margin:.1em .2em 0;width:.8em;height:.8em;line-height:.8em;display:inline-block;cursor:pointer}.hc-black .colorpicker-color-decoration,.vs-dark .colorpicker-color-decoration{border:solid .1em #eee}.colorpicker-header{display:flex;height:24px;position:relative;background:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAQAAAAECAYAAACp8Z5+AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAAZdEVYdFNvZnR3YXJlAHBhaW50Lm5ldCA0LjAuMTZEaa/1AAAAHUlEQVQYV2PYvXu3JAi7uLiAMaYAjAGTQBPYLQkAa/0Zef3qRswAAAAASUVORK5CYII=);background-size:9px 9px;image-rendering:pixelated}.colorpicker-header .picked-color{width:240px;display:flex;align-items:center;justify-content:center;line-height:24px;cursor:pointer;color:#fff;flex:1;white-space:nowrap;overflow:hidden}.colorpicker-header .picked-color .picked-color-presentation{white-space:nowrap;margin-left:5px;margin-right:5px}.colorpicker-header .picked-color .codicon{color:inherit;font-size:14px}.colorpicker-header .picked-color.light{color:#000}.colorpicker-header .original-color{width:74px;z-index:inherit;cursor:pointer}.standalone-colorpicker{color:var(--vscode-editorHoverWidget-foreground);background-color:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-editorHoverWidget-border)}.colorpicker-header.standalone-colorpicker{border-bottom:none}.colorpicker-header .close-button{cursor:pointer;background-color:var(--vscode-editorHoverWidget-background);border-left:1px solid var(--vscode-editorHoverWidget-border)}.colorpicker-header .close-button-inner-div{width:100%;height:100%;text-align:center}.colorpicker-header .close-button-inner-div:hover{background-color:var(--vscode-toolbar-hoverBackground)}.colorpicker-header .close-icon{padding:3px}.colorpicker-body{display:flex;padding:8px;position:relative}.colorpicker-body .saturation-wrap{overflow:hidden;height:150px;position:relative;min-width:220px;flex:1}.colorpicker-body .saturation-box{height:150px;position:absolute}.colorpicker-body .saturation-selection{width:9px;height:9px;margin:-5px 0 0 -5px;border:1px solid rgb(255,255,255);border-radius:100%;box-shadow:0 0 2px #000c;position:absolute}.colorpicker-body .strip{width:25px;height:150px}.colorpicker-body .standalone-strip{width:25px;height:122px}.colorpicker-body .hue-strip{position:relative;margin-left:8px;cursor:grab;background:linear-gradient(to bottom,red,#ff0 17%,#0f0 33%,#0ff,#00f 67%,#f0f 83%,red)}.colorpicker-body .opacity-strip{position:relative;margin-left:8px;cursor:grab;background:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAQAAAAECAYAAACp8Z5+AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAAZdEVYdFNvZnR3YXJlAHBhaW50Lm5ldCA0LjAuMTZEaa/1AAAAHUlEQVQYV2PYvXu3JAi7uLiAMaYAjAGTQBPYLQkAa/0Zef3qRswAAAAASUVORK5CYII=);background-size:9px 9px;image-rendering:pixelated}.colorpicker-body .strip.grabbing{cursor:grabbing}.colorpicker-body .slider{position:absolute;top:0;left:-2px;width:calc(100% + 4px);height:4px;box-sizing:border-box;border:1px solid rgba(255,255,255,.71);box-shadow:0 0 1px #000000d9}.colorpicker-body .strip .overlay{height:150px;pointer-events:none}.colorpicker-body .standalone-strip .standalone-overlay{height:122px;pointer-events:none}.standalone-colorpicker-body{display:block;border:1px solid transparent;border-bottom:1px solid var(--vscode-editorHoverWidget-border);overflow:hidden}.colorpicker-body .insert-button{position:absolute;height:20px;width:58px;padding:0;right:8px;bottom:8px;background:var(--vscode-button-background);color:var(--vscode-button-foreground);border-radius:2px;border:none;cursor:pointer}.colorpicker-body .insert-button:hover{background:var(--vscode-button-hoverBackground)}.monaco-editor .inlineSuggestionsHints.withBorder{z-index:39;color:var(--vscode-editorHoverWidget-foreground);background-color:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-editorHoverWidget-border)}.monaco-editor .inlineSuggestionsHints a,.monaco-editor .inlineSuggestionsHints a:hover{color:var(--vscode-foreground)}.monaco-editor .inlineSuggestionsHints .keybinding{display:flex;margin-left:4px;opacity:.6}.monaco-editor .inlineSuggestionsHints .keybinding .monaco-keybinding-key{font-size:8px;padding:2px 3px}.monaco-editor .inlineSuggestionsHints .availableSuggestionCount a{display:flex;min-width:19px;justify-content:center}.monaco-editor .inlineSuggestionStatusBarItemLabel{margin-right:2px}.monaco-editor .peekview-widget .head{box-sizing:border-box;display:flex;justify-content:space-between;flex-wrap:nowrap}.monaco-editor .peekview-widget .head .peekview-title{display:flex;align-items:baseline;font-size:13px;margin-left:20px;min-width:0;text-overflow:ellipsis;overflow:hidden}.monaco-editor .peekview-widget .head .peekview-title.clickable{cursor:pointer}.monaco-editor .peekview-widget .head .peekview-title .dirname:not(:empty){font-size:.9em;margin-left:.5em}.monaco-editor .peekview-widget .head .peekview-title .meta{white-space:nowrap;overflow:hidden;text-overflow:ellipsis}.monaco-editor .peekview-widget .head .peekview-title .dirname,.monaco-editor .peekview-widget .head .peekview-title .filename{overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.monaco-editor .peekview-widget .head .peekview-title .meta:not(:empty):before{content:"-";padding:0 .3em}.monaco-editor .peekview-widget .head .peekview-actions{flex:1;text-align:right;padding-right:2px}.monaco-editor .peekview-widget .head .peekview-actions>.monaco-action-bar{display:inline-block}.monaco-editor .peekview-widget .head .peekview-actions>.monaco-action-bar,.monaco-editor .peekview-widget .head .peekview-actions>.monaco-action-bar>.actions-container{height:100%}.monaco-editor .peekview-widget>.body{border-top:1px solid;position:relative}.monaco-editor .peekview-widget .head .peekview-title .codicon{margin-right:4px;align-self:center}.monaco-editor .peekview-widget .monaco-list .monaco-list-row.focused .codicon{color:inherit!important}.monaco-editor .zone-widget{position:absolute;z-index:10}.monaco-editor .zone-widget .zone-widget-container{border-top-style:solid;border-bottom-style:solid;border-top-width:0;border-bottom-width:0;position:relative}.monaco-editor .zone-widget .zone-widget-container.reference-zone-widget{border-top-width:1px;border-bottom-width:1px}.monaco-editor .reference-zone-widget .inline{display:inline-block;vertical-align:top}.monaco-editor .reference-zone-widget .messages{height:100%;width:100%;text-align:center;padding:3em 0}.monaco-editor .reference-zone-widget .ref-tree{line-height:23px;background-color:var(--vscode-peekViewResult-background);color:var(--vscode-peekViewResult-lineForeground)}.monaco-editor .reference-zone-widget .ref-tree .reference{text-overflow:ellipsis;overflow:hidden}.monaco-editor .reference-zone-widget .ref-tree .reference-file{display:inline-flex;width:100%;height:100%;color:var(--vscode-peekViewResult-fileForeground)}.monaco-editor .reference-zone-widget .ref-tree .monaco-list:focus .selected .reference-file{color:inherit!important}.monaco-editor .reference-zone-widget .ref-tree .monaco-list:focus .monaco-list-rows>.monaco-list-row.selected:not(.highlighted){background-color:var(--vscode-peekViewResult-selectionBackground);color:var(--vscode-peekViewResult-selectionForeground)!important}.monaco-editor .reference-zone-widget .ref-tree .reference-file .count{margin-right:12px;margin-left:auto}.monaco-editor .reference-zone-widget .ref-tree .referenceMatch .highlight{background-color:var(--vscode-peekViewResult-matchHighlightBackground)}.monaco-editor .reference-zone-widget .preview .reference-decoration{background-color:var(--vscode-peekViewEditor-matchHighlightBackground);border:2px solid var(--vscode-peekViewEditor-matchHighlightBorder);box-sizing:border-box}.monaco-editor .reference-zone-widget .preview .monaco-editor .monaco-editor-background,.monaco-editor .reference-zone-widget .preview .monaco-editor .inputarea.ime-input{background-color:var(--vscode-peekViewEditor-background)}.monaco-editor .reference-zone-widget .preview .monaco-editor .margin{background-color:var(--vscode-peekViewEditorGutter-background)}.monaco-editor.hc-black .reference-zone-widget .ref-tree .reference-file,.monaco-editor.hc-light .reference-zone-widget .ref-tree .reference-file{font-weight:700}.monaco-editor.hc-black .reference-zone-widget .ref-tree .referenceMatch .highlight,.monaco-editor.hc-light .reference-zone-widget .ref-tree .referenceMatch .highlight{border:1px dotted var(--vscode-contrastActiveBorder, transparent);box-sizing:border-box}.monaco-editor .hoverHighlight{background-color:var(--vscode-editor-hoverHighlightBackground)}.monaco-editor .monaco-hover-content{padding-right:2px;padding-bottom:2px;box-sizing:border-box}.monaco-editor .monaco-hover{color:var(--vscode-editorHoverWidget-foreground);background-color:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-editorHoverWidget-border);border-radius:3px}.monaco-editor .monaco-hover a{color:var(--vscode-textLink-foreground)}.monaco-editor .monaco-hover a:hover{color:var(--vscode-textLink-activeForeground)}.monaco-editor .monaco-hover .hover-row{display:flex}.monaco-editor .monaco-hover .hover-row .hover-row-contents{min-width:0;display:flex;flex-direction:column}.monaco-editor .monaco-hover .hover-row .verbosity-actions{display:flex;flex-direction:column;padding-left:5px;padding-right:5px;justify-content:end;border-right:1px solid var(--vscode-editorHoverWidget-border)}.monaco-editor .monaco-hover .hover-row .verbosity-actions .codicon{cursor:pointer;font-size:11px}.monaco-editor .monaco-hover .hover-row .verbosity-actions .codicon.enabled{color:var(--vscode-textLink-foreground)}.monaco-editor .monaco-hover .hover-row .verbosity-actions .codicon.disabled{opacity:.6}.monaco-editor .monaco-hover .hover-row .actions{background-color:var(--vscode-editorHoverWidget-statusBarBackground)}.monaco-editor .monaco-hover code{background-color:var(--vscode-textCodeBlock-background)}.monaco-editor.vs .dnd-target,.monaco-editor.hc-light .dnd-target{border-right:2px dotted black;color:#fff}.monaco-editor.vs-dark .dnd-target{border-right:2px dotted #AEAFAD;color:#51504f}.monaco-editor.hc-black .dnd-target{border-right:2px dotted #fff;color:#000}.monaco-editor.mouse-default .view-lines,.monaco-editor.vs-dark.mac.mouse-default .view-lines,.monaco-editor.hc-black.mac.mouse-default .view-lines,.monaco-editor.hc-light.mac.mouse-default .view-lines{cursor:default}.monaco-editor.mouse-copy .view-lines,.monaco-editor.vs-dark.mac.mouse-copy .view-lines,.monaco-editor.hc-black.mac.mouse-copy .view-lines,.monaco-editor.hc-light.mac.mouse-copy .view-lines{cursor:copy}.monaco-editor .findOptionsWidget{background-color:var(--vscode-editorWidget-background);color:var(--vscode-editorWidget-foreground);box-shadow:0 0 8px 2px var(--vscode-widget-shadow);border:2px solid var(--vscode-contrastBorder)}.monaco-editor .find-widget{position:absolute;z-index:35;height:33px;overflow:hidden;line-height:19px;transition:transform .2s linear;padding:0 4px;box-sizing:border-box;transform:translateY(calc(-100% - 10px));box-shadow:0 0 8px 2px var(--vscode-widget-shadow);color:var(--vscode-editorWidget-foreground);border-left:1px solid var(--vscode-widget-border);border-right:1px solid var(--vscode-widget-border);border-bottom:1px solid var(--vscode-widget-border);border-bottom-left-radius:4px;border-bottom-right-radius:4px;background-color:var(--vscode-editorWidget-background)}.monaco-workbench.reduce-motion .monaco-editor .find-widget{transition:transform 0ms linear}.monaco-editor .find-widget textarea{margin:0}.monaco-editor .find-widget.hiddenEditor{display:none}.monaco-editor .find-widget.replaceToggled>.replace-part{display:flex}.monaco-editor .find-widget.visible{transform:translateY(0)}.monaco-editor .find-widget .monaco-inputbox.synthetic-focus{outline:1px solid -webkit-focus-ring-color;outline-offset:-1px;outline-color:var(--vscode-focusBorder)}.monaco-editor .find-widget .monaco-inputbox .input{background-color:transparent;min-height:0}.monaco-editor .find-widget .monaco-findInput .input{font-size:13px}.monaco-editor .find-widget>.find-part,.monaco-editor .find-widget>.replace-part{margin:3px 25px 0 17px;font-size:12px;display:flex}.monaco-editor .find-widget>.find-part .monaco-inputbox,.monaco-editor .find-widget>.replace-part .monaco-inputbox{min-height:25px}.monaco-editor .find-widget>.replace-part .monaco-inputbox>.ibwrapper>.mirror{padding-right:22px}.monaco-editor .find-widget>.find-part .monaco-inputbox>.ibwrapper>.input,.monaco-editor .find-widget>.find-part .monaco-inputbox>.ibwrapper>.mirror,.monaco-editor .find-widget>.replace-part .monaco-inputbox>.ibwrapper>.input,.monaco-editor .find-widget>.replace-part .monaco-inputbox>.ibwrapper>.mirror{padding-top:2px;padding-bottom:2px}.monaco-editor .find-widget>.find-part .find-actions{height:25px;display:flex;align-items:center}.monaco-editor .find-widget>.replace-part .replace-actions{height:25px;display:flex;align-items:center}.monaco-editor .find-widget .monaco-findInput{vertical-align:middle;display:flex;flex:1}.monaco-editor .find-widget .monaco-findInput .monaco-scrollable-element{width:100%}.monaco-editor .find-widget .monaco-findInput .monaco-scrollable-element .scrollbar.vertical{opacity:0}.monaco-editor .find-widget .matchesCount{display:flex;flex:initial;margin:0 0 0 3px;padding:2px 0 0 2px;height:25px;vertical-align:middle;box-sizing:border-box;text-align:center;line-height:23px}.monaco-editor .find-widget .button{width:16px;height:16px;padding:3px;border-radius:5px;flex:initial;margin-left:3px;background-position:center center;background-repeat:no-repeat;cursor:pointer;display:flex;align-items:center;justify-content:center}.monaco-editor .find-widget .codicon-find-selection{width:22px;height:22px;padding:3px;border-radius:5px}.monaco-editor .find-widget .button.left{margin-left:0;margin-right:3px}.monaco-editor .find-widget .button.wide{width:auto;padding:1px 6px;top:-1px}.monaco-editor .find-widget .button.toggle{position:absolute;top:0;left:3px;width:18px;height:100%;border-radius:0;box-sizing:border-box}.monaco-editor .find-widget .button.toggle.disabled{display:none}.monaco-editor .find-widget .disabled{color:var(--vscode-disabledForeground);cursor:default}.monaco-editor .find-widget>.replace-part{display:none}.monaco-editor .find-widget>.replace-part>.monaco-findInput{position:relative;display:flex;vertical-align:middle;flex:auto;flex-grow:0;flex-shrink:0}.monaco-editor .find-widget>.replace-part>.monaco-findInput>.controls{position:absolute;top:3px;right:2px}.monaco-editor .find-widget.reduced-find-widget .matchesCount{display:none}.monaco-editor .find-widget.narrow-find-widget{max-width:257px!important}.monaco-editor .find-widget.collapsed-find-widget{max-width:170px!important}.monaco-editor .find-widget.collapsed-find-widget .button.previous,.monaco-editor .find-widget.collapsed-find-widget .button.next,.monaco-editor .find-widget.collapsed-find-widget .button.replace,.monaco-editor .find-widget.collapsed-find-widget .button.replace-all,.monaco-editor .find-widget.collapsed-find-widget>.find-part .monaco-findInput .controls{display:none}.monaco-editor .find-widget.no-results .matchesCount{color:var(--vscode-errorForeground)}.monaco-editor .findMatch{animation-duration:0;animation-name:inherit!important;background-color:var(--vscode-editor-findMatchHighlightBackground)}.monaco-editor .currentFindMatch{background-color:var(--vscode-editor-findMatchBackground);border:2px solid var(--vscode-editor-findMatchBorder);padding:1px;box-sizing:border-box}.monaco-editor .findScope{background-color:var(--vscode-editor-findRangeHighlightBackground)}.monaco-editor .find-widget .monaco-sash{left:0!important;background-color:var(--vscode-editorWidget-resizeBorder, var(--vscode-editorWidget-border))}.monaco-editor.hc-black .find-widget .button:before{position:relative;top:1px;left:2px}.monaco-editor .find-widget .button:not(.disabled):hover,.monaco-editor .find-widget .codicon-find-selection:hover{background-color:var(--vscode-toolbar-hoverBackground)!important}.monaco-editor.findMatch{background-color:var(--vscode-editor-findMatchHighlightBackground)}.monaco-editor.currentFindMatch{background-color:var(--vscode-editor-findMatchBackground)}.monaco-editor.findScope{background-color:var(--vscode-editor-findRangeHighlightBackground)}.monaco-editor.findMatch{background-color:var(--vscode-editorWidget-background)}.monaco-editor .find-widget>.button.codicon-widget-close{position:absolute;top:5px;right:4px}.monaco-editor .margin-view-overlays .codicon-folding-manual-collapsed,.monaco-editor .margin-view-overlays .codicon-folding-manual-expanded,.monaco-editor .margin-view-overlays .codicon-folding-expanded,.monaco-editor .margin-view-overlays .codicon-folding-collapsed{cursor:pointer;opacity:0;transition:opacity .5s;display:flex;align-items:center;justify-content:center;font-size:140%;margin-left:2px}.monaco-workbench.reduce-motion .monaco-editor .margin-view-overlays .codicon-folding-manual-collapsed,.monaco-workbench.reduce-motion .monaco-editor .margin-view-overlays .codicon-folding-manual-expanded,.monaco-workbench.reduce-motion .monaco-editor .margin-view-overlays .codicon-folding-expanded,.monaco-workbench.reduce-motion .monaco-editor .margin-view-overlays .codicon-folding-collapsed{transition:initial}.monaco-editor .margin-view-overlays:hover .codicon,.monaco-editor .margin-view-overlays .codicon.codicon-folding-collapsed,.monaco-editor .margin-view-overlays .codicon.codicon-folding-manual-collapsed,.monaco-editor .margin-view-overlays .codicon.alwaysShowFoldIcons{opacity:1}.monaco-editor .inline-folded:after{color:var(--vscode-editor-foldPlaceholderForeground);margin:.1em .2em 0;content:"⋯";display:inline;line-height:1em;cursor:pointer}.monaco-editor .folded-background{background-color:var(--vscode-editor-foldBackground)}.monaco-editor .cldr.codicon.codicon-folding-expanded,.monaco-editor .cldr.codicon.codicon-folding-collapsed,.monaco-editor .cldr.codicon.codicon-folding-manual-expanded,.monaco-editor .cldr.codicon.codicon-folding-manual-collapsed{color:var(--vscode-editorGutter-foldingControlForeground)!important}.monaco-editor .suggest-preview-additional-widget{white-space:nowrap}.monaco-editor .suggest-preview-additional-widget .content-spacer{color:transparent;white-space:pre}.monaco-editor .suggest-preview-additional-widget .button{display:inline-block;cursor:pointer;text-decoration:underline;text-underline-position:under}.monaco-editor .ghost-text-hidden{opacity:0;font-size:0}.monaco-editor .ghost-text-decoration,.monaco-editor .suggest-preview-text .ghost-text{font-style:italic}.monaco-editor .ghost-text-decoration,.monaco-editor .ghost-text-decoration-preview,.monaco-editor .suggest-preview-text .ghost-text{color:var(--vscode-editorGhostText-foreground)!important;background-color:var(--vscode-editorGhostText-background);border:1px solid var(--vscode-editorGhostText-border)}.monaco-editor .snippet-placeholder{min-width:2px;outline-style:solid;outline-width:1px;background-color:var(--vscode-editor-snippetTabstopHighlightBackground, transparent);outline-color:var(--vscode-editor-snippetTabstopHighlightBorder, transparent)}.monaco-editor .finish-snippet-placeholder{outline-style:solid;outline-width:1px;background-color:var(--vscode-editor-snippetFinalTabstopHighlightBackground, transparent);outline-color:var(--vscode-editor-snippetFinalTabstopHighlightBorder, transparent)}.monaco-editor .suggest-widget{width:430px;z-index:40;display:flex;flex-direction:column;border-radius:3px}.monaco-editor .suggest-widget.message{flex-direction:row;align-items:center}.monaco-editor .suggest-widget,.monaco-editor .suggest-details{flex:0 1 auto;width:100%;border-style:solid;border-width:1px;border-color:var(--vscode-editorSuggestWidget-border);background-color:var(--vscode-editorSuggestWidget-background)}.monaco-editor.hc-black .suggest-widget,.monaco-editor.hc-black .suggest-details,.monaco-editor.hc-light .suggest-widget,.monaco-editor.hc-light .suggest-details{border-width:2px}.monaco-editor .suggest-widget .suggest-status-bar{box-sizing:border-box;display:none;flex-flow:row nowrap;justify-content:space-between;width:100%;font-size:80%;padding:0 4px;border-top:1px solid var(--vscode-editorSuggestWidget-border);overflow:hidden}.monaco-editor .suggest-widget.with-status-bar .suggest-status-bar{display:flex}.monaco-editor .suggest-widget .suggest-status-bar .left{padding-right:8px}.monaco-editor .suggest-widget.with-status-bar .suggest-status-bar .action-label{color:var(--vscode-editorSuggestWidgetStatus-foreground)}.monaco-editor .suggest-widget.with-status-bar .suggest-status-bar .action-item:not(:last-of-type) .action-label{margin-right:0}.monaco-editor .suggest-widget.with-status-bar .suggest-status-bar .action-item:not(:last-of-type) .action-label:after{content:", ";margin-right:.3em}.monaco-editor .suggest-widget.with-status-bar .monaco-list .monaco-list-row>.contents>.main>.right>.readMore,.monaco-editor .suggest-widget.with-status-bar .monaco-list .monaco-list-row.focused.string-label>.contents>.main>.right>.readMore{display:none}.monaco-editor .suggest-widget.with-status-bar:not(.docs-side) .monaco-list .monaco-list-row:hover>.contents>.main>.right.can-expand-details>.details-label{width:100%}.monaco-editor .suggest-widget>.message{padding-left:22px}.monaco-editor .suggest-widget>.tree{height:100%;width:100%}.monaco-editor .suggest-widget .monaco-list{user-select:none;-webkit-user-select:none}.monaco-editor .suggest-widget .monaco-list .monaco-list-row{display:flex;-mox-box-sizing:border-box;box-sizing:border-box;padding-right:10px;background-repeat:no-repeat;background-position:2px 2px;white-space:nowrap;cursor:pointer;touch-action:none}.monaco-editor .suggest-widget .monaco-list .monaco-list-row.focused{color:var(--vscode-editorSuggestWidget-selectedForeground)}.monaco-editor .suggest-widget .monaco-list .monaco-list-row.focused .codicon{color:var(--vscode-editorSuggestWidget-selectedIconForeground)}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents{flex:1;height:100%;overflow:hidden;padding-left:2px}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main{display:flex;overflow:hidden;text-overflow:ellipsis;white-space:pre;justify-content:space-between}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.left,.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right{display:flex}.monaco-editor .suggest-widget .monaco-list .monaco-list-row:not(.focused)>.contents>.main .monaco-icon-label{color:var(--vscode-editorSuggestWidget-foreground)}.monaco-editor .suggest-widget:not(.frozen) .monaco-highlighted-label .highlight{font-weight:700}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main .monaco-highlighted-label .highlight{color:var(--vscode-editorSuggestWidget-highlightForeground)}.monaco-editor .suggest-widget .monaco-list .monaco-list-row.focused>.contents>.main .monaco-highlighted-label .highlight{color:var(--vscode-editorSuggestWidget-focusHighlightForeground)}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.header>.codicon-close,.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right>.readMore:before{color:inherit;opacity:1;font-size:14px;cursor:pointer}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.header>.codicon-close{position:absolute;top:6px;right:2px}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.header>.codicon-close:hover,.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right>.readMore:hover{opacity:1}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right>.details-label{opacity:.7}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.left>.signature-label{overflow:hidden;text-overflow:ellipsis;opacity:.6}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.left>.qualifier-label{margin-left:12px;opacity:.4;font-size:85%;line-height:initial;text-overflow:ellipsis;overflow:hidden;align-self:center}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right>.details-label{font-size:85%;margin-left:1.1em;overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right>.details-label>.monaco-tokenized-source{display:inline}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right>.details-label{display:none}.monaco-editor .suggest-widget:not(.shows-details) .monaco-list .monaco-list-row.focused>.contents>.main>.right>.details-label{display:inline}.monaco-editor .suggest-widget .monaco-list .monaco-list-row:not(.string-label)>.contents>.main>.right>.details-label,.monaco-editor .suggest-widget.docs-side .monaco-list .monaco-list-row.focused:not(.string-label)>.contents>.main>.right>.details-label{display:inline}.monaco-editor .suggest-widget:not(.docs-side) .monaco-list .monaco-list-row.focused:hover>.contents>.main>.right.can-expand-details>.details-label{width:calc(100% - 26px)}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.left{flex-shrink:1;flex-grow:1;overflow:hidden}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.left>.monaco-icon-label{flex-shrink:0}.monaco-editor .suggest-widget .monaco-list .monaco-list-row:not(.string-label)>.contents>.main>.left>.monaco-icon-label{max-width:100%}.monaco-editor .suggest-widget .monaco-list .monaco-list-row.string-label>.contents>.main>.left>.monaco-icon-label{flex-shrink:1}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right{overflow:hidden;flex-shrink:4;max-width:70%}.monaco-editor .suggest-widget .monaco-list .monaco-list-row>.contents>.main>.right>.readMore{display:inline-block;position:absolute;right:10px;width:18px;height:18px;visibility:hidden}.monaco-editor .suggest-widget.docs-side .monaco-list .monaco-list-row>.contents>.main>.right>.readMore{display:none!important}.monaco-editor .suggest-widget .monaco-list .monaco-list-row.string-label>.contents>.main>.right>.readMore{display:none}.monaco-editor .suggest-widget .monaco-list .monaco-list-row.focused.string-label>.contents>.main>.right>.readMore{display:inline-block}.monaco-editor .suggest-widget .monaco-list .monaco-list-row.focused:hover>.contents>.main>.right>.readMore{visibility:visible}.monaco-editor .suggest-widget .monaco-list .monaco-list-row .monaco-icon-label.deprecated{opacity:.66;text-decoration:unset}.monaco-editor .suggest-widget .monaco-list .monaco-list-row .monaco-icon-label.deprecated>.monaco-icon-label-container>.monaco-icon-name-container{text-decoration:line-through}.monaco-editor .suggest-widget .monaco-list .monaco-list-row .monaco-icon-label:before{height:100%}.monaco-editor .suggest-widget .monaco-list .monaco-list-row .icon{display:block;height:16px;width:16px;margin-left:2px;background-repeat:no-repeat;background-size:80%;background-position:center}.monaco-editor .suggest-widget .monaco-list .monaco-list-row .icon.hide{display:none}.monaco-editor .suggest-widget .monaco-list .monaco-list-row .suggest-icon{display:flex;align-items:center;margin-right:4px}.monaco-editor .suggest-widget.no-icons .monaco-list .monaco-list-row .icon,.monaco-editor .suggest-widget.no-icons .monaco-list .monaco-list-row .suggest-icon:before{display:none}.monaco-editor .suggest-widget .monaco-list .monaco-list-row .icon.customcolor .colorspan{margin:0 0 0 .3em;border:.1em solid #000;width:.7em;height:.7em;display:inline-block}.monaco-editor .suggest-details-container{z-index:41}.monaco-editor .suggest-details{display:flex;flex-direction:column;cursor:default;color:var(--vscode-editorSuggestWidget-foreground)}.monaco-editor .suggest-details.focused{border-color:var(--vscode-focusBorder)}.monaco-editor .suggest-details a{color:var(--vscode-textLink-foreground)}.monaco-editor .suggest-details a:hover{color:var(--vscode-textLink-activeForeground)}.monaco-editor .suggest-details code{background-color:var(--vscode-textCodeBlock-background)}.monaco-editor .suggest-details.no-docs{display:none}.monaco-editor .suggest-details>.monaco-scrollable-element{flex:1}.monaco-editor .suggest-details>.monaco-scrollable-element>.body{box-sizing:border-box;height:100%;width:100%}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.header>.type{flex:2;overflow:hidden;text-overflow:ellipsis;opacity:.7;white-space:pre;margin:0 24px 0 0;padding:4px 0 12px 5px}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.header>.type.auto-wrap{white-space:normal;word-break:break-all}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs{margin:0;padding:4px 5px;white-space:pre-wrap}.monaco-editor .suggest-details.no-type>.monaco-scrollable-element>.body>.docs{margin-right:24px;overflow:hidden}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs.markdown-docs{padding:0;white-space:initial;min-height:calc(1rem + 8px)}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs.markdown-docs>div,.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs.markdown-docs>span:not(:empty){padding:4px 5px}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs.markdown-docs>div>p:first-child{margin-top:0}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs.markdown-docs>div>p:last-child{margin-bottom:0}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs.markdown-docs .monaco-tokenized-source{white-space:pre}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs .code{white-space:pre-wrap;word-wrap:break-word}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>.docs.markdown-docs .codicon{vertical-align:sub}.monaco-editor .suggest-details>.monaco-scrollable-element>.body>p:empty{display:none}.monaco-editor .suggest-details code{border-radius:3px;padding:0 .4em}.monaco-editor .suggest-details ul,.monaco-editor .suggest-details ol{padding-left:20px}.monaco-editor .suggest-details p code{font-family:var(--monaco-monospace-font)}.monaco-editor .goto-definition-link{text-decoration:underline;cursor:pointer;color:var(--vscode-editorLink-activeForeground)!important}.monaco-editor .peekview-widget .head .peekview-title .severity-icon{display:inline-block;vertical-align:text-top;margin-right:4px}.monaco-editor .marker-widget{text-overflow:ellipsis;white-space:nowrap}.monaco-editor .marker-widget>.stale{opacity:.6;font-style:italic}.monaco-editor .marker-widget .title{display:inline-block;padding-right:5px}.monaco-editor .marker-widget .descriptioncontainer{position:absolute;white-space:pre;user-select:text;-webkit-user-select:text;padding:8px 12px 0 20px}.monaco-editor .marker-widget .descriptioncontainer .message{display:flex;flex-direction:column}.monaco-editor .marker-widget .descriptioncontainer .message .details{padding-left:6px}.monaco-editor .marker-widget .descriptioncontainer .message .source,.monaco-editor .marker-widget .descriptioncontainer .message span.code{opacity:.6}.monaco-editor .marker-widget .descriptioncontainer .message a.code-link{opacity:.6;color:inherit}.monaco-editor .marker-widget .descriptioncontainer .message a.code-link:before{content:"("}.monaco-editor .marker-widget .descriptioncontainer .message a.code-link:after{content:")"}.monaco-editor .marker-widget .descriptioncontainer .message a.code-link>span{text-decoration:underline;border-bottom:1px solid transparent;text-underline-position:under;color:var(--vscode-textLink-activeForeground)}.monaco-editor .marker-widget .descriptioncontainer .filename{cursor:pointer;color:var(--vscode-textLink-activeForeground)}.monaco-editor .zone-widget .codicon.codicon-error,.markers-panel .marker-icon.error,.markers-panel .marker-icon .codicon.codicon-error,.text-search-provider-messages .providerMessage .codicon.codicon-error,.extensions-viewlet>.extensions .codicon.codicon-error,.extension-editor .codicon.codicon-error,.preferences-editor .codicon.codicon-error{color:var(--vscode-problemsErrorIcon-foreground)}.monaco-editor .zone-widget .codicon.codicon-warning,.markers-panel .marker-icon.warning,.markers-panel .marker-icon .codicon.codicon-warning,.text-search-provider-messages .providerMessage .codicon.codicon-warning,.extensions-viewlet>.extensions .codicon.codicon-warning,.extension-editor .codicon.codicon-warning,.preferences-editor .codicon.codicon-warning{color:var(--vscode-problemsWarningIcon-foreground)}.monaco-editor .zone-widget .codicon.codicon-info,.markers-panel .marker-icon.info,.markers-panel .marker-icon .codicon.codicon-info,.text-search-provider-messages .providerMessage .codicon.codicon-info,.extensions-viewlet>.extensions .codicon.codicon-info,.extension-editor .codicon.codicon-info,.preferences-editor .codicon.codicon-info{color:var(--vscode-problemsInfoIcon-foreground)}.monaco-editor.vs .valueSetReplacement{outline:solid 2px var(--vscode-editorBracketMatch-border)}.monaco-editor .linked-editing-decoration{background-color:var(--vscode-editor-linkedEditingBackground);min-width:1px}.monaco-editor .detected-link,.monaco-editor .detected-link-active{text-decoration:underline;text-underline-position:under}.monaco-editor .detected-link-active{cursor:pointer;color:var(--vscode-editorLink-activeForeground)!important}.monaco-editor .focused .selectionHighlight{background-color:var(--vscode-editor-selectionHighlightBackground);box-sizing:border-box;border:1px solid var(--vscode-editor-selectionHighlightBorder)}.monaco-editor.hc-black .focused .selectionHighlight,.monaco-editor.hc-light .focused .selectionHighlight{border-style:dotted}.monaco-editor .wordHighlight{background-color:var(--vscode-editor-wordHighlightBackground);box-sizing:border-box;border:1px solid var(--vscode-editor-wordHighlightBorder)}.monaco-editor.hc-black .wordHighlight,.monaco-editor.hc-light .wordHighlight{border-style:dotted}.monaco-editor .wordHighlightStrong{background-color:var(--vscode-editor-wordHighlightStrongBackground);box-sizing:border-box;border:1px solid var(--vscode-editor-wordHighlightStrongBorder)}.monaco-editor.hc-black .wordHighlightStrong,.monaco-editor.hc-light .wordHighlightStrong{border-style:dotted}.monaco-editor .wordHighlightText{background-color:var(--vscode-editor-wordHighlightTextBackground);box-sizing:border-box;border:1px solid var(--vscode-editor-wordHighlightTextBorder)}.monaco-editor.hc-black .wordHighlightText,.monaco-editor.hc-light .wordHighlightText{border-style:dotted}.monaco-editor .inline-edit-remove{background-color:var(--vscode-editorGhostText-background);font-style:italic}.monaco-editor .inline-edit-hidden{opacity:0;font-size:0}.monaco-editor .inline-edit-decoration,.monaco-editor .suggest-preview-text .inline-edit{font-style:italic}.monaco-editor .inline-completion-text-to-replace{text-decoration:underline;text-underline-position:under}.monaco-editor .inline-edit-decoration,.monaco-editor .inline-edit-decoration-preview,.monaco-editor .suggest-preview-text .inline-edit{color:var(--vscode-editorGhostText-foreground)!important;background-color:var(--vscode-editorGhostText-background);border:1px solid var(--vscode-editorGhostText-border)}.monaco-editor .inlineEditHints.withBorder{z-index:39;color:var(--vscode-editorHoverWidget-foreground);background-color:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-editorHoverWidget-border)}.monaco-editor .inlineEditHints a,.monaco-editor .inlineEditHints a:hover{color:var(--vscode-foreground)}.monaco-editor .inlineEditHints .keybinding{display:flex;margin-left:4px;opacity:.6}.monaco-editor .inlineEditHints .keybinding .monaco-keybinding-key{font-size:8px;padding:2px 3px}.monaco-editor .inlineEditStatusBarItemLabel{margin-right:2px}.monaco-editor .inlineEditSideBySide{z-index:39;color:var(--vscode-editorHoverWidget-foreground);background-color:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-editorHoverWidget-border);white-space:pre}.monaco-editor div.inline-edits-widget{--widget-color: var(--vscode-notifications-background)}.monaco-editor div.inline-edits-widget .promptEditor .monaco-editor{--vscode-editor-placeholder-foreground: var(--vscode-editorGhostText-foreground)}.monaco-editor div.inline-edits-widget .toolbar,.monaco-editor div.inline-edits-widget .promptEditor{opacity:0;transition:opacity .2s ease-in-out}.monaco-editor div.inline-edits-widget:hover .toolbar,.monaco-editor div.inline-edits-widget:hover .promptEditor,.monaco-editor div.inline-edits-widget.focused .toolbar,.monaco-editor div.inline-edits-widget.focused .promptEditor{opacity:1}.monaco-editor div.inline-edits-widget .preview .monaco-editor .mtk1{color:var(--vscode-editorGhostText-foreground)}.monaco-editor div.inline-edits-widget .preview .monaco-editor .view-overlays .current-line-exact,.monaco-editor div.inline-edits-widget .preview .monaco-editor .current-line-margin{border:none}.monaco-editor div.inline-edits-widget .preview .monaco-editor{--vscode-editor-background: var(--widget-color)}.monaco-editor div.inline-edits-widget svg .gradient-start{stop-color:var(--vscode-editor-background)}.monaco-editor div.inline-edits-widget svg .gradient-stop{stop-color:var(--widget-color)}.monaco-editor .parameter-hints-widget{z-index:39;display:flex;flex-direction:column;line-height:1.5em;cursor:default;color:var(--vscode-editorHoverWidget-foreground);background-color:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-editorHoverWidget-border)}.hc-black .monaco-editor .parameter-hints-widget,.hc-light .monaco-editor .parameter-hints-widget{border-width:2px}.monaco-editor .parameter-hints-widget>.phwrapper{max-width:440px;display:flex;flex-direction:row}.monaco-editor .parameter-hints-widget.multiple{min-height:3.3em;padding:0}.monaco-editor .parameter-hints-widget.multiple .body:before{content:"";display:block;height:100%;position:absolute;opacity:.5;border-left:1px solid var(--vscode-editorHoverWidget-border)}.monaco-editor .parameter-hints-widget p,.monaco-editor .parameter-hints-widget ul{margin:8px 0}.monaco-editor .parameter-hints-widget .monaco-scrollable-element,.monaco-editor .parameter-hints-widget .body{display:flex;flex:1;flex-direction:column;min-height:100%}.monaco-editor .parameter-hints-widget .signature{padding:4px 5px;position:relative}.monaco-editor .parameter-hints-widget .signature.has-docs:after{content:"";display:block;position:absolute;left:0;width:100%;padding-top:4px;opacity:.5;border-bottom:1px solid var(--vscode-editorHoverWidget-border)}.monaco-editor .parameter-hints-widget .code{font-family:var(--vscode-parameterHintsWidget-editorFontFamily),var(--vscode-parameterHintsWidget-editorFontFamilyDefault)}.monaco-editor .parameter-hints-widget .docs{padding:0 10px 0 5px;white-space:pre-wrap}.monaco-editor .parameter-hints-widget .docs.empty{display:none}.monaco-editor .parameter-hints-widget .docs a{color:var(--vscode-textLink-foreground)}.monaco-editor .parameter-hints-widget .docs a:hover{color:var(--vscode-textLink-activeForeground);cursor:pointer}.monaco-editor .parameter-hints-widget .docs .markdown-docs{white-space:initial}.monaco-editor .parameter-hints-widget .docs code{font-family:var(--monaco-monospace-font);border-radius:3px;padding:0 .4em;background-color:var(--vscode-textCodeBlock-background)}.monaco-editor .parameter-hints-widget .docs .monaco-tokenized-source,.monaco-editor .parameter-hints-widget .docs .code{white-space:pre-wrap}.monaco-editor .parameter-hints-widget .controls{display:none;flex-direction:column;align-items:center;min-width:22px;justify-content:flex-end}.monaco-editor .parameter-hints-widget.multiple .controls{display:flex;padding:0 2px}.monaco-editor .parameter-hints-widget.multiple .button{width:16px;height:16px;background-repeat:no-repeat;cursor:pointer}.monaco-editor .parameter-hints-widget .button.previous{bottom:24px}.monaco-editor .parameter-hints-widget .overloads{text-align:center;height:12px;line-height:12px;font-family:var(--monaco-monospace-font)}.monaco-editor .parameter-hints-widget .signature .parameter.active{color:var(--vscode-editorHoverWidget-highlightForeground);font-weight:700}.monaco-editor .parameter-hints-widget .documentation-parameter>.parameter{font-weight:700;margin-right:.5em}.monaco-editor{--vscode-editor-placeholder-foreground: var(--vscode-editorGhostText-foreground)}.monaco-editor .editorPlaceholder{top:0;position:absolute;overflow:hidden;text-overflow:ellipsis;text-wrap:nowrap;pointer-events:none;color:var(--vscode-editor-placeholder-foreground)}.monaco-editor .rename-box{z-index:100;color:inherit;border-radius:4px}.monaco-editor .rename-box.preview{padding:4px 4px 0}.monaco-editor .rename-box .rename-input-with-button{padding:3px;border-radius:2px;width:calc(100% - 8px)}.monaco-editor .rename-box .rename-input{width:calc(100% - 8px);padding:0}.monaco-editor .rename-box .rename-input:focus{outline:none}.monaco-editor .rename-box .rename-suggestions-button{display:flex;align-items:center;padding:3px;background-color:transparent;border:none;border-radius:5px;cursor:pointer}.monaco-editor .rename-box .rename-suggestions-button:hover{background-color:var(--vscode-toolbar-hoverBackground)}.monaco-editor .rename-box .rename-candidate-list-container .monaco-list-row{border-radius:2px}.monaco-editor .rename-box .rename-label{display:none;opacity:.8}.monaco-editor .rename-box.preview .rename-label{display:inherit}.monaco-editor .sticky-widget{overflow:hidden}.monaco-editor .sticky-widget-line-numbers{float:left;background-color:inherit}.monaco-editor .sticky-widget-lines-scrollable{display:inline-block;position:absolute;overflow:hidden;width:var(--vscode-editorStickyScroll-scrollableWidth);background-color:inherit}.monaco-editor .sticky-widget-lines{position:absolute;background-color:inherit}.monaco-editor .sticky-line-number,.monaco-editor .sticky-line-content{color:var(--vscode-editorLineNumber-foreground);white-space:nowrap;display:inline-block;position:absolute;background-color:inherit}.monaco-editor .sticky-line-number .codicon-folding-expanded,.monaco-editor .sticky-line-number .codicon-folding-collapsed{float:right;transition:var(--vscode-editorStickyScroll-foldingOpacityTransition)}.monaco-editor .sticky-line-content{width:var(--vscode-editorStickyScroll-scrollableWidth);background-color:inherit;white-space:nowrap}.monaco-editor .sticky-line-number-inner{display:inline-block;text-align:right}.monaco-editor .sticky-widget{border-bottom:1px solid var(--vscode-editorStickyScroll-border)}.monaco-editor .sticky-line-content:hover{background-color:var(--vscode-editorStickyScrollHover-background);cursor:pointer}.monaco-editor .sticky-widget{width:100%;box-shadow:var(--vscode-editorStickyScroll-shadow) 0 4px 2px -2px;z-index:4;background-color:var(--vscode-editorStickyScroll-background);right:initial!important}.monaco-editor .sticky-widget.peek{background-color:var(--vscode-peekViewEditorStickyScroll-background)}.monaco-editor .unicode-highlight{border:1px solid var(--vscode-editorUnicodeHighlight-border);background-color:var(--vscode-editorUnicodeHighlight-background);box-sizing:border-box}.editor-banner{box-sizing:border-box;cursor:default;width:100%;font-size:12px;display:flex;overflow:visible;height:26px;background:var(--vscode-banner-background)}.editor-banner .icon-container{display:flex;flex-shrink:0;align-items:center;padding:0 6px 0 10px}.editor-banner .icon-container.custom-icon{background-repeat:no-repeat;background-position:center center;background-size:16px;width:16px;padding:0;margin:0 6px 0 10px}.editor-banner .message-container{display:flex;align-items:center;line-height:26px;text-overflow:ellipsis;white-space:nowrap;overflow:hidden}.editor-banner .message-container p{margin-block-start:0;margin-block-end:0}.editor-banner .message-actions-container{flex-grow:1;flex-shrink:0;line-height:26px;margin:0 4px}.editor-banner .message-actions-container a.monaco-button{width:inherit;margin:2px 8px;padding:0 12px}.editor-banner .message-actions-container a{padding:3px;margin-left:12px;text-decoration:underline}.editor-banner .action-container{padding:0 10px 0 6px}.editor-banner{background-color:var(--vscode-banner-background)}.editor-banner,.editor-banner .action-container .codicon,.editor-banner .message-actions-container .monaco-link{color:var(--vscode-banner-foreground)}.editor-banner .icon-container .codicon{color:var(--vscode-banner-iconForeground)}.monaco-link{color:var(--vscode-textLink-foreground)}.monaco-link:hover{color:var(--vscode-textLink-activeForeground)}.monaco-editor .iPadShowKeyboard{width:58px;min-width:0;height:36px;min-height:0;margin:0;padding:0;position:absolute;resize:none;overflow:hidden;background:url(data:image/svg+xml;base64,PHN2ZyB3aWR0aD0iNTMiIGhlaWdodD0iMzYiIHZpZXdCb3g9IjAgMCA1MyAzNiIgZmlsbD0ibm9uZSIgeG1sbnM9Imh0dHA6Ly93d3cudzMub3JnLzIwMDAvc3ZnIj4KPGcgY2xpcC1wYXRoPSJ1cmwoI2NsaXAwKSI+CjxwYXRoIGZpbGwtcnVsZT0iZXZlbm9kZCIgY2xpcC1ydWxlPSJldmVub2RkIiBkPSJNNDguMDM2NCA0LjAxMDQySDQuMDA3NzlMNC4wMDc3OSAzMi4wMjg2SDQ4LjAzNjRWNC4wMTA0MlpNNC4wMDc3OSAwLjAwNzgxMjVDMS43OTcyMSAwLjAwNzgxMjUgMC4wMDUxODc5OSAxLjc5OTg0IDAuMDA1MTg3OTkgNC4wMTA0MlYzMi4wMjg2QzAuMDA1MTg3OTkgMzQuMjM5MiAxLjc5NzIxIDM2LjAzMTIgNC4wMDc3OSAzNi4wMzEySDQ4LjAzNjRDNTAuMjQ3IDM2LjAzMTIgNTIuMDM5IDM0LjIzOTIgNTIuMDM5IDMyLjAyODZWNC4wMTA0MkM1Mi4wMzkgMS43OTk4NCA1MC4yNDcgMC4wMDc4MTI1IDQ4LjAzNjQgMC4wMDc4MTI1SDQuMDA3NzlaTTguMDEwNDIgOC4wMTMwMkgxMi4wMTNWMTIuMDE1Nkg4LjAxMDQyVjguMDEzMDJaTTIwLjAxODIgOC4wMTMwMkgxNi4wMTU2VjEyLjAxNTZIMjAuMDE4MlY4LjAxMzAyWk0yNC4wMjA4IDguMDEzMDJIMjguMDIzNFYxMi4wMTU2SDI0LjAyMDhWOC4wMTMwMlpNMzYuMDI4NiA4LjAxMzAySDMyLjAyNlYxMi4wMTU2SDM2LjAyODZWOC4wMTMwMlpNNDAuMDMxMiA4LjAxMzAySDQ0LjAzMzlWMTIuMDE1Nkg0MC4wMzEyVjguMDEzMDJaTTE2LjAxNTYgMTYuMDE4Mkg4LjAxMDQyVjIwLjAyMDhIMTYuMDE1NlYxNi4wMTgyWk0yMC4wMTgyIDE2LjAxODJIMjQuMDIwOFYyMC4wMjA4SDIwLjAxODJWMTYuMDE4MlpNMzIuMDI2IDE2LjAxODJIMjguMDIzNFYyMC4wMjA4SDMyLjAyNlYxNi4wMTgyWk00NC4wMzM5IDE2LjAxODJWMjAuMDIwOEgzNi4wMjg2VjE2LjAxODJINDQuMDMzOVpNMTIuMDEzIDI0LjAyMzRIOC4wMTA0MlYyOC4wMjZIMTIuMDEzVjI0LjAyMzRaTTE2LjAxNTYgMjQuMDIzNEgzNi4wMjg2VjI4LjAyNkgxNi4wMTU2VjI0LjAyMzRaTTQ0LjAzMzkgMjQuMDIzNEg0MC4wMzEyVjI4LjAyNkg0NC4wMzM5VjI0LjAyMzRaIiBmaWxsPSIjNDI0MjQyIi8+CjwvZz4KPGRlZnM+CjxjbGlwUGF0aCBpZD0iY2xpcDAiPgo8cmVjdCB3aWR0aD0iNTMiIGhlaWdodD0iMzYiIGZpbGw9IndoaXRlIi8+CjwvY2xpcFBhdGg+CjwvZGVmcz4KPC9zdmc+Cg==) center center no-repeat;border:4px solid #F6F6F6;border-radius:4px}.monaco-editor.vs-dark .iPadShowKeyboard{background:url(data:image/svg+xml;base64,PHN2ZyB3aWR0aD0iNTMiIGhlaWdodD0iMzYiIHZpZXdCb3g9IjAgMCA1MyAzNiIgZmlsbD0ibm9uZSIgeG1sbnM9Imh0dHA6Ly93d3cudzMub3JnLzIwMDAvc3ZnIj4KPGcgY2xpcC1wYXRoPSJ1cmwoI2NsaXAwKSI+CjxwYXRoIGZpbGwtcnVsZT0iZXZlbm9kZCIgY2xpcC1ydWxlPSJldmVub2RkIiBkPSJNNDguMDM2NCA0LjAxMDQySDQuMDA3NzlMNC4wMDc3OSAzMi4wMjg2SDQ4LjAzNjRWNC4wMTA0MlpNNC4wMDc3OSAwLjAwNzgxMjVDMS43OTcyMSAwLjAwNzgxMjUgMC4wMDUxODc5OSAxLjc5OTg0IDAuMDA1MTg3OTkgNC4wMTA0MlYzMi4wMjg2QzAuMDA1MTg3OTkgMzQuMjM5MiAxLjc5NzIxIDM2LjAzMTIgNC4wMDc3OSAzNi4wMzEySDQ4LjAzNjRDNTAuMjQ3IDM2LjAzMTIgNTIuMDM5IDM0LjIzOTIgNTIuMDM5IDMyLjAyODZWNC4wMTA0MkM1Mi4wMzkgMS43OTk4NCA1MC4yNDcgMC4wMDc4MTI1IDQ4LjAzNjQgMC4wMDc4MTI1SDQuMDA3NzlaTTguMDEwNDIgOC4wMTMwMkgxMi4wMTNWMTIuMDE1Nkg4LjAxMDQyVjguMDEzMDJaTTIwLjAxODIgOC4wMTMwMkgxNi4wMTU2VjEyLjAxNTZIMjAuMDE4MlY4LjAxMzAyWk0yNC4wMjA4IDguMDEzMDJIMjguMDIzNFYxMi4wMTU2SDI0LjAyMDhWOC4wMTMwMlpNMzYuMDI4NiA4LjAxMzAySDMyLjAyNlYxMi4wMTU2SDM2LjAyODZWOC4wMTMwMlpNNDAuMDMxMiA4LjAxMzAySDQ0LjAzMzlWMTIuMDE1Nkg0MC4wMzEyVjguMDEzMDJaTTE2LjAxNTYgMTYuMDE4Mkg4LjAxMDQyVjIwLjAyMDhIMTYuMDE1NlYxNi4wMTgyWk0yMC4wMTgyIDE2LjAxODJIMjQuMDIwOFYyMC4wMjA4SDIwLjAxODJWMTYuMDE4MlpNMzIuMDI2IDE2LjAxODJIMjguMDIzNFYyMC4wMjA4SDMyLjAyNlYxNi4wMTgyWk00NC4wMzM5IDE2LjAxODJWMjAuMDIwOEgzNi4wMjg2VjE2LjAxODJINDQuMDMzOVpNMTIuMDEzIDI0LjAyMzRIOC4wMTA0MlYyOC4wMjZIMTIuMDEzVjI0LjAyMzRaTTE2LjAxNTYgMjQuMDIzNEgzNi4wMjg2VjI4LjAyNkgxNi4wMTU2VjI0LjAyMzRaTTQ0LjAzMzkgMjQuMDIzNEg0MC4wMzEyVjI4LjAyNkg0NC4wMzM5VjI0LjAyMzRaIiBmaWxsPSIjQzVDNUM1Ii8+CjwvZz4KPGRlZnM+CjxjbGlwUGF0aCBpZD0iY2xpcDAiPgo8cmVjdCB3aWR0aD0iNTMiIGhlaWdodD0iMzYiIGZpbGw9IndoaXRlIi8+CjwvY2xpcFBhdGg+CjwvZGVmcz4KPC9zdmc+Cg==) center center no-repeat;border:4px solid #252526}.monaco-editor .tokens-inspect-widget{z-index:50;user-select:text;-webkit-user-select:text;padding:10px;color:var(--vscode-editorHoverWidget-foreground);background-color:var(--vscode-editorHoverWidget-background);border:1px solid var(--vscode-editorHoverWidget-border)}.monaco-editor.hc-black .tokens-inspect-widget,.monaco-editor.hc-light .tokens-inspect-widget{border-width:2px}.monaco-editor .tokens-inspect-widget .tokens-inspect-separator{height:1px;border:0;background-color:var(--vscode-editorHoverWidget-border)}.monaco-editor .tokens-inspect-widget .tm-token{font-family:var(--monaco-monospace-font)}.monaco-editor .tokens-inspect-widget .tm-token-length{font-weight:400;font-size:60%;float:right}.monaco-editor .tokens-inspect-widget .tm-metadata-table{width:100%}.monaco-editor .tokens-inspect-widget .tm-metadata-value{font-family:var(--monaco-monospace-font);text-align:right}.monaco-editor .tokens-inspect-widget .tm-token-type{font-family:var(--monaco-monospace-font)}
diff --git a/docs/assets/monaco/cameligo-J_4-LjdT.js b/docs/assets/monaco/cameligo-J_4-LjdT.js
new file mode 100644
index 0000000..8336152
--- /dev/null
+++ b/docs/assets/monaco/cameligo-J_4-LjdT.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["(*","*)"]},brackets:[["{","}"],["[","]"],["(",")"],["<",">"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"},{open:'"',close:'"'},{open:"(*",close:"*)"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"},{open:'"',close:'"'},{open:"(*",close:"*)"}]},o={defaultToken:"",tokenPostfix:".cameligo",ignoreCase:!0,brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],keywords:["abs","assert","block","Bytes","case","Crypto","Current","else","failwith","false","for","fun","if","in","let","let%entry","let%init","List","list","Map","map","match","match%nat","mod","not","operation","Operation","of","record","Set","set","sender","skip","source","String","then","to","true","type","with"],typeKeywords:["int","unit","string","tz","nat","bool"],operators:["=",">","<","<=",">=","<>",":",":=","and","mod","or","+","-","*","/","@","&","^","%","->","<-","&&","||"],symbols:/[=><:@\^&|+\-*\/\^%]+/,tokenizer:{root:[[/[a-zA-Z_][\w]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/\$[0-9a-fA-F]{1,16}/,"number.hex"],[/\d+/,"number"],[/[;,.]/,"delimiter"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/'/,"string","@string"],[/'[^\\']'/,"string"],[/'/,"string.invalid"],[/\#\d+/,"string"]],comment:[[/[^\(\*]+/,"comment"],[/\*\)/,"comment","@pop"],[/\(\*/,"comment"]],string:[[/[^\\']+/,"string"],[/\\./,"string.escape.invalid"],[/'/,{token:"string.quote",bracket:"@close",next:"@pop"}]],whitespace:[[/[ \t\r\n]+/,"white"],[/\(\*/,"comment","@comment"],[/\/\/.*$/,"comment"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/clojure-DDKRPAGl.js b/docs/assets/monaco/clojure-DDKRPAGl.js
new file mode 100644
index 0000000..c2f2000
--- /dev/null
+++ b/docs/assets/monaco/clojure-DDKRPAGl.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:";;"},brackets:[["[","]"],["(",")"],["{","}"]],autoClosingPairs:[{open:"[",close:"]"},{open:'"',close:'"'},{open:"(",close:")"},{open:"{",close:"}"}],surroundingPairs:[{open:"[",close:"]"},{open:'"',close:'"'},{open:"(",close:")"},{open:"{",close:"}"}]},t={defaultToken:"",ignoreCase:!0,tokenPostfix:".clj",brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"{",close:"}",token:"delimiter.curly"}],constants:["true","false","nil"],numbers:/^(?:[+\-]?\d+(?:(?:N|(?:[eE][+\-]?\d+))|(?:\.?\d*(?:M|(?:[eE][+\-]?\d+))?)|\/\d+|[xX][0-9a-fA-F]+|r[0-9a-zA-Z]+)?(?=[\\\[\]\s"#'(),;@^`{}~]|$))/,characters:/^(?:\\(?:backspace|formfeed|newline|return|space|tab|o[0-7]{3}|u[0-9A-Fa-f]{4}|x[0-9A-Fa-f]{4}|.)?(?=[\\\[\]\s"(),;@^`{}~]|$))/,escapes:/^\\(?:["'\\bfnrt]|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,qualifiedSymbols:/^(?:(?:[^\\\/\[\]\d\s"#'(),;@^`{}~][^\\\[\]\s"(),;@^`{}~]*(?:\.[^\\\/\[\]\d\s"#'(),;@^`{}~][^\\\[\]\s"(),;@^`{}~]*)*\/)?(?:\/|[^\\\/\[\]\d\s"#'(),;@^`{}~][^\\\[\]\s"(),;@^`{}~]*)*(?=[\\\[\]\s"(),;@^`{}~]|$))/,specialForms:[".","catch","def","do","if","monitor-enter","monitor-exit","new","quote","recur","set!","throw","try","var"],coreSymbols:["*","*'","*1","*2","*3","*agent*","*allow-unresolved-vars*","*assert*","*clojure-version*","*command-line-args*","*compile-files*","*compile-path*","*compiler-options*","*data-readers*","*default-data-reader-fn*","*e","*err*","*file*","*flush-on-newline*","*fn-loader*","*in*","*math-context*","*ns*","*out*","*print-dup*","*print-length*","*print-level*","*print-meta*","*print-namespace-maps*","*print-readably*","*read-eval*","*reader-resolver*","*source-path*","*suppress-read*","*unchecked-math*","*use-context-classloader*","*verbose-defrecords*","*warn-on-reflection*","+","+'","-","-'","->","->>","->ArrayChunk","->Eduction","->Vec","->VecNode","->VecSeq","-cache-protocol-fn","-reset-methods","..","/","<","<=","=","==",">",">=","EMPTY-NODE","Inst","StackTraceElement->vec","Throwable->map","accessor","aclone","add-classpath","add-watch","agent","agent-error","agent-errors","aget","alength","alias","all-ns","alter","alter-meta!","alter-var-root","amap","ancestors","and","any?","apply","areduce","array-map","as->","aset","aset-boolean","aset-byte","aset-char","aset-double","aset-float","aset-int","aset-long","aset-short","assert","assoc","assoc!","assoc-in","associative?","atom","await","await-for","await1","bases","bean","bigdec","bigint","biginteger","binding","bit-and","bit-and-not","bit-clear","bit-flip","bit-not","bit-or","bit-set","bit-shift-left","bit-shift-right","bit-test","bit-xor","boolean","boolean-array","boolean?","booleans","bound-fn","bound-fn*","bound?","bounded-count","butlast","byte","byte-array","bytes","bytes?","case","cast","cat","char","char-array","char-escape-string","char-name-string","char?","chars","chunk","chunk-append","chunk-buffer","chunk-cons","chunk-first","chunk-next","chunk-rest","chunked-seq?","class","class?","clear-agent-errors","clojure-version","coll?","comment","commute","comp","comparator","compare","compare-and-set!","compile","complement","completing","concat","cond","cond->","cond->>","condp","conj","conj!","cons","constantly","construct-proxy","contains?","count","counted?","create-ns","create-struct","cycle","dec","dec'","decimal?","declare","dedupe","default-data-readers","definline","definterface","defmacro","defmethod","defmulti","defn","defn-","defonce","defprotocol","defrecord","defstruct","deftype","delay","delay?","deliver","denominator","deref","derive","descendants","destructure","disj","disj!","dissoc","dissoc!","distinct","distinct?","doall","dorun","doseq","dosync","dotimes","doto","double","double-array","double?","doubles","drop","drop-last","drop-while","eduction","empty","empty?","ensure","ensure-reduced","enumeration-seq","error-handler","error-mode","eval","even?","every-pred","every?","ex-data","ex-info","extend","extend-protocol","extend-type","extenders","extends?","false?","ffirst","file-seq","filter","filterv","find","find-keyword","find-ns","find-protocol-impl","find-protocol-method","find-var","first","flatten","float","float-array","float?","floats","flush","fn","fn?","fnext","fnil","for","force","format","frequencies","future","future-call","future-cancel","future-cancelled?","future-done?","future?","gen-class","gen-interface","gensym","get","get-in","get-method","get-proxy-class","get-thread-bindings","get-validator","group-by","halt-when","hash","hash-combine","hash-map","hash-ordered-coll","hash-set","hash-unordered-coll","ident?","identical?","identity","if-let","if-not","if-some","ifn?","import","in-ns","inc","inc'","indexed?","init-proxy","inst-ms","inst-ms*","inst?","instance?","int","int-array","int?","integer?","interleave","intern","interpose","into","into-array","ints","io!","isa?","iterate","iterator-seq","juxt","keep","keep-indexed","key","keys","keyword","keyword?","last","lazy-cat","lazy-seq","let","letfn","line-seq","list","list*","list?","load","load-file","load-reader","load-string","loaded-libs","locking","long","long-array","longs","loop","macroexpand","macroexpand-1","make-array","make-hierarchy","map","map-entry?","map-indexed","map?","mapcat","mapv","max","max-key","memfn","memoize","merge","merge-with","meta","method-sig","methods","min","min-key","mix-collection-hash","mod","munge","name","namespace","namespace-munge","nat-int?","neg-int?","neg?","newline","next","nfirst","nil?","nnext","not","not-any?","not-empty","not-every?","not=","ns","ns-aliases","ns-imports","ns-interns","ns-map","ns-name","ns-publics","ns-refers","ns-resolve","ns-unalias","ns-unmap","nth","nthnext","nthrest","num","number?","numerator","object-array","odd?","or","parents","partial","partition","partition-all","partition-by","pcalls","peek","persistent!","pmap","pop","pop!","pop-thread-bindings","pos-int?","pos?","pr","pr-str","prefer-method","prefers","primitives-classnames","print","print-ctor","print-dup","print-method","print-simple","print-str","printf","println","println-str","prn","prn-str","promise","proxy","proxy-call-with-super","proxy-mappings","proxy-name","proxy-super","push-thread-bindings","pvalues","qualified-ident?","qualified-keyword?","qualified-symbol?","quot","rand","rand-int","rand-nth","random-sample","range","ratio?","rational?","rationalize","re-find","re-groups","re-matcher","re-matches","re-pattern","re-seq","read","read-line","read-string","reader-conditional","reader-conditional?","realized?","record?","reduce","reduce-kv","reduced","reduced?","reductions","ref","ref-history-count","ref-max-history","ref-min-history","ref-set","refer","refer-clojure","reify","release-pending-sends","rem","remove","remove-all-methods","remove-method","remove-ns","remove-watch","repeat","repeatedly","replace","replicate","require","reset!","reset-meta!","reset-vals!","resolve","rest","restart-agent","resultset-seq","reverse","reversible?","rseq","rsubseq","run!","satisfies?","second","select-keys","send","send-off","send-via","seq","seq?","seqable?","seque","sequence","sequential?","set","set-agent-send-executor!","set-agent-send-off-executor!","set-error-handler!","set-error-mode!","set-validator!","set?","short","short-array","shorts","shuffle","shutdown-agents","simple-ident?","simple-keyword?","simple-symbol?","slurp","some","some->","some->>","some-fn","some?","sort","sort-by","sorted-map","sorted-map-by","sorted-set","sorted-set-by","sorted?","special-symbol?","spit","split-at","split-with","str","string?","struct","struct-map","subs","subseq","subvec","supers","swap!","swap-vals!","symbol","symbol?","sync","tagged-literal","tagged-literal?","take","take-last","take-nth","take-while","test","the-ns","thread-bound?","time","to-array","to-array-2d","trampoline","transduce","transient","tree-seq","true?","type","unchecked-add","unchecked-add-int","unchecked-byte","unchecked-char","unchecked-dec","unchecked-dec-int","unchecked-divide-int","unchecked-double","unchecked-float","unchecked-inc","unchecked-inc-int","unchecked-int","unchecked-long","unchecked-multiply","unchecked-multiply-int","unchecked-negate","unchecked-negate-int","unchecked-remainder-int","unchecked-short","unchecked-subtract","unchecked-subtract-int","underive","unquote","unquote-splicing","unreduced","unsigned-bit-shift-right","update","update-in","update-proxy","uri?","use","uuid?","val","vals","var-get","var-set","var?","vary-meta","vec","vector","vector-of","vector?","volatile!","volatile?","vreset!","vswap!","when","when-first","when-let","when-not","when-some","while","with-bindings","with-bindings*","with-in-str","with-loading-context","with-local-vars","with-meta","with-open","with-out-str","with-precision","with-redefs","with-redefs-fn","xml-seq","zero?","zipmap"],tokenizer:{root:[{include:"@whitespace"},[/@numbers/,"number"],[/@characters/,"string"],{include:"@string"},[/[()\[\]{}]/,"@brackets"],[/\/#"(?:\.|(?:")|[^"\n])*"\/g/,"regexp"],[/[#'@^`~]/,"meta"],[/@qualifiedSymbols/,{cases:{"^:.+$":"constant","@specialForms":"keyword","@coreSymbols":"keyword","@constants":"constant","@default":"identifier"}}]],whitespace:[[/[\s,]+/,"white"],[/;.*$/,"comment"],[/\(comment\b/,"comment","@comment"]],comment:[[/\(/,"comment","@push"],[/\)/,"comment","@pop"],[/[^()]/,"comment"]],string:[[/"/,"string","@multiLineString"]],multiLineString:[[/"/,"string","@popall"],[/@escapes/,"string.escape"],[/./,"string"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/coffee-C8z2l6sj.js b/docs/assets/monaco/coffee-C8z2l6sj.js
new file mode 100644
index 0000000..280ca43
--- /dev/null
+++ b/docs/assets/monaco/coffee-C8z2l6sj.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\#%\^\&\*\(\)\=\$\-\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{blockComment:["###","###"],lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*#region\\b"),end:new RegExp("^\\s*#endregion\\b")}}},r={defaultToken:"",ignoreCase:!0,tokenPostfix:".coffee",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"}],regEx:/\/(?!\/\/)(?:[^\/\\]|\\.)*\/[igm]*/,keywords:["and","or","is","isnt","not","on","yes","@","no","off","true","false","null","this","new","delete","typeof","in","instanceof","return","throw","break","continue","debugger","if","else","switch","for","while","do","try","catch","finally","class","extends","super","undefined","then","unless","until","loop","of","by","when"],symbols:/[=><!~?&%|+\-*\/\^\.,\:]+/,escapes:/\\(?:[abfnrtv\\"'$]|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/\@[a-zA-Z_]\w*/,"variable.predefined"],[/[a-zA-Z_]\w*/,{cases:{this:"variable.predefined","@keywords":{token:"keyword.$0"},"@default":""}}],[/[ \t\r\n]+/,""],[/###/,"comment","@comment"],[/#.*$/,"comment"],["///",{token:"regexp",next:"@hereregexp"}],[/^(\s*)(@regEx)/,["","regexp"]],[/(\()(\s*)(@regEx)/,["@brackets","","regexp"]],[/(\,)(\s*)(@regEx)/,["delimiter","","regexp"]],[/(\=)(\s*)(@regEx)/,["delimiter","","regexp"]],[/(\:)(\s*)(@regEx)/,["delimiter","","regexp"]],[/(\[)(\s*)(@regEx)/,["@brackets","","regexp"]],[/(\!)(\s*)(@regEx)/,["delimiter","","regexp"]],[/(\&)(\s*)(@regEx)/,["delimiter","","regexp"]],[/(\|)(\s*)(@regEx)/,["delimiter","","regexp"]],[/(\?)(\s*)(@regEx)/,["delimiter","","regexp"]],[/(\{)(\s*)(@regEx)/,["@brackets","","regexp"]],[/(\;)(\s*)(@regEx)/,["","","regexp"]],[/}/,{cases:{"$S2==interpolatedstring":{token:"string",next:"@pop"},"@default":"@brackets"}}],[/[{}()\[\]]/,"@brackets"],[/@symbols/,"delimiter"],[/\d+[eE]([\-+]?\d+)?/,"number.float"],[/\d+\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F]+/,"number.hex"],[/0[0-7]+(?!\d)/,"number.octal"],[/\d+/,"number"],[/[,.]/,"delimiter"],[/"""/,"string",'@herestring."""'],[/'''/,"string","@herestring.'''"],[/"/,{cases:{"@eos":"string","@default":{token:"string",next:'@string."'}}}],[/'/,{cases:{"@eos":"string","@default":{token:"string",next:"@string.'"}}}]],string:[[/[^"'\#\\]+/,"string"],[/@escapes/,"string.escape"],[/\./,"string.escape.invalid"],[/\./,"string.escape.invalid"],[/#{/,{cases:{'$S2=="':{token:"string",next:"root.interpolatedstring"},"@default":"string"}}],[/["']/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":"string"}}],[/#/,"string"]],herestring:[[/("""|''')/,{cases:{"$1==$S2":{token:"string",next:"@pop"},"@default":"string"}}],[/[^#\\'"]+/,"string"],[/['"]+/,"string"],[/@escapes/,"string.escape"],[/\./,"string.escape.invalid"],[/#{/,{token:"string.quote",next:"root.interpolatedstring"}],[/#/,"string"]],comment:[[/[^#]+/,"comment"],[/###/,"comment","@pop"],[/#/,"comment"]],hereregexp:[[/[^\\\/#]+/,"regexp"],[/\\./,"regexp"],[/#.*$/,"comment"],["///[igm]*",{token:"regexp",next:"@pop"}],[/\//,"regexp"]]}};export{e as conf,r as language};
diff --git a/docs/assets/monaco/cpp-DI3p9f9v.js b/docs/assets/monaco/cpp-DI3p9f9v.js
new file mode 100644
index 0000000..1cda901
--- /dev/null
+++ b/docs/assets/monaco/cpp-DI3p9f9v.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"[",close:"]"},{open:"{",close:"}"},{open:"(",close:")"},{open:"'",close:"'",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*#pragma\\s+region\\b"),end:new RegExp("^\\s*#pragma\\s+endregion\\b")}}},n={defaultToken:"",tokenPostfix:".cpp",brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"},{token:"delimiter.angle",open:"<",close:">"}],keywords:["abstract","amp","array","auto","bool","break","case","catch","char","class","const","constexpr","const_cast","continue","cpu","decltype","default","delegate","delete","do","double","dynamic_cast","each","else","enum","event","explicit","export","extern","false","final","finally","float","for","friend","gcnew","generic","goto","if","in","initonly","inline","int","interface","interior_ptr","internal","literal","long","mutable","namespace","new","noexcept","nullptr","__nullptr","operator","override","partial","pascal","pin_ptr","private","property","protected","public","ref","register","reinterpret_cast","restrict","return","safe_cast","sealed","short","signed","sizeof","static","static_assert","static_cast","struct","switch","template","this","thread_local","throw","tile_static","true","try","typedef","typeid","typename","union","unsigned","using","virtual","void","volatile","wchar_t","where","while","_asm","_based","_cdecl","_declspec","_fastcall","_if_exists","_if_not_exists","_inline","_multiple_inheritance","_pascal","_single_inheritance","_stdcall","_virtual_inheritance","_w64","__abstract","__alignof","__asm","__assume","__based","__box","__builtin_alignof","__cdecl","__clrcall","__declspec","__delegate","__event","__except","__fastcall","__finally","__forceinline","__gc","__hook","__identifier","__if_exists","__if_not_exists","__inline","__int128","__int16","__int32","__int64","__int8","__interface","__leave","__m128","__m128d","__m128i","__m256","__m256d","__m256i","__m512","__m512d","__m512i","__m64","__multiple_inheritance","__newslot","__nogc","__noop","__nounwind","__novtordisp","__pascal","__pin","__pragma","__property","__ptr32","__ptr64","__raise","__restrict","__resume","__sealed","__single_inheritance","__stdcall","__super","__thiscall","__try","__try_cast","__typeof","__unaligned","__unhook","__uuidof","__value","__virtual_inheritance","__w64","__wchar_t"],operators:["=",">","<","!","~","?",":","==","<=",">=","!=","&&","||","++","--","+","-","*","/","&","|","^","%","<<",">>","+=","-=","*=","/=","&=","|=","^=","%=","<<=",">>="],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[0abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,integersuffix:/([uU](ll|LL|l|L)|(ll|LL|l|L)?[uU]?)/,floatsuffix:/[fFlL]?/,encoding:/u|u8|U|L/,tokenizer:{root:[[/@encoding?R\"(?:([^ ()\\\t]*))\(/,{token:"string.raw.begin",next:"@raw.$1"}],[/[a-zA-Z_]\w*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],[/^\s*#\s*include/,{token:"keyword.directive.include",next:"@include"}],[/^\s*#\s*\w+/,"keyword.directive"],{include:"@whitespace"},[/\[\s*\[/,{token:"annotation",next:"@annotation"}],[/[{}()<>\[\]]/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/\d*\d+[eE]([\-+]?\d+)?(@floatsuffix)/,"number.float"],[/\d*\.\d+([eE][\-+]?\d+)?(@floatsuffix)/,"number.float"],[/0[xX][0-9a-fA-F']*[0-9a-fA-F](@integersuffix)/,"number.hex"],[/0[0-7']*[0-7](@integersuffix)/,"number.octal"],[/0[bB][0-1']*[0-1](@integersuffix)/,"number.binary"],[/\d[\d']*\d(@integersuffix)/,"number"],[/\d(@integersuffix)/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@doccomment"],[/\/\*/,"comment","@comment"],[/\/\/.*\\$/,"comment","@linecomment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],linecomment:[[/.*[^\\]$/,"comment","@pop"],[/[^]+/,"comment"]],doccomment:[[/[^\/*]+/,"comment.doc"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],raw:[[/[^)]+/,"string.raw"],[/\)$S2\"/,{token:"string.raw.end",next:"@pop"}],[/\)/,"string.raw"]],annotation:[{include:"@whitespace"},[/using|alignas/,"keyword"],[/[a-zA-Z0-9_]+/,"annotation"],[/[,:]/,"delimiter"],[/[()]/,"@brackets"],[/\]\s*\]/,{token:"annotation",next:"@pop"}]],include:[[/(\s*)(<)([^<>]*)(>)/,["","keyword.directive.include.begin","string.include.identifier",{token:"keyword.directive.include.end",next:"@pop"}]],[/(\s*)(")([^"]*)(")/,["","keyword.directive.include.begin","string.include.identifier",{token:"keyword.directive.include.end",next:"@pop"}]]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/csharp-Bya7J2fC.js b/docs/assets/monaco/csharp-Bya7J2fC.js
new file mode 100644
index 0000000..fbb6491
--- /dev/null
+++ b/docs/assets/monaco/csharp-Bya7J2fC.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\#\$\%\^\&\*\(\)\-\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"'",close:"'",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"},{open:'"',close:'"'}],folding:{markers:{start:new RegExp("^\\s*#region\\b"),end:new RegExp("^\\s*#endregion\\b")}}},t={defaultToken:"",tokenPostfix:".cs",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],keywords:["extern","alias","using","bool","decimal","sbyte","byte","short","ushort","int","uint","long","ulong","char","float","double","object","dynamic","string","assembly","is","as","ref","out","this","base","new","typeof","void","checked","unchecked","default","delegate","var","const","if","else","switch","case","while","do","for","foreach","in","break","continue","goto","return","throw","try","catch","finally","lock","yield","from","let","where","join","on","equals","into","orderby","ascending","descending","select","group","by","namespace","partial","class","field","event","method","param","public","protected","internal","private","abstract","sealed","static","struct","readonly","volatile","virtual","override","params","get","set","add","remove","operator","true","false","implicit","explicit","interface","enum","null","async","await","fixed","sizeof","stackalloc","unsafe","nameof","when"],namespaceFollows:["namespace","using"],parenFollows:["if","for","while","switch","foreach","using","catch","when"],operators:["=","??","||","&&","|","^","&","==","!=","<=",">=","<<","+","-","*","/","%","!","~","++","--","+=","-=","*=","/=","%=","&=","|=","^=","<<=",">>=",">>","=>"],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/\@?[a-zA-Z_]\w*/,{cases:{"@namespaceFollows":{token:"keyword.$0",next:"@namespace"},"@keywords":{token:"keyword.$0",next:"@qualified"},"@default":{token:"identifier",next:"@qualified"}}}],{include:"@whitespace"},[/}/,{cases:{"$S2==interpolatedstring":{token:"string.quote",next:"@pop"},"$S2==litinterpstring":{token:"string.quote",next:"@pop"},"@default":"@brackets"}}],[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/[0-9_]*\.[0-9_]+([eE][\-+]?\d+)?[fFdD]?/,"number.float"],[/0[xX][0-9a-fA-F_]+/,"number.hex"],[/0[bB][01_]+/,"number.hex"],[/[0-9_]+/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,{token:"string.quote",next:"@string"}],[/\$\@"/,{token:"string.quote",next:"@litinterpstring"}],[/\@"/,{token:"string.quote",next:"@litstring"}],[/\$"/,{token:"string.quote",next:"@interpolatedstring"}],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],qualified:[[/[a-zA-Z_][\w]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],[/\./,"delimiter"],["","","@pop"]],namespace:[{include:"@whitespace"},[/[A-Z]\w*/,"namespace"],[/[\.=]/,"delimiter"],["","","@pop"]],comment:[[/[^\/*]+/,"comment"],["\\*/","comment","@pop"],[/[\/*]/,"comment"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,{token:"string.quote",next:"@pop"}]],litstring:[[/[^"]+/,"string"],[/""/,"string.escape"],[/"/,{token:"string.quote",next:"@pop"}]],litinterpstring:[[/[^"{]+/,"string"],[/""/,"string.escape"],[/{{/,"string.escape"],[/}}/,"string.escape"],[/{/,{token:"string.quote",next:"root.litinterpstring"}],[/"/,{token:"string.quote",next:"@pop"}]],interpolatedstring:[[/[^\\"{]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/{{/,"string.escape"],[/}}/,"string.escape"],[/{/,{token:"string.quote",next:"root.interpolatedstring"}],[/"/,{token:"string.quote",next:"@pop"}]],whitespace:[[/^[ \t\v\f]*#((r)|(load))(?=\s)/,"directive.csx"],[/^[ \t\v\f]*#\w.*$/,"namespace.cpp"],[/[ \t\v\f\r\n]+/,""],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/csp-g7vr-vut.js b/docs/assets/monaco/csp-g7vr-vut.js
new file mode 100644
index 0000000..3c1320d
--- /dev/null
+++ b/docs/assets/monaco/csp-g7vr-vut.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var t={brackets:[],autoClosingPairs:[],surroundingPairs:[]},r={keywords:[],typeKeywords:[],tokenPostfix:".csp",operators:[],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/child-src/,"string.quote"],[/connect-src/,"string.quote"],[/default-src/,"string.quote"],[/font-src/,"string.quote"],[/frame-src/,"string.quote"],[/img-src/,"string.quote"],[/manifest-src/,"string.quote"],[/media-src/,"string.quote"],[/object-src/,"string.quote"],[/script-src/,"string.quote"],[/style-src/,"string.quote"],[/worker-src/,"string.quote"],[/base-uri/,"string.quote"],[/plugin-types/,"string.quote"],[/sandbox/,"string.quote"],[/disown-opener/,"string.quote"],[/form-action/,"string.quote"],[/frame-ancestors/,"string.quote"],[/report-uri/,"string.quote"],[/report-to/,"string.quote"],[/upgrade-insecure-requests/,"string.quote"],[/block-all-mixed-content/,"string.quote"],[/require-sri-for/,"string.quote"],[/reflected-xss/,"string.quote"],[/referrer/,"string.quote"],[/policy-uri/,"string.quote"],[/'self'/,"string.quote"],[/'unsafe-inline'/,"string.quote"],[/'unsafe-eval'/,"string.quote"],[/'strict-dynamic'/,"string.quote"],[/'unsafe-hashed-attributes'/,"string.quote"]]}};export{t as conf,r as language};
diff --git a/docs/assets/monaco/css-fOVBx6Gk.js b/docs/assets/monaco/css-fOVBx6Gk.js
new file mode 100644
index 0000000..78fb44e
--- /dev/null
+++ b/docs/assets/monaco/css-fOVBx6Gk.js
@@ -0,0 +1,8 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(#?-?\d*\.\d\w*%?)|((::|[@#.!:])?[\w-?]+%?)|::|[@#.!:]/g,comments:{blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string","comment"]},{open:"'",close:"'",notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*\\/\\*\\s*#region\\b\\s*(.*?)\\s*\\*\\/"),end:new RegExp("^\\s*\\/\\*\\s*#endregion\\b.*\\*\\/")}}},t={defaultToken:"",tokenPostfix:".css",ws:`[
+\r\f]*`,identifier:"-?-?([a-zA-Z]|(\\\\(([0-9a-fA-F]{1,6}\\s?)|[^[0-9a-fA-F])))([\\w\\-]|(\\\\(([0-9a-fA-F]{1,6}\\s?)|[^[0-9a-fA-F])))*",brackets:[{open:"{",close:"}",token:"delimiter.bracket"},{open:"[",close:"]",token:"delimiter.bracket"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],tokenizer:{root:[{include:"@selector"}],selector:[{include:"@comments"},{include:"@import"},{include:"@strings"},["[@](keyframes|-webkit-keyframes|-moz-keyframes|-o-keyframes)",{token:"keyword",next:"@keyframedeclaration"}],["[@](page|content|font-face|-moz-document)",{token:"keyword"}],["[@](charset|namespace)",{token:"keyword",next:"@declarationbody"}],["(url-prefix)(\\()",["attribute.value",{token:"delimiter.parenthesis",next:"@urldeclaration"}]],["(url)(\\()",["attribute.value",{token:"delimiter.parenthesis",next:"@urldeclaration"}]],{include:"@selectorname"},["[\\*]","tag"],["[>\\+,]","delimiter"],["\\[",{token:"delimiter.bracket",next:"@selectorattribute"}],["{",{token:"delimiter.bracket",next:"@selectorbody"}]],selectorbody:[{include:"@comments"},["[*_]?@identifier@ws:(?=(\\s|\\d|[^{;}]*[;}]))","attribute.name","@rulevalue"],["}",{token:"delimiter.bracket",next:"@pop"}]],selectorname:[["(\\.|#(?=[^{])|%|(@identifier)|:)+","tag"]],selectorattribute:[{include:"@term"},["]",{token:"delimiter.bracket",next:"@pop"}]],term:[{include:"@comments"},["(url-prefix)(\\()",["attribute.value",{token:"delimiter.parenthesis",next:"@urldeclaration"}]],["(url)(\\()",["attribute.value",{token:"delimiter.parenthesis",next:"@urldeclaration"}]],{include:"@functioninvocation"},{include:"@numbers"},{include:"@name"},{include:"@strings"},["([<>=\\+\\-\\*\\/\\^\\|\\~,])","delimiter"],[",","delimiter"]],rulevalue:[{include:"@comments"},{include:"@strings"},{include:"@term"},["!important","keyword"],[";","delimiter","@pop"],["(?=})",{token:"",next:"@pop"}]],warndebug:[["[@](warn|debug)",{token:"keyword",next:"@declarationbody"}]],import:[["[@](import)",{token:"keyword",next:"@declarationbody"}]],urldeclaration:[{include:"@strings"},[`[^)\r
+]+`,"string"],["\\)",{token:"delimiter.parenthesis",next:"@pop"}]],parenthizedterm:[{include:"@term"},["\\)",{token:"delimiter.parenthesis",next:"@pop"}]],declarationbody:[{include:"@term"},[";","delimiter","@pop"],["(?=})",{token:"",next:"@pop"}]],comments:[["\\/\\*","comment","@comment"],["\\/\\/+.*","comment"]],comment:[["\\*\\/","comment","@pop"],[/[^*/]+/,"comment"],[/./,"comment"]],name:[["@identifier","attribute.value"]],numbers:[["-?(\\d*\\.)?\\d+([eE][\\-+]?\\d+)?",{token:"attribute.value.number",next:"@units"}],["#[0-9a-fA-F_]+(?!\\w)","attribute.value.hex"]],units:[["(em|ex|ch|rem|fr|vmin|vmax|vw|vh|vm|cm|mm|in|px|pt|pc|deg|grad|rad|turn|s|ms|Hz|kHz|%)?","attribute.value.unit","@pop"]],keyframedeclaration:[["@identifier","attribute.value"],["{",{token:"delimiter.bracket",switchTo:"@keyframebody"}]],keyframebody:[{include:"@term"},["{",{token:"delimiter.bracket",next:"@selectorbody"}],["}",{token:"delimiter.bracket",next:"@pop"}]],functioninvocation:[["@identifier\\(",{token:"attribute.value",next:"@functionarguments"}]],functionarguments:[["\\$@identifier@ws:","attribute.name"],["[,]","delimiter"],{include:"@term"},["\\)",{token:"attribute.value",next:"@pop"}]],strings:[['~?"',{token:"string",next:"@stringenddoublequote"}],["~?'",{token:"string",next:"@stringendquote"}]],stringenddoublequote:[["\\\\.","string"],['"',{token:"string",next:"@pop"}],[/[^\\"]+/,"string"],[".","string"]],stringendquote:[["\\\\.","string"],["'",{token:"string",next:"@pop"}],[/[^\\']+/,"string"],[".","string"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/cssMode-8LFZwXV3.js b/docs/assets/monaco/cssMode-8LFZwXV3.js
new file mode 100644
index 0000000..e4714ee
--- /dev/null
+++ b/docs/assets/monaco/cssMode-8LFZwXV3.js
@@ -0,0 +1,9 @@
+import{o as et}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var tt=Object.defineProperty,rt=Object.getOwnPropertyDescriptor,nt=Object.getOwnPropertyNames,it=Object.prototype.hasOwnProperty,ot=(e,n,i,r)=>{if(n&&typeof n=="object"||typeof n=="function")for(let t of nt(n))!it.call(e,t)&&t!==i&&tt(e,t,{get:()=>n[t],enumerable:!(r=rt(n,t))||r.enumerable});return e},at=(e,n,i)=>(ot(e,n,"default"),i),d={};at(d,et);var st=2*60*1e3,ut=class{constructor(e){this._defaults=e,this._worker=null,this._client=null,this._idleCheckInterval=window.setInterval(()=>this._checkIfIdle(),30*1e3),this._lastUsedTime=0,this._configChangeListener=this._defaults.onDidChange(()=>this._stopWorker())}_stopWorker(){this._worker&&(this._worker.dispose(),this._worker=null),this._client=null}dispose(){clearInterval(this._idleCheckInterval),this._configChangeListener.dispose(),this._stopWorker()}_checkIfIdle(){if(!this._worker)return;Date.now()-this._lastUsedTime>st&&this._stopWorker()}_getClient(){return this._lastUsedTime=Date.now(),this._client||(this._worker=d.editor.createWebWorker({moduleId:"vs/language/css/cssWorker",label:this._defaults.languageId,createData:{options:this._defaults.options,languageId:this._defaults.languageId}}),this._client=this._worker.getProxy()),this._client}getLanguageServiceWorker(...e){let n;return this._getClient().then(i=>{n=i}).then(i=>{if(this._worker)return this._worker.withSyncedResources(e)}).then(i=>n)}},T;(function(e){function n(i){return typeof i=="string"}e.is=n})(T||(T={}));var O;(function(e){function n(i){return typeof i=="string"}e.is=n})(O||(O={}));var Y;(function(e){e.MIN_VALUE=-2147483648,e.MAX_VALUE=2147483647;function n(i){return typeof i=="number"&&e.MIN_VALUE<=i&&i<=e.MAX_VALUE}e.is=n})(Y||(Y={}));var M;(function(e){e.MIN_VALUE=0,e.MAX_VALUE=2147483647;function n(i){return typeof i=="number"&&e.MIN_VALUE<=i&&i<=e.MAX_VALUE}e.is=n})(M||(M={}));var w;(function(e){function n(r,t){return r===Number.MAX_VALUE&&(r=M.MAX_VALUE),t===Number.MAX_VALUE&&(t=M.MAX_VALUE),{line:r,character:t}}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&a.uinteger(t.line)&&a.uinteger(t.character)}e.is=i})(w||(w={}));var h;(function(e){function n(r,t,o,s){if(a.uinteger(r)&&a.uinteger(t)&&a.uinteger(o)&&a.uinteger(s))return{start:w.create(r,t),end:w.create(o,s)};if(w.is(r)&&w.is(t))return{start:r,end:t};throw new Error(`Range#create called with invalid arguments[${r}, ${t}, ${o}, ${s}]`)}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&w.is(t.start)&&w.is(t.end)}e.is=i})(h||(h={}));var C;(function(e){function n(r,t){return{uri:r,range:t}}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&h.is(t.range)&&(a.string(t.uri)||a.undefined(t.uri))}e.is=i})(C||(C={}));var Z;(function(e){function n(r,t,o,s){return{targetUri:r,targetRange:t,targetSelectionRange:o,originSelectionRange:s}}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&h.is(t.targetRange)&&a.string(t.targetUri)&&h.is(t.targetSelectionRange)&&(h.is(t.originSelectionRange)||a.undefined(t.originSelectionRange))}e.is=i})(Z||(Z={}));var S;(function(e){function n(r,t,o,s){return{red:r,green:t,blue:o,alpha:s}}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&a.numberRange(t.red,0,1)&&a.numberRange(t.green,0,1)&&a.numberRange(t.blue,0,1)&&a.numberRange(t.alpha,0,1)}e.is=i})(S||(S={}));var K;(function(e){function n(r,t){return{range:r,color:t}}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&h.is(t.range)&&S.is(t.color)}e.is=i})(K||(K={}));var ee;(function(e){function n(r,t,o){return{label:r,textEdit:t,additionalTextEdits:o}}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&a.string(t.label)&&(a.undefined(t.textEdit)||E.is(t))&&(a.undefined(t.additionalTextEdits)||a.typedArray(t.additionalTextEdits,E.is))}e.is=i})(ee||(ee={}));var A;(function(e){e.Comment="comment",e.Imports="imports",e.Region="region"})(A||(A={}));var te;(function(e){function n(r,t,o,s,u,f){const c={startLine:r,endLine:t};return a.defined(o)&&(c.startCharacter=o),a.defined(s)&&(c.endCharacter=s),a.defined(u)&&(c.kind=u),a.defined(f)&&(c.collapsedText=f),c}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&a.uinteger(t.startLine)&&a.uinteger(t.startLine)&&(a.undefined(t.startCharacter)||a.uinteger(t.startCharacter))&&(a.undefined(t.endCharacter)||a.uinteger(t.endCharacter))&&(a.undefined(t.kind)||a.string(t.kind))}e.is=i})(te||(te={}));var U;(function(e){function n(r,t){return{location:r,message:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&C.is(t.location)&&a.string(t.message)}e.is=i})(U||(U={}));var x;(function(e){e.Error=1,e.Warning=2,e.Information=3,e.Hint=4})(x||(x={}));var re;(function(e){e.Unnecessary=1,e.Deprecated=2})(re||(re={}));var ne;(function(e){function n(i){const r=i;return a.objectLiteral(r)&&a.string(r.href)}e.is=n})(ne||(ne={}));var y;(function(e){function n(r,t,o,s,u,f){let c={range:r,message:t};return a.defined(o)&&(c.severity=o),a.defined(s)&&(c.code=s),a.defined(u)&&(c.source=u),a.defined(f)&&(c.relatedInformation=f),c}e.create=n;function i(r){var t;let o=r;return a.defined(o)&&h.is(o.range)&&a.string(o.message)&&(a.number(o.severity)||a.undefined(o.severity))&&(a.integer(o.code)||a.string(o.code)||a.undefined(o.code))&&(a.undefined(o.codeDescription)||a.string((t=o.codeDescription)===null||t===void 0?void 0:t.href))&&(a.string(o.source)||a.undefined(o.source))&&(a.undefined(o.relatedInformation)||a.typedArray(o.relatedInformation,U.is))}e.is=i})(y||(y={}));var I;(function(e){function n(r,t,...o){let s={title:r,command:t};return a.defined(o)&&o.length>0&&(s.arguments=o),s}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.title)&&a.string(t.command)}e.is=i})(I||(I={}));var E;(function(e){function n(o,s){return{range:o,newText:s}}e.replace=n;function i(o,s){return{range:{start:o,end:o},newText:s}}e.insert=i;function r(o){return{range:o,newText:""}}e.del=r;function t(o){const s=o;return a.objectLiteral(s)&&a.string(s.newText)&&h.is(s.range)}e.is=t})(E||(E={}));var V;(function(e){function n(r,t,o){const s={label:r};return t!==void 0&&(s.needsConfirmation=t),o!==void 0&&(s.description=o),s}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&a.string(t.label)&&(a.boolean(t.needsConfirmation)||t.needsConfirmation===void 0)&&(a.string(t.description)||t.description===void 0)}e.is=i})(V||(V={}));var L;(function(e){function n(i){const r=i;return a.string(r)}e.is=n})(L||(L={}));var ie;(function(e){function n(o,s,u){return{range:o,newText:s,annotationId:u}}e.replace=n;function i(o,s,u){return{range:{start:o,end:o},newText:s,annotationId:u}}e.insert=i;function r(o,s){return{range:o,newText:"",annotationId:s}}e.del=r;function t(o){const s=o;return E.is(s)&&(V.is(s.annotationId)||L.is(s.annotationId))}e.is=t})(ie||(ie={}));var W;(function(e){function n(r,t){return{textDocument:r,edits:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&B.is(t.textDocument)&&Array.isArray(t.edits)}e.is=i})(W||(W={}));var H;(function(e){function n(r,t,o){let s={kind:"create",uri:r};return t!==void 0&&(t.overwrite!==void 0||t.ignoreIfExists!==void 0)&&(s.options=t),o!==void 0&&(s.annotationId=o),s}e.create=n;function i(r){let t=r;return t&&t.kind==="create"&&a.string(t.uri)&&(t.options===void 0||(t.options.overwrite===void 0||a.boolean(t.options.overwrite))&&(t.options.ignoreIfExists===void 0||a.boolean(t.options.ignoreIfExists)))&&(t.annotationId===void 0||L.is(t.annotationId))}e.is=i})(H||(H={}));var X;(function(e){function n(r,t,o,s){let u={kind:"rename",oldUri:r,newUri:t};return o!==void 0&&(o.overwrite!==void 0||o.ignoreIfExists!==void 0)&&(u.options=o),s!==void 0&&(u.annotationId=s),u}e.create=n;function i(r){let t=r;return t&&t.kind==="rename"&&a.string(t.oldUri)&&a.string(t.newUri)&&(t.options===void 0||(t.options.overwrite===void 0||a.boolean(t.options.overwrite))&&(t.options.ignoreIfExists===void 0||a.boolean(t.options.ignoreIfExists)))&&(t.annotationId===void 0||L.is(t.annotationId))}e.is=i})(X||(X={}));var $;(function(e){function n(r,t,o){let s={kind:"delete",uri:r};return t!==void 0&&(t.recursive!==void 0||t.ignoreIfNotExists!==void 0)&&(s.options=t),o!==void 0&&(s.annotationId=o),s}e.create=n;function i(r){let t=r;return t&&t.kind==="delete"&&a.string(t.uri)&&(t.options===void 0||(t.options.recursive===void 0||a.boolean(t.options.recursive))&&(t.options.ignoreIfNotExists===void 0||a.boolean(t.options.ignoreIfNotExists)))&&(t.annotationId===void 0||L.is(t.annotationId))}e.is=i})($||($={}));var z;(function(e){function n(i){let r=i;return r&&(r.changes!==void 0||r.documentChanges!==void 0)&&(r.documentChanges===void 0||r.documentChanges.every(t=>a.string(t.kind)?H.is(t)||X.is(t)||$.is(t):W.is(t)))}e.is=n})(z||(z={}));var oe;(function(e){function n(r){return{uri:r}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.uri)}e.is=i})(oe||(oe={}));var ae;(function(e){function n(r,t){return{uri:r,version:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.uri)&&a.integer(t.version)}e.is=i})(ae||(ae={}));var B;(function(e){function n(r,t){return{uri:r,version:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.uri)&&(t.version===null||a.integer(t.version))}e.is=i})(B||(B={}));var se;(function(e){function n(r,t,o,s){return{uri:r,languageId:t,version:o,text:s}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.uri)&&a.string(t.languageId)&&a.integer(t.version)&&a.string(t.text)}e.is=i})(se||(se={}));var q;(function(e){e.PlainText="plaintext",e.Markdown="markdown";function n(i){const r=i;return r===e.PlainText||r===e.Markdown}e.is=n})(q||(q={}));var P;(function(e){function n(i){const r=i;return a.objectLiteral(i)&&q.is(r.kind)&&a.string(r.value)}e.is=n})(P||(P={}));var v;(function(e){e.Text=1,e.Method=2,e.Function=3,e.Constructor=4,e.Field=5,e.Variable=6,e.Class=7,e.Interface=8,e.Module=9,e.Property=10,e.Unit=11,e.Value=12,e.Enum=13,e.Keyword=14,e.Snippet=15,e.Color=16,e.File=17,e.Reference=18,e.Folder=19,e.EnumMember=20,e.Constant=21,e.Struct=22,e.Event=23,e.Operator=24,e.TypeParameter=25})(v||(v={}));var Q;(function(e){e.PlainText=1,e.Snippet=2})(Q||(Q={}));var ue;(function(e){e.Deprecated=1})(ue||(ue={}));var ce;(function(e){function n(r,t,o){return{newText:r,insert:t,replace:o}}e.create=n;function i(r){const t=r;return t&&a.string(t.newText)&&h.is(t.insert)&&h.is(t.replace)}e.is=i})(ce||(ce={}));var de;(function(e){e.asIs=1,e.adjustIndentation=2})(de||(de={}));var le;(function(e){function n(i){const r=i;return r&&(a.string(r.detail)||r.detail===void 0)&&(a.string(r.description)||r.description===void 0)}e.is=n})(le||(le={}));var fe;(function(e){function n(i){return{label:i}}e.create=n})(fe||(fe={}));var ge;(function(e){function n(i,r){return{items:i||[],isIncomplete:!!r}}e.create=n})(ge||(ge={}));var F;(function(e){function n(r){return r.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}e.fromPlainText=n;function i(r){const t=r;return a.string(t)||a.objectLiteral(t)&&a.string(t.language)&&a.string(t.value)}e.is=i})(F||(F={}));var he;(function(e){function n(i){let r=i;return!!r&&a.objectLiteral(r)&&(P.is(r.contents)||F.is(r.contents)||a.typedArray(r.contents,F.is))&&(i.range===void 0||h.is(i.range))}e.is=n})(he||(he={}));var ve;(function(e){function n(i,r){return r?{label:i,documentation:r}:{label:i}}e.create=n})(ve||(ve={}));var pe;(function(e){function n(i,r,...t){let o={label:i};return a.defined(r)&&(o.documentation=r),a.defined(t)?o.parameters=t:o.parameters=[],o}e.create=n})(pe||(pe={}));var R;(function(e){e.Text=1,e.Read=2,e.Write=3})(R||(R={}));var me;(function(e){function n(i,r){let t={range:i};return a.number(r)&&(t.kind=r),t}e.create=n})(me||(me={}));var p;(function(e){e.File=1,e.Module=2,e.Namespace=3,e.Package=4,e.Class=5,e.Method=6,e.Property=7,e.Field=8,e.Constructor=9,e.Enum=10,e.Interface=11,e.Function=12,e.Variable=13,e.Constant=14,e.String=15,e.Number=16,e.Boolean=17,e.Array=18,e.Object=19,e.Key=20,e.Null=21,e.EnumMember=22,e.Struct=23,e.Event=24,e.Operator=25,e.TypeParameter=26})(p||(p={}));var _e;(function(e){e.Deprecated=1})(_e||(_e={}));var be;(function(e){function n(i,r,t,o,s){let u={name:i,kind:r,location:{uri:o,range:t}};return s&&(u.containerName=s),u}e.create=n})(be||(be={}));var we;(function(e){function n(i,r,t,o){return o!==void 0?{name:i,kind:r,location:{uri:t,range:o}}:{name:i,kind:r,location:{uri:t}}}e.create=n})(we||(we={}));var ke;(function(e){function n(r,t,o,s,u,f){let c={name:r,detail:t,kind:o,range:s,selectionRange:u};return f!==void 0&&(c.children=f),c}e.create=n;function i(r){let t=r;return t&&a.string(t.name)&&a.number(t.kind)&&h.is(t.range)&&h.is(t.selectionRange)&&(t.detail===void 0||a.string(t.detail))&&(t.deprecated===void 0||a.boolean(t.deprecated))&&(t.children===void 0||Array.isArray(t.children))&&(t.tags===void 0||Array.isArray(t.tags))}e.is=i})(ke||(ke={}));var xe;(function(e){e.Empty="",e.QuickFix="quickfix",e.Refactor="refactor",e.RefactorExtract="refactor.extract",e.RefactorInline="refactor.inline",e.RefactorRewrite="refactor.rewrite",e.Source="source",e.SourceOrganizeImports="source.organizeImports",e.SourceFixAll="source.fixAll"})(xe||(xe={}));var j;(function(e){e.Invoked=1,e.Automatic=2})(j||(j={}));var Ie;(function(e){function n(r,t,o){let s={diagnostics:r};return t!=null&&(s.only=t),o!=null&&(s.triggerKind=o),s}e.create=n;function i(r){let t=r;return a.defined(t)&&a.typedArray(t.diagnostics,y.is)&&(t.only===void 0||a.typedArray(t.only,a.string))&&(t.triggerKind===void 0||t.triggerKind===j.Invoked||t.triggerKind===j.Automatic)}e.is=i})(Ie||(Ie={}));var Ee;(function(e){function n(r,t,o){let s={title:r},u=!0;return typeof t=="string"?(u=!1,s.kind=t):I.is(t)?s.command=t:s.edit=t,u&&o!==void 0&&(s.kind=o),s}e.create=n;function i(r){let t=r;return t&&a.string(t.title)&&(t.diagnostics===void 0||a.typedArray(t.diagnostics,y.is))&&(t.kind===void 0||a.string(t.kind))&&(t.edit!==void 0||t.command!==void 0)&&(t.command===void 0||I.is(t.command))&&(t.isPreferred===void 0||a.boolean(t.isPreferred))&&(t.edit===void 0||z.is(t.edit))}e.is=i})(Ee||(Ee={}));var Le;(function(e){function n(r,t){let o={range:r};return a.defined(t)&&(o.data=t),o}e.create=n;function i(r){let t=r;return a.defined(t)&&h.is(t.range)&&(a.undefined(t.command)||I.is(t.command))}e.is=i})(Le||(Le={}));var Ae;(function(e){function n(r,t){return{tabSize:r,insertSpaces:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.uinteger(t.tabSize)&&a.boolean(t.insertSpaces)}e.is=i})(Ae||(Ae={}));var Re;(function(e){function n(r,t,o){return{range:r,target:t,data:o}}e.create=n;function i(r){let t=r;return a.defined(t)&&h.is(t.range)&&(a.undefined(t.target)||a.string(t.target))}e.is=i})(Re||(Re={}));var Pe;(function(e){function n(r,t){return{range:r,parent:t}}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&h.is(t.range)&&(t.parent===void 0||e.is(t.parent))}e.is=i})(Pe||(Pe={}));var De;(function(e){e.namespace="namespace",e.type="type",e.class="class",e.enum="enum",e.interface="interface",e.struct="struct",e.typeParameter="typeParameter",e.parameter="parameter",e.variable="variable",e.property="property",e.enumMember="enumMember",e.event="event",e.function="function",e.method="method",e.macro="macro",e.keyword="keyword",e.modifier="modifier",e.comment="comment",e.string="string",e.number="number",e.regexp="regexp",e.operator="operator",e.decorator="decorator"})(De||(De={}));var Me;(function(e){e.declaration="declaration",e.definition="definition",e.readonly="readonly",e.static="static",e.deprecated="deprecated",e.abstract="abstract",e.async="async",e.modification="modification",e.documentation="documentation",e.defaultLibrary="defaultLibrary"})(Me||(Me={}));var Ce;(function(e){function n(i){const r=i;return a.objectLiteral(r)&&(r.resultId===void 0||typeof r.resultId=="string")&&Array.isArray(r.data)&&(r.data.length===0||typeof r.data[0]=="number")}e.is=n})(Ce||(Ce={}));var ye;(function(e){function n(r,t){return{range:r,text:t}}e.create=n;function i(r){const t=r;return t!=null&&h.is(t.range)&&a.string(t.text)}e.is=i})(ye||(ye={}));var Fe;(function(e){function n(r,t,o){return{range:r,variableName:t,caseSensitiveLookup:o}}e.create=n;function i(r){const t=r;return t!=null&&h.is(t.range)&&a.boolean(t.caseSensitiveLookup)&&(a.string(t.variableName)||t.variableName===void 0)}e.is=i})(Fe||(Fe={}));var je;(function(e){function n(r,t){return{range:r,expression:t}}e.create=n;function i(r){const t=r;return t!=null&&h.is(t.range)&&(a.string(t.expression)||t.expression===void 0)}e.is=i})(je||(je={}));var Ne;(function(e){function n(r,t){return{frameId:r,stoppedLocation:t}}e.create=n;function i(r){const t=r;return a.defined(t)&&h.is(r.stoppedLocation)}e.is=i})(Ne||(Ne={}));var G;(function(e){e.Type=1,e.Parameter=2;function n(i){return i===1||i===2}e.is=n})(G||(G={}));var J;(function(e){function n(r){return{value:r}}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&(t.tooltip===void 0||a.string(t.tooltip)||P.is(t.tooltip))&&(t.location===void 0||C.is(t.location))&&(t.command===void 0||I.is(t.command))}e.is=i})(J||(J={}));var Oe;(function(e){function n(r,t,o){const s={position:r,label:t};return o!==void 0&&(s.kind=o),s}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&w.is(t.position)&&(a.string(t.label)||a.typedArray(t.label,J.is))&&(t.kind===void 0||G.is(t.kind))&&t.textEdits===void 0||a.typedArray(t.textEdits,E.is)&&(t.tooltip===void 0||a.string(t.tooltip)||P.is(t.tooltip))&&(t.paddingLeft===void 0||a.boolean(t.paddingLeft))&&(t.paddingRight===void 0||a.boolean(t.paddingRight))}e.is=i})(Oe||(Oe={}));var Se;(function(e){function n(i){return{kind:"snippet",value:i}}e.createSnippet=n})(Se||(Se={}));var Ue;(function(e){function n(i,r,t,o){return{insertText:i,filterText:r,range:t,command:o}}e.create=n})(Ue||(Ue={}));var Ve;(function(e){function n(i){return{items:i}}e.create=n})(Ve||(Ve={}));var We;(function(e){e.Invoked=0,e.Automatic=1})(We||(We={}));var He;(function(e){function n(i,r){return{range:i,text:r}}e.create=n})(He||(He={}));var Xe;(function(e){function n(i,r){return{triggerKind:i,selectedCompletionInfo:r}}e.create=n})(Xe||(Xe={}));var $e;(function(e){function n(i){const r=i;return a.objectLiteral(r)&&O.is(r.uri)&&a.string(r.name)}e.is=n})($e||($e={}));var ze;(function(e){function n(o,s,u,f){return new ct(o,s,u,f)}e.create=n;function i(o){let s=o;return!!(a.defined(s)&&a.string(s.uri)&&(a.undefined(s.languageId)||a.string(s.languageId))&&a.uinteger(s.lineCount)&&a.func(s.getText)&&a.func(s.positionAt)&&a.func(s.offsetAt))}e.is=i;function r(o,s){let u=o.getText(),f=t(s,(g,_)=>{let b=g.range.start.line-_.range.start.line;return b===0?g.range.start.character-_.range.start.character:b}),c=u.length;for(let g=f.length-1;g>=0;g--){let _=f[g],b=o.offsetAt(_.range.start),l=o.offsetAt(_.range.end);if(l<=c)u=u.substring(0,b)+_.newText+u.substring(l,u.length);else throw new Error("Overlapping edit");c=b}return u}e.applyEdits=r;function t(o,s){if(o.length<=1)return o;const u=o.length/2|0,f=o.slice(0,u),c=o.slice(u);t(f,s),t(c,s);let g=0,_=0,b=0;for(;g<f.length&&_<c.length;)s(f[g],c[_])<=0?o[b++]=f[g++]:o[b++]=c[_++];for(;g<f.length;)o[b++]=f[g++];for(;_<c.length;)o[b++]=c[_++];return o}})(ze||(ze={}));var ct=class{constructor(e,n,i,r){this._uri=e,this._languageId=n,this._version=i,this._content=r,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(e){if(e){let n=this.offsetAt(e.start),i=this.offsetAt(e.end);return this._content.substring(n,i)}return this._content}update(e,n){this._content=e.text,this._version=n,this._lineOffsets=void 0}getLineOffsets(){if(this._lineOffsets===void 0){let e=[],n=this._content,i=!0;for(let r=0;r<n.length;r++){i&&(e.push(r),i=!1);let t=n.charAt(r);i=t==="\r"||t===`
+`,t==="\r"&&r+1<n.length&&n.charAt(r+1)===`
+`&&r++}i&&n.length>0&&e.push(n.length),this._lineOffsets=e}return this._lineOffsets}positionAt(e){e=Math.max(Math.min(e,this._content.length),0);let n=this.getLineOffsets(),i=0,r=n.length;if(r===0)return w.create(0,e);for(;i<r;){let o=Math.floor((i+r)/2);n[o]>e?r=o:i=o+1}let t=i-1;return w.create(t,e-n[t])}offsetAt(e){let n=this.getLineOffsets();if(e.line>=n.length)return this._content.length;if(e.line<0)return 0;let i=n[e.line],r=e.line+1<n.length?n[e.line+1]:this._content.length;return Math.max(Math.min(i+e.character,r),i)}get lineCount(){return this.getLineOffsets().length}},a;(function(e){const n=Object.prototype.toString;function i(l){return typeof l<"u"}e.defined=i;function r(l){return typeof l>"u"}e.undefined=r;function t(l){return l===!0||l===!1}e.boolean=t;function o(l){return n.call(l)==="[object String]"}e.string=o;function s(l){return n.call(l)==="[object Number]"}e.number=s;function u(l,N,Ke){return n.call(l)==="[object Number]"&&N<=l&&l<=Ke}e.numberRange=u;function f(l){return n.call(l)==="[object Number]"&&-2147483648<=l&&l<=2147483647}e.integer=f;function c(l){return n.call(l)==="[object Number]"&&0<=l&&l<=2147483647}e.uinteger=c;function g(l){return n.call(l)==="[object Function]"}e.func=g;function _(l){return l!==null&&typeof l=="object"}e.objectLiteral=_;function b(l,N){return Array.isArray(l)&&l.every(N)}e.typedArray=b})(a||(a={}));var dt=class{constructor(e,n,i){this._languageId=e,this._worker=n,this._disposables=[],this._listener=Object.create(null);const r=o=>{let s=o.getLanguageId();if(s!==this._languageId)return;let u;this._listener[o.uri.toString()]=o.onDidChangeContent(()=>{window.clearTimeout(u),u=window.setTimeout(()=>this._doValidate(o.uri,s),500)}),this._doValidate(o.uri,s)},t=o=>{d.editor.setModelMarkers(o,this._languageId,[]);let s=o.uri.toString(),u=this._listener[s];u&&(u.dispose(),delete this._listener[s])};this._disposables.push(d.editor.onDidCreateModel(r)),this._disposables.push(d.editor.onWillDisposeModel(t)),this._disposables.push(d.editor.onDidChangeModelLanguage(o=>{t(o.model),r(o.model)})),this._disposables.push(i(o=>{d.editor.getModels().forEach(s=>{s.getLanguageId()===this._languageId&&(t(s),r(s))})})),this._disposables.push({dispose:()=>{d.editor.getModels().forEach(t);for(let o in this._listener)this._listener[o].dispose()}}),d.editor.getModels().forEach(r)}dispose(){this._disposables.forEach(e=>e&&e.dispose()),this._disposables.length=0}_doValidate(e,n){this._worker(e).then(i=>i.doValidation(e.toString())).then(i=>{const r=i.map(o=>ft(e,o));let t=d.editor.getModel(e);t&&t.getLanguageId()===n&&d.editor.setModelMarkers(t,n,r)}).then(void 0,i=>{console.error(i)})}};function lt(e){switch(e){case x.Error:return d.MarkerSeverity.Error;case x.Warning:return d.MarkerSeverity.Warning;case x.Information:return d.MarkerSeverity.Info;case x.Hint:return d.MarkerSeverity.Hint;default:return d.MarkerSeverity.Info}}function ft(e,n){let i=typeof n.code=="number"?String(n.code):n.code;return{severity:lt(n.severity),startLineNumber:n.range.start.line+1,startColumn:n.range.start.character+1,endLineNumber:n.range.end.line+1,endColumn:n.range.end.character+1,message:n.message,code:i,source:n.source}}var gt=class{constructor(e,n){this._worker=e,this._triggerCharacters=n}get triggerCharacters(){return this._triggerCharacters}provideCompletionItems(e,n,i,r){const t=e.uri;return this._worker(t).then(o=>o.doComplete(t.toString(),k(n))).then(o=>{if(!o)return;const s=e.getWordUntilPosition(n),u=new d.Range(n.lineNumber,s.startColumn,n.lineNumber,s.endColumn),f=o.items.map(c=>{const g={label:c.label,insertText:c.insertText||c.label,sortText:c.sortText,filterText:c.filterText,documentation:c.documentation,detail:c.detail,command:pt(c.command),range:u,kind:vt(c.kind)};return c.textEdit&&(ht(c.textEdit)?g.range={insert:m(c.textEdit.insert),replace:m(c.textEdit.replace)}:g.range=m(c.textEdit.range),g.insertText=c.textEdit.newText),c.additionalTextEdits&&(g.additionalTextEdits=c.additionalTextEdits.map(D)),c.insertTextFormat===Q.Snippet&&(g.insertTextRules=d.languages.CompletionItemInsertTextRule.InsertAsSnippet),g});return{isIncomplete:o.isIncomplete,suggestions:f}})}};function k(e){if(e)return{character:e.column-1,line:e.lineNumber-1}}function Qe(e){if(e)return{start:{line:e.startLineNumber-1,character:e.startColumn-1},end:{line:e.endLineNumber-1,character:e.endColumn-1}}}function m(e){if(e)return new d.Range(e.start.line+1,e.start.character+1,e.end.line+1,e.end.character+1)}function ht(e){return typeof e.insert<"u"&&typeof e.replace<"u"}function vt(e){const n=d.languages.CompletionItemKind;switch(e){case v.Text:return n.Text;case v.Method:return n.Method;case v.Function:return n.Function;case v.Constructor:return n.Constructor;case v.Field:return n.Field;case v.Variable:return n.Variable;case v.Class:return n.Class;case v.Interface:return n.Interface;case v.Module:return n.Module;case v.Property:return n.Property;case v.Unit:return n.Unit;case v.Value:return n.Value;case v.Enum:return n.Enum;case v.Keyword:return n.Keyword;case v.Snippet:return n.Snippet;case v.Color:return n.Color;case v.File:return n.File;case v.Reference:return n.Reference}return n.Property}function D(e){if(e)return{range:m(e.range),text:e.newText}}function pt(e){return e&&e.command==="editor.action.triggerSuggest"?{id:e.command,title:e.title,arguments:e.arguments}:void 0}var mt=class{constructor(e){this._worker=e}provideHover(e,n,i){let r=e.uri;return this._worker(r).then(t=>t.doHover(r.toString(),k(n))).then(t=>{if(t)return{range:m(t.range),contents:bt(t.contents)}})}};function _t(e){return e&&typeof e=="object"&&typeof e.kind=="string"}function Be(e){return typeof e=="string"?{value:e}:_t(e)?e.kind==="plaintext"?{value:e.value.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}:{value:e.value}:{value:"```"+e.language+`
+`+e.value+"\n```\n"}}function bt(e){if(e)return Array.isArray(e)?e.map(Be):[Be(e)]}var wt=class{constructor(e){this._worker=e}provideDocumentHighlights(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.findDocumentHighlights(r.toString(),k(n))).then(t=>{if(t)return t.map(o=>({range:m(o.range),kind:kt(o.kind)}))})}};function kt(e){switch(e){case R.Read:return d.languages.DocumentHighlightKind.Read;case R.Write:return d.languages.DocumentHighlightKind.Write;case R.Text:return d.languages.DocumentHighlightKind.Text}return d.languages.DocumentHighlightKind.Text}var xt=class{constructor(e){this._worker=e}provideDefinition(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.findDefinition(r.toString(),k(n))).then(t=>{if(t)return[Ge(t)]})}};function Ge(e){return{uri:d.Uri.parse(e.uri),range:m(e.range)}}var It=class{constructor(e){this._worker=e}provideReferences(e,n,i,r){const t=e.uri;return this._worker(t).then(o=>o.findReferences(t.toString(),k(n))).then(o=>{if(o)return o.map(Ge)})}},Et=class{constructor(e){this._worker=e}provideRenameEdits(e,n,i,r){const t=e.uri;return this._worker(t).then(o=>o.doRename(t.toString(),k(n),i)).then(o=>Lt(o))}};function Lt(e){if(!e||!e.changes)return;let n=[];for(let i in e.changes){const r=d.Uri.parse(i);for(let t of e.changes[i])n.push({resource:r,versionId:void 0,textEdit:{range:m(t.range),text:t.newText}})}return{edits:n}}var At=class{constructor(e){this._worker=e}provideDocumentSymbols(e,n){const i=e.uri;return this._worker(i).then(r=>r.findDocumentSymbols(i.toString())).then(r=>{if(r)return r.map(t=>Rt(t)?Je(t):{name:t.name,detail:"",containerName:t.containerName,kind:Te(t.kind),range:m(t.location.range),selectionRange:m(t.location.range),tags:[]})})}};function Rt(e){return"children"in e}function Je(e){return{name:e.name,detail:e.detail??"",kind:Te(e.kind),range:m(e.range),selectionRange:m(e.selectionRange),tags:e.tags??[],children:(e.children??[]).map(n=>Je(n))}}function Te(e){let n=d.languages.SymbolKind;switch(e){case p.File:return n.File;case p.Module:return n.Module;case p.Namespace:return n.Namespace;case p.Package:return n.Package;case p.Class:return n.Class;case p.Method:return n.Method;case p.Property:return n.Property;case p.Field:return n.Field;case p.Constructor:return n.Constructor;case p.Enum:return n.Enum;case p.Interface:return n.Interface;case p.Function:return n.Function;case p.Variable:return n.Variable;case p.Constant:return n.Constant;case p.String:return n.String;case p.Number:return n.Number;case p.Boolean:return n.Boolean;case p.Array:return n.Array}return n.Function}var St=class{constructor(e){this._worker=e}provideLinks(e,n){const i=e.uri;return this._worker(i).then(r=>r.findDocumentLinks(i.toString())).then(r=>{if(r)return{links:r.map(t=>({range:m(t.range),url:t.target}))}})}},Pt=class{constructor(e){this._worker=e}provideDocumentFormattingEdits(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.format(r.toString(),null,Ye(n)).then(o=>{if(!(!o||o.length===0))return o.map(D)}))}},Dt=class{constructor(e){this._worker=e,this.canFormatMultipleRanges=!1}provideDocumentRangeFormattingEdits(e,n,i,r){const t=e.uri;return this._worker(t).then(o=>o.format(t.toString(),Qe(n),Ye(i)).then(s=>{if(!(!s||s.length===0))return s.map(D)}))}};function Ye(e){return{tabSize:e.tabSize,insertSpaces:e.insertSpaces}}var Mt=class{constructor(e){this._worker=e}provideDocumentColors(e,n){const i=e.uri;return this._worker(i).then(r=>r.findDocumentColors(i.toString())).then(r=>{if(r)return r.map(t=>({color:t.color,range:m(t.range)}))})}provideColorPresentations(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.getColorPresentations(r.toString(),n.color,Qe(n.range))).then(t=>{if(t)return t.map(o=>{let s={label:o.label};return o.textEdit&&(s.textEdit=D(o.textEdit)),o.additionalTextEdits&&(s.additionalTextEdits=o.additionalTextEdits.map(D)),s})})}},Ct=class{constructor(e){this._worker=e}provideFoldingRanges(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.getFoldingRanges(r.toString(),n)).then(t=>{if(t)return t.map(o=>{const s={start:o.startLine+1,end:o.endLine+1};return typeof o.kind<"u"&&(s.kind=yt(o.kind)),s})})}};function yt(e){switch(e){case A.Comment:return d.languages.FoldingRangeKind.Comment;case A.Imports:return d.languages.FoldingRangeKind.Imports;case A.Region:return d.languages.FoldingRangeKind.Region}}var Ft=class{constructor(e){this._worker=e}provideSelectionRanges(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.getSelectionRanges(r.toString(),n.map(k))).then(t=>{if(t)return t.map(o=>{const s=[];for(;o;)s.push({range:m(o.range)}),o=o.parent;return s})})}};function Ut(e){const n=[],i=[],r=new ut(e);n.push(r);const t=(...s)=>r.getLanguageServiceWorker(...s);function o(){const{languageId:s,modeConfiguration:u}=e;Ze(i),u.completionItems&&i.push(d.languages.registerCompletionItemProvider(s,new gt(t,["/","-",":"]))),u.hovers&&i.push(d.languages.registerHoverProvider(s,new mt(t))),u.documentHighlights&&i.push(d.languages.registerDocumentHighlightProvider(s,new wt(t))),u.definitions&&i.push(d.languages.registerDefinitionProvider(s,new xt(t))),u.references&&i.push(d.languages.registerReferenceProvider(s,new It(t))),u.documentSymbols&&i.push(d.languages.registerDocumentSymbolProvider(s,new At(t))),u.rename&&i.push(d.languages.registerRenameProvider(s,new Et(t))),u.colors&&i.push(d.languages.registerColorProvider(s,new Mt(t))),u.foldingRanges&&i.push(d.languages.registerFoldingRangeProvider(s,new Ct(t))),u.diagnostics&&i.push(new dt(s,t,e.onDidChange)),u.selectionRanges&&i.push(d.languages.registerSelectionRangeProvider(s,new Ft(t))),u.documentFormattingEdits&&i.push(d.languages.registerDocumentFormattingEditProvider(s,new Pt(t))),u.documentRangeFormattingEdits&&i.push(d.languages.registerDocumentRangeFormattingEditProvider(s,new Dt(t)))}return o(),n.push(qe(i)),qe(n)}function qe(e){return{dispose:()=>Ze(e)}}function Ze(e){for(;e.length;)e.pop().dispose()}export{gt as CompletionAdapter,xt as DefinitionAdapter,dt as DiagnosticsAdapter,Mt as DocumentColorAdapter,Pt as DocumentFormattingEditProvider,wt as DocumentHighlightAdapter,St as DocumentLinkAdapter,Dt as DocumentRangeFormattingEditProvider,At as DocumentSymbolAdapter,Ct as FoldingRangeAdapter,mt as HoverAdapter,It as ReferenceAdapter,Et as RenameAdapter,Ft as SelectionRangeAdapter,ut as WorkerManager,k as fromPosition,Qe as fromRange,Ut as setupMode,m as toRange,D as toTextEdit};
diff --git a/docs/assets/monaco/cypher-DciL1opS.js b/docs/assets/monaco/cypher-DciL1opS.js
new file mode 100644
index 0000000..bdb73f8
--- /dev/null
+++ b/docs/assets/monaco/cypher-DciL1opS.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}]},i={defaultToken:"",tokenPostfix:".cypher",ignoreCase:!0,brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.bracket"},{open:"(",close:")",token:"delimiter.parenthesis"}],keywords:["ALL","AND","AS","ASC","ASCENDING","BY","CALL","CASE","CONTAINS","CREATE","DELETE","DESC","DESCENDING","DETACH","DISTINCT","ELSE","END","ENDS","EXISTS","IN","IS","LIMIT","MANDATORY","MATCH","MERGE","NOT","ON","ON","OPTIONAL","OR","ORDER","REMOVE","RETURN","SET","SKIP","STARTS","THEN","UNION","UNWIND","WHEN","WHERE","WITH","XOR","YIELD"],builtinLiterals:["true","TRUE","false","FALSE","null","NULL"],builtinFunctions:["abs","acos","asin","atan","atan2","avg","ceil","coalesce","collect","cos","cot","count","degrees","e","endNode","exists","exp","floor","head","id","keys","labels","last","left","length","log","log10","lTrim","max","min","nodes","percentileCont","percentileDisc","pi","properties","radians","rand","range","relationships","replace","reverse","right","round","rTrim","sign","sin","size","split","sqrt","startNode","stDev","stDevP","substring","sum","tail","tan","timestamp","toBoolean","toFloat","toInteger","toLower","toString","toUpper","trim","type"],operators:["+","-","*","/","%","^","=","<>","<",">","<=",">=","->","<-","-->","<--"],escapes:/\\(?:[tbnrf\\"'`]|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,digits:/\d+/,octaldigits:/[0-7]+/,hexdigits:/[0-9a-fA-F]+/,tokenizer:{root:[[/[{}[\]()]/,"@brackets"],{include:"common"}],common:[{include:"@whitespace"},{include:"@numbers"},{include:"@strings"},[/:[a-zA-Z_][\w]*/,"type.identifier"],[/[a-zA-Z_][\w]*(?=\()/,{cases:{"@builtinFunctions":"predefined.function"}}],[/[a-zA-Z_$][\w$]*/,{cases:{"@keywords":"keyword","@builtinLiterals":"predefined.literal","@default":"identifier"}}],[/`/,"identifier.escape","@identifierBacktick"],[/[;,.:|]/,"delimiter"],[/[<>=%+\-*/^]+/,{cases:{"@operators":"delimiter","@default":""}}]],numbers:[[/-?(@digits)[eE](-?(@digits))?/,"number.float"],[/-?(@digits)?\.(@digits)([eE]-?(@digits))?/,"number.float"],[/-?0x(@hexdigits)/,"number.hex"],[/-?0(@octaldigits)/,"number.octal"],[/-?(@digits)/,"number"]],strings:[[/"([^"\\]|\\.)*$/,"string.invalid"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/"/,"string","@stringDouble"],[/'/,"string","@stringSingle"]],whitespace:[[/[ \t\r\n]+/,"white"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/\/\/.*/,"comment"],[/[^/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[/*]/,"comment"]],stringDouble:[[/[^\\"]+/,"string"],[/@escapes/,"string"],[/\\./,"string.invalid"],[/"/,"string","@pop"]],stringSingle:[[/[^\\']+/,"string"],[/@escapes/,"string"],[/\\./,"string.invalid"],[/'/,"string","@pop"]],identifierBacktick:[[/[^\\`]+/,"identifier.escape"],[/@escapes/,"identifier.escape"],[/\\./,"identifier.escape.invalid"],[/`/,"identifier.escape","@pop"]]}};export{e as conf,i as language};
diff --git a/docs/assets/monaco/dart-CAkRrlCV.js b/docs/assets/monaco/dart-CAkRrlCV.js
new file mode 100644
index 0000000..7d61d44
--- /dev/null
+++ b/docs/assets/monaco/dart-CAkRrlCV.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"'",close:"'",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string"]},{open:"`",close:"`",notIn:["string","comment"]},{open:"/**",close:" */",notIn:["string"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"},{open:"(",close:")"},{open:'"',close:'"'},{open:"`",close:"`"}],folding:{markers:{start:/^\s*\s*#?region\b/,end:/^\s*\s*#?endregion\b/}}},n={defaultToken:"invalid",tokenPostfix:".dart",keywords:["abstract","dynamic","implements","show","as","else","import","static","assert","enum","in","super","async","export","interface","switch","await","extends","is","sync","break","external","library","this","case","factory","mixin","throw","catch","false","new","true","class","final","null","try","const","finally","on","typedef","continue","for","operator","var","covariant","Function","part","void","default","get","rethrow","while","deferred","hide","return","with","do","if","set","yield"],typeKeywords:["int","double","String","bool"],operators:["+","-","*","/","~/","%","++","--","==","!=",">","<",">=","<=","=","-=","/=","%=",">>=","^=","+=","*=","~/=","<<=","&=","!=","||","&&","&","|","^","~","<<",">>","!",">>>","??","?",":","|="],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,digits:/\d+(_+\d+)*/,octaldigits:/[0-7]+(_+[0-7]+)*/,binarydigits:/[0-1]+(_+[0-1]+)*/,hexdigits:/[[0-9a-fA-F]+(_+[0-9a-fA-F]+)*/,regexpctl:/[(){}\[\]\$\^|\-*+?\.]/,regexpesc:/\\(?:[bBdDfnrstvwWn0\\\/]|@regexpctl|c[A-Z]|x[0-9a-fA-F]{2}|u[0-9a-fA-F]{4})/,tokenizer:{root:[[/[{}]/,"delimiter.bracket"],{include:"common"}],common:[[/[a-z_$][\w$]*/,{cases:{"@typeKeywords":"type.identifier","@keywords":"keyword","@default":"identifier"}}],[/[A-Z_$][\w\$]*/,"type.identifier"],{include:"@whitespace"},[/\/(?=([^\\\/]|\\.)+\/([gimsuy]*)(\s*)(\.|;|,|\)|\]|\}|$))/,{token:"regexp",bracket:"@open",next:"@regexp"}],[/@[a-zA-Z]+/,"annotation"],[/[()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/!(?=([^=]|$))/,"delimiter"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/(@digits)[eE]([\-+]?(@digits))?/,"number.float"],[/(@digits)\.(@digits)([eE][\-+]?(@digits))?/,"number.float"],[/0[xX](@hexdigits)n?/,"number.hex"],[/0[oO]?(@octaldigits)n?/,"number.octal"],[/0[bB](@binarydigits)n?/,"number.binary"],[/(@digits)n?/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string_double"],[/'/,"string","@string_single"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@jsdoc"],[/\/\*/,"comment","@comment"],[/\/\/\/.*$/,"comment.doc"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],jsdoc:[[/[^\/*]+/,"comment.doc"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],regexp:[[/(\{)(\d+(?:,\d*)?)(\})/,["regexp.escape.control","regexp.escape.control","regexp.escape.control"]],[/(\[)(\^?)(?=(?:[^\]\\\/]|\\.)+)/,["regexp.escape.control",{token:"regexp.escape.control",next:"@regexrange"}]],[/(\()(\?:|\?=|\?!)/,["regexp.escape.control","regexp.escape.control"]],[/[()]/,"regexp.escape.control"],[/@regexpctl/,"regexp.escape.control"],[/[^\\\/]/,"regexp"],[/@regexpesc/,"regexp.escape"],[/\\\./,"regexp.invalid"],[/(\/)([gimsuy]*)/,[{token:"regexp",bracket:"@close",next:"@pop"},"keyword.other"]]],regexrange:[[/-/,"regexp.escape.control"],[/\^/,"regexp.invalid"],[/@regexpesc/,"regexp.escape"],[/[^\]]/,"regexp"],[/\]/,{token:"regexp.escape.control",next:"@pop",bracket:"@close"}]],string_double:[[/[^\\"\$]+/,"string"],[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"],[/\$\w+/,"identifier"]],string_single:[[/[^\\'\$]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/'/,"string","@pop"],[/\$\w+/,"identifier"]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/dockerfile-CHkS34TV.js b/docs/assets/monaco/dockerfile-CHkS34TV.js
new file mode 100644
index 0000000..5d22ee4
--- /dev/null
+++ b/docs/assets/monaco/dockerfile-CHkS34TV.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},o={defaultToken:"",tokenPostfix:".dockerfile",variable:/\${?[\w]+}?/,tokenizer:{root:[{include:"@whitespace"},{include:"@comment"},[/(ONBUILD)(\s+)/,["keyword",""]],[/(ENV)(\s+)([\w]+)/,["keyword","",{token:"variable",next:"@arguments"}]],[/(FROM|MAINTAINER|RUN|EXPOSE|ENV|ADD|ARG|VOLUME|LABEL|USER|WORKDIR|COPY|CMD|STOPSIGNAL|SHELL|HEALTHCHECK|ENTRYPOINT)/,{token:"keyword",next:"@arguments"}]],arguments:[{include:"@whitespace"},{include:"@strings"},[/(@variable)/,{cases:{"@eos":{token:"variable",next:"@popall"},"@default":"variable"}}],[/\\/,{cases:{"@eos":"","@default":""}}],[/./,{cases:{"@eos":{token:"",next:"@popall"},"@default":""}}]],whitespace:[[/\s+/,{cases:{"@eos":{token:"",next:"@popall"},"@default":""}}]],comment:[[/(^#.*$)/,"comment","@popall"]],strings:[[/\\'$/,"","@popall"],[/\\'/,""],[/'$/,"string","@popall"],[/'/,"string","@stringBody"],[/"$/,"string","@popall"],[/"/,"string","@dblStringBody"]],stringBody:[[/[^\\\$']/,{cases:{"@eos":{token:"string",next:"@popall"},"@default":"string"}}],[/\\./,"string.escape"],[/'$/,"string","@popall"],[/'/,"string","@pop"],[/(@variable)/,"variable"],[/\\$/,"string"],[/$/,"string","@popall"]],dblStringBody:[[/[^\\\$"]/,{cases:{"@eos":{token:"string",next:"@popall"},"@default":"string"}}],[/\\./,"string.escape"],[/"$/,"string","@popall"],[/"/,"string","@pop"],[/(@variable)/,"variable"],[/\\$/,"string"],[/$/,"string","@popall"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/ecl-qjrLrnvP.js b/docs/assets/monaco/ecl-qjrLrnvP.js
new file mode 100644
index 0000000..aa07313
--- /dev/null
+++ b/docs/assets/monaco/ecl-qjrLrnvP.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"'",close:"'",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"},{open:'"',close:'"'}]},o={defaultToken:"",tokenPostfix:".ecl",ignoreCase:!0,brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],pounds:["append","break","declare","demangle","end","for","getdatatype","if","inmodule","loop","mangle","onwarning","option","set","stored","uniquename"].join("|"),keywords:["__compressed__","after","all","and","any","as","atmost","before","beginc","best","between","case","cluster","compressed","compression","const","counter","csv","default","descend","embed","encoding","encrypt","end","endc","endembed","endmacro","enum","escape","except","exclusive","expire","export","extend","fail","few","fileposition","first","flat","forward","from","full","function","functionmacro","group","grouped","heading","hole","ifblock","import","in","inner","interface","internal","joined","keep","keyed","last","left","limit","linkcounted","literal","little_endian","load","local","locale","lookup","lzw","macro","many","maxcount","maxlength","min skew","module","mofn","multiple","named","namespace","nocase","noroot","noscan","nosort","not","noxpath","of","onfail","only","opt","or","outer","overwrite","packed","partition","penalty","physicallength","pipe","prefetch","quote","record","repeat","retry","return","right","right1","right2","rows","rowset","scan","scope","self","separator","service","shared","skew","skip","smart","soapaction","sql","stable","store","terminator","thor","threshold","timelimit","timeout","token","transform","trim","type","unicodeorder","unordered","unsorted","unstable","update","use","validate","virtual","whole","width","wild","within","wnotrim","xml","xpath"],functions:["abs","acos","aggregate","allnodes","apply","ascii","asin","assert","asstring","atan","atan2","ave","build","buildindex","case","catch","choose","choosen","choosesets","clustersize","combine","correlation","cos","cosh","count","covariance","cron","dataset","dedup","define","denormalize","dictionary","distribute","distributed","distribution","ebcdic","enth","error","evaluate","event","eventextra","eventname","exists","exp","fail","failcode","failmessage","fetch","fromunicode","fromxml","getenv","getisvalid","global","graph","group","hash","hash32","hash64","hashcrc","hashmd5","having","httpcall","httpheader","if","iff","index","intformat","isvalid","iterate","join","keydiff","keypatch","keyunicode","length","library","limit","ln","loadxml","local","log","loop","map","matched","matchlength","matchposition","matchtext","matchunicode","max","merge","mergejoin","min","nofold","nolocal","nonempty","normalize","nothor","notify","output","parallel","parse","pipe","power","preload","process","project","pull","random","range","rank","ranked","realformat","recordof","regexfind","regexreplace","regroup","rejected","rollup","round","roundup","row","rowdiff","sample","sequential","set","sin","sinh","sizeof","soapcall","sort","sorted","sqrt","stepped","stored","sum","table","tan","tanh","thisnode","topn","tounicode","toxml","transfer","transform","trim","truncate","typeof","ungroup","unicodeorder","variance","wait","which","workunit","xmldecode","xmlencode","xmltext","xmlunicode"],typesint:["integer","unsigned"].join("|"),typesnum:["data","qstring","string","unicode","utf8","varstring","varunicode"],typesone:["ascii","big_endian","boolean","data","decimal","ebcdic","grouped","integer","linkcounted","pattern","qstring","real","record","rule","set of","streamed","string","token","udecimal","unicode","unsigned","utf8","varstring","varunicode"].join("|"),operators:["+","-","/",":=","<","<>","=",">","\\","and","in","not","or"],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/@typesint[4|8]/,"type"],[/#(@pounds)/,"type"],[/@typesone/,"type"],[/[a-zA-Z_$][\w-$]*/,{cases:{"@functions":"keyword.function","@keywords":"keyword","@operators":"operator"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/[0-9_]*\.[0-9_]+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F_]+/,"number.hex"],[/0[bB][01]+/,"number.hex"],[/[0-9_]+/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\v\f\r\n]+/,""],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],string:[[/[^\\']+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/'/,"string","@pop"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/elixir-Bn2aVyyF.js b/docs/assets/monaco/elixir-Bn2aVyyF.js
new file mode 100644
index 0000000..276a51c
--- /dev/null
+++ b/docs/assets/monaco/elixir-Bn2aVyyF.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"'",close:"'"},{open:'"',close:'"'}],autoClosingPairs:[{open:"'",close:"'",notIn:["string","comment"]},{open:'"',close:'"',notIn:["comment"]},{open:'"""',close:'"""'},{open:"`",close:"`",notIn:["string","comment"]},{open:"(",close:")"},{open:"{",close:"}"},{open:"[",close:"]"},{open:"<<",close:">>"}],indentationRules:{increaseIndentPattern:/^\s*(after|else|catch|rescue|fn|[^#]*(do|<\-|\->|\{|\[|\=))\s*$/,decreaseIndentPattern:/^\s*((\}|\])\s*$|(after|else|catch|rescue|end)\b)/}},t={defaultToken:"source",tokenPostfix:".elixir",brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"{",close:"}",token:"delimiter.curly"},{open:"<<",close:">>",token:"delimiter.angle.special"}],declarationKeywords:["def","defp","defn","defnp","defguard","defguardp","defmacro","defmacrop","defdelegate","defcallback","defmacrocallback","defmodule","defprotocol","defexception","defimpl","defstruct"],operatorKeywords:["and","in","not","or","when"],namespaceKeywords:["alias","import","require","use"],otherKeywords:["after","case","catch","cond","do","else","end","fn","for","if","quote","raise","receive","rescue","super","throw","try","unless","unquote_splicing","unquote","with"],constants:["true","false","nil"],nameBuiltin:["__MODULE__","__DIR__","__ENV__","__CALLER__","__STACKTRACE__"],operator:/-[->]?|!={0,2}|\*{1,2}|\/|\\\\|&{1,3}|\.\.?|\^(?:\^\^)?|\+\+?|<(?:-|<<|=|>|\|>|~>?)?|=~|={1,3}|>(?:=|>>)?|\|~>|\|>|\|{1,3}|~>>?|~~~|::/,variableName:/[a-z_][a-zA-Z0-9_]*[?!]?/,atomName:/[a-zA-Z_][a-zA-Z0-9_@]*[?!]?|@specialAtomName|@operator/,specialAtomName:/\.\.\.|<<>>|%\{\}|%|\{\}/,aliasPart:/[A-Z][a-zA-Z0-9_]*/,moduleName:/@aliasPart(?:\.@aliasPart)*/,sigilSymmetricDelimiter:/"""|'''|"|'|\/|\|/,sigilStartDelimiter:/@sigilSymmetricDelimiter|<|\{|\[|\(/,sigilEndDelimiter:/@sigilSymmetricDelimiter|>|\}|\]|\)/,sigilModifiers:/[a-zA-Z0-9]*/,decimal:/\d(?:_?\d)*/,hex:/[0-9a-fA-F](_?[0-9a-fA-F])*/,octal:/[0-7](_?[0-7])*/,binary:/[01](_?[01])*/,escape:/\\u[0-9a-fA-F]{4}|\\x[0-9a-fA-F]{2}|\\./,tokenizer:{root:[{include:"@whitespace"},{include:"@comments"},{include:"@keywordsShorthand"},{include:"@numbers"},{include:"@identifiers"},{include:"@strings"},{include:"@atoms"},{include:"@sigils"},{include:"@attributes"},{include:"@symbols"}],whitespace:[[/\s+/,"white"]],comments:[[/(#)(.*)/,["comment.punctuation","comment"]]],keywordsShorthand:[[/(@atomName)(:)(\s+)/,["constant","constant.punctuation","white"]],[/"(?=([^"]|#\{.*?\}|\\")*":)/,{token:"constant.delimiter",next:"@doubleQuotedStringKeyword"}],[/'(?=([^']|#\{.*?\}|\\')*':)/,{token:"constant.delimiter",next:"@singleQuotedStringKeyword"}]],doubleQuotedStringKeyword:[[/":/,{token:"constant.delimiter",next:"@pop"}],{include:"@stringConstantContentInterpol"}],singleQuotedStringKeyword:[[/':/,{token:"constant.delimiter",next:"@pop"}],{include:"@stringConstantContentInterpol"}],numbers:[[/0b@binary/,"number.binary"],[/0o@octal/,"number.octal"],[/0x@hex/,"number.hex"],[/@decimal\.@decimal([eE]-?@decimal)?/,"number.float"],[/@decimal/,"number"]],identifiers:[[/\b(defp?|defnp?|defmacrop?|defguardp?|defdelegate)(\s+)(@variableName)(?!\s+@operator)/,["keyword.declaration","white",{cases:{unquote:"keyword","@default":"function"}}]],[/(@variableName)(?=\s*\.?\s*\()/,{cases:{"@declarationKeywords":"keyword.declaration","@namespaceKeywords":"keyword","@otherKeywords":"keyword","@default":"function.call"}}],[/(@moduleName)(\s*)(\.)(\s*)(@variableName)/,["type.identifier","white","operator","white","function.call"]],[/(:)(@atomName)(\s*)(\.)(\s*)(@variableName)/,["constant.punctuation","constant","white","operator","white","function.call"]],[/(\|>)(\s*)(@variableName)/,["operator","white",{cases:{"@otherKeywords":"keyword","@default":"function.call"}}]],[/(&)(\s*)(@variableName)/,["operator","white","function.call"]],[/@variableName/,{cases:{"@declarationKeywords":"keyword.declaration","@operatorKeywords":"keyword.operator","@namespaceKeywords":"keyword","@otherKeywords":"keyword","@constants":"constant.language","@nameBuiltin":"variable.language","_.*":"comment.unused","@default":"identifier"}}],[/@moduleName/,"type.identifier"]],strings:[[/"""/,{token:"string.delimiter",next:"@doubleQuotedHeredoc"}],[/'''/,{token:"string.delimiter",next:"@singleQuotedHeredoc"}],[/"/,{token:"string.delimiter",next:"@doubleQuotedString"}],[/'/,{token:"string.delimiter",next:"@singleQuotedString"}]],doubleQuotedHeredoc:[[/"""/,{token:"string.delimiter",next:"@pop"}],{include:"@stringContentInterpol"}],singleQuotedHeredoc:[[/'''/,{token:"string.delimiter",next:"@pop"}],{include:"@stringContentInterpol"}],doubleQuotedString:[[/"/,{token:"string.delimiter",next:"@pop"}],{include:"@stringContentInterpol"}],singleQuotedString:[[/'/,{token:"string.delimiter",next:"@pop"}],{include:"@stringContentInterpol"}],atoms:[[/(:)(@atomName)/,["constant.punctuation","constant"]],[/:"/,{token:"constant.delimiter",next:"@doubleQuotedStringAtom"}],[/:'/,{token:"constant.delimiter",next:"@singleQuotedStringAtom"}]],doubleQuotedStringAtom:[[/"/,{token:"constant.delimiter",next:"@pop"}],{include:"@stringConstantContentInterpol"}],singleQuotedStringAtom:[[/'/,{token:"constant.delimiter",next:"@pop"}],{include:"@stringConstantContentInterpol"}],sigils:[[/~[a-z]@sigilStartDelimiter/,{token:"@rematch",next:"@sigil.interpol"}],[/~([A-Z]+)@sigilStartDelimiter/,{token:"@rematch",next:"@sigil.noInterpol"}]],sigil:[[/~([a-z]|[A-Z]+)\{/,{token:"@rematch",switchTo:"@sigilStart.$S2.$1.{.}"}],[/~([a-z]|[A-Z]+)\[/,{token:"@rematch",switchTo:"@sigilStart.$S2.$1.[.]"}],[/~([a-z]|[A-Z]+)\(/,{token:"@rematch",switchTo:"@sigilStart.$S2.$1.(.)"}],[/~([a-z]|[A-Z]+)\</,{token:"@rematch",switchTo:"@sigilStart.$S2.$1.<.>"}],[/~([a-z]|[A-Z]+)(@sigilSymmetricDelimiter)/,{token:"@rematch",switchTo:"@sigilStart.$S2.$1.$2.$2"}]],"sigilStart.interpol.s":[[/~s@sigilStartDelimiter/,{token:"string.delimiter",switchTo:"@sigilContinue.$S2.$S3.$S4.$S5"}]],"sigilContinue.interpol.s":[[/(@sigilEndDelimiter)@sigilModifiers/,{cases:{"$1==$S5":{token:"string.delimiter",next:"@pop"},"@default":"string"}}],{include:"@stringContentInterpol"}],"sigilStart.noInterpol.S":[[/~S@sigilStartDelimiter/,{token:"string.delimiter",switchTo:"@sigilContinue.$S2.$S3.$S4.$S5"}]],"sigilContinue.noInterpol.S":[[/(^|[^\\])\\@sigilEndDelimiter/,"string"],[/(@sigilEndDelimiter)@sigilModifiers/,{cases:{"$1==$S5":{token:"string.delimiter",next:"@pop"},"@default":"string"}}],{include:"@stringContent"}],"sigilStart.interpol.r":[[/~r@sigilStartDelimiter/,{token:"regexp.delimiter",switchTo:"@sigilContinue.$S2.$S3.$S4.$S5"}]],"sigilContinue.interpol.r":[[/(@sigilEndDelimiter)@sigilModifiers/,{cases:{"$1==$S5":{token:"regexp.delimiter",next:"@pop"},"@default":"regexp"}}],{include:"@regexpContentInterpol"}],"sigilStart.noInterpol.R":[[/~R@sigilStartDelimiter/,{token:"regexp.delimiter",switchTo:"@sigilContinue.$S2.$S3.$S4.$S5"}]],"sigilContinue.noInterpol.R":[[/(^|[^\\])\\@sigilEndDelimiter/,"regexp"],[/(@sigilEndDelimiter)@sigilModifiers/,{cases:{"$1==$S5":{token:"regexp.delimiter",next:"@pop"},"@default":"regexp"}}],{include:"@regexpContent"}],"sigilStart.interpol":[[/~([a-z]|[A-Z]+)@sigilStartDelimiter/,{token:"sigil.delimiter",switchTo:"@sigilContinue.$S2.$S3.$S4.$S5"}]],"sigilContinue.interpol":[[/(@sigilEndDelimiter)@sigilModifiers/,{cases:{"$1==$S5":{token:"sigil.delimiter",next:"@pop"},"@default":"sigil"}}],{include:"@sigilContentInterpol"}],"sigilStart.noInterpol":[[/~([a-z]|[A-Z]+)@sigilStartDelimiter/,{token:"sigil.delimiter",switchTo:"@sigilContinue.$S2.$S3.$S4.$S5"}]],"sigilContinue.noInterpol":[[/(^|[^\\])\\@sigilEndDelimiter/,"sigil"],[/(@sigilEndDelimiter)@sigilModifiers/,{cases:{"$1==$S5":{token:"sigil.delimiter",next:"@pop"},"@default":"sigil"}}],{include:"@sigilContent"}],attributes:[[/\@(module|type)?doc (~[sS])?"""/,{token:"comment.block.documentation",next:"@doubleQuotedHeredocDocstring"}],[/\@(module|type)?doc (~[sS])?'''/,{token:"comment.block.documentation",next:"@singleQuotedHeredocDocstring"}],[/\@(module|type)?doc (~[sS])?"/,{token:"comment.block.documentation",next:"@doubleQuotedStringDocstring"}],[/\@(module|type)?doc (~[sS])?'/,{token:"comment.block.documentation",next:"@singleQuotedStringDocstring"}],[/\@(module|type)?doc false/,"comment.block.documentation"],[/\@(@variableName)/,"variable"]],doubleQuotedHeredocDocstring:[[/"""/,{token:"comment.block.documentation",next:"@pop"}],{include:"@docstringContent"}],singleQuotedHeredocDocstring:[[/'''/,{token:"comment.block.documentation",next:"@pop"}],{include:"@docstringContent"}],doubleQuotedStringDocstring:[[/"/,{token:"comment.block.documentation",next:"@pop"}],{include:"@docstringContent"}],singleQuotedStringDocstring:[[/'/,{token:"comment.block.documentation",next:"@pop"}],{include:"@docstringContent"}],symbols:[[/\?(\\.|[^\\\s])/,"number.constant"],[/&\d+/,"operator"],[/<<<|>>>/,"operator"],[/[()\[\]\{\}]|<<|>>/,"@brackets"],[/\.\.\./,"identifier"],[/=>/,"punctuation"],[/@operator/,"operator"],[/[:;,.%]/,"punctuation"]],stringContentInterpol:[{include:"@interpolation"},{include:"@escapeChar"},{include:"@stringContent"}],stringContent:[[/./,"string"]],stringConstantContentInterpol:[{include:"@interpolation"},{include:"@escapeChar"},{include:"@stringConstantContent"}],stringConstantContent:[[/./,"constant"]],regexpContentInterpol:[{include:"@interpolation"},{include:"@escapeChar"},{include:"@regexpContent"}],regexpContent:[[/(\s)(#)(\s.*)$/,["white","comment.punctuation","comment"]],[/./,"regexp"]],sigilContentInterpol:[{include:"@interpolation"},{include:"@escapeChar"},{include:"@sigilContent"}],sigilContent:[[/./,"sigil"]],docstringContent:[[/./,"comment.block.documentation"]],escapeChar:[[/@escape/,"constant.character.escape"]],interpolation:[[/#{/,{token:"delimiter.bracket.embed",next:"@interpolationContinue"}]],interpolationContinue:[[/}/,{token:"delimiter.bracket.embed",next:"@pop"}],{include:"@root"}]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/flow9-CcGMeAxr.js b/docs/assets/monaco/flow9-CcGMeAxr.js
new file mode 100644
index 0000000..a157b7e
--- /dev/null
+++ b/docs/assets/monaco/flow9-CcGMeAxr.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{blockComment:["/*","*/"],lineComment:"//"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}",notIn:["string"]},{open:"[",close:"]",notIn:["string"]},{open:"(",close:")",notIn:["string"]},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"<",close:">"}]},o={defaultToken:"",tokenPostfix:".flow",keywords:["import","require","export","forbid","native","if","else","cast","unsafe","switch","default"],types:["io","mutable","bool","int","double","string","flow","void","ref","true","false","with"],operators:["=",">","<","<=",">=","==","!","!=",":=","::=","&&","||","+","-","*","/","@","&","%",":","->","\\","$","??","^"],symbols:/[@$=><!~?:&|+\-*\\\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/[a-zA-Z_]\w*/,{cases:{"@keywords":"keyword","@types":"type","@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"delimiter"],[/[<>](?!@symbols)/,"delimiter"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/((0(x|X)[0-9a-fA-F]*)|(([0-9]+\.?[0-9]*)|(\.[0-9]+))((e|E)(\+|-)?[0-9]+)?)/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/freemarker2-OnI5Gveq.js b/docs/assets/monaco/freemarker2-OnI5Gveq.js
new file mode 100644
index 0000000..703b0e3
--- /dev/null
+++ b/docs/assets/monaco/freemarker2-OnI5Gveq.js
@@ -0,0 +1,8 @@
+import{o as f}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var F=Object.defineProperty,b=Object.getOwnPropertyDescriptor,x=Object.getOwnPropertyNames,$=Object.prototype.hasOwnProperty,v=(t,n,_,e)=>{if(n&&typeof n=="object"||typeof n=="function")for(let o of x(n))!$.call(t,o)&&o!==_&&F(t,o,{get:()=>n[o],enumerable:!(e=b(n,o))||e.enumerable});return t},E=(t,n,_)=>(v(t,n,"default"),_),r={};E(r,f);var d=["assign","flush","ftl","return","global","import","include","break","continue","local","nested","nt","setting","stop","t","lt","rt","fallback"],s=["attempt","autoesc","autoEsc","compress","comment","escape","noescape","function","if","list","items","sep","macro","noparse","noParse","noautoesc","noAutoEsc","outputformat","switch","visit","recurse"],a={close:">",id:"angle",open:"<"},u={close:"\\]",id:"bracket",open:"\\["},D={close:"[>\\]]",id:"auto",open:"[<\\[]"},k={close:"\\}",id:"dollar",open1:"\\$",open2:"\\{"},p={close:"\\]",id:"bracket",open1:"\\[",open2:"="};function l(t){return{brackets:[["<",">"],["[","]"],["(",")"],["{","}"]],comments:{blockComment:[`${t.open}--`,`--${t.close}`]},autoCloseBefore:`
+\r }]),.:;=`,autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string"]}],surroundingPairs:[{open:'"',close:'"'},{open:"'",close:"'"},{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"}],folding:{markers:{start:new RegExp(`${t.open}#(?:${s.join("|")})([^/${t.close}]*(?!/)${t.close})[^${t.open}]*$`),end:new RegExp(`${t.open}/#(?:${s.join("|")})[\\r\\n\\t ]*>`)}},onEnterRules:[{beforeText:new RegExp(`${t.open}#(?!(?:${d.join("|")}))([a-zA-Z_]+)([^/${t.close}]*(?!/)${t.close})[^${t.open}]*$`),afterText:new RegExp(`^${t.open}/#([a-zA-Z_]+)[\\r\\n\\t ]*${t.close}$`),action:{indentAction:r.languages.IndentAction.IndentOutdent}},{beforeText:new RegExp(`${t.open}#(?!(?:${d.join("|")}))([a-zA-Z_]+)([^/${t.close}]*(?!/)${t.close})[^${t.open}]*$`),action:{indentAction:r.languages.IndentAction.Indent}}]}}function g(){return{brackets:[["<",">"],["[","]"],["(",")"],["{","}"]],autoCloseBefore:`
+\r }]),.:;=`,autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string"]}],surroundingPairs:[{open:'"',close:'"'},{open:"'",close:"'"},{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"}],folding:{markers:{start:new RegExp(`[<\\[]#(?:${s.join("|")})([^/>\\]]*(?!/)[>\\]])[^<\\[]*$`),end:new RegExp(`[<\\[]/#(?:${s.join("|")})[\\r\\n\\t ]*>`)}},onEnterRules:[{beforeText:new RegExp(`[<\\[]#(?!(?:${d.join("|")}))([a-zA-Z_]+)([^/>\\]]*(?!/)[>\\]])[^[<\\[]]*$`),afterText:new RegExp("^[<\\[]/#([a-zA-Z_]+)[\\r\\n\\t ]*[>\\]]$"),action:{indentAction:r.languages.IndentAction.IndentOutdent}},{beforeText:new RegExp(`[<\\[]#(?!(?:${d.join("|")}))([a-zA-Z_]+)([^/>\\]]*(?!/)[>\\]])[^[<\\[]]*$`),action:{indentAction:r.languages.IndentAction.Indent}}]}}function i(t,n){const _=`_${t.id}_${n.id}`,e=c=>c.replace(/__id__/g,_),o=c=>{const m=c.source.replace(/__id__/g,_);return new RegExp(m,c.flags)};return{unicode:!0,includeLF:!1,start:e("default__id__"),ignoreCase:!1,defaultToken:"invalid",tokenPostfix:".freemarker2",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],[e("open__id__")]:new RegExp(t.open),[e("close__id__")]:new RegExp(t.close),[e("iOpen1__id__")]:new RegExp(n.open1),[e("iOpen2__id__")]:new RegExp(n.open2),[e("iClose__id__")]:new RegExp(n.close),[e("startTag__id__")]:o(/(@open__id__)(#)/),[e("endTag__id__")]:o(/(@open__id__)(\/#)/),[e("startOrEndTag__id__")]:o(/(@open__id__)(\/?#)/),[e("closeTag1__id__")]:o(/((?:@blank)*)(@close__id__)/),[e("closeTag2__id__")]:o(/((?:@blank)*\/?)(@close__id__)/),blank:/[ \t\n\r]/,keywords:["false","true","in","as","using"],directiveStartCloseTag1:/attempt|recover|sep|auto[eE]sc|no(?:autoe|AutoE)sc|compress|default|no[eE]scape|comment|no[pP]arse/,directiveStartCloseTag2:/else|break|continue|return|stop|flush|t|lt|rt|nt|nested|recurse|fallback|ftl/,directiveStartBlank:/if|else[iI]f|list|for[eE]ach|switch|case|assign|global|local|include|import|function|macro|transform|visit|stop|return|call|setting|output[fF]ormat|nested|recurse|escape|ftl|items/,directiveEndCloseTag1:/if|list|items|sep|recover|attempt|for[eE]ach|local|global|assign|function|macro|output[fF]ormat|auto[eE]sc|no(?:autoe|AutoE)sc|compress|transform|switch|escape|no[eE]scape/,escapedChar:/\\(?:[ntrfbgla\\'"\{=]|(?:x[0-9A-Fa-f]{1,4}))/,asciiDigit:/[0-9]/,integer:/[0-9]+/,nonEscapedIdStartChar:/[\$@-Z_a-z\u00AA\u00B5\u00BA\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u1FFF\u2071\u207F\u2090-\u209C\u2102\u2107\u210A-\u2113\u2115\u2119-\u211D\u2124\u2126\u2128\u212A-\u212D\u212F-\u2139\u213C-\u213F\u2145-\u2149\u214E\u2183-\u2184\u2C00-\u2C2E\u2C30-\u2C5E\u2C60-\u2CE4\u2CEB-\u2CEE\u2CF2-\u2CF3\u2D00-\u2D25\u2D27\u2D2D\u2D30-\u2D67\u2D6F\u2D80-\u2D96\u2DA0-\u2DA6\u2DA8-\u2DAE\u2DB0-\u2DB6\u2DB8-\u2DBE\u2DC0-\u2DC6\u2DC8-\u2DCE\u2DD0-\u2DD6\u2DD8-\u2DDE\u2E2F\u3005-\u3006\u3031-\u3035\u303B-\u303C\u3040-\u318F\u31A0-\u31BA\u31F0-\u31FF\u3300-\u337F\u3400-\u4DB5\u4E00-\uA48C\uA4D0-\uA4FD\uA500-\uA60C\uA610-\uA62B\uA640-\uA66E\uA67F-\uA697\uA6A0-\uA6E5\uA717-\uA71F\uA722-\uA788\uA78B-\uA78E\uA790-\uA793\uA7A0-\uA7AA\uA7F8-\uA801\uA803-\uA805\uA807-\uA80A\uA80C-\uA822\uA840-\uA873\uA882-\uA8B3\uA8D0-\uA8D9\uA8F2-\uA8F7\uA8FB\uA900-\uA925\uA930-\uA946\uA960-\uA97C\uA984-\uA9B2\uA9CF-\uA9D9\uAA00-\uAA28\uAA40-\uAA42\uAA44-\uAA4B\uAA50-\uAA59\uAA60-\uAA76\uAA7A\uAA80-\uAAAF\uAAB1\uAAB5-\uAAB6\uAAB9-\uAABD\uAAC0\uAAC2\uAADB-\uAADD\uAAE0-\uAAEA\uAAF2-\uAAF4\uAB01-\uAB06\uAB09-\uAB0E\uAB11-\uAB16\uAB20-\uAB26\uAB28-\uAB2E\uABC0-\uABE2\uABF0-\uABF9\uAC00-\uD7A3\uD7B0-\uD7C6\uD7CB-\uD7FB\uF900-\uFB06\uFB13-\uFB17\uFB1D\uFB1F-\uFB28\uFB2A-\uFB36\uFB38-\uFB3C\uFB3E\uFB40-\uFB41\uFB43-\uFB44\uFB46-\uFBB1\uFBD3-\uFD3D\uFD50-\uFD8F\uFD92-\uFDC7\uFDF0-\uFDFB\uFE70-\uFE74\uFE76-\uFEFC\uFF10-\uFF19\uFF21-\uFF3A\uFF41-\uFF5A\uFF66-\uFFBE\uFFC2-\uFFC7\uFFCA-\uFFCF\uFFD2-\uFFD7\uFFDA-\uFFDC]/,escapedIdChar:/\\[\-\.:#]/,idStartChar:/(?:@nonEscapedIdStartChar)|(?:@escapedIdChar)/,id:/(?:@idStartChar)(?:(?:@idStartChar)|(?:@asciiDigit))*/,specialHashKeys:/\*\*|\*|false|true|in|as|using/,namedSymbols:/&lt;=|&gt;=|\\lte|\\lt|&lt;|\\gte|\\gt|&gt;|&amp;&amp;|\\and|-&gt;|->|==|!=|\+=|-=|\*=|\/=|%=|\+\+|--|<=|&&|\|\||:|\.\.\.|\.\.\*|\.\.<|\.\.!|\?\?|=|<|\+|-|\*|\/|%|\||\.\.|\?|!|&|\.|,|;/,arrows:["->","-&gt;"],delimiters:[";",":",",","."],stringOperators:["lte","lt","gte","gt"],noParseTags:["noparse","noParse","comment"],tokenizer:{[e("default__id__")]:[{include:e("@directive_token__id__")},{include:e("@interpolation_and_text_token__id__")}],[e("fmExpression__id__.directive")]:[{include:e("@blank_and_expression_comment_token__id__")},{include:e("@directive_end_token__id__")},{include:e("@expression_token__id__")}],[e("fmExpression__id__.interpolation")]:[{include:e("@blank_and_expression_comment_token__id__")},{include:e("@expression_token__id__")},{include:e("@greater_operators_token__id__")}],[e("inParen__id__.plain")]:[{include:e("@blank_and_expression_comment_token__id__")},{include:e("@directive_end_token__id__")},{include:e("@expression_token__id__")}],[e("inParen__id__.gt")]:[{include:e("@blank_and_expression_comment_token__id__")},{include:e("@expression_token__id__")},{include:e("@greater_operators_token__id__")}],[e("noSpaceExpression__id__")]:[{include:e("@no_space_expression_end_token__id__")},{include:e("@directive_end_token__id__")},{include:e("@expression_token__id__")}],[e("unifiedCall__id__")]:[{include:e("@unified_call_token__id__")}],[e("singleString__id__")]:[{include:e("@string_single_token__id__")}],[e("doubleString__id__")]:[{include:e("@string_double_token__id__")}],[e("rawSingleString__id__")]:[{include:e("@string_single_raw_token__id__")}],[e("rawDoubleString__id__")]:[{include:e("@string_double_raw_token__id__")}],[e("expressionComment__id__")]:[{include:e("@expression_comment_token__id__")}],[e("noParse__id__")]:[{include:e("@no_parse_token__id__")}],[e("terseComment__id__")]:[{include:e("@terse_comment_token__id__")}],[e("directive_token__id__")]:[[o(/(?:@startTag__id__)(@directiveStartCloseTag1)(?:@closeTag1__id__)/),t.id==="auto"?{cases:{"$1==<":{token:"@rematch",switchTo:`@default_angle_${n.id}`},"$1==[":{token:"@rematch",switchTo:`@default_bracket_${n.id}`}}}:[{token:"@brackets.directive"},{token:"delimiter.directive"},{cases:{"@noParseTags":{token:"tag",next:e("@noParse__id__.$3")},"@default":{token:"tag"}}},{token:"delimiter.directive"},{token:"@brackets.directive"}]],[o(/(?:@startTag__id__)(@directiveStartCloseTag2)(?:@closeTag2__id__)/),t.id==="auto"?{cases:{"$1==<":{token:"@rematch",switchTo:`@default_angle_${n.id}`},"$1==[":{token:"@rematch",switchTo:`@default_bracket_${n.id}`}}}:[{token:"@brackets.directive"},{token:"delimiter.directive"},{token:"tag"},{token:"delimiter.directive"},{token:"@brackets.directive"}]],[o(/(?:@startTag__id__)(@directiveStartBlank)(@blank)/),t.id==="auto"?{cases:{"$1==<":{token:"@rematch",switchTo:`@default_angle_${n.id}`},"$1==[":{token:"@rematch",switchTo:`@default_bracket_${n.id}`}}}:[{token:"@brackets.directive"},{token:"delimiter.directive"},{token:"tag"},{token:"",next:e("@fmExpression__id__.directive")}]],[o(/(?:@endTag__id__)(@directiveEndCloseTag1)(?:@closeTag1__id__)/),t.id==="auto"?{cases:{"$1==<":{token:"@rematch",switchTo:`@default_angle_${n.id}`},"$1==[":{token:"@rematch",switchTo:`@default_bracket_${n.id}`}}}:[{token:"@brackets.directive"},{token:"delimiter.directive"},{token:"tag"},{token:"delimiter.directive"},{token:"@brackets.directive"}]],[o(/(@open__id__)(@)/),t.id==="auto"?{cases:{"$1==<":{token:"@rematch",switchTo:`@default_angle_${n.id}`},"$1==[":{token:"@rematch",switchTo:`@default_bracket_${n.id}`}}}:[{token:"@brackets.directive"},{token:"delimiter.directive",next:e("@unifiedCall__id__")}]],[o(/(@open__id__)(\/@)((?:(?:@id)(?:\.(?:@id))*)?)(?:@closeTag1__id__)/),[{token:"@brackets.directive"},{token:"delimiter.directive"},{token:"tag"},{token:"delimiter.directive"},{token:"@brackets.directive"}]],[o(/(@open__id__)#--/),t.id==="auto"?{cases:{"$1==<":{token:"@rematch",switchTo:`@default_angle_${n.id}`},"$1==[":{token:"@rematch",switchTo:`@default_bracket_${n.id}`}}}:{token:"comment",next:e("@terseComment__id__")}],[o(/(?:@startOrEndTag__id__)([a-zA-Z_]+)/),t.id==="auto"?{cases:{"$1==<":{token:"@rematch",switchTo:`@default_angle_${n.id}`},"$1==[":{token:"@rematch",switchTo:`@default_bracket_${n.id}`}}}:[{token:"@brackets.directive"},{token:"delimiter.directive"},{token:"tag.invalid",next:e("@fmExpression__id__.directive")}]]],[e("interpolation_and_text_token__id__")]:[[o(/(@iOpen1__id__)(@iOpen2__id__)/),[{token:n.id==="bracket"?"@brackets.interpolation":"delimiter.interpolation"},{token:n.id==="bracket"?"delimiter.interpolation":"@brackets.interpolation",next:e("@fmExpression__id__.interpolation")}]],[/[\$#<\[\{]|(?:@blank)+|[^\$<#\[\{\n\r\t ]+/,{token:"source"}]],[e("string_single_token__id__")]:[[/[^'\\]/,{token:"string"}],[/@escapedChar/,{token:"string.escape"}],[/'/,{token:"string",next:"@pop"}]],[e("string_double_token__id__")]:[[/[^"\\]/,{token:"string"}],[/@escapedChar/,{token:"string.escape"}],[/"/,{token:"string",next:"@pop"}]],[e("string_single_raw_token__id__")]:[[/[^']+/,{token:"string.raw"}],[/'/,{token:"string.raw",next:"@pop"}]],[e("string_double_raw_token__id__")]:[[/[^"]+/,{token:"string.raw"}],[/"/,{token:"string.raw",next:"@pop"}]],[e("expression_token__id__")]:[[/(r?)(['"])/,{cases:{"r'":[{token:"keyword"},{token:"string.raw",next:e("@rawSingleString__id__")}],'r"':[{token:"keyword"},{token:"string.raw",next:e("@rawDoubleString__id__")}],"'":[{token:"source"},{token:"string",next:e("@singleString__id__")}],'"':[{token:"source"},{token:"string",next:e("@doubleString__id__")}]}}],[/(?:@integer)(?:\.(?:@integer))?/,{cases:{"(?:@integer)":{token:"number"},"@default":{token:"number.float"}}}],[/(\.)(@blank*)(@specialHashKeys)/,[{token:"delimiter"},{token:""},{token:"identifier"}]],[/(?:@namedSymbols)/,{cases:{"@arrows":{token:"meta.arrow"},"@delimiters":{token:"delimiter"},"@default":{token:"operators"}}}],[/@id/,{cases:{"@keywords":{token:"keyword.$0"},"@stringOperators":{token:"operators"},"@default":{token:"identifier"}}}],[/[\[\]\(\)\{\}]/,{cases:{"\\[":{cases:{"$S2==gt":{token:"@brackets",next:e("@inParen__id__.gt")},"@default":{token:"@brackets",next:e("@inParen__id__.plain")}}},"\\]":{cases:{...n.id==="bracket"?{"$S2==interpolation":{token:"@brackets.interpolation",next:"@popall"}}:{},...t.id==="bracket"?{"$S2==directive":{token:"@brackets.directive",next:"@popall"}}:{},[e("$S1==inParen__id__")]:{token:"@brackets",next:"@pop"},"@default":{token:"@brackets"}}},"\\(":{token:"@brackets",next:e("@inParen__id__.gt")},"\\)":{cases:{[e("$S1==inParen__id__")]:{token:"@brackets",next:"@pop"},"@default":{token:"@brackets"}}},"\\{":{cases:{"$S2==gt":{token:"@brackets",next:e("@inParen__id__.gt")},"@default":{token:"@brackets",next:e("@inParen__id__.plain")}}},"\\}":{cases:{...n.id==="bracket"?{}:{"$S2==interpolation":{token:"@brackets.interpolation",next:"@popall"}},[e("$S1==inParen__id__")]:{token:"@brackets",next:"@pop"},"@default":{token:"@brackets"}}}}}],[/\$\{/,{token:"delimiter.invalid"}]],[e("blank_and_expression_comment_token__id__")]:[[/(?:@blank)+/,{token:""}],[/[<\[][#!]--/,{token:"comment",next:e("@expressionComment__id__")}]],[e("directive_end_token__id__")]:[[/>/,t.id==="bracket"?{token:"operators"}:{token:"@brackets.directive",next:"@popall"}],[o(/(\/)(@close__id__)/),[{token:"delimiter.directive"},{token:"@brackets.directive",next:"@popall"}]]],[e("greater_operators_token__id__")]:[[/>/,{token:"operators"}],[/>=/,{token:"operators"}]],[e("no_space_expression_end_token__id__")]:[[/(?:@blank)+/,{token:"",switchTo:e("@fmExpression__id__.directive")}]],[e("unified_call_token__id__")]:[[/(@id)((?:@blank)+)/,[{token:"tag"},{token:"",next:e("@fmExpression__id__.directive")}]],[o(/(@id)(\/?)(@close__id__)/),[{token:"tag"},{token:"delimiter.directive"},{token:"@brackets.directive",next:"@popall"}]],[/./,{token:"@rematch",next:e("@noSpaceExpression__id__")}]],[e("no_parse_token__id__")]:[[o(/(@open__id__)(\/#?)([a-zA-Z]+)((?:@blank)*)(@close__id__)/),{cases:{"$S2==$3":[{token:"@brackets.directive"},{token:"delimiter.directive"},{token:"tag"},{token:""},{token:"@brackets.directive",next:"@popall"}],"$S2==comment":[{token:"comment"},{token:"comment"},{token:"comment"},{token:"comment"},{token:"comment"}],"@default":[{token:"source"},{token:"source"},{token:"source"},{token:"source"},{token:"source"}]}}],[/[^<\[\-]+|[<\[\-]/,{cases:{"$S2==comment":{token:"comment"},"@default":{token:"source"}}}]],[e("expression_comment_token__id__")]:[[/--[>\]]/,{token:"comment",next:"@pop"}],[/[^\->\]]+|[>\]\-]/,{token:"comment"}]],[e("terse_comment_token__id__")]:[[o(/--(?:@close__id__)/),{token:"comment",next:"@popall"}],[/[^<\[\-]+|[<\[\-]/,{token:"comment"}]]}}}function A(t){const n=i(a,t),_=i(u,t),e=i(D,t);return{...n,..._,...e,unicode:!0,includeLF:!1,start:`default_auto_${t.id}`,ignoreCase:!1,defaultToken:"invalid",tokenPostfix:".freemarker2",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],tokenizer:{...n.tokenizer,..._.tokenizer,...e.tokenizer}}}var T={conf:l(a),language:i(a,k)},h={conf:l(u),language:i(u,k)},S={conf:l(a),language:i(a,p)},P={conf:l(u),language:i(u,p)},y={conf:g(),language:A(k)},I={conf:g(),language:A(p)};export{S as TagAngleInterpolationBracket,T as TagAngleInterpolationDollar,I as TagAutoInterpolationBracket,y as TagAutoInterpolationDollar,P as TagBracketInterpolationBracket,h as TagBracketInterpolationDollar};
diff --git a/docs/assets/monaco/fsharp-P5WqO7sL.js b/docs/assets/monaco/fsharp-P5WqO7sL.js
new file mode 100644
index 0000000..fff5044
--- /dev/null
+++ b/docs/assets/monaco/fsharp-P5WqO7sL.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["(*","*)"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*//\\s*#region\\b|^\\s*\\(\\*\\s*#region(.*)\\*\\)"),end:new RegExp("^\\s*//\\s*#endregion\\b|^\\s*\\(\\*\\s*#endregion\\s*\\*\\)")}}},n={defaultToken:"",tokenPostfix:".fs",keywords:["abstract","and","atomic","as","assert","asr","base","begin","break","checked","component","const","constraint","constructor","continue","class","default","delegate","do","done","downcast","downto","elif","else","end","exception","eager","event","external","extern","false","finally","for","fun","function","fixed","functor","global","if","in","include","inherit","inline","interface","internal","land","lor","lsl","lsr","lxor","lazy","let","match","member","mod","module","mutable","namespace","method","mixin","new","not","null","of","open","or","object","override","private","parallel","process","protected","pure","public","rec","return","static","sealed","struct","sig","then","to","true","tailcall","trait","try","type","upcast","use","val","void","virtual","volatile","when","while","with","yield"],symbols:/[=><!~?:&|+\-*\^%;\.,\/]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,integersuffix:/[uU]?[yslnLI]?/,floatsuffix:/[fFmM]?/,tokenizer:{root:[[/[a-zA-Z_]\w*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/\[<.*>\]/,"annotation"],[/^#(if|else|endif)/,"keyword"],[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,"delimiter"],[/\d*\d+[eE]([\-+]?\d+)?(@floatsuffix)/,"number.float"],[/\d*\.\d+([eE][\-+]?\d+)?(@floatsuffix)/,"number.float"],[/0x[0-9a-fA-F]+LF/,"number.float"],[/0x[0-9a-fA-F]+(@integersuffix)/,"number.hex"],[/0b[0-1]+(@integersuffix)/,"number.bin"],[/\d+(@integersuffix)/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"""/,"string",'@string."""'],[/"/,"string",'@string."'],[/\@"/,{token:"string.quote",next:"@litstring"}],[/'[^\\']'B?/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\r\n]+/,""],[/\(\*(?!\))/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^*(]+/,"comment"],[/\*\)/,"comment","@pop"],[/\*/,"comment"],[/\(\*\)/,"comment"],[/\(/,"comment"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/("""|"B?)/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":"string"}}]],litstring:[[/[^"]+/,"string"],[/""/,"string.escape"],[/"/,{token:"string.quote",next:"@pop"}]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/go-DHzw8g6E.js b/docs/assets/monaco/go-DHzw8g6E.js
new file mode 100644
index 0000000..7e0483a
--- /dev/null
+++ b/docs/assets/monaco/go-DHzw8g6E.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"`",close:"`",notIn:["string"]},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"`",close:"`"},{open:'"',close:'"'},{open:"'",close:"'"}]},n={defaultToken:"",tokenPostfix:".go",keywords:["break","case","chan","const","continue","default","defer","else","fallthrough","for","func","go","goto","if","import","interface","map","package","range","return","select","struct","switch","type","var","bool","true","false","uint8","uint16","uint32","uint64","int8","int16","int32","int64","float32","float64","complex64","complex128","byte","rune","uint","int","uintptr","string","nil"],operators:["+","-","*","/","%","&","|","^","<<",">>","&^","+=","-=","*=","/=","%=","&=","|=","^=","<<=",">>=","&^=","&&","||","<-","++","--","==","<",">","=","!","!=","<=",">=",":=","...","(",")","","]","{","}",",",";",".",":"],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/[a-zA-Z_]\w*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/\[\[.*\]\]/,"annotation"],[/^\s*#\w+/,"keyword"],[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/\d*\d+[eE]([\-+]?\d+)?/,"number.float"],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F']*[0-9a-fA-F]/,"number.hex"],[/0[0-7']*[0-7]/,"number.octal"],[/0[bB][0-1']*[0-1]/,"number.binary"],[/\d[\d']*/,"number"],[/\d/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"],[/`/,"string","@rawstring"],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@doccomment"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],doccomment:[[/[^\/*]+/,"comment.doc"],[/\/\*/,"comment.doc.invalid"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],rawstring:[[/[^\`]/,"string"],[/`/,"string","@pop"]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/graphql-DHR0rah7.js b/docs/assets/monaco/graphql-DHR0rah7.js
new file mode 100644
index 0000000..e090a4e
--- /dev/null
+++ b/docs/assets/monaco/graphql-DHR0rah7.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"""',close:'"""',notIn:["string","comment"]},{open:'"',close:'"',notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"""',close:'"""'},{open:'"',close:'"'}],folding:{offSide:!0}},n={defaultToken:"invalid",tokenPostfix:".gql",keywords:["null","true","false","query","mutation","subscription","extend","schema","directive","scalar","type","interface","union","enum","input","implements","fragment","on"],typeKeywords:["Int","Float","String","Boolean","ID"],directiveLocations:["SCHEMA","SCALAR","OBJECT","FIELD_DEFINITION","ARGUMENT_DEFINITION","INTERFACE","UNION","ENUM","ENUM_VALUE","INPUT_OBJECT","INPUT_FIELD_DEFINITION","QUERY","MUTATION","SUBSCRIPTION","FIELD","FRAGMENT_DEFINITION","FRAGMENT_SPREAD","INLINE_FRAGMENT","VARIABLE_DEFINITION"],operators:["=","!","?",":","&","|"],symbols:/[=!?:&|]+/,escapes:/\\(?:["\\\/bfnrt]|u[0-9A-Fa-f]{4})/,tokenizer:{root:[[/[a-z_][\w$]*/,{cases:{"@keywords":"keyword","@default":"key.identifier"}}],[/[$][\w$]*/,{cases:{"@keywords":"keyword","@default":"argument.identifier"}}],[/[A-Z][\w\$]*/,{cases:{"@typeKeywords":"keyword","@default":"type.identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/@symbols/,{cases:{"@operators":"operator","@default":""}}],[/@\s*[a-zA-Z_\$][\w\$]*/,{token:"annotation",log:"annotation token: $0"}],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F]+/,"number.hex"],[/\d+/,"number"],[/[;,.]/,"delimiter"],[/"""/,{token:"string",next:"@mlstring",nextEmbedded:"markdown"}],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,{token:"string.quote",bracket:"@open",next:"@string"}]],mlstring:[[/[^"]+/,"string"],['"""',{token:"string",next:"@pop",nextEmbedded:"@pop"}]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,{token:"string.quote",bracket:"@close",next:"@pop"}]],whitespace:[[/[ \t\r\n]+/,""],[/#.*$/,"comment"]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/handlebars-BHvBDF_T.js b/docs/assets/monaco/handlebars-BHvBDF_T.js
new file mode 100644
index 0000000..b44fd5d
--- /dev/null
+++ b/docs/assets/monaco/handlebars-BHvBDF_T.js
@@ -0,0 +1,6 @@
+import{o as i}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var l=Object.defineProperty,s=Object.getOwnPropertyDescriptor,d=Object.getOwnPropertyNames,c=Object.prototype.hasOwnProperty,p=(t,e,r,o)=>{if(e&&typeof e=="object"||typeof e=="function")for(let n of d(e))!c.call(t,n)&&n!==r&&l(t,n,{get:()=>e[n],enumerable:!(o=s(e,n))||o.enumerable});return t},h=(t,e,r)=>(p(t,e,"default"),r),a={};h(a,i);var m=["area","base","br","col","embed","hr","img","input","keygen","link","menuitem","meta","param","source","track","wbr"],k={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\$\^\&\*\(\)\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\s]+)/g,comments:{blockComment:["{{!--","--}}"]},brackets:[["<!--","-->"],["<",">"],["{{","}}"],["{","}"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"<",close:">"},{open:'"',close:'"'},{open:"'",close:"'"}],onEnterRules:[{beforeText:new RegExp(`<(?!(?:${m.join("|")}))(\\w[\\w\\d]*)([^/>]*(?!/)>)[^<]*$`,"i"),afterText:/^<\/(\w[\w\d]*)\s*>$/i,action:{indentAction:a.languages.IndentAction.IndentOutdent}},{beforeText:new RegExp(`<(?!(?:${m.join("|")}))(\\w[\\w\\d]*)([^/>]*(?!/)>)[^<]*$`,"i"),action:{indentAction:a.languages.IndentAction.Indent}}]},x={defaultToken:"",tokenPostfix:"",tokenizer:{root:[[/\{\{!--/,"comment.block.start.handlebars","@commentBlock"],[/\{\{!/,"comment.start.handlebars","@comment"],[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.root"}],[/<!DOCTYPE/,"metatag.html","@doctype"],[/<!--/,"comment.html","@commentHtml"],[/(<)(\w+)(\/>)/,["delimiter.html","tag.html","delimiter.html"]],[/(<)(script)/,["delimiter.html",{token:"tag.html",next:"@script"}]],[/(<)(style)/,["delimiter.html",{token:"tag.html",next:"@style"}]],[/(<)([:\w]+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/(<\/)(\w+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/</,"delimiter.html"],[/\{/,"delimiter.html"],[/[^<{]+/]],doctype:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.comment"}],[/[^>]+/,"metatag.content.html"],[/>/,"metatag.html","@pop"]],comment:[[/\}\}/,"comment.end.handlebars","@pop"],[/./,"comment.content.handlebars"]],commentBlock:[[/--\}\}/,"comment.block.end.handlebars","@pop"],[/./,"comment.content.handlebars"]],commentHtml:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.comment"}],[/-->/,"comment.html","@pop"],[/[^-]+/,"comment.content.html"],[/./,"comment.content.html"]],otherTag:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.otherTag"}],[/\/?>/,"delimiter.html","@pop"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/]],script:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.script"}],[/type/,"attribute.name","@scriptAfterType"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/(<\/)(script\s*)(>)/,["delimiter.html","tag.html",{token:"delimiter.html",next:"@pop"}]]],scriptAfterType:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.scriptAfterType"}],[/=/,"delimiter","@scriptAfterTypeEquals"],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptAfterTypeEquals:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.scriptAfterTypeEquals"}],[/"([^"]*)"/,{token:"attribute.value",switchTo:"@scriptWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value",switchTo:"@scriptWithCustomType.$1"}],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptWithCustomType:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.scriptWithCustomType.$S2"}],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptEmbedded:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInEmbeddedState.scriptEmbedded.$S2",nextEmbedded:"@pop"}],[/<\/script/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}]],style:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.style"}],[/type/,"attribute.name","@styleAfterType"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/(<\/)(style\s*)(>)/,["delimiter.html","tag.html",{token:"delimiter.html",next:"@pop"}]]],styleAfterType:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.styleAfterType"}],[/=/,"delimiter","@styleAfterTypeEquals"],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleAfterTypeEquals:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.styleAfterTypeEquals"}],[/"([^"]*)"/,{token:"attribute.value",switchTo:"@styleWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value",switchTo:"@styleWithCustomType.$1"}],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleWithCustomType:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInSimpleState.styleWithCustomType.$S2"}],[/>/,{token:"delimiter.html",next:"@styleEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleEmbedded:[[/\{\{/,{token:"@rematch",switchTo:"@handlebarsInEmbeddedState.styleEmbedded.$S2",nextEmbedded:"@pop"}],[/<\/style/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}]],handlebarsInSimpleState:[[/\{\{\{?/,"delimiter.handlebars"],[/\}\}\}?/,{token:"delimiter.handlebars",switchTo:"@$S2.$S3"}],{include:"handlebarsRoot"}],handlebarsInEmbeddedState:[[/\{\{\{?/,"delimiter.handlebars"],[/\}\}\}?/,{token:"delimiter.handlebars",switchTo:"@$S2.$S3",nextEmbedded:"$S3"}],{include:"handlebarsRoot"}],handlebarsRoot:[[/"[^"]*"/,"string.handlebars"],[/[#/][^\s}]+/,"keyword.helper.handlebars"],[/else\b/,"keyword.helper.handlebars"],[/[\s]+/],[/[^}]/,"variable.parameter.handlebars"]]}};export{k as conf,x as language};
diff --git a/docs/assets/monaco/hcl-C75BKXms.js b/docs/assets/monaco/hcl-C75BKXms.js
new file mode 100644
index 0000000..c43202b
--- /dev/null
+++ b/docs/assets/monaco/hcl-C75BKXms.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"',notIn:["string"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}]},t={defaultToken:"",tokenPostfix:".hcl",keywords:["var","local","path","for_each","any","string","number","bool","true","false","null","if ","else ","endif ","for ","in","endfor"],operators:["=",">=","<=","==","!=","+","-","*","/","%","&&","||","!","<",">","?","...",":"],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,terraformFunctions:/(abs|ceil|floor|log|max|min|pow|signum|chomp|format|formatlist|indent|join|lower|regex|regexall|replace|split|strrev|substr|title|trimspace|upper|chunklist|coalesce|coalescelist|compact|concat|contains|distinct|element|flatten|index|keys|length|list|lookup|map|matchkeys|merge|range|reverse|setintersection|setproduct|setunion|slice|sort|transpose|values|zipmap|base64decode|base64encode|base64gzip|csvdecode|jsondecode|jsonencode|urlencode|yamldecode|yamlencode|abspath|dirname|pathexpand|basename|file|fileexists|fileset|filebase64|templatefile|formatdate|timeadd|timestamp|base64sha256|base64sha512|bcrypt|filebase64sha256|filebase64sha512|filemd5|filemd1|filesha256|filesha512|md5|rsadecrypt|sha1|sha256|sha512|uuid|uuidv5|cidrhost|cidrnetmask|cidrsubnet|tobool|tolist|tomap|tonumber|toset|tostring)/,terraformMainBlocks:/(module|data|terraform|resource|provider|variable|output|locals)/,tokenizer:{root:[[/^@terraformMainBlocks([ \t]*)([\w-]+|"[\w-]+"|)([ \t]*)([\w-]+|"[\w-]+"|)([ \t]*)(\{)/,["type","","string","","string","","@brackets"]],[/(\w+[ \t]+)([ \t]*)([\w-]+|"[\w-]+"|)([ \t]*)([\w-]+|"[\w-]+"|)([ \t]*)(\{)/,["identifier","","string","","string","","@brackets"]],[/(\w+[ \t]+)([ \t]*)([\w-]+|"[\w-]+"|)([ \t]*)([\w-]+|"[\w-]+"|)(=)(\{)/,["identifier","","string","","operator","","@brackets"]],{include:"@terraform"}],terraform:[[/@terraformFunctions(\()/,["type","@brackets"]],[/[a-zA-Z_]\w*-*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"variable"}}],{include:"@whitespace"},{include:"@heredoc"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"operator","@default":""}}],[/\d*\d+[eE]([\-+]?\d+)?/,"number.float"],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/\d[\d']*/,"number"],[/\d/,"number"],[/[;,.]/,"delimiter"],[/"/,"string","@string"],[/'/,"invalid"]],heredoc:[[/<<[-]*\s*["]?([\w\-]+)["]?/,{token:"string.heredoc.delimiter",next:"@heredocBody.$1"}]],heredocBody:[[/([\w\-]+)$/,{cases:{"$1==$S2":[{token:"string.heredoc.delimiter",next:"@popall"}],"@default":"string.heredoc"}}],[/./,"string.heredoc"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"],[/#.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],string:[[/\$\{/,{token:"delimiter",next:"@stringExpression"}],[/[^\\"\$]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@popall"]],stringInsideExpression:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],stringExpression:[[/\}/,{token:"delimiter",next:"@pop"}],[/"/,"string","@stringInsideExpression"],{include:"@terraform"}]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/html-DfZYg3qg.js b/docs/assets/monaco/html-DfZYg3qg.js
new file mode 100644
index 0000000..662fdf3
--- /dev/null
+++ b/docs/assets/monaco/html-DfZYg3qg.js
@@ -0,0 +1,6 @@
+import{o as s}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var p=Object.defineProperty,d=Object.getOwnPropertyDescriptor,m=Object.getOwnPropertyNames,l=Object.prototype.hasOwnProperty,c=(t,e,n,o)=>{if(e&&typeof e=="object"||typeof e=="function")for(let r of m(e))!l.call(t,r)&&r!==n&&p(t,r,{get:()=>e[r],enumerable:!(o=d(e,r))||o.enumerable});return t},u=(t,e,n)=>(c(t,e,"default"),n),i={};u(i,s);var a=["area","base","br","col","embed","hr","img","input","keygen","link","menuitem","meta","param","source","track","wbr"],k={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\$\^\&\*\(\)\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\s]+)/g,comments:{blockComment:["<!--","-->"]},brackets:[["<!--","-->"],["<",">"],["{","}"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:'"',close:'"'},{open:"'",close:"'"},{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"}],onEnterRules:[{beforeText:new RegExp(`<(?!(?:${a.join("|")}))([_:\\w][_:\\w-.\\d]*)([^/>]*(?!/)>)[^<]*$`,"i"),afterText:/^<\/([_:\w][_:\w-.\d]*)\s*>$/i,action:{indentAction:i.languages.IndentAction.IndentOutdent}},{beforeText:new RegExp(`<(?!(?:${a.join("|")}))(\\w[\\w\\d]*)([^/>]*(?!/)>)[^<]*$`,"i"),action:{indentAction:i.languages.IndentAction.Indent}}],folding:{markers:{start:new RegExp("^\\s*<!--\\s*#region\\b.*-->"),end:new RegExp("^\\s*<!--\\s*#endregion\\b.*-->")}}},g={defaultToken:"",tokenPostfix:".html",ignoreCase:!0,tokenizer:{root:[[/<!DOCTYPE/,"metatag","@doctype"],[/<!--/,"comment","@comment"],[/(<)((?:[\w\-]+:)?[\w\-]+)(\s*)(\/>)/,["delimiter","tag","","delimiter"]],[/(<)(script)/,["delimiter",{token:"tag",next:"@script"}]],[/(<)(style)/,["delimiter",{token:"tag",next:"@style"}]],[/(<)((?:[\w\-]+:)?[\w\-]+)/,["delimiter",{token:"tag",next:"@otherTag"}]],[/(<\/)((?:[\w\-]+:)?[\w\-]+)/,["delimiter",{token:"tag",next:"@otherTag"}]],[/</,"delimiter"],[/[^<]+/]],doctype:[[/[^>]+/,"metatag.content"],[/>/,"metatag","@pop"]],comment:[[/-->/,"comment","@pop"],[/[^-]+/,"comment.content"],[/./,"comment.content"]],otherTag:[[/\/?>/,"delimiter","@pop"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/]],script:[[/type/,"attribute.name","@scriptAfterType"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/>/,{token:"delimiter",next:"@scriptEmbedded",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/(<\/)(script\s*)(>)/,["delimiter","tag",{token:"delimiter",next:"@pop"}]]],scriptAfterType:[[/=/,"delimiter","@scriptAfterTypeEquals"],[/>/,{token:"delimiter",next:"@scriptEmbedded",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptAfterTypeEquals:[[/"module"/,{token:"attribute.value",switchTo:"@scriptWithCustomType.text/javascript"}],[/'module'/,{token:"attribute.value",switchTo:"@scriptWithCustomType.text/javascript"}],[/"([^"]*)"/,{token:"attribute.value",switchTo:"@scriptWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value",switchTo:"@scriptWithCustomType.$1"}],[/>/,{token:"delimiter",next:"@scriptEmbedded",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptWithCustomType:[[/>/,{token:"delimiter",next:"@scriptEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptEmbedded:[[/<\/script/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}],[/[^<]+/,""]],style:[[/type/,"attribute.name","@styleAfterType"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/>/,{token:"delimiter",next:"@styleEmbedded",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/(<\/)(style\s*)(>)/,["delimiter","tag",{token:"delimiter",next:"@pop"}]]],styleAfterType:[[/=/,"delimiter","@styleAfterTypeEquals"],[/>/,{token:"delimiter",next:"@styleEmbedded",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleAfterTypeEquals:[[/"([^"]*)"/,{token:"attribute.value",switchTo:"@styleWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value",switchTo:"@styleWithCustomType.$1"}],[/>/,{token:"delimiter",next:"@styleEmbedded",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleWithCustomType:[[/>/,{token:"delimiter",next:"@styleEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleEmbedded:[[/<\/style/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}],[/[^<]+/,""]]}};export{k as conf,g as language};
diff --git a/docs/assets/monaco/htmlMode-c_yfuTN3.js b/docs/assets/monaco/htmlMode-c_yfuTN3.js
new file mode 100644
index 0000000..4cd23db
--- /dev/null
+++ b/docs/assets/monaco/htmlMode-c_yfuTN3.js
@@ -0,0 +1,9 @@
+import{o as lt}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var ft=Object.defineProperty,gt=Object.getOwnPropertyDescriptor,ht=Object.getOwnPropertyNames,vt=Object.prototype.hasOwnProperty,pt=(e,n,i,r)=>{if(n&&typeof n=="object"||typeof n=="function")for(let t of ht(n))!vt.call(e,t)&&t!==i&&ft(e,t,{get:()=>n[t],enumerable:!(r=gt(n,t))||r.enumerable});return e},mt=(e,n,i)=>(pt(e,n,"default"),i),c={};mt(c,lt);var _t=2*60*1e3,Qe=class{constructor(e){this._defaults=e,this._worker=null,this._client=null,this._idleCheckInterval=window.setInterval(()=>this._checkIfIdle(),30*1e3),this._lastUsedTime=0,this._configChangeListener=this._defaults.onDidChange(()=>this._stopWorker())}_stopWorker(){this._worker&&(this._worker.dispose(),this._worker=null),this._client=null}dispose(){clearInterval(this._idleCheckInterval),this._configChangeListener.dispose(),this._stopWorker()}_checkIfIdle(){if(!this._worker)return;Date.now()-this._lastUsedTime>_t&&this._stopWorker()}_getClient(){return this._lastUsedTime=Date.now(),this._client||(this._worker=c.editor.createWebWorker({moduleId:"vs/language/html/htmlWorker",createData:{languageSettings:this._defaults.options,languageId:this._defaults.languageId},label:this._defaults.languageId}),this._client=this._worker.getProxy()),this._client}getLanguageServiceWorker(...e){let n;return this._getClient().then(i=>{n=i}).then(i=>{if(this._worker)return this._worker.withSyncedResources(e)}).then(i=>n)}},J;(function(e){function n(i){return typeof i=="string"}e.is=n})(J||(J={}));var S;(function(e){function n(i){return typeof i=="string"}e.is=n})(S||(S={}));var Y;(function(e){e.MIN_VALUE=-2147483648,e.MAX_VALUE=2147483647;function n(i){return typeof i=="number"&&e.MIN_VALUE<=i&&i<=e.MAX_VALUE}e.is=n})(Y||(Y={}));var M;(function(e){e.MIN_VALUE=0,e.MAX_VALUE=2147483647;function n(i){return typeof i=="number"&&e.MIN_VALUE<=i&&i<=e.MAX_VALUE}e.is=n})(M||(M={}));var b;(function(e){function n(r,t){return r===Number.MAX_VALUE&&(r=M.MAX_VALUE),t===Number.MAX_VALUE&&(t=M.MAX_VALUE),{line:r,character:t}}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&a.uinteger(t.line)&&a.uinteger(t.character)}e.is=i})(b||(b={}));var h;(function(e){function n(r,t,o,s){if(a.uinteger(r)&&a.uinteger(t)&&a.uinteger(o)&&a.uinteger(s))return{start:b.create(r,t),end:b.create(o,s)};if(b.is(r)&&b.is(t))return{start:r,end:t};throw new Error(`Range#create called with invalid arguments[${r}, ${t}, ${o}, ${s}]`)}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&b.is(t.start)&&b.is(t.end)}e.is=i})(h||(h={}));var C;(function(e){function n(r,t){return{uri:r,range:t}}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&h.is(t.range)&&(a.string(t.uri)||a.undefined(t.uri))}e.is=i})(C||(C={}));var Z;(function(e){function n(r,t,o,s){return{targetUri:r,targetRange:t,targetSelectionRange:o,originSelectionRange:s}}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&h.is(t.targetRange)&&a.string(t.targetUri)&&h.is(t.targetSelectionRange)&&(h.is(t.originSelectionRange)||a.undefined(t.originSelectionRange))}e.is=i})(Z||(Z={}));var O;(function(e){function n(r,t,o,s){return{red:r,green:t,blue:o,alpha:s}}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&a.numberRange(t.red,0,1)&&a.numberRange(t.green,0,1)&&a.numberRange(t.blue,0,1)&&a.numberRange(t.alpha,0,1)}e.is=i})(O||(O={}));var K;(function(e){function n(r,t){return{range:r,color:t}}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&h.is(t.range)&&O.is(t.color)}e.is=i})(K||(K={}));var ee;(function(e){function n(r,t,o){return{label:r,textEdit:t,additionalTextEdits:o}}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&a.string(t.label)&&(a.undefined(t.textEdit)||E.is(t))&&(a.undefined(t.additionalTextEdits)||a.typedArray(t.additionalTextEdits,E.is))}e.is=i})(ee||(ee={}));var A;(function(e){e.Comment="comment",e.Imports="imports",e.Region="region"})(A||(A={}));var te;(function(e){function n(r,t,o,s,u,f){const d={startLine:r,endLine:t};return a.defined(o)&&(d.startCharacter=o),a.defined(s)&&(d.endCharacter=s),a.defined(u)&&(d.kind=u),a.defined(f)&&(d.collapsedText=f),d}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&a.uinteger(t.startLine)&&a.uinteger(t.startLine)&&(a.undefined(t.startCharacter)||a.uinteger(t.startCharacter))&&(a.undefined(t.endCharacter)||a.uinteger(t.endCharacter))&&(a.undefined(t.kind)||a.string(t.kind))}e.is=i})(te||(te={}));var U;(function(e){function n(r,t){return{location:r,message:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&C.is(t.location)&&a.string(t.message)}e.is=i})(U||(U={}));var x;(function(e){e.Error=1,e.Warning=2,e.Information=3,e.Hint=4})(x||(x={}));var re;(function(e){e.Unnecessary=1,e.Deprecated=2})(re||(re={}));var ne;(function(e){function n(i){const r=i;return a.objectLiteral(r)&&a.string(r.href)}e.is=n})(ne||(ne={}));var F;(function(e){function n(r,t,o,s,u,f){let d={range:r,message:t};return a.defined(o)&&(d.severity=o),a.defined(s)&&(d.code=s),a.defined(u)&&(d.source=u),a.defined(f)&&(d.relatedInformation=f),d}e.create=n;function i(r){var t;let o=r;return a.defined(o)&&h.is(o.range)&&a.string(o.message)&&(a.number(o.severity)||a.undefined(o.severity))&&(a.integer(o.code)||a.string(o.code)||a.undefined(o.code))&&(a.undefined(o.codeDescription)||a.string((t=o.codeDescription)===null||t===void 0?void 0:t.href))&&(a.string(o.source)||a.undefined(o.source))&&(a.undefined(o.relatedInformation)||a.typedArray(o.relatedInformation,U.is))}e.is=i})(F||(F={}));var I;(function(e){function n(r,t,...o){let s={title:r,command:t};return a.defined(o)&&o.length>0&&(s.arguments=o),s}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.title)&&a.string(t.command)}e.is=i})(I||(I={}));var E;(function(e){function n(o,s){return{range:o,newText:s}}e.replace=n;function i(o,s){return{range:{start:o,end:o},newText:s}}e.insert=i;function r(o){return{range:o,newText:""}}e.del=r;function t(o){const s=o;return a.objectLiteral(s)&&a.string(s.newText)&&h.is(s.range)}e.is=t})(E||(E={}));var V;(function(e){function n(r,t,o){const s={label:r};return t!==void 0&&(s.needsConfirmation=t),o!==void 0&&(s.description=o),s}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&a.string(t.label)&&(a.boolean(t.needsConfirmation)||t.needsConfirmation===void 0)&&(a.string(t.description)||t.description===void 0)}e.is=i})(V||(V={}));var L;(function(e){function n(i){const r=i;return a.string(r)}e.is=n})(L||(L={}));var ie;(function(e){function n(o,s,u){return{range:o,newText:s,annotationId:u}}e.replace=n;function i(o,s,u){return{range:{start:o,end:o},newText:s,annotationId:u}}e.insert=i;function r(o,s){return{range:o,newText:"",annotationId:s}}e.del=r;function t(o){const s=o;return E.is(s)&&(V.is(s.annotationId)||L.is(s.annotationId))}e.is=t})(ie||(ie={}));var W;(function(e){function n(r,t){return{textDocument:r,edits:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&B.is(t.textDocument)&&Array.isArray(t.edits)}e.is=i})(W||(W={}));var H;(function(e){function n(r,t,o){let s={kind:"create",uri:r};return t!==void 0&&(t.overwrite!==void 0||t.ignoreIfExists!==void 0)&&(s.options=t),o!==void 0&&(s.annotationId=o),s}e.create=n;function i(r){let t=r;return t&&t.kind==="create"&&a.string(t.uri)&&(t.options===void 0||(t.options.overwrite===void 0||a.boolean(t.options.overwrite))&&(t.options.ignoreIfExists===void 0||a.boolean(t.options.ignoreIfExists)))&&(t.annotationId===void 0||L.is(t.annotationId))}e.is=i})(H||(H={}));var X;(function(e){function n(r,t,o,s){let u={kind:"rename",oldUri:r,newUri:t};return o!==void 0&&(o.overwrite!==void 0||o.ignoreIfExists!==void 0)&&(u.options=o),s!==void 0&&(u.annotationId=s),u}e.create=n;function i(r){let t=r;return t&&t.kind==="rename"&&a.string(t.oldUri)&&a.string(t.newUri)&&(t.options===void 0||(t.options.overwrite===void 0||a.boolean(t.options.overwrite))&&(t.options.ignoreIfExists===void 0||a.boolean(t.options.ignoreIfExists)))&&(t.annotationId===void 0||L.is(t.annotationId))}e.is=i})(X||(X={}));var $;(function(e){function n(r,t,o){let s={kind:"delete",uri:r};return t!==void 0&&(t.recursive!==void 0||t.ignoreIfNotExists!==void 0)&&(s.options=t),o!==void 0&&(s.annotationId=o),s}e.create=n;function i(r){let t=r;return t&&t.kind==="delete"&&a.string(t.uri)&&(t.options===void 0||(t.options.recursive===void 0||a.boolean(t.options.recursive))&&(t.options.ignoreIfNotExists===void 0||a.boolean(t.options.ignoreIfNotExists)))&&(t.annotationId===void 0||L.is(t.annotationId))}e.is=i})($||($={}));var z;(function(e){function n(i){let r=i;return r&&(r.changes!==void 0||r.documentChanges!==void 0)&&(r.documentChanges===void 0||r.documentChanges.every(t=>a.string(t.kind)?H.is(t)||X.is(t)||$.is(t):W.is(t)))}e.is=n})(z||(z={}));var oe;(function(e){function n(r){return{uri:r}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.uri)}e.is=i})(oe||(oe={}));var ae;(function(e){function n(r,t){return{uri:r,version:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.uri)&&a.integer(t.version)}e.is=i})(ae||(ae={}));var B;(function(e){function n(r,t){return{uri:r,version:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.uri)&&(t.version===null||a.integer(t.version))}e.is=i})(B||(B={}));var se;(function(e){function n(r,t,o,s){return{uri:r,languageId:t,version:o,text:s}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.string(t.uri)&&a.string(t.languageId)&&a.integer(t.version)&&a.string(t.text)}e.is=i})(se||(se={}));var q;(function(e){e.PlainText="plaintext",e.Markdown="markdown";function n(i){const r=i;return r===e.PlainText||r===e.Markdown}e.is=n})(q||(q={}));var P;(function(e){function n(i){const r=i;return a.objectLiteral(i)&&q.is(r.kind)&&a.string(r.value)}e.is=n})(P||(P={}));var v;(function(e){e.Text=1,e.Method=2,e.Function=3,e.Constructor=4,e.Field=5,e.Variable=6,e.Class=7,e.Interface=8,e.Module=9,e.Property=10,e.Unit=11,e.Value=12,e.Enum=13,e.Keyword=14,e.Snippet=15,e.Color=16,e.File=17,e.Reference=18,e.Folder=19,e.EnumMember=20,e.Constant=21,e.Struct=22,e.Event=23,e.Operator=24,e.TypeParameter=25})(v||(v={}));var Q;(function(e){e.PlainText=1,e.Snippet=2})(Q||(Q={}));var ue;(function(e){e.Deprecated=1})(ue||(ue={}));var ce;(function(e){function n(r,t,o){return{newText:r,insert:t,replace:o}}e.create=n;function i(r){const t=r;return t&&a.string(t.newText)&&h.is(t.insert)&&h.is(t.replace)}e.is=i})(ce||(ce={}));var de;(function(e){e.asIs=1,e.adjustIndentation=2})(de||(de={}));var le;(function(e){function n(i){const r=i;return r&&(a.string(r.detail)||r.detail===void 0)&&(a.string(r.description)||r.description===void 0)}e.is=n})(le||(le={}));var fe;(function(e){function n(i){return{label:i}}e.create=n})(fe||(fe={}));var ge;(function(e){function n(i,r){return{items:i||[],isIncomplete:!!r}}e.create=n})(ge||(ge={}));var y;(function(e){function n(r){return r.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}e.fromPlainText=n;function i(r){const t=r;return a.string(t)||a.objectLiteral(t)&&a.string(t.language)&&a.string(t.value)}e.is=i})(y||(y={}));var he;(function(e){function n(i){let r=i;return!!r&&a.objectLiteral(r)&&(P.is(r.contents)||y.is(r.contents)||a.typedArray(r.contents,y.is))&&(i.range===void 0||h.is(i.range))}e.is=n})(he||(he={}));var ve;(function(e){function n(i,r){return r?{label:i,documentation:r}:{label:i}}e.create=n})(ve||(ve={}));var pe;(function(e){function n(i,r,...t){let o={label:i};return a.defined(r)&&(o.documentation=r),a.defined(t)?o.parameters=t:o.parameters=[],o}e.create=n})(pe||(pe={}));var R;(function(e){e.Text=1,e.Read=2,e.Write=3})(R||(R={}));var me;(function(e){function n(i,r){let t={range:i};return a.number(r)&&(t.kind=r),t}e.create=n})(me||(me={}));var p;(function(e){e.File=1,e.Module=2,e.Namespace=3,e.Package=4,e.Class=5,e.Method=6,e.Property=7,e.Field=8,e.Constructor=9,e.Enum=10,e.Interface=11,e.Function=12,e.Variable=13,e.Constant=14,e.String=15,e.Number=16,e.Boolean=17,e.Array=18,e.Object=19,e.Key=20,e.Null=21,e.EnumMember=22,e.Struct=23,e.Event=24,e.Operator=25,e.TypeParameter=26})(p||(p={}));var _e;(function(e){e.Deprecated=1})(_e||(_e={}));var we;(function(e){function n(i,r,t,o,s){let u={name:i,kind:r,location:{uri:o,range:t}};return s&&(u.containerName=s),u}e.create=n})(we||(we={}));var be;(function(e){function n(i,r,t,o){return o!==void 0?{name:i,kind:r,location:{uri:t,range:o}}:{name:i,kind:r,location:{uri:t}}}e.create=n})(be||(be={}));var ke;(function(e){function n(r,t,o,s,u,f){let d={name:r,detail:t,kind:o,range:s,selectionRange:u};return f!==void 0&&(d.children=f),d}e.create=n;function i(r){let t=r;return t&&a.string(t.name)&&a.number(t.kind)&&h.is(t.range)&&h.is(t.selectionRange)&&(t.detail===void 0||a.string(t.detail))&&(t.deprecated===void 0||a.boolean(t.deprecated))&&(t.children===void 0||Array.isArray(t.children))&&(t.tags===void 0||Array.isArray(t.tags))}e.is=i})(ke||(ke={}));var xe;(function(e){e.Empty="",e.QuickFix="quickfix",e.Refactor="refactor",e.RefactorExtract="refactor.extract",e.RefactorInline="refactor.inline",e.RefactorRewrite="refactor.rewrite",e.Source="source",e.SourceOrganizeImports="source.organizeImports",e.SourceFixAll="source.fixAll"})(xe||(xe={}));var j;(function(e){e.Invoked=1,e.Automatic=2})(j||(j={}));var Ie;(function(e){function n(r,t,o){let s={diagnostics:r};return t!=null&&(s.only=t),o!=null&&(s.triggerKind=o),s}e.create=n;function i(r){let t=r;return a.defined(t)&&a.typedArray(t.diagnostics,F.is)&&(t.only===void 0||a.typedArray(t.only,a.string))&&(t.triggerKind===void 0||t.triggerKind===j.Invoked||t.triggerKind===j.Automatic)}e.is=i})(Ie||(Ie={}));var Ee;(function(e){function n(r,t,o){let s={title:r},u=!0;return typeof t=="string"?(u=!1,s.kind=t):I.is(t)?s.command=t:s.edit=t,u&&o!==void 0&&(s.kind=o),s}e.create=n;function i(r){let t=r;return t&&a.string(t.title)&&(t.diagnostics===void 0||a.typedArray(t.diagnostics,F.is))&&(t.kind===void 0||a.string(t.kind))&&(t.edit!==void 0||t.command!==void 0)&&(t.command===void 0||I.is(t.command))&&(t.isPreferred===void 0||a.boolean(t.isPreferred))&&(t.edit===void 0||z.is(t.edit))}e.is=i})(Ee||(Ee={}));var Le;(function(e){function n(r,t){let o={range:r};return a.defined(t)&&(o.data=t),o}e.create=n;function i(r){let t=r;return a.defined(t)&&h.is(t.range)&&(a.undefined(t.command)||I.is(t.command))}e.is=i})(Le||(Le={}));var Ae;(function(e){function n(r,t){return{tabSize:r,insertSpaces:t}}e.create=n;function i(r){let t=r;return a.defined(t)&&a.uinteger(t.tabSize)&&a.boolean(t.insertSpaces)}e.is=i})(Ae||(Ae={}));var Re;(function(e){function n(r,t,o){return{range:r,target:t,data:o}}e.create=n;function i(r){let t=r;return a.defined(t)&&h.is(t.range)&&(a.undefined(t.target)||a.string(t.target))}e.is=i})(Re||(Re={}));var Pe;(function(e){function n(r,t){return{range:r,parent:t}}e.create=n;function i(r){let t=r;return a.objectLiteral(t)&&h.is(t.range)&&(t.parent===void 0||e.is(t.parent))}e.is=i})(Pe||(Pe={}));var De;(function(e){e.namespace="namespace",e.type="type",e.class="class",e.enum="enum",e.interface="interface",e.struct="struct",e.typeParameter="typeParameter",e.parameter="parameter",e.variable="variable",e.property="property",e.enumMember="enumMember",e.event="event",e.function="function",e.method="method",e.macro="macro",e.keyword="keyword",e.modifier="modifier",e.comment="comment",e.string="string",e.number="number",e.regexp="regexp",e.operator="operator",e.decorator="decorator"})(De||(De={}));var Me;(function(e){e.declaration="declaration",e.definition="definition",e.readonly="readonly",e.static="static",e.deprecated="deprecated",e.abstract="abstract",e.async="async",e.modification="modification",e.documentation="documentation",e.defaultLibrary="defaultLibrary"})(Me||(Me={}));var Ce;(function(e){function n(i){const r=i;return a.objectLiteral(r)&&(r.resultId===void 0||typeof r.resultId=="string")&&Array.isArray(r.data)&&(r.data.length===0||typeof r.data[0]=="number")}e.is=n})(Ce||(Ce={}));var Fe;(function(e){function n(r,t){return{range:r,text:t}}e.create=n;function i(r){const t=r;return t!=null&&h.is(t.range)&&a.string(t.text)}e.is=i})(Fe||(Fe={}));var ye;(function(e){function n(r,t,o){return{range:r,variableName:t,caseSensitiveLookup:o}}e.create=n;function i(r){const t=r;return t!=null&&h.is(t.range)&&a.boolean(t.caseSensitiveLookup)&&(a.string(t.variableName)||t.variableName===void 0)}e.is=i})(ye||(ye={}));var je;(function(e){function n(r,t){return{range:r,expression:t}}e.create=n;function i(r){const t=r;return t!=null&&h.is(t.range)&&(a.string(t.expression)||t.expression===void 0)}e.is=i})(je||(je={}));var Ne;(function(e){function n(r,t){return{frameId:r,stoppedLocation:t}}e.create=n;function i(r){const t=r;return a.defined(t)&&h.is(r.stoppedLocation)}e.is=i})(Ne||(Ne={}));var T;(function(e){e.Type=1,e.Parameter=2;function n(i){return i===1||i===2}e.is=n})(T||(T={}));var G;(function(e){function n(r){return{value:r}}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&(t.tooltip===void 0||a.string(t.tooltip)||P.is(t.tooltip))&&(t.location===void 0||C.is(t.location))&&(t.command===void 0||I.is(t.command))}e.is=i})(G||(G={}));var Se;(function(e){function n(r,t,o){const s={position:r,label:t};return o!==void 0&&(s.kind=o),s}e.create=n;function i(r){const t=r;return a.objectLiteral(t)&&b.is(t.position)&&(a.string(t.label)||a.typedArray(t.label,G.is))&&(t.kind===void 0||T.is(t.kind))&&t.textEdits===void 0||a.typedArray(t.textEdits,E.is)&&(t.tooltip===void 0||a.string(t.tooltip)||P.is(t.tooltip))&&(t.paddingLeft===void 0||a.boolean(t.paddingLeft))&&(t.paddingRight===void 0||a.boolean(t.paddingRight))}e.is=i})(Se||(Se={}));var Oe;(function(e){function n(i){return{kind:"snippet",value:i}}e.createSnippet=n})(Oe||(Oe={}));var Ue;(function(e){function n(i,r,t,o){return{insertText:i,filterText:r,range:t,command:o}}e.create=n})(Ue||(Ue={}));var Ve;(function(e){function n(i){return{items:i}}e.create=n})(Ve||(Ve={}));var We;(function(e){e.Invoked=0,e.Automatic=1})(We||(We={}));var He;(function(e){function n(i,r){return{range:i,text:r}}e.create=n})(He||(He={}));var Xe;(function(e){function n(i,r){return{triggerKind:i,selectedCompletionInfo:r}}e.create=n})(Xe||(Xe={}));var $e;(function(e){function n(i){const r=i;return a.objectLiteral(r)&&S.is(r.uri)&&a.string(r.name)}e.is=n})($e||($e={}));var ze;(function(e){function n(o,s,u,f){return new wt(o,s,u,f)}e.create=n;function i(o){let s=o;return!!(a.defined(s)&&a.string(s.uri)&&(a.undefined(s.languageId)||a.string(s.languageId))&&a.uinteger(s.lineCount)&&a.func(s.getText)&&a.func(s.positionAt)&&a.func(s.offsetAt))}e.is=i;function r(o,s){let u=o.getText(),f=t(s,(g,_)=>{let w=g.range.start.line-_.range.start.line;return w===0?g.range.start.character-_.range.start.character:w}),d=u.length;for(let g=f.length-1;g>=0;g--){let _=f[g],w=o.offsetAt(_.range.start),l=o.offsetAt(_.range.end);if(l<=d)u=u.substring(0,w)+_.newText+u.substring(l,u.length);else throw new Error("Overlapping edit");d=w}return u}e.applyEdits=r;function t(o,s){if(o.length<=1)return o;const u=o.length/2|0,f=o.slice(0,u),d=o.slice(u);t(f,s),t(d,s);let g=0,_=0,w=0;for(;g<f.length&&_<d.length;)s(f[g],d[_])<=0?o[w++]=f[g++]:o[w++]=d[_++];for(;g<f.length;)o[w++]=f[g++];for(;_<d.length;)o[w++]=d[_++];return o}})(ze||(ze={}));var wt=class{constructor(e,n,i,r){this._uri=e,this._languageId=n,this._version=i,this._content=r,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(e){if(e){let n=this.offsetAt(e.start),i=this.offsetAt(e.end);return this._content.substring(n,i)}return this._content}update(e,n){this._content=e.text,this._version=n,this._lineOffsets=void 0}getLineOffsets(){if(this._lineOffsets===void 0){let e=[],n=this._content,i=!0;for(let r=0;r<n.length;r++){i&&(e.push(r),i=!1);let t=n.charAt(r);i=t==="\r"||t===`
+`,t==="\r"&&r+1<n.length&&n.charAt(r+1)===`
+`&&r++}i&&n.length>0&&e.push(n.length),this._lineOffsets=e}return this._lineOffsets}positionAt(e){e=Math.max(Math.min(e,this._content.length),0);let n=this.getLineOffsets(),i=0,r=n.length;if(r===0)return b.create(0,e);for(;i<r;){let o=Math.floor((i+r)/2);n[o]>e?r=o:i=o+1}let t=i-1;return b.create(t,e-n[t])}offsetAt(e){let n=this.getLineOffsets();if(e.line>=n.length)return this._content.length;if(e.line<0)return 0;let i=n[e.line],r=e.line+1<n.length?n[e.line+1]:this._content.length;return Math.max(Math.min(i+e.character,r),i)}get lineCount(){return this.getLineOffsets().length}},a;(function(e){const n=Object.prototype.toString;function i(l){return typeof l<"u"}e.defined=i;function r(l){return typeof l>"u"}e.undefined=r;function t(l){return l===!0||l===!1}e.boolean=t;function o(l){return n.call(l)==="[object String]"}e.string=o;function s(l){return n.call(l)==="[object Number]"}e.number=s;function u(l,N,dt){return n.call(l)==="[object Number]"&&N<=l&&l<=dt}e.numberRange=u;function f(l){return n.call(l)==="[object Number]"&&-2147483648<=l&&l<=2147483647}e.integer=f;function d(l){return n.call(l)==="[object Number]"&&0<=l&&l<=2147483647}e.uinteger=d;function g(l){return n.call(l)==="[object Function]"}e.func=g;function _(l){return l!==null&&typeof l=="object"}e.objectLiteral=_;function w(l,N){return Array.isArray(l)&&l.every(N)}e.typedArray=w})(a||(a={}));var Nt=class{constructor(e,n,i){this._languageId=e,this._worker=n,this._disposables=[],this._listener=Object.create(null);const r=o=>{let s=o.getLanguageId();if(s!==this._languageId)return;let u;this._listener[o.uri.toString()]=o.onDidChangeContent(()=>{window.clearTimeout(u),u=window.setTimeout(()=>this._doValidate(o.uri,s),500)}),this._doValidate(o.uri,s)},t=o=>{c.editor.setModelMarkers(o,this._languageId,[]);let s=o.uri.toString(),u=this._listener[s];u&&(u.dispose(),delete this._listener[s])};this._disposables.push(c.editor.onDidCreateModel(r)),this._disposables.push(c.editor.onWillDisposeModel(t)),this._disposables.push(c.editor.onDidChangeModelLanguage(o=>{t(o.model),r(o.model)})),this._disposables.push(i(o=>{c.editor.getModels().forEach(s=>{s.getLanguageId()===this._languageId&&(t(s),r(s))})})),this._disposables.push({dispose:()=>{c.editor.getModels().forEach(t);for(let o in this._listener)this._listener[o].dispose()}}),c.editor.getModels().forEach(r)}dispose(){this._disposables.forEach(e=>e&&e.dispose()),this._disposables.length=0}_doValidate(e,n){this._worker(e).then(i=>i.doValidation(e.toString())).then(i=>{const r=i.map(o=>kt(e,o));let t=c.editor.getModel(e);t&&t.getLanguageId()===n&&c.editor.setModelMarkers(t,n,r)}).then(void 0,i=>{console.error(i)})}};function bt(e){switch(e){case x.Error:return c.MarkerSeverity.Error;case x.Warning:return c.MarkerSeverity.Warning;case x.Information:return c.MarkerSeverity.Info;case x.Hint:return c.MarkerSeverity.Hint;default:return c.MarkerSeverity.Info}}function kt(e,n){let i=typeof n.code=="number"?String(n.code):n.code;return{severity:bt(n.severity),startLineNumber:n.range.start.line+1,startColumn:n.range.start.character+1,endLineNumber:n.range.end.line+1,endColumn:n.range.end.character+1,message:n.message,code:i,source:n.source}}var xt=class{constructor(e,n){this._worker=e,this._triggerCharacters=n}get triggerCharacters(){return this._triggerCharacters}provideCompletionItems(e,n,i,r){const t=e.uri;return this._worker(t).then(o=>o.doComplete(t.toString(),k(n))).then(o=>{if(!o)return;const s=e.getWordUntilPosition(n),u=new c.Range(n.lineNumber,s.startColumn,n.lineNumber,s.endColumn),f=o.items.map(d=>{const g={label:d.label,insertText:d.insertText||d.label,sortText:d.sortText,filterText:d.filterText,documentation:d.documentation,detail:d.detail,command:Lt(d.command),range:u,kind:Et(d.kind)};return d.textEdit&&(It(d.textEdit)?g.range={insert:m(d.textEdit.insert),replace:m(d.textEdit.replace)}:g.range=m(d.textEdit.range),g.insertText=d.textEdit.newText),d.additionalTextEdits&&(g.additionalTextEdits=d.additionalTextEdits.map(D)),d.insertTextFormat===Q.Snippet&&(g.insertTextRules=c.languages.CompletionItemInsertTextRule.InsertAsSnippet),g});return{isIncomplete:o.isIncomplete,suggestions:f}})}};function k(e){if(e)return{character:e.column-1,line:e.lineNumber-1}}function Te(e){if(e)return{start:{line:e.startLineNumber-1,character:e.startColumn-1},end:{line:e.endLineNumber-1,character:e.endColumn-1}}}function m(e){if(e)return new c.Range(e.start.line+1,e.start.character+1,e.end.line+1,e.end.character+1)}function It(e){return typeof e.insert<"u"&&typeof e.replace<"u"}function Et(e){const n=c.languages.CompletionItemKind;switch(e){case v.Text:return n.Text;case v.Method:return n.Method;case v.Function:return n.Function;case v.Constructor:return n.Constructor;case v.Field:return n.Field;case v.Variable:return n.Variable;case v.Class:return n.Class;case v.Interface:return n.Interface;case v.Module:return n.Module;case v.Property:return n.Property;case v.Unit:return n.Unit;case v.Value:return n.Value;case v.Enum:return n.Enum;case v.Keyword:return n.Keyword;case v.Snippet:return n.Snippet;case v.Color:return n.Color;case v.File:return n.File;case v.Reference:return n.Reference}return n.Property}function D(e){if(e)return{range:m(e.range),text:e.newText}}function Lt(e){return e&&e.command==="editor.action.triggerSuggest"?{id:e.command,title:e.title,arguments:e.arguments}:void 0}var Ge=class{constructor(e){this._worker=e}provideHover(e,n,i){let r=e.uri;return this._worker(r).then(t=>t.doHover(r.toString(),k(n))).then(t=>{if(t)return{range:m(t.range),contents:Rt(t.contents)}})}};function At(e){return e&&typeof e=="object"&&typeof e.kind=="string"}function Be(e){return typeof e=="string"?{value:e}:At(e)?e.kind==="plaintext"?{value:e.value.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}:{value:e.value}:{value:"```"+e.language+`
+`+e.value+"\n```\n"}}function Rt(e){if(e)return Array.isArray(e)?e.map(Be):[Be(e)]}var Je=class{constructor(e){this._worker=e}provideDocumentHighlights(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.findDocumentHighlights(r.toString(),k(n))).then(t=>{if(t)return t.map(o=>({range:m(o.range),kind:Pt(o.kind)}))})}};function Pt(e){switch(e){case R.Read:return c.languages.DocumentHighlightKind.Read;case R.Write:return c.languages.DocumentHighlightKind.Write;case R.Text:return c.languages.DocumentHighlightKind.Text}return c.languages.DocumentHighlightKind.Text}var St=class{constructor(e){this._worker=e}provideDefinition(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.findDefinition(r.toString(),k(n))).then(t=>{if(t)return[Ye(t)]})}};function Ye(e){return{uri:c.Uri.parse(e.uri),range:m(e.range)}}var Ot=class{constructor(e){this._worker=e}provideReferences(e,n,i,r){const t=e.uri;return this._worker(t).then(o=>o.findReferences(t.toString(),k(n))).then(o=>{if(o)return o.map(Ye)})}},Ze=class{constructor(e){this._worker=e}provideRenameEdits(e,n,i,r){const t=e.uri;return this._worker(t).then(o=>o.doRename(t.toString(),k(n),i)).then(o=>Dt(o))}};function Dt(e){if(!e||!e.changes)return;let n=[];for(let i in e.changes){const r=c.Uri.parse(i);for(let t of e.changes[i])n.push({resource:r,versionId:void 0,textEdit:{range:m(t.range),text:t.newText}})}return{edits:n}}var Ke=class{constructor(e){this._worker=e}provideDocumentSymbols(e,n){const i=e.uri;return this._worker(i).then(r=>r.findDocumentSymbols(i.toString())).then(r=>{if(r)return r.map(t=>Mt(t)?et(t):{name:t.name,detail:"",containerName:t.containerName,kind:tt(t.kind),range:m(t.location.range),selectionRange:m(t.location.range),tags:[]})})}};function Mt(e){return"children"in e}function et(e){return{name:e.name,detail:e.detail??"",kind:tt(e.kind),range:m(e.range),selectionRange:m(e.selectionRange),tags:e.tags??[],children:(e.children??[]).map(n=>et(n))}}function tt(e){let n=c.languages.SymbolKind;switch(e){case p.File:return n.File;case p.Module:return n.Module;case p.Namespace:return n.Namespace;case p.Package:return n.Package;case p.Class:return n.Class;case p.Method:return n.Method;case p.Property:return n.Property;case p.Field:return n.Field;case p.Constructor:return n.Constructor;case p.Enum:return n.Enum;case p.Interface:return n.Interface;case p.Function:return n.Function;case p.Variable:return n.Variable;case p.Constant:return n.Constant;case p.String:return n.String;case p.Number:return n.Number;case p.Boolean:return n.Boolean;case p.Array:return n.Array}return n.Function}var rt=class{constructor(e){this._worker=e}provideLinks(e,n){const i=e.uri;return this._worker(i).then(r=>r.findDocumentLinks(i.toString())).then(r=>{if(r)return{links:r.map(t=>({range:m(t.range),url:t.target}))}})}},nt=class{constructor(e){this._worker=e}provideDocumentFormattingEdits(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.format(r.toString(),null,ot(n)).then(o=>{if(!(!o||o.length===0))return o.map(D)}))}},it=class{constructor(e){this._worker=e,this.canFormatMultipleRanges=!1}provideDocumentRangeFormattingEdits(e,n,i,r){const t=e.uri;return this._worker(t).then(o=>o.format(t.toString(),Te(n),ot(i)).then(s=>{if(!(!s||s.length===0))return s.map(D)}))}};function ot(e){return{tabSize:e.tabSize,insertSpaces:e.insertSpaces}}var Ut=class{constructor(e){this._worker=e}provideDocumentColors(e,n){const i=e.uri;return this._worker(i).then(r=>r.findDocumentColors(i.toString())).then(r=>{if(r)return r.map(t=>({color:t.color,range:m(t.range)}))})}provideColorPresentations(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.getColorPresentations(r.toString(),n.color,Te(n.range))).then(t=>{if(t)return t.map(o=>{let s={label:o.label};return o.textEdit&&(s.textEdit=D(o.textEdit)),o.additionalTextEdits&&(s.additionalTextEdits=o.additionalTextEdits.map(D)),s})})}},at=class{constructor(e){this._worker=e}provideFoldingRanges(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.getFoldingRanges(r.toString(),n)).then(t=>{if(t)return t.map(o=>{const s={start:o.startLine+1,end:o.endLine+1};return typeof o.kind<"u"&&(s.kind=Ct(o.kind)),s})})}};function Ct(e){switch(e){case A.Comment:return c.languages.FoldingRangeKind.Comment;case A.Imports:return c.languages.FoldingRangeKind.Imports;case A.Region:return c.languages.FoldingRangeKind.Region}}var st=class{constructor(e){this._worker=e}provideSelectionRanges(e,n,i){const r=e.uri;return this._worker(r).then(t=>t.getSelectionRanges(r.toString(),n.map(k))).then(t=>{if(t)return t.map(o=>{const s=[];for(;o;)s.push({range:m(o.range)}),o=o.parent;return s})})}},ut=class extends xt{constructor(e){super(e,[".",":","<",'"',"=","/"])}};function Vt(e){const n=new Qe(e),i=(...t)=>n.getLanguageServiceWorker(...t);let r=e.languageId;c.languages.registerCompletionItemProvider(r,new ut(i)),c.languages.registerHoverProvider(r,new Ge(i)),c.languages.registerDocumentHighlightProvider(r,new Je(i)),c.languages.registerLinkProvider(r,new rt(i)),c.languages.registerFoldingRangeProvider(r,new at(i)),c.languages.registerDocumentSymbolProvider(r,new Ke(i)),c.languages.registerSelectionRangeProvider(r,new st(i)),c.languages.registerRenameProvider(r,new Ze(i)),r==="html"&&(c.languages.registerDocumentFormattingEditProvider(r,new nt(i)),c.languages.registerDocumentRangeFormattingEditProvider(r,new it(i)))}function Wt(e){const n=[],i=[],r=new Qe(e);n.push(r);const t=(...s)=>r.getLanguageServiceWorker(...s);function o(){const{languageId:s,modeConfiguration:u}=e;ct(i),u.completionItems&&i.push(c.languages.registerCompletionItemProvider(s,new ut(t))),u.hovers&&i.push(c.languages.registerHoverProvider(s,new Ge(t))),u.documentHighlights&&i.push(c.languages.registerDocumentHighlightProvider(s,new Je(t))),u.links&&i.push(c.languages.registerLinkProvider(s,new rt(t))),u.documentSymbols&&i.push(c.languages.registerDocumentSymbolProvider(s,new Ke(t))),u.rename&&i.push(c.languages.registerRenameProvider(s,new Ze(t))),u.foldingRanges&&i.push(c.languages.registerFoldingRangeProvider(s,new at(t))),u.selectionRanges&&i.push(c.languages.registerSelectionRangeProvider(s,new st(t))),u.documentFormattingEdits&&i.push(c.languages.registerDocumentFormattingEditProvider(s,new nt(t))),u.documentRangeFormattingEdits&&i.push(c.languages.registerDocumentRangeFormattingEditProvider(s,new it(t)))}return o(),n.push(qe(i)),qe(n)}function qe(e){return{dispose:()=>ct(e)}}function ct(e){for(;e.length;)e.pop().dispose()}export{xt as CompletionAdapter,St as DefinitionAdapter,Nt as DiagnosticsAdapter,Ut as DocumentColorAdapter,nt as DocumentFormattingEditProvider,Je as DocumentHighlightAdapter,rt as DocumentLinkAdapter,it as DocumentRangeFormattingEditProvider,Ke as DocumentSymbolAdapter,at as FoldingRangeAdapter,Ge as HoverAdapter,Ot as ReferenceAdapter,Ze as RenameAdapter,st as SelectionRangeAdapter,Qe as WorkerManager,k as fromPosition,Te as fromRange,Wt as setupMode,Vt as setupMode1,m as toRange,D as toTextEdit};
diff --git a/docs/assets/monaco/ini-BJrO4HmQ.js b/docs/assets/monaco/ini-BJrO4HmQ.js
new file mode 100644
index 0000000..0590cfe
--- /dev/null
+++ b/docs/assets/monaco/ini-BJrO4HmQ.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},n={defaultToken:"",tokenPostfix:".ini",escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/^\[[^\]]*\]/,"metatag"],[/(^\w+)(\s*)(\=)/,["key","","delimiter"]],{include:"@whitespace"},[/\d+/,"number"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/"/,"string",'@string."'],[/'/,"string","@string.'"]],whitespace:[[/[ \t\r\n]+/,""],[/^\s*[#;].*$/,"comment"]],string:[[/[^\\"']+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/["']/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":"string"}}]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/java-BZVcG1df.js b/docs/assets/monaco/java-BZVcG1df.js
new file mode 100644
index 0000000..c5cb8a2
--- /dev/null
+++ b/docs/assets/monaco/java-BZVcG1df.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\#\%\^\&\*\(\)\-\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"<",close:">"}],folding:{markers:{start:new RegExp("^\\s*//\\s*(?:(?:#?region\\b)|(?:<editor-fold\\b))"),end:new RegExp("^\\s*//\\s*(?:(?:#?endregion\\b)|(?:</editor-fold>))")}}},t={defaultToken:"",tokenPostfix:".java",keywords:["abstract","continue","for","new","switch","assert","default","goto","package","synchronized","boolean","do","if","private","this","break","double","implements","protected","throw","byte","else","import","public","throws","case","enum","instanceof","return","transient","catch","extends","int","short","try","char","final","interface","static","void","class","finally","long","strictfp","volatile","const","float","native","super","while","true","false","yield","record","sealed","non-sealed","permits"],operators:["=",">","<","!","~","?",":","==","<=",">=","!=","&&","||","++","--","+","-","*","/","&","|","^","%","<<",">>",">>>","+=","-=","*=","/=","&=","|=","^=","%=","<<=",">>=",">>>="],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,digits:/\d+(_+\d+)*/,octaldigits:/[0-7]+(_+[0-7]+)*/,binarydigits:/[0-1]+(_+[0-1]+)*/,hexdigits:/[[0-9a-fA-F]+(_+[0-9a-fA-F]+)*/,tokenizer:{root:[["non-sealed","keyword.non-sealed"],[/[a-zA-Z_$][\w$]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/@\s*[a-zA-Z_\$][\w\$]*/,"annotation"],[/(@digits)[eE]([\-+]?(@digits))?[fFdD]?/,"number.float"],[/(@digits)\.(@digits)([eE][\-+]?(@digits))?[fFdD]?/,"number.float"],[/0[xX](@hexdigits)[Ll]?/,"number.hex"],[/0(@octaldigits)[Ll]?/,"number.octal"],[/0[bB](@binarydigits)[Ll]?/,"number.binary"],[/(@digits)[fFdD]/,"number.float"],[/(@digits)[lL]?/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"""/,"string","@multistring"],[/"/,"string","@string"],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@javadoc"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],javadoc:[[/[^\/*]+/,"comment.doc"],[/\/\*/,"comment.doc.invalid"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],multistring:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"""/,"string","@pop"],[/./,"string"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/javascript-DbX-vxQa.js b/docs/assets/monaco/javascript-DbX-vxQa.js
new file mode 100644
index 0000000..faa600f
--- /dev/null
+++ b/docs/assets/monaco/javascript-DbX-vxQa.js
@@ -0,0 +1,6 @@
+import{conf as t,language as e}from"./typescript-DSer1JU9.js";import"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var a=t,n={defaultToken:"invalid",tokenPostfix:".js",keywords:["break","case","catch","class","continue","const","constructor","debugger","default","delete","do","else","export","extends","false","finally","for","from","function","get","if","import","in","instanceof","let","new","null","return","set","static","super","switch","symbol","this","throw","true","try","typeof","undefined","var","void","while","with","yield","async","await","of"],typeKeywords:[],operators:e.operators,symbols:e.symbols,escapes:e.escapes,digits:e.digits,octaldigits:e.octaldigits,binarydigits:e.binarydigits,hexdigits:e.hexdigits,regexpctl:e.regexpctl,regexpesc:e.regexpesc,tokenizer:e.tokenizer};export{a as conf,n as language};
diff --git a/docs/assets/monaco/jsonMode-BkHO8n_X.js b/docs/assets/monaco/jsonMode-BkHO8n_X.js
new file mode 100644
index 0000000..fe11cd5
--- /dev/null
+++ b/docs/assets/monaco/jsonMode-BkHO8n_X.js
@@ -0,0 +1,15 @@
+import{o as Et}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var Lt=Object.defineProperty,Ot=Object.getOwnPropertyDescriptor,Nt=Object.getOwnPropertyNames,Rt=Object.prototype.hasOwnProperty,Mt=(e,r,i,n)=>{if(r&&typeof r=="object"||typeof r=="function")for(let t of Nt(r))!Rt.call(e,t)&&t!==i&&Lt(e,t,{get:()=>r[t],enumerable:!(n=Ot(r,t))||n.enumerable});return e},Dt=(e,r,i)=>(Mt(e,r,"default"),i),f={};Dt(f,Et);var Ft=2*60*1e3,Ut=class{constructor(e){this._defaults=e,this._worker=null,this._client=null,this._idleCheckInterval=window.setInterval(()=>this._checkIfIdle(),30*1e3),this._lastUsedTime=0,this._configChangeListener=this._defaults.onDidChange(()=>this._stopWorker())}_stopWorker(){this._worker&&(this._worker.dispose(),this._worker=null),this._client=null}dispose(){clearInterval(this._idleCheckInterval),this._configChangeListener.dispose(),this._stopWorker()}_checkIfIdle(){if(!this._worker)return;Date.now()-this._lastUsedTime>Ft&&this._stopWorker()}_getClient(){return this._lastUsedTime=Date.now(),this._client||(this._worker=f.editor.createWebWorker({moduleId:"vs/language/json/jsonWorker",label:this._defaults.languageId,createData:{languageSettings:this._defaults.diagnosticsOptions,languageId:this._defaults.languageId,enableSchemaRequest:this._defaults.diagnosticsOptions.enableSchemaRequest}}),this._client=this._worker.getProxy()),this._client}getLanguageServiceWorker(...e){let r;return this._getClient().then(i=>{r=i}).then(i=>{if(this._worker)return this._worker.withSyncedResources(e)}).then(i=>r)}},le;(function(e){function r(i){return typeof i=="string"}e.is=r})(le||(le={}));var Q;(function(e){function r(i){return typeof i=="string"}e.is=r})(Q||(Q={}));var fe;(function(e){e.MIN_VALUE=-2147483648,e.MAX_VALUE=2147483647;function r(i){return typeof i=="number"&&e.MIN_VALUE<=i&&i<=e.MAX_VALUE}e.is=r})(fe||(fe={}));var W;(function(e){e.MIN_VALUE=0,e.MAX_VALUE=2147483647;function r(i){return typeof i=="number"&&e.MIN_VALUE<=i&&i<=e.MAX_VALUE}e.is=r})(W||(W={}));var O;(function(e){function r(n,t){return n===Number.MAX_VALUE&&(n=W.MAX_VALUE),t===Number.MAX_VALUE&&(t=W.MAX_VALUE),{line:n,character:t}}e.create=r;function i(n){let t=n;return a.objectLiteral(t)&&a.uinteger(t.line)&&a.uinteger(t.character)}e.is=i})(O||(O={}));var m;(function(e){function r(n,t,o,s){if(a.uinteger(n)&&a.uinteger(t)&&a.uinteger(o)&&a.uinteger(s))return{start:O.create(n,t),end:O.create(o,s)};if(O.is(n)&&O.is(t))return{start:n,end:t};throw new Error(`Range#create called with invalid arguments[${n}, ${t}, ${o}, ${s}]`)}e.create=r;function i(n){let t=n;return a.objectLiteral(t)&&O.is(t.start)&&O.is(t.end)}e.is=i})(m||(m={}));var H;(function(e){function r(n,t){return{uri:n,range:t}}e.create=r;function i(n){let t=n;return a.objectLiteral(t)&&m.is(t.range)&&(a.string(t.uri)||a.undefined(t.uri))}e.is=i})(H||(H={}));var de;(function(e){function r(n,t,o,s){return{targetUri:n,targetRange:t,targetSelectionRange:o,originSelectionRange:s}}e.create=r;function i(n){let t=n;return a.objectLiteral(t)&&m.is(t.targetRange)&&a.string(t.targetUri)&&m.is(t.targetSelectionRange)&&(m.is(t.originSelectionRange)||a.undefined(t.originSelectionRange))}e.is=i})(de||(de={}));var Y;(function(e){function r(n,t,o,s){return{red:n,green:t,blue:o,alpha:s}}e.create=r;function i(n){const t=n;return a.objectLiteral(t)&&a.numberRange(t.red,0,1)&&a.numberRange(t.green,0,1)&&a.numberRange(t.blue,0,1)&&a.numberRange(t.alpha,0,1)}e.is=i})(Y||(Y={}));var ge;(function(e){function r(n,t){return{range:n,color:t}}e.create=r;function i(n){const t=n;return a.objectLiteral(t)&&m.is(t.range)&&Y.is(t.color)}e.is=i})(ge||(ge={}));var pe;(function(e){function r(n,t,o){return{label:n,textEdit:t,additionalTextEdits:o}}e.create=r;function i(n){const t=n;return a.objectLiteral(t)&&a.string(t.label)&&(a.undefined(t.textEdit)||T.is(t))&&(a.undefined(t.additionalTextEdits)||a.typedArray(t.additionalTextEdits,T.is))}e.is=i})(pe||(pe={}));var P;(function(e){e.Comment="comment",e.Imports="imports",e.Region="region"})(P||(P={}));var ve;(function(e){function r(n,t,o,s,u,g){const c={startLine:n,endLine:t};return a.defined(o)&&(c.startCharacter=o),a.defined(s)&&(c.endCharacter=s),a.defined(u)&&(c.kind=u),a.defined(g)&&(c.collapsedText=g),c}e.create=r;function i(n){const t=n;return a.objectLiteral(t)&&a.uinteger(t.startLine)&&a.uinteger(t.startLine)&&(a.undefined(t.startCharacter)||a.uinteger(t.startCharacter))&&(a.undefined(t.endCharacter)||a.uinteger(t.endCharacter))&&(a.undefined(t.kind)||a.string(t.kind))}e.is=i})(ve||(ve={}));var G;(function(e){function r(n,t){return{location:n,message:t}}e.create=r;function i(n){let t=n;return a.defined(t)&&H.is(t.location)&&a.string(t.message)}e.is=i})(G||(G={}));var F;(function(e){e.Error=1,e.Warning=2,e.Information=3,e.Hint=4})(F||(F={}));var me;(function(e){e.Unnecessary=1,e.Deprecated=2})(me||(me={}));var he;(function(e){function r(i){const n=i;return a.objectLiteral(n)&&a.string(n.href)}e.is=r})(he||(he={}));var z;(function(e){function r(n,t,o,s,u,g){let c={range:n,message:t};return a.defined(o)&&(c.severity=o),a.defined(s)&&(c.code=s),a.defined(u)&&(c.source=u),a.defined(g)&&(c.relatedInformation=g),c}e.create=r;function i(n){var t;let o=n;return a.defined(o)&&m.is(o.range)&&a.string(o.message)&&(a.number(o.severity)||a.undefined(o.severity))&&(a.integer(o.code)||a.string(o.code)||a.undefined(o.code))&&(a.undefined(o.codeDescription)||a.string((t=o.codeDescription)===null||t===void 0?void 0:t.href))&&(a.string(o.source)||a.undefined(o.source))&&(a.undefined(o.relatedInformation)||a.typedArray(o.relatedInformation,G.is))}e.is=i})(z||(z={}));var U;(function(e){function r(n,t,...o){let s={title:n,command:t};return a.defined(o)&&o.length>0&&(s.arguments=o),s}e.create=r;function i(n){let t=n;return a.defined(t)&&a.string(t.title)&&a.string(t.command)}e.is=i})(U||(U={}));var T;(function(e){function r(o,s){return{range:o,newText:s}}e.replace=r;function i(o,s){return{range:{start:o,end:o},newText:s}}e.insert=i;function n(o){return{range:o,newText:""}}e.del=n;function t(o){const s=o;return a.objectLiteral(s)&&a.string(s.newText)&&m.is(s.range)}e.is=t})(T||(T={}));var Z;(function(e){function r(n,t,o){const s={label:n};return t!==void 0&&(s.needsConfirmation=t),o!==void 0&&(s.description=o),s}e.create=r;function i(n){const t=n;return a.objectLiteral(t)&&a.string(t.label)&&(a.boolean(t.needsConfirmation)||t.needsConfirmation===void 0)&&(a.string(t.description)||t.description===void 0)}e.is=i})(Z||(Z={}));var j;(function(e){function r(i){const n=i;return a.string(n)}e.is=r})(j||(j={}));var _e;(function(e){function r(o,s,u){return{range:o,newText:s,annotationId:u}}e.replace=r;function i(o,s,u){return{range:{start:o,end:o},newText:s,annotationId:u}}e.insert=i;function n(o,s){return{range:o,newText:"",annotationId:s}}e.del=n;function t(o){const s=o;return T.is(s)&&(Z.is(s.annotationId)||j.is(s.annotationId))}e.is=t})(_e||(_e={}));var K;(function(e){function r(n,t){return{textDocument:n,edits:t}}e.create=r;function i(n){let t=n;return a.defined(t)&&re.is(t.textDocument)&&Array.isArray(t.edits)}e.is=i})(K||(K={}));var C;(function(e){function r(n,t,o){let s={kind:"create",uri:n};return t!==void 0&&(t.overwrite!==void 0||t.ignoreIfExists!==void 0)&&(s.options=t),o!==void 0&&(s.annotationId=o),s}e.create=r;function i(n){let t=n;return t&&t.kind==="create"&&a.string(t.uri)&&(t.options===void 0||(t.options.overwrite===void 0||a.boolean(t.options.overwrite))&&(t.options.ignoreIfExists===void 0||a.boolean(t.options.ignoreIfExists)))&&(t.annotationId===void 0||j.is(t.annotationId))}e.is=i})(C||(C={}));var ee;(function(e){function r(n,t,o,s){let u={kind:"rename",oldUri:n,newUri:t};return o!==void 0&&(o.overwrite!==void 0||o.ignoreIfExists!==void 0)&&(u.options=o),s!==void 0&&(u.annotationId=s),u}e.create=r;function i(n){let t=n;return t&&t.kind==="rename"&&a.string(t.oldUri)&&a.string(t.newUri)&&(t.options===void 0||(t.options.overwrite===void 0||a.boolean(t.options.overwrite))&&(t.options.ignoreIfExists===void 0||a.boolean(t.options.ignoreIfExists)))&&(t.annotationId===void 0||j.is(t.annotationId))}e.is=i})(ee||(ee={}));var te;(function(e){function r(n,t,o){let s={kind:"delete",uri:n};return t!==void 0&&(t.recursive!==void 0||t.ignoreIfNotExists!==void 0)&&(s.options=t),o!==void 0&&(s.annotationId=o),s}e.create=r;function i(n){let t=n;return t&&t.kind==="delete"&&a.string(t.uri)&&(t.options===void 0||(t.options.recursive===void 0||a.boolean(t.options.recursive))&&(t.options.ignoreIfNotExists===void 0||a.boolean(t.options.ignoreIfNotExists)))&&(t.annotationId===void 0||j.is(t.annotationId))}e.is=i})(te||(te={}));var ne;(function(e){function r(i){let n=i;return n&&(n.changes!==void 0||n.documentChanges!==void 0)&&(n.documentChanges===void 0||n.documentChanges.every(t=>a.string(t.kind)?C.is(t)||ee.is(t)||te.is(t):K.is(t)))}e.is=r})(ne||(ne={}));var ke;(function(e){function r(n){return{uri:n}}e.create=r;function i(n){let t=n;return a.defined(t)&&a.string(t.uri)}e.is=i})(ke||(ke={}));var be;(function(e){function r(n,t){return{uri:n,version:t}}e.create=r;function i(n){let t=n;return a.defined(t)&&a.string(t.uri)&&a.integer(t.version)}e.is=i})(be||(be={}));var re;(function(e){function r(n,t){return{uri:n,version:t}}e.create=r;function i(n){let t=n;return a.defined(t)&&a.string(t.uri)&&(t.version===null||a.integer(t.version))}e.is=i})(re||(re={}));var we;(function(e){function r(n,t,o,s){return{uri:n,languageId:t,version:o,text:s}}e.create=r;function i(n){let t=n;return a.defined(t)&&a.string(t.uri)&&a.string(t.languageId)&&a.integer(t.version)&&a.string(t.text)}e.is=i})(we||(we={}));var ie;(function(e){e.PlainText="plaintext",e.Markdown="markdown";function r(i){const n=i;return n===e.PlainText||n===e.Markdown}e.is=r})(ie||(ie={}));var S;(function(e){function r(i){const n=i;return a.objectLiteral(i)&&ie.is(n.kind)&&a.string(n.value)}e.is=r})(S||(S={}));var h;(function(e){e.Text=1,e.Method=2,e.Function=3,e.Constructor=4,e.Field=5,e.Variable=6,e.Class=7,e.Interface=8,e.Module=9,e.Property=10,e.Unit=11,e.Value=12,e.Enum=13,e.Keyword=14,e.Snippet=15,e.Color=16,e.File=17,e.Reference=18,e.Folder=19,e.EnumMember=20,e.Constant=21,e.Struct=22,e.Event=23,e.Operator=24,e.TypeParameter=25})(h||(h={}));var oe;(function(e){e.PlainText=1,e.Snippet=2})(oe||(oe={}));var Ae;(function(e){e.Deprecated=1})(Ae||(Ae={}));var Ie;(function(e){function r(n,t,o){return{newText:n,insert:t,replace:o}}e.create=r;function i(n){const t=n;return t&&a.string(t.newText)&&m.is(t.insert)&&m.is(t.replace)}e.is=i})(Ie||(Ie={}));var Ee;(function(e){e.asIs=1,e.adjustIndentation=2})(Ee||(Ee={}));var Le;(function(e){function r(i){const n=i;return n&&(a.string(n.detail)||n.detail===void 0)&&(a.string(n.description)||n.description===void 0)}e.is=r})(Le||(Le={}));var Oe;(function(e){function r(i){return{label:i}}e.create=r})(Oe||(Oe={}));var Ne;(function(e){function r(i,n){return{items:i||[],isIncomplete:!!n}}e.create=r})(Ne||(Ne={}));var X;(function(e){function r(n){return n.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}e.fromPlainText=r;function i(n){const t=n;return a.string(t)||a.objectLiteral(t)&&a.string(t.language)&&a.string(t.value)}e.is=i})(X||(X={}));var Re;(function(e){function r(i){let n=i;return!!n&&a.objectLiteral(n)&&(S.is(n.contents)||X.is(n.contents)||a.typedArray(n.contents,X.is))&&(i.range===void 0||m.is(i.range))}e.is=r})(Re||(Re={}));var Me;(function(e){function r(i,n){return n?{label:i,documentation:n}:{label:i}}e.create=r})(Me||(Me={}));var De;(function(e){function r(i,n,...t){let o={label:i};return a.defined(n)&&(o.documentation=n),a.defined(t)?o.parameters=t:o.parameters=[],o}e.create=r})(De||(De={}));var V;(function(e){e.Text=1,e.Read=2,e.Write=3})(V||(V={}));var Fe;(function(e){function r(i,n){let t={range:i};return a.number(n)&&(t.kind=n),t}e.create=r})(Fe||(Fe={}));var _;(function(e){e.File=1,e.Module=2,e.Namespace=3,e.Package=4,e.Class=5,e.Method=6,e.Property=7,e.Field=8,e.Constructor=9,e.Enum=10,e.Interface=11,e.Function=12,e.Variable=13,e.Constant=14,e.String=15,e.Number=16,e.Boolean=17,e.Array=18,e.Object=19,e.Key=20,e.Null=21,e.EnumMember=22,e.Struct=23,e.Event=24,e.Operator=25,e.TypeParameter=26})(_||(_={}));var Ue;(function(e){e.Deprecated=1})(Ue||(Ue={}));var Te;(function(e){function r(i,n,t,o,s){let u={name:i,kind:n,location:{uri:o,range:t}};return s&&(u.containerName=s),u}e.create=r})(Te||(Te={}));var je;(function(e){function r(i,n,t,o){return o!==void 0?{name:i,kind:n,location:{uri:t,range:o}}:{name:i,kind:n,location:{uri:t}}}e.create=r})(je||(je={}));var xe;(function(e){function r(n,t,o,s,u,g){let c={name:n,detail:t,kind:o,range:s,selectionRange:u};return g!==void 0&&(c.children=g),c}e.create=r;function i(n){let t=n;return t&&a.string(t.name)&&a.number(t.kind)&&m.is(t.range)&&m.is(t.selectionRange)&&(t.detail===void 0||a.string(t.detail))&&(t.deprecated===void 0||a.boolean(t.deprecated))&&(t.children===void 0||Array.isArray(t.children))&&(t.tags===void 0||Array.isArray(t.tags))}e.is=i})(xe||(xe={}));var ye;(function(e){e.Empty="",e.QuickFix="quickfix",e.Refactor="refactor",e.RefactorExtract="refactor.extract",e.RefactorInline="refactor.inline",e.RefactorRewrite="refactor.rewrite",e.Source="source",e.SourceOrganizeImports="source.organizeImports",e.SourceFixAll="source.fixAll"})(ye||(ye={}));var q;(function(e){e.Invoked=1,e.Automatic=2})(q||(q={}));var Pe;(function(e){function r(n,t,o){let s={diagnostics:n};return t!=null&&(s.only=t),o!=null&&(s.triggerKind=o),s}e.create=r;function i(n){let t=n;return a.defined(t)&&a.typedArray(t.diagnostics,z.is)&&(t.only===void 0||a.typedArray(t.only,a.string))&&(t.triggerKind===void 0||t.triggerKind===q.Invoked||t.triggerKind===q.Automatic)}e.is=i})(Pe||(Pe={}));var Ve;(function(e){function r(n,t,o){let s={title:n},u=!0;return typeof t=="string"?(u=!1,s.kind=t):U.is(t)?s.command=t:s.edit=t,u&&o!==void 0&&(s.kind=o),s}e.create=r;function i(n){let t=n;return t&&a.string(t.title)&&(t.diagnostics===void 0||a.typedArray(t.diagnostics,z.is))&&(t.kind===void 0||a.string(t.kind))&&(t.edit!==void 0||t.command!==void 0)&&(t.command===void 0||U.is(t.command))&&(t.isPreferred===void 0||a.boolean(t.isPreferred))&&(t.edit===void 0||ne.is(t.edit))}e.is=i})(Ve||(Ve={}));var Se;(function(e){function r(n,t){let o={range:n};return a.defined(t)&&(o.data=t),o}e.create=r;function i(n){let t=n;return a.defined(t)&&m.is(t.range)&&(a.undefined(t.command)||U.is(t.command))}e.is=i})(Se||(Se={}));var Be;(function(e){function r(n,t){return{tabSize:n,insertSpaces:t}}e.create=r;function i(n){let t=n;return a.defined(t)&&a.uinteger(t.tabSize)&&a.boolean(t.insertSpaces)}e.is=i})(Be||(Be={}));var We;(function(e){function r(n,t,o){return{range:n,target:t,data:o}}e.create=r;function i(n){let t=n;return a.defined(t)&&m.is(t.range)&&(a.undefined(t.target)||a.string(t.target))}e.is=i})(We||(We={}));var He;(function(e){function r(n,t){return{range:n,parent:t}}e.create=r;function i(n){let t=n;return a.objectLiteral(t)&&m.is(t.range)&&(t.parent===void 0||e.is(t.parent))}e.is=i})(He||(He={}));var ze;(function(e){e.namespace="namespace",e.type="type",e.class="class",e.enum="enum",e.interface="interface",e.struct="struct",e.typeParameter="typeParameter",e.parameter="parameter",e.variable="variable",e.property="property",e.enumMember="enumMember",e.event="event",e.function="function",e.method="method",e.macro="macro",e.keyword="keyword",e.modifier="modifier",e.comment="comment",e.string="string",e.number="number",e.regexp="regexp",e.operator="operator",e.decorator="decorator"})(ze||(ze={}));var Xe;(function(e){e.declaration="declaration",e.definition="definition",e.readonly="readonly",e.static="static",e.deprecated="deprecated",e.abstract="abstract",e.async="async",e.modification="modification",e.documentation="documentation",e.defaultLibrary="defaultLibrary"})(Xe||(Xe={}));var qe;(function(e){function r(i){const n=i;return a.objectLiteral(n)&&(n.resultId===void 0||typeof n.resultId=="string")&&Array.isArray(n.data)&&(n.data.length===0||typeof n.data[0]=="number")}e.is=r})(qe||(qe={}));var Je;(function(e){function r(n,t){return{range:n,text:t}}e.create=r;function i(n){const t=n;return t!=null&&m.is(t.range)&&a.string(t.text)}e.is=i})(Je||(Je={}));var $e;(function(e){function r(n,t,o){return{range:n,variableName:t,caseSensitiveLookup:o}}e.create=r;function i(n){const t=n;return t!=null&&m.is(t.range)&&a.boolean(t.caseSensitiveLookup)&&(a.string(t.variableName)||t.variableName===void 0)}e.is=i})($e||($e={}));var Qe;(function(e){function r(n,t){return{range:n,expression:t}}e.create=r;function i(n){const t=n;return t!=null&&m.is(t.range)&&(a.string(t.expression)||t.expression===void 0)}e.is=i})(Qe||(Qe={}));var Ye;(function(e){function r(n,t){return{frameId:n,stoppedLocation:t}}e.create=r;function i(n){const t=n;return a.defined(t)&&m.is(n.stoppedLocation)}e.is=i})(Ye||(Ye={}));var se;(function(e){e.Type=1,e.Parameter=2;function r(i){return i===1||i===2}e.is=r})(se||(se={}));var ae;(function(e){function r(n){return{value:n}}e.create=r;function i(n){const t=n;return a.objectLiteral(t)&&(t.tooltip===void 0||a.string(t.tooltip)||S.is(t.tooltip))&&(t.location===void 0||H.is(t.location))&&(t.command===void 0||U.is(t.command))}e.is=i})(ae||(ae={}));var Ge;(function(e){function r(n,t,o){const s={position:n,label:t};return o!==void 0&&(s.kind=o),s}e.create=r;function i(n){const t=n;return a.objectLiteral(t)&&O.is(t.position)&&(a.string(t.label)||a.typedArray(t.label,ae.is))&&(t.kind===void 0||se.is(t.kind))&&t.textEdits===void 0||a.typedArray(t.textEdits,T.is)&&(t.tooltip===void 0||a.string(t.tooltip)||S.is(t.tooltip))&&(t.paddingLeft===void 0||a.boolean(t.paddingLeft))&&(t.paddingRight===void 0||a.boolean(t.paddingRight))}e.is=i})(Ge||(Ge={}));var Ze;(function(e){function r(i){return{kind:"snippet",value:i}}e.createSnippet=r})(Ze||(Ze={}));var Ke;(function(e){function r(i,n,t,o){return{insertText:i,filterText:n,range:t,command:o}}e.create=r})(Ke||(Ke={}));var Ce;(function(e){function r(i){return{items:i}}e.create=r})(Ce||(Ce={}));var et;(function(e){e.Invoked=0,e.Automatic=1})(et||(et={}));var tt;(function(e){function r(i,n){return{range:i,text:n}}e.create=r})(tt||(tt={}));var nt;(function(e){function r(i,n){return{triggerKind:i,selectedCompletionInfo:n}}e.create=r})(nt||(nt={}));var rt;(function(e){function r(i){const n=i;return a.objectLiteral(n)&&Q.is(n.uri)&&a.string(n.name)}e.is=r})(rt||(rt={}));var it;(function(e){function r(o,s,u,g){return new Tt(o,s,u,g)}e.create=r;function i(o){let s=o;return!!(a.defined(s)&&a.string(s.uri)&&(a.undefined(s.languageId)||a.string(s.languageId))&&a.uinteger(s.lineCount)&&a.func(s.getText)&&a.func(s.positionAt)&&a.func(s.offsetAt))}e.is=i;function n(o,s){let u=o.getText(),g=t(s,(v,d)=>{let k=v.range.start.line-d.range.start.line;return k===0?v.range.start.character-d.range.start.character:k}),c=u.length;for(let v=g.length-1;v>=0;v--){let d=g[v],k=o.offsetAt(d.range.start),p=o.offsetAt(d.range.end);if(p<=c)u=u.substring(0,k)+d.newText+u.substring(p,u.length);else throw new Error("Overlapping edit");c=k}return u}e.applyEdits=n;function t(o,s){if(o.length<=1)return o;const u=o.length/2|0,g=o.slice(0,u),c=o.slice(u);t(g,s),t(c,s);let v=0,d=0,k=0;for(;v<g.length&&d<c.length;)s(g[v],c[d])<=0?o[k++]=g[v++]:o[k++]=c[d++];for(;v<g.length;)o[k++]=g[v++];for(;d<c.length;)o[k++]=c[d++];return o}})(it||(it={}));var Tt=class{constructor(e,r,i,n){this._uri=e,this._languageId=r,this._version=i,this._content=n,this._lineOffsets=void 0}get uri(){return this._uri}get languageId(){return this._languageId}get version(){return this._version}getText(e){if(e){let r=this.offsetAt(e.start),i=this.offsetAt(e.end);return this._content.substring(r,i)}return this._content}update(e,r){this._content=e.text,this._version=r,this._lineOffsets=void 0}getLineOffsets(){if(this._lineOffsets===void 0){let e=[],r=this._content,i=!0;for(let n=0;n<r.length;n++){i&&(e.push(n),i=!1);let t=r.charAt(n);i=t==="\r"||t===`
+`,t==="\r"&&n+1<r.length&&r.charAt(n+1)===`
+`&&n++}i&&r.length>0&&e.push(r.length),this._lineOffsets=e}return this._lineOffsets}positionAt(e){e=Math.max(Math.min(e,this._content.length),0);let r=this.getLineOffsets(),i=0,n=r.length;if(n===0)return O.create(0,e);for(;i<n;){let o=Math.floor((i+n)/2);r[o]>e?n=o:i=o+1}let t=i-1;return O.create(t,e-r[t])}offsetAt(e){let r=this.getLineOffsets();if(e.line>=r.length)return this._content.length;if(e.line<0)return 0;let i=r[e.line],n=e.line+1<r.length?r[e.line+1]:this._content.length;return Math.max(Math.min(i+e.character,n),i)}get lineCount(){return this.getLineOffsets().length}},a;(function(e){const r=Object.prototype.toString;function i(p){return typeof p<"u"}e.defined=i;function n(p){return typeof p>"u"}e.undefined=n;function t(p){return p===!0||p===!1}e.boolean=t;function o(p){return r.call(p)==="[object String]"}e.string=o;function s(p){return r.call(p)==="[object Number]"}e.number=s;function u(p,N,J){return r.call(p)==="[object Number]"&&N<=p&&p<=J}e.numberRange=u;function g(p){return r.call(p)==="[object Number]"&&-2147483648<=p&&p<=2147483647}e.integer=g;function c(p){return r.call(p)==="[object Number]"&&0<=p&&p<=2147483647}e.uinteger=c;function v(p){return r.call(p)==="[object Function]"}e.func=v;function d(p){return p!==null&&typeof p=="object"}e.objectLiteral=d;function k(p,N){return Array.isArray(p)&&p.every(N)}e.typedArray=k})(a||(a={}));var jt=class{constructor(e,r,i){this._languageId=e,this._worker=r,this._disposables=[],this._listener=Object.create(null);const n=o=>{let s=o.getLanguageId();if(s!==this._languageId)return;let u;this._listener[o.uri.toString()]=o.onDidChangeContent(()=>{window.clearTimeout(u),u=window.setTimeout(()=>this._doValidate(o.uri,s),500)}),this._doValidate(o.uri,s)},t=o=>{f.editor.setModelMarkers(o,this._languageId,[]);let s=o.uri.toString(),u=this._listener[s];u&&(u.dispose(),delete this._listener[s])};this._disposables.push(f.editor.onDidCreateModel(n)),this._disposables.push(f.editor.onWillDisposeModel(t)),this._disposables.push(f.editor.onDidChangeModelLanguage(o=>{t(o.model),n(o.model)})),this._disposables.push(i(o=>{f.editor.getModels().forEach(s=>{s.getLanguageId()===this._languageId&&(t(s),n(s))})})),this._disposables.push({dispose:()=>{f.editor.getModels().forEach(t);for(let o in this._listener)this._listener[o].dispose()}}),f.editor.getModels().forEach(n)}dispose(){this._disposables.forEach(e=>e&&e.dispose()),this._disposables.length=0}_doValidate(e,r){this._worker(e).then(i=>i.doValidation(e.toString())).then(i=>{const n=i.map(o=>yt(e,o));let t=f.editor.getModel(e);t&&t.getLanguageId()===r&&f.editor.setModelMarkers(t,r,n)}).then(void 0,i=>{console.error(i)})}};function xt(e){switch(e){case F.Error:return f.MarkerSeverity.Error;case F.Warning:return f.MarkerSeverity.Warning;case F.Information:return f.MarkerSeverity.Info;case F.Hint:return f.MarkerSeverity.Hint;default:return f.MarkerSeverity.Info}}function yt(e,r){let i=typeof r.code=="number"?String(r.code):r.code;return{severity:xt(r.severity),startLineNumber:r.range.start.line+1,startColumn:r.range.start.character+1,endLineNumber:r.range.end.line+1,endColumn:r.range.end.character+1,message:r.message,code:i,source:r.source}}var Pt=class{constructor(e,r){this._worker=e,this._triggerCharacters=r}get triggerCharacters(){return this._triggerCharacters}provideCompletionItems(e,r,i,n){const t=e.uri;return this._worker(t).then(o=>o.doComplete(t.toString(),R(r))).then(o=>{if(!o)return;const s=e.getWordUntilPosition(r),u=new f.Range(r.lineNumber,s.startColumn,r.lineNumber,s.endColumn),g=o.items.map(c=>{const v={label:c.label,insertText:c.insertText||c.label,sortText:c.sortText,filterText:c.filterText,documentation:c.documentation,detail:c.detail,command:Bt(c.command),range:u,kind:St(c.kind)};return c.textEdit&&(Vt(c.textEdit)?v.range={insert:w(c.textEdit.insert),replace:w(c.textEdit.replace)}:v.range=w(c.textEdit.range),v.insertText=c.textEdit.newText),c.additionalTextEdits&&(v.additionalTextEdits=c.additionalTextEdits.map(B)),c.insertTextFormat===oe.Snippet&&(v.insertTextRules=f.languages.CompletionItemInsertTextRule.InsertAsSnippet),v});return{isIncomplete:o.isIncomplete,suggestions:g}})}};function R(e){if(e)return{character:e.column-1,line:e.lineNumber-1}}function pt(e){if(e)return{start:{line:e.startLineNumber-1,character:e.startColumn-1},end:{line:e.endLineNumber-1,character:e.endColumn-1}}}function w(e){if(e)return new f.Range(e.start.line+1,e.start.character+1,e.end.line+1,e.end.character+1)}function Vt(e){return typeof e.insert<"u"&&typeof e.replace<"u"}function St(e){const r=f.languages.CompletionItemKind;switch(e){case h.Text:return r.Text;case h.Method:return r.Method;case h.Function:return r.Function;case h.Constructor:return r.Constructor;case h.Field:return r.Field;case h.Variable:return r.Variable;case h.Class:return r.Class;case h.Interface:return r.Interface;case h.Module:return r.Module;case h.Property:return r.Property;case h.Unit:return r.Unit;case h.Value:return r.Value;case h.Enum:return r.Enum;case h.Keyword:return r.Keyword;case h.Snippet:return r.Snippet;case h.Color:return r.Color;case h.File:return r.File;case h.Reference:return r.Reference}return r.Property}function B(e){if(e)return{range:w(e.range),text:e.newText}}function Bt(e){return e&&e.command==="editor.action.triggerSuggest"?{id:e.command,title:e.title,arguments:e.arguments}:void 0}var Wt=class{constructor(e){this._worker=e}provideHover(e,r,i){let n=e.uri;return this._worker(n).then(t=>t.doHover(n.toString(),R(r))).then(t=>{if(t)return{range:w(t.range),contents:zt(t.contents)}})}};function Ht(e){return e&&typeof e=="object"&&typeof e.kind=="string"}function ot(e){return typeof e=="string"?{value:e}:Ht(e)?e.kind==="plaintext"?{value:e.value.replace(/[\\`*_{}[\]()#+\-.!]/g,"\\$&")}:{value:e.value}:{value:"```"+e.language+`
+`+e.value+"\n```\n"}}function zt(e){if(e)return Array.isArray(e)?e.map(ot):[ot(e)]}var kn=class{constructor(e){this._worker=e}provideDocumentHighlights(e,r,i){const n=e.uri;return this._worker(n).then(t=>t.findDocumentHighlights(n.toString(),R(r))).then(t=>{if(t)return t.map(o=>({range:w(o.range),kind:Xt(o.kind)}))})}};function Xt(e){switch(e){case V.Read:return f.languages.DocumentHighlightKind.Read;case V.Write:return f.languages.DocumentHighlightKind.Write;case V.Text:return f.languages.DocumentHighlightKind.Text}return f.languages.DocumentHighlightKind.Text}var bn=class{constructor(e){this._worker=e}provideDefinition(e,r,i){const n=e.uri;return this._worker(n).then(t=>t.findDefinition(n.toString(),R(r))).then(t=>{if(t)return[vt(t)]})}};function vt(e){return{uri:f.Uri.parse(e.uri),range:w(e.range)}}var wn=class{constructor(e){this._worker=e}provideReferences(e,r,i,n){const t=e.uri;return this._worker(t).then(o=>o.findReferences(t.toString(),R(r))).then(o=>{if(o)return o.map(vt)})}},An=class{constructor(e){this._worker=e}provideRenameEdits(e,r,i,n){const t=e.uri;return this._worker(t).then(o=>o.doRename(t.toString(),R(r),i)).then(o=>qt(o))}};function qt(e){if(!e||!e.changes)return;let r=[];for(let i in e.changes){const n=f.Uri.parse(i);for(let t of e.changes[i])r.push({resource:n,versionId:void 0,textEdit:{range:w(t.range),text:t.newText}})}return{edits:r}}var Jt=class{constructor(e){this._worker=e}provideDocumentSymbols(e,r){const i=e.uri;return this._worker(i).then(n=>n.findDocumentSymbols(i.toString())).then(n=>{if(n)return n.map(t=>$t(t)?mt(t):{name:t.name,detail:"",containerName:t.containerName,kind:ht(t.kind),range:w(t.location.range),selectionRange:w(t.location.range),tags:[]})})}};function $t(e){return"children"in e}function mt(e){return{name:e.name,detail:e.detail??"",kind:ht(e.kind),range:w(e.range),selectionRange:w(e.selectionRange),tags:e.tags??[],children:(e.children??[]).map(r=>mt(r))}}function ht(e){let r=f.languages.SymbolKind;switch(e){case _.File:return r.File;case _.Module:return r.Module;case _.Namespace:return r.Namespace;case _.Package:return r.Package;case _.Class:return r.Class;case _.Method:return r.Method;case _.Property:return r.Property;case _.Field:return r.Field;case _.Constructor:return r.Constructor;case _.Enum:return r.Enum;case _.Interface:return r.Interface;case _.Function:return r.Function;case _.Variable:return r.Variable;case _.Constant:return r.Constant;case _.String:return r.String;case _.Number:return r.Number;case _.Boolean:return r.Boolean;case _.Array:return r.Array}return r.Function}var In=class{constructor(e){this._worker=e}provideLinks(e,r){const i=e.uri;return this._worker(i).then(n=>n.findDocumentLinks(i.toString())).then(n=>{if(n)return{links:n.map(t=>({range:w(t.range),url:t.target}))}})}},Qt=class{constructor(e){this._worker=e}provideDocumentFormattingEdits(e,r,i){const n=e.uri;return this._worker(n).then(t=>t.format(n.toString(),null,_t(r)).then(o=>{if(!(!o||o.length===0))return o.map(B)}))}},Yt=class{constructor(e){this._worker=e,this.canFormatMultipleRanges=!1}provideDocumentRangeFormattingEdits(e,r,i,n){const t=e.uri;return this._worker(t).then(o=>o.format(t.toString(),pt(r),_t(i)).then(s=>{if(!(!s||s.length===0))return s.map(B)}))}};function _t(e){return{tabSize:e.tabSize,insertSpaces:e.insertSpaces}}var Gt=class{constructor(e){this._worker=e}provideDocumentColors(e,r){const i=e.uri;return this._worker(i).then(n=>n.findDocumentColors(i.toString())).then(n=>{if(n)return n.map(t=>({color:t.color,range:w(t.range)}))})}provideColorPresentations(e,r,i){const n=e.uri;return this._worker(n).then(t=>t.getColorPresentations(n.toString(),r.color,pt(r.range))).then(t=>{if(t)return t.map(o=>{let s={label:o.label};return o.textEdit&&(s.textEdit=B(o.textEdit)),o.additionalTextEdits&&(s.additionalTextEdits=o.additionalTextEdits.map(B)),s})})}},Zt=class{constructor(e){this._worker=e}provideFoldingRanges(e,r,i){const n=e.uri;return this._worker(n).then(t=>t.getFoldingRanges(n.toString(),r)).then(t=>{if(t)return t.map(o=>{const s={start:o.startLine+1,end:o.endLine+1};return typeof o.kind<"u"&&(s.kind=Kt(o.kind)),s})})}};function Kt(e){switch(e){case P.Comment:return f.languages.FoldingRangeKind.Comment;case P.Imports:return f.languages.FoldingRangeKind.Imports;case P.Region:return f.languages.FoldingRangeKind.Region}}var Ct=class{constructor(e){this._worker=e}provideSelectionRanges(e,r,i){const n=e.uri;return this._worker(n).then(t=>t.getSelectionRanges(n.toString(),r.map(R))).then(t=>{if(t)return t.map(o=>{const s=[];for(;o;)s.push({range:w(o.range)}),o=o.parent;return s})})}};function en(e,r=!1){const i=e.length;let n=0,t="",o=0,s=16,u=0,g=0,c=0,v=0,d=0;function k(l,A){let L=0,I=0;for(;L<l||!A;){let b=e.charCodeAt(n);if(b>=48&&b<=57)I=I*16+b-48;else if(b>=65&&b<=70)I=I*16+b-65+10;else if(b>=97&&b<=102)I=I*16+b-97+10;else break;n++,L++}return L<l&&(I=-1),I}function p(l){n=l,t="",o=0,s=16,d=0}function N(){let l=n;if(e.charCodeAt(n)===48)n++;else for(n++;n<e.length&&M(e.charCodeAt(n));)n++;if(n<e.length&&e.charCodeAt(n)===46)if(n++,n<e.length&&M(e.charCodeAt(n)))for(n++;n<e.length&&M(e.charCodeAt(n));)n++;else return d=3,e.substring(l,n);let A=n;if(n<e.length&&(e.charCodeAt(n)===69||e.charCodeAt(n)===101))if(n++,(n<e.length&&e.charCodeAt(n)===43||e.charCodeAt(n)===45)&&n++,n<e.length&&M(e.charCodeAt(n))){for(n++;n<e.length&&M(e.charCodeAt(n));)n++;A=n}else d=3;return e.substring(l,A)}function J(){let l="",A=n;for(;;){if(n>=i){l+=e.substring(A,n),d=2;break}const L=e.charCodeAt(n);if(L===34){l+=e.substring(A,n),n++;break}if(L===92){if(l+=e.substring(A,n),n++,n>=i){d=2;break}switch(e.charCodeAt(n++)){case 34:l+='"';break;case 92:l+="\\";break;case 47:l+="/";break;case 98:l+="\b";break;case 102:l+="\f";break;case 110:l+=`
+`;break;case 114:l+="\r";break;case 116:l+=" ";break;case 117:const b=k(4,!0);b>=0?l+=String.fromCharCode(b):d=4;break;default:d=5}A=n;continue}if(L>=0&&L<=31)if(x(L)){l+=e.substring(A,n),d=2;break}else d=6;n++}return l}function ce(){if(t="",d=0,o=n,g=u,v=c,n>=i)return o=i,s=17;let l=e.charCodeAt(n);if($(l)){do n++,t+=String.fromCharCode(l),l=e.charCodeAt(n);while($(l));return s=15}if(x(l))return n++,t+=String.fromCharCode(l),l===13&&e.charCodeAt(n)===10&&(n++,t+=`
+`),u++,c=n,s=14;switch(l){case 123:return n++,s=1;case 125:return n++,s=2;case 91:return n++,s=3;case 93:return n++,s=4;case 58:return n++,s=6;case 44:return n++,s=5;case 34:return n++,t=J(),s=10;case 47:const A=n-1;if(e.charCodeAt(n+1)===47){for(n+=2;n<i&&!x(e.charCodeAt(n));)n++;return t=e.substring(A,n),s=12}if(e.charCodeAt(n+1)===42){n+=2;const L=i-1;let I=!1;for(;n<L;){const b=e.charCodeAt(n);if(b===42&&e.charCodeAt(n+1)===47){n+=2,I=!0;break}n++,x(b)&&(b===13&&e.charCodeAt(n)===10&&n++,u++,c=n)}return I||(n++,d=1),t=e.substring(A,n),s=13}return t+=String.fromCharCode(l),n++,s=16;case 45:if(t+=String.fromCharCode(l),n++,n===i||!M(e.charCodeAt(n)))return s=16;case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:return t+=N(),s=11;default:for(;n<i&&At(l);)n++,l=e.charCodeAt(n);if(o!==n){switch(t=e.substring(o,n),t){case"true":return s=8;case"false":return s=9;case"null":return s=7}return s=16}return t+=String.fromCharCode(l),n++,s=16}}function At(l){if($(l)||x(l))return!1;switch(l){case 125:case 93:case 123:case 91:case 34:case 58:case 44:case 47:return!1}return!0}function It(){let l;do l=ce();while(l>=12&&l<=15);return l}return{setPosition:p,getPosition:()=>n,scan:r?It:ce,getToken:()=>s,getTokenValue:()=>t,getTokenOffset:()=>o,getTokenLength:()=>n-o,getTokenStartLine:()=>g,getTokenStartCharacter:()=>o-v,getTokenError:()=>d}}function $(e){return e===32||e===9}function x(e){return e===10||e===13}function M(e){return e>=48&&e<=57}var st;(function(e){e[e.lineFeed=10]="lineFeed",e[e.carriageReturn=13]="carriageReturn",e[e.space=32]="space",e[e._0=48]="_0",e[e._1=49]="_1",e[e._2=50]="_2",e[e._3=51]="_3",e[e._4=52]="_4",e[e._5=53]="_5",e[e._6=54]="_6",e[e._7=55]="_7",e[e._8=56]="_8",e[e._9=57]="_9",e[e.a=97]="a",e[e.b=98]="b",e[e.c=99]="c",e[e.d=100]="d",e[e.e=101]="e",e[e.f=102]="f",e[e.g=103]="g",e[e.h=104]="h",e[e.i=105]="i",e[e.j=106]="j",e[e.k=107]="k",e[e.l=108]="l",e[e.m=109]="m",e[e.n=110]="n",e[e.o=111]="o",e[e.p=112]="p",e[e.q=113]="q",e[e.r=114]="r",e[e.s=115]="s",e[e.t=116]="t",e[e.u=117]="u",e[e.v=118]="v",e[e.w=119]="w",e[e.x=120]="x",e[e.y=121]="y",e[e.z=122]="z",e[e.A=65]="A",e[e.B=66]="B",e[e.C=67]="C",e[e.D=68]="D",e[e.E=69]="E",e[e.F=70]="F",e[e.G=71]="G",e[e.H=72]="H",e[e.I=73]="I",e[e.J=74]="J",e[e.K=75]="K",e[e.L=76]="L",e[e.M=77]="M",e[e.N=78]="N",e[e.O=79]="O",e[e.P=80]="P",e[e.Q=81]="Q",e[e.R=82]="R",e[e.S=83]="S",e[e.T=84]="T",e[e.U=85]="U",e[e.V=86]="V",e[e.W=87]="W",e[e.X=88]="X",e[e.Y=89]="Y",e[e.Z=90]="Z",e[e.asterisk=42]="asterisk",e[e.backslash=92]="backslash",e[e.closeBrace=125]="closeBrace",e[e.closeBracket=93]="closeBracket",e[e.colon=58]="colon",e[e.comma=44]="comma",e[e.dot=46]="dot",e[e.doubleQuote=34]="doubleQuote",e[e.minus=45]="minus",e[e.openBrace=123]="openBrace",e[e.openBracket=91]="openBracket",e[e.plus=43]="plus",e[e.slash=47]="slash",e[e.formFeed=12]="formFeed",e[e.tab=9]="tab"})(st||(st={}));new Array(20).fill(0).map((e,r)=>" ".repeat(r));var D=200;new Array(D).fill(0).map((e,r)=>`
+`+" ".repeat(r)),new Array(D).fill(0).map((e,r)=>"\r"+" ".repeat(r)),new Array(D).fill(0).map((e,r)=>`\r
+`+" ".repeat(r)),new Array(D).fill(0).map((e,r)=>`
+`+" ".repeat(r)),new Array(D).fill(0).map((e,r)=>"\r"+" ".repeat(r)),new Array(D).fill(0).map((e,r)=>`\r
+`+" ".repeat(r));var at;(function(e){e.DEFAULT={allowTrailingComma:!1}})(at||(at={}));var tn=en,ut;(function(e){e[e.None=0]="None",e[e.UnexpectedEndOfComment=1]="UnexpectedEndOfComment",e[e.UnexpectedEndOfString=2]="UnexpectedEndOfString",e[e.UnexpectedEndOfNumber=3]="UnexpectedEndOfNumber",e[e.InvalidUnicode=4]="InvalidUnicode",e[e.InvalidEscapeCharacter=5]="InvalidEscapeCharacter",e[e.InvalidCharacter=6]="InvalidCharacter"})(ut||(ut={}));var ct;(function(e){e[e.OpenBraceToken=1]="OpenBraceToken",e[e.CloseBraceToken=2]="CloseBraceToken",e[e.OpenBracketToken=3]="OpenBracketToken",e[e.CloseBracketToken=4]="CloseBracketToken",e[e.CommaToken=5]="CommaToken",e[e.ColonToken=6]="ColonToken",e[e.NullKeyword=7]="NullKeyword",e[e.TrueKeyword=8]="TrueKeyword",e[e.FalseKeyword=9]="FalseKeyword",e[e.StringLiteral=10]="StringLiteral",e[e.NumericLiteral=11]="NumericLiteral",e[e.LineCommentTrivia=12]="LineCommentTrivia",e[e.BlockCommentTrivia=13]="BlockCommentTrivia",e[e.LineBreakTrivia=14]="LineBreakTrivia",e[e.Trivia=15]="Trivia",e[e.Unknown=16]="Unknown",e[e.EOF=17]="EOF"})(ct||(ct={}));var lt;(function(e){e[e.InvalidSymbol=1]="InvalidSymbol",e[e.InvalidNumberFormat=2]="InvalidNumberFormat",e[e.PropertyNameExpected=3]="PropertyNameExpected",e[e.ValueExpected=4]="ValueExpected",e[e.ColonExpected=5]="ColonExpected",e[e.CommaExpected=6]="CommaExpected",e[e.CloseBraceExpected=7]="CloseBraceExpected",e[e.CloseBracketExpected=8]="CloseBracketExpected",e[e.EndOfFileExpected=9]="EndOfFileExpected",e[e.InvalidCommentToken=10]="InvalidCommentToken",e[e.UnexpectedEndOfComment=11]="UnexpectedEndOfComment",e[e.UnexpectedEndOfString=12]="UnexpectedEndOfString",e[e.UnexpectedEndOfNumber=13]="UnexpectedEndOfNumber",e[e.InvalidUnicode=14]="InvalidUnicode",e[e.InvalidEscapeCharacter=15]="InvalidEscapeCharacter",e[e.InvalidCharacter=16]="InvalidCharacter"})(lt||(lt={}));function nn(e){return{getInitialState:()=>new bt(null,null,!1,null),tokenize:(r,i)=>gn(e,r,i)}}var ft="delimiter.bracket.json",dt="delimiter.array.json",rn="delimiter.colon.json",on="delimiter.comma.json",sn="keyword.json",an="keyword.json",un="string.value.json",cn="number.json",ln="string.key.json",fn="comment.block.json",dn="comment.line.json",y=class kt{constructor(r,i){this.parent=r,this.type=i}static pop(r){return r?r.parent:null}static push(r,i){return new kt(r,i)}static equals(r,i){if(!r&&!i)return!0;if(!r||!i)return!1;for(;r&&i;){if(r===i)return!0;if(r.type!==i.type)return!1;r=r.parent,i=i.parent}return!0}},bt=class ue{constructor(r,i,n,t){this._state=r,this.scanError=i,this.lastWasColon=n,this.parents=t}clone(){return new ue(this._state,this.scanError,this.lastWasColon,this.parents)}equals(r){return r===this?!0:!r||!(r instanceof ue)?!1:this.scanError===r.scanError&&this.lastWasColon===r.lastWasColon&&y.equals(this.parents,r.parents)}getStateData(){return this._state}setStateData(r){this._state=r}};function gn(e,r,i,n=0){let t=0,o=!1;switch(i.scanError){case 2:r='"'+r,t=1;break;case 1:r="/*"+r,t=2;break}const s=tn(r);let u=i.lastWasColon,g=i.parents;const c={tokens:[],endState:i.clone()};for(;;){let v=n+s.getPosition(),d="";const k=s.scan();if(k===17)break;if(v===n+s.getPosition())throw new Error("Scanner did not advance, next 3 characters are: "+r.substr(s.getPosition(),3));switch(o&&(v-=t),o=t>0,k){case 1:g=y.push(g,0),d=ft,u=!1;break;case 2:g=y.pop(g),d=ft,u=!1;break;case 3:g=y.push(g,1),d=dt,u=!1;break;case 4:g=y.pop(g),d=dt,u=!1;break;case 6:d=rn,u=!0;break;case 5:d=on,u=!1;break;case 8:case 9:d=sn,u=!1;break;case 7:d=an,u=!1;break;case 10:const N=(g?g.type:0)===1;d=u||N?un:ln,u=!1;break;case 11:d=cn,u=!1;break}switch(k){case 12:d=dn;break;case 13:d=fn;break}c.endState=new bt(i.getStateData(),s.getTokenError(),u,g),c.tokens.push({startIndex:v,scopes:d})}return c}var E;function En(){return new Promise((e,r)=>{if(!E)return r("JSON not registered!");e(E)})}var pn=class extends jt{constructor(e,r,i){super(e,r,i.onDidChange),this._disposables.push(f.editor.onWillDisposeModel(n=>{this._resetSchema(n.uri)})),this._disposables.push(f.editor.onDidChangeModelLanguage(n=>{this._resetSchema(n.model.uri)}))}_resetSchema(e){this._worker().then(r=>{r.resetSchema(e.toString())})}};function Ln(e){const r=[],i=[],n=new Ut(e);r.push(n),E=(...s)=>n.getLanguageServiceWorker(...s);function t(){const{languageId:s,modeConfiguration:u}=e;wt(i),u.documentFormattingEdits&&i.push(f.languages.registerDocumentFormattingEditProvider(s,new Qt(E))),u.documentRangeFormattingEdits&&i.push(f.languages.registerDocumentRangeFormattingEditProvider(s,new Yt(E))),u.completionItems&&i.push(f.languages.registerCompletionItemProvider(s,new Pt(E,[" ",":",'"']))),u.hovers&&i.push(f.languages.registerHoverProvider(s,new Wt(E))),u.documentSymbols&&i.push(f.languages.registerDocumentSymbolProvider(s,new Jt(E))),u.tokens&&i.push(f.languages.setTokensProvider(s,nn(!0))),u.colors&&i.push(f.languages.registerColorProvider(s,new Gt(E))),u.foldingRanges&&i.push(f.languages.registerFoldingRangeProvider(s,new Zt(E))),u.diagnostics&&i.push(new pn(s,E,e)),u.selectionRanges&&i.push(f.languages.registerSelectionRangeProvider(s,new Ct(E)))}t(),r.push(f.languages.setLanguageConfiguration(e.languageId,vn));let o=e.modeConfiguration;return e.onDidChange(s=>{s.modeConfiguration!==o&&(o=s.modeConfiguration,t())}),r.push(gt(i)),gt(r)}function gt(e){return{dispose:()=>wt(e)}}function wt(e){for(;e.length;)e.pop().dispose()}var vn={wordPattern:/(-?\d*\.\d\w*)|([^\[\{\]\}\:\"\,\s]+)/g,comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"]],autoClosingPairs:[{open:"{",close:"}",notIn:["string"]},{open:"[",close:"]",notIn:["string"]},{open:'"',close:'"',notIn:["string"]}]};export{Pt as CompletionAdapter,bn as DefinitionAdapter,jt as DiagnosticsAdapter,Gt as DocumentColorAdapter,Qt as DocumentFormattingEditProvider,kn as DocumentHighlightAdapter,In as DocumentLinkAdapter,Yt as DocumentRangeFormattingEditProvider,Jt as DocumentSymbolAdapter,Zt as FoldingRangeAdapter,Wt as HoverAdapter,wn as ReferenceAdapter,An as RenameAdapter,Ct as SelectionRangeAdapter,Ut as WorkerManager,R as fromPosition,pt as fromRange,En as getWorker,Ln as setupMode,w as toRange,B as toTextEdit};
diff --git a/docs/assets/monaco/julia-y6tXNwRO.js b/docs/assets/monaco/julia-y6tXNwRO.js
new file mode 100644
index 0000000..0c2fbd7
--- /dev/null
+++ b/docs/assets/monaco/julia-y6tXNwRO.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},t={tokenPostfix:".julia",keywords:["begin","while","if","for","try","return","break","continue","function","macro","quote","let","local","global","const","do","struct","module","baremodule","using","import","export","end","else","elseif","catch","finally","mutable","primitive","abstract","type","in","isa","where","new"],types:["LinRange","LineNumberNode","LinearIndices","LoadError","MIME","Matrix","Method","MethodError","Missing","MissingException","Module","NTuple","NamedTuple","Nothing","Number","OrdinalRange","OutOfMemoryError","OverflowError","Pair","PartialQuickSort","PermutedDimsArray","Pipe","Ptr","QuoteNode","Rational","RawFD","ReadOnlyMemoryError","Real","ReentrantLock","Ref","Regex","RegexMatch","RoundingMode","SegmentationFault","Set","Signed","Some","StackOverflowError","StepRange","StepRangeLen","StridedArray","StridedMatrix","StridedVecOrMat","StridedVector","String","StringIndexError","SubArray","SubString","SubstitutionString","Symbol","SystemError","Task","Text","TextDisplay","Timer","Tuple","Type","TypeError","TypeVar","UInt","UInt128","UInt16","UInt32","UInt64","UInt8","UndefInitializer","AbstractArray","UndefKeywordError","AbstractChannel","UndefRefError","AbstractChar","UndefVarError","AbstractDict","Union","AbstractDisplay","UnionAll","AbstractFloat","UnitRange","AbstractIrrational","Unsigned","AbstractMatrix","AbstractRange","Val","AbstractSet","Vararg","AbstractString","VecElement","AbstractUnitRange","VecOrMat","AbstractVecOrMat","Vector","AbstractVector","VersionNumber","Any","WeakKeyDict","ArgumentError","WeakRef","Array","AssertionError","BigFloat","BigInt","BitArray","BitMatrix","BitSet","BitVector","Bool","BoundsError","CapturedException","CartesianIndex","CartesianIndices","Cchar","Cdouble","Cfloat","Channel","Char","Cint","Cintmax_t","Clong","Clonglong","Cmd","Colon","Complex","ComplexF16","ComplexF32","ComplexF64","CompositeException","Condition","Cptrdiff_t","Cshort","Csize_t","Cssize_t","Cstring","Cuchar","Cuint","Cuintmax_t","Culong","Culonglong","Cushort","Cvoid","Cwchar_t","Cwstring","DataType","DenseArray","DenseMatrix","DenseVecOrMat","DenseVector","Dict","DimensionMismatch","Dims","DivideError","DomainError","EOFError","Enum","ErrorException","Exception","ExponentialBackOff","Expr","Float16","Float32","Float64","Function","GlobalRef","HTML","IO","IOBuffer","IOContext","IOStream","IdDict","IndexCartesian","IndexLinear","IndexStyle","InexactError","InitError","Int","Int128","Int16","Int32","Int64","Int8","Integer","InterruptException","InvalidStateException","Irrational","KeyError"],keywordops:["<:",">:",":","=>","...",".","->","?"],allops:/[^\w\d\s()\[\]{}"'#]+/,constants:["true","false","nothing","missing","undef","Inf","pi","NaN","π","ℯ","ans","PROGRAM_FILE","ARGS","C_NULL","VERSION","DEPOT_PATH","LOAD_PATH"],operators:["!","!=","!==","%","&","*","+","-","/","//","<","<<","<=","==","===","=>",">",">=",">>",">>>","\\","^","|","|>","~","÷","∈","∉","∋","∌","∘","√","∛","∩","∪","≈","≉","≠","≡","≢","≤","≥","⊆","⊇","⊈","⊉","⊊","⊋","⊻"],brackets:[{open:"(",close:")",token:"delimiter.parenthesis"},{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"}],ident:/π|ℯ|\b(?!\d)\w+\b/,escape:/(?:[abefnrstv\\"'\n\r]|[0-7]{1,3}|x[0-9A-Fa-f]{1,2}|u[0-9A-Fa-f]{4})/,escapes:/\\(?:C\-(@escape|.)|c(@escape|.)|@escape)/,tokenizer:{root:[[/(::)\s*|\b(isa)\s+/,"keyword","@typeanno"],[/\b(isa)(\s*\(@ident\s*,\s*)/,["keyword",{token:"",next:"@typeanno"}]],[/\b(type|struct)[ \t]+/,"keyword","@typeanno"],[/^\s*:@ident[!?]?/,"metatag"],[/(return)(\s*:@ident[!?]?)/,["keyword","metatag"]],[/(\(|\[|\{|@allops)(\s*:@ident[!?]?)/,["","metatag"]],[/:\(/,"metatag","@quote"],[/r"""/,"regexp.delim","@tregexp"],[/r"/,"regexp.delim","@sregexp"],[/raw"""/,"string.delim","@rtstring"],[/[bv]?"""/,"string.delim","@dtstring"],[/raw"/,"string.delim","@rsstring"],[/[bv]?"/,"string.delim","@dsstring"],[/(@ident)\{/,{cases:{"$1@types":{token:"type",next:"@gen"},"@default":{token:"type",next:"@gen"}}}],[/@ident[!?'']?(?=\.?\()/,{cases:{"@types":"type","@keywords":"keyword","@constants":"variable","@default":"keyword.flow"}}],[/@ident[!?']?/,{cases:{"@types":"type","@keywords":"keyword","@constants":"variable","@default":"identifier"}}],[/\$\w+/,"key"],[/\$\(/,"key","@paste"],[/@@@ident/,"annotation"],{include:"@whitespace"},[/'(?:@escapes|.)'/,"string.character"],[/[()\[\]{}]/,"@brackets"],[/@allops/,{cases:{"@keywordops":"keyword","@operators":"operator"}}],[/[;,]/,"delimiter"],[/0[xX][0-9a-fA-F](_?[0-9a-fA-F])*/,"number.hex"],[/0[_oO][0-7](_?[0-7])*/,"number.octal"],[/0[bB][01](_?[01])*/,"number.binary"],[/[+\-]?\d+(\.\d+)?(im?|[eE][+\-]?\d+(\.\d+)?)?/,"number"]],typeanno:[[/[a-zA-Z_]\w*(?:\.[a-zA-Z_]\w*)*\{/,"type","@gen"],[/([a-zA-Z_]\w*(?:\.[a-zA-Z_]\w*)*)(\s*<:\s*)/,["type","keyword"]],[/[a-zA-Z_]\w*(?:\.[a-zA-Z_]\w*)*/,"type","@pop"],["","","@pop"]],gen:[[/[a-zA-Z_]\w*(?:\.[a-zA-Z_]\w*)*\{/,"type","@push"],[/[a-zA-Z_]\w*(?:\.[a-zA-Z_]\w*)*/,"type"],[/<:/,"keyword"],[/(\})(\s*<:\s*)/,["type",{token:"keyword",next:"@pop"}]],[/\}/,"type","@pop"],{include:"@root"}],quote:[[/\$\(/,"key","@paste"],[/\(/,"@brackets","@paren"],[/\)/,"metatag","@pop"],{include:"@root"}],paste:[[/:\(/,"metatag","@quote"],[/\(/,"@brackets","@paren"],[/\)/,"key","@pop"],{include:"@root"}],paren:[[/\$\(/,"key","@paste"],[/:\(/,"metatag","@quote"],[/\(/,"@brackets","@push"],[/\)/,"@brackets","@pop"],{include:"@root"}],sregexp:[[/^.*/,"invalid"],[/[^\\"()\[\]{}]/,"regexp"],[/[()\[\]{}]/,"@brackets"],[/\\./,"operator.scss"],[/"[imsx]*/,"regexp.delim","@pop"]],tregexp:[[/[^\\"()\[\]{}]/,"regexp"],[/[()\[\]{}]/,"@brackets"],[/\\./,"operator.scss"],[/"(?!"")/,"string"],[/"""[imsx]*/,"regexp.delim","@pop"]],rsstring:[[/^.*/,"invalid"],[/[^\\"]/,"string"],[/\\./,"string.escape"],[/"/,"string.delim","@pop"]],rtstring:[[/[^\\"]/,"string"],[/\\./,"string.escape"],[/"(?!"")/,"string"],[/"""/,"string.delim","@pop"]],dsstring:[[/^.*/,"invalid"],[/[^\\"\$]/,"string"],[/\$/,"","@interpolated"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string.delim","@pop"]],dtstring:[[/[^\\"\$]/,"string"],[/\$/,"","@interpolated"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"(?!"")/,"string"],[/"""/,"string.delim","@pop"]],interpolated:[[/\(/,{token:"",switchTo:"@interpolated_compound"}],[/[a-zA-Z_]\w*/,"identifier"],["","","@pop"]],interpolated_compound:[[/\)/,"","@pop"],{include:"@root"}],whitespace:[[/[ \t\r\n]+/,""],[/#=/,"comment","@multi_comment"],[/#.*$/,"comment"]],multi_comment:[[/#=/,"comment","@push"],[/=#/,"comment","@pop"],[/=(?!#)|#(?!=)/,"comment"],[/[^#=]+/,"comment"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/kotlin-a3XSJ_gM.js b/docs/assets/monaco/kotlin-a3XSJ_gM.js
new file mode 100644
index 0000000..996a06a
--- /dev/null
+++ b/docs/assets/monaco/kotlin-a3XSJ_gM.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\#\%\^\&\*\(\)\-\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"<",close:">"}],folding:{markers:{start:new RegExp("^\\s*//\\s*(?:(?:#?region\\b)|(?:<editor-fold\\b))"),end:new RegExp("^\\s*//\\s*(?:(?:#?endregion\\b)|(?:</editor-fold>))")}}},t={defaultToken:"",tokenPostfix:".kt",keywords:["as","as?","break","class","continue","do","else","false","for","fun","if","in","!in","interface","is","!is","null","object","package","return","super","this","throw","true","try","typealias","val","var","when","while","by","catch","constructor","delegate","dynamic","field","file","finally","get","import","init","param","property","receiver","set","setparam","where","actual","abstract","annotation","companion","const","crossinline","data","enum","expect","external","final","infix","inline","inner","internal","lateinit","noinline","open","operator","out","override","private","protected","public","reified","sealed","suspend","tailrec","vararg","field","it"],operators:["+","-","*","/","%","=","+=","-=","*=","/=","%=","++","--","&&","||","!","==","!=","===","!==",">","<","<=",">=","[","]","!!","?.","?:","::","..",":","?","->","@",";","$","_"],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,digits:/\d+(_+\d+)*/,octaldigits:/[0-7]+(_+[0-7]+)*/,binarydigits:/[0-1]+(_+[0-1]+)*/,hexdigits:/[[0-9a-fA-F]+(_+[0-9a-fA-F]+)*/,tokenizer:{root:[[/[A-Z][\w\$]*/,"type.identifier"],[/[a-zA-Z_$][\w$]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/@\s*[a-zA-Z_\$][\w\$]*/,"annotation"],[/(@digits)[eE]([\-+]?(@digits))?[fFdD]?/,"number.float"],[/(@digits)\.(@digits)([eE][\-+]?(@digits))?[fFdD]?/,"number.float"],[/0[xX](@hexdigits)[Ll]?/,"number.hex"],[/0(@octaldigits)[Ll]?/,"number.octal"],[/0[bB](@binarydigits)[Ll]?/,"number.binary"],[/(@digits)[fFdD]/,"number.float"],[/(@digits)[lL]?/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"""/,"string","@multistring"],[/"/,"string","@string"],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@javadoc"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\/\*/,"comment","@comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],javadoc:[[/[^\/*]+/,"comment.doc"],[/\/\*/,"comment.doc","@push"],[/\/\*/,"comment.doc.invalid"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],multistring:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"""/,"string","@pop"],[/./,"string"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/less-DFUTkVLx.js b/docs/assets/monaco/less-DFUTkVLx.js
new file mode 100644
index 0000000..f4e063a
--- /dev/null
+++ b/docs/assets/monaco/less-DFUTkVLx.js
@@ -0,0 +1,7 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(#?-?\d*\.\d\w*%?)|([@#!.:]?[\w-?]+%?)|[@#!.]/g,comments:{blockComment:["/*","*/"],lineComment:"//"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string","comment"]},{open:"'",close:"'",notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*\\/\\*\\s*#region\\b\\s*(.*?)\\s*\\*\\/"),end:new RegExp("^\\s*\\/\\*\\s*#endregion\\b.*\\*\\/")}}},t={defaultToken:"",tokenPostfix:".less",identifier:"-?-?([a-zA-Z]|(\\\\(([0-9a-fA-F]{1,6}\\s?)|[^[0-9a-fA-F])))([\\w\\-]|(\\\\(([0-9a-fA-F]{1,6}\\s?)|[^[0-9a-fA-F])))*",identifierPlus:"-?-?([a-zA-Z:.]|(\\\\(([0-9a-fA-F]{1,6}\\s?)|[^[0-9a-fA-F])))([\\w\\-:.]|(\\\\(([0-9a-fA-F]{1,6}\\s?)|[^[0-9a-fA-F])))*",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.bracket"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],tokenizer:{root:[{include:"@nestedJSBegin"},["[ \\t\\r\\n]+",""],{include:"@comments"},{include:"@keyword"},{include:"@strings"},{include:"@numbers"},["[*_]?[a-zA-Z\\-\\s]+(?=:.*(;|(\\\\$)))","attribute.name","@attribute"],["url(\\-prefix)?\\(",{token:"tag",next:"@urldeclaration"}],["[{}()\\[\\]]","@brackets"],["[,:;]","delimiter"],["#@identifierPlus","tag.id"],["&","tag"],["\\.@identifierPlus(?=\\()","tag.class","@attribute"],["\\.@identifierPlus","tag.class"],["@identifierPlus","tag"],{include:"@operators"},["@(@identifier(?=[:,\\)]))","variable","@attribute"],["@(@identifier)","variable"],["@","key","@atRules"]],nestedJSBegin:[["``","delimiter.backtick"],["`",{token:"delimiter.backtick",next:"@nestedJSEnd",nextEmbedded:"text/javascript"}]],nestedJSEnd:[["`",{token:"delimiter.backtick",next:"@pop",nextEmbedded:"@pop"}]],operators:[["[<>=\\+\\-\\*\\/\\^\\|\\~]","operator"]],keyword:[["(@[\\s]*import|![\\s]*important|true|false|when|iscolor|isnumber|isstring|iskeyword|isurl|ispixel|ispercentage|isem|hue|saturation|lightness|alpha|lighten|darken|saturate|desaturate|fadein|fadeout|fade|spin|mix|round|ceil|floor|percentage)\\b","keyword"]],urldeclaration:[{include:"@strings"},[`[^)\r
+]+`,"string"],["\\)",{token:"tag",next:"@pop"}]],attribute:[{include:"@nestedJSBegin"},{include:"@comments"},{include:"@strings"},{include:"@numbers"},{include:"@keyword"},["[a-zA-Z\\-]+(?=\\()","attribute.value","@attribute"],[">","operator","@pop"],["@identifier","attribute.value"],{include:"@operators"},["@(@identifier)","variable"],["[)\\}]","@brackets","@pop"],["[{}()\\[\\]>]","@brackets"],["[;]","delimiter","@pop"],["[,=:]","delimiter"],["\\s",""],[".","attribute.value"]],comments:[["\\/\\*","comment","@comment"],["\\/\\/+.*","comment"]],comment:[["\\*\\/","comment","@pop"],[".","comment"]],numbers:[["(\\d*\\.)?\\d+([eE][\\-+]?\\d+)?",{token:"attribute.value.number",next:"@units"}],["#[0-9a-fA-F_]+(?!\\w)","attribute.value.hex"]],units:[["(em|ex|ch|rem|fr|vmin|vmax|vw|vh|vm|cm|mm|in|px|pt|pc|deg|grad|rad|turn|s|ms|Hz|kHz|%)?","attribute.value.unit","@pop"]],strings:[['~?"',{token:"string.delimiter",next:"@stringsEndDoubleQuote"}],["~?'",{token:"string.delimiter",next:"@stringsEndQuote"}]],stringsEndDoubleQuote:[['\\\\"',"string"],['"',{token:"string.delimiter",next:"@popall"}],[".","string"]],stringsEndQuote:[["\\\\'","string"],["'",{token:"string.delimiter",next:"@popall"}],[".","string"]],atRules:[{include:"@comments"},{include:"@strings"},["[()]","delimiter"],["[\\{;]","delimiter","@pop"],[".","key"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/lexon-DVsYuwex.js b/docs/assets/monaco/lexon-DVsYuwex.js
new file mode 100644
index 0000000..7785f91
--- /dev/null
+++ b/docs/assets/monaco/lexon-DVsYuwex.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"COMMENT"},brackets:[["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:":",close:"."}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"`",close:"`"},{open:'"',close:'"'},{open:"'",close:"'"},{open:":",close:"."}],folding:{markers:{start:new RegExp("^\\s*(::\\s*|COMMENT\\s+)#region"),end:new RegExp("^\\s*(::\\s*|COMMENT\\s+)#endregion")}}},t={tokenPostfix:".lexon",ignoreCase:!0,keywords:["lexon","lex","clause","terms","contracts","may","pay","pays","appoints","into","to"],typeKeywords:["amount","person","key","time","date","asset","text"],operators:["less","greater","equal","le","gt","or","and","add","added","subtract","subtracted","multiply","multiplied","times","divide","divided","is","be","certified"],symbols:/[=><!~?:&|+\-*\/\^%]+/,tokenizer:{root:[[/^(\s*)(comment:?(?:\s.*|))$/,["","comment"]],[/"/,{token:"identifier.quote",bracket:"@open",next:"@quoted_identifier"}],["LEX$",{token:"keyword",bracket:"@open",next:"@identifier_until_period"}],["LEXON",{token:"keyword",bracket:"@open",next:"@semver"}],[":",{token:"delimiter",bracket:"@open",next:"@identifier_until_period"}],[/[a-z_$][\w$]*/,{cases:{"@operators":"operator","@typeKeywords":"keyword.type","@keywords":"keyword","@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,"delimiter"],[/\d*\.\d*\.\d*/,"number.semver"],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F]+/,"number.hex"],[/\d+/,"number"],[/[;,.]/,"delimiter"]],quoted_identifier:[[/[^\\"]+/,"identifier"],[/"/,{token:"identifier.quote",bracket:"@close",next:"@pop"}]],space_identifier_until_period:[[":","delimiter"],[" ",{token:"white",next:"@identifier_rest"}]],identifier_until_period:[{include:"@whitespace"},[":",{token:"delimiter",next:"@identifier_rest"}],[/[^\\.]+/,"identifier"],[/\./,{token:"delimiter",bracket:"@close",next:"@pop"}]],identifier_rest:[[/[^\\.]+/,"identifier"],[/\./,{token:"delimiter",bracket:"@close",next:"@pop"}]],semver:[{include:"@whitespace"},[":","delimiter"],[/\d*\.\d*\.\d*/,{token:"number.semver",bracket:"@close",next:"@pop"}]],whitespace:[[/[ \t\r\n]+/,"white"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/liquid-fLVojwb4.js b/docs/assets/monaco/liquid-fLVojwb4.js
new file mode 100644
index 0000000..8d342fa
--- /dev/null
+++ b/docs/assets/monaco/liquid-fLVojwb4.js
@@ -0,0 +1,6 @@
+import{o as l}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var d=Object.defineProperty,s=Object.getOwnPropertyDescriptor,c=Object.getOwnPropertyNames,u=Object.prototype.hasOwnProperty,m=(t,e,r,n)=>{if(e&&typeof e=="object"||typeof e=="function")for(let i of c(e))!u.call(t,i)&&i!==r&&d(t,i,{get:()=>e[i],enumerable:!(n=s(e,i))||n.enumerable});return t},p=(t,e,r)=>(m(t,e,"default"),r),o={};p(o,l);var a=["area","base","br","col","embed","hr","img","input","keygen","link","menuitem","meta","param","source","track","wbr"],b={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\$\^\&\*\(\)\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\s]+)/g,brackets:[["<!--","-->"],["<",">"],["{{","}}"],["{%","%}"],["{","}"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"%",close:"%"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"<",close:">"},{open:'"',close:'"'},{open:"'",close:"'"}],onEnterRules:[{beforeText:new RegExp(`<(?!(?:${a.join("|")}))(\\w[\\w\\d]*)([^/>]*(?!/)>)[^<]*$`,"i"),afterText:/^<\/(\w[\w\d]*)\s*>$/i,action:{indentAction:o.languages.IndentAction.IndentOutdent}},{beforeText:new RegExp(`<(?!(?:${a.join("|")}))(\\w[\\w\\d]*)([^/>]*(?!/)>)[^<]*$`,"i"),action:{indentAction:o.languages.IndentAction.Indent}}]},f={defaultToken:"",tokenPostfix:"",builtinTags:["if","else","elseif","endif","render","assign","capture","endcapture","case","endcase","comment","endcomment","cycle","decrement","for","endfor","include","increment","layout","raw","endraw","render","tablerow","endtablerow","unless","endunless"],builtinFilters:["abs","append","at_least","at_most","capitalize","ceil","compact","date","default","divided_by","downcase","escape","escape_once","first","floor","join","json","last","lstrip","map","minus","modulo","newline_to_br","plus","prepend","remove","remove_first","replace","replace_first","reverse","round","rstrip","size","slice","sort","sort_natural","split","strip","strip_html","strip_newlines","times","truncate","truncatewords","uniq","upcase","url_decode","url_encode","where"],constants:["true","false"],operators:["==","!=",">","<",">=","<="],symbol:/[=><!]+/,identifier:/[a-zA-Z_][\w]*/,tokenizer:{root:[[/\{\%\s*comment\s*\%\}/,"comment.start.liquid","@comment"],[/\{\{/,{token:"@rematch",switchTo:"@liquidState.root"}],[/\{\%/,{token:"@rematch",switchTo:"@liquidState.root"}],[/(<)([\w\-]+)(\/>)/,["delimiter.html","tag.html","delimiter.html"]],[/(<)([:\w]+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/(<\/)([\w\-]+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/</,"delimiter.html"],[/\{/,"delimiter.html"],[/[^<{]+/]],comment:[[/\{\%\s*endcomment\s*\%\}/,"comment.end.liquid","@pop"],[/./,"comment.content.liquid"]],otherTag:[[/\{\{/,{token:"@rematch",switchTo:"@liquidState.otherTag"}],[/\{\%/,{token:"@rematch",switchTo:"@liquidState.otherTag"}],[/\/?>/,"delimiter.html","@pop"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/]],liquidState:[[/\{\{/,"delimiter.output.liquid"],[/\}\}/,{token:"delimiter.output.liquid",switchTo:"@$S2.$S3"}],[/\{\%/,"delimiter.tag.liquid"],[/raw\s*\%\}/,"delimiter.tag.liquid","@liquidRaw"],[/\%\}/,{token:"delimiter.tag.liquid",switchTo:"@$S2.$S3"}],{include:"liquidRoot"}],liquidRaw:[[/^(?!\{\%\s*endraw\s*\%\}).+/],[/\{\%/,"delimiter.tag.liquid"],[/@identifier/],[/\%\}/,{token:"delimiter.tag.liquid",next:"@root"}]],liquidRoot:[[/\d+(\.\d+)?/,"number.liquid"],[/"[^"]*"/,"string.liquid"],[/'[^']*'/,"string.liquid"],[/\s+/],[/@symbol/,{cases:{"@operators":"operator.liquid","@default":""}}],[/\./],[/@identifier/,{cases:{"@constants":"keyword.liquid","@builtinFilters":"predefined.liquid","@builtinTags":"predefined.liquid","@default":"variable.liquid"}}],[/[^}|%]/,"variable.liquid"]]}};export{b as conf,f as language};
diff --git a/docs/assets/monaco/lua-DYx-Jejz.js b/docs/assets/monaco/lua-DYx-Jejz.js
new file mode 100644
index 0000000..ff0b3f6
--- /dev/null
+++ b/docs/assets/monaco/lua-DYx-Jejz.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"--",blockComment:["--[[","]]"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},o={defaultToken:"",tokenPostfix:".lua",keywords:["and","break","do","else","elseif","end","false","for","function","goto","if","in","local","nil","not","or","repeat","return","then","true","until","while"],brackets:[{token:"delimiter.bracket",open:"{",close:"}"},{token:"delimiter.array",open:"[",close:"]"},{token:"delimiter.parenthesis",open:"(",close:")"}],operators:["+","-","*","/","%","^","#","==","~=","<=",">=","<",">","=",";",":",",",".","..","..."],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/[a-zA-Z_]\w*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/(,)(\s*)([a-zA-Z_]\w*)(\s*)(:)(?!:)/,["delimiter","","key","","delimiter"]],[/({)(\s*)([a-zA-Z_]\w*)(\s*)(:)(?!:)/,["@brackets","","key","","delimiter"]],[/[{}()\[\]]/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F_]*[0-9a-fA-F]/,"number.hex"],[/\d+?/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/"/,"string",'@string."'],[/'/,"string","@string.'"]],whitespace:[[/[ \t\r\n]+/,""],[/--\[([=]*)\[/,"comment","@comment.$1"],[/--.*$/,"comment"]],comment:[[/[^\]]+/,"comment"],[/\]([=]*)\]/,{cases:{"$1==$S2":{token:"comment",next:"@pop"},"@default":"comment"}}],[/./,"comment"]],string:[[/[^\\"']+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/["']/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":"string"}}]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/m3-BMrvfaad.js b/docs/assets/monaco/m3-BMrvfaad.js
new file mode 100644
index 0000000..30c0f0d
--- /dev/null
+++ b/docs/assets/monaco/m3-BMrvfaad.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{blockComment:["(*","*)"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"[",close:"]"},{open:"{",close:"}"},{open:"(",close:")"},{open:"(*",close:"*)"},{open:"<*",close:"*>"},{open:"'",close:"'",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string","comment"]}]},o={defaultToken:"",tokenPostfix:".m3",brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"}],keywords:["AND","ANY","ARRAY","AS","BEGIN","BITS","BRANDED","BY","CASE","CONST","DIV","DO","ELSE","ELSIF","END","EVAL","EXCEPT","EXCEPTION","EXIT","EXPORTS","FINALLY","FOR","FROM","GENERIC","IF","IMPORT","IN","INTERFACE","LOCK","LOOP","METHODS","MOD","MODULE","NOT","OBJECT","OF","OR","OVERRIDES","PROCEDURE","RAISE","RAISES","READONLY","RECORD","REF","REPEAT","RETURN","REVEAL","SET","THEN","TO","TRY","TYPE","TYPECASE","UNSAFE","UNTIL","UNTRACED","VALUE","VAR","WHILE","WITH"],reservedConstNames:["ABS","ADR","ADRSIZE","BITSIZE","BYTESIZE","CEILING","DEC","DISPOSE","FALSE","FIRST","FLOAT","FLOOR","INC","ISTYPE","LAST","LOOPHOLE","MAX","MIN","NARROW","NEW","NIL","NUMBER","ORD","ROUND","SUBARRAY","TRUE","TRUNC","TYPECODE","VAL"],reservedTypeNames:["ADDRESS","ANY","BOOLEAN","CARDINAL","CHAR","EXTENDED","INTEGER","LONGCARD","LONGINT","LONGREAL","MUTEX","NULL","REAL","REFANY","ROOT","TEXT"],operators:["+","-","*","/","&","^","."],relations:["=","#","<","<=",">",">=","<:",":"],delimiters:["|","..","=>",",",";",":="],symbols:/[>=<#.,:;+\-*/&^]+/,escapes:/\\(?:[\\fnrt"']|[0-7]{3})/,tokenizer:{root:[[/_\w*/,"invalid"],[/[a-zA-Z][a-zA-Z0-9_]*/,{cases:{"@keywords":{token:"keyword.$0"},"@reservedConstNames":{token:"constant.reserved.$0"},"@reservedTypeNames":{token:"type.reserved.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[0-9]+\.[0-9]+(?:[DdEeXx][\+\-]?[0-9]+)?/,"number.float"],[/[0-9]+(?:\_[0-9a-fA-F]+)?L?/,"number"],[/@symbols/,{cases:{"@operators":"operators","@relations":"operators","@delimiters":"delimiter","@default":"invalid"}}],[/'[^\\']'/,"string.char"],[/(')(@escapes)(')/,["string.char","string.escape","string.char"]],[/'/,"invalid"],[/"([^"\\]|\\.)*$/,"invalid"],[/"/,"string.text","@text"]],text:[[/[^\\"]+/,"string.text"],[/@escapes/,"string.escape"],[/\\./,"invalid"],[/"/,"string.text","@pop"]],comment:[[/\(\*/,"comment","@push"],[/\*\)/,"comment","@pop"],[/./,"comment"]],pragma:[[/<\*/,"keyword.pragma","@push"],[/\*>/,"keyword.pragma","@pop"],[/./,"keyword.pragma"]],whitespace:[[/[ \t\r\n]+/,"white"],[/\(\*/,"comment","@comment"],[/<\*/,"keyword.pragma","@pragma"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/markdown-DuPmBnHi.js b/docs/assets/monaco/markdown-DuPmBnHi.js
new file mode 100644
index 0000000..a95c304
--- /dev/null
+++ b/docs/assets/monaco/markdown-DuPmBnHi.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{blockComment:["<!--","-->"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">",notIn:["string"]}],surroundingPairs:[{open:"(",close:")"},{open:"[",close:"]"},{open:"`",close:"`"}],folding:{markers:{start:new RegExp("^\\s*<!--\\s*#?region\\b.*-->"),end:new RegExp("^\\s*<!--\\s*#?endregion\\b.*-->")}}},t={defaultToken:"",tokenPostfix:".md",control:/[\\`*_\[\]{}()#+\-\.!]/,noncontrol:/[^\\`*_\[\]{}()#+\-\.!]/,escapes:/\\(?:@control)/,jsescapes:/\\(?:[btnfr\\"']|[0-7][0-7]?|[0-3][0-7]{2})/,empty:["area","base","basefont","br","col","frame","hr","img","input","isindex","link","meta","param"],tokenizer:{root:[[/^\s*\|/,"@rematch","@table_header"],[/^(\s{0,3})(#+)((?:[^\\#]|@escapes)+)((?:#+)?)/,["white","keyword","keyword","keyword"]],[/^\s*(=+|\-+)\s*$/,"keyword"],[/^\s*((\*[ ]?)+)\s*$/,"meta.separator"],[/^\s*>+/,"comment"],[/^\s*([\*\-+:]|\d+\.)\s/,"keyword"],[/^(\t|[ ]{4})[^ ].*$/,"string"],[/^\s*~~~\s*((?:\w|[\/\-#])+)?\s*$/,{token:"string",next:"@codeblock"}],[/^\s*```\s*((?:\w|[\/\-#])+).*$/,{token:"string",next:"@codeblockgh",nextEmbedded:"$1"}],[/^\s*```\s*$/,{token:"string",next:"@codeblock"}],{include:"@linecontent"}],table_header:[{include:"@table_common"},[/[^\|]+/,"keyword.table.header"]],table_body:[{include:"@table_common"},{include:"@linecontent"}],table_common:[[/\s*[\-:]+\s*/,{token:"keyword",switchTo:"table_body"}],[/^\s*\|/,"keyword.table.left"],[/^\s*[^\|]/,"@rematch","@pop"],[/^\s*$/,"@rematch","@pop"],[/\|/,{cases:{"@eos":"keyword.table.right","@default":"keyword.table.middle"}}]],codeblock:[[/^\s*~~~\s*$/,{token:"string",next:"@pop"}],[/^\s*```\s*$/,{token:"string",next:"@pop"}],[/.*$/,"variable.source"]],codeblockgh:[[/```\s*$/,{token:"string",next:"@pop",nextEmbedded:"@pop"}],[/[^`]+/,"variable.source"]],linecontent:[[/&\w+;/,"string.escape"],[/@escapes/,"escape"],[/\b__([^\\_]|@escapes|_(?!_))+__\b/,"strong"],[/\*\*([^\\*]|@escapes|\*(?!\*))+\*\*/,"strong"],[/\b_[^_]+_\b/,"emphasis"],[/\*([^\\*]|@escapes)+\*/,"emphasis"],[/`([^\\`]|@escapes)+`/,"variable"],[/\{+[^}]+\}+/,"string.target"],[/(!?\[)((?:[^\]\\]|@escapes)*)(\]\([^\)]+\))/,["string.link","","string.link"]],[/(!?\[)((?:[^\]\\]|@escapes)*)(\])/,"string.link"],{include:"html"}],html:[[/<(\w+)\/>/,"tag"],[/<(\w+)(\-|\w)*/,{cases:{"@empty":{token:"tag",next:"@tag.$1"},"@default":{token:"tag",next:"@tag.$1"}}}],[/<\/(\w+)(\-|\w)*\s*>/,{token:"tag"}],[/<!--/,"comment","@comment"]],comment:[[/[^<\-]+/,"comment.content"],[/-->/,"comment","@pop"],[/<!--/,"comment.content.invalid"],[/[<\-]/,"comment.content"]],tag:[[/[ \t\r\n]+/,"white"],[/(type)(\s*=\s*)(")([^"]+)(")/,["attribute.name.html","delimiter.html","string.html",{token:"string.html",switchTo:"@tag.$S2.$4"},"string.html"]],[/(type)(\s*=\s*)(')([^']+)(')/,["attribute.name.html","delimiter.html","string.html",{token:"string.html",switchTo:"@tag.$S2.$4"},"string.html"]],[/(\w+)(\s*=\s*)("[^"]*"|'[^']*')/,["attribute.name.html","delimiter.html","string.html"]],[/\w+/,"attribute.name.html"],[/\/>/,"tag","@pop"],[/>/,{cases:{"$S2==style":{token:"tag",switchTo:"embeddedStyle",nextEmbedded:"text/css"},"$S2==script":{cases:{$S3:{token:"tag",switchTo:"embeddedScript",nextEmbedded:"$S3"},"@default":{token:"tag",switchTo:"embeddedScript",nextEmbedded:"text/javascript"}}},"@default":{token:"tag",next:"@pop"}}}]],embeddedStyle:[[/[^<]+/,""],[/<\/style\s*>/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}],[/</,""]],embeddedScript:[[/[^<]+/,""],[/<\/script\s*>/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}],[/</,""]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/mdx-gI0abYvb.js b/docs/assets/monaco/mdx-gI0abYvb.js
new file mode 100644
index 0000000..33e3ea4
--- /dev/null
+++ b/docs/assets/monaco/mdx-gI0abYvb.js
@@ -0,0 +1,6 @@
+import{o as s}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var d=Object.defineProperty,p=Object.getOwnPropertyDescriptor,c=Object.getOwnPropertyNames,a=Object.prototype.hasOwnProperty,k=(n,e,i,r)=>{if(e&&typeof e=="object"||typeof e=="function")for(let o of c(e))!a.call(n,o)&&o!==i&&d(n,o,{get:()=>e[o],enumerable:!(r=p(e,o))||r.enumerable});return n},l=(n,e,i)=>(k(n,e,"default"),i),t={};l(t,s);var g={comments:{blockComment:["{/*","*/}"]},brackets:[["{","}"]],autoClosingPairs:[{open:'"',close:'"'},{open:"'",close:"'"},{open:"“",close:"”"},{open:"‘",close:"’"},{open:"`",close:"`"},{open:"{",close:"}"},{open:"(",close:")"},{open:"_",close:"_"},{open:"**",close:"**"},{open:"<",close:">"}],onEnterRules:[{beforeText:/^\s*- .+/,action:{indentAction:t.languages.IndentAction.None,appendText:"- "}},{beforeText:/^\s*\+ .+/,action:{indentAction:t.languages.IndentAction.None,appendText:"+ "}},{beforeText:/^\s*\* .+/,action:{indentAction:t.languages.IndentAction.None,appendText:"* "}},{beforeText:/^> /,action:{indentAction:t.languages.IndentAction.None,appendText:"> "}},{beforeText:/<\w+/,action:{indentAction:t.languages.IndentAction.Indent}},{beforeText:/\s+>\s*$/,action:{indentAction:t.languages.IndentAction.Indent}},{beforeText:/<\/\w+>/,action:{indentAction:t.languages.IndentAction.Outdent}},...Array.from({length:100},(n,e)=>({beforeText:new RegExp(`^${e}\\. .+`),action:{indentAction:t.languages.IndentAction.None,appendText:`${e+1}. `}}))]},_={defaultToken:"",tokenPostfix:".mdx",control:/[!#()*+.[\\\]_`{}\-]/,escapes:/\\@control/,tokenizer:{root:[[/^---$/,{token:"meta.content",next:"@frontmatter",nextEmbedded:"yaml"}],[/^\s*import/,{token:"keyword",next:"@import",nextEmbedded:"js"}],[/^\s*export/,{token:"keyword",next:"@export",nextEmbedded:"js"}],[/<\w+/,{token:"type.identifier",next:"@jsx"}],[/<\/?\w+>/,"type.identifier"],[/^(\s*)(>*\s*)(#{1,6}\s)/,[{token:"white"},{token:"comment"},{token:"keyword",next:"@header"}]],[/^(\s*)(>*\s*)([*+-])(\s+)/,["white","comment","keyword","white"]],[/^(\s*)(>*\s*)(\d{1,9}\.)(\s+)/,["white","comment","number","white"]],[/^(\s*)(>*\s*)(\d{1,9}\.)(\s+)/,["white","comment","number","white"]],[/^(\s*)(>*\s*)(-{3,}|\*{3,}|_{3,})$/,["white","comment","keyword"]],[/`{3,}(\s.*)?$/,{token:"string",next:"@codeblock_backtick"}],[/~{3,}(\s.*)?$/,{token:"string",next:"@codeblock_tilde"}],[/`{3,}(\S+).*$/,{token:"string",next:"@codeblock_highlight_backtick",nextEmbedded:"$1"}],[/~{3,}(\S+).*$/,{token:"string",next:"@codeblock_highlight_tilde",nextEmbedded:"$1"}],[/^(\s*)(-{4,})$/,["white","comment"]],[/^(\s*)(>+)/,["white","comment"]],{include:"content"}],content:[[/(\[)(.+)(]\()(.+)(\s+".*")(\))/,["","string.link","","type.identifier","string.link",""]],[/(\[)(.+)(]\()(.+)(\))/,["","type.identifier","","string.link",""]],[/(\[)(.+)(]\[)(.+)(])/,["","type.identifier","","type.identifier",""]],[/(\[)(.+)(]:\s+)(\S*)/,["","type.identifier","","string.link"]],[/(\[)(.+)(])/,["","type.identifier",""]],[/`.*`/,"variable.source"],[/_/,{token:"emphasis",next:"@emphasis_underscore"}],[/\*(?!\*)/,{token:"emphasis",next:"@emphasis_asterisk"}],[/\*\*/,{token:"strong",next:"@strong"}],[/{/,{token:"delimiter.bracket",next:"@expression",nextEmbedded:"js"}]],import:[[/'\s*(;|$)/,{token:"string",next:"@pop",nextEmbedded:"@pop"}]],expression:[[/{/,{token:"delimiter.bracket",next:"@expression"}],[/}/,{token:"delimiter.bracket",next:"@pop",nextEmbedded:"@pop"}]],export:[[/^\s*$/,{token:"delimiter.bracket",next:"@pop",nextEmbedded:"@pop"}]],jsx:[[/\s+/,""],[/(\w+)(=)("(?:[^"\\]|\\.)*")/,["attribute.name","operator","string"]],[/(\w+)(=)('(?:[^'\\]|\\.)*')/,["attribute.name","operator","string"]],[/(\w+(?=\s|>|={|$))/,["attribute.name"]],[/={/,{token:"delimiter.bracket",next:"@expression",nextEmbedded:"js"}],[/>/,{token:"type.identifier",next:"@pop"}]],header:[[/.$/,{token:"keyword",next:"@pop"}],{include:"content"},[/./,{token:"keyword"}]],strong:[[/\*\*/,{token:"strong",next:"@pop"}],{include:"content"},[/./,{token:"strong"}]],emphasis_underscore:[[/_/,{token:"emphasis",next:"@pop"}],{include:"content"},[/./,{token:"emphasis"}]],emphasis_asterisk:[[/\*(?!\*)/,{token:"emphasis",next:"@pop"}],{include:"content"},[/./,{token:"emphasis"}]],frontmatter:[[/^---$/,{token:"meta.content",nextEmbedded:"@pop",next:"@pop"}]],codeblock_highlight_backtick:[[/\s*`{3,}\s*$/,{token:"string",next:"@pop",nextEmbedded:"@pop"}],[/.*$/,"variable.source"]],codeblock_highlight_tilde:[[/\s*~{3,}\s*$/,{token:"string",next:"@pop",nextEmbedded:"@pop"}],[/.*$/,"variable.source"]],codeblock_backtick:[[/\s*`{3,}\s*$/,{token:"string",next:"@pop"}],[/.*$/,"variable.source"]],codeblock_tilde:[[/\s*~{3,}\s*$/,{token:"string",next:"@pop"}],[/.*$/,"variable.source"]]}};export{g as conf,_ as language};
diff --git a/docs/assets/monaco/mips-BqUeXQd9.js b/docs/assets/monaco/mips-BqUeXQd9.js
new file mode 100644
index 0000000..e5831e0
--- /dev/null
+++ b/docs/assets/monaco/mips-BqUeXQd9.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\#%\^\&\*\(\)\=\$\-\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{blockComment:["###","###"],lineComment:"#"},folding:{markers:{start:new RegExp("^\\s*#region\\b"),end:new RegExp("^\\s*#endregion\\b")}}},t={defaultToken:"",ignoreCase:!1,tokenPostfix:".mips",regEx:/\/(?!\/\/)(?:[^\/\\]|\\.)*\/[igm]*/,keywords:[".data",".text","syscall","trap","add","addu","addi","addiu","and","andi","div","divu","mult","multu","nor","or","ori","sll","slv","sra","srav","srl","srlv","sub","subu","xor","xori","lhi","lho","lhi","llo","slt","slti","sltu","sltiu","beq","bgtz","blez","bne","j","jal","jalr","jr","lb","lbu","lh","lhu","lw","li","la","sb","sh","sw","mfhi","mflo","mthi","mtlo","move"],symbols:/[\.,\:]+/,escapes:/\\(?:[abfnrtv\\"'$]|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/\$[a-zA-Z_]\w*/,"variable.predefined"],[/[.a-zA-Z_]\w*/,{cases:{this:"variable.predefined","@keywords":{token:"keyword.$0"},"@default":""}}],[/[ \t\r\n]+/,""],[/#.*$/,"comment"],["///",{token:"regexp",next:"@hereregexp"}],[/^(\s*)(@regEx)/,["","regexp"]],[/(\,)(\s*)(@regEx)/,["delimiter","","regexp"]],[/(\:)(\s*)(@regEx)/,["delimiter","","regexp"]],[/@symbols/,"delimiter"],[/\d+[eE]([\-+]?\d+)?/,"number.float"],[/\d+\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F]+/,"number.hex"],[/0[0-7]+(?!\d)/,"number.octal"],[/\d+/,"number"],[/[,.]/,"delimiter"],[/"""/,"string",'@herestring."""'],[/'''/,"string","@herestring.'''"],[/"/,{cases:{"@eos":"string","@default":{token:"string",next:'@string."'}}}],[/'/,{cases:{"@eos":"string","@default":{token:"string",next:"@string.'"}}}]],string:[[/[^"'\#\\]+/,"string"],[/@escapes/,"string.escape"],[/\./,"string.escape.invalid"],[/\./,"string.escape.invalid"],[/#{/,{cases:{'$S2=="':{token:"string",next:"root.interpolatedstring"},"@default":"string"}}],[/["']/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":"string"}}],[/#/,"string"]],herestring:[[/("""|''')/,{cases:{"$1==$S2":{token:"string",next:"@pop"},"@default":"string"}}],[/[^#\\'"]+/,"string"],[/['"]+/,"string"],[/@escapes/,"string.escape"],[/\./,"string.escape.invalid"],[/#{/,{token:"string.quote",next:"root.interpolatedstring"}],[/#/,"string"]],comment:[[/[^#]+/,"comment"],[/#/,"comment"]],hereregexp:[[/[^\\\/#]+/,"regexp"],[/\\./,"regexp"],[/#.*$/,"comment"],["///[igm]*",{token:"regexp",next:"@pop"}],[/\//,"regexp"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/msdax-Dw-TSVhm.js b/docs/assets/monaco/msdax-Dw-TSVhm.js
new file mode 100644
index 0000000..28f2928
--- /dev/null
+++ b/docs/assets/monaco/msdax-Dw-TSVhm.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var E={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["[","]"],["(",")"],["{","}"]],autoClosingPairs:[{open:'"',close:'"',notIn:["string","comment"]},{open:"'",close:"'",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]},{open:"{",close:"}",notIn:["string","comment"]}]},T={defaultToken:"",tokenPostfix:".msdax",ignoreCase:!0,brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"{",close:"}",token:"delimiter.brackets"},{open:"(",close:")",token:"delimiter.parenthesis"}],keywords:["VAR","RETURN","NOT","EVALUATE","DATATABLE","ORDER","BY","START","AT","DEFINE","MEASURE","ASC","DESC","IN","BOOLEAN","DOUBLE","INTEGER","DATETIME","CURRENCY","STRING"],functions:["CLOSINGBALANCEMONTH","CLOSINGBALANCEQUARTER","CLOSINGBALANCEYEAR","DATEADD","DATESBETWEEN","DATESINPERIOD","DATESMTD","DATESQTD","DATESYTD","ENDOFMONTH","ENDOFQUARTER","ENDOFYEAR","FIRSTDATE","FIRSTNONBLANK","LASTDATE","LASTNONBLANK","NEXTDAY","NEXTMONTH","NEXTQUARTER","NEXTYEAR","OPENINGBALANCEMONTH","OPENINGBALANCEQUARTER","OPENINGBALANCEYEAR","PARALLELPERIOD","PREVIOUSDAY","PREVIOUSMONTH","PREVIOUSQUARTER","PREVIOUSYEAR","SAMEPERIODLASTYEAR","STARTOFMONTH","STARTOFQUARTER","STARTOFYEAR","TOTALMTD","TOTALQTD","TOTALYTD","ADDCOLUMNS","ADDMISSINGITEMS","ALL","ALLEXCEPT","ALLNOBLANKROW","ALLSELECTED","CALCULATE","CALCULATETABLE","CALENDAR","CALENDARAUTO","CROSSFILTER","CROSSJOIN","CURRENTGROUP","DATATABLE","DETAILROWS","DISTINCT","EARLIER","EARLIEST","EXCEPT","FILTER","FILTERS","GENERATE","GENERATEALL","GROUPBY","IGNORE","INTERSECT","ISONORAFTER","KEEPFILTERS","LOOKUPVALUE","NATURALINNERJOIN","NATURALLEFTOUTERJOIN","RELATED","RELATEDTABLE","ROLLUP","ROLLUPADDISSUBTOTAL","ROLLUPGROUP","ROLLUPISSUBTOTAL","ROW","SAMPLE","SELECTCOLUMNS","SUBSTITUTEWITHINDEX","SUMMARIZE","SUMMARIZECOLUMNS","TOPN","TREATAS","UNION","USERELATIONSHIP","VALUES","SUM","SUMX","PATH","PATHCONTAINS","PATHITEM","PATHITEMREVERSE","PATHLENGTH","AVERAGE","AVERAGEA","AVERAGEX","COUNT","COUNTA","COUNTAX","COUNTBLANK","COUNTROWS","COUNTX","DISTINCTCOUNT","DIVIDE","GEOMEAN","GEOMEANX","MAX","MAXA","MAXX","MEDIAN","MEDIANX","MIN","MINA","MINX","PERCENTILE.EXC","PERCENTILE.INC","PERCENTILEX.EXC","PERCENTILEX.INC","PRODUCT","PRODUCTX","RANK.EQ","RANKX","STDEV.P","STDEV.S","STDEVX.P","STDEVX.S","VAR.P","VAR.S","VARX.P","VARX.S","XIRR","XNPV","DATE","DATEDIFF","DATEVALUE","DAY","EDATE","EOMONTH","HOUR","MINUTE","MONTH","NOW","SECOND","TIME","TIMEVALUE","TODAY","WEEKDAY","WEEKNUM","YEAR","YEARFRAC","CONTAINS","CONTAINSROW","CUSTOMDATA","ERROR","HASONEFILTER","HASONEVALUE","ISBLANK","ISCROSSFILTERED","ISEMPTY","ISERROR","ISEVEN","ISFILTERED","ISLOGICAL","ISNONTEXT","ISNUMBER","ISODD","ISSUBTOTAL","ISTEXT","USERNAME","USERPRINCIPALNAME","AND","FALSE","IF","IFERROR","NOT","OR","SWITCH","TRUE","ABS","ACOS","ACOSH","ACOT","ACOTH","ASIN","ASINH","ATAN","ATANH","BETA.DIST","BETA.INV","CEILING","CHISQ.DIST","CHISQ.DIST.RT","CHISQ.INV","CHISQ.INV.RT","COMBIN","COMBINA","CONFIDENCE.NORM","CONFIDENCE.T","COS","COSH","COT","COTH","CURRENCY","DEGREES","EVEN","EXP","EXPON.DIST","FACT","FLOOR","GCD","INT","ISO.CEILING","LCM","LN","LOG","LOG10","MOD","MROUND","ODD","PERMUT","PI","POISSON.DIST","POWER","QUOTIENT","RADIANS","RAND","RANDBETWEEN","ROUND","ROUNDDOWN","ROUNDUP","SIGN","SIN","SINH","SQRT","SQRTPI","TAN","TANH","TRUNC","BLANK","CONCATENATE","CONCATENATEX","EXACT","FIND","FIXED","FORMAT","LEFT","LEN","LOWER","MID","REPLACE","REPT","RIGHT","SEARCH","SUBSTITUTE","TRIM","UNICHAR","UNICODE","UPPER","VALUE"],tokenizer:{root:[{include:"@comments"},{include:"@whitespace"},{include:"@numbers"},{include:"@strings"},{include:"@complexIdentifiers"},[/[;,.]/,"delimiter"],[/[({})]/,"@brackets"],[/[a-z_][a-zA-Z0-9_]*/,{cases:{"@keywords":"keyword","@functions":"keyword","@default":"identifier"}}],[/[<>=!%&+\-*/|~^]/,"operator"]],whitespace:[[/\s+/,"white"]],comments:[[/\/\/+.*/,"comment"],[/\/\*/,{token:"comment.quote",next:"@comment"}]],comment:[[/[^*/]+/,"comment"],[/\*\//,{token:"comment.quote",next:"@pop"}],[/./,"comment"]],numbers:[[/0[xX][0-9a-fA-F]*/,"number"],[/[$][+-]*\d*(\.\d*)?/,"number"],[/((\d+(\.\d*)?)|(\.\d+))([eE][\-+]?\d+)?/,"number"]],strings:[[/N"/,{token:"string",next:"@string"}],[/"/,{token:"string",next:"@string"}]],string:[[/[^"]+/,"string"],[/""/,"string"],[/"/,{token:"string",next:"@pop"}]],complexIdentifiers:[[/\[/,{token:"identifier.quote",next:"@bracketedIdentifier"}],[/'/,{token:"identifier.quote",next:"@quotedIdentifier"}]],bracketedIdentifier:[[/[^\]]+/,"identifier"],[/]]/,"identifier"],[/]/,{token:"identifier.quote",next:"@pop"}]],quotedIdentifier:[[/[^']+/,"identifier"],[/''/,"identifier"],[/'/,{token:"identifier.quote",next:"@pop"}]]}};export{E as conf,T as language};
diff --git a/docs/assets/monaco/mysql-CcpH9Ljq.js b/docs/assets/monaco/mysql-CcpH9Ljq.js
new file mode 100644
index 0000000..16987d6
--- /dev/null
+++ b/docs/assets/monaco/mysql-CcpH9Ljq.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var E={comments:{lineComment:"--",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},T={defaultToken:"",tokenPostfix:".sql",ignoreCase:!0,brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"}],keywords:["ACCESSIBLE","ADD","ALL","ALTER","ANALYZE","AND","AS","ASC","ASENSITIVE","BEFORE","BETWEEN","BIGINT","BINARY","BLOB","BOTH","BY","CALL","CASCADE","CASE","CHANGE","CHAR","CHARACTER","CHECK","COLLATE","COLUMN","CONDITION","CONSTRAINT","CONTINUE","CONVERT","CREATE","CROSS","CUBE","CUME_DIST","CURRENT_DATE","CURRENT_TIME","CURRENT_TIMESTAMP","CURRENT_USER","CURSOR","DATABASE","DATABASES","DAY_HOUR","DAY_MICROSECOND","DAY_MINUTE","DAY_SECOND","DEC","DECIMAL","DECLARE","DEFAULT","DELAYED","DELETE","DENSE_RANK","DESC","DESCRIBE","DETERMINISTIC","DISTINCT","DISTINCTROW","DIV","DOUBLE","DROP","DUAL","EACH","ELSE","ELSEIF","EMPTY","ENCLOSED","ESCAPED","EXCEPT","EXISTS","EXIT","EXPLAIN","FALSE","FETCH","FIRST_VALUE","FLOAT","FLOAT4","FLOAT8","FOR","FORCE","FOREIGN","FROM","FULLTEXT","FUNCTION","GENERATED","GET","GRANT","GROUP","GROUPING","GROUPS","HAVING","HIGH_PRIORITY","HOUR_MICROSECOND","HOUR_MINUTE","HOUR_SECOND","IF","IGNORE","IN","INDEX","INFILE","INNER","INOUT","INSENSITIVE","INSERT","INT","INT1","INT2","INT3","INT4","INT8","INTEGER","INTERVAL","INTO","IO_AFTER_GTIDS","IO_BEFORE_GTIDS","IS","ITERATE","JOIN","JSON_TABLE","KEY","KEYS","KILL","LAG","LAST_VALUE","LATERAL","LEAD","LEADING","LEAVE","LEFT","LIKE","LIMIT","LINEAR","LINES","LOAD","LOCALTIME","LOCALTIMESTAMP","LOCK","LONG","LONGBLOB","LONGTEXT","LOOP","LOW_PRIORITY","MASTER_BIND","MASTER_SSL_VERIFY_SERVER_CERT","MATCH","MAXVALUE","MEDIUMBLOB","MEDIUMINT","MEDIUMTEXT","MIDDLEINT","MINUTE_MICROSECOND","MINUTE_SECOND","MOD","MODIFIES","NATURAL","NOT","NO_WRITE_TO_BINLOG","NTH_VALUE","NTILE","NULL","NUMERIC","OF","ON","OPTIMIZE","OPTIMIZER_COSTS","OPTION","OPTIONALLY","OR","ORDER","OUT","OUTER","OUTFILE","OVER","PARTITION","PERCENT_RANK","PRECISION","PRIMARY","PROCEDURE","PURGE","RANGE","RANK","READ","READS","READ_WRITE","REAL","RECURSIVE","REFERENCES","REGEXP","RELEASE","RENAME","REPEAT","REPLACE","REQUIRE","RESIGNAL","RESTRICT","RETURN","REVOKE","RIGHT","RLIKE","ROW","ROWS","ROW_NUMBER","SCHEMA","SCHEMAS","SECOND_MICROSECOND","SELECT","SENSITIVE","SEPARATOR","SET","SHOW","SIGNAL","SMALLINT","SPATIAL","SPECIFIC","SQL","SQLEXCEPTION","SQLSTATE","SQLWARNING","SQL_BIG_RESULT","SQL_CALC_FOUND_ROWS","SQL_SMALL_RESULT","SSL","STARTING","STORED","STRAIGHT_JOIN","SYSTEM","TABLE","TERMINATED","THEN","TINYBLOB","TINYINT","TINYTEXT","TO","TRAILING","TRIGGER","TRUE","UNDO","UNION","UNIQUE","UNLOCK","UNSIGNED","UPDATE","USAGE","USE","USING","UTC_DATE","UTC_TIME","UTC_TIMESTAMP","VALUES","VARBINARY","VARCHAR","VARCHARACTER","VARYING","VIRTUAL","WHEN","WHERE","WHILE","WINDOW","WITH","WRITE","XOR","YEAR_MONTH","ZEROFILL"],operators:["AND","BETWEEN","IN","LIKE","NOT","OR","IS","NULL","INTERSECT","UNION","INNER","JOIN","LEFT","OUTER","RIGHT"],builtinFunctions:["ABS","ACOS","ADDDATE","ADDTIME","AES_DECRYPT","AES_ENCRYPT","ANY_VALUE","Area","AsBinary","AsWKB","ASCII","ASIN","AsText","AsWKT","ASYMMETRIC_DECRYPT","ASYMMETRIC_DERIVE","ASYMMETRIC_ENCRYPT","ASYMMETRIC_SIGN","ASYMMETRIC_VERIFY","ATAN","ATAN2","ATAN","AVG","BENCHMARK","BIN","BIT_AND","BIT_COUNT","BIT_LENGTH","BIT_OR","BIT_XOR","Buffer","CAST","CEIL","CEILING","Centroid","CHAR","CHAR_LENGTH","CHARACTER_LENGTH","CHARSET","COALESCE","COERCIBILITY","COLLATION","COMPRESS","CONCAT","CONCAT_WS","CONNECTION_ID","Contains","CONV","CONVERT","CONVERT_TZ","ConvexHull","COS","COT","COUNT","CRC32","CREATE_ASYMMETRIC_PRIV_KEY","CREATE_ASYMMETRIC_PUB_KEY","CREATE_DH_PARAMETERS","CREATE_DIGEST","Crosses","CUME_DIST","CURDATE","CURRENT_DATE","CURRENT_ROLE","CURRENT_TIME","CURRENT_TIMESTAMP","CURRENT_USER","CURTIME","DATABASE","DATE","DATE_ADD","DATE_FORMAT","DATE_SUB","DATEDIFF","DAY","DAYNAME","DAYOFMONTH","DAYOFWEEK","DAYOFYEAR","DECODE","DEFAULT","DEGREES","DES_DECRYPT","DES_ENCRYPT","DENSE_RANK","Dimension","Disjoint","Distance","ELT","ENCODE","ENCRYPT","EndPoint","Envelope","Equals","EXP","EXPORT_SET","ExteriorRing","EXTRACT","ExtractValue","FIELD","FIND_IN_SET","FIRST_VALUE","FLOOR","FORMAT","FORMAT_BYTES","FORMAT_PICO_TIME","FOUND_ROWS","FROM_BASE64","FROM_DAYS","FROM_UNIXTIME","GEN_RANGE","GEN_RND_EMAIL","GEN_RND_PAN","GEN_RND_SSN","GEN_RND_US_PHONE","GeomCollection","GeomCollFromText","GeometryCollectionFromText","GeomCollFromWKB","GeometryCollectionFromWKB","GeometryCollection","GeometryN","GeometryType","GeomFromText","GeometryFromText","GeomFromWKB","GeometryFromWKB","GET_FORMAT","GET_LOCK","GLength","GREATEST","GROUP_CONCAT","GROUPING","GTID_SUBSET","GTID_SUBTRACT","HEX","HOUR","ICU_VERSION","IF","IFNULL","INET_ATON","INET_NTOA","INET6_ATON","INET6_NTOA","INSERT","INSTR","InteriorRingN","Intersects","INTERVAL","IS_FREE_LOCK","IS_IPV4","IS_IPV4_COMPAT","IS_IPV4_MAPPED","IS_IPV6","IS_USED_LOCK","IS_UUID","IsClosed","IsEmpty","ISNULL","IsSimple","JSON_APPEND","JSON_ARRAY","JSON_ARRAY_APPEND","JSON_ARRAY_INSERT","JSON_ARRAYAGG","JSON_CONTAINS","JSON_CONTAINS_PATH","JSON_DEPTH","JSON_EXTRACT","JSON_INSERT","JSON_KEYS","JSON_LENGTH","JSON_MERGE","JSON_MERGE_PATCH","JSON_MERGE_PRESERVE","JSON_OBJECT","JSON_OBJECTAGG","JSON_OVERLAPS","JSON_PRETTY","JSON_QUOTE","JSON_REMOVE","JSON_REPLACE","JSON_SCHEMA_VALID","JSON_SCHEMA_VALIDATION_REPORT","JSON_SEARCH","JSON_SET","JSON_STORAGE_FREE","JSON_STORAGE_SIZE","JSON_TABLE","JSON_TYPE","JSON_UNQUOTE","JSON_VALID","LAG","LAST_DAY","LAST_INSERT_ID","LAST_VALUE","LCASE","LEAD","LEAST","LEFT","LENGTH","LineFromText","LineStringFromText","LineFromWKB","LineStringFromWKB","LineString","LN","LOAD_FILE","LOCALTIME","LOCALTIMESTAMP","LOCATE","LOG","LOG10","LOG2","LOWER","LPAD","LTRIM","MAKE_SET","MAKEDATE","MAKETIME","MASK_INNER","MASK_OUTER","MASK_PAN","MASK_PAN_RELAXED","MASK_SSN","MASTER_POS_WAIT","MAX","MBRContains","MBRCoveredBy","MBRCovers","MBRDisjoint","MBREqual","MBREquals","MBRIntersects","MBROverlaps","MBRTouches","MBRWithin","MD5","MEMBER OF","MICROSECOND","MID","MIN","MINUTE","MLineFromText","MultiLineStringFromText","MLineFromWKB","MultiLineStringFromWKB","MOD","MONTH","MONTHNAME","MPointFromText","MultiPointFromText","MPointFromWKB","MultiPointFromWKB","MPolyFromText","MultiPolygonFromText","MPolyFromWKB","MultiPolygonFromWKB","MultiLineString","MultiPoint","MultiPolygon","NAME_CONST","NOT IN","NOW","NTH_VALUE","NTILE","NULLIF","NumGeometries","NumInteriorRings","NumPoints","OCT","OCTET_LENGTH","OLD_PASSWORD","ORD","Overlaps","PASSWORD","PERCENT_RANK","PERIOD_ADD","PERIOD_DIFF","PI","Point","PointFromText","PointFromWKB","PointN","PolyFromText","PolygonFromText","PolyFromWKB","PolygonFromWKB","Polygon","POSITION","POW","POWER","PS_CURRENT_THREAD_ID","PS_THREAD_ID","PROCEDURE ANALYSE","QUARTER","QUOTE","RADIANS","RAND","RANDOM_BYTES","RANK","REGEXP_INSTR","REGEXP_LIKE","REGEXP_REPLACE","REGEXP_REPLACE","RELEASE_ALL_LOCKS","RELEASE_LOCK","REPEAT","REPLACE","REVERSE","RIGHT","ROLES_GRAPHML","ROUND","ROW_COUNT","ROW_NUMBER","RPAD","RTRIM","SCHEMA","SEC_TO_TIME","SECOND","SESSION_USER","SHA1","SHA","SHA2","SIGN","SIN","SLEEP","SOUNDEX","SOURCE_POS_WAIT","SPACE","SQRT","SRID","ST_Area","ST_AsBinary","ST_AsWKB","ST_AsGeoJSON","ST_AsText","ST_AsWKT","ST_Buffer","ST_Buffer_Strategy","ST_Centroid","ST_Collect","ST_Contains","ST_ConvexHull","ST_Crosses","ST_Difference","ST_Dimension","ST_Disjoint","ST_Distance","ST_Distance_Sphere","ST_EndPoint","ST_Envelope","ST_Equals","ST_ExteriorRing","ST_FrechetDistance","ST_GeoHash","ST_GeomCollFromText","ST_GeometryCollectionFromText","ST_GeomCollFromTxt","ST_GeomCollFromWKB","ST_GeometryCollectionFromWKB","ST_GeometryN","ST_GeometryType","ST_GeomFromGeoJSON","ST_GeomFromText","ST_GeometryFromText","ST_GeomFromWKB","ST_GeometryFromWKB","ST_HausdorffDistance","ST_InteriorRingN","ST_Intersection","ST_Intersects","ST_IsClosed","ST_IsEmpty","ST_IsSimple","ST_IsValid","ST_LatFromGeoHash","ST_Length","ST_LineFromText","ST_LineStringFromText","ST_LineFromWKB","ST_LineStringFromWKB","ST_LineInterpolatePoint","ST_LineInterpolatePoints","ST_LongFromGeoHash","ST_Longitude","ST_MakeEnvelope","ST_MLineFromText","ST_MultiLineStringFromText","ST_MLineFromWKB","ST_MultiLineStringFromWKB","ST_MPointFromText","ST_MultiPointFromText","ST_MPointFromWKB","ST_MultiPointFromWKB","ST_MPolyFromText","ST_MultiPolygonFromText","ST_MPolyFromWKB","ST_MultiPolygonFromWKB","ST_NumGeometries","ST_NumInteriorRing","ST_NumInteriorRings","ST_NumPoints","ST_Overlaps","ST_PointAtDistance","ST_PointFromGeoHash","ST_PointFromText","ST_PointFromWKB","ST_PointN","ST_PolyFromText","ST_PolygonFromText","ST_PolyFromWKB","ST_PolygonFromWKB","ST_Simplify","ST_SRID","ST_StartPoint","ST_SwapXY","ST_SymDifference","ST_Touches","ST_Transform","ST_Union","ST_Validate","ST_Within","ST_X","ST_Y","StartPoint","STATEMENT_DIGEST","STATEMENT_DIGEST_TEXT","STD","STDDEV","STDDEV_POP","STDDEV_SAMP","STR_TO_DATE","STRCMP","SUBDATE","SUBSTR","SUBSTRING","SUBSTRING_INDEX","SUBTIME","SUM","SYSDATE","SYSTEM_USER","TAN","TIME","TIME_FORMAT","TIME_TO_SEC","TIMEDIFF","TIMESTAMP","TIMESTAMPADD","TIMESTAMPDIFF","TO_BASE64","TO_DAYS","TO_SECONDS","Touches","TRIM","TRUNCATE","UCASE","UNCOMPRESS","UNCOMPRESSED_LENGTH","UNHEX","UNIX_TIMESTAMP","UpdateXML","UPPER","USER","UTC_DATE","UTC_TIME","UTC_TIMESTAMP","UUID","UUID_SHORT","UUID_TO_BIN","VALIDATE_PASSWORD_STRENGTH","VALUES","VAR_POP","VAR_SAMP","VARIANCE","VERSION","WAIT_FOR_EXECUTED_GTID_SET","WAIT_UNTIL_SQL_THREAD_AFTER_GTIDS","WEEK","WEEKDAY","WEEKOFYEAR","WEIGHT_STRING","Within","X","Y","YEAR","YEARWEEK"],builtinVariables:[],tokenizer:{root:[{include:"@comments"},{include:"@whitespace"},{include:"@numbers"},{include:"@strings"},{include:"@complexIdentifiers"},{include:"@scopes"},[/[;,.]/,"delimiter"],[/[()]/,"@brackets"],[/[\w@]+/,{cases:{"@operators":"operator","@builtinVariables":"predefined","@builtinFunctions":"predefined","@keywords":"keyword","@default":"identifier"}}],[/[<>=!%&+\-*/|~^]/,"operator"]],whitespace:[[/\s+/,"white"]],comments:[[/--+.*/,"comment"],[/#+.*/,"comment"],[/\/\*/,{token:"comment.quote",next:"@comment"}]],comment:[[/[^*/]+/,"comment"],[/\*\//,{token:"comment.quote",next:"@pop"}],[/./,"comment"]],numbers:[[/0[xX][0-9a-fA-F]*/,"number"],[/[$][+-]*\d*(\.\d*)?/,"number"],[/((\d+(\.\d*)?)|(\.\d+))([eE][\-+]?\d+)?/,"number"]],strings:[[/'/,{token:"string",next:"@string"}],[/"/,{token:"string.double",next:"@stringDouble"}]],string:[[/\\'/,"string"],[/[^']+/,"string"],[/''/,"string"],[/'/,{token:"string",next:"@pop"}]],stringDouble:[[/[^"]+/,"string.double"],[/""/,"string.double"],[/"/,{token:"string.double",next:"@pop"}]],complexIdentifiers:[[/`/,{token:"identifier.quote",next:"@quotedIdentifier"}]],quotedIdentifier:[[/[^`]+/,"identifier"],[/``/,"identifier"],[/`/,{token:"identifier.quote",next:"@pop"}]],scopes:[]}};export{E as conf,T as language};
diff --git a/docs/assets/monaco/objective-c-BRNxDdm3.js b/docs/assets/monaco/objective-c-BRNxDdm3.js
new file mode 100644
index 0000000..46c8776
--- /dev/null
+++ b/docs/assets/monaco/objective-c-BRNxDdm3.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},n={defaultToken:"",tokenPostfix:".objective-c",keywords:["#import","#include","#define","#else","#endif","#if","#ifdef","#ifndef","#ident","#undef","@class","@defs","@dynamic","@encode","@end","@implementation","@interface","@package","@private","@protected","@property","@protocol","@public","@selector","@synthesize","__declspec","assign","auto","BOOL","break","bycopy","byref","case","char","Class","const","copy","continue","default","do","double","else","enum","extern","FALSE","false","float","for","goto","if","in","int","id","inout","IMP","long","nil","nonatomic","NULL","oneway","out","private","public","protected","readwrite","readonly","register","return","SEL","self","short","signed","sizeof","static","struct","super","switch","typedef","TRUE","true","union","unsigned","volatile","void","while"],decpart:/\d(_?\d)*/,decimal:/0|@decpart/,tokenizer:{root:[{include:"@comments"},{include:"@whitespace"},{include:"@numbers"},{include:"@strings"},[/[,:;]/,"delimiter"],[/[{}\[\]()<>]/,"@brackets"],[/[a-zA-Z@#]\w*/,{cases:{"@keywords":"keyword","@default":"identifier"}}],[/[<>=\\+\\-\\*\\/\\^\\|\\~,]|and\\b|or\\b|not\\b]/,"operator"]],whitespace:[[/\s+/,"white"]],comments:[["\\/\\*","comment","@comment"],["\\/\\/+.*","comment"]],comment:[["\\*\\/","comment","@pop"],[".","comment"]],numbers:[[/0[xX][0-9a-fA-F]*(_?[0-9a-fA-F])*/,"number.hex"],[/@decimal((\.@decpart)?([eE][\-+]?@decpart)?)[fF]*/,{cases:{"(\\d)*":"number",$0:"number.float"}}]],strings:[[/'$/,"string.escape","@popall"],[/'/,"string.escape","@stringBody"],[/"$/,"string.escape","@popall"],[/"/,"string.escape","@dblStringBody"]],stringBody:[[/[^\\']+$/,"string","@popall"],[/[^\\']+/,"string"],[/\\./,"string"],[/'/,"string.escape","@popall"],[/\\$/,"string"]],dblStringBody:[[/[^\\"]+$/,"string","@popall"],[/[^\\"]+/,"string"],[/\\./,"string"],[/"/,"string.escape","@popall"],[/\\$/,"string"]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/pascal-Cn8aAeCV.js b/docs/assets/monaco/pascal-Cn8aAeCV.js
new file mode 100644
index 0000000..789fcd4
--- /dev/null
+++ b/docs/assets/monaco/pascal-Cn8aAeCV.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\#\%\^\&\*\(\)\-\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{lineComment:"//",blockComment:["{","}"]},brackets:[["{","}"],["[","]"],["(",")"],["<",">"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*\\{\\$REGION(\\s\\'.*\\')?\\}"),end:new RegExp("^\\s*\\{\\$ENDREGION\\}")}}},t={defaultToken:"",tokenPostfix:".pascal",ignoreCase:!0,brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],keywords:["absolute","abstract","all","and_then","array","as","asm","attribute","begin","bindable","case","class","const","contains","default","div","else","end","except","exports","external","far","file","finalization","finally","forward","generic","goto","if","implements","import","in","index","inherited","initialization","interrupt","is","label","library","mod","module","name","near","not","object","of","on","only","operator","or_else","otherwise","override","package","packed","pow","private","program","protected","public","published","interface","implementation","qualified","read","record","resident","requires","resourcestring","restricted","segment","set","shl","shr","specialize","stored","strict","then","threadvar","to","try","type","unit","uses","var","view","virtual","dynamic","overload","reintroduce","with","write","xor","true","false","procedure","function","constructor","destructor","property","break","continue","exit","abort","while","do","for","raise","repeat","until"],typeKeywords:["boolean","double","byte","integer","shortint","char","longint","float","string"],operators:["=",">","<","<=",">=","<>",":",":=","and","or","+","-","*","/","@","&","^","%"],symbols:/[=><:@\^&|+\-*\/\^%]+/,tokenizer:{root:[[/[a-zA-Z_][\w]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/\$[0-9a-fA-F]{1,16}/,"number.hex"],[/\d+/,"number"],[/[;,.]/,"delimiter"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/'/,"string","@string"],[/'[^\\']'/,"string"],[/'/,"string.invalid"],[/\#\d+/,"string"]],comment:[[/[^\*\}]+/,"comment"],[/\}/,"comment","@pop"],[/[\{]/,"comment"]],string:[[/[^\\']+/,"string"],[/\\./,"string.escape.invalid"],[/'/,{token:"string.quote",bracket:"@close",next:"@pop"}]],whitespace:[[/[ \t\r\n]+/,"white"],[/\{/,"comment","@comment"],[/\/\/.*$/,"comment"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/pascaligo-dBAnVStS.js b/docs/assets/monaco/pascaligo-dBAnVStS.js
new file mode 100644
index 0000000..2b93060
--- /dev/null
+++ b/docs/assets/monaco/pascaligo-dBAnVStS.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["(*","*)"]},brackets:[["{","}"],["[","]"],["(",")"],["<",">"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:"'",close:"'"}]},o={defaultToken:"",tokenPostfix:".pascaligo",ignoreCase:!0,brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],keywords:["begin","block","case","const","else","end","fail","for","from","function","if","is","nil","of","remove","return","skip","then","type","var","while","with","option","None","transaction"],typeKeywords:["bool","int","list","map","nat","record","string","unit","address","map","mtz","xtz"],operators:["=",">","<","<=",">=","<>",":",":=","and","mod","or","+","-","*","/","@","&","^","%"],symbols:/[=><:@\^&|+\-*\/\^%]+/,tokenizer:{root:[[/[a-zA-Z_][\w]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/\$[0-9a-fA-F]{1,16}/,"number.hex"],[/\d+/,"number"],[/[;,.]/,"delimiter"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/'/,"string","@string"],[/'[^\\']'/,"string"],[/'/,"string.invalid"],[/\#\d+/,"string"]],comment:[[/[^\(\*]+/,"comment"],[/\*\)/,"comment","@pop"],[/\(\*/,"comment"]],string:[[/[^\\']+/,"string"],[/\\./,"string.escape.invalid"],[/'/,{token:"string.quote",bracket:"@close",next:"@pop"}]],whitespace:[[/[ \t\r\n]+/,"white"],[/\(\*/,"comment","@comment"],[/\/\/.*$/,"comment"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/perl-C4L3BrdA.js b/docs/assets/monaco/perl-C4L3BrdA.js
new file mode 100644
index 0000000..3c506ca
--- /dev/null
+++ b/docs/assets/monaco/perl-C4L3BrdA.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}]},t={defaultToken:"",tokenPostfix:".perl",brackets:[{token:"delimiter.bracket",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"}],keywords:["__DATA__","else","lock","__END__","elsif","lt","__FILE__","eq","__LINE__","exp","ne","sub","__PACKAGE__","for","no","and","foreach","or","unless","cmp","ge","package","until","continue","gt","while","CORE","if","xor","do","le","__DIE__","__WARN__"],builtinFunctions:["-A","END","length","setpgrp","-B","endgrent","link","setpriority","-b","endhostent","listen","setprotoent","-C","endnetent","local","setpwent","-c","endprotoent","localtime","setservent","-d","endpwent","log","setsockopt","-e","endservent","lstat","shift","-f","eof","map","shmctl","-g","eval","mkdir","shmget","-k","exec","msgctl","shmread","-l","exists","msgget","shmwrite","-M","exit","msgrcv","shutdown","-O","fcntl","msgsnd","sin","-o","fileno","my","sleep","-p","flock","next","socket","-r","fork","not","socketpair","-R","format","oct","sort","-S","formline","open","splice","-s","getc","opendir","split","-T","getgrent","ord","sprintf","-t","getgrgid","our","sqrt","-u","getgrnam","pack","srand","-w","gethostbyaddr","pipe","stat","-W","gethostbyname","pop","state","-X","gethostent","pos","study","-x","getlogin","print","substr","-z","getnetbyaddr","printf","symlink","abs","getnetbyname","prototype","syscall","accept","getnetent","push","sysopen","alarm","getpeername","quotemeta","sysread","atan2","getpgrp","rand","sysseek","AUTOLOAD","getppid","read","system","BEGIN","getpriority","readdir","syswrite","bind","getprotobyname","readline","tell","binmode","getprotobynumber","readlink","telldir","bless","getprotoent","readpipe","tie","break","getpwent","recv","tied","caller","getpwnam","redo","time","chdir","getpwuid","ref","times","CHECK","getservbyname","rename","truncate","chmod","getservbyport","require","uc","chomp","getservent","reset","ucfirst","chop","getsockname","return","umask","chown","getsockopt","reverse","undef","chr","glob","rewinddir","UNITCHECK","chroot","gmtime","rindex","unlink","close","goto","rmdir","unpack","closedir","grep","say","unshift","connect","hex","scalar","untie","cos","index","seek","use","crypt","INIT","seekdir","utime","dbmclose","int","select","values","dbmopen","ioctl","semctl","vec","defined","join","semget","wait","delete","keys","semop","waitpid","DESTROY","kill","send","wantarray","die","last","setgrent","warn","dump","lc","sethostent","write","each","lcfirst","setnetent"],builtinFileHandlers:["ARGV","STDERR","STDOUT","ARGVOUT","STDIN","ENV"],builtinVariables:["$!","$^RE_TRIE_MAXBUF","$LAST_REGEXP_CODE_RESULT",'$"',"$^S","$LIST_SEPARATOR","$#","$^T","$MATCH","$$","$^TAINT","$MULTILINE_MATCHING","$%","$^UNICODE","$NR","$&","$^UTF8LOCALE","$OFMT","$'","$^V","$OFS","$(","$^W","$ORS","$)","$^WARNING_BITS","$OS_ERROR","$*","$^WIDE_SYSTEM_CALLS","$OSNAME","$+","$^X","$OUTPUT_AUTO_FLUSH","$,","$_","$OUTPUT_FIELD_SEPARATOR","$-","$`","$OUTPUT_RECORD_SEPARATOR","$.","$a","$PERL_VERSION","$/","$ACCUMULATOR","$PERLDB","$0","$ARG","$PID","$:","$ARGV","$POSTMATCH","$;","$b","$PREMATCH","$<","$BASETIME","$PROCESS_ID","$=","$CHILD_ERROR","$PROGRAM_NAME","$>","$COMPILING","$REAL_GROUP_ID","$?","$DEBUGGING","$REAL_USER_ID","$@","$EFFECTIVE_GROUP_ID","$RS","$[","$EFFECTIVE_USER_ID","$SUBSCRIPT_SEPARATOR","$\\","$EGID","$SUBSEP","$]","$ERRNO","$SYSTEM_FD_MAX","$^","$EUID","$UID","$^A","$EVAL_ERROR","$WARNING","$^C","$EXCEPTIONS_BEING_CAUGHT","$|","$^CHILD_ERROR_NATIVE","$EXECUTABLE_NAME","$~","$^D","$EXTENDED_OS_ERROR","%!","$^E","$FORMAT_FORMFEED","%^H","$^ENCODING","$FORMAT_LINE_BREAK_CHARACTERS","%ENV","$^F","$FORMAT_LINES_LEFT","%INC","$^H","$FORMAT_LINES_PER_PAGE","%OVERLOAD","$^I","$FORMAT_NAME","%SIG","$^L","$FORMAT_PAGE_NUMBER","@+","$^M","$FORMAT_TOP_NAME","@-","$^N","$GID","@_","$^O","$INPLACE_EDIT","@ARGV","$^OPEN","$INPUT_LINE_NUMBER","@INC","$^P","$INPUT_RECORD_SEPARATOR","@LAST_MATCH_START","$^R","$LAST_MATCH_END","$^RE_DEBUG_FLAGS","$LAST_PAREN_MATCH"],symbols:/[:+\-\^*$&%@=<>!?|\/~\.]/,quoteLikeOps:["qr","m","s","q","qq","qx","qw","tr","y"],escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[{include:"@whitespace"},[/[a-zA-Z\-_][\w\-_]*/,{cases:{"@keywords":"keyword","@builtinFunctions":"type.identifier","@builtinFileHandlers":"variable.predefined","@quoteLikeOps":{token:"@rematch",next:"quotedConstructs"},"@default":""}}],[/[\$@%][*@#?\+\-\$!\w\\\^><~:;\.]+/,{cases:{"@builtinVariables":"variable.predefined","@default":"variable"}}],{include:"@strings"},{include:"@dblStrings"},{include:"@perldoc"},{include:"@heredoc"},[/[{}\[\]()]/,"@brackets"],[/[\/](?:(?:\[(?:\\]|[^\]])+\])|(?:\\\/|[^\]\/]))*[\/]\w*\s*(?=[).,;]|$)/,"regexp"],[/@symbols/,"operators"],{include:"@numbers"},[/[,;]/,"delimiter"]],whitespace:[[/\s+/,"white"],[/(^#!.*$)/,"metatag"],[/(^#.*$)/,"comment"]],numbers:[[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F_]*[0-9a-fA-F]/,"number.hex"],[/\d+/,"number"]],strings:[[/'/,"string","@stringBody"]],stringBody:[[/'/,"string","@popall"],[/\\'/,"string.escape"],[/./,"string"]],dblStrings:[[/"/,"string","@dblStringBody"]],dblStringBody:[[/"/,"string","@popall"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],{include:"@variables"},[/./,"string"]],quotedConstructs:[[/(q|qw|tr|y)\s*\(/,{token:"string.delim",switchTo:"@qstring.(.)"}],[/(q|qw|tr|y)\s*\[/,{token:"string.delim",switchTo:"@qstring.[.]"}],[/(q|qw|tr|y)\s*\{/,{token:"string.delim",switchTo:"@qstring.{.}"}],[/(q|qw|tr|y)\s*</,{token:"string.delim",switchTo:"@qstring.<.>"}],[/(q|qw|tr|y)#/,{token:"string.delim",switchTo:"@qstring.#.#"}],[/(q|qw|tr|y)\s*([^A-Za-z0-9#\s])/,{token:"string.delim",switchTo:"@qstring.$2.$2"}],[/(q|qw|tr|y)\s+(\w)/,{token:"string.delim",switchTo:"@qstring.$2.$2"}],[/(qr|m|s)\s*\(/,{token:"regexp.delim",switchTo:"@qregexp.(.)"}],[/(qr|m|s)\s*\[/,{token:"regexp.delim",switchTo:"@qregexp.[.]"}],[/(qr|m|s)\s*\{/,{token:"regexp.delim",switchTo:"@qregexp.{.}"}],[/(qr|m|s)\s*</,{token:"regexp.delim",switchTo:"@qregexp.<.>"}],[/(qr|m|s)#/,{token:"regexp.delim",switchTo:"@qregexp.#.#"}],[/(qr|m|s)\s*([^A-Za-z0-9_#\s])/,{token:"regexp.delim",switchTo:"@qregexp.$2.$2"}],[/(qr|m|s)\s+(\w)/,{token:"regexp.delim",switchTo:"@qregexp.$2.$2"}],[/(qq|qx)\s*\(/,{token:"string.delim",switchTo:"@qqstring.(.)"}],[/(qq|qx)\s*\[/,{token:"string.delim",switchTo:"@qqstring.[.]"}],[/(qq|qx)\s*\{/,{token:"string.delim",switchTo:"@qqstring.{.}"}],[/(qq|qx)\s*</,{token:"string.delim",switchTo:"@qqstring.<.>"}],[/(qq|qx)#/,{token:"string.delim",switchTo:"@qqstring.#.#"}],[/(qq|qx)\s*([^A-Za-z0-9#\s])/,{token:"string.delim",switchTo:"@qqstring.$2.$2"}],[/(qq|qx)\s+(\w)/,{token:"string.delim",switchTo:"@qqstring.$2.$2"}]],qstring:[[/\\./,"string.escape"],[/./,{cases:{"$#==$S3":{token:"string.delim",next:"@pop"},"$#==$S2":{token:"string.delim",next:"@push"},"@default":"string"}}]],qregexp:[{include:"@variables"},[/\\./,"regexp.escape"],[/./,{cases:{"$#==$S3":{token:"regexp.delim",next:"@regexpModifiers"},"$#==$S2":{token:"regexp.delim",next:"@push"},"@default":"regexp"}}]],regexpModifiers:[[/[msixpodualngcer]+/,{token:"regexp.modifier",next:"@popall"}]],qqstring:[{include:"@variables"},{include:"@qstring"}],heredoc:[[/<<\s*['"`]?([\w\-]+)['"`]?/,{token:"string.heredoc.delimiter",next:"@heredocBody.$1"}]],heredocBody:[[/^([\w\-]+)$/,{cases:{"$1==$S2":[{token:"string.heredoc.delimiter",next:"@popall"}],"@default":"string.heredoc"}}],[/./,"string.heredoc"]],perldoc:[[/^=\w/,"comment.doc","@perldocBody"]],perldocBody:[[/^=cut\b/,"type.identifier","@popall"],[/./,"comment.doc"]],variables:[[/\$\w+/,"variable"],[/@\w+/,"variable"],[/%\w+/,"variable"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/pgsql-BOSk5tQb.js b/docs/assets/monaco/pgsql-BOSk5tQb.js
new file mode 100644
index 0000000..bd2bdeb
--- /dev/null
+++ b/docs/assets/monaco/pgsql-BOSk5tQb.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"--",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},_={defaultToken:"",tokenPostfix:".sql",ignoreCase:!0,brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"}],keywords:["ALL","ANALYSE","ANALYZE","AND","ANY","ARRAY","AS","ASC","ASYMMETRIC","AUTHORIZATION","BINARY","BOTH","CASE","CAST","CHECK","COLLATE","COLLATION","COLUMN","CONCURRENTLY","CONSTRAINT","CREATE","CROSS","CURRENT_CATALOG","CURRENT_DATE","CURRENT_ROLE","CURRENT_SCHEMA","CURRENT_TIME","CURRENT_TIMESTAMP","CURRENT_USER","DEFAULT","DEFERRABLE","DESC","DISTINCT","DO","ELSE","END","EXCEPT","FALSE","FETCH","FOR","FOREIGN","FREEZE","FROM","FULL","GRANT","GROUP","HAVING","ILIKE","IN","INITIALLY","INNER","INTERSECT","INTO","IS","ISNULL","JOIN","LATERAL","LEADING","LEFT","LIKE","LIMIT","LOCALTIME","LOCALTIMESTAMP","NATURAL","NOT","NOTNULL","NULL","OFFSET","ON","ONLY","OR","ORDER","OUTER","OVERLAPS","PLACING","PRIMARY","REFERENCES","RETURNING","RIGHT","SELECT","SESSION_USER","SIMILAR","SOME","SYMMETRIC","TABLE","TABLESAMPLE","THEN","TO","TRAILING","TRUE","UNION","UNIQUE","USER","USING","VARIADIC","VERBOSE","WHEN","WHERE","WINDOW","WITH"],operators:["AND","BETWEEN","IN","LIKE","NOT","OR","IS","NULL","INTERSECT","UNION","INNER","JOIN","LEFT","OUTER","RIGHT"],builtinFunctions:["abbrev","abs","acldefault","aclexplode","acos","acosd","acosh","age","any","area","array_agg","array_append","array_cat","array_dims","array_fill","array_length","array_lower","array_ndims","array_position","array_positions","array_prepend","array_remove","array_replace","array_to_json","array_to_string","array_to_tsvector","array_upper","ascii","asin","asind","asinh","atan","atan2","atan2d","atand","atanh","avg","bit","bit_and","bit_count","bit_length","bit_or","bit_xor","bool_and","bool_or","bound_box","box","brin_desummarize_range","brin_summarize_new_values","brin_summarize_range","broadcast","btrim","cardinality","cbrt","ceil","ceiling","center","char_length","character_length","chr","circle","clock_timestamp","coalesce","col_description","concat","concat_ws","convert","convert_from","convert_to","corr","cos","cosd","cosh","cot","cotd","count","covar_pop","covar_samp","cume_dist","current_catalog","current_database","current_date","current_query","current_role","current_schema","current_schemas","current_setting","current_time","current_timestamp","current_user","currval","cursor_to_xml","cursor_to_xmlschema","date_bin","date_part","date_trunc","database_to_xml","database_to_xml_and_xmlschema","database_to_xmlschema","decode","degrees","dense_rank","diagonal","diameter","div","encode","enum_first","enum_last","enum_range","every","exp","extract","factorial","family","first_value","floor","format","format_type","gcd","gen_random_uuid","generate_series","generate_subscripts","get_bit","get_byte","get_current_ts_config","gin_clean_pending_list","greatest","grouping","has_any_column_privilege","has_column_privilege","has_database_privilege","has_foreign_data_wrapper_privilege","has_function_privilege","has_language_privilege","has_schema_privilege","has_sequence_privilege","has_server_privilege","has_table_privilege","has_tablespace_privilege","has_type_privilege","height","host","hostmask","inet_client_addr","inet_client_port","inet_merge","inet_same_family","inet_server_addr","inet_server_port","initcap","isclosed","isempty","isfinite","isopen","json_agg","json_array_elements","json_array_elements_text","json_array_length","json_build_array","json_build_object","json_each","json_each_text","json_extract_path","json_extract_path_text","json_object","json_object_agg","json_object_keys","json_populate_record","json_populate_recordset","json_strip_nulls","json_to_record","json_to_recordset","json_to_tsvector","json_typeof","jsonb_agg","jsonb_array_elements","jsonb_array_elements_text","jsonb_array_length","jsonb_build_array","jsonb_build_object","jsonb_each","jsonb_each_text","jsonb_extract_path","jsonb_extract_path_text","jsonb_insert","jsonb_object","jsonb_object_agg","jsonb_object_keys","jsonb_path_exists","jsonb_path_match","jsonb_path_query","jsonb_path_query_array","jsonb_path_exists_tz","jsonb_path_query_first","jsonb_path_query_array_tz","jsonb_path_query_first_tz","jsonb_path_query_tz","jsonb_path_match_tz","jsonb_populate_record","jsonb_populate_recordset","jsonb_pretty","jsonb_set","jsonb_set_lax","jsonb_strip_nulls","jsonb_to_record","jsonb_to_recordset","jsonb_to_tsvector","jsonb_typeof","justify_days","justify_hours","justify_interval","lag","last_value","lastval","lcm","lead","least","left","length","line","ln","localtime","localtimestamp","log","log10","lower","lower_inc","lower_inf","lpad","lseg","ltrim","macaddr8_set7bit","make_date","make_interval","make_time","make_timestamp","make_timestamptz","makeaclitem","masklen","max","md5","min","min_scale","mod","mode","multirange","netmask","network","nextval","normalize","now","npoints","nth_value","ntile","nullif","num_nonnulls","num_nulls","numnode","obj_description","octet_length","overlay","parse_ident","path","pclose","percent_rank","percentile_cont","percentile_disc","pg_advisory_lock","pg_advisory_lock_shared","pg_advisory_unlock","pg_advisory_unlock_all","pg_advisory_unlock_shared","pg_advisory_xact_lock","pg_advisory_xact_lock_shared","pg_backend_pid","pg_backup_start_time","pg_blocking_pids","pg_cancel_backend","pg_client_encoding","pg_collation_actual_version","pg_collation_is_visible","pg_column_compression","pg_column_size","pg_conf_load_time","pg_control_checkpoint","pg_control_init","pg_control_recovery","pg_control_system","pg_conversion_is_visible","pg_copy_logical_replication_slot","pg_copy_physical_replication_slot","pg_create_logical_replication_slot","pg_create_physical_replication_slot","pg_create_restore_point","pg_current_logfile","pg_current_snapshot","pg_current_wal_flush_lsn","pg_current_wal_insert_lsn","pg_current_wal_lsn","pg_current_xact_id","pg_current_xact_id_if_assigned","pg_current_xlog_flush_location","pg_current_xlog_insert_location","pg_current_xlog_location","pg_database_size","pg_describe_object","pg_drop_replication_slot","pg_event_trigger_ddl_commands","pg_event_trigger_dropped_objects","pg_event_trigger_table_rewrite_oid","pg_event_trigger_table_rewrite_reason","pg_export_snapshot","pg_filenode_relation","pg_function_is_visible","pg_get_catalog_foreign_keys","pg_get_constraintdef","pg_get_expr","pg_get_function_arguments","pg_get_function_identity_arguments","pg_get_function_result","pg_get_functiondef","pg_get_indexdef","pg_get_keywords","pg_get_object_address","pg_get_owned_sequence","pg_get_ruledef","pg_get_serial_sequence","pg_get_statisticsobjdef","pg_get_triggerdef","pg_get_userbyid","pg_get_viewdef","pg_get_wal_replay_pause_state","pg_has_role","pg_identify_object","pg_identify_object_as_address","pg_import_system_collations","pg_index_column_has_property","pg_index_has_property","pg_indexam_has_property","pg_indexes_size","pg_is_in_backup","pg_is_in_recovery","pg_is_other_temp_schema","pg_is_wal_replay_paused","pg_is_xlog_replay_paused","pg_jit_available","pg_last_committed_xact","pg_last_wal_receive_lsn","pg_last_wal_replay_lsn","pg_last_xact_replay_timestamp","pg_last_xlog_receive_location","pg_last_xlog_replay_location","pg_listening_channels","pg_log_backend_memory_contexts","pg_logical_emit_message","pg_logical_slot_get_binary_changes","pg_logical_slot_get_changes","pg_logical_slot_peek_binary_changes","pg_logical_slot_peek_changes","pg_ls_archive_statusdir","pg_ls_dir","pg_ls_logdir","pg_ls_tmpdir","pg_ls_waldir","pg_mcv_list_items","pg_my_temp_schema","pg_notification_queue_usage","pg_opclass_is_visible","pg_operator_is_visible","pg_opfamily_is_visible","pg_options_to_table","pg_partition_ancestors","pg_partition_root","pg_partition_tree","pg_postmaster_start_time","pg_promote","pg_read_binary_file","pg_read_file","pg_relation_filenode","pg_relation_filepath","pg_relation_size","pg_reload_conf","pg_replication_origin_advance","pg_replication_origin_create","pg_replication_origin_drop","pg_replication_origin_oid","pg_replication_origin_progress","pg_replication_origin_session_is_setup","pg_replication_origin_session_progress","pg_replication_origin_session_reset","pg_replication_origin_session_setup","pg_replication_origin_xact_reset","pg_replication_origin_xact_setup","pg_replication_slot_advance","pg_rotate_logfile","pg_safe_snapshot_blocking_pids","pg_size_bytes","pg_size_pretty","pg_sleep","pg_sleep_for","pg_sleep_until","pg_snapshot_xip","pg_snapshot_xmax","pg_snapshot_xmin","pg_start_backup","pg_stat_file","pg_statistics_obj_is_visible","pg_stop_backup","pg_switch_wal","pg_switch_xlog","pg_table_is_visible","pg_table_size","pg_tablespace_databases","pg_tablespace_location","pg_tablespace_size","pg_terminate_backend","pg_total_relation_size","pg_trigger_depth","pg_try_advisory_lock","pg_try_advisory_lock_shared","pg_try_advisory_xact_lock","pg_try_advisory_xact_lock_shared","pg_ts_config_is_visible","pg_ts_dict_is_visible","pg_ts_parser_is_visible","pg_ts_template_is_visible","pg_type_is_visible","pg_typeof","pg_visible_in_snapshot","pg_wal_lsn_diff","pg_wal_replay_pause","pg_wal_replay_resume","pg_walfile_name","pg_walfile_name_offset","pg_xact_commit_timestamp","pg_xact_commit_timestamp_origin","pg_xact_status","pg_xlog_location_diff","pg_xlog_replay_pause","pg_xlog_replay_resume","pg_xlogfile_name","pg_xlogfile_name_offset","phraseto_tsquery","pi","plainto_tsquery","point","polygon","popen","position","power","pqserverversion","query_to_xml","query_to_xml_and_xmlschema","query_to_xmlschema","querytree","quote_ident","quote_literal","quote_nullable","radians","radius","random","range_agg","range_intersect_agg","range_merge","rank","regexp_count","regexp_instr","regexp_like","regexp_match","regexp_matches","regexp_replace","regexp_split_to_array","regexp_split_to_table","regexp_substr","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","repeat","replace","reverse","right","round","row_number","row_security_active","row_to_json","rpad","rtrim","scale","schema_to_xml","schema_to_xml_and_xmlschema","schema_to_xmlschema","session_user","set_bit","set_byte","set_config","set_masklen","setseed","setval","setweight","sha224","sha256","sha384","sha512","shobj_description","sign","sin","sind","sinh","slope","split_part","sprintf","sqrt","starts_with","statement_timestamp","stddev","stddev_pop","stddev_samp","string_agg","string_to_array","string_to_table","strip","strpos","substr","substring","sum","suppress_redundant_updates_trigger","table_to_xml","table_to_xml_and_xmlschema","table_to_xmlschema","tan","tand","tanh","text","timeofday","timezone","to_ascii","to_char","to_date","to_hex","to_json","to_number","to_regclass","to_regcollation","to_regnamespace","to_regoper","to_regoperator","to_regproc","to_regprocedure","to_regrole","to_regtype","to_timestamp","to_tsquery","to_tsvector","transaction_timestamp","translate","trim","trim_array","trim_scale","trunc","ts_debug","ts_delete","ts_filter","ts_headline","ts_lexize","ts_parse","ts_rank","ts_rank_cd","ts_rewrite","ts_stat","ts_token_type","tsquery_phrase","tsvector_to_array","tsvector_update_trigger","tsvector_update_trigger_column","txid_current","txid_current_if_assigned","txid_current_snapshot","txid_snapshot_xip","txid_snapshot_xmax","txid_snapshot_xmin","txid_status","txid_visible_in_snapshot","unistr","unnest","upper","upper_inc","upper_inf","user","var_pop","var_samp","variance","version","websearch_to_tsquery","width","width_bucket","xml_is_well_formed","xml_is_well_formed_content","xml_is_well_formed_document","xmlagg","xmlcomment","xmlconcat","xmlelement","xmlexists","xmlforest","xmlparse","xmlpi","xmlroot","xmlserialize","xpath","xpath_exists"],builtinVariables:[],pseudoColumns:[],tokenizer:{root:[{include:"@comments"},{include:"@whitespace"},{include:"@pseudoColumns"},{include:"@numbers"},{include:"@strings"},{include:"@complexIdentifiers"},{include:"@scopes"},[/[;,.]/,"delimiter"],[/[()]/,"@brackets"],[/[\w@#$]+/,{cases:{"@operators":"operator","@builtinVariables":"predefined","@builtinFunctions":"predefined","@keywords":"keyword","@default":"identifier"}}],[/[<>=!%&+\-*/|~^]/,"operator"]],whitespace:[[/\s+/,"white"]],comments:[[/--+.*/,"comment"],[/\/\*/,{token:"comment.quote",next:"@comment"}]],comment:[[/[^*/]+/,"comment"],[/\*\//,{token:"comment.quote",next:"@pop"}],[/./,"comment"]],pseudoColumns:[[/[$][A-Za-z_][\w@#$]*/,{cases:{"@pseudoColumns":"predefined","@default":"identifier"}}]],numbers:[[/0[xX][0-9a-fA-F]*/,"number"],[/[$][+-]*\d*(\.\d*)?/,"number"],[/((\d+(\.\d*)?)|(\.\d+))([eE][\-+]?\d+)?/,"number"]],strings:[[/'/,{token:"string",next:"@string"}]],string:[[/[^']+/,"string"],[/''/,"string"],[/'/,{token:"string",next:"@pop"}]],complexIdentifiers:[[/"/,{token:"identifier.quote",next:"@quotedIdentifier"}]],quotedIdentifier:[[/[^"]+/,"identifier"],[/""/,"identifier"],[/"/,{token:"identifier.quote",next:"@pop"}]],scopes:[]}};export{e as conf,_ as language};
diff --git a/docs/assets/monaco/php-DzsKq5vr.js b/docs/assets/monaco/php-DzsKq5vr.js
new file mode 100644
index 0000000..a5bd38d
--- /dev/null
+++ b/docs/assets/monaco/php-DzsKq5vr.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\#\%\^\&\*\(\)\-\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}",notIn:["string"]},{open:"[",close:"]",notIn:["string"]},{open:"(",close:")",notIn:["string"]},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string","comment"]}],folding:{markers:{start:new RegExp("^\\s*(#|//)region\\b"),end:new RegExp("^\\s*(#|//)endregion\\b")}}},t={defaultToken:"",tokenPostfix:"",tokenizer:{root:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.root"}],[/<!DOCTYPE/,"metatag.html","@doctype"],[/<!--/,"comment.html","@comment"],[/(<)(\w+)(\/>)/,["delimiter.html","tag.html","delimiter.html"]],[/(<)(script)/,["delimiter.html",{token:"tag.html",next:"@script"}]],[/(<)(style)/,["delimiter.html",{token:"tag.html",next:"@style"}]],[/(<)([:\w]+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/(<\/)(\w+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/</,"delimiter.html"],[/[^<]+/]],doctype:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.comment"}],[/[^>]+/,"metatag.content.html"],[/>/,"metatag.html","@pop"]],comment:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.comment"}],[/-->/,"comment.html","@pop"],[/[^-]+/,"comment.content.html"],[/./,"comment.content.html"]],otherTag:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.otherTag"}],[/\/?>/,"delimiter.html","@pop"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/]],script:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.script"}],[/type/,"attribute.name","@scriptAfterType"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/(<\/)(script\s*)(>)/,["delimiter.html","tag.html",{token:"delimiter.html",next:"@pop"}]]],scriptAfterType:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.scriptAfterType"}],[/=/,"delimiter","@scriptAfterTypeEquals"],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptAfterTypeEquals:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.scriptAfterTypeEquals"}],[/"([^"]*)"/,{token:"attribute.value",switchTo:"@scriptWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value",switchTo:"@scriptWithCustomType.$1"}],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptWithCustomType:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.scriptWithCustomType.$S2"}],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptEmbedded:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInEmbeddedState.scriptEmbedded.$S2",nextEmbedded:"@pop"}],[/<\/script/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}]],style:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.style"}],[/type/,"attribute.name","@styleAfterType"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/(<\/)(style\s*)(>)/,["delimiter.html","tag.html",{token:"delimiter.html",next:"@pop"}]]],styleAfterType:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.styleAfterType"}],[/=/,"delimiter","@styleAfterTypeEquals"],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleAfterTypeEquals:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.styleAfterTypeEquals"}],[/"([^"]*)"/,{token:"attribute.value",switchTo:"@styleWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value",switchTo:"@styleWithCustomType.$1"}],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleWithCustomType:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInSimpleState.styleWithCustomType.$S2"}],[/>/,{token:"delimiter.html",next:"@styleEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleEmbedded:[[/<\?((php)|=)?/,{token:"@rematch",switchTo:"@phpInEmbeddedState.styleEmbedded.$S2",nextEmbedded:"@pop"}],[/<\/style/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}]],phpInSimpleState:[[/<\?((php)|=)?/,"metatag.php"],[/\?>/,{token:"metatag.php",switchTo:"@$S2.$S3"}],{include:"phpRoot"}],phpInEmbeddedState:[[/<\?((php)|=)?/,"metatag.php"],[/\?>/,{token:"metatag.php",switchTo:"@$S2.$S3",nextEmbedded:"$S3"}],{include:"phpRoot"}],phpRoot:[[/[a-zA-Z_]\w*/,{cases:{"@phpKeywords":{token:"keyword.php"},"@phpCompileTimeConstants":{token:"constant.php"},"@default":"identifier.php"}}],[/[$a-zA-Z_]\w*/,{cases:{"@phpPreDefinedVariables":{token:"variable.predefined.php"},"@default":"variable.php"}}],[/[{}]/,"delimiter.bracket.php"],[/[\[\]]/,"delimiter.array.php"],[/[()]/,"delimiter.parenthesis.php"],[/[ \t\r\n]+/],[/(#|\/\/)$/,"comment.php"],[/(#|\/\/)/,"comment.php","@phpLineComment"],[/\/\*/,"comment.php","@phpComment"],[/"/,"string.php","@phpDoubleQuoteString"],[/'/,"string.php","@phpSingleQuoteString"],[/[\+\-\*\%\&\|\^\~\!\=\<\>\/\?\;\:\.\,\@]/,"delimiter.php"],[/\d*\d+[eE]([\-+]?\d+)?/,"number.float.php"],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float.php"],[/0[xX][0-9a-fA-F']*[0-9a-fA-F]/,"number.hex.php"],[/0[0-7']*[0-7]/,"number.octal.php"],[/0[bB][0-1']*[0-1]/,"number.binary.php"],[/\d[\d']*/,"number.php"],[/\d/,"number.php"]],phpComment:[[/\*\//,"comment.php","@pop"],[/[^*]+/,"comment.php"],[/./,"comment.php"]],phpLineComment:[[/\?>/,{token:"@rematch",next:"@pop"}],[/.$/,"comment.php","@pop"],[/[^?]+$/,"comment.php","@pop"],[/[^?]+/,"comment.php"],[/./,"comment.php"]],phpDoubleQuoteString:[[/[^\\"]+/,"string.php"],[/@escapes/,"string.escape.php"],[/\\./,"string.escape.invalid.php"],[/"/,"string.php","@pop"]],phpSingleQuoteString:[[/[^\\']+/,"string.php"],[/@escapes/,"string.escape.php"],[/\\./,"string.escape.invalid.php"],[/'/,"string.php","@pop"]]},phpKeywords:["abstract","and","array","as","break","callable","case","catch","cfunction","class","clone","const","continue","declare","default","do","else","elseif","enddeclare","endfor","endforeach","endif","endswitch","endwhile","extends","false","final","for","foreach","function","global","goto","if","implements","interface","instanceof","insteadof","namespace","new","null","object","old_function","or","private","protected","public","resource","static","switch","throw","trait","try","true","use","var","while","xor","die","echo","empty","exit","eval","include","include_once","isset","list","require","require_once","return","print","unset","yield","__construct"],phpCompileTimeConstants:["__CLASS__","__DIR__","__FILE__","__LINE__","__NAMESPACE__","__METHOD__","__FUNCTION__","__TRAIT__"],phpPreDefinedVariables:["$GLOBALS","$_SERVER","$_GET","$_POST","$_FILES","$_REQUEST","$_SESSION","$_ENV","$_COOKIE","$php_errormsg","$HTTP_RAW_POST_DATA","$http_response_header","$argc","$argv"],escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/};export{e as conf,t as language};
diff --git a/docs/assets/monaco/pla-C6GoMDq5.js b/docs/assets/monaco/pla-C6GoMDq5.js
new file mode 100644
index 0000000..f585aa9
--- /dev/null
+++ b/docs/assets/monaco/pla-C6GoMDq5.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"},brackets:[["[","]"],["<",">"],["(",")"]],autoClosingPairs:[{open:"[",close:"]"},{open:"<",close:">"},{open:"(",close:")"}],surroundingPairs:[{open:"[",close:"]"},{open:"<",close:">"},{open:"(",close:")"}]},o={defaultToken:"",tokenPostfix:".pla",brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"<",close:">",token:"delimiter.angle"},{open:"(",close:")",token:"delimiter.parenthesis"}],keywords:[".i",".o",".mv",".ilb",".ob",".label",".type",".phase",".pair",".symbolic",".symbolic-output",".kiss",".p",".e",".end"],comment:/#.*$/,identifier:/[a-zA-Z]+[a-zA-Z0-9_\-]*/,plaContent:/[01\-~\|]+/,tokenizer:{root:[{include:"@whitespace"},[/@comment/,"comment"],[/\.([a-zA-Z_\-]+)/,{cases:{"@eos":{token:"keyword.$1"},"@keywords":{cases:{".type":{token:"keyword.$1",next:"@type"},"@default":{token:"keyword.$1",next:"@keywordArg"}}},"@default":{token:"keyword.$1"}}}],[/@identifier/,"identifier"],[/@plaContent/,"string"]],whitespace:[[/[ \t\r\n]+/,""]],type:[{include:"@whitespace"},[/\w+/,{token:"type",next:"@pop"}]],keywordArg:[[/[ \t\r\n]+/,{cases:{"@eos":{token:"",next:"@pop"},"@default":""}}],[/@comment/,"comment","@pop"],[/[<>()\[\]]/,{cases:{"@eos":{token:"@brackets",next:"@pop"},"@default":"@brackets"}}],[/\-?\d+/,{cases:{"@eos":{token:"number",next:"@pop"},"@default":"number"}}],[/@identifier/,{cases:{"@eos":{token:"identifier",next:"@pop"},"@default":"identifier"}}],[/[;=]/,{cases:{"@eos":{token:"delimiter",next:"@pop"},"@default":"delimiter"}}]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/postiats-DQpJ_7Kk.js b/docs/assets/monaco/postiats-DQpJ_7Kk.js
new file mode 100644
index 0000000..ed741c3
--- /dev/null
+++ b/docs/assets/monaco/postiats-DQpJ_7Kk.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["(*","*)"]},brackets:[["{","}"],["[","]"],["(",")"],["<",">"]],autoClosingPairs:[{open:'"',close:'"',notIn:["string","comment"]},{open:"{",close:"}",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]}]},t={tokenPostfix:".pats",defaultToken:"invalid",keywords:["abstype","abst0ype","absprop","absview","absvtype","absviewtype","absvt0ype","absviewt0ype","as","and","assume","begin","classdec","datasort","datatype","dataprop","dataview","datavtype","dataviewtype","do","end","extern","extype","extvar","exception","fn","fnx","fun","prfn","prfun","praxi","castfn","if","then","else","ifcase","in","infix","infixl","infixr","prefix","postfix","implmnt","implement","primplmnt","primplement","import","let","local","macdef","macrodef","nonfix","symelim","symintr","overload","of","op","rec","sif","scase","sortdef","sta","stacst","stadef","static","staload","dynload","try","tkindef","typedef","propdef","viewdef","vtypedef","viewtypedef","prval","var","prvar","when","where","with","withtype","withprop","withview","withvtype","withviewtype"],keywords_dlr:["$delay","$ldelay","$arrpsz","$arrptrsize","$d2ctype","$effmask","$effmask_ntm","$effmask_exn","$effmask_ref","$effmask_wrt","$effmask_all","$extern","$extkind","$extype","$extype_struct","$extval","$extfcall","$extmcall","$literal","$myfilename","$mylocation","$myfunction","$lst","$lst_t","$lst_vt","$list","$list_t","$list_vt","$rec","$rec_t","$rec_vt","$record","$record_t","$record_vt","$tup","$tup_t","$tup_vt","$tuple","$tuple_t","$tuple_vt","$break","$continue","$raise","$showtype","$vcopyenv_v","$vcopyenv_vt","$tempenver","$solver_assert","$solver_verify"],keywords_srp:["#if","#ifdef","#ifndef","#then","#elif","#elifdef","#elifndef","#else","#endif","#error","#prerr","#print","#assert","#undef","#define","#include","#require","#pragma","#codegen2","#codegen3"],irregular_keyword_list:["val+","val-","val","case+","case-","case","addr@","addr","fold@","free@","fix@","fix","lam@","lam","llam@","llam","viewt@ype+","viewt@ype-","viewt@ype","viewtype+","viewtype-","viewtype","view+","view-","view@","view","type+","type-","type","vtype+","vtype-","vtype","vt@ype+","vt@ype-","vt@ype","viewt@ype+","viewt@ype-","viewt@ype","viewtype+","viewtype-","viewtype","prop+","prop-","prop","type+","type-","type","t@ype","t@ype+","t@ype-","abst@ype","abstype","absviewt@ype","absvt@ype","for*","for","while*","while"],keywords_types:["bool","double","byte","int","short","char","void","unit","long","float","string","strptr"],keywords_effects:["0","fun","clo","prf","funclo","cloptr","cloref","ref","ntm","1"],operators:["@","!","|","`",":","$",".","=","#","~","..","...","=>","=<>","=/=>","=>>","=/=>>","<",">","><",".<",">.",".<>.","->","-<>"],brackets:[{open:",(",close:")",token:"delimiter.parenthesis"},{open:"`(",close:")",token:"delimiter.parenthesis"},{open:"%(",close:")",token:"delimiter.parenthesis"},{open:"'(",close:")",token:"delimiter.parenthesis"},{open:"'{",close:"}",token:"delimiter.parenthesis"},{open:"@(",close:")",token:"delimiter.parenthesis"},{open:"@{",close:"}",token:"delimiter.brace"},{open:"@[",close:"]",token:"delimiter.square"},{open:"#[",close:"]",token:"delimiter.square"},{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],symbols:/[=><!~?:&|+\-*\/\^%]+/,IDENTFST:/[a-zA-Z_]/,IDENTRST:/[a-zA-Z0-9_'$]/,symbolic:/[%&+-./:=@~`^|*!$#?<>]/,digit:/[0-9]/,digitseq0:/@digit*/,xdigit:/[0-9A-Za-z]/,xdigitseq0:/@xdigit*/,INTSP:/[lLuU]/,FLOATSP:/[fFlL]/,fexponent:/[eE][+-]?[0-9]+/,fexponent_bin:/[pP][+-]?[0-9]+/,deciexp:/\.[0-9]*@fexponent?/,hexiexp:/\.[0-9a-zA-Z]*@fexponent_bin?/,irregular_keywords:/val[+-]?|case[+-]?|addr\@?|fold\@|free\@|fix\@?|lam\@?|llam\@?|prop[+-]?|type[+-]?|view[+-@]?|viewt@?ype[+-]?|t@?ype[+-]?|v(iew)?t@?ype[+-]?|abst@?ype|absv(iew)?t@?ype|for\*?|while\*?/,ESCHAR:/[ntvbrfa\\\?'"\(\[\{]/,start:"root",tokenizer:{root:[{regex:/[ \t\r\n]+/,action:{token:""}},{regex:/\(\*\)/,action:{token:"invalid"}},{regex:/\(\*/,action:{token:"comment",next:"lexing_COMMENT_block_ml"}},{regex:/\(/,action:"@brackets"},{regex:/\)/,action:"@brackets"},{regex:/\[/,action:"@brackets"},{regex:/\]/,action:"@brackets"},{regex:/\{/,action:"@brackets"},{regex:/\}/,action:"@brackets"},{regex:/,\(/,action:"@brackets"},{regex:/,/,action:{token:"delimiter.comma"}},{regex:/;/,action:{token:"delimiter.semicolon"}},{regex:/@\(/,action:"@brackets"},{regex:/@\[/,action:"@brackets"},{regex:/@\{/,action:"@brackets"},{regex:/:</,action:{token:"keyword",next:"@lexing_EFFECT_commaseq0"}},{regex:/\.@symbolic+/,action:{token:"identifier.sym"}},{regex:/\.@digit*@fexponent@FLOATSP*/,action:{token:"number.float"}},{regex:/\.@digit+/,action:{token:"number.float"}},{regex:/\$@IDENTFST@IDENTRST*/,action:{cases:{"@keywords_dlr":{token:"keyword.dlr"},"@default":{token:"namespace"}}}},{regex:/\#@IDENTFST@IDENTRST*/,action:{cases:{"@keywords_srp":{token:"keyword.srp"},"@default":{token:"identifier"}}}},{regex:/%\(/,action:{token:"delimiter.parenthesis"}},{regex:/^%{(#|\^|\$)?/,action:{token:"keyword",next:"@lexing_EXTCODE",nextEmbedded:"text/javascript"}},{regex:/^%}/,action:{token:"keyword"}},{regex:/'\(/,action:{token:"delimiter.parenthesis"}},{regex:/'\[/,action:{token:"delimiter.bracket"}},{regex:/'\{/,action:{token:"delimiter.brace"}},[/(')(\\@ESCHAR|\\[xX]@xdigit+|\\@digit+)(')/,["string","string.escape","string"]],[/'[^\\']'/,"string"],[/"/,"string.quote","@lexing_DQUOTE"],{regex:/`\(/,action:"@brackets"},{regex:/\\/,action:{token:"punctuation"}},{regex:/@irregular_keywords(?!@IDENTRST)/,action:{token:"keyword"}},{regex:/@IDENTFST@IDENTRST*[<!\[]?/,action:{cases:{"@keywords":{token:"keyword"},"@keywords_types":{token:"type"},"@default":{token:"identifier"}}}},{regex:/\/\/\/\//,action:{token:"comment",next:"@lexing_COMMENT_rest"}},{regex:/\/\/.*$/,action:{token:"comment"}},{regex:/\/\*/,action:{token:"comment",next:"@lexing_COMMENT_block_c"}},{regex:/-<|=</,action:{token:"keyword",next:"@lexing_EFFECT_commaseq0"}},{regex:/@symbolic+/,action:{cases:{"@operators":"keyword","@default":"operator"}}},{regex:/0[xX]@xdigit+(@hexiexp|@fexponent_bin)@FLOATSP*/,action:{token:"number.float"}},{regex:/0[xX]@xdigit+@INTSP*/,action:{token:"number.hex"}},{regex:/0[0-7]+(?![0-9])@INTSP*/,action:{token:"number.octal"}},{regex:/@digit+(@fexponent|@deciexp)@FLOATSP*/,action:{token:"number.float"}},{regex:/@digit@digitseq0@INTSP*/,action:{token:"number.decimal"}},{regex:/@digit+@INTSP*/,action:{token:"number"}}],lexing_COMMENT_block_ml:[[/[^\(\*]+/,"comment"],[/\(\*/,"comment","@push"],[/\(\*/,"comment.invalid"],[/\*\)/,"comment","@pop"],[/\*/,"comment"]],lexing_COMMENT_block_c:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],lexing_COMMENT_rest:[[/$/,"comment","@pop"],[/.*/,"comment"]],lexing_EFFECT_commaseq0:[{regex:/@IDENTFST@IDENTRST+|@digit+/,action:{cases:{"@keywords_effects":{token:"type.effect"},"@default":{token:"identifier"}}}},{regex:/,/,action:{token:"punctuation"}},{regex:/>/,action:{token:"@rematch",next:"@pop"}}],lexing_EXTCODE:[{regex:/^%}/,action:{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}},{regex:/[^%]+/,action:""}],lexing_DQUOTE:[{regex:/"/,action:{token:"string.quote",next:"@pop"}},{regex:/(\{\$)(@IDENTFST@IDENTRST*)(\})/,action:[{token:"string.escape"},{token:"identifier"},{token:"string.escape"}]},{regex:/\\$/,action:{token:"string.escape"}},{regex:/\\(@ESCHAR|[xX]@xdigit+|@digit+)/,action:{token:"string.escape"}},{regex:/[^\\"]+/,action:{token:"string"}}]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/powerquery-M-mSA4YD.js b/docs/assets/monaco/powerquery-M-mSA4YD.js
new file mode 100644
index 0000000..51ae1b6
--- /dev/null
+++ b/docs/assets/monaco/powerquery-M-mSA4YD.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["[","]"],["(",")"],["{","}"]],autoClosingPairs:[{open:'"',close:'"',notIn:["string","comment","identifier"]},{open:"[",close:"]",notIn:["string","comment","identifier"]},{open:"(",close:")",notIn:["string","comment","identifier"]},{open:"{",close:"}",notIn:["string","comment","identifier"]}]},t={defaultToken:"",tokenPostfix:".pq",ignoreCase:!1,brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"{",close:"}",token:"delimiter.brackets"},{open:"(",close:")",token:"delimiter.parenthesis"}],operatorKeywords:["and","not","or"],keywords:["as","each","else","error","false","if","in","is","let","meta","otherwise","section","shared","then","true","try","type"],constructors:["#binary","#date","#datetime","#datetimezone","#duration","#table","#time"],constants:["#infinity","#nan","#sections","#shared"],typeKeywords:["action","any","anynonnull","none","null","logical","number","time","date","datetime","datetimezone","duration","text","binary","list","record","table","function"],builtinFunctions:["Access.Database","Action.Return","Action.Sequence","Action.Try","ActiveDirectory.Domains","AdoDotNet.DataSource","AdoDotNet.Query","AdobeAnalytics.Cubes","AnalysisServices.Database","AnalysisServices.Databases","AzureStorage.BlobContents","AzureStorage.Blobs","AzureStorage.Tables","Binary.Buffer","Binary.Combine","Binary.Compress","Binary.Decompress","Binary.End","Binary.From","Binary.FromList","Binary.FromText","Binary.InferContentType","Binary.Length","Binary.ToList","Binary.ToText","BinaryFormat.7BitEncodedSignedInteger","BinaryFormat.7BitEncodedUnsignedInteger","BinaryFormat.Binary","BinaryFormat.Byte","BinaryFormat.ByteOrder","BinaryFormat.Choice","BinaryFormat.Decimal","BinaryFormat.Double","BinaryFormat.Group","BinaryFormat.Length","BinaryFormat.List","BinaryFormat.Null","BinaryFormat.Record","BinaryFormat.SignedInteger16","BinaryFormat.SignedInteger32","BinaryFormat.SignedInteger64","BinaryFormat.Single","BinaryFormat.Text","BinaryFormat.Transform","BinaryFormat.UnsignedInteger16","BinaryFormat.UnsignedInteger32","BinaryFormat.UnsignedInteger64","Byte.From","Character.FromNumber","Character.ToNumber","Combiner.CombineTextByDelimiter","Combiner.CombineTextByEachDelimiter","Combiner.CombineTextByLengths","Combiner.CombineTextByPositions","Combiner.CombineTextByRanges","Comparer.Equals","Comparer.FromCulture","Comparer.Ordinal","Comparer.OrdinalIgnoreCase","Csv.Document","Cube.AddAndExpandDimensionColumn","Cube.AddMeasureColumn","Cube.ApplyParameter","Cube.AttributeMemberId","Cube.AttributeMemberProperty","Cube.CollapseAndRemoveColumns","Cube.Dimensions","Cube.DisplayFolders","Cube.Measures","Cube.Parameters","Cube.Properties","Cube.PropertyKey","Cube.ReplaceDimensions","Cube.Transform","Currency.From","DB2.Database","Date.AddDays","Date.AddMonths","Date.AddQuarters","Date.AddWeeks","Date.AddYears","Date.Day","Date.DayOfWeek","Date.DayOfWeekName","Date.DayOfYear","Date.DaysInMonth","Date.EndOfDay","Date.EndOfMonth","Date.EndOfQuarter","Date.EndOfWeek","Date.EndOfYear","Date.From","Date.FromText","Date.IsInCurrentDay","Date.IsInCurrentMonth","Date.IsInCurrentQuarter","Date.IsInCurrentWeek","Date.IsInCurrentYear","Date.IsInNextDay","Date.IsInNextMonth","Date.IsInNextNDays","Date.IsInNextNMonths","Date.IsInNextNQuarters","Date.IsInNextNWeeks","Date.IsInNextNYears","Date.IsInNextQuarter","Date.IsInNextWeek","Date.IsInNextYear","Date.IsInPreviousDay","Date.IsInPreviousMonth","Date.IsInPreviousNDays","Date.IsInPreviousNMonths","Date.IsInPreviousNQuarters","Date.IsInPreviousNWeeks","Date.IsInPreviousNYears","Date.IsInPreviousQuarter","Date.IsInPreviousWeek","Date.IsInPreviousYear","Date.IsInYearToDate","Date.IsLeapYear","Date.Month","Date.MonthName","Date.QuarterOfYear","Date.StartOfDay","Date.StartOfMonth","Date.StartOfQuarter","Date.StartOfWeek","Date.StartOfYear","Date.ToRecord","Date.ToText","Date.WeekOfMonth","Date.WeekOfYear","Date.Year","DateTime.AddZone","DateTime.Date","DateTime.FixedLocalNow","DateTime.From","DateTime.FromFileTime","DateTime.FromText","DateTime.IsInCurrentHour","DateTime.IsInCurrentMinute","DateTime.IsInCurrentSecond","DateTime.IsInNextHour","DateTime.IsInNextMinute","DateTime.IsInNextNHours","DateTime.IsInNextNMinutes","DateTime.IsInNextNSeconds","DateTime.IsInNextSecond","DateTime.IsInPreviousHour","DateTime.IsInPreviousMinute","DateTime.IsInPreviousNHours","DateTime.IsInPreviousNMinutes","DateTime.IsInPreviousNSeconds","DateTime.IsInPreviousSecond","DateTime.LocalNow","DateTime.Time","DateTime.ToRecord","DateTime.ToText","DateTimeZone.FixedLocalNow","DateTimeZone.FixedUtcNow","DateTimeZone.From","DateTimeZone.FromFileTime","DateTimeZone.FromText","DateTimeZone.LocalNow","DateTimeZone.RemoveZone","DateTimeZone.SwitchZone","DateTimeZone.ToLocal","DateTimeZone.ToRecord","DateTimeZone.ToText","DateTimeZone.ToUtc","DateTimeZone.UtcNow","DateTimeZone.ZoneHours","DateTimeZone.ZoneMinutes","Decimal.From","Diagnostics.ActivityId","Diagnostics.Trace","DirectQueryCapabilities.From","Double.From","Duration.Days","Duration.From","Duration.FromText","Duration.Hours","Duration.Minutes","Duration.Seconds","Duration.ToRecord","Duration.ToText","Duration.TotalDays","Duration.TotalHours","Duration.TotalMinutes","Duration.TotalSeconds","Embedded.Value","Error.Record","Excel.CurrentWorkbook","Excel.Workbook","Exchange.Contents","Expression.Constant","Expression.Evaluate","Expression.Identifier","Facebook.Graph","File.Contents","Folder.Contents","Folder.Files","Function.From","Function.Invoke","Function.InvokeAfter","Function.IsDataSource","GoogleAnalytics.Accounts","Guid.From","HdInsight.Containers","HdInsight.Contents","HdInsight.Files","Hdfs.Contents","Hdfs.Files","Informix.Database","Int16.From","Int32.From","Int64.From","Int8.From","ItemExpression.From","Json.Document","Json.FromValue","Lines.FromBinary","Lines.FromText","Lines.ToBinary","Lines.ToText","List.Accumulate","List.AllTrue","List.Alternate","List.AnyTrue","List.Average","List.Buffer","List.Combine","List.Contains","List.ContainsAll","List.ContainsAny","List.Count","List.Covariance","List.DateTimeZones","List.DateTimes","List.Dates","List.Difference","List.Distinct","List.Durations","List.FindText","List.First","List.FirstN","List.Generate","List.InsertRange","List.Intersect","List.IsDistinct","List.IsEmpty","List.Last","List.LastN","List.MatchesAll","List.MatchesAny","List.Max","List.MaxN","List.Median","List.Min","List.MinN","List.Mode","List.Modes","List.NonNullCount","List.Numbers","List.PositionOf","List.PositionOfAny","List.Positions","List.Product","List.Random","List.Range","List.RemoveFirstN","List.RemoveItems","List.RemoveLastN","List.RemoveMatchingItems","List.RemoveNulls","List.RemoveRange","List.Repeat","List.ReplaceMatchingItems","List.ReplaceRange","List.ReplaceValue","List.Reverse","List.Select","List.Single","List.SingleOrDefault","List.Skip","List.Sort","List.StandardDeviation","List.Sum","List.Times","List.Transform","List.TransformMany","List.Union","List.Zip","Logical.From","Logical.FromText","Logical.ToText","MQ.Queue","MySQL.Database","Number.Abs","Number.Acos","Number.Asin","Number.Atan","Number.Atan2","Number.BitwiseAnd","Number.BitwiseNot","Number.BitwiseOr","Number.BitwiseShiftLeft","Number.BitwiseShiftRight","Number.BitwiseXor","Number.Combinations","Number.Cos","Number.Cosh","Number.Exp","Number.Factorial","Number.From","Number.FromText","Number.IntegerDivide","Number.IsEven","Number.IsNaN","Number.IsOdd","Number.Ln","Number.Log","Number.Log10","Number.Mod","Number.Permutations","Number.Power","Number.Random","Number.RandomBetween","Number.Round","Number.RoundAwayFromZero","Number.RoundDown","Number.RoundTowardZero","Number.RoundUp","Number.Sign","Number.Sin","Number.Sinh","Number.Sqrt","Number.Tan","Number.Tanh","Number.ToText","OData.Feed","Odbc.DataSource","Odbc.Query","OleDb.DataSource","OleDb.Query","Oracle.Database","Percentage.From","PostgreSQL.Database","RData.FromBinary","Record.AddField","Record.Combine","Record.Field","Record.FieldCount","Record.FieldNames","Record.FieldOrDefault","Record.FieldValues","Record.FromList","Record.FromTable","Record.HasFields","Record.RemoveFields","Record.RenameFields","Record.ReorderFields","Record.SelectFields","Record.ToList","Record.ToTable","Record.TransformFields","Replacer.ReplaceText","Replacer.ReplaceValue","RowExpression.Column","RowExpression.From","Salesforce.Data","Salesforce.Reports","SapBusinessWarehouse.Cubes","SapHana.Database","SharePoint.Contents","SharePoint.Files","SharePoint.Tables","Single.From","Soda.Feed","Splitter.SplitByNothing","Splitter.SplitTextByAnyDelimiter","Splitter.SplitTextByDelimiter","Splitter.SplitTextByEachDelimiter","Splitter.SplitTextByLengths","Splitter.SplitTextByPositions","Splitter.SplitTextByRanges","Splitter.SplitTextByRepeatedLengths","Splitter.SplitTextByWhitespace","Sql.Database","Sql.Databases","SqlExpression.SchemaFrom","SqlExpression.ToExpression","Sybase.Database","Table.AddColumn","Table.AddIndexColumn","Table.AddJoinColumn","Table.AddKey","Table.AggregateTableColumn","Table.AlternateRows","Table.Buffer","Table.Column","Table.ColumnCount","Table.ColumnNames","Table.ColumnsOfType","Table.Combine","Table.CombineColumns","Table.Contains","Table.ContainsAll","Table.ContainsAny","Table.DemoteHeaders","Table.Distinct","Table.DuplicateColumn","Table.ExpandListColumn","Table.ExpandRecordColumn","Table.ExpandTableColumn","Table.FillDown","Table.FillUp","Table.FilterWithDataTable","Table.FindText","Table.First","Table.FirstN","Table.FirstValue","Table.FromColumns","Table.FromList","Table.FromPartitions","Table.FromRecords","Table.FromRows","Table.FromValue","Table.Group","Table.HasColumns","Table.InsertRows","Table.IsDistinct","Table.IsEmpty","Table.Join","Table.Keys","Table.Last","Table.LastN","Table.MatchesAllRows","Table.MatchesAnyRows","Table.Max","Table.MaxN","Table.Min","Table.MinN","Table.NestedJoin","Table.Partition","Table.PartitionValues","Table.Pivot","Table.PositionOf","Table.PositionOfAny","Table.PrefixColumns","Table.Profile","Table.PromoteHeaders","Table.Range","Table.RemoveColumns","Table.RemoveFirstN","Table.RemoveLastN","Table.RemoveMatchingRows","Table.RemoveRows","Table.RemoveRowsWithErrors","Table.RenameColumns","Table.ReorderColumns","Table.Repeat","Table.ReplaceErrorValues","Table.ReplaceKeys","Table.ReplaceMatchingRows","Table.ReplaceRelationshipIdentity","Table.ReplaceRows","Table.ReplaceValue","Table.ReverseRows","Table.RowCount","Table.Schema","Table.SelectColumns","Table.SelectRows","Table.SelectRowsWithErrors","Table.SingleRow","Table.Skip","Table.Sort","Table.SplitColumn","Table.ToColumns","Table.ToList","Table.ToRecords","Table.ToRows","Table.TransformColumnNames","Table.TransformColumnTypes","Table.TransformColumns","Table.TransformRows","Table.Transpose","Table.Unpivot","Table.UnpivotOtherColumns","Table.View","Table.ViewFunction","TableAction.DeleteRows","TableAction.InsertRows","TableAction.UpdateRows","Tables.GetRelationships","Teradata.Database","Text.AfterDelimiter","Text.At","Text.BeforeDelimiter","Text.BetweenDelimiters","Text.Clean","Text.Combine","Text.Contains","Text.End","Text.EndsWith","Text.Format","Text.From","Text.FromBinary","Text.Insert","Text.Length","Text.Lower","Text.Middle","Text.NewGuid","Text.PadEnd","Text.PadStart","Text.PositionOf","Text.PositionOfAny","Text.Proper","Text.Range","Text.Remove","Text.RemoveRange","Text.Repeat","Text.Replace","Text.ReplaceRange","Text.Select","Text.Split","Text.SplitAny","Text.Start","Text.StartsWith","Text.ToBinary","Text.ToList","Text.Trim","Text.TrimEnd","Text.TrimStart","Text.Upper","Time.EndOfHour","Time.From","Time.FromText","Time.Hour","Time.Minute","Time.Second","Time.StartOfHour","Time.ToRecord","Time.ToText","Type.AddTableKey","Type.ClosedRecord","Type.Facets","Type.ForFunction","Type.ForRecord","Type.FunctionParameters","Type.FunctionRequiredParameters","Type.FunctionReturn","Type.Is","Type.IsNullable","Type.IsOpenRecord","Type.ListItem","Type.NonNullable","Type.OpenRecord","Type.RecordFields","Type.ReplaceFacets","Type.ReplaceTableKeys","Type.TableColumn","Type.TableKeys","Type.TableRow","Type.TableSchema","Type.Union","Uri.BuildQueryString","Uri.Combine","Uri.EscapeDataString","Uri.Parts","Value.Add","Value.As","Value.Compare","Value.Divide","Value.Equals","Value.Firewall","Value.FromText","Value.Is","Value.Metadata","Value.Multiply","Value.NativeQuery","Value.NullableEquals","Value.RemoveMetadata","Value.ReplaceMetadata","Value.ReplaceType","Value.Subtract","Value.Type","ValueAction.NativeStatement","ValueAction.Replace","Variable.Value","Web.Contents","Web.Page","WebAction.Request","Xml.Document","Xml.Tables"],builtinConstants:["BinaryEncoding.Base64","BinaryEncoding.Hex","BinaryOccurrence.Optional","BinaryOccurrence.Repeating","BinaryOccurrence.Required","ByteOrder.BigEndian","ByteOrder.LittleEndian","Compression.Deflate","Compression.GZip","CsvStyle.QuoteAfterDelimiter","CsvStyle.QuoteAlways","Culture.Current","Day.Friday","Day.Monday","Day.Saturday","Day.Sunday","Day.Thursday","Day.Tuesday","Day.Wednesday","ExtraValues.Error","ExtraValues.Ignore","ExtraValues.List","GroupKind.Global","GroupKind.Local","JoinAlgorithm.Dynamic","JoinAlgorithm.LeftHash","JoinAlgorithm.LeftIndex","JoinAlgorithm.PairwiseHash","JoinAlgorithm.RightHash","JoinAlgorithm.RightIndex","JoinAlgorithm.SortMerge","JoinKind.FullOuter","JoinKind.Inner","JoinKind.LeftAnti","JoinKind.LeftOuter","JoinKind.RightAnti","JoinKind.RightOuter","JoinSide.Left","JoinSide.Right","MissingField.Error","MissingField.Ignore","MissingField.UseNull","Number.E","Number.Epsilon","Number.NaN","Number.NegativeInfinity","Number.PI","Number.PositiveInfinity","Occurrence.All","Occurrence.First","Occurrence.Last","Occurrence.Optional","Occurrence.Repeating","Occurrence.Required","Order.Ascending","Order.Descending","Precision.Decimal","Precision.Double","QuoteStyle.Csv","QuoteStyle.None","RelativePosition.FromEnd","RelativePosition.FromStart","RoundingMode.AwayFromZero","RoundingMode.Down","RoundingMode.ToEven","RoundingMode.TowardZero","RoundingMode.Up","SapHanaDistribution.All","SapHanaDistribution.Connection","SapHanaDistribution.Off","SapHanaDistribution.Statement","SapHanaRangeOperator.Equals","SapHanaRangeOperator.GreaterThan","SapHanaRangeOperator.GreaterThanOrEquals","SapHanaRangeOperator.LessThan","SapHanaRangeOperator.LessThanOrEquals","SapHanaRangeOperator.NotEquals","TextEncoding.Ascii","TextEncoding.BigEndianUnicode","TextEncoding.Unicode","TextEncoding.Utf16","TextEncoding.Utf8","TextEncoding.Windows","TraceLevel.Critical","TraceLevel.Error","TraceLevel.Information","TraceLevel.Verbose","TraceLevel.Warning","WebMethod.Delete","WebMethod.Get","WebMethod.Head","WebMethod.Patch","WebMethod.Post","WebMethod.Put"],builtinTypes:["Action.Type","Any.Type","Binary.Type","BinaryEncoding.Type","BinaryOccurrence.Type","Byte.Type","ByteOrder.Type","Character.Type","Compression.Type","CsvStyle.Type","Currency.Type","Date.Type","DateTime.Type","DateTimeZone.Type","Day.Type","Decimal.Type","Double.Type","Duration.Type","ExtraValues.Type","Function.Type","GroupKind.Type","Guid.Type","Int16.Type","Int32.Type","Int64.Type","Int8.Type","JoinAlgorithm.Type","JoinKind.Type","JoinSide.Type","List.Type","Logical.Type","MissingField.Type","None.Type","Null.Type","Number.Type","Occurrence.Type","Order.Type","Password.Type","Percentage.Type","Precision.Type","QuoteStyle.Type","Record.Type","RelativePosition.Type","RoundingMode.Type","SapHanaDistribution.Type","SapHanaRangeOperator.Type","Single.Type","Table.Type","Text.Type","TextEncoding.Type","Time.Type","TraceLevel.Type","Type.Type","Uri.Type","WebMethod.Type"],tokenizer:{root:[[/#"[\w \.]+"/,"identifier.quote"],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F]+/,"number.hex"],[/\d+([eE][\-+]?\d+)?/,"number"],[/(#?[a-z]+)\b/,{cases:{"@typeKeywords":"type","@keywords":"keyword","@constants":"constant","@constructors":"constructor","@operatorKeywords":"operators","@default":"identifier"}}],[/\b([A-Z][a-zA-Z0-9]+\.Type)\b/,{cases:{"@builtinTypes":"type","@default":"identifier"}}],[/\b([A-Z][a-zA-Z0-9]+\.[A-Z][a-zA-Z0-9]+)\b/,{cases:{"@builtinFunctions":"keyword.function","@builtinConstants":"constant","@default":"identifier"}}],[/\b([a-zA-Z_][\w\.]*)\b/,"identifier"],{include:"@whitespace"},{include:"@comments"},{include:"@strings"},[/[{}()\[\]]/,"@brackets"],[/([=\+<>\-\*&@\?\/!])|([<>]=)|(<>)|(=>)|(\.\.\.)|(\.\.)/,"operators"],[/[,;]/,"delimiter"]],whitespace:[[/\s+/,"white"]],comments:[["\\/\\*","comment","@comment"],["\\/\\/+.*","comment"]],comment:[["\\*\\/","comment","@pop"],[".","comment"]],strings:[['"',"string","@string"]],string:[['""',"string.escape"],['"',"string","@pop"],[".","string"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/powershell-DzOgjbHk.js b/docs/assets/monaco/powershell-DzOgjbHk.js
new file mode 100644
index 0000000..f0b26da
--- /dev/null
+++ b/docs/assets/monaco/powershell-DzOgjbHk.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\#%\^\&\*\(\)\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{lineComment:"#",blockComment:["<#","#>"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*#region\\b"),end:new RegExp("^\\s*#endregion\\b")}}},n={defaultToken:"",ignoreCase:!0,tokenPostfix:".ps1",brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.square",open:"[",close:"]"},{token:"delimiter.parenthesis",open:"(",close:")"}],keywords:["begin","break","catch","class","continue","data","define","do","dynamicparam","else","elseif","end","exit","filter","finally","for","foreach","from","function","if","in","param","process","return","switch","throw","trap","try","until","using","var","while","workflow","parallel","sequence","inlinescript","configuration"],helpKeywords:/SYNOPSIS|DESCRIPTION|PARAMETER|EXAMPLE|INPUTS|OUTPUTS|NOTES|LINK|COMPONENT|ROLE|FUNCTIONALITY|FORWARDHELPTARGETNAME|FORWARDHELPCATEGORY|REMOTEHELPRUNSPACE|EXTERNALHELP/,symbols:/[=><!~?&%|+\-*\/\^;\.,]+/,escapes:/`(?:[abfnrtv\\"'$]|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/[a-zA-Z_][\w-]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":""}}],[/[ \t\r\n]+/,""],[/^:\w*/,"metatag"],[/\$(\{((global|local|private|script|using):)?[\w]+\}|((global|local|private|script|using):)?[\w]+)/,"variable"],[/<#/,"comment","@comment"],[/#.*$/,"comment"],[/[{}()\[\]]/,"@brackets"],[/@symbols/,"delimiter"],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F_]*[0-9a-fA-F]/,"number.hex"],[/\d+?/,"number"],[/[;,.]/,"delimiter"],[/\@"/,"string",'@herestring."'],[/\@'/,"string","@herestring.'"],[/"/,{cases:{"@eos":"string","@default":{token:"string",next:'@string."'}}}],[/'/,{cases:{"@eos":"string","@default":{token:"string",next:"@string.'"}}}]],string:[[/[^"'\$`]+/,{cases:{"@eos":{token:"string",next:"@popall"},"@default":"string"}}],[/@escapes/,{cases:{"@eos":{token:"string.escape",next:"@popall"},"@default":"string.escape"}}],[/`./,{cases:{"@eos":{token:"string.escape.invalid",next:"@popall"},"@default":"string.escape.invalid"}}],[/\$[\w]+$/,{cases:{'$S2=="':{token:"variable",next:"@popall"},"@default":{token:"string",next:"@popall"}}}],[/\$[\w]+/,{cases:{'$S2=="':"variable","@default":"string"}}],[/["']/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":{cases:{"@eos":{token:"string",next:"@popall"},"@default":"string"}}}}]],herestring:[[/^\s*(["'])@/,{cases:{"$1==$S2":{token:"string",next:"@pop"},"@default":"string"}}],[/[^\$`]+/,"string"],[/@escapes/,"string.escape"],[/`./,"string.escape.invalid"],[/\$[\w]+/,{cases:{'$S2=="':"variable","@default":"string"}}]],comment:[[/[^#\.]+/,"comment"],[/#>/,"comment","@pop"],[/(\.)(@helpKeywords)(?!\w)/,{token:"comment.keyword.$2"}],[/[\.#]/,"comment"]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/protobuf-BIE5FnyZ.js b/docs/assets/monaco/protobuf-BIE5FnyZ.js
new file mode 100644
index 0000000..93987c0
--- /dev/null
+++ b/docs/assets/monaco/protobuf-BIE5FnyZ.js
@@ -0,0 +1,7 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e=["true","false"],t={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"],["<",">"]],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:'"',close:'"'},{open:"'",close:"'"}],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">"},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string"]}],autoCloseBefore:`.,=}])>'
+ `,indentationRules:{increaseIndentPattern:new RegExp("^((?!\\/\\/).)*(\\{[^}\"'`]*|\\([^)\"'`]*|\\[[^\\]\"'`]*)$"),decreaseIndentPattern:new RegExp("^((?!.*?\\/\\*).*\\*/)?\\s*[\\}\\]].*$")}},n={defaultToken:"",tokenPostfix:".proto",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],symbols:/[=><!~?:&|+\-*/^%]+/,keywords:["syntax","import","weak","public","package","option","repeated","oneof","map","reserved","to","max","enum","message","service","rpc","stream","returns","package","optional","true","false"],builtinTypes:["double","float","int32","int64","uint32","uint64","sint32","sint64","fixed32","fixed64","sfixed32","sfixed64","bool","string","bytes"],operators:["=","+","-"],namedLiterals:e,escapes:"\\\\(u{[0-9A-Fa-f]+}|n|r|t|\\\\|'|\\${)",identifier:/[a-zA-Z]\w*/,fullIdentifier:/@identifier(?:\s*\.\s*@identifier)*/,optionName:/(?:@identifier|\(\s*@fullIdentifier\s*\))(?:\s*\.\s*@identifier)*/,messageName:/@identifier/,enumName:/@identifier/,messageType:/\.?\s*(?:@identifier\s*\.\s*)*@messageName/,enumType:/\.?\s*(?:@identifier\s*\.\s*)*@enumName/,floatLit:/[0-9]+\s*\.\s*[0-9]*(?:@exponent)?|[0-9]+@exponent|\.[0-9]+(?:@exponent)?/,exponent:/[eE]\s*[+-]?\s*[0-9]+/,boolLit:/true\b|false\b/,decimalLit:/[1-9][0-9]*/,octalLit:/0[0-7]*/,hexLit:/0[xX][0-9a-fA-F]+/,type:/double|float|int32|int64|uint32|uint64|sint32|sint64|fixed32|fixed64|sfixed32|sfixed64|bool|string|bytes|@messageType|@enumType/,keyType:/int32|int64|uint32|uint64|sint32|sint64|fixed32|fixed64|sfixed32|sfixed64|bool|string/,tokenizer:{root:[{include:"@whitespace"},[/syntax/,"keyword"],[/=/,"operators"],[/;/,"delimiter"],[/(")(proto3)(")/,["string.quote","string",{token:"string.quote",switchTo:"@topLevel.proto3"}]],[/(")(proto2)(")/,["string.quote","string",{token:"string.quote",switchTo:"@topLevel.proto2"}]],[/.*?/,{token:"",switchTo:"@topLevel.proto2"}]],topLevel:[{include:"@whitespace"},{include:"@constant"},[/=/,"operators"],[/[;.]/,"delimiter"],[/@fullIdentifier/,{cases:{option:{token:"keyword",next:"@option.$S2"},enum:{token:"keyword",next:"@enumDecl.$S2"},message:{token:"keyword",next:"@messageDecl.$S2"},service:{token:"keyword",next:"@serviceDecl.$S2"},extend:{cases:{"$S2==proto2":{token:"keyword",next:"@extendDecl.$S2"}}},"@keywords":"keyword","@default":"identifier"}}]],enumDecl:[{include:"@whitespace"},[/@identifier/,"type.identifier"],[/{/,{token:"@brackets",bracket:"@open",switchTo:"@enumBody.$S2"}]],enumBody:[{include:"@whitespace"},{include:"@constant"},[/=/,"operators"],[/;/,"delimiter"],[/option\b/,"keyword","@option.$S2"],[/@identifier/,"identifier"],[/\[/,{token:"@brackets",bracket:"@open",next:"@options.$S2"}],[/}/,{token:"@brackets",bracket:"@close",next:"@pop"}]],messageDecl:[{include:"@whitespace"},[/@identifier/,"type.identifier"],[/{/,{token:"@brackets",bracket:"@open",switchTo:"@messageBody.$S2"}]],messageBody:[{include:"@whitespace"},{include:"@constant"},[/=/,"operators"],[/;/,"delimiter"],["(map)(s*)(<)",["keyword","white",{token:"@brackets",bracket:"@open",next:"@map.$S2"}]],[/@identifier/,{cases:{option:{token:"keyword",next:"@option.$S2"},enum:{token:"keyword",next:"@enumDecl.$S2"},message:{token:"keyword",next:"@messageDecl.$S2"},oneof:{token:"keyword",next:"@oneofDecl.$S2"},extensions:{cases:{"$S2==proto2":{token:"keyword",next:"@reserved.$S2"}}},reserved:{token:"keyword",next:"@reserved.$S2"},"(?:repeated|optional)":{token:"keyword",next:"@field.$S2"},required:{cases:{"$S2==proto2":{token:"keyword",next:"@field.$S2"}}},"$S2==proto3":{token:"@rematch",next:"@field.$S2"}}}],[/\[/,{token:"@brackets",bracket:"@open",next:"@options.$S2"}],[/}/,{token:"@brackets",bracket:"@close",next:"@pop"}]],extendDecl:[{include:"@whitespace"},[/@identifier/,"type.identifier"],[/{/,{token:"@brackets",bracket:"@open",switchTo:"@extendBody.$S2"}]],extendBody:[{include:"@whitespace"},{include:"@constant"},[/;/,"delimiter"],[/(?:repeated|optional|required)/,"keyword","@field.$S2"],[/\[/,{token:"@brackets",bracket:"@open",next:"@options.$S2"}],[/}/,{token:"@brackets",bracket:"@close",next:"@pop"}]],options:[{include:"@whitespace"},{include:"@constant"},[/;/,"delimiter"],[/@optionName/,"annotation"],[/[()]/,"annotation.brackets"],[/=/,"operator"],[/\]/,{token:"@brackets",bracket:"@close",next:"@pop"}]],option:[{include:"@whitespace"},[/@optionName/,"annotation"],[/[()]/,"annotation.brackets"],[/=/,"operator","@pop"]],oneofDecl:[{include:"@whitespace"},[/@identifier/,"identifier"],[/{/,{token:"@brackets",bracket:"@open",switchTo:"@oneofBody.$S2"}]],oneofBody:[{include:"@whitespace"},{include:"@constant"},[/;/,"delimiter"],[/(@identifier)(\s*)(=)/,["identifier","white","delimiter"]],[/@fullIdentifier|\./,{cases:{"@builtinTypes":"keyword","@default":"type.identifier"}}],[/\[/,{token:"@brackets",bracket:"@open",next:"@options.$S2"}],[/}/,{token:"@brackets",bracket:"@close",next:"@pop"}]],reserved:[{include:"@whitespace"},[/,/,"delimiter"],[/;/,"delimiter","@pop"],{include:"@constant"},[/to\b|max\b/,"keyword"]],map:[{include:"@whitespace"},[/@fullIdentifier|\./,{cases:{"@builtinTypes":"keyword","@default":"type.identifier"}}],[/,/,"delimiter"],[/>/,{token:"@brackets",bracket:"@close",switchTo:"identifier"}]],field:[{include:"@whitespace"},["group",{cases:{"$S2==proto2":{token:"keyword",switchTo:"@groupDecl.$S2"}}}],[/(@identifier)(\s*)(=)/,["identifier","white",{token:"delimiter",next:"@pop"}]],[/@fullIdentifier|\./,{cases:{"@builtinTypes":"keyword","@default":"type.identifier"}}]],groupDecl:[{include:"@whitespace"},[/@identifier/,"identifier"],["=","operator"],[/{/,{token:"@brackets",bracket:"@open",switchTo:"@messageBody.$S2"}],{include:"@constant"}],type:[{include:"@whitespace"},[/@identifier/,"type.identifier","@pop"],[/./,"delimiter"]],identifier:[{include:"@whitespace"},[/@identifier/,"identifier","@pop"]],serviceDecl:[{include:"@whitespace"},[/@identifier/,"identifier"],[/{/,{token:"@brackets",bracket:"@open",switchTo:"@serviceBody.$S2"}]],serviceBody:[{include:"@whitespace"},{include:"@constant"},[/;/,"delimiter"],[/option\b/,"keyword","@option.$S2"],[/rpc\b/,"keyword","@rpc.$S2"],[/\[/,{token:"@brackets",bracket:"@open",next:"@options.$S2"}],[/}/,{token:"@brackets",bracket:"@close",next:"@pop"}]],rpc:[{include:"@whitespace"},[/@identifier/,"identifier"],[/\(/,{token:"@brackets",bracket:"@open",switchTo:"@request.$S2"}],[/{/,{token:"@brackets",bracket:"@open",next:"@methodOptions.$S2"}],[/;/,"delimiter","@pop"]],request:[{include:"@whitespace"},[/@messageType/,{cases:{stream:{token:"keyword",next:"@type.$S2"},"@default":"type.identifier"}}],[/\)/,{token:"@brackets",bracket:"@close",switchTo:"@returns.$S2"}]],returns:[{include:"@whitespace"},[/returns\b/,"keyword"],[/\(/,{token:"@brackets",bracket:"@open",switchTo:"@response.$S2"}]],response:[{include:"@whitespace"},[/@messageType/,{cases:{stream:{token:"keyword",next:"@type.$S2"},"@default":"type.identifier"}}],[/\)/,{token:"@brackets",bracket:"@close",switchTo:"@rpc.$S2"}]],methodOptions:[{include:"@whitespace"},{include:"@constant"},[/;/,"delimiter"],["option","keyword"],[/@optionName/,"annotation"],[/[()]/,"annotation.brackets"],[/=/,"operator"],[/}/,{token:"@brackets",bracket:"@close",next:"@pop"}]],comment:[[/[^\/*]+/,"comment"],[/\/\*/,"comment","@push"],["\\*/","comment","@pop"],[/[\/*]/,"comment"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,{token:"string.quote",bracket:"@close",next:"@pop"}]],stringSingle:[[/[^\\']+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/'/,{token:"string.quote",bracket:"@close",next:"@pop"}]],constant:[["@boolLit","keyword.constant"],["@hexLit","number.hex"],["@octalLit","number.octal"],["@decimalLit","number"],["@floatLit","number.float"],[/("([^"\\]|\\.)*|'([^'\\]|\\.)*)$/,"string.invalid"],[/"/,{token:"string.quote",bracket:"@open",next:"@string"}],[/'/,{token:"string.quote",bracket:"@open",next:"@stringSingle"}],[/{/,{token:"@brackets",bracket:"@open",next:"@prototext"}],[/identifier/,"identifier"]],whitespace:[[/[ \t\r\n]+/,"white"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],prototext:[{include:"@whitespace"},{include:"@constant"},[/@identifier/,"identifier"],[/[:;]/,"delimiter"],[/}/,{token:"@brackets",bracket:"@close",next:"@pop"}]]}};export{t as conf,n as language};
diff --git a/docs/assets/monaco/pug-s9KOoKAL.js b/docs/assets/monaco/pug-s9KOoKAL.js
new file mode 100644
index 0000000..979d1da
--- /dev/null
+++ b/docs/assets/monaco/pug-s9KOoKAL.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:'"',close:'"',notIn:["string","comment"]},{open:"'",close:"'",notIn:["string","comment"]},{open:"{",close:"}",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]}],folding:{offSide:!0}},t={defaultToken:"",tokenPostfix:".pug",ignoreCase:!0,brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.array",open:"[",close:"]"},{token:"delimiter.parenthesis",open:"(",close:")"}],keywords:["append","block","case","default","doctype","each","else","extends","for","if","in","include","mixin","typeof","unless","var","when"],tags:["a","abbr","acronym","address","area","article","aside","audio","b","base","basefont","bdi","bdo","blockquote","body","br","button","canvas","caption","center","cite","code","col","colgroup","command","datalist","dd","del","details","dfn","div","dl","dt","em","embed","fieldset","figcaption","figure","font","footer","form","frame","frameset","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","i","iframe","img","input","ins","keygen","kbd","label","li","link","map","mark","menu","meta","meter","nav","noframes","noscript","object","ol","optgroup","option","output","p","param","pre","progress","q","rp","rt","ruby","s","samp","script","section","select","small","source","span","strike","strong","style","sub","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","title","tr","tracks","tt","u","ul","video","wbr"],symbols:/[\+\-\*\%\&\|\!\=\/\.\,\:]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/^(\s*)([a-zA-Z_-][\w-]*)/,{cases:{"$2@tags":{cases:{"@eos":["","tag"],"@default":["",{token:"tag",next:"@tag.$1"}]}},"$2@keywords":["",{token:"keyword.$2"}],"@default":["",""]}}],[/^(\s*)(#[a-zA-Z_-][\w-]*)/,{cases:{"@eos":["","tag.id"],"@default":["",{token:"tag.id",next:"@tag.$1"}]}}],[/^(\s*)(\.[a-zA-Z_-][\w-]*)/,{cases:{"@eos":["","tag.class"],"@default":["",{token:"tag.class",next:"@tag.$1"}]}}],[/^(\s*)(\|.*)$/,""],{include:"@whitespace"},[/[a-zA-Z_$][\w$]*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":""}}],[/[{}()\[\]]/,"@brackets"],[/@symbols/,"delimiter"],[/\d+\.\d+([eE][\-+]?\d+)?/,"number.float"],[/\d+/,"number"],[/"/,"string",'@string."'],[/'/,"string","@string.'"]],tag:[[/(\.)(\s*$)/,[{token:"delimiter",next:"@blockText.$S2."},""]],[/\s+/,{token:"",next:"@simpleText"}],[/#[a-zA-Z_-][\w-]*/,{cases:{"@eos":{token:"tag.id",next:"@pop"},"@default":"tag.id"}}],[/\.[a-zA-Z_-][\w-]*/,{cases:{"@eos":{token:"tag.class",next:"@pop"},"@default":"tag.class"}}],[/\(/,{token:"delimiter.parenthesis",next:"@attributeList"}]],simpleText:[[/[^#]+$/,{token:"",next:"@popall"}],[/[^#]+/,{token:""}],[/(#{)([^}]*)(})/,{cases:{"@eos":["interpolation.delimiter","interpolation",{token:"interpolation.delimiter",next:"@popall"}],"@default":["interpolation.delimiter","interpolation","interpolation.delimiter"]}}],[/#$/,{token:"",next:"@popall"}],[/#/,""]],attributeList:[[/\s+/,""],[/(\w+)(\s*=\s*)("|')/,["attribute.name","delimiter",{token:"attribute.value",next:"@value.$3"}]],[/\w+/,"attribute.name"],[/,/,{cases:{"@eos":{token:"attribute.delimiter",next:"@popall"},"@default":"attribute.delimiter"}}],[/\)$/,{token:"delimiter.parenthesis",next:"@popall"}],[/\)/,{token:"delimiter.parenthesis",next:"@pop"}]],whitespace:[[/^(\s*)(\/\/.*)$/,{token:"comment",next:"@blockText.$1.comment"}],[/[ \t\r\n]+/,""],[/<!--/,{token:"comment",next:"@comment"}]],blockText:[[/^\s+.*$/,{cases:{"($S2\\s+.*$)":{token:"$S3"},"@default":{token:"@rematch",next:"@popall"}}}],[/./,{token:"@rematch",next:"@popall"}]],comment:[[/[^<\-]+/,"comment.content"],[/-->/,{token:"comment",next:"@pop"}],[/<!--/,"comment.content.invalid"],[/[<\-]/,"comment.content"]],string:[[/[^\\"'#]+/,{cases:{"@eos":{token:"string",next:"@popall"},"@default":"string"}}],[/@escapes/,{cases:{"@eos":{token:"string.escape",next:"@popall"},"@default":"string.escape"}}],[/\\./,{cases:{"@eos":{token:"string.escape.invalid",next:"@popall"},"@default":"string.escape.invalid"}}],[/(#{)([^}]*)(})/,["interpolation.delimiter","interpolation","interpolation.delimiter"]],[/#/,"string"],[/["']/,{cases:{"$#==$S2":{token:"string",next:"@pop"},"@default":{token:"string"}}}]],value:[[/[^\\"']+/,{cases:{"@eos":{token:"attribute.value",next:"@popall"},"@default":"attribute.value"}}],[/\\./,{cases:{"@eos":{token:"attribute.value",next:"@popall"},"@default":"attribute.value"}}],[/["']/,{cases:{"$#==$S2":{token:"attribute.value",next:"@pop"},"@default":{token:"attribute.value"}}}]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/python-D975luWu.js b/docs/assets/monaco/python-D975luWu.js
new file mode 100644
index 0000000..da70622
--- /dev/null
+++ b/docs/assets/monaco/python-D975luWu.js
@@ -0,0 +1,6 @@
+import{o}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var a=Object.defineProperty,l=Object.getOwnPropertyDescriptor,p=Object.getOwnPropertyNames,c=Object.prototype.hasOwnProperty,g=(t,e,n,s)=>{if(e&&typeof e=="object"||typeof e=="function")for(let r of p(e))!c.call(t,r)&&r!==n&&a(t,r,{get:()=>e[r],enumerable:!(s=l(e,r))||s.enumerable});return t},d=(t,e,n)=>(g(t,e,"default"),n),i={};d(i,o);var b={comments:{lineComment:"#",blockComment:["'''","'''"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],onEnterRules:[{beforeText:new RegExp("^\\s*(?:def|class|for|if|elif|else|while|try|with|finally|except|async|match|case).*?:\\s*$"),action:{indentAction:i.languages.IndentAction.Indent}}],folding:{offSide:!0,markers:{start:new RegExp("^\\s*#region\\b"),end:new RegExp("^\\s*#endregion\\b")}}},u={defaultToken:"",tokenPostfix:".python",keywords:["False","None","True","_","and","as","assert","async","await","break","case","class","continue","def","del","elif","else","except","exec","finally","for","from","global","if","import","in","is","lambda","match","nonlocal","not","or","pass","print","raise","return","try","type","while","with","yield","int","float","long","complex","hex","abs","all","any","apply","basestring","bin","bool","buffer","bytearray","callable","chr","classmethod","cmp","coerce","compile","complex","delattr","dict","dir","divmod","enumerate","eval","execfile","file","filter","format","frozenset","getattr","globals","hasattr","hash","help","id","input","intern","isinstance","issubclass","iter","len","locals","list","map","max","memoryview","min","next","object","oct","open","ord","pow","print","property","reversed","range","raw_input","reduce","reload","repr","reversed","round","self","set","setattr","slice","sorted","staticmethod","str","sum","super","tuple","type","unichr","unicode","vars","xrange","zip","__dict__","__methods__","__members__","__class__","__bases__","__name__","__mro__","__subclasses__","__init__","__import__"],brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.bracket"},{open:"(",close:")",token:"delimiter.parenthesis"}],tokenizer:{root:[{include:"@whitespace"},{include:"@numbers"},{include:"@strings"},[/[,:;]/,"delimiter"],[/[{}\[\]()]/,"@brackets"],[/@[a-zA-Z_]\w*/,"tag"],[/[a-zA-Z_]\w*/,{cases:{"@keywords":"keyword","@default":"identifier"}}]],whitespace:[[/\s+/,"white"],[/(^#.*$)/,"comment"],[/'''/,"string","@endDocString"],[/"""/,"string","@endDblDocString"]],endDocString:[[/[^']+/,"string"],[/\\'/,"string"],[/'''/,"string","@popall"],[/'/,"string"]],endDblDocString:[[/[^"]+/,"string"],[/\\"/,"string"],[/"""/,"string","@popall"],[/"/,"string"]],numbers:[[/-?0x([abcdef]|[ABCDEF]|\d)+[lL]?/,"number.hex"],[/-?(\d*\.)?\d+([eE][+\-]?\d+)?[jJ]?[lL]?/,"number"]],strings:[[/'$/,"string.escape","@popall"],[/f'{1,3}/,"string.escape","@fStringBody"],[/'/,"string.escape","@stringBody"],[/"$/,"string.escape","@popall"],[/f"{1,3}/,"string.escape","@fDblStringBody"],[/"/,"string.escape","@dblStringBody"]],fStringBody:[[/[^\\'\{\}]+$/,"string","@popall"],[/[^\\'\{\}]+/,"string"],[/\{[^\}':!=]+/,"identifier","@fStringDetail"],[/\\./,"string"],[/'/,"string.escape","@popall"],[/\\$/,"string"]],stringBody:[[/[^\\']+$/,"string","@popall"],[/[^\\']+/,"string"],[/\\./,"string"],[/'/,"string.escape","@popall"],[/\\$/,"string"]],fDblStringBody:[[/[^\\"\{\}]+$/,"string","@popall"],[/[^\\"\{\}]+/,"string"],[/\{[^\}':!=]+/,"identifier","@fStringDetail"],[/\\./,"string"],[/"/,"string.escape","@popall"],[/\\$/,"string"]],dblStringBody:[[/[^\\"]+$/,"string","@popall"],[/[^\\"]+/,"string"],[/\\./,"string"],[/"/,"string.escape","@popall"],[/\\$/,"string"]],fStringDetail:[[/[:][^}]+/,"string"],[/[!][ars]/,"string"],[/=/,"string"],[/\}/,"identifier","@pop"]]}};export{b as conf,u as language};
diff --git a/docs/assets/monaco/qsharp-DWDrKSir.js b/docs/assets/monaco/qsharp-DWDrKSir.js
new file mode 100644
index 0000000..231cace
--- /dev/null
+++ b/docs/assets/monaco/qsharp-DWDrKSir.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"',notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}]},t={keywords:["namespace","open","import","export","as","operation","function","body","adjoint","newtype","struct","controlled","if","elif","else","repeat","until","fixup","for","in","while","return","fail","within","apply","Adjoint","Controlled","Adj","Ctl","is","self","auto","distribute","invert","intrinsic","let","set","w/","new","not","and","or","use","borrow","using","borrowing","mutable","internal"],typeKeywords:["Unit","Int","BigInt","Double","Bool","String","Qubit","Result","Pauli","Range"],invalidKeywords:["abstract","base","bool","break","byte","case","catch","char","checked","class","const","continue","decimal","default","delegate","do","double","enum","event","explicit","extern","finally","fixed","float","foreach","goto","implicit","int","interface","lock","long","null","object","operator","out","override","params","private","protected","public","readonly","ref","sbyte","sealed","short","sizeof","stackalloc","static","string","switch","this","throw","try","typeof","unit","ulong","unchecked","unsafe","ushort","virtual","void","volatile"],constants:["true","false","PauliI","PauliX","PauliY","PauliZ","One","Zero"],builtin:["X","Y","Z","H","HY","S","T","SWAP","CNOT","CCNOT","MultiX","R","RFrac","Rx","Ry","Rz","R1","R1Frac","Exp","ExpFrac","Measure","M","MultiM","Message","Length","Assert","AssertProb","AssertEqual"],operators:["and=","<-","->","*","*=","@","!","^","^=",":","::",".","..","==","...","=","=>",">",">=","<","<=","-","-=","!=","or=","%","%=","|","+","+=","?","/","/=","&&&","&&&=","^^^","^^^=",">>>",">>>=","<<<","<<<=","|||","|||=","~~~","_","w/","w/="],namespaceFollows:["namespace","open"],importsFollows:["import"],symbols:/[=><!~?:&|+\-*\/\^%@._]+/,escapes:/\\[\s\S]/,tokenizer:{root:[[/[a-zA-Z_$][\w$]*/,{cases:{"@namespaceFollows":{token:"keyword.$0",next:"@namespace"},"@importsFollows":{token:"keyword.$0",next:"@imports"},"@typeKeywords":"type","@keywords":"keyword","@constants":"constant","@builtin":"keyword","@invalidKeywords":"invalid","@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/@symbols/,{cases:{"@operators":"operator","@default":""}}],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/\d+/,"number"],[/[;,.]/,"delimiter"],[/"/,{token:"string.quote",bracket:"@open",next:"@string"}]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/"/,{token:"string.quote",bracket:"@close",next:"@pop"}]],namespace:[{include:"@whitespace"},[/[A-Za-z]\w*/,"namespace"],[/[\.]/,"delimiter"],["","","@pop"]],imports:[{include:"@whitespace"},[/[A-Za-z]\w*(?=\.)/,"namespace"],[/[A-Za-z]\w*/,"identifier"],[/\*/,"wildcard"],[/[\.,]/,"delimiter"],["","","@pop"]],whitespace:[[/[ \t\r\n]+/,"white"],[/(\/\/).*/,"comment"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/r-DnKTOUT4.js b/docs/assets/monaco/r-DnKTOUT4.js
new file mode 100644
index 0000000..c73880e
--- /dev/null
+++ b/docs/assets/monaco/r-DnKTOUT4.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}]},o={defaultToken:"",tokenPostfix:".r",roxygen:["@alias","@aliases","@assignee","@author","@backref","@callGraph","@callGraphDepth","@callGraphPrimitives","@concept","@describeIn","@description","@details","@docType","@encoding","@evalNamespace","@evalRd","@example","@examples","@export","@exportClass","@exportMethod","@exportPattern","@family","@field","@formals","@format","@import","@importClassesFrom","@importFrom","@importMethodsFrom","@include","@inherit","@inheritDotParams","@inheritParams","@inheritSection","@keywords","@md","@method","@name","@noMd","@noRd","@note","@param","@rawNamespace","@rawRd","@rdname","@references","@return","@S3method","@section","@seealso","@setClass","@slot","@source","@template","@templateVar","@title","@TODO","@usage","@useDynLib"],constants:["NULL","FALSE","TRUE","NA","Inf","NaN","NA_integer_","NA_real_","NA_complex_","NA_character_","T","F","LETTERS","letters","month.abb","month.name","pi","R.version.string"],keywords:["break","next","return","if","else","for","in","repeat","while","array","category","character","complex","double","function","integer","list","logical","matrix","numeric","vector","data.frame","factor","library","require","attach","detach","source"],special:["\\n","\\r","\\t","\\b","\\a","\\f","\\v","\\'",'\\"',"\\\\"],brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.bracket"},{open:"(",close:")",token:"delimiter.parenthesis"}],tokenizer:{root:[{include:"@numbers"},{include:"@strings"},[/[{}\[\]()]/,"@brackets"],{include:"@operators"},[/#'$/,"comment.doc"],[/#'/,"comment.doc","@roxygen"],[/(^#.*$)/,"comment"],[/\s+/,"white"],[/[,:;]/,"delimiter"],[/@[a-zA-Z]\w*/,"tag"],[/[a-zA-Z]\w*/,{cases:{"@keywords":"keyword","@constants":"constant","@default":"identifier"}}]],roxygen:[[/@\w+/,{cases:{"@roxygen":"tag","@eos":{token:"comment.doc",next:"@pop"},"@default":"comment.doc"}}],[/\s+/,{cases:{"@eos":{token:"comment.doc",next:"@pop"},"@default":"comment.doc"}}],[/.*/,{token:"comment.doc",next:"@pop"}]],numbers:[[/0[xX][0-9a-fA-F]+/,"number.hex"],[/-?(\d*\.)?\d+([eE][+\-]?\d+)?/,"number"]],operators:[[/<{1,2}-/,"operator"],[/->{1,2}/,"operator"],[/%[^%\s]+%/,"operator"],[/\*\*/,"operator"],[/%%/,"operator"],[/&&/,"operator"],[/\|\|/,"operator"],[/<</,"operator"],[/>>/,"operator"],[/[-+=&|!<>^~*/:$]/,"operator"]],strings:[[/'/,"string.escape","@stringBody"],[/"/,"string.escape","@dblStringBody"]],stringBody:[[/\\./,{cases:{"@special":"string","@default":"error-token"}}],[/'/,"string.escape","@popall"],[/./,"string"]],dblStringBody:[[/\\./,{cases:{"@special":"string","@default":"error-token"}}],[/"/,"string.escape","@popall"],[/./,"string"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/razor-BSJ28aAe.js b/docs/assets/monaco/razor-BSJ28aAe.js
new file mode 100644
index 0000000..d17b5e8
--- /dev/null
+++ b/docs/assets/monaco/razor-BSJ28aAe.js
@@ -0,0 +1,6 @@
+import{o as m}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var s=Object.defineProperty,c=Object.getOwnPropertyDescriptor,l=Object.getOwnPropertyNames,d=Object.prototype.hasOwnProperty,p=(t,e,o,n)=>{if(e&&typeof e=="object"||typeof e=="function")for(let r of l(e))!d.call(t,r)&&r!==o&&s(t,r,{get:()=>e[r],enumerable:!(n=c(e,r))||n.enumerable});return t},h=(t,e,o)=>(p(t,e,"default"),o),a={};h(a,m);var i=["area","base","br","col","embed","hr","img","input","keygen","link","menuitem","meta","param","source","track","wbr"],k={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\$\^\&\*\(\)\-\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\s]+)/g,comments:{blockComment:["<!--","-->"]},brackets:[["<!--","-->"],["<",">"],["{","}"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:'"',close:'"'},{open:"'",close:"'"},{open:"<",close:">"}],onEnterRules:[{beforeText:new RegExp(`<(?!(?:${i.join("|")}))(\\w[\\w\\d]*)([^/>]*(?!/)>)[^<]*$`,"i"),afterText:/^<\/(\w[\w\d]*)\s*>$/i,action:{indentAction:a.languages.IndentAction.IndentOutdent}},{beforeText:new RegExp(`<(?!(?:${i.join("|")}))(\\w[\\w\\d]*)([^/>]*(?!/)>)[^<]*$`,"i"),action:{indentAction:a.languages.IndentAction.Indent}}]},w={defaultToken:"",tokenPostfix:"",tokenizer:{root:[[/@@@@/],[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.root"}],[/<!DOCTYPE/,"metatag.html","@doctype"],[/<!--/,"comment.html","@comment"],[/(<)([\w\-]+)(\/>)/,["delimiter.html","tag.html","delimiter.html"]],[/(<)(script)/,["delimiter.html",{token:"tag.html",next:"@script"}]],[/(<)(style)/,["delimiter.html",{token:"tag.html",next:"@style"}]],[/(<)([:\w\-]+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/(<\/)([\w\-]+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/</,"delimiter.html"],[/[ \t\r\n]+/],[/[^<@]+/]],doctype:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.comment"}],[/[^>]+/,"metatag.content.html"],[/>/,"metatag.html","@pop"]],comment:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.comment"}],[/-->/,"comment.html","@pop"],[/[^-]+/,"comment.content.html"],[/./,"comment.content.html"]],otherTag:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.otherTag"}],[/\/?>/,"delimiter.html","@pop"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/]],script:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.script"}],[/type/,"attribute.name","@scriptAfterType"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/(<\/)(script\s*)(>)/,["delimiter.html","tag.html",{token:"delimiter.html",next:"@pop"}]]],scriptAfterType:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.scriptAfterType"}],[/=/,"delimiter","@scriptAfterTypeEquals"],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptAfterTypeEquals:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.scriptAfterTypeEquals"}],[/"([^"]*)"/,{token:"attribute.value",switchTo:"@scriptWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value",switchTo:"@scriptWithCustomType.$1"}],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.text/javascript",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptWithCustomType:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.scriptWithCustomType.$S2"}],[/>/,{token:"delimiter.html",next:"@scriptEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptEmbedded:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInEmbeddedState.scriptEmbedded.$S2",nextEmbedded:"@pop"}],[/<\/script/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}]],style:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.style"}],[/type/,"attribute.name","@styleAfterType"],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/(<\/)(style\s*)(>)/,["delimiter.html","tag.html",{token:"delimiter.html",next:"@pop"}]]],styleAfterType:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.styleAfterType"}],[/=/,"delimiter","@styleAfterTypeEquals"],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleAfterTypeEquals:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.styleAfterTypeEquals"}],[/"([^"]*)"/,{token:"attribute.value",switchTo:"@styleWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value",switchTo:"@styleWithCustomType.$1"}],[/>/,{token:"delimiter.html",next:"@styleEmbedded.text/css",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleWithCustomType:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInSimpleState.styleWithCustomType.$S2"}],[/>/,{token:"delimiter.html",next:"@styleEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value"],[/'([^']*)'/,"attribute.value"],[/[\w\-]+/,"attribute.name"],[/=/,"delimiter"],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleEmbedded:[[/@[^@]/,{token:"@rematch",switchTo:"@razorInEmbeddedState.styleEmbedded.$S2",nextEmbedded:"@pop"}],[/<\/style/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}]],razorInSimpleState:[[/@\*/,"comment.cs","@razorBlockCommentTopLevel"],[/@[{(]/,"metatag.cs","@razorRootTopLevel"],[/(@)(\s*[\w]+)/,["metatag.cs",{token:"identifier.cs",switchTo:"@$S2.$S3"}]],[/[})]/,{token:"metatag.cs",switchTo:"@$S2.$S3"}],[/\*@/,{token:"comment.cs",switchTo:"@$S2.$S3"}]],razorInEmbeddedState:[[/@\*/,"comment.cs","@razorBlockCommentTopLevel"],[/@[{(]/,"metatag.cs","@razorRootTopLevel"],[/(@)(\s*[\w]+)/,["metatag.cs",{token:"identifier.cs",switchTo:"@$S2.$S3",nextEmbedded:"$S3"}]],[/[})]/,{token:"metatag.cs",switchTo:"@$S2.$S3",nextEmbedded:"$S3"}],[/\*@/,{token:"comment.cs",switchTo:"@$S2.$S3",nextEmbedded:"$S3"}]],razorBlockCommentTopLevel:[[/\*@/,"@rematch","@pop"],[/[^*]+/,"comment.cs"],[/./,"comment.cs"]],razorBlockComment:[[/\*@/,"comment.cs","@pop"],[/[^*]+/,"comment.cs"],[/./,"comment.cs"]],razorRootTopLevel:[[/\{/,"delimiter.bracket.cs","@razorRoot"],[/\(/,"delimiter.parenthesis.cs","@razorRoot"],[/[})]/,"@rematch","@pop"],{include:"razorCommon"}],razorRoot:[[/\{/,"delimiter.bracket.cs","@razorRoot"],[/\(/,"delimiter.parenthesis.cs","@razorRoot"],[/\}/,"delimiter.bracket.cs","@pop"],[/\)/,"delimiter.parenthesis.cs","@pop"],{include:"razorCommon"}],razorCommon:[[/[a-zA-Z_]\w*/,{cases:{"@razorKeywords":{token:"keyword.cs"},"@default":"identifier.cs"}}],[/[\[\]]/,"delimiter.array.cs"],[/[ \t\r\n]+/],[/\/\/.*$/,"comment.cs"],[/@\*/,"comment.cs","@razorBlockComment"],[/"([^"]*)"/,"string.cs"],[/'([^']*)'/,"string.cs"],[/(<)([\w\-]+)(\/>)/,["delimiter.html","tag.html","delimiter.html"]],[/(<)([\w\-]+)(>)/,["delimiter.html","tag.html","delimiter.html"]],[/(<\/)([\w\-]+)(>)/,["delimiter.html","tag.html","delimiter.html"]],[/[\+\-\*\%\&\|\^\~\!\=\<\>\/\?\;\:\.\,]/,"delimiter.cs"],[/\d*\d+[eE]([\-+]?\d+)?/,"number.float.cs"],[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float.cs"],[/0[xX][0-9a-fA-F']*[0-9a-fA-F]/,"number.hex.cs"],[/0[0-7']*[0-7]/,"number.octal.cs"],[/0[bB][0-1']*[0-1]/,"number.binary.cs"],[/\d[\d']*/,"number.cs"],[/\d/,"number.cs"]]},razorKeywords:["abstract","as","async","await","base","bool","break","by","byte","case","catch","char","checked","class","const","continue","decimal","default","delegate","do","double","descending","explicit","event","extern","else","enum","false","finally","fixed","float","for","foreach","from","goto","group","if","implicit","in","int","interface","internal","into","is","lock","long","nameof","new","null","namespace","object","operator","out","override","orderby","params","private","protected","public","readonly","ref","return","switch","struct","sbyte","sealed","short","sizeof","stackalloc","static","string","select","this","throw","true","try","typeof","uint","ulong","unchecked","unsafe","ushort","using","var","virtual","volatile","void","when","while","where","yield","model","inject"],escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/};export{k as conf,w as language};
diff --git a/docs/assets/monaco/redis-CWZNkOVt.js b/docs/assets/monaco/redis-CWZNkOVt.js
new file mode 100644
index 0000000..3d3113f
--- /dev/null
+++ b/docs/assets/monaco/redis-CWZNkOVt.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var E={brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},S={defaultToken:"",tokenPostfix:".redis",ignoreCase:!0,brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"}],keywords:["APPEND","AUTH","BGREWRITEAOF","BGSAVE","BITCOUNT","BITFIELD","BITOP","BITPOS","BLPOP","BRPOP","BRPOPLPUSH","CLIENT","KILL","LIST","GETNAME","PAUSE","REPLY","SETNAME","CLUSTER","ADDSLOTS","COUNT-FAILURE-REPORTS","COUNTKEYSINSLOT","DELSLOTS","FAILOVER","FORGET","GETKEYSINSLOT","INFO","KEYSLOT","MEET","NODES","REPLICATE","RESET","SAVECONFIG","SET-CONFIG-EPOCH","SETSLOT","SLAVES","SLOTS","COMMAND","COUNT","GETKEYS","CONFIG","GET","REWRITE","SET","RESETSTAT","DBSIZE","DEBUG","OBJECT","SEGFAULT","DECR","DECRBY","DEL","DISCARD","DUMP","ECHO","EVAL","EVALSHA","EXEC","EXISTS","EXPIRE","EXPIREAT","FLUSHALL","FLUSHDB","GEOADD","GEOHASH","GEOPOS","GEODIST","GEORADIUS","GEORADIUSBYMEMBER","GETBIT","GETRANGE","GETSET","HDEL","HEXISTS","HGET","HGETALL","HINCRBY","HINCRBYFLOAT","HKEYS","HLEN","HMGET","HMSET","HSET","HSETNX","HSTRLEN","HVALS","INCR","INCRBY","INCRBYFLOAT","KEYS","LASTSAVE","LINDEX","LINSERT","LLEN","LPOP","LPUSH","LPUSHX","LRANGE","LREM","LSET","LTRIM","MGET","MIGRATE","MONITOR","MOVE","MSET","MSETNX","MULTI","PERSIST","PEXPIRE","PEXPIREAT","PFADD","PFCOUNT","PFMERGE","PING","PSETEX","PSUBSCRIBE","PUBSUB","PTTL","PUBLISH","PUNSUBSCRIBE","QUIT","RANDOMKEY","READONLY","READWRITE","RENAME","RENAMENX","RESTORE","ROLE","RPOP","RPOPLPUSH","RPUSH","RPUSHX","SADD","SAVE","SCARD","SCRIPT","FLUSH","LOAD","SDIFF","SDIFFSTORE","SELECT","SETBIT","SETEX","SETNX","SETRANGE","SHUTDOWN","SINTER","SINTERSTORE","SISMEMBER","SLAVEOF","SLOWLOG","SMEMBERS","SMOVE","SORT","SPOP","SRANDMEMBER","SREM","STRLEN","SUBSCRIBE","SUNION","SUNIONSTORE","SWAPDB","SYNC","TIME","TOUCH","TTL","TYPE","UNSUBSCRIBE","UNLINK","UNWATCH","WAIT","WATCH","ZADD","ZCARD","ZCOUNT","ZINCRBY","ZINTERSTORE","ZLEXCOUNT","ZRANGE","ZRANGEBYLEX","ZREVRANGEBYLEX","ZRANGEBYSCORE","ZRANK","ZREM","ZREMRANGEBYLEX","ZREMRANGEBYRANK","ZREMRANGEBYSCORE","ZREVRANGE","ZREVRANGEBYSCORE","ZREVRANK","ZSCORE","ZUNIONSTORE","SCAN","SSCAN","HSCAN","ZSCAN"],operators:[],builtinFunctions:[],builtinVariables:[],pseudoColumns:[],tokenizer:{root:[{include:"@whitespace"},{include:"@pseudoColumns"},{include:"@numbers"},{include:"@strings"},{include:"@scopes"},[/[;,.]/,"delimiter"],[/[()]/,"@brackets"],[/[\w@#$]+/,{cases:{"@keywords":"keyword","@operators":"operator","@builtinVariables":"predefined","@builtinFunctions":"predefined","@default":"identifier"}}],[/[<>=!%&+\-*/|~^]/,"operator"]],whitespace:[[/\s+/,"white"]],pseudoColumns:[[/[$][A-Za-z_][\w@#$]*/,{cases:{"@pseudoColumns":"predefined","@default":"identifier"}}]],numbers:[[/0[xX][0-9a-fA-F]*/,"number"],[/[$][+-]*\d*(\.\d*)?/,"number"],[/((\d+(\.\d*)?)|(\.\d+))([eE][\-+]?\d+)?/,"number"]],strings:[[/'/,{token:"string",next:"@string"}],[/"/,{token:"string.double",next:"@stringDouble"}]],string:[[/[^']+/,"string"],[/''/,"string"],[/'/,{token:"string",next:"@pop"}]],stringDouble:[[/[^"]+/,"string.double"],[/""/,"string.double"],[/"/,{token:"string.double",next:"@pop"}]],scopes:[]}};export{E as conf,S as language};
diff --git a/docs/assets/monaco/redshift-Bhrh1xcx.js b/docs/assets/monaco/redshift-Bhrh1xcx.js
new file mode 100644
index 0000000..527b554
--- /dev/null
+++ b/docs/assets/monaco/redshift-Bhrh1xcx.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"--",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},_={defaultToken:"",tokenPostfix:".sql",ignoreCase:!0,brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"}],keywords:["AES128","AES256","ALL","ALLOWOVERWRITE","ANALYSE","ANALYZE","AND","ANY","ARRAY","AS","ASC","AUTHORIZATION","AZ64","BACKUP","BETWEEN","BINARY","BLANKSASNULL","BOTH","BYTEDICT","BZIP2","CASE","CAST","CHECK","COLLATE","COLUMN","CONSTRAINT","CREATE","CREDENTIALS","CROSS","CURRENT_DATE","CURRENT_TIME","CURRENT_TIMESTAMP","CURRENT_USER","CURRENT_USER_ID","DEFAULT","DEFERRABLE","DEFLATE","DEFRAG","DELTA","DELTA32K","DESC","DISABLE","DISTINCT","DO","ELSE","EMPTYASNULL","ENABLE","ENCODE","ENCRYPT","ENCRYPTION","END","EXCEPT","EXPLICIT","FALSE","FOR","FOREIGN","FREEZE","FROM","FULL","GLOBALDICT256","GLOBALDICT64K","GRANT","GROUP","GZIP","HAVING","IDENTITY","IGNORE","ILIKE","IN","INITIALLY","INNER","INTERSECT","INTO","IS","ISNULL","JOIN","LANGUAGE","LEADING","LEFT","LIKE","LIMIT","LOCALTIME","LOCALTIMESTAMP","LUN","LUNS","LZO","LZOP","MINUS","MOSTLY16","MOSTLY32","MOSTLY8","NATURAL","NEW","NOT","NOTNULL","NULL","NULLS","OFF","OFFLINE","OFFSET","OID","OLD","ON","ONLY","OPEN","OR","ORDER","OUTER","OVERLAPS","PARALLEL","PARTITION","PERCENT","PERMISSIONS","PLACING","PRIMARY","RAW","READRATIO","RECOVER","REFERENCES","RESPECT","REJECTLOG","RESORT","RESTORE","RIGHT","SELECT","SESSION_USER","SIMILAR","SNAPSHOT","SOME","SYSDATE","SYSTEM","TABLE","TAG","TDES","TEXT255","TEXT32K","THEN","TIMESTAMP","TO","TOP","TRAILING","TRUE","TRUNCATECOLUMNS","UNION","UNIQUE","USER","USING","VERBOSE","WALLET","WHEN","WHERE","WITH","WITHOUT"],operators:["AND","BETWEEN","IN","LIKE","NOT","OR","IS","NULL","INTERSECT","UNION","INNER","JOIN","LEFT","OUTER","RIGHT"],builtinFunctions:["current_schema","current_schemas","has_database_privilege","has_schema_privilege","has_table_privilege","age","current_time","current_timestamp","localtime","isfinite","now","ascii","get_bit","get_byte","set_bit","set_byte","to_ascii","approximate percentile_disc","avg","count","listagg","max","median","min","percentile_cont","stddev_samp","stddev_pop","sum","var_samp","var_pop","bit_and","bit_or","bool_and","bool_or","cume_dist","first_value","lag","last_value","lead","nth_value","ratio_to_report","dense_rank","ntile","percent_rank","rank","row_number","case","coalesce","decode","greatest","least","nvl","nvl2","nullif","add_months","at time zone","convert_timezone","current_date","date_cmp","date_cmp_timestamp","date_cmp_timestamptz","date_part_year","dateadd","datediff","date_part","date_trunc","extract","getdate","interval_cmp","last_day","months_between","next_day","sysdate","timeofday","timestamp_cmp","timestamp_cmp_date","timestamp_cmp_timestamptz","timestamptz_cmp","timestamptz_cmp_date","timestamptz_cmp_timestamp","timezone","to_timestamp","trunc","abs","acos","asin","atan","atan2","cbrt","ceil","ceiling","checksum","cos","cot","degrees","dexp","dlog1","dlog10","exp","floor","ln","log","mod","pi","power","radians","random","round","sin","sign","sqrt","tan","to_hex","bpcharcmp","btrim","bttext_pattern_cmp","char_length","character_length","charindex","chr","concat","crc32","func_sha1","initcap","left and rights","len","length","lower","lpad and rpads","ltrim","md5","octet_length","position","quote_ident","quote_literal","regexp_count","regexp_instr","regexp_replace","regexp_substr","repeat","replace","replicate","reverse","rtrim","split_part","strpos","strtol","substring","textlen","translate","trim","upper","cast","convert","to_char","to_date","to_number","json_array_length","json_extract_array_element_text","json_extract_path_text","current_setting","pg_cancel_backend","pg_terminate_backend","set_config","current_database","current_user","current_user_id","pg_backend_pid","pg_last_copy_count","pg_last_copy_id","pg_last_query_id","pg_last_unload_count","session_user","slice_num","user","version","abbrev","acosd","any","area","array_agg","array_append","array_cat","array_dims","array_fill","array_length","array_lower","array_ndims","array_position","array_positions","array_prepend","array_remove","array_replace","array_to_json","array_to_string","array_to_tsvector","array_upper","asind","atan2d","atand","bit","bit_length","bound_box","box","brin_summarize_new_values","broadcast","cardinality","center","circle","clock_timestamp","col_description","concat_ws","convert_from","convert_to","corr","cosd","cotd","covar_pop","covar_samp","current_catalog","current_query","current_role","currval","cursor_to_xml","diameter","div","encode","enum_first","enum_last","enum_range","every","family","format","format_type","generate_series","generate_subscripts","get_current_ts_config","gin_clean_pending_list","grouping","has_any_column_privilege","has_column_privilege","has_foreign_data_wrapper_privilege","has_function_privilege","has_language_privilege","has_sequence_privilege","has_server_privilege","has_tablespace_privilege","has_type_privilege","height","host","hostmask","inet_client_addr","inet_client_port","inet_merge","inet_same_family","inet_server_addr","inet_server_port","isclosed","isempty","isopen","json_agg","json_object","json_object_agg","json_populate_record","json_populate_recordset","json_to_record","json_to_recordset","jsonb_agg","jsonb_object_agg","justify_days","justify_hours","justify_interval","lastval","left","line","localtimestamp","lower_inc","lower_inf","lpad","lseg","make_date","make_interval","make_time","make_timestamp","make_timestamptz","masklen","mode","netmask","network","nextval","npoints","num_nonnulls","num_nulls","numnode","obj_description","overlay","parse_ident","path","pclose","percentile_disc","pg_advisory_lock","pg_advisory_lock_shared","pg_advisory_unlock","pg_advisory_unlock_all","pg_advisory_unlock_shared","pg_advisory_xact_lock","pg_advisory_xact_lock_shared","pg_backup_start_time","pg_blocking_pids","pg_client_encoding","pg_collation_is_visible","pg_column_size","pg_conf_load_time","pg_control_checkpoint","pg_control_init","pg_control_recovery","pg_control_system","pg_conversion_is_visible","pg_create_logical_replication_slot","pg_create_physical_replication_slot","pg_create_restore_point","pg_current_xlog_flush_location","pg_current_xlog_insert_location","pg_current_xlog_location","pg_database_size","pg_describe_object","pg_drop_replication_slot","pg_export_snapshot","pg_filenode_relation","pg_function_is_visible","pg_get_constraintdef","pg_get_expr","pg_get_function_arguments","pg_get_function_identity_arguments","pg_get_function_result","pg_get_functiondef","pg_get_indexdef","pg_get_keywords","pg_get_object_address","pg_get_owned_sequence","pg_get_ruledef","pg_get_serial_sequence","pg_get_triggerdef","pg_get_userbyid","pg_get_viewdef","pg_has_role","pg_identify_object","pg_identify_object_as_address","pg_index_column_has_property","pg_index_has_property","pg_indexam_has_property","pg_indexes_size","pg_is_in_backup","pg_is_in_recovery","pg_is_other_temp_schema","pg_is_xlog_replay_paused","pg_last_committed_xact","pg_last_xact_replay_timestamp","pg_last_xlog_receive_location","pg_last_xlog_replay_location","pg_listening_channels","pg_logical_emit_message","pg_logical_slot_get_binary_changes","pg_logical_slot_get_changes","pg_logical_slot_peek_binary_changes","pg_logical_slot_peek_changes","pg_ls_dir","pg_my_temp_schema","pg_notification_queue_usage","pg_opclass_is_visible","pg_operator_is_visible","pg_opfamily_is_visible","pg_options_to_table","pg_postmaster_start_time","pg_read_binary_file","pg_read_file","pg_relation_filenode","pg_relation_filepath","pg_relation_size","pg_reload_conf","pg_replication_origin_create","pg_replication_origin_drop","pg_replication_origin_oid","pg_replication_origin_progress","pg_replication_origin_session_is_setup","pg_replication_origin_session_progress","pg_replication_origin_session_reset","pg_replication_origin_session_setup","pg_replication_origin_xact_reset","pg_replication_origin_xact_setup","pg_rotate_logfile","pg_size_bytes","pg_size_pretty","pg_sleep","pg_sleep_for","pg_sleep_until","pg_start_backup","pg_stat_file","pg_stop_backup","pg_switch_xlog","pg_table_is_visible","pg_table_size","pg_tablespace_databases","pg_tablespace_location","pg_tablespace_size","pg_total_relation_size","pg_trigger_depth","pg_try_advisory_lock","pg_try_advisory_lock_shared","pg_try_advisory_xact_lock","pg_try_advisory_xact_lock_shared","pg_ts_config_is_visible","pg_ts_dict_is_visible","pg_ts_parser_is_visible","pg_ts_template_is_visible","pg_type_is_visible","pg_typeof","pg_xact_commit_timestamp","pg_xlog_location_diff","pg_xlog_replay_pause","pg_xlog_replay_resume","pg_xlogfile_name","pg_xlogfile_name_offset","phraseto_tsquery","plainto_tsquery","point","polygon","popen","pqserverversion","query_to_xml","querytree","quote_nullable","radius","range_merge","regexp_matches","regexp_split_to_array","regexp_split_to_table","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","right","row_security_active","row_to_json","rpad","scale","set_masklen","setseed","setval","setweight","shobj_description","sind","sprintf","statement_timestamp","stddev","string_agg","string_to_array","strip","substr","table_to_xml","table_to_xml_and_xmlschema","tand","text","to_json","to_regclass","to_regnamespace","to_regoper","to_regoperator","to_regproc","to_regprocedure","to_regrole","to_regtype","to_tsquery","to_tsvector","transaction_timestamp","ts_debug","ts_delete","ts_filter","ts_headline","ts_lexize","ts_parse","ts_rank","ts_rank_cd","ts_rewrite","ts_stat","ts_token_type","tsquery_phrase","tsvector_to_array","tsvector_update_trigger","tsvector_update_trigger_column","txid_current","txid_current_snapshot","txid_snapshot_xip","txid_snapshot_xmax","txid_snapshot_xmin","txid_visible_in_snapshot","unnest","upper_inc","upper_inf","variance","width","width_bucket","xml_is_well_formed","xml_is_well_formed_content","xml_is_well_formed_document","xmlagg","xmlcomment","xmlconcat","xmlelement","xmlexists","xmlforest","xmlparse","xmlpi","xmlroot","xmlserialize","xpath","xpath_exists"],builtinVariables:[],pseudoColumns:[],tokenizer:{root:[{include:"@comments"},{include:"@whitespace"},{include:"@pseudoColumns"},{include:"@numbers"},{include:"@strings"},{include:"@complexIdentifiers"},{include:"@scopes"},[/[;,.]/,"delimiter"],[/[()]/,"@brackets"],[/[\w@#$]+/,{cases:{"@keywords":"keyword","@operators":"operator","@builtinVariables":"predefined","@builtinFunctions":"predefined","@default":"identifier"}}],[/[<>=!%&+\-*/|~^]/,"operator"]],whitespace:[[/\s+/,"white"]],comments:[[/--+.*/,"comment"],[/\/\*/,{token:"comment.quote",next:"@comment"}]],comment:[[/[^*/]+/,"comment"],[/\*\//,{token:"comment.quote",next:"@pop"}],[/./,"comment"]],pseudoColumns:[[/[$][A-Za-z_][\w@#$]*/,{cases:{"@pseudoColumns":"predefined","@default":"identifier"}}]],numbers:[[/0[xX][0-9a-fA-F]*/,"number"],[/[$][+-]*\d*(\.\d*)?/,"number"],[/((\d+(\.\d*)?)|(\.\d+))([eE][\-+]?\d+)?/,"number"]],strings:[[/'/,{token:"string",next:"@string"}]],string:[[/[^']+/,"string"],[/''/,"string"],[/'/,{token:"string",next:"@pop"}]],complexIdentifiers:[[/"/,{token:"identifier.quote",next:"@quotedIdentifier"}]],quotedIdentifier:[[/[^"]+/,"identifier"],[/""/,"identifier"],[/"/,{token:"identifier.quote",next:"@pop"}]],scopes:[]}};export{e as conf,_ as language};
diff --git a/docs/assets/monaco/restructuredtext-CWmU_seV.js b/docs/assets/monaco/restructuredtext-CWmU_seV.js
new file mode 100644
index 0000000..01b2f2d
--- /dev/null
+++ b/docs/assets/monaco/restructuredtext-CWmU_seV.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:"<",close:">",notIn:["string"]}],surroundingPairs:[{open:"(",close:")"},{open:"[",close:"]"},{open:"`",close:"`"}],folding:{markers:{start:new RegExp("^\\s*<!--\\s*#?region\\b.*-->"),end:new RegExp("^\\s*<!--\\s*#?endregion\\b.*-->")}}},n={defaultToken:"",tokenPostfix:".rst",control:/[\\`*_\[\]{}()#+\-\.!]/,escapes:/\\(?:@control)/,empty:["area","base","basefont","br","col","frame","hr","img","input","isindex","link","meta","param"],alphanumerics:/[A-Za-z0-9]/,simpleRefNameWithoutBq:/(?:@alphanumerics[-_+:.]*@alphanumerics)+|(?:@alphanumerics+)/,simpleRefName:/(?:`@phrase`|@simpleRefNameWithoutBq)/,phrase:/@simpleRefNameWithoutBq(?:\s@simpleRefNameWithoutBq)*/,citationName:/[A-Za-z][A-Za-z0-9-_.]*/,blockLiteralStart:/(?:[!"#$%&'()*+,-./:;<=>?@\[\]^_`{|}~]|[\s])/,precedingChars:/(?:[ -:/'"<([{])/,followingChars:/(?:[ -.,:;!?/'")\]}>]|$)/,punctuation:/(=|-|~|`|#|"|\^|\+|\*|:|\.|'|_|\+)/,tokenizer:{root:[[/^(@punctuation{3,}$){1,1}?/,"keyword"],[/^\s*([\*\-+‣•]|[a-zA-Z0-9]+\.|\([a-zA-Z0-9]+\)|[a-zA-Z0-9]+\))\s/,"keyword"],[/([ ]::)\s*$/,"keyword","@blankLineOfLiteralBlocks"],[/(::)\s*$/,"keyword","@blankLineOfLiteralBlocks"],{include:"@tables"},{include:"@explicitMarkupBlocks"},{include:"@inlineMarkup"}],explicitMarkupBlocks:[{include:"@citations"},{include:"@footnotes"},[/^(\.\.\s)(@simpleRefName)(::\s)(.*)$/,[{token:"",next:"subsequentLines"},"keyword","",""]],[/^(\.\.)(\s+)(_)(@simpleRefName)(:)(\s+)(.*)/,[{token:"",next:"hyperlinks"},"","","string.link","","","string.link"]],[/^((?:(?:\.\.)(?:\s+))?)(__)(:)(\s+)(.*)/,[{token:"",next:"subsequentLines"},"","","","string.link"]],[/^(__\s+)(.+)/,["","string.link"]],[/^(\.\.)( \|)([^| ]+[^|]*[^| ]*)(\| )(@simpleRefName)(:: .*)/,[{token:"",next:"subsequentLines"},"","string.link","","keyword",""],"@rawBlocks"],[/(\|)([^| ]+[^|]*[^| ]*)(\|_{0,2})/,["","string.link",""]],[/^(\.\.)([ ].*)$/,[{token:"",next:"@comments"},"comment"]]],inlineMarkup:[{include:"@citationsReference"},{include:"@footnotesReference"},[/(@simpleRefName)(_{1,2})/,["string.link",""]],[/(`)([^<`]+\s+)(<)(.*)(>)(`)(_)/,["","string.link","","string.link","","",""]],[/\*\*([^\\*]|\*(?!\*))+\*\*/,"strong"],[/\*[^*]+\*/,"emphasis"],[/(``)((?:[^`]|\`(?!`))+)(``)/,["","keyword",""]],[/(__\s+)(.+)/,["","keyword"]],[/(:)((?:@simpleRefNameWithoutBq)?)(:`)([^`]+)(`)/,["","keyword","","",""]],[/(`)([^`]+)(`:)((?:@simpleRefNameWithoutBq)?)(:)/,["","","","keyword",""]],[/(`)([^`]+)(`)/,""],[/(_`)(@phrase)(`)/,["","string.link",""]]],citations:[[/^(\.\.\s+\[)((?:@citationName))(\]\s+)(.*)/,[{token:"",next:"@subsequentLines"},"string.link","",""]]],citationsReference:[[/(\[)(@citationName)(\]_)/,["","string.link",""]]],footnotes:[[/^(\.\.\s+\[)((?:[0-9]+))(\]\s+.*)/,[{token:"",next:"@subsequentLines"},"string.link",""]],[/^(\.\.\s+\[)((?:#@simpleRefName?))(\]\s+)(.*)/,[{token:"",next:"@subsequentLines"},"string.link","",""]],[/^(\.\.\s+\[)((?:\*))(\]\s+)(.*)/,[{token:"",next:"@subsequentLines"},"string.link","",""]]],footnotesReference:[[/(\[)([0-9]+)(\])(_)/,["","string.link","",""]],[/(\[)(#@simpleRefName?)(\])(_)/,["","string.link","",""]],[/(\[)(\*)(\])(_)/,["","string.link","",""]]],blankLineOfLiteralBlocks:[[/^$/,"","@subsequentLinesOfLiteralBlocks"],[/^.*$/,"","@pop"]],subsequentLinesOfLiteralBlocks:[[/(@blockLiteralStart+)(.*)/,["keyword",""]],[/^(?!blockLiteralStart)/,"","@popall"]],subsequentLines:[[/^[\s]+.*/,""],[/^(?!\s)/,"","@pop"]],hyperlinks:[[/^[\s]+.*/,"string.link"],[/^(?!\s)/,"","@pop"]],comments:[[/^[\s]+.*/,"comment"],[/^(?!\s)/,"","@pop"]],tables:[[/\+-[+-]+/,"keyword"],[/\+=[+=]+/,"keyword"]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/ruby-2Tz7EiV8.js b/docs/assets/monaco/ruby-2Tz7EiV8.js
new file mode 100644
index 0000000..2118c3d
--- /dev/null
+++ b/docs/assets/monaco/ruby-2Tz7EiV8.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#",blockComment:["=begin","=end"]},brackets:[["(",")"],["{","}"],["[","]"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],indentationRules:{increaseIndentPattern:new RegExp(`^\\s*((begin|class|(private|protected)\\s+def|def|else|elsif|ensure|for|if|module|rescue|unless|until|when|while|case)|([^#]*\\sdo\\b)|([^#]*=\\s*(case|if|unless)))\\b([^#\\{;]|("|'|/).*\\4)*(#.*)?$`),decreaseIndentPattern:new RegExp("^\\s*([}\\]]([,)]?\\s*(#|$)|\\.[a-zA-Z_]\\w*\\b)|(end|rescue|ensure|else|elsif|when)\\b)")}},t={tokenPostfix:".ruby",keywords:["__LINE__","__ENCODING__","__FILE__","BEGIN","END","alias","and","begin","break","case","class","def","defined?","do","else","elsif","end","ensure","for","false","if","in","module","next","nil","not","or","redo","rescue","retry","return","self","super","then","true","undef","unless","until","when","while","yield"],keywordops:["::","..","...","?",":","=>"],builtins:["require","public","private","include","extend","attr_reader","protected","private_class_method","protected_class_method","new"],declarations:["module","class","def","case","do","begin","for","if","while","until","unless"],linedecls:["def","case","do","begin","for","if","while","until","unless"],operators:["^","&","|","<=>","==","===","!~","=~",">",">=","<","<=","<<",">>","+","-","*","/","%","**","~","+@","-@","[]","[]=","`","+=","-=","*=","**=","/=","^=","%=","<<=",">>=","&=","&&=","||=","|="],brackets:[{open:"(",close:")",token:"delimiter.parenthesis"},{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"}],symbols:/[=><!~?:&|+\-*\/\^%\.]+/,escape:/(?:[abefnrstv\\"'\n\r]|[0-7]{1,3}|x[0-9A-Fa-f]{1,2}|u[0-9A-Fa-f]{4})/,escapes:/\\(?:C\-(@escape|.)|c(@escape|.)|@escape)/,decpart:/\d(_?\d)*/,decimal:/0|@decpart/,delim:/[^a-zA-Z0-9\s\n\r]/,heredelim:/(?:\w+|'[^']*'|"[^"]*"|`[^`]*`)/,regexpctl:/[(){}\[\]\$\^|\-*+?\.]/,regexpesc:/\\(?:[AzZbBdDfnrstvwWn0\\\/]|@regexpctl|c[A-Z]|x[0-9a-fA-F]{2}|u[0-9a-fA-F]{4})?/,tokenizer:{root:[[/^(\s*)([a-z_]\w*[!?=]?)/,["white",{cases:{"for|until|while":{token:"keyword.$2",next:"@dodecl.$2"},"@declarations":{token:"keyword.$2",next:"@root.$2"},end:{token:"keyword.$S2",next:"@pop"},"@keywords":"keyword","@builtins":"predefined","@default":"identifier"}}]],[/[a-z_]\w*[!?=]?/,{cases:{"if|unless|while|until":{token:"keyword.$0x",next:"@modifier.$0x"},for:{token:"keyword.$2",next:"@dodecl.$2"},"@linedecls":{token:"keyword.$0",next:"@root.$0"},end:{token:"keyword.$S2",next:"@pop"},"@keywords":"keyword","@builtins":"predefined","@default":"identifier"}}],[/[A-Z][\w]*[!?=]?/,"constructor.identifier"],[/\$[\w]*/,"global.constant"],[/@[\w]*/,"namespace.instance.identifier"],[/@@@[\w]*/,"namespace.class.identifier"],[/<<[-~](@heredelim).*/,{token:"string.heredoc.delimiter",next:"@heredoc.$1"}],[/[ \t\r\n]+<<(@heredelim).*/,{token:"string.heredoc.delimiter",next:"@heredoc.$1"}],[/^<<(@heredelim).*/,{token:"string.heredoc.delimiter",next:"@heredoc.$1"}],{include:"@whitespace"},[/"/,{token:"string.d.delim",next:'@dstring.d."'}],[/'/,{token:"string.sq.delim",next:"@sstring.sq"}],[/%([rsqxwW]|Q?)/,{token:"@rematch",next:"pstring"}],[/`/,{token:"string.x.delim",next:"@dstring.x.`"}],[/:(\w|[$@])\w*[!?=]?/,"string.s"],[/:"/,{token:"string.s.delim",next:'@dstring.s."'}],[/:'/,{token:"string.s.delim",next:"@sstring.s"}],[/\/(?=(\\\/|[^\/\n])+\/)/,{token:"regexp.delim",next:"@regexp"}],[/[{}()\[\]]/,"@brackets"],[/@symbols/,{cases:{"@keywordops":"keyword","@operators":"operator","@default":""}}],[/[;,]/,"delimiter"],[/0[xX][0-9a-fA-F](_?[0-9a-fA-F])*/,"number.hex"],[/0[_oO][0-7](_?[0-7])*/,"number.octal"],[/0[bB][01](_?[01])*/,"number.binary"],[/0[dD]@decpart/,"number"],[/@decimal((\.@decpart)?([eE][\-+]?@decpart)?)/,{cases:{$1:"number.float","@default":"number"}}]],dodecl:[[/^/,{token:"",switchTo:"@root.$S2"}],[/[a-z_]\w*[!?=]?/,{cases:{end:{token:"keyword.$S2",next:"@pop"},do:{token:"keyword",switchTo:"@root.$S2"},"@linedecls":{token:"@rematch",switchTo:"@root.$S2"},"@keywords":"keyword","@builtins":"predefined","@default":"identifier"}}],{include:"@root"}],modifier:[[/^/,"","@pop"],[/[a-z_]\w*[!?=]?/,{cases:{end:{token:"keyword.$S2",next:"@pop"},"then|else|elsif|do":{token:"keyword",switchTo:"@root.$S2"},"@linedecls":{token:"@rematch",switchTo:"@root.$S2"},"@keywords":"keyword","@builtins":"predefined","@default":"identifier"}}],{include:"@root"}],sstring:[[/[^\\']+/,"string.$S2"],[/\\\\|\\'|\\$/,"string.$S2.escape"],[/\\./,"string.$S2.invalid"],[/'/,{token:"string.$S2.delim",next:"@pop"}]],dstring:[[/[^\\`"#]+/,"string.$S2"],[/#/,"string.$S2.escape","@interpolated"],[/\\$/,"string.$S2.escape"],[/@escapes/,"string.$S2.escape"],[/\\./,"string.$S2.escape.invalid"],[/[`"]/,{cases:{"$#==$S3":{token:"string.$S2.delim",next:"@pop"},"@default":"string.$S2"}}]],heredoc:[[/^(\s*)(@heredelim)$/,{cases:{"$2==$S2":["string.heredoc",{token:"string.heredoc.delimiter",next:"@pop"}],"@default":["string.heredoc","string.heredoc"]}}],[/.*/,"string.heredoc"]],interpolated:[[/\$\w*/,"global.constant","@pop"],[/@\w*/,"namespace.class.identifier","@pop"],[/@@@\w*/,"namespace.instance.identifier","@pop"],[/[{]/,{token:"string.escape.curly",switchTo:"@interpolated_compound"}],["","","@pop"]],interpolated_compound:[[/[}]/,{token:"string.escape.curly",next:"@pop"}],{include:"@root"}],pregexp:[{include:"@whitespace"},[/[^\(\{\[\\]/,{cases:{"$#==$S3":{token:"regexp.delim",next:"@pop"},"$#==$S2":{token:"regexp.delim",next:"@push"},"~[)}\\]]":"@brackets.regexp.escape.control","~@regexpctl":"regexp.escape.control","@default":"regexp"}}],{include:"@regexcontrol"}],regexp:[{include:"@regexcontrol"},[/[^\\\/]/,"regexp"],["/[ixmp]*",{token:"regexp.delim"},"@pop"]],regexcontrol:[[/(\{)(\d+(?:,\d*)?)(\})/,["@brackets.regexp.escape.control","regexp.escape.control","@brackets.regexp.escape.control"]],[/(\[)(\^?)/,["@brackets.regexp.escape.control",{token:"regexp.escape.control",next:"@regexrange"}]],[/(\()(\?[:=!])/,["@brackets.regexp.escape.control","regexp.escape.control"]],[/\(\?#/,{token:"regexp.escape.control",next:"@regexpcomment"}],[/[()]/,"@brackets.regexp.escape.control"],[/@regexpctl/,"regexp.escape.control"],[/\\$/,"regexp.escape"],[/@regexpesc/,"regexp.escape"],[/\\\./,"regexp.invalid"],[/#/,"regexp.escape","@interpolated"]],regexrange:[[/-/,"regexp.escape.control"],[/\^/,"regexp.invalid"],[/\\$/,"regexp.escape"],[/@regexpesc/,"regexp.escape"],[/[^\]]/,"regexp"],[/\]/,"@brackets.regexp.escape.control","@pop"]],regexpcomment:[[/[^)]+/,"comment"],[/\)/,{token:"regexp.escape.control",next:"@pop"}]],pstring:[[/%([qws])\(/,{token:"string.$1.delim",switchTo:"@qstring.$1.(.)"}],[/%([qws])\[/,{token:"string.$1.delim",switchTo:"@qstring.$1.[.]"}],[/%([qws])\{/,{token:"string.$1.delim",switchTo:"@qstring.$1.{.}"}],[/%([qws])</,{token:"string.$1.delim",switchTo:"@qstring.$1.<.>"}],[/%([qws])(@delim)/,{token:"string.$1.delim",switchTo:"@qstring.$1.$2.$2"}],[/%r\(/,{token:"regexp.delim",switchTo:"@pregexp.(.)"}],[/%r\[/,{token:"regexp.delim",switchTo:"@pregexp.[.]"}],[/%r\{/,{token:"regexp.delim",switchTo:"@pregexp.{.}"}],[/%r</,{token:"regexp.delim",switchTo:"@pregexp.<.>"}],[/%r(@delim)/,{token:"regexp.delim",switchTo:"@pregexp.$1.$1"}],[/%(x|W|Q?)\(/,{token:"string.$1.delim",switchTo:"@qqstring.$1.(.)"}],[/%(x|W|Q?)\[/,{token:"string.$1.delim",switchTo:"@qqstring.$1.[.]"}],[/%(x|W|Q?)\{/,{token:"string.$1.delim",switchTo:"@qqstring.$1.{.}"}],[/%(x|W|Q?)</,{token:"string.$1.delim",switchTo:"@qqstring.$1.<.>"}],[/%(x|W|Q?)(@delim)/,{token:"string.$1.delim",switchTo:"@qqstring.$1.$2.$2"}],[/%([rqwsxW]|Q?)./,{token:"invalid",next:"@pop"}],[/./,{token:"invalid",next:"@pop"}]],qstring:[[/\\$/,"string.$S2.escape"],[/\\./,"string.$S2.escape"],[/./,{cases:{"$#==$S4":{token:"string.$S2.delim",next:"@pop"},"$#==$S3":{token:"string.$S2.delim",next:"@push"},"@default":"string.$S2"}}]],qqstring:[[/#/,"string.$S2.escape","@interpolated"],{include:"@qstring"}],whitespace:[[/[ \t\r\n]+/,""],[/^\s*=begin\b/,"comment","@comment"],[/#.*$/,"comment"]],comment:[[/[^=]+/,"comment"],[/^\s*=begin\b/,"comment.invalid"],[/^\s*=end\b.*/,"comment","@pop"],[/[=]/,"comment"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/rust-CrxrYIVW.js b/docs/assets/monaco/rust-CrxrYIVW.js
new file mode 100644
index 0000000..99a4199
--- /dev/null
+++ b/docs/assets/monaco/rust-CrxrYIVW.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"[",close:"]"},{open:"{",close:"}"},{open:"(",close:")"},{open:'"',close:'"',notIn:["string"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*#pragma\\s+region\\b"),end:new RegExp("^\\s*#pragma\\s+endregion\\b")}}},t={tokenPostfix:".rust",defaultToken:"invalid",keywords:["as","async","await","box","break","const","continue","crate","dyn","else","enum","extern","false","fn","for","if","impl","in","let","loop","match","mod","move","mut","pub","ref","return","self","static","struct","super","trait","true","try","type","unsafe","use","where","while","catch","default","union","static","abstract","alignof","become","do","final","macro","offsetof","override","priv","proc","pure","sizeof","typeof","unsized","virtual","yield"],typeKeywords:["Self","m32","m64","m128","f80","f16","f128","int","uint","float","char","bool","u8","u16","u32","u64","f32","f64","i8","i16","i32","i64","str","Option","Either","c_float","c_double","c_void","FILE","fpos_t","DIR","dirent","c_char","c_schar","c_uchar","c_short","c_ushort","c_int","c_uint","c_long","c_ulong","size_t","ptrdiff_t","clock_t","time_t","c_longlong","c_ulonglong","intptr_t","uintptr_t","off_t","dev_t","ino_t","pid_t","mode_t","ssize_t"],constants:["true","false","Some","None","Left","Right","Ok","Err"],supportConstants:["EXIT_FAILURE","EXIT_SUCCESS","RAND_MAX","EOF","SEEK_SET","SEEK_CUR","SEEK_END","_IOFBF","_IONBF","_IOLBF","BUFSIZ","FOPEN_MAX","FILENAME_MAX","L_tmpnam","TMP_MAX","O_RDONLY","O_WRONLY","O_RDWR","O_APPEND","O_CREAT","O_EXCL","O_TRUNC","S_IFIFO","S_IFCHR","S_IFBLK","S_IFDIR","S_IFREG","S_IFMT","S_IEXEC","S_IWRITE","S_IREAD","S_IRWXU","S_IXUSR","S_IWUSR","S_IRUSR","F_OK","R_OK","W_OK","X_OK","STDIN_FILENO","STDOUT_FILENO","STDERR_FILENO"],supportMacros:["format!","print!","println!","panic!","format_args!","unreachable!","write!","writeln!"],operators:["!","!=","%","%=","&","&=","&&","*","*=","+","+=","-","-=","->",".","..","...","/","/=",":",";","<<","<<=","<","<=","=","==","=>",">",">=",">>",">>=","@","^","^=","|","|=","||","_","?","#"],escapes:/\\([nrt0\"''\\]|x\h{2}|u\{\h{1,6}\})/,delimiters:/[,]/,symbols:/[\#\!\%\&\*\+\-\.\/\:\;\<\=\>\@\^\|_\?]+/,intSuffixes:/[iu](8|16|32|64|128|size)/,floatSuffixes:/f(32|64)/,tokenizer:{root:[[/r(#*)"/,{token:"string.quote",bracket:"@open",next:"@stringraw.$1"}],[/[a-zA-Z][a-zA-Z0-9_]*!?|_[a-zA-Z0-9_]+/,{cases:{"@typeKeywords":"keyword.type","@keywords":"keyword","@supportConstants":"keyword","@supportMacros":"keyword","@constants":"keyword","@default":"identifier"}}],[/\$/,"identifier"],[/'[a-zA-Z_][a-zA-Z0-9_]*(?=[^\'])/,"identifier"],[/'(\S|@escapes)'/,"string.byteliteral"],[/"/,{token:"string.quote",bracket:"@open",next:"@string"}],{include:"@numbers"},{include:"@whitespace"},[/@delimiters/,{cases:{"@keywords":"keyword","@default":"delimiter"}}],[/[{}()\[\]<>]/,"@brackets"],[/@symbols/,{cases:{"@operators":"operator","@default":""}}]],whitespace:[[/[ \t\r\n]+/,"white"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\/\*/,"comment","@push"],["\\*/","comment","@pop"],[/[\/*]/,"comment"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,{token:"string.quote",bracket:"@close",next:"@pop"}]],stringraw:[[/[^"#]+/,{token:"string"}],[/"(#*)/,{cases:{"$1==$S2":{token:"string.quote",bracket:"@close",next:"@pop"},"@default":{token:"string"}}}],[/["#]/,{token:"string"}]],numbers:[[/(0o[0-7_]+)(@intSuffixes)?/,{token:"number"}],[/(0b[0-1_]+)(@intSuffixes)?/,{token:"number"}],[/[\d][\d_]*(\.[\d][\d_]*)?[eE][+-][\d_]+(@floatSuffixes)?/,{token:"number"}],[/\b(\d\.?[\d_]*)(@floatSuffixes)?\b/,{token:"number"}],[/(0x[\da-fA-F]+)_?(@intSuffixes)?/,{token:"number"}],[/[\d][\d_]*(@intSuffixes?)?/,{token:"number"}]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/sb-DkpzO6Ij.js b/docs/assets/monaco/sb-DkpzO6Ij.js
new file mode 100644
index 0000000..f8bd024
--- /dev/null
+++ b/docs/assets/monaco/sb-DkpzO6Ij.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"'"},brackets:[["(",")"],["[","]"],["If","EndIf"],["While","EndWhile"],["For","EndFor"],["Sub","EndSub"]],autoClosingPairs:[{open:'"',close:'"',notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]}]},o={defaultToken:"",tokenPostfix:".sb",ignoreCase:!0,brackets:[{token:"delimiter.array",open:"[",close:"]"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"keyword.tag-if",open:"If",close:"EndIf"},{token:"keyword.tag-while",open:"While",close:"EndWhile"},{token:"keyword.tag-for",open:"For",close:"EndFor"},{token:"keyword.tag-sub",open:"Sub",close:"EndSub"}],keywords:["Else","ElseIf","EndFor","EndIf","EndSub","EndWhile","For","Goto","If","Step","Sub","Then","To","While"],tagwords:["If","Sub","While","For"],operators:[">","<","<>","<=",">=","And","Or","+","-","*","/","="],identifier:/[a-zA-Z_][\w]*/,symbols:/[=><:+\-*\/%\.,]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[{include:"@whitespace"},[/(@identifier)(?=[.])/,"type"],[/@identifier/,{cases:{"@keywords":{token:"keyword.$0"},"@operators":"operator","@default":"variable.name"}}],[/([.])(@identifier)/,{cases:{$2:["delimiter","type.member"],"@default":""}}],[/\d*\.\d+/,"number.float"],[/\d+/,"number"],[/[()\[\]]/,"@brackets"],[/@symbols/,{cases:{"@operators":"operator","@default":"delimiter"}}],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"]],whitespace:[[/[ \t\r\n]+/,""],[/(\').*$/,"comment"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"C?/,"string","@pop"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/scala-Du7mGxrp.js b/docs/assets/monaco/scala-Du7mGxrp.js
new file mode 100644
index 0000000..91649b1
--- /dev/null
+++ b/docs/assets/monaco/scala-Du7mGxrp.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(unary_[@~!#%^&*()\-=+\\|:<>\/?]+)|([a-zA-Z_$][\w$]*?_=)|(`[^`]+`)|([a-zA-Z_$][\w$]*)/g,comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*//\\s*(?:(?:#?region\\b)|(?:<editor-fold\\b))"),end:new RegExp("^\\s*//\\s*(?:(?:#?endregion\\b)|(?:</editor-fold>))")}}},t={tokenPostfix:".scala",keywords:["asInstanceOf","catch","class","classOf","def","do","else","extends","finally","for","foreach","forSome","if","import","isInstanceOf","macro","match","new","object","package","return","throw","trait","try","type","until","val","var","while","with","yield","given","enum","then"],softKeywords:["as","export","extension","end","derives","on"],constants:["true","false","null","this","super"],modifiers:["abstract","final","implicit","lazy","override","private","protected","sealed"],softModifiers:["inline","opaque","open","transparent","using"],name:/(?:[a-z_$][\w$]*|`[^`]+`)/,type:/(?:[A-Z][\w$]*)/,symbols:/[=><!~?:&|+\-*\/^\\%@#]+/,digits:/\d+(_+\d+)*/,hexdigits:/[[0-9a-fA-F]+(_+[0-9a-fA-F]+)*/,escapes:/\\(?:[btnfr\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,fstring_conv:/[bBhHsScCdoxXeEfgGaAt]|[Tn](?:[HIklMSLNpzZsQ]|[BbhAaCYyjmde]|[RTrDFC])/,tokenizer:{root:[[/\braw"""/,{token:"string.quote",bracket:"@open",next:"@rawstringt"}],[/\braw"/,{token:"string.quote",bracket:"@open",next:"@rawstring"}],[/\bs"""/,{token:"string.quote",bracket:"@open",next:"@sstringt"}],[/\bs"/,{token:"string.quote",bracket:"@open",next:"@sstring"}],[/\bf""""/,{token:"string.quote",bracket:"@open",next:"@fstringt"}],[/\bf"/,{token:"string.quote",bracket:"@open",next:"@fstring"}],[/"""/,{token:"string.quote",bracket:"@open",next:"@stringt"}],[/"/,{token:"string.quote",bracket:"@open",next:"@string"}],[/(@digits)[eE]([\-+]?(@digits))?[fFdD]?/,"number.float","@allowMethod"],[/(@digits)\.(@digits)([eE][\-+]?(@digits))?[fFdD]?/,"number.float","@allowMethod"],[/0[xX](@hexdigits)[Ll]?/,"number.hex","@allowMethod"],[/(@digits)[fFdD]/,"number.float","@allowMethod"],[/(@digits)[lL]?/,"number","@allowMethod"],[/\b_\*/,"key"],[/\b(_)\b/,"keyword","@allowMethod"],[/\bimport\b/,"keyword","@import"],[/\b(case)([ \t]+)(class)\b/,["keyword.modifier","white","keyword"]],[/\bcase\b/,"keyword","@case"],[/\bva[lr]\b/,"keyword","@vardef"],[/\b(def)([ \t]+)((?:unary_)?@symbols|@name(?:_=)|@name)/,["keyword","white","identifier"]],[/@name(?=[ \t]*:(?!:))/,"variable"],[/(\.)(@name|@symbols)/,["operator",{token:"@rematch",next:"@allowMethod"}]],[/([{(])(\s*)(@name(?=\s*=>))/,["@brackets","white","variable"]],[/@name/,{cases:{"@keywords":"keyword","@softKeywords":"keyword","@modifiers":"keyword.modifier","@softModifiers":"keyword.modifier","@constants":{token:"constant",next:"@allowMethod"},"@default":{token:"identifier",next:"@allowMethod"}}}],[/@type/,"type","@allowMethod"],{include:"@whitespace"},[/@[a-zA-Z_$][\w$]*(?:\.[a-zA-Z_$][\w$]*)*/,"annotation"],[/[{(]/,"@brackets"],[/[})]/,"@brackets","@allowMethod"],[/\[/,"operator.square"],[/](?!\s*(?:va[rl]|def|type)\b)/,"operator.square","@allowMethod"],[/]/,"operator.square"],[/([=-]>|<-|>:|<:|:>|<%)(?=[\s\w()[\]{},\."'`])/,"keyword"],[/@symbols/,"operator"],[/[;,\.]/,"delimiter"],[/'[a-zA-Z$][\w$]*(?!')/,"attribute.name"],[/'[^\\']'/,"string","@allowMethod"],[/(')(@escapes)(')/,["string","string.escape",{token:"string",next:"@allowMethod"}]],[/'/,"string.invalid"]],import:[[/;/,"delimiter","@pop"],[/^|$/,"","@pop"],[/[ \t]+/,"white"],[/[\n\r]+/,"white","@pop"],[/\/\*/,"comment","@comment"],[/@name|@type/,"type"],[/[(){}]/,"@brackets"],[/[[\]]/,"operator.square"],[/[\.,]/,"delimiter"]],allowMethod:[[/^|$/,"","@pop"],[/[ \t]+/,"white"],[/[\n\r]+/,"white","@pop"],[/\/\*/,"comment","@comment"],[/(?==>[\s\w([{])/,"keyword","@pop"],[/(@name|@symbols)(?=[ \t]*[[({"'`]|[ \t]+(?:[+-]?\.?\d|\w))/,{cases:{"@keywords":{token:"keyword",next:"@pop"},"->|<-|>:|<:|<%":{token:"keyword",next:"@pop"},"@default":{token:"@rematch",next:"@pop"}}}],["","","@pop"]],comment:[[/[^\/*]+/,"comment"],[/\/\*/,"comment","@push"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],case:[[/\b_\*/,"key"],[/\b(_|true|false|null|this|super)\b/,"keyword","@allowMethod"],[/\bif\b|=>/,"keyword","@pop"],[/`[^`]+`/,"identifier","@allowMethod"],[/@name/,"variable","@allowMethod"],[/:::?|\||@(?![a-z_$])/,"keyword"],{include:"@root"}],vardef:[[/\b_\*/,"key"],[/\b(_|true|false|null|this|super)\b/,"keyword"],[/@name/,"variable"],[/:::?|\||@(?![a-z_$])/,"keyword"],[/=|:(?!:)/,"operator","@pop"],[/$/,"white","@pop"],{include:"@root"}],string:[[/[^\\"\n\r]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,{token:"string.quote",bracket:"@close",switchTo:"@allowMethod"}]],stringt:[[/[^\\"\n\r]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"(?=""")/,"string"],[/"""/,{token:"string.quote",bracket:"@close",switchTo:"@allowMethod"}],[/"/,"string"]],fstring:[[/@escapes/,"string.escape"],[/"/,{token:"string.quote",bracket:"@close",switchTo:"@allowMethod"}],[/\$\$/,"string"],[/(\$)([a-z_]\w*)/,["operator","identifier"]],[/\$\{/,"operator","@interp"],[/%%/,"string"],[/(%)([\-#+ 0,(])(\d+|\.\d+|\d+\.\d+)(@fstring_conv)/,["metatag","keyword.modifier","number","metatag"]],[/(%)(\d+|\.\d+|\d+\.\d+)(@fstring_conv)/,["metatag","number","metatag"]],[/(%)([\-#+ 0,(])(@fstring_conv)/,["metatag","keyword.modifier","metatag"]],[/(%)(@fstring_conv)/,["metatag","metatag"]],[/./,"string"]],fstringt:[[/@escapes/,"string.escape"],[/"(?=""")/,"string"],[/"""/,{token:"string.quote",bracket:"@close",switchTo:"@allowMethod"}],[/\$\$/,"string"],[/(\$)([a-z_]\w*)/,["operator","identifier"]],[/\$\{/,"operator","@interp"],[/%%/,"string"],[/(%)([\-#+ 0,(])(\d+|\.\d+|\d+\.\d+)(@fstring_conv)/,["metatag","keyword.modifier","number","metatag"]],[/(%)(\d+|\.\d+|\d+\.\d+)(@fstring_conv)/,["metatag","number","metatag"]],[/(%)([\-#+ 0,(])(@fstring_conv)/,["metatag","keyword.modifier","metatag"]],[/(%)(@fstring_conv)/,["metatag","metatag"]],[/./,"string"]],sstring:[[/@escapes/,"string.escape"],[/"/,{token:"string.quote",bracket:"@close",switchTo:"@allowMethod"}],[/\$\$/,"string"],[/(\$)([a-z_]\w*)/,["operator","identifier"]],[/\$\{/,"operator","@interp"],[/./,"string"]],sstringt:[[/@escapes/,"string.escape"],[/"(?=""")/,"string"],[/"""/,{token:"string.quote",bracket:"@close",switchTo:"@allowMethod"}],[/\$\$/,"string"],[/(\$)([a-z_]\w*)/,["operator","identifier"]],[/\$\{/,"operator","@interp"],[/./,"string"]],interp:[[/{/,"operator","@push"],[/}/,"operator","@pop"],{include:"@root"}],rawstring:[[/[^"]/,"string"],[/"/,{token:"string.quote",bracket:"@close",switchTo:"@allowMethod"}]],rawstringt:[[/[^"]/,"string"],[/"(?=""")/,"string"],[/"""/,{token:"string.quote",bracket:"@close",switchTo:"@allowMethod"}],[/"/,"string"]],whitespace:[[/[ \t\r\n]+/,"white"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/scheme-DnCYVA2x.js b/docs/assets/monaco/scheme-DnCYVA2x.js
new file mode 100644
index 0000000..8f87591
--- /dev/null
+++ b/docs/assets/monaco/scheme-DnCYVA2x.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:";",blockComment:["#|","|#"]},brackets:[["(",")"],["{","}"],["[","]"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}]},o={defaultToken:"",ignoreCase:!0,tokenPostfix:".scheme",brackets:[{open:"(",close:")",token:"delimiter.parenthesis"},{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"}],keywords:["case","do","let","loop","if","else","when","cons","car","cdr","cond","lambda","lambda*","syntax-rules","format","set!","quote","eval","append","list","list?","member?","load"],constants:["#t","#f"],operators:["eq?","eqv?","equal?","and","or","not","null?"],tokenizer:{root:[[/#[xXoObB][0-9a-fA-F]+/,"number.hex"],[/[+-]?\d+(?:(?:\.\d*)?(?:[eE][+-]?\d+)?)?/,"number.float"],[/(?:\b(?:(define|define-syntax|define-macro))\b)(\s+)((?:\w|\-|\!|\?)*)/,["keyword","white","variable"]],{include:"@whitespace"},{include:"@strings"},[/[a-zA-Z_#][a-zA-Z0-9_\-\?\!\*]*/,{cases:{"@keywords":"keyword","@constants":"constant","@operators":"operators","@default":"identifier"}}]],comment:[[/[^\|#]+/,"comment"],[/#\|/,"comment","@push"],[/\|#/,"comment","@pop"],[/[\|#]/,"comment"]],whitespace:[[/[ \t\r\n]+/,"white"],[/#\|/,"comment","@comment"],[/;.*$/,"comment"]],strings:[[/"$/,"string","@popall"],[/"(?=.)/,"string","@multiLineString"]],multiLineString:[[/[^\\"]+$/,"string","@popall"],[/[^\\"]+/,"string"],[/\\./,"string.escape"],[/"/,"string","@popall"],[/\\$/,"string"]]}};export{e as conf,o as language};
diff --git a/docs/assets/monaco/scss-3iLYYaxs.js b/docs/assets/monaco/scss-3iLYYaxs.js
new file mode 100644
index 0000000..42305ed
--- /dev/null
+++ b/docs/assets/monaco/scss-3iLYYaxs.js
@@ -0,0 +1,8 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={wordPattern:/(#?-?\d*\.\d\w*%?)|([@$#!.:]?[\w-?]+%?)|[@#!.]/g,comments:{blockComment:["/*","*/"],lineComment:"//"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string","comment"]},{open:"'",close:"'",notIn:["string","comment"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{markers:{start:new RegExp("^\\s*\\/\\*\\s*#region\\b\\s*(.*?)\\s*\\*\\/"),end:new RegExp("^\\s*\\/\\*\\s*#endregion\\b.*\\*\\/")}}},t={defaultToken:"",tokenPostfix:".scss",ws:`[
+\r\f]*`,identifier:"-?-?([a-zA-Z]|(\\\\(([0-9a-fA-F]{1,6}\\s?)|[^[0-9a-fA-F])))([\\w\\-]|(\\\\(([0-9a-fA-F]{1,6}\\s?)|[^[0-9a-fA-F])))*",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.bracket"},{open:"(",close:")",token:"delimiter.parenthesis"},{open:"<",close:">",token:"delimiter.angle"}],tokenizer:{root:[{include:"@selector"}],selector:[{include:"@comments"},{include:"@import"},{include:"@variabledeclaration"},{include:"@warndebug"},["[@](include)",{token:"keyword",next:"@includedeclaration"}],["[@](keyframes|-webkit-keyframes|-moz-keyframes|-o-keyframes)",{token:"keyword",next:"@keyframedeclaration"}],["[@](page|content|font-face|-moz-document)",{token:"keyword"}],["[@](charset|namespace)",{token:"keyword",next:"@declarationbody"}],["[@](function)",{token:"keyword",next:"@functiondeclaration"}],["[@](mixin)",{token:"keyword",next:"@mixindeclaration"}],["url(\\-prefix)?\\(",{token:"meta",next:"@urldeclaration"}],{include:"@controlstatement"},{include:"@selectorname"},["[&\\*]","tag"],["[>\\+,]","delimiter"],["\\[",{token:"delimiter.bracket",next:"@selectorattribute"}],["{",{token:"delimiter.curly",next:"@selectorbody"}]],selectorbody:[["[*_]?@identifier@ws:(?=(\\s|\\d|[^{;}]*[;}]))","attribute.name","@rulevalue"],{include:"@selector"},["[@](extend)",{token:"keyword",next:"@extendbody"}],["[@](return)",{token:"keyword",next:"@declarationbody"}],["}",{token:"delimiter.curly",next:"@pop"}]],selectorname:[["#{",{token:"meta",next:"@variableinterpolation"}],["(\\.|#(?=[^{])|%|(@identifier)|:)+","tag"]],selectorattribute:[{include:"@term"},["]",{token:"delimiter.bracket",next:"@pop"}]],term:[{include:"@comments"},["url(\\-prefix)?\\(",{token:"meta",next:"@urldeclaration"}],{include:"@functioninvocation"},{include:"@numbers"},{include:"@strings"},{include:"@variablereference"},["(and\\b|or\\b|not\\b)","operator"],{include:"@name"},["([<>=\\+\\-\\*\\/\\^\\|\\~,])","operator"],[",","delimiter"],["!default","literal"],["\\(",{token:"delimiter.parenthesis",next:"@parenthizedterm"}]],rulevalue:[{include:"@term"},["!important","literal"],[";","delimiter","@pop"],["{",{token:"delimiter.curly",switchTo:"@nestedproperty"}],["(?=})",{token:"",next:"@pop"}]],nestedproperty:[["[*_]?@identifier@ws:","attribute.name","@rulevalue"],{include:"@comments"},["}",{token:"delimiter.curly",next:"@pop"}]],warndebug:[["[@](warn|debug)",{token:"keyword",next:"@declarationbody"}]],import:[["[@](import)",{token:"keyword",next:"@declarationbody"}]],variabledeclaration:[["\\$@identifier@ws:","variable.decl","@declarationbody"]],urldeclaration:[{include:"@strings"},[`[^)\r
+]+`,"string"],["\\)",{token:"meta",next:"@pop"}]],parenthizedterm:[{include:"@term"},["\\)",{token:"delimiter.parenthesis",next:"@pop"}]],declarationbody:[{include:"@term"},[";","delimiter","@pop"],["(?=})",{token:"",next:"@pop"}]],extendbody:[{include:"@selectorname"},["!optional","literal"],[";","delimiter","@pop"],["(?=})",{token:"",next:"@pop"}]],variablereference:[["\\$@identifier","variable.ref"],["\\.\\.\\.","operator"],["#{",{token:"meta",next:"@variableinterpolation"}]],variableinterpolation:[{include:"@variablereference"},["}",{token:"meta",next:"@pop"}]],comments:[["\\/\\*","comment","@comment"],["\\/\\/+.*","comment"]],comment:[["\\*\\/","comment","@pop"],[".","comment"]],name:[["@identifier","attribute.value"]],numbers:[["(\\d*\\.)?\\d+([eE][\\-+]?\\d+)?",{token:"number",next:"@units"}],["#[0-9a-fA-F_]+(?!\\w)","number.hex"]],units:[["(em|ex|ch|rem|fr|vmin|vmax|vw|vh|vm|cm|mm|in|px|pt|pc|deg|grad|rad|turn|s|ms|Hz|kHz|%)?","number","@pop"]],functiondeclaration:[["@identifier@ws\\(",{token:"meta",next:"@parameterdeclaration"}],["{",{token:"delimiter.curly",switchTo:"@functionbody"}]],mixindeclaration:[["@identifier@ws\\(",{token:"meta",next:"@parameterdeclaration"}],["@identifier","meta"],["{",{token:"delimiter.curly",switchTo:"@selectorbody"}]],parameterdeclaration:[["\\$@identifier@ws:","variable.decl"],["\\.\\.\\.","operator"],[",","delimiter"],{include:"@term"},["\\)",{token:"meta",next:"@pop"}]],includedeclaration:[{include:"@functioninvocation"},["@identifier","meta"],[";","delimiter","@pop"],["(?=})",{token:"",next:"@pop"}],["{",{token:"delimiter.curly",switchTo:"@selectorbody"}]],keyframedeclaration:[["@identifier","meta"],["{",{token:"delimiter.curly",switchTo:"@keyframebody"}]],keyframebody:[{include:"@term"},["{",{token:"delimiter.curly",next:"@selectorbody"}],["}",{token:"delimiter.curly",next:"@pop"}]],controlstatement:[["[@](if|else|for|while|each|media)",{token:"keyword.flow",next:"@controlstatementdeclaration"}]],controlstatementdeclaration:[["(in|from|through|if|to)\\b",{token:"keyword.flow"}],{include:"@term"},["{",{token:"delimiter.curly",switchTo:"@selectorbody"}]],functionbody:[["[@](return)",{token:"keyword"}],{include:"@variabledeclaration"},{include:"@term"},{include:"@controlstatement"},[";","delimiter"],["}",{token:"delimiter.curly",next:"@pop"}]],functioninvocation:[["@identifier\\(",{token:"meta",next:"@functionarguments"}]],functionarguments:[["\\$@identifier@ws:","attribute.name"],["[,]","delimiter"],{include:"@term"},["\\)",{token:"meta",next:"@pop"}]],strings:[['~?"',{token:"string.delimiter",next:"@stringenddoublequote"}],["~?'",{token:"string.delimiter",next:"@stringendquote"}]],stringenddoublequote:[["\\\\.","string"],['"',{token:"string.delimiter",next:"@pop"}],[".","string"]],stringendquote:[["\\\\.","string"],["'",{token:"string.delimiter",next:"@pop"}],[".","string"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/shell-D4ITwtg2.js b/docs/assets/monaco/shell-D4ITwtg2.js
new file mode 100644
index 0000000..8a9f121
--- /dev/null
+++ b/docs/assets/monaco/shell-D4ITwtg2.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}]},r={defaultToken:"",ignoreCase:!0,tokenPostfix:".shell",brackets:[{token:"delimiter.bracket",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"}],keywords:["if","then","do","else","elif","while","until","for","in","esac","fi","fin","fil","done","exit","set","unset","export","function"],builtins:["ab","awk","bash","beep","cat","cc","cd","chown","chmod","chroot","clear","cp","curl","cut","diff","echo","find","gawk","gcc","get","git","grep","hg","kill","killall","ln","ls","make","mkdir","openssl","mv","nc","node","npm","ping","ps","restart","rm","rmdir","sed","service","sh","shopt","shred","source","sort","sleep","ssh","start","stop","su","sudo","svn","tee","telnet","top","touch","vi","vim","wall","wc","wget","who","write","yes","zsh"],startingWithDash:/\-+\w+/,identifiersWithDashes:/[a-zA-Z]\w+(?:@startingWithDash)+/,symbols:/[=><!~?&|+\-*\/\^;\.,]+/,tokenizer:{root:[[/@identifiersWithDashes/,""],[/(\s)((?:@startingWithDash)+)/,["white","attribute.name"]],[/[a-zA-Z]\w*/,{cases:{"@keywords":"keyword","@builtins":"type.identifier","@default":""}}],{include:"@whitespace"},{include:"@strings"},{include:"@parameters"},{include:"@heredoc"},[/[{}\[\]()]/,"@brackets"],[/@symbols/,"delimiter"],{include:"@numbers"},[/[,;]/,"delimiter"]],whitespace:[[/\s+/,"white"],[/(^#!.*$)/,"metatag"],[/(^#.*$)/,"comment"]],numbers:[[/\d*\.\d+([eE][\-+]?\d+)?/,"number.float"],[/0[xX][0-9a-fA-F_]*[0-9a-fA-F]/,"number.hex"],[/\d+/,"number"]],strings:[[/'/,"string","@stringBody"],[/"/,"string","@dblStringBody"]],stringBody:[[/'/,"string","@popall"],[/./,"string"]],dblStringBody:[[/"/,"string","@popall"],[/./,"string"]],heredoc:[[/(<<[-<]?)(\s*)(['"`]?)([\w\-]+)(['"`]?)/,["constants","white","string.heredoc.delimiter","string.heredoc","string.heredoc.delimiter"]]],parameters:[[/\$\d+/,"variable.predefined"],[/\$\w+/,"variable"],[/\$[*@#?\-$!0_]/,"variable"],[/\$'/,"variable","@parameterBodyQuote"],[/\$"/,"variable","@parameterBodyDoubleQuote"],[/\$\(/,"variable","@parameterBodyParen"],[/\$\{/,"variable","@parameterBodyCurlyBrace"]],parameterBodyQuote:[[/[^#:%*@\-!_']+/,"variable"],[/[#:%*@\-!_]/,"delimiter"],[/[']/,"variable","@pop"]],parameterBodyDoubleQuote:[[/[^#:%*@\-!_"]+/,"variable"],[/[#:%*@\-!_]/,"delimiter"],[/["]/,"variable","@pop"]],parameterBodyParen:[[/[^#:%*@\-!_)]+/,"variable"],[/[#:%*@\-!_]/,"delimiter"],[/[)]/,"variable","@pop"]],parameterBodyCurlyBrace:[[/[^#:%*@\-!_}]+/,"variable"],[/[#:%*@\-!_]/,"delimiter"],[/[}]/,"variable","@pop"]]}};export{e as conf,r as language};
diff --git a/docs/assets/monaco/solidity-Bq53zNw4.js b/docs/assets/monaco/solidity-Bq53zNw4.js
new file mode 100644
index 0000000..7fdb9d5
--- /dev/null
+++ b/docs/assets/monaco/solidity-Bq53zNw4.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var x={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"],["<",">"]],autoClosingPairs:[{open:'"',close:'"',notIn:["string","comment"]},{open:"{",close:"}",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]}]},e={defaultToken:"",tokenPostfix:".sol",brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"},{token:"delimiter.angle",open:"<",close:">"}],keywords:["pragma","solidity","contract","library","using","struct","function","modifier","constructor","address","string","bool","Int","Uint","Byte","Fixed","Ufixed","int","int8","int16","int24","int32","int40","int48","int56","int64","int72","int80","int88","int96","int104","int112","int120","int128","int136","int144","int152","int160","int168","int176","int184","int192","int200","int208","int216","int224","int232","int240","int248","int256","uint","uint8","uint16","uint24","uint32","uint40","uint48","uint56","uint64","uint72","uint80","uint88","uint96","uint104","uint112","uint120","uint128","uint136","uint144","uint152","uint160","uint168","uint176","uint184","uint192","uint200","uint208","uint216","uint224","uint232","uint240","uint248","uint256","byte","bytes","bytes1","bytes2","bytes3","bytes4","bytes5","bytes6","bytes7","bytes8","bytes9","bytes10","bytes11","bytes12","bytes13","bytes14","bytes15","bytes16","bytes17","bytes18","bytes19","bytes20","bytes21","bytes22","bytes23","bytes24","bytes25","bytes26","bytes27","bytes28","bytes29","bytes30","bytes31","bytes32","fixed","fixed0x8","fixed0x16","fixed0x24","fixed0x32","fixed0x40","fixed0x48","fixed0x56","fixed0x64","fixed0x72","fixed0x80","fixed0x88","fixed0x96","fixed0x104","fixed0x112","fixed0x120","fixed0x128","fixed0x136","fixed0x144","fixed0x152","fixed0x160","fixed0x168","fixed0x176","fixed0x184","fixed0x192","fixed0x200","fixed0x208","fixed0x216","fixed0x224","fixed0x232","fixed0x240","fixed0x248","fixed0x256","fixed8x8","fixed8x16","fixed8x24","fixed8x32","fixed8x40","fixed8x48","fixed8x56","fixed8x64","fixed8x72","fixed8x80","fixed8x88","fixed8x96","fixed8x104","fixed8x112","fixed8x120","fixed8x128","fixed8x136","fixed8x144","fixed8x152","fixed8x160","fixed8x168","fixed8x176","fixed8x184","fixed8x192","fixed8x200","fixed8x208","fixed8x216","fixed8x224","fixed8x232","fixed8x240","fixed8x248","fixed16x8","fixed16x16","fixed16x24","fixed16x32","fixed16x40","fixed16x48","fixed16x56","fixed16x64","fixed16x72","fixed16x80","fixed16x88","fixed16x96","fixed16x104","fixed16x112","fixed16x120","fixed16x128","fixed16x136","fixed16x144","fixed16x152","fixed16x160","fixed16x168","fixed16x176","fixed16x184","fixed16x192","fixed16x200","fixed16x208","fixed16x216","fixed16x224","fixed16x232","fixed16x240","fixed24x8","fixed24x16","fixed24x24","fixed24x32","fixed24x40","fixed24x48","fixed24x56","fixed24x64","fixed24x72","fixed24x80","fixed24x88","fixed24x96","fixed24x104","fixed24x112","fixed24x120","fixed24x128","fixed24x136","fixed24x144","fixed24x152","fixed24x160","fixed24x168","fixed24x176","fixed24x184","fixed24x192","fixed24x200","fixed24x208","fixed24x216","fixed24x224","fixed24x232","fixed32x8","fixed32x16","fixed32x24","fixed32x32","fixed32x40","fixed32x48","fixed32x56","fixed32x64","fixed32x72","fixed32x80","fixed32x88","fixed32x96","fixed32x104","fixed32x112","fixed32x120","fixed32x128","fixed32x136","fixed32x144","fixed32x152","fixed32x160","fixed32x168","fixed32x176","fixed32x184","fixed32x192","fixed32x200","fixed32x208","fixed32x216","fixed32x224","fixed40x8","fixed40x16","fixed40x24","fixed40x32","fixed40x40","fixed40x48","fixed40x56","fixed40x64","fixed40x72","fixed40x80","fixed40x88","fixed40x96","fixed40x104","fixed40x112","fixed40x120","fixed40x128","fixed40x136","fixed40x144","fixed40x152","fixed40x160","fixed40x168","fixed40x176","fixed40x184","fixed40x192","fixed40x200","fixed40x208","fixed40x216","fixed48x8","fixed48x16","fixed48x24","fixed48x32","fixed48x40","fixed48x48","fixed48x56","fixed48x64","fixed48x72","fixed48x80","fixed48x88","fixed48x96","fixed48x104","fixed48x112","fixed48x120","fixed48x128","fixed48x136","fixed48x144","fixed48x152","fixed48x160","fixed48x168","fixed48x176","fixed48x184","fixed48x192","fixed48x200","fixed48x208","fixed56x8","fixed56x16","fixed56x24","fixed56x32","fixed56x40","fixed56x48","fixed56x56","fixed56x64","fixed56x72","fixed56x80","fixed56x88","fixed56x96","fixed56x104","fixed56x112","fixed56x120","fixed56x128","fixed56x136","fixed56x144","fixed56x152","fixed56x160","fixed56x168","fixed56x176","fixed56x184","fixed56x192","fixed56x200","fixed64x8","fixed64x16","fixed64x24","fixed64x32","fixed64x40","fixed64x48","fixed64x56","fixed64x64","fixed64x72","fixed64x80","fixed64x88","fixed64x96","fixed64x104","fixed64x112","fixed64x120","fixed64x128","fixed64x136","fixed64x144","fixed64x152","fixed64x160","fixed64x168","fixed64x176","fixed64x184","fixed64x192","fixed72x8","fixed72x16","fixed72x24","fixed72x32","fixed72x40","fixed72x48","fixed72x56","fixed72x64","fixed72x72","fixed72x80","fixed72x88","fixed72x96","fixed72x104","fixed72x112","fixed72x120","fixed72x128","fixed72x136","fixed72x144","fixed72x152","fixed72x160","fixed72x168","fixed72x176","fixed72x184","fixed80x8","fixed80x16","fixed80x24","fixed80x32","fixed80x40","fixed80x48","fixed80x56","fixed80x64","fixed80x72","fixed80x80","fixed80x88","fixed80x96","fixed80x104","fixed80x112","fixed80x120","fixed80x128","fixed80x136","fixed80x144","fixed80x152","fixed80x160","fixed80x168","fixed80x176","fixed88x8","fixed88x16","fixed88x24","fixed88x32","fixed88x40","fixed88x48","fixed88x56","fixed88x64","fixed88x72","fixed88x80","fixed88x88","fixed88x96","fixed88x104","fixed88x112","fixed88x120","fixed88x128","fixed88x136","fixed88x144","fixed88x152","fixed88x160","fixed88x168","fixed96x8","fixed96x16","fixed96x24","fixed96x32","fixed96x40","fixed96x48","fixed96x56","fixed96x64","fixed96x72","fixed96x80","fixed96x88","fixed96x96","fixed96x104","fixed96x112","fixed96x120","fixed96x128","fixed96x136","fixed96x144","fixed96x152","fixed96x160","fixed104x8","fixed104x16","fixed104x24","fixed104x32","fixed104x40","fixed104x48","fixed104x56","fixed104x64","fixed104x72","fixed104x80","fixed104x88","fixed104x96","fixed104x104","fixed104x112","fixed104x120","fixed104x128","fixed104x136","fixed104x144","fixed104x152","fixed112x8","fixed112x16","fixed112x24","fixed112x32","fixed112x40","fixed112x48","fixed112x56","fixed112x64","fixed112x72","fixed112x80","fixed112x88","fixed112x96","fixed112x104","fixed112x112","fixed112x120","fixed112x128","fixed112x136","fixed112x144","fixed120x8","fixed120x16","fixed120x24","fixed120x32","fixed120x40","fixed120x48","fixed120x56","fixed120x64","fixed120x72","fixed120x80","fixed120x88","fixed120x96","fixed120x104","fixed120x112","fixed120x120","fixed120x128","fixed120x136","fixed128x8","fixed128x16","fixed128x24","fixed128x32","fixed128x40","fixed128x48","fixed128x56","fixed128x64","fixed128x72","fixed128x80","fixed128x88","fixed128x96","fixed128x104","fixed128x112","fixed128x120","fixed128x128","fixed136x8","fixed136x16","fixed136x24","fixed136x32","fixed136x40","fixed136x48","fixed136x56","fixed136x64","fixed136x72","fixed136x80","fixed136x88","fixed136x96","fixed136x104","fixed136x112","fixed136x120","fixed144x8","fixed144x16","fixed144x24","fixed144x32","fixed144x40","fixed144x48","fixed144x56","fixed144x64","fixed144x72","fixed144x80","fixed144x88","fixed144x96","fixed144x104","fixed144x112","fixed152x8","fixed152x16","fixed152x24","fixed152x32","fixed152x40","fixed152x48","fixed152x56","fixed152x64","fixed152x72","fixed152x80","fixed152x88","fixed152x96","fixed152x104","fixed160x8","fixed160x16","fixed160x24","fixed160x32","fixed160x40","fixed160x48","fixed160x56","fixed160x64","fixed160x72","fixed160x80","fixed160x88","fixed160x96","fixed168x8","fixed168x16","fixed168x24","fixed168x32","fixed168x40","fixed168x48","fixed168x56","fixed168x64","fixed168x72","fixed168x80","fixed168x88","fixed176x8","fixed176x16","fixed176x24","fixed176x32","fixed176x40","fixed176x48","fixed176x56","fixed176x64","fixed176x72","fixed176x80","fixed184x8","fixed184x16","fixed184x24","fixed184x32","fixed184x40","fixed184x48","fixed184x56","fixed184x64","fixed184x72","fixed192x8","fixed192x16","fixed192x24","fixed192x32","fixed192x40","fixed192x48","fixed192x56","fixed192x64","fixed200x8","fixed200x16","fixed200x24","fixed200x32","fixed200x40","fixed200x48","fixed200x56","fixed208x8","fixed208x16","fixed208x24","fixed208x32","fixed208x40","fixed208x48","fixed216x8","fixed216x16","fixed216x24","fixed216x32","fixed216x40","fixed224x8","fixed224x16","fixed224x24","fixed224x32","fixed232x8","fixed232x16","fixed232x24","fixed240x8","fixed240x16","fixed248x8","ufixed","ufixed0x8","ufixed0x16","ufixed0x24","ufixed0x32","ufixed0x40","ufixed0x48","ufixed0x56","ufixed0x64","ufixed0x72","ufixed0x80","ufixed0x88","ufixed0x96","ufixed0x104","ufixed0x112","ufixed0x120","ufixed0x128","ufixed0x136","ufixed0x144","ufixed0x152","ufixed0x160","ufixed0x168","ufixed0x176","ufixed0x184","ufixed0x192","ufixed0x200","ufixed0x208","ufixed0x216","ufixed0x224","ufixed0x232","ufixed0x240","ufixed0x248","ufixed0x256","ufixed8x8","ufixed8x16","ufixed8x24","ufixed8x32","ufixed8x40","ufixed8x48","ufixed8x56","ufixed8x64","ufixed8x72","ufixed8x80","ufixed8x88","ufixed8x96","ufixed8x104","ufixed8x112","ufixed8x120","ufixed8x128","ufixed8x136","ufixed8x144","ufixed8x152","ufixed8x160","ufixed8x168","ufixed8x176","ufixed8x184","ufixed8x192","ufixed8x200","ufixed8x208","ufixed8x216","ufixed8x224","ufixed8x232","ufixed8x240","ufixed8x248","ufixed16x8","ufixed16x16","ufixed16x24","ufixed16x32","ufixed16x40","ufixed16x48","ufixed16x56","ufixed16x64","ufixed16x72","ufixed16x80","ufixed16x88","ufixed16x96","ufixed16x104","ufixed16x112","ufixed16x120","ufixed16x128","ufixed16x136","ufixed16x144","ufixed16x152","ufixed16x160","ufixed16x168","ufixed16x176","ufixed16x184","ufixed16x192","ufixed16x200","ufixed16x208","ufixed16x216","ufixed16x224","ufixed16x232","ufixed16x240","ufixed24x8","ufixed24x16","ufixed24x24","ufixed24x32","ufixed24x40","ufixed24x48","ufixed24x56","ufixed24x64","ufixed24x72","ufixed24x80","ufixed24x88","ufixed24x96","ufixed24x104","ufixed24x112","ufixed24x120","ufixed24x128","ufixed24x136","ufixed24x144","ufixed24x152","ufixed24x160","ufixed24x168","ufixed24x176","ufixed24x184","ufixed24x192","ufixed24x200","ufixed24x208","ufixed24x216","ufixed24x224","ufixed24x232","ufixed32x8","ufixed32x16","ufixed32x24","ufixed32x32","ufixed32x40","ufixed32x48","ufixed32x56","ufixed32x64","ufixed32x72","ufixed32x80","ufixed32x88","ufixed32x96","ufixed32x104","ufixed32x112","ufixed32x120","ufixed32x128","ufixed32x136","ufixed32x144","ufixed32x152","ufixed32x160","ufixed32x168","ufixed32x176","ufixed32x184","ufixed32x192","ufixed32x200","ufixed32x208","ufixed32x216","ufixed32x224","ufixed40x8","ufixed40x16","ufixed40x24","ufixed40x32","ufixed40x40","ufixed40x48","ufixed40x56","ufixed40x64","ufixed40x72","ufixed40x80","ufixed40x88","ufixed40x96","ufixed40x104","ufixed40x112","ufixed40x120","ufixed40x128","ufixed40x136","ufixed40x144","ufixed40x152","ufixed40x160","ufixed40x168","ufixed40x176","ufixed40x184","ufixed40x192","ufixed40x200","ufixed40x208","ufixed40x216","ufixed48x8","ufixed48x16","ufixed48x24","ufixed48x32","ufixed48x40","ufixed48x48","ufixed48x56","ufixed48x64","ufixed48x72","ufixed48x80","ufixed48x88","ufixed48x96","ufixed48x104","ufixed48x112","ufixed48x120","ufixed48x128","ufixed48x136","ufixed48x144","ufixed48x152","ufixed48x160","ufixed48x168","ufixed48x176","ufixed48x184","ufixed48x192","ufixed48x200","ufixed48x208","ufixed56x8","ufixed56x16","ufixed56x24","ufixed56x32","ufixed56x40","ufixed56x48","ufixed56x56","ufixed56x64","ufixed56x72","ufixed56x80","ufixed56x88","ufixed56x96","ufixed56x104","ufixed56x112","ufixed56x120","ufixed56x128","ufixed56x136","ufixed56x144","ufixed56x152","ufixed56x160","ufixed56x168","ufixed56x176","ufixed56x184","ufixed56x192","ufixed56x200","ufixed64x8","ufixed64x16","ufixed64x24","ufixed64x32","ufixed64x40","ufixed64x48","ufixed64x56","ufixed64x64","ufixed64x72","ufixed64x80","ufixed64x88","ufixed64x96","ufixed64x104","ufixed64x112","ufixed64x120","ufixed64x128","ufixed64x136","ufixed64x144","ufixed64x152","ufixed64x160","ufixed64x168","ufixed64x176","ufixed64x184","ufixed64x192","ufixed72x8","ufixed72x16","ufixed72x24","ufixed72x32","ufixed72x40","ufixed72x48","ufixed72x56","ufixed72x64","ufixed72x72","ufixed72x80","ufixed72x88","ufixed72x96","ufixed72x104","ufixed72x112","ufixed72x120","ufixed72x128","ufixed72x136","ufixed72x144","ufixed72x152","ufixed72x160","ufixed72x168","ufixed72x176","ufixed72x184","ufixed80x8","ufixed80x16","ufixed80x24","ufixed80x32","ufixed80x40","ufixed80x48","ufixed80x56","ufixed80x64","ufixed80x72","ufixed80x80","ufixed80x88","ufixed80x96","ufixed80x104","ufixed80x112","ufixed80x120","ufixed80x128","ufixed80x136","ufixed80x144","ufixed80x152","ufixed80x160","ufixed80x168","ufixed80x176","ufixed88x8","ufixed88x16","ufixed88x24","ufixed88x32","ufixed88x40","ufixed88x48","ufixed88x56","ufixed88x64","ufixed88x72","ufixed88x80","ufixed88x88","ufixed88x96","ufixed88x104","ufixed88x112","ufixed88x120","ufixed88x128","ufixed88x136","ufixed88x144","ufixed88x152","ufixed88x160","ufixed88x168","ufixed96x8","ufixed96x16","ufixed96x24","ufixed96x32","ufixed96x40","ufixed96x48","ufixed96x56","ufixed96x64","ufixed96x72","ufixed96x80","ufixed96x88","ufixed96x96","ufixed96x104","ufixed96x112","ufixed96x120","ufixed96x128","ufixed96x136","ufixed96x144","ufixed96x152","ufixed96x160","ufixed104x8","ufixed104x16","ufixed104x24","ufixed104x32","ufixed104x40","ufixed104x48","ufixed104x56","ufixed104x64","ufixed104x72","ufixed104x80","ufixed104x88","ufixed104x96","ufixed104x104","ufixed104x112","ufixed104x120","ufixed104x128","ufixed104x136","ufixed104x144","ufixed104x152","ufixed112x8","ufixed112x16","ufixed112x24","ufixed112x32","ufixed112x40","ufixed112x48","ufixed112x56","ufixed112x64","ufixed112x72","ufixed112x80","ufixed112x88","ufixed112x96","ufixed112x104","ufixed112x112","ufixed112x120","ufixed112x128","ufixed112x136","ufixed112x144","ufixed120x8","ufixed120x16","ufixed120x24","ufixed120x32","ufixed120x40","ufixed120x48","ufixed120x56","ufixed120x64","ufixed120x72","ufixed120x80","ufixed120x88","ufixed120x96","ufixed120x104","ufixed120x112","ufixed120x120","ufixed120x128","ufixed120x136","ufixed128x8","ufixed128x16","ufixed128x24","ufixed128x32","ufixed128x40","ufixed128x48","ufixed128x56","ufixed128x64","ufixed128x72","ufixed128x80","ufixed128x88","ufixed128x96","ufixed128x104","ufixed128x112","ufixed128x120","ufixed128x128","ufixed136x8","ufixed136x16","ufixed136x24","ufixed136x32","ufixed136x40","ufixed136x48","ufixed136x56","ufixed136x64","ufixed136x72","ufixed136x80","ufixed136x88","ufixed136x96","ufixed136x104","ufixed136x112","ufixed136x120","ufixed144x8","ufixed144x16","ufixed144x24","ufixed144x32","ufixed144x40","ufixed144x48","ufixed144x56","ufixed144x64","ufixed144x72","ufixed144x80","ufixed144x88","ufixed144x96","ufixed144x104","ufixed144x112","ufixed152x8","ufixed152x16","ufixed152x24","ufixed152x32","ufixed152x40","ufixed152x48","ufixed152x56","ufixed152x64","ufixed152x72","ufixed152x80","ufixed152x88","ufixed152x96","ufixed152x104","ufixed160x8","ufixed160x16","ufixed160x24","ufixed160x32","ufixed160x40","ufixed160x48","ufixed160x56","ufixed160x64","ufixed160x72","ufixed160x80","ufixed160x88","ufixed160x96","ufixed168x8","ufixed168x16","ufixed168x24","ufixed168x32","ufixed168x40","ufixed168x48","ufixed168x56","ufixed168x64","ufixed168x72","ufixed168x80","ufixed168x88","ufixed176x8","ufixed176x16","ufixed176x24","ufixed176x32","ufixed176x40","ufixed176x48","ufixed176x56","ufixed176x64","ufixed176x72","ufixed176x80","ufixed184x8","ufixed184x16","ufixed184x24","ufixed184x32","ufixed184x40","ufixed184x48","ufixed184x56","ufixed184x64","ufixed184x72","ufixed192x8","ufixed192x16","ufixed192x24","ufixed192x32","ufixed192x40","ufixed192x48","ufixed192x56","ufixed192x64","ufixed200x8","ufixed200x16","ufixed200x24","ufixed200x32","ufixed200x40","ufixed200x48","ufixed200x56","ufixed208x8","ufixed208x16","ufixed208x24","ufixed208x32","ufixed208x40","ufixed208x48","ufixed216x8","ufixed216x16","ufixed216x24","ufixed216x32","ufixed216x40","ufixed224x8","ufixed224x16","ufixed224x24","ufixed224x32","ufixed232x8","ufixed232x16","ufixed232x24","ufixed240x8","ufixed240x16","ufixed248x8","event","enum","let","mapping","private","public","external","inherited","payable","true","false","var","import","constant","if","else","for","else","for","while","do","break","continue","throw","returns","return","suicide","new","is","this","super"],operators:["=",">","<","!","~","?",":","==","<=",">=","!=","&&","||","++","--","+","-","*","/","&","|","^","%","<<",">>",">>>","+=","-=","*=","/=","&=","|=","^=","%=","<<=",">>=",">>>="],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,integersuffix:/(ll|LL|u|U|l|L)?(ll|LL|u|U|l|L)?/,floatsuffix:/[fFlL]?/,tokenizer:{root:[[/[a-zA-Z_]\w*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/\[\[.*\]\]/,"annotation"],[/^\s*#\w+/,"keyword"],[/int\d*/,"keyword"],[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/\d*\d+[eE]([\-+]?\d+)?(@floatsuffix)/,"number.float"],[/\d*\.\d+([eE][\-+]?\d+)?(@floatsuffix)/,"number.float"],[/0[xX][0-9a-fA-F']*[0-9a-fA-F](@integersuffix)/,"number.hex"],[/0[0-7']*[0-7](@integersuffix)/,"number.octal"],[/0[bB][0-1']*[0-1](@integersuffix)/,"number.binary"],[/\d[\d']*\d(@integersuffix)/,"number"],[/\d(@integersuffix)/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@doccomment"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],doccomment:[[/[^\/*]+/,"comment.doc"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]]}};export{x as conf,e as language};
diff --git a/docs/assets/monaco/sophia-BtzCauDs.js b/docs/assets/monaco/sophia-BtzCauDs.js
new file mode 100644
index 0000000..7612d2a
--- /dev/null
+++ b/docs/assets/monaco/sophia-BtzCauDs.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"],["<",">"]],autoClosingPairs:[{open:'"',close:'"',notIn:["string","comment"]},{open:"{",close:"}",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]}]},t={defaultToken:"",tokenPostfix:".aes",brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"},{token:"delimiter.angle",open:"<",close:">"}],keywords:["contract","library","entrypoint","function","stateful","state","hash","signature","tuple","list","address","string","bool","int","record","datatype","type","option","oracle","oracle_query","Call","Bits","Bytes","Oracle","String","Crypto","Address","Auth","Chain","None","Some","bits","bytes","event","let","map","private","public","true","false","var","if","else","throw"],operators:["=",">","<","!","~","?","::",":","==","<=",">=","!=","&&","||","++","--","+","-","*","/","&","|","^","%","<<",">>",">>>","+=","-=","*=","/=","&=","|=","^=","%=","<<=",">>=",">>>="],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,integersuffix:/(ll|LL|u|U|l|L)?(ll|LL|u|U|l|L)?/,floatsuffix:/[fFlL]?/,tokenizer:{root:[[/[a-zA-Z_]\w*/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],{include:"@whitespace"},[/\[\[.*\]\]/,"annotation"],[/^\s*#\w+/,"keyword"],[/int\d*/,"keyword"],[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/\d*\d+[eE]([\-+]?\d+)?(@floatsuffix)/,"number.float"],[/\d*\.\d+([eE][\-+]?\d+)?(@floatsuffix)/,"number.float"],[/0[xX][0-9a-fA-F']*[0-9a-fA-F](@integersuffix)/,"number.hex"],[/0[0-7']*[0-7](@integersuffix)/,"number.octal"],[/0[bB][0-1']*[0-1](@integersuffix)/,"number.binary"],[/\d[\d']*\d(@integersuffix)/,"number"],[/\d(@integersuffix)/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@doccomment"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],doccomment:[[/[^\/*]+/,"comment.doc"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/sparql-7--tI-JV.js b/docs/assets/monaco/sparql-7--tI-JV.js
new file mode 100644
index 0000000..8ae418a
--- /dev/null
+++ b/docs/assets/monaco/sparql-7--tI-JV.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"'",close:"'",notIn:["string"]},{open:'"',close:'"',notIn:["string"]},{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"}]},s={defaultToken:"",tokenPostfix:".rq",brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"},{token:"delimiter.angle",open:"<",close:">"}],keywords:["add","as","asc","ask","base","by","clear","construct","copy","create","data","delete","desc","describe","distinct","drop","false","filter","from","graph","group","having","in","insert","limit","load","minus","move","named","not","offset","optional","order","prefix","reduced","select","service","silent","to","true","undef","union","using","values","where","with"],builtinFunctions:["a","abs","avg","bind","bnode","bound","ceil","coalesce","concat","contains","count","datatype","day","encode_for_uri","exists","floor","group_concat","hours","if","iri","isblank","isiri","isliteral","isnumeric","isuri","lang","langmatches","lcase","max","md5","min","minutes","month","now","rand","regex","replace","round","sameterm","sample","seconds","sha1","sha256","sha384","sha512","str","strafter","strbefore","strdt","strends","strlang","strlen","strstarts","struuid","substr","sum","timezone","tz","ucase","uri","uuid","year"],ignoreCase:!0,tokenizer:{root:[[/<[^\s\u00a0>]*>?/,"tag"],{include:"@strings"},[/#.*/,"comment"],[/[{}()\[\]]/,"@brackets"],[/[;,.]/,"delimiter"],[/[_\w\d]+:(\.(?=[\w_\-\\%])|[:\w_-]|\\[-\\_~.!$&'()*+,;=/?#@%]|%[a-f\d][a-f\d])*/,"tag"],[/:(\.(?=[\w_\-\\%])|[:\w_-]|\\[-\\_~.!$&'()*+,;=/?#@%]|%[a-f\d][a-f\d])+/,"tag"],[/[$?]?[_\w\d]+/,{cases:{"@keywords":{token:"keyword"},"@builtinFunctions":{token:"predefined.sql"},"@default":"identifier"}}],[/\^\^/,"operator.sql"],[/\^[*+\-<>=&|^\/!?]*/,"operator.sql"],[/[*+\-<>=&|\/!?]/,"operator.sql"],[/@[a-z\d\-]*/,"metatag.html"],[/\s+/,"white"]],strings:[[/'([^'\\]|\\.)*$/,"string.invalid"],[/'$/,"string.sql","@pop"],[/'/,"string.sql","@stringBody"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"$/,"string.sql","@pop"],[/"/,"string.sql","@dblStringBody"]],stringBody:[[/[^\\']+/,"string.sql"],[/\\./,"string.escape"],[/'/,"string.sql","@pop"]],dblStringBody:[[/[^\\"]+/,"string.sql"],[/\\./,"string.escape"],[/"/,"string.sql","@pop"]]}};export{e as conf,s as language};
diff --git a/docs/assets/monaco/sql-B8Hnjumu.js b/docs/assets/monaco/sql-B8Hnjumu.js
new file mode 100644
index 0000000..ef2ed45
--- /dev/null
+++ b/docs/assets/monaco/sql-B8Hnjumu.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var E={comments:{lineComment:"--",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},T={defaultToken:"",tokenPostfix:".sql",ignoreCase:!0,brackets:[{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"}],keywords:["ABORT","ABSOLUTE","ACTION","ADA","ADD","AFTER","ALL","ALLOCATE","ALTER","ALWAYS","ANALYZE","AND","ANY","ARE","AS","ASC","ASSERTION","AT","ATTACH","AUTHORIZATION","AUTOINCREMENT","AVG","BACKUP","BEFORE","BEGIN","BETWEEN","BIT","BIT_LENGTH","BOTH","BREAK","BROWSE","BULK","BY","CASCADE","CASCADED","CASE","CAST","CATALOG","CHAR","CHARACTER","CHARACTER_LENGTH","CHAR_LENGTH","CHECK","CHECKPOINT","CLOSE","CLUSTERED","COALESCE","COLLATE","COLLATION","COLUMN","COMMIT","COMPUTE","CONFLICT","CONNECT","CONNECTION","CONSTRAINT","CONSTRAINTS","CONTAINS","CONTAINSTABLE","CONTINUE","CONVERT","CORRESPONDING","COUNT","CREATE","CROSS","CURRENT","CURRENT_DATE","CURRENT_TIME","CURRENT_TIMESTAMP","CURRENT_USER","CURSOR","DATABASE","DATE","DAY","DBCC","DEALLOCATE","DEC","DECIMAL","DECLARE","DEFAULT","DEFERRABLE","DEFERRED","DELETE","DENY","DESC","DESCRIBE","DESCRIPTOR","DETACH","DIAGNOSTICS","DISCONNECT","DISK","DISTINCT","DISTRIBUTED","DO","DOMAIN","DOUBLE","DROP","DUMP","EACH","ELSE","END","END-EXEC","ERRLVL","ESCAPE","EXCEPT","EXCEPTION","EXCLUDE","EXCLUSIVE","EXEC","EXECUTE","EXISTS","EXIT","EXPLAIN","EXTERNAL","EXTRACT","FAIL","FALSE","FETCH","FILE","FILLFACTOR","FILTER","FIRST","FLOAT","FOLLOWING","FOR","FOREIGN","FORTRAN","FOUND","FREETEXT","FREETEXTTABLE","FROM","FULL","FUNCTION","GENERATED","GET","GLOB","GLOBAL","GO","GOTO","GRANT","GROUP","GROUPS","HAVING","HOLDLOCK","HOUR","IDENTITY","IDENTITYCOL","IDENTITY_INSERT","IF","IGNORE","IMMEDIATE","IN","INCLUDE","INDEX","INDEXED","INDICATOR","INITIALLY","INNER","INPUT","INSENSITIVE","INSERT","INSTEAD","INT","INTEGER","INTERSECT","INTERVAL","INTO","IS","ISNULL","ISOLATION","JOIN","KEY","KILL","LANGUAGE","LAST","LEADING","LEFT","LEVEL","LIKE","LIMIT","LINENO","LOAD","LOCAL","LOWER","MATCH","MATERIALIZED","MAX","MERGE","MIN","MINUTE","MODULE","MONTH","NAMES","NATIONAL","NATURAL","NCHAR","NEXT","NO","NOCHECK","NONCLUSTERED","NONE","NOT","NOTHING","NOTNULL","NULL","NULLIF","NULLS","NUMERIC","OCTET_LENGTH","OF","OFF","OFFSET","OFFSETS","ON","ONLY","OPEN","OPENDATASOURCE","OPENQUERY","OPENROWSET","OPENXML","OPTION","OR","ORDER","OTHERS","OUTER","OUTPUT","OVER","OVERLAPS","PAD","PARTIAL","PARTITION","PASCAL","PERCENT","PIVOT","PLAN","POSITION","PRAGMA","PRECEDING","PRECISION","PREPARE","PRESERVE","PRIMARY","PRINT","PRIOR","PRIVILEGES","PROC","PROCEDURE","PUBLIC","QUERY","RAISE","RAISERROR","RANGE","READ","READTEXT","REAL","RECONFIGURE","RECURSIVE","REFERENCES","REGEXP","REINDEX","RELATIVE","RELEASE","RENAME","REPLACE","REPLICATION","RESTORE","RESTRICT","RETURN","RETURNING","REVERT","REVOKE","RIGHT","ROLLBACK","ROW","ROWCOUNT","ROWGUIDCOL","ROWS","RULE","SAVE","SAVEPOINT","SCHEMA","SCROLL","SECOND","SECTION","SECURITYAUDIT","SELECT","SEMANTICKEYPHRASETABLE","SEMANTICSIMILARITYDETAILSTABLE","SEMANTICSIMILARITYTABLE","SESSION","SESSION_USER","SET","SETUSER","SHUTDOWN","SIZE","SMALLINT","SOME","SPACE","SQL","SQLCA","SQLCODE","SQLERROR","SQLSTATE","SQLWARNING","STATISTICS","SUBSTRING","SUM","SYSTEM_USER","TABLE","TABLESAMPLE","TEMP","TEMPORARY","TEXTSIZE","THEN","TIES","TIME","TIMESTAMP","TIMEZONE_HOUR","TIMEZONE_MINUTE","TO","TOP","TRAILING","TRAN","TRANSACTION","TRANSLATE","TRANSLATION","TRIGGER","TRIM","TRUE","TRUNCATE","TRY_CONVERT","TSEQUAL","UNBOUNDED","UNION","UNIQUE","UNKNOWN","UNPIVOT","UPDATE","UPDATETEXT","UPPER","USAGE","USE","USER","USING","VACUUM","VALUE","VALUES","VARCHAR","VARYING","VIEW","VIRTUAL","WAITFOR","WHEN","WHENEVER","WHERE","WHILE","WINDOW","WITH","WITHIN GROUP","WITHOUT","WORK","WRITE","WRITETEXT","YEAR","ZONE"],operators:["ALL","AND","ANY","BETWEEN","EXISTS","IN","LIKE","NOT","OR","SOME","EXCEPT","INTERSECT","UNION","APPLY","CROSS","FULL","INNER","JOIN","LEFT","OUTER","RIGHT","CONTAINS","FREETEXT","IS","NULL","PIVOT","UNPIVOT","MATCHED"],builtinFunctions:["AVG","CHECKSUM_AGG","COUNT","COUNT_BIG","GROUPING","GROUPING_ID","MAX","MIN","SUM","STDEV","STDEVP","VAR","VARP","CUME_DIST","FIRST_VALUE","LAG","LAST_VALUE","LEAD","PERCENTILE_CONT","PERCENTILE_DISC","PERCENT_RANK","COLLATE","COLLATIONPROPERTY","TERTIARY_WEIGHTS","FEDERATION_FILTERING_VALUE","CAST","CONVERT","PARSE","TRY_CAST","TRY_CONVERT","TRY_PARSE","ASYMKEY_ID","ASYMKEYPROPERTY","CERTPROPERTY","CERT_ID","CRYPT_GEN_RANDOM","DECRYPTBYASYMKEY","DECRYPTBYCERT","DECRYPTBYKEY","DECRYPTBYKEYAUTOASYMKEY","DECRYPTBYKEYAUTOCERT","DECRYPTBYPASSPHRASE","ENCRYPTBYASYMKEY","ENCRYPTBYCERT","ENCRYPTBYKEY","ENCRYPTBYPASSPHRASE","HASHBYTES","IS_OBJECTSIGNED","KEY_GUID","KEY_ID","KEY_NAME","SIGNBYASYMKEY","SIGNBYCERT","SYMKEYPROPERTY","VERIFYSIGNEDBYCERT","VERIFYSIGNEDBYASYMKEY","CURSOR_STATUS","DATALENGTH","IDENT_CURRENT","IDENT_INCR","IDENT_SEED","IDENTITY","SQL_VARIANT_PROPERTY","CURRENT_TIMESTAMP","DATEADD","DATEDIFF","DATEFROMPARTS","DATENAME","DATEPART","DATETIME2FROMPARTS","DATETIMEFROMPARTS","DATETIMEOFFSETFROMPARTS","DAY","EOMONTH","GETDATE","GETUTCDATE","ISDATE","MONTH","SMALLDATETIMEFROMPARTS","SWITCHOFFSET","SYSDATETIME","SYSDATETIMEOFFSET","SYSUTCDATETIME","TIMEFROMPARTS","TODATETIMEOFFSET","YEAR","CHOOSE","COALESCE","IIF","NULLIF","ABS","ACOS","ASIN","ATAN","ATN2","CEILING","COS","COT","DEGREES","EXP","FLOOR","LOG","LOG10","PI","POWER","RADIANS","RAND","ROUND","SIGN","SIN","SQRT","SQUARE","TAN","APP_NAME","APPLOCK_MODE","APPLOCK_TEST","ASSEMBLYPROPERTY","COL_LENGTH","COL_NAME","COLUMNPROPERTY","DATABASE_PRINCIPAL_ID","DATABASEPROPERTYEX","DB_ID","DB_NAME","FILE_ID","FILE_IDEX","FILE_NAME","FILEGROUP_ID","FILEGROUP_NAME","FILEGROUPPROPERTY","FILEPROPERTY","FULLTEXTCATALOGPROPERTY","FULLTEXTSERVICEPROPERTY","INDEX_COL","INDEXKEY_PROPERTY","INDEXPROPERTY","OBJECT_DEFINITION","OBJECT_ID","OBJECT_NAME","OBJECT_SCHEMA_NAME","OBJECTPROPERTY","OBJECTPROPERTYEX","ORIGINAL_DB_NAME","PARSENAME","SCHEMA_ID","SCHEMA_NAME","SCOPE_IDENTITY","SERVERPROPERTY","STATS_DATE","TYPE_ID","TYPE_NAME","TYPEPROPERTY","DENSE_RANK","NTILE","RANK","ROW_NUMBER","PUBLISHINGSERVERNAME","OPENDATASOURCE","OPENQUERY","OPENROWSET","OPENXML","CERTENCODED","CERTPRIVATEKEY","CURRENT_USER","HAS_DBACCESS","HAS_PERMS_BY_NAME","IS_MEMBER","IS_ROLEMEMBER","IS_SRVROLEMEMBER","LOGINPROPERTY","ORIGINAL_LOGIN","PERMISSIONS","PWDENCRYPT","PWDCOMPARE","SESSION_USER","SESSIONPROPERTY","SUSER_ID","SUSER_NAME","SUSER_SID","SUSER_SNAME","SYSTEM_USER","USER","USER_ID","USER_NAME","ASCII","CHAR","CHARINDEX","CONCAT","DIFFERENCE","FORMAT","LEFT","LEN","LOWER","LTRIM","NCHAR","PATINDEX","QUOTENAME","REPLACE","REPLICATE","REVERSE","RIGHT","RTRIM","SOUNDEX","SPACE","STR","STUFF","SUBSTRING","UNICODE","UPPER","BINARY_CHECKSUM","CHECKSUM","CONNECTIONPROPERTY","CONTEXT_INFO","CURRENT_REQUEST_ID","ERROR_LINE","ERROR_NUMBER","ERROR_MESSAGE","ERROR_PROCEDURE","ERROR_SEVERITY","ERROR_STATE","FORMATMESSAGE","GETANSINULL","GET_FILESTREAM_TRANSACTION_CONTEXT","HOST_ID","HOST_NAME","ISNULL","ISNUMERIC","MIN_ACTIVE_ROWVERSION","NEWID","NEWSEQUENTIALID","ROWCOUNT_BIG","XACT_STATE","TEXTPTR","TEXTVALID","COLUMNS_UPDATED","EVENTDATA","TRIGGER_NESTLEVEL","UPDATE","CHANGETABLE","CHANGE_TRACKING_CONTEXT","CHANGE_TRACKING_CURRENT_VERSION","CHANGE_TRACKING_IS_COLUMN_IN_MASK","CHANGE_TRACKING_MIN_VALID_VERSION","CONTAINSTABLE","FREETEXTTABLE","SEMANTICKEYPHRASETABLE","SEMANTICSIMILARITYDETAILSTABLE","SEMANTICSIMILARITYTABLE","FILETABLEROOTPATH","GETFILENAMESPACEPATH","GETPATHLOCATOR","PATHNAME","GET_TRANSMISSION_STATUS"],builtinVariables:["@@DATEFIRST","@@DBTS","@@LANGID","@@LANGUAGE","@@LOCK_TIMEOUT","@@MAX_CONNECTIONS","@@MAX_PRECISION","@@NESTLEVEL","@@OPTIONS","@@REMSERVER","@@SERVERNAME","@@SERVICENAME","@@SPID","@@TEXTSIZE","@@VERSION","@@CURSOR_ROWS","@@FETCH_STATUS","@@DATEFIRST","@@PROCID","@@ERROR","@@IDENTITY","@@ROWCOUNT","@@TRANCOUNT","@@CONNECTIONS","@@CPU_BUSY","@@IDLE","@@IO_BUSY","@@PACKET_ERRORS","@@PACK_RECEIVED","@@PACK_SENT","@@TIMETICKS","@@TOTAL_ERRORS","@@TOTAL_READ","@@TOTAL_WRITE"],pseudoColumns:["$ACTION","$IDENTITY","$ROWGUID","$PARTITION"],tokenizer:{root:[{include:"@comments"},{include:"@whitespace"},{include:"@pseudoColumns"},{include:"@numbers"},{include:"@strings"},{include:"@complexIdentifiers"},{include:"@scopes"},[/[;,.]/,"delimiter"],[/[()]/,"@brackets"],[/[\w@#$]+/,{cases:{"@operators":"operator","@builtinVariables":"predefined","@builtinFunctions":"predefined","@keywords":"keyword","@default":"identifier"}}],[/[<>=!%&+\-*/|~^]/,"operator"]],whitespace:[[/\s+/,"white"]],comments:[[/--+.*/,"comment"],[/\/\*/,{token:"comment.quote",next:"@comment"}]],comment:[[/[^*/]+/,"comment"],[/\*\//,{token:"comment.quote",next:"@pop"}],[/./,"comment"]],pseudoColumns:[[/[$][A-Za-z_][\w@#$]*/,{cases:{"@pseudoColumns":"predefined","@default":"identifier"}}]],numbers:[[/0[xX][0-9a-fA-F]*/,"number"],[/[$][+-]*\d*(\.\d*)?/,"number"],[/((\d+(\.\d*)?)|(\.\d+))([eE][\-+]?\d+)?/,"number"]],strings:[[/N'/,{token:"string",next:"@string"}],[/'/,{token:"string",next:"@string"}]],string:[[/[^']+/,"string"],[/''/,"string"],[/'/,{token:"string",next:"@pop"}]],complexIdentifiers:[[/\[/,{token:"identifier.quote",next:"@bracketedIdentifier"}],[/"/,{token:"identifier.quote",next:"@quotedIdentifier"}]],bracketedIdentifier:[[/[^\]]+/,"identifier"],[/]]/,"identifier"],[/]/,{token:"identifier.quote",next:"@pop"}]],quotedIdentifier:[[/[^"]+/,"identifier"],[/""/,"identifier"],[/"/,{token:"identifier.quote",next:"@pop"}]],scopes:[[/BEGIN\s+(DISTRIBUTED\s+)?TRAN(SACTION)?\b/i,"keyword"],[/BEGIN\s+TRY\b/i,{token:"keyword.try"}],[/END\s+TRY\b/i,{token:"keyword.try"}],[/BEGIN\s+CATCH\b/i,{token:"keyword.catch"}],[/END\s+CATCH\b/i,{token:"keyword.catch"}],[/(BEGIN|CASE)\b/i,{token:"keyword.block"}],[/END\b/i,{token:"keyword.block"}],[/WHEN\b/i,{token:"keyword.choice"}],[/THEN\b/i,{token:"keyword.choice"}]]}};export{E as conf,T as language};
diff --git a/docs/assets/monaco/st-BtpAQKub.js b/docs/assets/monaco/st-BtpAQKub.js
new file mode 100644
index 0000000..ebb6635
--- /dev/null
+++ b/docs/assets/monaco/st-BtpAQKub.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["(*","*)"]},brackets:[["{","}"],["[","]"],["(",")"],["var","end_var"],["var_input","end_var"],["var_output","end_var"],["var_in_out","end_var"],["var_temp","end_var"],["var_global","end_var"],["var_access","end_var"],["var_external","end_var"],["type","end_type"],["struct","end_struct"],["program","end_program"],["function","end_function"],["function_block","end_function_block"],["action","end_action"],["step","end_step"],["initial_step","end_step"],["transaction","end_transaction"],["configuration","end_configuration"],["tcp","end_tcp"],["recource","end_recource"],["channel","end_channel"],["library","end_library"],["folder","end_folder"],["binaries","end_binaries"],["includes","end_includes"],["sources","end_sources"]],autoClosingPairs:[{open:"[",close:"]"},{open:"{",close:"}"},{open:"(",close:")"},{open:"/*",close:"*/"},{open:"'",close:"'",notIn:["string_sq"]},{open:'"',close:'"',notIn:["string_dq"]},{open:"var_input",close:"end_var"},{open:"var_output",close:"end_var"},{open:"var_in_out",close:"end_var"},{open:"var_temp",close:"end_var"},{open:"var_global",close:"end_var"},{open:"var_access",close:"end_var"},{open:"var_external",close:"end_var"},{open:"type",close:"end_type"},{open:"struct",close:"end_struct"},{open:"program",close:"end_program"},{open:"function",close:"end_function"},{open:"function_block",close:"end_function_block"},{open:"action",close:"end_action"},{open:"step",close:"end_step"},{open:"initial_step",close:"end_step"},{open:"transaction",close:"end_transaction"},{open:"configuration",close:"end_configuration"},{open:"tcp",close:"end_tcp"},{open:"recource",close:"end_recource"},{open:"channel",close:"end_channel"},{open:"library",close:"end_library"},{open:"folder",close:"end_folder"},{open:"binaries",close:"end_binaries"},{open:"includes",close:"end_includes"},{open:"sources",close:"end_sources"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"var",close:"end_var"},{open:"var_input",close:"end_var"},{open:"var_output",close:"end_var"},{open:"var_in_out",close:"end_var"},{open:"var_temp",close:"end_var"},{open:"var_global",close:"end_var"},{open:"var_access",close:"end_var"},{open:"var_external",close:"end_var"},{open:"type",close:"end_type"},{open:"struct",close:"end_struct"},{open:"program",close:"end_program"},{open:"function",close:"end_function"},{open:"function_block",close:"end_function_block"},{open:"action",close:"end_action"},{open:"step",close:"end_step"},{open:"initial_step",close:"end_step"},{open:"transaction",close:"end_transaction"},{open:"configuration",close:"end_configuration"},{open:"tcp",close:"end_tcp"},{open:"recource",close:"end_recource"},{open:"channel",close:"end_channel"},{open:"library",close:"end_library"},{open:"folder",close:"end_folder"},{open:"binaries",close:"end_binaries"},{open:"includes",close:"end_includes"},{open:"sources",close:"end_sources"}],folding:{markers:{start:new RegExp("^\\s*#pragma\\s+region\\b"),end:new RegExp("^\\s*#pragma\\s+endregion\\b")}}},n={defaultToken:"",tokenPostfix:".st",ignoreCase:!0,brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"}],keywords:["if","end_if","elsif","else","case","of","to","__try","__catch","__finally","do","with","by","while","repeat","end_while","end_repeat","end_case","for","end_for","task","retain","non_retain","constant","with","at","exit","return","interval","priority","address","port","on_channel","then","iec","file","uses","version","packagetype","displayname","copyright","summary","vendor","common_source","from","extends","implements"],constant:["false","true","null"],defineKeywords:["var","var_input","var_output","var_in_out","var_temp","var_global","var_access","var_external","end_var","type","end_type","struct","end_struct","program","end_program","function","end_function","function_block","end_function_block","interface","end_interface","method","end_method","property","end_property","namespace","end_namespace","configuration","end_configuration","tcp","end_tcp","resource","end_resource","channel","end_channel","library","end_library","folder","end_folder","binaries","end_binaries","includes","end_includes","sources","end_sources","action","end_action","step","initial_step","end_step","transaction","end_transaction"],typeKeywords:["int","sint","dint","lint","usint","uint","udint","ulint","real","lreal","time","date","time_of_day","date_and_time","string","bool","byte","word","dword","array","pointer","lword"],operators:["=",">","<",":",":=","<=",">=","<>","&","+","-","*","**","MOD","^","or","and","not","xor","abs","acos","asin","atan","cos","exp","expt","ln","log","sin","sqrt","tan","sel","max","min","limit","mux","shl","shr","rol","ror","indexof","sizeof","adr","adrinst","bitadr","is_valid","ref","ref_to"],builtinVariables:[],builtinFunctions:["sr","rs","tp","ton","tof","eq","ge","le","lt","ne","round","trunc","ctd","сtu","ctud","r_trig","f_trig","move","concat","delete","find","insert","left","len","replace","right","rtc"],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[[/(\.\.)/,"delimiter"],[/\b(16#[0-9A-Fa-f\_]*)+\b/,"number.hex"],[/\b(2#[01\_]+)+\b/,"number.binary"],[/\b(8#[0-9\_]*)+\b/,"number.octal"],[/\b\d*\.\d+([eE][\-+]?\d+)?\b/,"number.float"],[/\b(L?REAL)#[0-9\_\.e]+\b/,"number.float"],[/\b(BYTE|(?:D|L)?WORD|U?(?:S|D|L)?INT)#[0-9\_]+\b/,"number"],[/\d+/,"number"],[/\b(T|DT|TOD)#[0-9:-_shmyd]+\b/,"tag"],[/\%(I|Q|M)(X|B|W|D|L)[0-9\.]+/,"tag"],[/\%(I|Q|M)[0-9\.]*/,"tag"],[/\b[A-Za-z]{1,6}#[0-9]+\b/,"tag"],[/\b(TO_|CTU_|CTD_|CTUD_|MUX_|SEL_)[A_Za-z]+\b/,"predefined"],[/\b[A_Za-z]+(_TO_)[A_Za-z]+\b/,"predefined"],[/[;]/,"delimiter"],[/[.]/,{token:"delimiter",next:"@params"}],[/[a-zA-Z_]\w*/,{cases:{"@operators":"operators","@keywords":"keyword","@typeKeywords":"type","@defineKeywords":"variable","@constant":"constant","@builtinVariables":"predefined","@builtinFunctions":"predefined","@default":"identifier"}}],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,{token:"string.quote",bracket:"@open",next:"@string_dq"}],[/'/,{token:"string.quote",bracket:"@open",next:"@string_sq"}],[/'[^\\']'/,"string"],[/(')(@escapes)(')/,["string","string.escape","string"]],[/'/,"string.invalid"]],params:[[/\b[A-Za-z0-9_]+\b(?=\()/,{token:"identifier",next:"@pop"}],[/\b[A-Za-z0-9_]+\b/,"variable.name","@pop"]],comment:[[/[^\/*]+/,"comment"],[/\/\*/,"comment","@push"],["\\*/","comment","@pop"],[/[\/*]/,"comment"]],comment2:[[/[^\(*]+/,"comment"],[/\(\*/,"comment","@push"],["\\*\\)","comment","@pop"],[/[\(*]/,"comment"]],whitespace:[[/[ \t\r\n]+/,"white"],[/\/\/.*$/,"comment"],[/\/\*/,"comment","@comment"],[/\(\*/,"comment","@comment2"]],string_dq:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,{token:"string.quote",bracket:"@close",next:"@pop"}]],string_sq:[[/[^\\']+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/'/,{token:"string.quote",bracket:"@close",next:"@pop"}]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/swift-CVGPmAnX.js b/docs/assets/monaco/swift-CVGPmAnX.js
new file mode 100644
index 0000000..c770ca9
--- /dev/null
+++ b/docs/assets/monaco/swift-CVGPmAnX.js
@@ -0,0 +1,8 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"},{open:"`",close:"`"}]},o={defaultToken:"",tokenPostfix:".swift",identifier:/[a-zA-Z_][\w$]*/,attributes:["@GKInspectable","@IBAction","@IBDesignable","@IBInspectable","@IBOutlet","@IBSegueAction","@NSApplicationMain","@NSCopying","@NSManaged","@Sendable","@UIApplicationMain","@autoclosure","@actorIndependent","@asyncHandler","@available","@convention","@derivative","@differentiable","@discardableResult","@dynamicCallable","@dynamicMemberLookup","@escaping","@frozen","@globalActor","@inlinable","@inline","@main","@noDerivative","@nonobjc","@noreturn","@objc","@objcMembers","@preconcurrency","@propertyWrapper","@requires_stored_property_inits","@resultBuilder","@testable","@unchecked","@unknown","@usableFromInline","@warn_unqualified_access"],accessmodifiers:["open","public","internal","fileprivate","private"],keywords:["#available","#colorLiteral","#column","#dsohandle","#else","#elseif","#endif","#error","#file","#fileID","#fileLiteral","#filePath","#function","#if","#imageLiteral","#keyPath","#line","#selector","#sourceLocation","#warning","Any","Protocol","Self","Type","actor","as","assignment","associatedtype","associativity","async","await","break","case","catch","class","continue","convenience","default","defer","deinit","didSet","do","dynamic","dynamicType","else","enum","extension","fallthrough","false","fileprivate","final","for","func","get","guard","higherThan","if","import","in","indirect","infix","init","inout","internal","is","isolated","lazy","left","let","lowerThan","mutating","nil","none","nonisolated","nonmutating","open","operator","optional","override","postfix","precedence","precedencegroup","prefix","private","protocol","public","repeat","required","rethrows","return","right","safe","self","set","some","static","struct","subscript","super","switch","throw","throws","true","try","typealias","unowned","unsafe","var","weak","where","while","willSet","__consuming","__owned"],symbols:/[=(){}\[\].,:;@#\_&\-<>`?!+*\\\/]/,operatorstart:/[\/=\-+!*%<>&|^~?\u00A1-\u00A7\u00A9\u00AB\u00AC\u00AE\u00B0-\u00B1\u00B6\u00BB\u00BF\u00D7\u00F7\u2016-\u2017\u2020-\u2027\u2030-\u203E\u2041-\u2053\u2055-\u205E\u2190-\u23FF\u2500-\u2775\u2794-\u2BFF\u2E00-\u2E7F\u3001-\u3003\u3008-\u3030]/,operatorend:/[\u0300-\u036F\u1DC0-\u1DFF\u20D0-\u20FF\uFE00-\uFE0F\uFE20-\uFE2F\uE0100-\uE01EF]/,operators:/(@operatorstart)((@operatorstart)|(@operatorend))*/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,tokenizer:{root:[{include:"@whitespace"},{include:"@comment"},{include:"@attribute"},{include:"@literal"},{include:"@keyword"},{include:"@invokedmethod"},{include:"@symbol"}],whitespace:[[/\s+/,"white"],[/"""/,"string.quote","@endDblDocString"]],endDblDocString:[[/[^"]+/,"string"],[/\\"/,"string"],[/"""/,"string.quote","@popall"],[/"/,"string"]],symbol:[[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/[.]/,"delimiter"],[/@operators/,"operator"],[/@symbols/,"operator"]],comment:[[/\/\/\/.*$/,"comment.doc"],[/\/\*\*/,"comment.doc","@commentdocbody"],[/\/\/.*$/,"comment"],[/\/\*/,"comment","@commentbody"]],commentdocbody:[[/\/\*/,"comment","@commentbody"],[/\*\//,"comment.doc","@pop"],[/\:[a-zA-Z]+\:/,"comment.doc.param"],[/./,"comment.doc"]],commentbody:[[/\/\*/,"comment","@commentbody"],[/\*\//,"comment","@pop"],[/./,"comment"]],attribute:[[/@@@identifier/,{cases:{"@attributes":"keyword.control","@default":""}}]],literal:[[/"/,{token:"string.quote",next:"@stringlit"}],[/0[b]([01]_?)+/,"number.binary"],[/0[o]([0-7]_?)+/,"number.octal"],[/0[x]([0-9a-fA-F]_?)+([pP][\-+](\d_?)+)?/,"number.hex"],[/(\d_?)*\.(\d_?)+([eE][\-+]?(\d_?)+)?/,"number.float"],[/(\d_?)+/,"number"]],stringlit:[[/\\\(/,{token:"operator",next:"@interpolatedexpression"}],[/@escapes/,"string"],[/\\./,"string.escape.invalid"],[/"/,{token:"string.quote",next:"@pop"}],[/./,"string"]],interpolatedexpression:[[/\(/,{token:"operator",next:"@interpolatedexpression"}],[/\)/,{token:"operator",next:"@pop"}],{include:"@literal"},{include:"@keyword"},{include:"@symbol"}],keyword:[[/`/,{token:"operator",next:"@escapedkeyword"}],[/@identifier/,{cases:{"@keywords":"keyword","[A-Z][a-zA-Z0-9$]*":"type.identifier","@default":"identifier"}}]],escapedkeyword:[[/`/,{token:"operator",next:"@pop"}],[/./,"identifier"]],invokedmethod:[[/([.])(@identifier)/,{cases:{$2:["delimeter","type.identifier"],"@default":""}}]]}};/*!---------------------------------------------------------------------------------------------
+ * Copyright (C) David Owens II, owensd.io. All rights reserved.
+ *--------------------------------------------------------------------------------------------*/export{e as conf,o as language};
diff --git a/docs/assets/monaco/systemverilog-CzfWLrvP.js b/docs/assets/monaco/systemverilog-CzfWLrvP.js
new file mode 100644
index 0000000..6b1612e
--- /dev/null
+++ b/docs/assets/monaco/systemverilog-CzfWLrvP.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"],["begin","end"],["case","endcase"],["casex","endcase"],["casez","endcase"],["checker","endchecker"],["class","endclass"],["clocking","endclocking"],["config","endconfig"],["function","endfunction"],["generate","endgenerate"],["group","endgroup"],["interface","endinterface"],["module","endmodule"],["package","endpackage"],["primitive","endprimitive"],["program","endprogram"],["property","endproperty"],["specify","endspecify"],["sequence","endsequence"],["table","endtable"],["task","endtask"]],autoClosingPairs:[{open:"[",close:"]"},{open:"{",close:"}"},{open:"(",close:")"},{open:"'",close:"'",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{offSide:!1,markers:{start:new RegExp("^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\b"),end:new RegExp("^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\b")}}},n={defaultToken:"",tokenPostfix:".sv",brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"},{token:"delimiter.angle",open:"<",close:">"}],keywords:["accept_on","alias","always","always_comb","always_ff","always_latch","and","assert","assign","assume","automatic","before","begin","bind","bins","binsof","bit","break","buf","bufif0","bufif1","byte","case","casex","casez","cell","chandle","checker","class","clocking","cmos","config","const","constraint","context","continue","cover","covergroup","coverpoint","cross","deassign","default","defparam","design","disable","dist","do","edge","else","end","endcase","endchecker","endclass","endclocking","endconfig","endfunction","endgenerate","endgroup","endinterface","endmodule","endpackage","endprimitive","endprogram","endproperty","endspecify","endsequence","endtable","endtask","enum","event","eventually","expect","export","extends","extern","final","first_match","for","force","foreach","forever","fork","forkjoin","function","generate","genvar","global","highz0","highz1","if","iff","ifnone","ignore_bins","illegal_bins","implements","implies","import","incdir","include","initial","inout","input","inside","instance","int","integer","interconnect","interface","intersect","join","join_any","join_none","large","let","liblist","library","local","localparam","logic","longint","macromodule","matches","medium","modport","module","nand","negedge","nettype","new","nexttime","nmos","nor","noshowcancelled","not","notif0","notif1","null","or","output","package","packed","parameter","pmos","posedge","primitive","priority","program","property","protected","pull0","pull1","pulldown","pullup","pulsestyle_ondetect","pulsestyle_onevent","pure","rand","randc","randcase","randsequence","rcmos","real","realtime","ref","reg","reject_on","release","repeat","restrict","return","rnmos","rpmos","rtran","rtranif0","rtranif1","s_always","s_eventually","s_nexttime","s_until","s_until_with","scalared","sequence","shortint","shortreal","showcancelled","signed","small","soft","solve","specify","specparam","static","string","strong","strong0","strong1","struct","super","supply0","supply1","sync_accept_on","sync_reject_on","table","tagged","task","this","throughout","time","timeprecision","timeunit","tran","tranif0","tranif1","tri","tri0","tri1","triand","trior","trireg","type","typedef","union","unique","unique0","unsigned","until","until_with","untyped","use","uwire","var","vectored","virtual","void","wait","wait_order","wand","weak","weak0","weak1","while","wildcard","wire","with","within","wor","xnor","xor"],builtin_gates:["and","nand","nor","or","xor","xnor","buf","not","bufif0","bufif1","notif1","notif0","cmos","nmos","pmos","rcmos","rnmos","rpmos","tran","tranif1","tranif0","rtran","rtranif1","rtranif0"],operators:["=","+=","-=","*=","/=","%=","&=","|=","^=","<<=",">>+","<<<=",">>>=","?",":","+","-","!","~","&","~&","|","~|","^","~^","^~","+","-","*","/","%","==","!=","===","!==","==?","!=?","&&","||","**","<","<=",">",">=","&","|","^",">>","<<",">>>","<<<","++","--","->","<->","inside","dist","::","+:","-:","*>","&&&","|->","|=>","#=#"],symbols:/[=><!~?:&|+\-*\/\^%#]+/,escapes:/%%|\\(?:[antvf\\"']|x[0-9A-Fa-f]{1,2}|[0-7]{1,3})/,identifier:/(?:[a-zA-Z_][a-zA-Z0-9_$\.]*|\\\S+ )/,systemcall:/[$][a-zA-Z0-9_]+/,timeunits:/s|ms|us|ns|ps|fs/,tokenizer:{root:[[/^(\s*)(@identifier)/,["",{cases:{"@builtin_gates":{token:"keyword.$2",next:"@module_instance"},table:{token:"keyword.$2",next:"@table"},"@keywords":{token:"keyword.$2"},"@default":{token:"identifier",next:"@module_instance"}}}]],[/^\s*`include/,{token:"keyword.directive.include",next:"@include"}],[/^\s*`\s*\w+/,"keyword"],{include:"@identifier_or_keyword"},{include:"@whitespace"},[/\(\*.*\*\)/,"annotation"],[/@systemcall/,"variable.predefined"],[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],{include:"@numbers"},[/[;,.]/,"delimiter"],{include:"@strings"}],identifier_or_keyword:[[/@identifier/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}]],numbers:[[/\d+?[\d_]*(?:\.[\d_]+)?[eE][\-+]?\d+/,"number.float"],[/\d+?[\d_]*\.[\d_]+(?:\s*@timeunits)?/,"number.float"],[/(?:\d+?[\d_]*\s*)?'[sS]?[dD]\s*[0-9xXzZ?]+?[0-9xXzZ?_]*/,"number"],[/(?:\d+?[\d_]*\s*)?'[sS]?[bB]\s*[0-1xXzZ?]+?[0-1xXzZ?_]*/,"number.binary"],[/(?:\d+?[\d_]*\s*)?'[sS]?[oO]\s*[0-7xXzZ?]+?[0-7xXzZ?_]*/,"number.octal"],[/(?:\d+?[\d_]*\s*)?'[sS]?[hH]\s*[0-9a-fA-FxXzZ?]+?[0-9a-fA-FxXzZ?_]*/,"number.hex"],[/1step/,"number"],[/[\dxXzZ]+?[\dxXzZ_]*(?:\s*@timeunits)?/,"number"],[/'[01xXzZ]+/,"number"]],module_instance:[{include:"@whitespace"},[/(#?)(\()/,["",{token:"@brackets",next:"@port_connection"}]],[/@identifier\s*[;={}\[\],]/,{token:"@rematch",next:"@pop"}],[/@symbols|[;={}\[\],]/,{token:"@rematch",next:"@pop"}],[/@identifier/,"type"],[/;/,"delimiter","@pop"]],port_connection:[{include:"@identifier_or_keyword"},{include:"@whitespace"},[/@systemcall/,"variable.predefined"],{include:"@numbers"},{include:"@strings"},[/[,]/,"delimiter"],[/\(/,"@brackets","@port_connection"],[/\)/,"@brackets","@pop"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],strings:[[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],include:[[/(\s*)(")([\w*\/*]*)(.\w*)(")/,["","string.include.identifier","string.include.identifier","string.include.identifier",{token:"string.include.identifier",next:"@pop"}]],[/(\s*)(<)([\w*\/*]*)(.\w*)(>)/,["","string.include.identifier","string.include.identifier","string.include.identifier",{token:"string.include.identifier",next:"@pop"}]]],table:[{include:"@whitespace"},[/[()]/,"@brackets"],[/[:;]/,"delimiter"],[/[01\-*?xXbBrRfFpPnN]/,"variable.predefined"],["endtable","keyword.endtable","@pop"]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/tcl-0V1PA5XN.js b/docs/assets/monaco/tcl-0V1PA5XN.js
new file mode 100644
index 0000000..e03c27f
--- /dev/null
+++ b/docs/assets/monaco/tcl-0V1PA5XN.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}]},t={tokenPostfix:".tcl",specialFunctions:["set","unset","rename","variable","proc","coroutine","foreach","incr","append","lappend","linsert","lreplace"],mainFunctions:["if","then","elseif","else","case","switch","while","for","break","continue","return","package","namespace","catch","exit","eval","expr","uplevel","upvar"],builtinFunctions:["file","info","concat","join","lindex","list","llength","lrange","lsearch","lsort","split","array","parray","binary","format","regexp","regsub","scan","string","subst","dict","cd","clock","exec","glob","pid","pwd","close","eof","fblocked","fconfigure","fcopy","fileevent","flush","gets","open","puts","read","seek","socket","tell","interp","after","auto_execok","auto_load","auto_mkindex","auto_reset","bgerror","error","global","history","load","source","time","trace","unknown","unset","update","vwait","winfo","wm","bind","event","pack","place","grid","font","bell","clipboard","destroy","focus","grab","lower","option","raise","selection","send","tk","tkwait","tk_bisque","tk_focusNext","tk_focusPrev","tk_focusFollowsMouse","tk_popup","tk_setPalette"],symbols:/[=><!~?:&|+\-*\/\^%]+/,brackets:[{open:"(",close:")",token:"delimiter.parenthesis"},{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"}],escapes:/\\(?:[abfnrtv\\"'\[\]\{\};\$]|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,variables:/(?:\$+(?:(?:\:\:?)?[a-zA-Z_]\w*)+)/,tokenizer:{root:[[/[a-zA-Z_]\w*/,{cases:{"@specialFunctions":{token:"keyword.flow",next:"@specialFunc"},"@mainFunctions":"keyword","@builtinFunctions":"variable","@default":"operator.scss"}}],[/\s+\-+(?!\d|\.)\w*|{\*}/,"metatag"],{include:"@whitespace"},[/[{}()\[\]]/,"@brackets"],[/@symbols/,"operator"],[/\$+(?:\:\:)?\{/,{token:"identifier",next:"@nestedVariable"}],[/@variables/,"type.identifier"],[/\.(?!\d|\.)[\w\-]*/,"operator.sql"],[/\d+(\.\d+)?/,"number"],[/\d+/,"number"],[/;/,"delimiter"],[/"/,{token:"string.quote",bracket:"@open",next:"@dstring"}],[/'/,{token:"string.quote",bracket:"@open",next:"@sstring"}]],dstring:[[/\[/,{token:"@brackets",next:"@nestedCall"}],[/\$+(?:\:\:)?\{/,{token:"identifier",next:"@nestedVariable"}],[/@variables/,"type.identifier"],[/[^\\$\[\]"]+/,"string"],[/@escapes/,"string.escape"],[/"/,{token:"string.quote",bracket:"@close",next:"@pop"}]],sstring:[[/\[/,{token:"@brackets",next:"@nestedCall"}],[/\$+(?:\:\:)?\{/,{token:"identifier",next:"@nestedVariable"}],[/@variables/,"type.identifier"],[/[^\\$\[\]']+/,"string"],[/@escapes/,"string.escape"],[/'/,{token:"string.quote",bracket:"@close",next:"@pop"}]],whitespace:[[/[ \t\r\n]+/,"white"],[/#.*\\$/,{token:"comment",next:"@newlineComment"}],[/#.*(?!\\)$/,"comment"]],newlineComment:[[/.*\\$/,"comment"],[/.*(?!\\)$/,{token:"comment",next:"@pop"}]],nestedVariable:[[/[^\{\}\$]+/,"type.identifier"],[/\}/,{token:"identifier",next:"@pop"}]],nestedCall:[[/\[/,{token:"@brackets",next:"@nestedCall"}],[/\]/,{token:"@brackets",next:"@pop"}],{include:"root"}],specialFunc:[[/"/,{token:"string",next:"@dstring"}],[/'/,{token:"string",next:"@sstring"}],[/\S+/,{token:"type",next:"@pop"}]]}};export{e as conf,t as language};
diff --git a/docs/assets/monaco/tsMode-CSjQzO28.js b/docs/assets/monaco/tsMode-CSjQzO28.js
new file mode 100644
index 0000000..18ce753
--- /dev/null
+++ b/docs/assets/monaco/tsMode-CSjQzO28.js
@@ -0,0 +1,16 @@
+import{q as O,o as I}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var N=Object.defineProperty,M=Object.getOwnPropertyDescriptor,R=Object.getOwnPropertyNames,K=Object.prototype.hasOwnProperty,E=(e,t,i,o)=>{if(t&&typeof t=="object"||typeof t=="function")for(let n of R(t))!K.call(e,n)&&n!==i&&N(e,n,{get:()=>t[n],enumerable:!(o=M(t,n))||o.enumerable});return e},H=(e,t,i)=>(E(e,t,"default"),i),a={};H(a,I);var V=class{constructor(e,t){this._modeId=e,this._defaults=t,this._worker=null,this._client=null,this._configChangeListener=this._defaults.onDidChange(()=>this._stopWorker()),this._updateExtraLibsToken=0,this._extraLibsChangeListener=this._defaults.onDidExtraLibsChange(()=>this._updateExtraLibs())}dispose(){this._configChangeListener.dispose(),this._extraLibsChangeListener.dispose(),this._stopWorker()}_stopWorker(){this._worker&&(this._worker.dispose(),this._worker=null),this._client=null}async _updateExtraLibs(){if(!this._worker)return;const e=++this._updateExtraLibsToken,t=await this._worker.getProxy();this._updateExtraLibsToken===e&&t.updateExtraLibs(this._defaults.getExtraLibs())}_getClient(){return this._client||(this._client=(async()=>(this._worker=a.editor.createWebWorker({moduleId:"vs/language/typescript/tsWorker",label:this._modeId,keepIdleModels:!0,createData:{compilerOptions:this._defaults.getCompilerOptions(),extraLibs:this._defaults.getExtraLibs(),customWorkerPath:this._defaults.workerOptions.customWorkerPath,inlayHintsOptions:this._defaults.inlayHintsOptions}}),this._defaults.getEagerModelSync()?await this._worker.withSyncedResources(a.editor.getModels().filter(e=>e.getLanguageId()===this._modeId).map(e=>e.uri)):await this._worker.getProxy()))()),this._client}async getLanguageServiceWorker(...e){const t=await this._getClient();return this._worker&&await this._worker.withSyncedResources(e),t}},r={};r["lib.d.ts"]=!0;r["lib.decorators.d.ts"]=!0;r["lib.decorators.legacy.d.ts"]=!0;r["lib.dom.asynciterable.d.ts"]=!0;r["lib.dom.d.ts"]=!0;r["lib.dom.iterable.d.ts"]=!0;r["lib.es2015.collection.d.ts"]=!0;r["lib.es2015.core.d.ts"]=!0;r["lib.es2015.d.ts"]=!0;r["lib.es2015.generator.d.ts"]=!0;r["lib.es2015.iterable.d.ts"]=!0;r["lib.es2015.promise.d.ts"]=!0;r["lib.es2015.proxy.d.ts"]=!0;r["lib.es2015.reflect.d.ts"]=!0;r["lib.es2015.symbol.d.ts"]=!0;r["lib.es2015.symbol.wellknown.d.ts"]=!0;r["lib.es2016.array.include.d.ts"]=!0;r["lib.es2016.d.ts"]=!0;r["lib.es2016.full.d.ts"]=!0;r["lib.es2016.intl.d.ts"]=!0;r["lib.es2017.d.ts"]=!0;r["lib.es2017.date.d.ts"]=!0;r["lib.es2017.full.d.ts"]=!0;r["lib.es2017.intl.d.ts"]=!0;r["lib.es2017.object.d.ts"]=!0;r["lib.es2017.sharedmemory.d.ts"]=!0;r["lib.es2017.string.d.ts"]=!0;r["lib.es2017.typedarrays.d.ts"]=!0;r["lib.es2018.asyncgenerator.d.ts"]=!0;r["lib.es2018.asynciterable.d.ts"]=!0;r["lib.es2018.d.ts"]=!0;r["lib.es2018.full.d.ts"]=!0;r["lib.es2018.intl.d.ts"]=!0;r["lib.es2018.promise.d.ts"]=!0;r["lib.es2018.regexp.d.ts"]=!0;r["lib.es2019.array.d.ts"]=!0;r["lib.es2019.d.ts"]=!0;r["lib.es2019.full.d.ts"]=!0;r["lib.es2019.intl.d.ts"]=!0;r["lib.es2019.object.d.ts"]=!0;r["lib.es2019.string.d.ts"]=!0;r["lib.es2019.symbol.d.ts"]=!0;r["lib.es2020.bigint.d.ts"]=!0;r["lib.es2020.d.ts"]=!0;r["lib.es2020.date.d.ts"]=!0;r["lib.es2020.full.d.ts"]=!0;r["lib.es2020.intl.d.ts"]=!0;r["lib.es2020.number.d.ts"]=!0;r["lib.es2020.promise.d.ts"]=!0;r["lib.es2020.sharedmemory.d.ts"]=!0;r["lib.es2020.string.d.ts"]=!0;r["lib.es2020.symbol.wellknown.d.ts"]=!0;r["lib.es2021.d.ts"]=!0;r["lib.es2021.full.d.ts"]=!0;r["lib.es2021.intl.d.ts"]=!0;r["lib.es2021.promise.d.ts"]=!0;r["lib.es2021.string.d.ts"]=!0;r["lib.es2021.weakref.d.ts"]=!0;r["lib.es2022.array.d.ts"]=!0;r["lib.es2022.d.ts"]=!0;r["lib.es2022.error.d.ts"]=!0;r["lib.es2022.full.d.ts"]=!0;r["lib.es2022.intl.d.ts"]=!0;r["lib.es2022.object.d.ts"]=!0;r["lib.es2022.regexp.d.ts"]=!0;r["lib.es2022.sharedmemory.d.ts"]=!0;r["lib.es2022.string.d.ts"]=!0;r["lib.es2023.array.d.ts"]=!0;r["lib.es2023.collection.d.ts"]=!0;r["lib.es2023.d.ts"]=!0;r["lib.es2023.full.d.ts"]=!0;r["lib.es5.d.ts"]=!0;r["lib.es6.d.ts"]=!0;r["lib.esnext.collection.d.ts"]=!0;r["lib.esnext.d.ts"]=!0;r["lib.esnext.decorators.d.ts"]=!0;r["lib.esnext.disposable.d.ts"]=!0;r["lib.esnext.full.d.ts"]=!0;r["lib.esnext.intl.d.ts"]=!0;r["lib.esnext.object.d.ts"]=!0;r["lib.esnext.promise.d.ts"]=!0;r["lib.scripthost.d.ts"]=!0;r["lib.webworker.asynciterable.d.ts"]=!0;r["lib.webworker.d.ts"]=!0;r["lib.webworker.importscripts.d.ts"]=!0;r["lib.webworker.iterable.d.ts"]=!0;function D(e,t,i=0){if(typeof e=="string")return e;if(e===void 0)return"";let o="";if(i){o+=t;for(let n=0;n<i;n++)o+=" "}if(o+=e.messageText,i++,e.next)for(const n of e.next)o+=D(n,t,i);return o}function w(e){return e?e.map(t=>t.text).join(""):""}var _=class{constructor(e){this._worker=e}_textSpanToRange(e,t){let i=e.getPositionAt(t.start),o=e.getPositionAt(t.start+t.length),{lineNumber:n,column:c}=i,{lineNumber:u,column:s}=o;return{startLineNumber:n,startColumn:c,endLineNumber:u,endColumn:s}}},W=class{constructor(e){this._worker=e,this._libFiles={},this._hasFetchedLibFiles=!1,this._fetchLibFilesPromise=null}isLibFile(e){return e&&e.path.indexOf("/lib.")===0?!!r[e.path.slice(1)]:!1}getOrCreateModel(e){const t=a.Uri.parse(e),i=a.editor.getModel(t);if(i)return i;if(this.isLibFile(t)&&this._hasFetchedLibFiles)return a.editor.createModel(this._libFiles[t.path.slice(1)],"typescript",t);const o=O.getExtraLibs()[e];return o?a.editor.createModel(o.content,"typescript",t):null}_containsLibFile(e){for(let t of e)if(this.isLibFile(t))return!0;return!1}async fetchLibFilesIfNecessary(e){this._containsLibFile(e)&&await this._fetchLibFiles()}_fetchLibFiles(){return this._fetchLibFilesPromise||(this._fetchLibFilesPromise=this._worker().then(e=>e.getLibFiles()).then(e=>{this._hasFetchedLibFiles=!0,this._libFiles=e})),this._fetchLibFilesPromise}},j=class extends _{constructor(e,t,i,o){super(o),this._libFiles=e,this._defaults=t,this._selector=i,this._disposables=[],this._listener=Object.create(null);const n=s=>{if(s.getLanguageId()!==i)return;const l=()=>{const{onlyVisible:h}=this._defaults.getDiagnosticsOptions();h?s.isAttachedToEditor()&&this._doValidate(s):this._doValidate(s)};let g;const d=s.onDidChangeContent(()=>{clearTimeout(g),g=window.setTimeout(l,500)}),b=s.onDidChangeAttached(()=>{const{onlyVisible:h}=this._defaults.getDiagnosticsOptions();h&&(s.isAttachedToEditor()?l():a.editor.setModelMarkers(s,this._selector,[]))});this._listener[s.uri.toString()]={dispose(){d.dispose(),b.dispose(),clearTimeout(g)}},l()},c=s=>{a.editor.setModelMarkers(s,this._selector,[]);const l=s.uri.toString();this._listener[l]&&(this._listener[l].dispose(),delete this._listener[l])};this._disposables.push(a.editor.onDidCreateModel(s=>n(s))),this._disposables.push(a.editor.onWillDisposeModel(c)),this._disposables.push(a.editor.onDidChangeModelLanguage(s=>{c(s.model),n(s.model)})),this._disposables.push({dispose(){for(const s of a.editor.getModels())c(s)}});const u=()=>{for(const s of a.editor.getModels())c(s),n(s)};this._disposables.push(this._defaults.onDidChange(u)),this._disposables.push(this._defaults.onDidExtraLibsChange(u)),a.editor.getModels().forEach(s=>n(s))}dispose(){this._disposables.forEach(e=>e&&e.dispose()),this._disposables=[]}async _doValidate(e){const t=await this._worker(e.uri);if(e.isDisposed())return;const i=[],{noSyntaxValidation:o,noSemanticValidation:n,noSuggestionDiagnostics:c}=this._defaults.getDiagnosticsOptions();o||i.push(t.getSyntacticDiagnostics(e.uri.toString())),n||i.push(t.getSemanticDiagnostics(e.uri.toString())),c||i.push(t.getSuggestionDiagnostics(e.uri.toString()));const u=await Promise.all(i);if(!u||e.isDisposed())return;const s=u.reduce((g,d)=>d.concat(g),[]).filter(g=>(this._defaults.getDiagnosticsOptions().diagnosticCodesToIgnore||[]).indexOf(g.code)===-1),l=s.map(g=>g.relatedInformation||[]).reduce((g,d)=>d.concat(g),[]).map(g=>g.file?a.Uri.parse(g.file.fileName):null);await this._libFiles.fetchLibFilesIfNecessary(l),!e.isDisposed()&&a.editor.setModelMarkers(e,this._selector,s.map(g=>this._convertDiagnostics(e,g)))}_convertDiagnostics(e,t){const i=t.start||0,o=t.length||1,{lineNumber:n,column:c}=e.getPositionAt(i),{lineNumber:u,column:s}=e.getPositionAt(i+o),l=[];return t.reportsUnnecessary&&l.push(a.MarkerTag.Unnecessary),t.reportsDeprecated&&l.push(a.MarkerTag.Deprecated),{severity:this._tsDiagnosticCategoryToMarkerSeverity(t.category),startLineNumber:n,startColumn:c,endLineNumber:u,endColumn:s,message:D(t.messageText,`
+`),code:t.code.toString(),tags:l,relatedInformation:this._convertRelatedInformation(e,t.relatedInformation)}}_convertRelatedInformation(e,t){if(!t)return[];const i=[];return t.forEach(o=>{let n=e;if(o.file&&(n=this._libFiles.getOrCreateModel(o.file.fileName)),!n)return;const c=o.start||0,u=o.length||1,{lineNumber:s,column:l}=n.getPositionAt(c),{lineNumber:g,column:d}=n.getPositionAt(c+u);i.push({resource:n.uri,startLineNumber:s,startColumn:l,endLineNumber:g,endColumn:d,message:D(o.messageText,`
+`)})}),i}_tsDiagnosticCategoryToMarkerSeverity(e){switch(e){case 1:return a.MarkerSeverity.Error;case 3:return a.MarkerSeverity.Info;case 0:return a.MarkerSeverity.Warning;case 2:return a.MarkerSeverity.Hint}return a.MarkerSeverity.Info}},B=class C extends _{get triggerCharacters(){return["."]}async provideCompletionItems(t,i,o,n){const c=t.getWordUntilPosition(i),u=new a.Range(i.lineNumber,c.startColumn,i.lineNumber,c.endColumn),s=t.uri,l=t.getOffsetAt(i),g=await this._worker(s);if(t.isDisposed())return;const d=await g.getCompletionsAtPosition(s.toString(),l);return!d||t.isDisposed()?void 0:{suggestions:d.entries.map(h=>{let y=u;if(h.replacementSpan){const S=t.getPositionAt(h.replacementSpan.start),x=t.getPositionAt(h.replacementSpan.start+h.replacementSpan.length);y=new a.Range(S.lineNumber,S.column,x.lineNumber,x.column)}const v=[];return h.kindModifiers!==void 0&&h.kindModifiers.indexOf("deprecated")!==-1&&v.push(a.languages.CompletionItemTag.Deprecated),{uri:s,position:i,offset:l,range:y,label:h.name,insertText:h.name,sortText:h.sortText,kind:C.convertKind(h.kind),tags:v}})}}async resolveCompletionItem(t,i){const o=t,n=o.uri,c=o.position,u=o.offset,l=await(await this._worker(n)).getCompletionEntryDetails(n.toString(),u,o.label);return l?{uri:n,position:c,label:l.name,kind:C.convertKind(l.kind),detail:w(l.displayParts),documentation:{value:C.createDocumentationString(l)}}:o}static convertKind(t){switch(t){case f.primitiveType:case f.keyword:return a.languages.CompletionItemKind.Keyword;case f.variable:case f.localVariable:return a.languages.CompletionItemKind.Variable;case f.memberVariable:case f.memberGetAccessor:case f.memberSetAccessor:return a.languages.CompletionItemKind.Field;case f.function:case f.memberFunction:case f.constructSignature:case f.callSignature:case f.indexSignature:return a.languages.CompletionItemKind.Function;case f.enum:return a.languages.CompletionItemKind.Enum;case f.module:return a.languages.CompletionItemKind.Module;case f.class:return a.languages.CompletionItemKind.Class;case f.interface:return a.languages.CompletionItemKind.Interface;case f.warning:return a.languages.CompletionItemKind.File}return a.languages.CompletionItemKind.Property}static createDocumentationString(t){let i=w(t.documentation);if(t.tags)for(const o of t.tags)i+=`
+
+${T(o)}`;return i}};function T(e){let t=`*@${e.name}*`;if(e.name==="param"&&e.text){const[i,...o]=e.text;t+=`\`${i.text}\``,o.length>0&&(t+=` — ${o.map(n=>n.text).join(" ")}`)}else Array.isArray(e.text)?t+=` — ${e.text.map(i=>i.text).join(" ")}`:e.text&&(t+=` — ${e.text}`);return t}var U=class P extends _{constructor(){super(...arguments),this.signatureHelpTriggerCharacters=["(",","]}static _toSignatureHelpTriggerReason(t){switch(t.triggerKind){case a.languages.SignatureHelpTriggerKind.TriggerCharacter:return t.triggerCharacter?t.isRetrigger?{kind:"retrigger",triggerCharacter:t.triggerCharacter}:{kind:"characterTyped",triggerCharacter:t.triggerCharacter}:{kind:"invoked"};case a.languages.SignatureHelpTriggerKind.ContentChange:return t.isRetrigger?{kind:"retrigger"}:{kind:"invoked"};case a.languages.SignatureHelpTriggerKind.Invoke:default:return{kind:"invoked"}}}async provideSignatureHelp(t,i,o,n){const c=t.uri,u=t.getOffsetAt(i),s=await this._worker(c);if(t.isDisposed())return;const l=await s.getSignatureHelpItems(c.toString(),u,{triggerReason:P._toSignatureHelpTriggerReason(n)});if(!l||t.isDisposed())return;const g={activeSignature:l.selectedItemIndex,activeParameter:l.argumentIndex,signatures:[]};return l.items.forEach(d=>{const b={label:"",parameters:[]};b.documentation={value:w(d.documentation)},b.label+=w(d.prefixDisplayParts),d.parameters.forEach((h,y,v)=>{const S=w(h.displayParts),x={label:S,documentation:{value:w(h.documentation)}};b.label+=S,b.parameters.push(x),y<v.length-1&&(b.label+=w(d.separatorDisplayParts))}),b.label+=w(d.suffixDisplayParts),g.signatures.push(b)}),{value:g,dispose(){}}}},$=class extends _{async provideHover(e,t,i){const o=e.uri,n=e.getOffsetAt(t),c=await this._worker(o);if(e.isDisposed())return;const u=await c.getQuickInfoAtPosition(o.toString(),n);if(!u||e.isDisposed())return;const s=w(u.documentation),l=u.tags?u.tags.map(d=>T(d)).join(`
+
+`):"",g=w(u.displayParts);return{range:this._textSpanToRange(e,u.textSpan),contents:[{value:"```typescript\n"+g+"\n```\n"},{value:s+(l?`
+
+`+l:"")}]}}},z=class extends _{async provideDocumentHighlights(e,t,i){const o=e.uri,n=e.getOffsetAt(t),c=await this._worker(o);if(e.isDisposed())return;const u=await c.getDocumentHighlights(o.toString(),n,[o.toString()]);if(!(!u||e.isDisposed()))return u.flatMap(s=>s.highlightSpans.map(l=>({range:this._textSpanToRange(e,l.textSpan),kind:l.kind==="writtenReference"?a.languages.DocumentHighlightKind.Write:a.languages.DocumentHighlightKind.Text})))}},G=class extends _{constructor(e,t){super(t),this._libFiles=e}async provideDefinition(e,t,i){const o=e.uri,n=e.getOffsetAt(t),c=await this._worker(o);if(e.isDisposed())return;const u=await c.getDefinitionAtPosition(o.toString(),n);if(!u||e.isDisposed()||(await this._libFiles.fetchLibFilesIfNecessary(u.map(l=>a.Uri.parse(l.fileName))),e.isDisposed()))return;const s=[];for(let l of u){const g=this._libFiles.getOrCreateModel(l.fileName);g&&s.push({uri:g.uri,range:this._textSpanToRange(g,l.textSpan)})}return s}},J=class extends _{constructor(e,t){super(t),this._libFiles=e}async provideReferences(e,t,i,o){const n=e.uri,c=e.getOffsetAt(t),u=await this._worker(n);if(e.isDisposed())return;const s=await u.getReferencesAtPosition(n.toString(),c);if(!s||e.isDisposed()||(await this._libFiles.fetchLibFilesIfNecessary(s.map(g=>a.Uri.parse(g.fileName))),e.isDisposed()))return;const l=[];for(let g of s){const d=this._libFiles.getOrCreateModel(g.fileName);d&&l.push({uri:d.uri,range:this._textSpanToRange(d,g.textSpan)})}return l}},q=class extends _{async provideDocumentSymbols(e,t){const i=e.uri,o=await this._worker(i);if(e.isDisposed())return;const n=await o.getNavigationTree(i.toString());if(!n||e.isDisposed())return;const c=(s,l)=>{var d;return{name:s.text,detail:"",kind:m[s.kind]||a.languages.SymbolKind.Variable,range:this._textSpanToRange(e,s.spans[0]),selectionRange:this._textSpanToRange(e,s.spans[0]),tags:[],children:(d=s.childItems)==null?void 0:d.map(b=>c(b,s.text)),containerName:l}};return n.childItems?n.childItems.map(s=>c(s)):[]}},p,f=(p=class{},p.unknown="",p.keyword="keyword",p.script="script",p.module="module",p.class="class",p.interface="interface",p.type="type",p.enum="enum",p.variable="var",p.localVariable="local var",p.function="function",p.localFunction="local function",p.memberFunction="method",p.memberGetAccessor="getter",p.memberSetAccessor="setter",p.memberVariable="property",p.constructorImplementation="constructor",p.callSignature="call",p.indexSignature="index",p.constructSignature="construct",p.parameter="parameter",p.typeParameter="type parameter",p.primitiveType="primitive type",p.label="label",p.alias="alias",p.const="const",p.let="let",p.warning="warning",p),m=Object.create(null);m[f.module]=a.languages.SymbolKind.Module;m[f.class]=a.languages.SymbolKind.Class;m[f.enum]=a.languages.SymbolKind.Enum;m[f.interface]=a.languages.SymbolKind.Interface;m[f.memberFunction]=a.languages.SymbolKind.Method;m[f.memberVariable]=a.languages.SymbolKind.Property;m[f.memberGetAccessor]=a.languages.SymbolKind.Property;m[f.memberSetAccessor]=a.languages.SymbolKind.Property;m[f.variable]=a.languages.SymbolKind.Variable;m[f.const]=a.languages.SymbolKind.Variable;m[f.localVariable]=a.languages.SymbolKind.Variable;m[f.variable]=a.languages.SymbolKind.Variable;m[f.function]=a.languages.SymbolKind.Function;m[f.localFunction]=a.languages.SymbolKind.Function;var k=class extends _{static _convertOptions(e){return{ConvertTabsToSpaces:e.insertSpaces,TabSize:e.tabSize,IndentSize:e.tabSize,IndentStyle:2,NewLineCharacter:`
+`,InsertSpaceAfterCommaDelimiter:!0,InsertSpaceAfterSemicolonInForStatements:!0,InsertSpaceBeforeAndAfterBinaryOperators:!0,InsertSpaceAfterKeywordsInControlFlowStatements:!0,InsertSpaceAfterFunctionKeywordForAnonymousFunctions:!0,InsertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis:!1,InsertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets:!1,InsertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces:!1,PlaceOpenBraceOnNewLineForControlBlocks:!1,PlaceOpenBraceOnNewLineForFunctions:!1}}_convertTextChanges(e,t){return{text:t.newText,range:this._textSpanToRange(e,t.span)}}},Q=class extends k{constructor(){super(...arguments),this.canFormatMultipleRanges=!1}async provideDocumentRangeFormattingEdits(e,t,i,o){const n=e.uri,c=e.getOffsetAt({lineNumber:t.startLineNumber,column:t.startColumn}),u=e.getOffsetAt({lineNumber:t.endLineNumber,column:t.endColumn}),s=await this._worker(n);if(e.isDisposed())return;const l=await s.getFormattingEditsForRange(n.toString(),c,u,k._convertOptions(i));if(!(!l||e.isDisposed()))return l.map(g=>this._convertTextChanges(e,g))}},X=class extends k{get autoFormatTriggerCharacters(){return[";","}",`
+`]}async provideOnTypeFormattingEdits(e,t,i,o,n){const c=e.uri,u=e.getOffsetAt(t),s=await this._worker(c);if(e.isDisposed())return;const l=await s.getFormattingEditsAfterKeystroke(c.toString(),u,i,k._convertOptions(o));if(!(!l||e.isDisposed()))return l.map(g=>this._convertTextChanges(e,g))}},Y=class extends k{async provideCodeActions(e,t,i,o){const n=e.uri,c=e.getOffsetAt({lineNumber:t.startLineNumber,column:t.startColumn}),u=e.getOffsetAt({lineNumber:t.endLineNumber,column:t.endColumn}),s=k._convertOptions(e.getOptions()),l=i.markers.filter(h=>h.code).map(h=>h.code).map(Number),g=await this._worker(n);if(e.isDisposed())return;const d=await g.getCodeFixesAtPosition(n.toString(),c,u,l,s);return!d||e.isDisposed()?{actions:[],dispose:()=>{}}:{actions:d.filter(h=>h.changes.filter(y=>y.isNewFile).length===0).map(h=>this._tsCodeFixActionToMonacoCodeAction(e,i,h)),dispose:()=>{}}}_tsCodeFixActionToMonacoCodeAction(e,t,i){const o=[];for(const c of i.changes)for(const u of c.textChanges)o.push({resource:e.uri,versionId:void 0,textEdit:{range:this._textSpanToRange(e,u.span),text:u.newText}});return{title:i.description,edit:{edits:o},diagnostics:t.markers,kind:"quickfix"}}},Z=class extends _{constructor(e,t){super(t),this._libFiles=e}async provideRenameEdits(e,t,i,o){const n=e.uri,c=n.toString(),u=e.getOffsetAt(t),s=await this._worker(n);if(e.isDisposed())return;const l=await s.getRenameInfo(c,u,{allowRenameOfImportPath:!1});if(l.canRename===!1)return{edits:[],rejectReason:l.localizedErrorMessage};if(l.fileToRename!==void 0)throw new Error("Renaming files is not supported.");const g=await s.findRenameLocations(c,u,!1,!1,!1);if(!g||e.isDisposed())return;const d=[];for(const b of g){const h=this._libFiles.getOrCreateModel(b.fileName);if(h)d.push({resource:h.uri,versionId:void 0,textEdit:{range:this._textSpanToRange(h,b.textSpan),text:i}});else throw new Error(`Unknown file ${b.fileName}.`)}return{edits:d}}},ee=class extends _{async provideInlayHints(e,t,i){const o=e.uri,n=o.toString(),c=e.getOffsetAt({lineNumber:t.startLineNumber,column:t.startColumn}),u=e.getOffsetAt({lineNumber:t.endLineNumber,column:t.endColumn}),s=await this._worker(o);return e.isDisposed()?null:{hints:(await s.provideInlayHints(n,c,u)).map(d=>({...d,label:d.text,position:e.getPositionAt(d.position),kind:this._convertHintKind(d.kind)})),dispose:()=>{}}}_convertHintKind(e){switch(e){case"Parameter":return a.languages.InlayHintKind.Parameter;case"Type":return a.languages.InlayHintKind.Type;default:return a.languages.InlayHintKind.Type}}},A,F;function ne(e){F=L(e,"typescript")}function ae(e){A=L(e,"javascript")}function oe(){return new Promise((e,t)=>{if(!A)return t("JavaScript not registered!");e(A)})}function le(){return new Promise((e,t)=>{if(!F)return t("TypeScript not registered!");e(F)})}function L(e,t){const i=[],o=new V(t,e),n=(...s)=>o.getLanguageServiceWorker(...s),c=new W(n);function u(){const{modeConfiguration:s}=e;te(i),s.completionItems&&i.push(a.languages.registerCompletionItemProvider(t,new B(n))),s.signatureHelp&&i.push(a.languages.registerSignatureHelpProvider(t,new U(n))),s.hovers&&i.push(a.languages.registerHoverProvider(t,new $(n))),s.documentHighlights&&i.push(a.languages.registerDocumentHighlightProvider(t,new z(n))),s.definitions&&i.push(a.languages.registerDefinitionProvider(t,new G(c,n))),s.references&&i.push(a.languages.registerReferenceProvider(t,new J(c,n))),s.documentSymbols&&i.push(a.languages.registerDocumentSymbolProvider(t,new q(n))),s.rename&&i.push(a.languages.registerRenameProvider(t,new Z(c,n))),s.documentRangeFormattingEdits&&i.push(a.languages.registerDocumentRangeFormattingEditProvider(t,new Q(n))),s.onTypeFormattingEdits&&i.push(a.languages.registerOnTypeFormattingEditProvider(t,new X(n))),s.codeActions&&i.push(a.languages.registerCodeActionProvider(t,new Y(n))),s.inlayHints&&i.push(a.languages.registerInlayHintsProvider(t,new ee(n))),s.diagnostics&&i.push(new j(c,e,t,n))}return u(),n}function te(e){for(;e.length;)e.pop().dispose()}export{_ as Adapter,Y as CodeActionAdaptor,G as DefinitionAdapter,j as DiagnosticsAdapter,z as DocumentHighlightAdapter,Q as FormatAdapter,k as FormatHelper,X as FormatOnTypeAdapter,ee as InlayHintsAdapter,f as Kind,W as LibFiles,q as OutlineAdapter,$ as QuickInfoAdapter,J as ReferenceAdapter,Z as RenameAdapter,U as SignatureHelpAdapter,B as SuggestAdapter,V as WorkerManager,D as flattenDiagnosticMessageText,oe as getJavaScriptWorker,le as getTypeScriptWorker,ae as setupJavaScript,ne as setupTypeScript};
diff --git a/docs/assets/monaco/twig-BwWq3-l7.js b/docs/assets/monaco/twig-BwWq3-l7.js
new file mode 100644
index 0000000..f593fff
--- /dev/null
+++ b/docs/assets/monaco/twig-BwWq3-l7.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var t={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\$\^\&\*\(\)\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\s]+)/g,comments:{blockComment:["{#","#}"]},brackets:[["{#","#}"],["{%","%}"],["{{","}}"],["(",")"],["[","]"],["<!--","-->"],["<",">"]],autoClosingPairs:[{open:"{# ",close:" #}"},{open:"{% ",close:" %}"},{open:"{{ ",close:" }}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:'"',close:'"'},{open:"'",close:"'"},{open:"<",close:">"}]},e={defaultToken:"",tokenPostfix:"",ignoreCase:!0,keywords:["apply","autoescape","block","deprecated","do","embed","extends","flush","for","from","if","import","include","macro","sandbox","set","use","verbatim","with","endapply","endautoescape","endblock","endembed","endfor","endif","endmacro","endsandbox","endset","endwith","true","false"],tokenizer:{root:[[/\s+/],[/{#/,"comment.twig","@commentState"],[/{%[-~]?/,"delimiter.twig","@blockState"],[/{{[-~]?/,"delimiter.twig","@variableState"],[/<!DOCTYPE/,"metatag.html","@doctype"],[/<!--/,"comment.html","@comment"],[/(<)((?:[\w\-]+:)?[\w\-]+)(\s*)(\/>)/,["delimiter.html","tag.html","","delimiter.html"]],[/(<)(script)/,["delimiter.html",{token:"tag.html",next:"@script"}]],[/(<)(style)/,["delimiter.html",{token:"tag.html",next:"@style"}]],[/(<)((?:[\w\-]+:)?[\w\-]+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/(<\/)((?:[\w\-]+:)?[\w\-]+)/,["delimiter.html",{token:"tag.html",next:"@otherTag"}]],[/</,"delimiter.html"],[/[^<{]+/]],commentState:[[/#}/,"comment.twig","@pop"],[/./,"comment.twig"]],blockState:[[/[-~]?%}/,"delimiter.twig","@pop"],[/\s+/],[/(verbatim)(\s*)([-~]?%})/,["keyword.twig","",{token:"delimiter.twig",next:"@rawDataState"}]],{include:"expression"}],rawDataState:[[/({%[-~]?)(\s*)(endverbatim)(\s*)([-~]?%})/,["delimiter.twig","","keyword.twig","",{token:"delimiter.twig",next:"@popall"}]],[/./,"string.twig"]],variableState:[[/[-~]?}}/,"delimiter.twig","@pop"],{include:"expression"}],stringState:[[/"/,"string.twig","@pop"],[/#{\s*/,"string.twig","@interpolationState"],[/[^#"\\]*(?:(?:\\.|#(?!\{))[^#"\\]*)*/,"string.twig"]],interpolationState:[[/}/,"string.twig","@pop"],{include:"expression"}],expression:[[/\s+/],[/\+|-|\/{1,2}|%|\*{1,2}/,"operators.twig"],[/(and|or|not|b-and|b-xor|b-or)(\s+)/,["operators.twig",""]],[/==|!=|<|>|>=|<=/,"operators.twig"],[/(starts with|ends with|matches)(\s+)/,["operators.twig",""]],[/(in)(\s+)/,["operators.twig",""]],[/(is)(\s+)/,["operators.twig",""]],[/\||~|:|\.{1,2}|\?{1,2}/,"operators.twig"],[/[^\W\d][\w]*/,{cases:{"@keywords":"keyword.twig","@default":"variable.twig"}}],[/\d+(\.\d+)?/,"number.twig"],[/\(|\)|\[|\]|{|}|,/,"delimiter.twig"],[/"([^#"\\]*(?:\\.[^#"\\]*)*)"|\'([^\'\\]*(?:\\.[^\'\\]*)*)\'/,"string.twig"],[/"/,"string.twig","@stringState"],[/=>/,"operators.twig"],[/=/,"operators.twig"]],doctype:[[/[^>]+/,"metatag.content.html"],[/>/,"metatag.html","@pop"]],comment:[[/-->/,"comment.html","@pop"],[/[^-]+/,"comment.content.html"],[/./,"comment.content.html"]],otherTag:[[/\/?>/,"delimiter.html","@pop"],[/"([^"]*)"/,"attribute.value.html"],[/'([^']*)'/,"attribute.value.html"],[/[\w\-]+/,"attribute.name.html"],[/=/,"delimiter.html"],[/[ \t\r\n]+/]],script:[[/type/,"attribute.name.html","@scriptAfterType"],[/"([^"]*)"/,"attribute.value.html"],[/'([^']*)'/,"attribute.value.html"],[/[\w\-]+/,"attribute.name.html"],[/=/,"delimiter.html"],[/>/,{token:"delimiter.html",next:"@scriptEmbedded",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/(<\/)(script\s*)(>)/,["delimiter.html","tag.html",{token:"delimiter.html",next:"@pop"}]]],scriptAfterType:[[/=/,"delimiter.html","@scriptAfterTypeEquals"],[/>/,{token:"delimiter.html",next:"@scriptEmbedded",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptAfterTypeEquals:[[/"([^"]*)"/,{token:"attribute.value.html",switchTo:"@scriptWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value.html",switchTo:"@scriptWithCustomType.$1"}],[/>/,{token:"delimiter.html",next:"@scriptEmbedded",nextEmbedded:"text/javascript"}],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptWithCustomType:[[/>/,{token:"delimiter.html",next:"@scriptEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value.html"],[/'([^']*)'/,"attribute.value.html"],[/[\w\-]+/,"attribute.name.html"],[/=/,"delimiter.html"],[/[ \t\r\n]+/],[/<\/script\s*>/,{token:"@rematch",next:"@pop"}]],scriptEmbedded:[[/<\/script/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}],[/[^<]+/,""]],style:[[/type/,"attribute.name.html","@styleAfterType"],[/"([^"]*)"/,"attribute.value.html"],[/'([^']*)'/,"attribute.value.html"],[/[\w\-]+/,"attribute.name.html"],[/=/,"delimiter.html"],[/>/,{token:"delimiter.html",next:"@styleEmbedded",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/(<\/)(style\s*)(>)/,["delimiter.html","tag.html",{token:"delimiter.html",next:"@pop"}]]],styleAfterType:[[/=/,"delimiter.html","@styleAfterTypeEquals"],[/>/,{token:"delimiter.html",next:"@styleEmbedded",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleAfterTypeEquals:[[/"([^"]*)"/,{token:"attribute.value.html",switchTo:"@styleWithCustomType.$1"}],[/'([^']*)'/,{token:"attribute.value.html",switchTo:"@styleWithCustomType.$1"}],[/>/,{token:"delimiter.html",next:"@styleEmbedded",nextEmbedded:"text/css"}],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleWithCustomType:[[/>/,{token:"delimiter.html",next:"@styleEmbedded.$S2",nextEmbedded:"$S2"}],[/"([^"]*)"/,"attribute.value.html"],[/'([^']*)'/,"attribute.value.html"],[/[\w\-]+/,"attribute.name.html"],[/=/,"delimiter.html"],[/[ \t\r\n]+/],[/<\/style\s*>/,{token:"@rematch",next:"@pop"}]],styleEmbedded:[[/<\/style/,{token:"@rematch",next:"@pop",nextEmbedded:"@pop"}],[/[^<]+/,""]]}};export{t as conf,e as language};
diff --git a/docs/assets/monaco/typescript-DSer1JU9.js b/docs/assets/monaco/typescript-DSer1JU9.js
new file mode 100644
index 0000000..c012438
--- /dev/null
+++ b/docs/assets/monaco/typescript-DSer1JU9.js
@@ -0,0 +1,6 @@
+import{o as s}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var c=Object.defineProperty,a=Object.getOwnPropertyDescriptor,p=Object.getOwnPropertyNames,g=Object.prototype.hasOwnProperty,l=(t,e,r,i)=>{if(e&&typeof e=="object"||typeof e=="function")for(let n of p(e))!g.call(t,n)&&n!==r&&c(t,n,{get:()=>e[n],enumerable:!(i=a(e,n))||i.enumerable});return t},d=(t,e,r)=>(l(t,e,"default"),r),o={};d(o,s);var u={wordPattern:/(-?\d*\.\d\w*)|([^\`\~\!\@\#\%\^\&\*\(\)\-\=\+\[\{\]\}\\\|\;\:\'\"\,\.\<\>\/\?\s]+)/g,comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],onEnterRules:[{beforeText:/^\s*\/\*\*(?!\/)([^\*]|\*(?!\/))*$/,afterText:/^\s*\*\/$/,action:{indentAction:o.languages.IndentAction.IndentOutdent,appendText:" * "}},{beforeText:/^\s*\/\*\*(?!\/)([^\*]|\*(?!\/))*$/,action:{indentAction:o.languages.IndentAction.None,appendText:" * "}},{beforeText:/^(\t|(\ \ ))*\ \*(\ ([^\*]|\*(?!\/))*)?$/,action:{indentAction:o.languages.IndentAction.None,appendText:"* "}},{beforeText:/^(\t|(\ \ ))*\ \*\/\s*$/,action:{indentAction:o.languages.IndentAction.None,removeText:1}}],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"',notIn:["string"]},{open:"'",close:"'",notIn:["string","comment"]},{open:"`",close:"`",notIn:["string","comment"]},{open:"/**",close:" */",notIn:["string"]}],folding:{markers:{start:new RegExp("^\\s*//\\s*#?region\\b"),end:new RegExp("^\\s*//\\s*#?endregion\\b")}}},f={defaultToken:"invalid",tokenPostfix:".ts",keywords:["abstract","any","as","asserts","bigint","boolean","break","case","catch","class","continue","const","constructor","debugger","declare","default","delete","do","else","enum","export","extends","false","finally","for","from","function","get","if","implements","import","in","infer","instanceof","interface","is","keyof","let","module","namespace","never","new","null","number","object","out","package","private","protected","public","override","readonly","require","global","return","satisfies","set","static","string","super","switch","symbol","this","throw","true","try","type","typeof","undefined","unique","unknown","var","void","while","with","yield","async","await","of"],operators:["<=",">=","==","!=","===","!==","=>","+","-","**","*","/","%","++","--","<<","</",">>",">>>","&","|","^","!","~","&&","||","??","?",":","=","+=","-=","*=","**=","/=","%=","<<=",">>=",">>>=","&=","|=","^=","@"],symbols:/[=><!~?:&|+\-*\/\^%]+/,escapes:/\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,digits:/\d+(_+\d+)*/,octaldigits:/[0-7]+(_+[0-7]+)*/,binarydigits:/[0-1]+(_+[0-1]+)*/,hexdigits:/[[0-9a-fA-F]+(_+[0-9a-fA-F]+)*/,regexpctl:/[(){}\[\]\$\^|\-*+?\.]/,regexpesc:/\\(?:[bBdDfnrstvwWn0\\\/]|@regexpctl|c[A-Z]|x[0-9a-fA-F]{2}|u[0-9a-fA-F]{4})/,tokenizer:{root:[[/[{}]/,"delimiter.bracket"],{include:"common"}],common:[[/#?[a-z_$][\w$]*/,{cases:{"@keywords":"keyword","@default":"identifier"}}],[/[A-Z][\w\$]*/,"type.identifier"],{include:"@whitespace"},[/\/(?=([^\\\/]|\\.)+\/([dgimsuy]*)(\s*)(\.|;|,|\)|\]|\}|$))/,{token:"regexp",bracket:"@open",next:"@regexp"}],[/[()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/!(?=([^=]|$))/,"delimiter"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],[/(@digits)[eE]([\-+]?(@digits))?/,"number.float"],[/(@digits)\.(@digits)([eE][\-+]?(@digits))?/,"number.float"],[/0[xX](@hexdigits)n?/,"number.hex"],[/0[oO]?(@octaldigits)n?/,"number.octal"],[/0[bB](@binarydigits)n?/,"number.binary"],[/(@digits)n?/,"number"],[/[;,.]/,"delimiter"],[/"([^"\\]|\\.)*$/,"string.invalid"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string_double"],[/'/,"string","@string_single"],[/`/,"string","@string_backtick"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*\*(?!\/)/,"comment.doc","@jsdoc"],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],jsdoc:[[/[^\/*]+/,"comment.doc"],[/\*\//,"comment.doc","@pop"],[/[\/*]/,"comment.doc"]],regexp:[[/(\{)(\d+(?:,\d*)?)(\})/,["regexp.escape.control","regexp.escape.control","regexp.escape.control"]],[/(\[)(\^?)(?=(?:[^\]\\\/]|\\.)+)/,["regexp.escape.control",{token:"regexp.escape.control",next:"@regexrange"}]],[/(\()(\?:|\?=|\?!)/,["regexp.escape.control","regexp.escape.control"]],[/[()]/,"regexp.escape.control"],[/@regexpctl/,"regexp.escape.control"],[/[^\\\/]/,"regexp"],[/@regexpesc/,"regexp.escape"],[/\\\./,"regexp.invalid"],[/(\/)([dgimsuy]*)/,[{token:"regexp",bracket:"@close",next:"@pop"},"keyword.other"]]],regexrange:[[/-/,"regexp.escape.control"],[/\^/,"regexp.invalid"],[/@regexpesc/,"regexp.escape"],[/[^\]]/,"regexp"],[/\]/,{token:"regexp.escape.control",next:"@pop",bracket:"@close"}]],string_double:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],string_single:[[/[^\\']+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/'/,"string","@pop"]],string_backtick:[[/\$\{/,{token:"delimiter.bracket",next:"@bracketCounting"}],[/[^\\`$]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/`/,"string","@pop"]],bracketCounting:[[/\{/,"delimiter.bracket","@bracketCounting"],[/\}/,"delimiter.bracket","@pop"],{include:"common"}]}};export{u as conf,f as language};
diff --git a/docs/assets/monaco/typespec-B73e1L9X.js b/docs/assets/monaco/typespec-B73e1L9X.js
new file mode 100644
index 0000000..98d2dbb
--- /dev/null
+++ b/docs/assets/monaco/typespec-B73e1L9X.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var o=e=>`\\b${e}\\b`,n=e=>`(?!${e})`,r="[_a-zA-Z]",i="[_a-zA-Z0-9]",t=o(`${r}${i}*`),a=o("[_a-zA-Z-0-9]+"),s=["import","model","scalar","namespace","op","interface","union","using","is","extends","enum","alias","return","void","if","else","projection","dec","extern","fn"],c=["true","false","null","unknown","never"],g="[ \\t\\r\\n]",l="[0-9]+",k={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"/**",close:" */",notIn:["string"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'}],indentationRules:{decreaseIndentPattern:new RegExp("^((?!.*?/\\*).*\\*/)?\\s*[\\}\\]].*$"),increaseIndentPattern:new RegExp("^((?!//).)*(\\{([^}\"'`/]*|(\\t|[ ])*//.*)|\\([^)\"'`/]*|\\[[^\\]\"'`/]*)$"),unIndentedLinePattern:new RegExp("^(\\t|[ ])*[ ]\\*[^/]*\\*/\\s*$|^(\\t|[ ])*[ ]\\*/\\s*$|^(\\t|[ ])*[ ]\\*([ ]([^\\*]|\\*(?!/))*)?$")}},x={defaultToken:"",tokenPostfix:".tsp",brackets:[{open:"{",close:"}",token:"delimiter.curly"},{open:"[",close:"]",token:"delimiter.square"},{open:"(",close:")",token:"delimiter.parenthesis"}],symbols:/[=:;<>]+/,keywords:s,namedLiterals:c,escapes:'\\\\(u{[0-9A-Fa-f]+}|n|r|t|\\\\|"|\\${)',tokenizer:{root:[{include:"@expression"},{include:"@whitespace"}],stringVerbatim:[{regex:'(|"|"")[^"]',action:{token:"string"}},{regex:`"""${n('"')}`,action:{token:"string",next:"@pop"}}],stringLiteral:[{regex:"\\${",action:{token:"delimiter.bracket",next:"@bracketCounting"}},{regex:'[^\\\\"$]+',action:{token:"string"}},{regex:"@escapes",action:{token:"string.escape"}},{regex:"\\\\.",action:{token:"string.escape.invalid"}},{regex:'"',action:{token:"string",next:"@pop"}}],bracketCounting:[{regex:"{",action:{token:"delimiter.bracket",next:"@bracketCounting"}},{regex:"}",action:{token:"delimiter.bracket",next:"@pop"}},{include:"@expression"}],comment:[{regex:"[^\\*]+",action:{token:"comment"}},{regex:"\\*\\/",action:{token:"comment",next:"@pop"}},{regex:"[\\/*]",action:{token:"comment"}}],whitespace:[{regex:g},{regex:"\\/\\*",action:{token:"comment",next:"@comment"}},{regex:"\\/\\/.*$",action:{token:"comment"}}],expression:[{regex:'"""',action:{token:"string",next:"@stringVerbatim"}},{regex:`"${n('""')}`,action:{token:"string",next:"@stringLiteral"}},{regex:l,action:{token:"number"}},{regex:t,action:{cases:{"@keywords":{token:"keyword"},"@namedLiterals":{token:"keyword"},"@default":{token:"identifier"}}}},{regex:`@${t}`,action:{token:"tag"}},{regex:`#${a}`,action:{token:"directive"}}]}};export{k as conf,x as language};
diff --git a/docs/assets/monaco/vb-ByO2b-39.js b/docs/assets/monaco/vb-ByO2b-39.js
new file mode 100644
index 0000000..b72b746
--- /dev/null
+++ b/docs/assets/monaco/vb-ByO2b-39.js
@@ -0,0 +1,6 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var e={comments:{lineComment:"'",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"],["<",">"],["addhandler","end addhandler"],["class","end class"],["enum","end enum"],["event","end event"],["function","end function"],["get","end get"],["if","end if"],["interface","end interface"],["module","end module"],["namespace","end namespace"],["operator","end operator"],["property","end property"],["raiseevent","end raiseevent"],["removehandler","end removehandler"],["select","end select"],["set","end set"],["structure","end structure"],["sub","end sub"],["synclock","end synclock"],["try","end try"],["while","end while"],["with","end with"],["using","end using"],["do","loop"],["for","next"]],autoClosingPairs:[{open:"{",close:"}",notIn:["string","comment"]},{open:"[",close:"]",notIn:["string","comment"]},{open:"(",close:")",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string","comment"]},{open:"<",close:">",notIn:["string","comment"]}],folding:{markers:{start:new RegExp("^\\s*#Region\\b"),end:new RegExp("^\\s*#End Region\\b")}}},n={defaultToken:"",tokenPostfix:".vb",ignoreCase:!0,brackets:[{token:"delimiter.bracket",open:"{",close:"}"},{token:"delimiter.array",open:"[",close:"]"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.angle",open:"<",close:">"},{token:"keyword.tag-addhandler",open:"addhandler",close:"end addhandler"},{token:"keyword.tag-class",open:"class",close:"end class"},{token:"keyword.tag-enum",open:"enum",close:"end enum"},{token:"keyword.tag-event",open:"event",close:"end event"},{token:"keyword.tag-function",open:"function",close:"end function"},{token:"keyword.tag-get",open:"get",close:"end get"},{token:"keyword.tag-if",open:"if",close:"end if"},{token:"keyword.tag-interface",open:"interface",close:"end interface"},{token:"keyword.tag-module",open:"module",close:"end module"},{token:"keyword.tag-namespace",open:"namespace",close:"end namespace"},{token:"keyword.tag-operator",open:"operator",close:"end operator"},{token:"keyword.tag-property",open:"property",close:"end property"},{token:"keyword.tag-raiseevent",open:"raiseevent",close:"end raiseevent"},{token:"keyword.tag-removehandler",open:"removehandler",close:"end removehandler"},{token:"keyword.tag-select",open:"select",close:"end select"},{token:"keyword.tag-set",open:"set",close:"end set"},{token:"keyword.tag-structure",open:"structure",close:"end structure"},{token:"keyword.tag-sub",open:"sub",close:"end sub"},{token:"keyword.tag-synclock",open:"synclock",close:"end synclock"},{token:"keyword.tag-try",open:"try",close:"end try"},{token:"keyword.tag-while",open:"while",close:"end while"},{token:"keyword.tag-with",open:"with",close:"end with"},{token:"keyword.tag-using",open:"using",close:"end using"},{token:"keyword.tag-do",open:"do",close:"loop"},{token:"keyword.tag-for",open:"for",close:"next"}],keywords:["AddHandler","AddressOf","Alias","And","AndAlso","As","Async","Boolean","ByRef","Byte","ByVal","Call","Case","Catch","CBool","CByte","CChar","CDate","CDbl","CDec","Char","CInt","Class","CLng","CObj","Const","Continue","CSByte","CShort","CSng","CStr","CType","CUInt","CULng","CUShort","Date","Decimal","Declare","Default","Delegate","Dim","DirectCast","Do","Double","Each","Else","ElseIf","End","EndIf","Enum","Erase","Error","Event","Exit","False","Finally","For","Friend","Function","Get","GetType","GetXMLNamespace","Global","GoSub","GoTo","Handles","If","Implements","Imports","In","Inherits","Integer","Interface","Is","IsNot","Let","Lib","Like","Long","Loop","Me","Mod","Module","MustInherit","MustOverride","MyBase","MyClass","NameOf","Namespace","Narrowing","New","Next","Not","Nothing","NotInheritable","NotOverridable","Object","Of","On","Operator","Option","Optional","Or","OrElse","Out","Overloads","Overridable","Overrides","ParamArray","Partial","Private","Property","Protected","Public","RaiseEvent","ReadOnly","ReDim","RemoveHandler","Resume","Return","SByte","Select","Set","Shadows","Shared","Short","Single","Static","Step","Stop","String","Structure","Sub","SyncLock","Then","Throw","To","True","Try","TryCast","TypeOf","UInteger","ULong","UShort","Using","Variant","Wend","When","While","Widening","With","WithEvents","WriteOnly","Xor"],tagwords:["If","Sub","Select","Try","Class","Enum","Function","Get","Interface","Module","Namespace","Operator","Set","Structure","Using","While","With","Do","Loop","For","Next","Property","Continue","AddHandler","RemoveHandler","Event","RaiseEvent","SyncLock"],symbols:/[=><!~?;\.,:&|+\-*\/\^%]+/,integersuffix:/U?[DI%L&S@]?/,floatsuffix:/[R#F!]?/,tokenizer:{root:[{include:"@whitespace"},[/next(?!\w)/,{token:"keyword.tag-for"}],[/loop(?!\w)/,{token:"keyword.tag-do"}],[/end\s+(?!for|do)(addhandler|class|enum|event|function|get|if|interface|module|namespace|operator|property|raiseevent|removehandler|select|set|structure|sub|synclock|try|while|with|using)/,{token:"keyword.tag-$1"}],[/[a-zA-Z_]\w*/,{cases:{"@tagwords":{token:"keyword.tag-$0"},"@keywords":{token:"keyword.$0"},"@default":"identifier"}}],[/^\s*#\w+/,"keyword"],[/\d*\d+e([\-+]?\d+)?(@floatsuffix)/,"number.float"],[/\d*\.\d+(e[\-+]?\d+)?(@floatsuffix)/,"number.float"],[/&H[0-9a-f]+(@integersuffix)/,"number.hex"],[/&0[0-7]+(@integersuffix)/,"number.octal"],[/\d+(@integersuffix)/,"number"],[/#.*#/,"number"],[/[{}()\[\]]/,"@brackets"],[/@symbols/,"delimiter"],[/["\u201c\u201d]/,{token:"string.quote",next:"@string"}]],whitespace:[[/[ \t\r\n]+/,""],[/(\'|REM(?!\w)).*$/,"comment"]],string:[[/[^"\u201c\u201d]+/,"string"],[/["\u201c\u201d]{2}/,"string.escape"],[/["\u201c\u201d]C?/,{token:"string.quote",next:"@pop"}]]}};export{e as conf,n as language};
diff --git a/docs/assets/monaco/wgsl-DYQqGqAW.js b/docs/assets/monaco/wgsl-DYQqGqAW.js
new file mode 100644
index 0000000..2729703
--- /dev/null
+++ b/docs/assets/monaco/wgsl-DYQqGqAW.js
@@ -0,0 +1,303 @@
+/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var g={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"[",close:"]"},{open:"{",close:"}"},{open:"(",close:")"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"}]};function e(i){let o=[];const a=i.split(/\t+|\r+|\n+| +/);for(let r=0;r<a.length;++r)a[r].length>0&&o.push(a[r]);return o}var s=e("true false"),c=e(`
+ alias
+ break
+ case
+ const
+ const_assert
+ continue
+ continuing
+ default
+ diagnostic
+ discard
+ else
+ enable
+ fn
+ for
+ if
+ let
+ loop
+ override
+ requires
+ return
+ struct
+ switch
+ var
+ while
+ `),m=e(`
+ NULL
+ Self
+ abstract
+ active
+ alignas
+ alignof
+ as
+ asm
+ asm_fragment
+ async
+ attribute
+ auto
+ await
+ become
+ binding_array
+ cast
+ catch
+ class
+ co_await
+ co_return
+ co_yield
+ coherent
+ column_major
+ common
+ compile
+ compile_fragment
+ concept
+ const_cast
+ consteval
+ constexpr
+ constinit
+ crate
+ debugger
+ decltype
+ delete
+ demote
+ demote_to_helper
+ do
+ dynamic_cast
+ enum
+ explicit
+ export
+ extends
+ extern
+ external
+ fallthrough
+ filter
+ final
+ finally
+ friend
+ from
+ fxgroup
+ get
+ goto
+ groupshared
+ highp
+ impl
+ implements
+ import
+ inline
+ instanceof
+ interface
+ layout
+ lowp
+ macro
+ macro_rules
+ match
+ mediump
+ meta
+ mod
+ module
+ move
+ mut
+ mutable
+ namespace
+ new
+ nil
+ noexcept
+ noinline
+ nointerpolation
+ noperspective
+ null
+ nullptr
+ of
+ operator
+ package
+ packoffset
+ partition
+ pass
+ patch
+ pixelfragment
+ precise
+ precision
+ premerge
+ priv
+ protected
+ pub
+ public
+ readonly
+ ref
+ regardless
+ register
+ reinterpret_cast
+ require
+ resource
+ restrict
+ self
+ set
+ shared
+ sizeof
+ smooth
+ snorm
+ static
+ static_assert
+ static_cast
+ std
+ subroutine
+ super
+ target
+ template
+ this
+ thread_local
+ throw
+ trait
+ try
+ type
+ typedef
+ typeid
+ typename
+ typeof
+ union
+ unless
+ unorm
+ unsafe
+ unsized
+ use
+ using
+ varying
+ virtual
+ volatile
+ wgsl
+ where
+ with
+ writeonly
+ yield
+ `),l=e(`
+ read write read_write
+ function private workgroup uniform storage
+ perspective linear flat
+ center centroid sample
+ vertex_index instance_index position front_facing frag_depth
+ local_invocation_id local_invocation_index
+ global_invocation_id workgroup_id num_workgroups
+ sample_index sample_mask
+ rgba8unorm
+ rgba8snorm
+ rgba8uint
+ rgba8sint
+ rgba16uint
+ rgba16sint
+ rgba16float
+ r32uint
+ r32sint
+ r32float
+ rg32uint
+ rg32sint
+ rg32float
+ rgba32uint
+ rgba32sint
+ rgba32float
+ bgra8unorm
+`),u=e(`
+ bool
+ f16
+ f32
+ i32
+ sampler sampler_comparison
+ texture_depth_2d
+ texture_depth_2d_array
+ texture_depth_cube
+ texture_depth_cube_array
+ texture_depth_multisampled_2d
+ texture_external
+ texture_external
+ u32
+ `),p=e(`
+ array
+ atomic
+ mat2x2
+ mat2x3
+ mat2x4
+ mat3x2
+ mat3x3
+ mat3x4
+ mat4x2
+ mat4x3
+ mat4x4
+ ptr
+ texture_1d
+ texture_2d
+ texture_2d_array
+ texture_3d
+ texture_cube
+ texture_cube_array
+ texture_multisampled_2d
+ texture_storage_1d
+ texture_storage_2d
+ texture_storage_2d_array
+ texture_storage_3d
+ vec2
+ vec3
+ vec4
+ `),d=e(`
+ vec2i vec3i vec4i
+ vec2u vec3u vec4u
+ vec2f vec3f vec4f
+ vec2h vec3h vec4h
+ mat2x2f mat2x3f mat2x4f
+ mat3x2f mat3x3f mat3x4f
+ mat4x2f mat4x3f mat4x4f
+ mat2x2h mat2x3h mat2x4h
+ mat3x2h mat3x3h mat3x4h
+ mat4x2h mat4x3h mat4x4h
+ `),x=e(`
+ bitcast all any select arrayLength abs acos acosh asin asinh atan atanh atan2
+ ceil clamp cos cosh countLeadingZeros countOneBits countTrailingZeros cross
+ degrees determinant distance dot exp exp2 extractBits faceForward firstLeadingBit
+ firstTrailingBit floor fma fract frexp inverseBits inverseSqrt ldexp length
+ log log2 max min mix modf normalize pow quantizeToF16 radians reflect refract
+ reverseBits round saturate sign sin sinh smoothstep sqrt step tan tanh transpose
+ trunc dpdx dpdxCoarse dpdxFine dpdy dpdyCoarse dpdyFine fwidth fwidthCoarse fwidthFine
+ textureDimensions textureGather textureGatherCompare textureLoad textureNumLayers
+ textureNumLevels textureNumSamples textureSample textureSampleBias textureSampleCompare
+ textureSampleCompareLevel textureSampleGrad textureSampleLevel textureSampleBaseClampToEdge
+ textureStore atomicLoad atomicStore atomicAdd atomicSub atomicMax atomicMin
+ atomicAnd atomicOr atomicXor atomicExchange atomicCompareExchangeWeak pack4x8snorm
+ pack4x8unorm pack2x16snorm pack2x16unorm pack2x16float unpack4x8snorm unpack4x8unorm
+ unpack2x16snorm unpack2x16unorm unpack2x16float storageBarrier workgroupBarrier
+ workgroupUniformLoad
+`),f=e(`
+ &
+ &&
+ ->
+ /
+ =
+ ==
+ !=
+ >
+ >=
+ <
+ <=
+ %
+ -
+ --
+ +
+ ++
+ |
+ ||
+ *
+ <<
+ >>
+ +=
+ -=
+ *=
+ /=
+ %=
+ &=
+ |=
+ ^=
+ >>=
+ <<=
+ `),_=/enable|requires|diagnostic/,n=new RegExp("[_\\p{XID_Start}]\\p{XID_Continue}*","u"),t="variable.predefined",h={tokenPostfix:".wgsl",defaultToken:"invalid",unicode:!0,atoms:s,keywords:c,reserved:m,predeclared_enums:l,predeclared_types:u,predeclared_type_generators:p,predeclared_type_aliases:d,predeclared_intrinsics:x,operators:f,symbols:/[!%&*+\-\.\/:;<=>^|_~,]+/,tokenizer:{root:[[_,"keyword","@directive"],[n,{cases:{"@atoms":t,"@keywords":"keyword","@reserved":"invalid","@predeclared_enums":t,"@predeclared_types":t,"@predeclared_type_generators":t,"@predeclared_type_aliases":t,"@predeclared_intrinsics":t,"@default":"identifier"}}],{include:"@commentOrSpace"},{include:"@numbers"},[/[{}()\[\]]/,"@brackets"],["@","annotation","@attribute"],[/@symbols/,{cases:{"@operators":"operator","@default":"delimiter"}}],[/./,"invalid"]],commentOrSpace:[[/\s+/,"white"],[/\/\*/,"comment","@blockComment"],[/\/\/.*$/,"comment"]],blockComment:[[/[^\/*]+/,"comment"],[/\/\*/,"comment","@push"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],attribute:[{include:"@commentOrSpace"},[/\w+/,"annotation","@pop"]],directive:[{include:"@commentOrSpace"},[/[()]/,"@brackets"],[/,/,"delimiter"],[n,"meta.content"],[/;/,"delimiter","@pop"]],numbers:[[/0[fh]/,"number.float"],[/[1-9][0-9]*[fh]/,"number.float"],[/[0-9]*\.[0-9]+([eE][+-]?[0-9]+)?[fh]?/,"number.float"],[/[0-9]+\.[0-9]*([eE][+-]?[0-9]+)?[fh]?/,"number.float"],[/[0-9]+[eE][+-]?[0-9]+[fh]?/,"number.float"],[/0[xX][0-9a-fA-F]*\.[0-9a-fA-F]+(?:[pP][+-]?[0-9]+[fh]?)?/,"number.hex"],[/0[xX][0-9a-fA-F]+\.[0-9a-fA-F]*(?:[pP][+-]?[0-9]+[fh]?)?/,"number.hex"],[/0[xX][0-9a-fA-F]+[pP][+-]?[0-9]+[fh]?/,"number.hex"],[/0[xX][0-9a-fA-F]+[iu]?/,"number.hex"],[/[1-9][0-9]*[iu]?/,"number"],[/0[iu]?/,"number"]]}};export{g as conf,h as language};
diff --git a/docs/assets/monaco/xml-Bfx2yygV.js b/docs/assets/monaco/xml-Bfx2yygV.js
new file mode 100644
index 0000000..45bef58
--- /dev/null
+++ b/docs/assets/monaco/xml-Bfx2yygV.js
@@ -0,0 +1,6 @@
+import{o as r}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var m=Object.defineProperty,c=Object.getOwnPropertyDescriptor,l=Object.getOwnPropertyNames,p=Object.prototype.hasOwnProperty,d=(t,e,o,i)=>{if(e&&typeof e=="object"||typeof e=="function")for(let n of l(e))!p.call(t,n)&&n!==o&&m(t,n,{get:()=>e[n],enumerable:!(i=c(e,n))||i.enumerable});return t},s=(t,e,o)=>(d(t,e,"default"),o),a={};s(a,r);var _={comments:{blockComment:["<!--","-->"]},brackets:[["<",">"]],autoClosingPairs:[{open:"<",close:">"},{open:"'",close:"'"},{open:'"',close:'"'}],surroundingPairs:[{open:"<",close:">"},{open:"'",close:"'"},{open:'"',close:'"'}],onEnterRules:[{beforeText:new RegExp("<([_:\\w][_:\\w-.\\d]*)([^/>]*(?!/)>)[^<]*$","i"),afterText:/^<\/([_:\w][_:\w-.\d]*)\s*>$/i,action:{indentAction:a.languages.IndentAction.IndentOutdent}},{beforeText:new RegExp("<(\\w[\\w\\d]*)([^/>]*(?!/)>)[^<]*$","i"),action:{indentAction:a.languages.IndentAction.Indent}}]},f={defaultToken:"",tokenPostfix:".xml",ignoreCase:!0,qualifiedName:/(?:[\w\.\-]+:)?[\w\.\-]+/,tokenizer:{root:[[/[^<&]+/,""],{include:"@whitespace"},[/(<)(@qualifiedName)/,[{token:"delimiter"},{token:"tag",next:"@tag"}]],[/(<\/)(@qualifiedName)(\s*)(>)/,[{token:"delimiter"},{token:"tag"},"",{token:"delimiter"}]],[/(<\?)(@qualifiedName)/,[{token:"delimiter"},{token:"metatag",next:"@tag"}]],[/(<\!)(@qualifiedName)/,[{token:"delimiter"},{token:"metatag",next:"@tag"}]],[/<\!\[CDATA\[/,{token:"delimiter.cdata",next:"@cdata"}],[/&\w+;/,"string.escape"]],cdata:[[/[^\]]+/,""],[/\]\]>/,{token:"delimiter.cdata",next:"@pop"}],[/\]/,""]],tag:[[/[ \t\r\n]+/,""],[/(@qualifiedName)(\s*=\s*)("[^"]*"|'[^']*')/,["attribute.name","","attribute.value"]],[/(@qualifiedName)(\s*=\s*)("[^">?\/]*|'[^'>?\/]*)(?=[\?\/]\>)/,["attribute.name","","attribute.value"]],[/(@qualifiedName)(\s*=\s*)("[^">]*|'[^'>]*)/,["attribute.name","","attribute.value"]],[/@qualifiedName/,"attribute.name"],[/\?>/,{token:"delimiter",next:"@pop"}],[/(\/)(>)/,[{token:"tag"},{token:"delimiter",next:"@pop"}]],[/>/,{token:"delimiter",next:"@pop"}]],whitespace:[[/[ \t\r\n]+/,""],[/<!--/,{token:"comment",next:"@comment"}]],comment:[[/[^<\-]+/,"comment.content"],[/-->/,{token:"comment",next:"@pop"}],[/<!--/,"comment.content.invalid"],[/[<\-]/,"comment.content"]]}};export{_ as conf,f as language};
diff --git a/docs/assets/monaco/yaml-BZGo8wj-.js b/docs/assets/monaco/yaml-BZGo8wj-.js
new file mode 100644
index 0000000..163eb19
--- /dev/null
+++ b/docs/assets/monaco/yaml-BZGo8wj-.js
@@ -0,0 +1,6 @@
+import{o as l}from"./bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var i=Object.defineProperty,c=Object.getOwnPropertyDescriptor,u=Object.getOwnPropertyNames,s=Object.prototype.hasOwnProperty,d=(n,e,r,o)=>{if(e&&typeof e=="object"||typeof e=="function")for(let t of u(e))!s.call(n,t)&&t!==r&&i(n,t,{get:()=>e[t],enumerable:!(o=c(e,t))||o.enumerable});return n},m=(n,e,r)=>(d(n,e,"default"),r),a={};m(a,l);var g={comments:{lineComment:"#"},brackets:[["{","}"],["[","]"],["(",")"]],autoClosingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{offSide:!0},onEnterRules:[{beforeText:/:\s*$/,action:{indentAction:a.languages.IndentAction.Indent}}]},w={tokenPostfix:".yaml",brackets:[{token:"delimiter.bracket",open:"{",close:"}"},{token:"delimiter.square",open:"[",close:"]"}],keywords:["true","True","TRUE","false","False","FALSE","null","Null","Null","~"],numberInteger:/(?:0|[+-]?[0-9]+)/,numberFloat:/(?:0|[+-]?[0-9]+)(?:\.[0-9]+)?(?:e[-+][1-9][0-9]*)?/,numberOctal:/0o[0-7]+/,numberHex:/0x[0-9a-fA-F]+/,numberInfinity:/[+-]?\.(?:inf|Inf|INF)/,numberNaN:/\.(?:nan|Nan|NAN)/,numberDate:/\d{4}-\d\d-\d\d([Tt ]\d\d:\d\d:\d\d(\.\d+)?(( ?[+-]\d\d?(:\d\d)?)|Z)?)?/,escapes:/\\(?:[btnfr\\"']|[0-7][0-7]?|[0-3][0-7]{2})/,tokenizer:{root:[{include:"@whitespace"},{include:"@comment"},[/%[^ ]+.*$/,"meta.directive"],[/---/,"operators.directivesEnd"],[/\.{3}/,"operators.documentEnd"],[/[-?:](?= )/,"operators"],{include:"@anchor"},{include:"@tagHandle"},{include:"@flowCollections"},{include:"@blockStyle"},[/@numberInteger(?![ \t]*\S+)/,"number"],[/@numberFloat(?![ \t]*\S+)/,"number.float"],[/@numberOctal(?![ \t]*\S+)/,"number.octal"],[/@numberHex(?![ \t]*\S+)/,"number.hex"],[/@numberInfinity(?![ \t]*\S+)/,"number.infinity"],[/@numberNaN(?![ \t]*\S+)/,"number.nan"],[/@numberDate(?![ \t]*\S+)/,"number.date"],[/(".*?"|'.*?'|[^#'"]*?)([ \t]*)(:)( |$)/,["type","white","operators","white"]],{include:"@flowScalars"},[/.+?(?=(\s+#|$))/,{cases:{"@keywords":"keyword","@default":"string"}}]],object:[{include:"@whitespace"},{include:"@comment"},[/\}/,"@brackets","@pop"],[/,/,"delimiter.comma"],[/:(?= )/,"operators"],[/(?:".*?"|'.*?'|[^,\{\[]+?)(?=: )/,"type"],{include:"@flowCollections"},{include:"@flowScalars"},{include:"@tagHandle"},{include:"@anchor"},{include:"@flowNumber"},[/[^\},]+/,{cases:{"@keywords":"keyword","@default":"string"}}]],array:[{include:"@whitespace"},{include:"@comment"},[/\]/,"@brackets","@pop"],[/,/,"delimiter.comma"],{include:"@flowCollections"},{include:"@flowScalars"},{include:"@tagHandle"},{include:"@anchor"},{include:"@flowNumber"},[/[^\],]+/,{cases:{"@keywords":"keyword","@default":"string"}}]],multiString:[[/^( +).+$/,"string","@multiStringContinued.$1"]],multiStringContinued:[[/^( *).+$/,{cases:{"$1==$S2":"string","@default":{token:"@rematch",next:"@popall"}}}]],whitespace:[[/[ \t\r\n]+/,"white"]],comment:[[/#.*$/,"comment"]],flowCollections:[[/\[/,"@brackets","@array"],[/\{/,"@brackets","@object"]],flowScalars:[[/"([^"\\]|\\.)*$/,"string.invalid"],[/'([^'\\]|\\.)*$/,"string.invalid"],[/'[^']*'/,"string"],[/"/,"string","@doubleQuotedString"]],doubleQuotedString:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],blockStyle:[[/[>|][0-9]*[+-]?$/,"operators","@multiString"]],flowNumber:[[/@numberInteger(?=[ \t]*[,\]\}])/,"number"],[/@numberFloat(?=[ \t]*[,\]\}])/,"number.float"],[/@numberOctal(?=[ \t]*[,\]\}])/,"number.octal"],[/@numberHex(?=[ \t]*[,\]\}])/,"number.hex"],[/@numberInfinity(?=[ \t]*[,\]\}])/,"number.infinity"],[/@numberNaN(?=[ \t]*[,\]\}])/,"number.nan"],[/@numberDate(?=[ \t]*[,\]\}])/,"number.date"]],tagHandle:[[/\![^ ]*/,"tag"]],anchor:[[/[&*][^ ]+/,"namespace"]]}};export{g as conf,w as language};
diff --git a/docs/assets/play-D_7yfuao.css b/docs/assets/play-D_7yfuao.css
new file mode 100644
index 0000000..397d386
--- /dev/null
+++ b/docs/assets/play-D_7yfuao.css
@@ -0,0 +1 @@
+.slidev-info-dialog{max-width:37.5rem;padding:1rem!important}
diff --git a/docs/assets/presenter-BkjXb8nn.css b/docs/assets/presenter-BkjXb8nn.css
new file mode 100644
index 0000000..d4e2296
--- /dev/null
+++ b/docs/assets/presenter-BkjXb8nn.css
@@ -0,0 +1 @@
+.slidev-presenter[data-v-536f23f4]{--slidev-controls-foreground: current}.timer-btn[data-v-536f23f4]:hover>:first-child{opacity:0}.timer-btn[data-v-536f23f4]:hover>:last-child{opacity:1}.grid-container[data-v-536f23f4]{background-color:#9ca3af33;height:100%;width:100%;display:grid;gap:1px 1px}.grid-container.layout1[data-v-536f23f4]{grid-template-columns:1fr 1fr;grid-template-rows:2fr 1fr min-content;grid-template-areas:"main main" "note next" "bottom bottom"}.grid-container.layout2[data-v-536f23f4]{grid-template-columns:3fr 2fr;grid-template-rows:2fr 1fr min-content;grid-template-areas:"note main" "note next" "bottom bottom"}@media (max-aspect-ratio: 3/5){.grid-container.layout1[data-v-536f23f4]{grid-template-columns:1fr;grid-template-rows:1fr 1fr 1fr min-content;grid-template-areas:"main" "note" "next" "bottom"}}@media (min-aspect-ratio: 1/1){.grid-container.layout1[data-v-536f23f4]{grid-template-columns:1fr 1.1fr .9fr;grid-template-rows:1fr 2fr min-content;grid-template-areas:"main main next" "main main note" "bottom bottom bottom"}}.progress-bar[data-v-536f23f4]{position:fixed;left:0;right:0;top:0}.grid-section[data-v-536f23f4]{--un-bg-opacity:1;background-color:rgb(255 255 255 / var(--un-bg-opacity))}.dark .grid-section[data-v-536f23f4]{--un-bg-opacity:1;background-color:rgb(18 18 18 / var(--un-bg-opacity))}.grid-section.top[data-v-536f23f4]{grid-area:top}.grid-section.main[data-v-536f23f4]{grid-area:main}.grid-section.next[data-v-536f23f4]{grid-area:next}.grid-section.note[data-v-536f23f4]{grid-area:note}.grid-section.bottom[data-v-536f23f4]{grid-area:bottom}
diff --git a/docs/assets/shortcuts-BAPqYAhK.css b/docs/assets/shortcuts-BAPqYAhK.css
new file mode 100644
index 0000000..21c2b02
--- /dev/null
+++ b/docs/assets/shortcuts-BAPqYAhK.css
@@ -0,0 +1 @@
+.autocomplete-list[data-v-cba06e55]{margin-top:.25rem;--un-bg-opacity:1;background-color:rgb(255 255 255 / var(--un-bg-opacity));overflow:auto;max-height:calc(100vh - 100px)}.dark .autocomplete-list[data-v-cba06e55]{--un-bg-opacity:1;background-color:rgb(18 18 18 / var(--un-bg-opacity))}.autocomplete[data-v-cba06e55]{cursor:pointer}.select-list[data-v-553818ec]{margin-top:.5rem;margin-bottom:.5rem}.item[data-v-553818ec]{display:flex;cursor:default;white-space:nowrap;border-radius:.25rem;padding:.25rem 1rem}.item svg[data-v-553818ec]{margin-top:auto;margin-bottom:auto;margin-right:.25rem;margin-left:-.5rem}.item[data-v-553818ec]:hover{--un-bg-opacity:1;background-color:rgb(156 163 175 / var(--un-bg-opacity));--un-bg-opacity:.1}.title[data-v-553818ec]{-webkit-user-select:none;user-select:none;padding:.25rem 1.75rem;text-wrap:nowrap;font-size:.75rem;line-height:1rem;letter-spacing:.1em;text-transform:uppercase;opacity:.5}#slideshow[data-v-721ea928]{height:100%}
diff --git a/docs/assets/slidev/404-36mg7bFt.js b/docs/assets/slidev/404-36mg7bFt.js
new file mode 100644
index 0000000..2df5a2f
--- /dev/null
+++ b/docs/assets/slidev/404-36mg7bFt.js
@@ -0,0 +1 @@
+import{d as m,U as f,z as _,r as x,o as n,b as v,e as o,aa as a,x as r,I as g,c as u,k as i,g as c}from"../modules/vue-DPv8DyWv.js";import{a as k,_ as h}from"../index-Da-oe7Cw.js";import"../monaco/bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/shiki-BNMsYMPq.js";const N={class:"grid justify-center text-center pt-15% gap-5"},y={class:"text-2xl"},B={class:"op-60"},C={class:"mt-3 flex flex-col gap-2 max-w-xs mx-auto w-full"},R=m({__name:"404",setup(w){const{currentRoute:l}=f(),{total:p}=k(),s=_(()=>{const t=l.value.path.match(/\d+/);if(t){const e=+t[0];if(e>0&&e<=p.value)return e}return null});return(d,t)=>{const e=x("RouterLink");return n(),v("div",N,[o("div",null,[t[2]||(t[2]=o("h1",{class:"text-9xl font-light"}," 404 ",-1)),o("p",y,[t[0]||(t[0]=a(" Page ")),o("code",B,r(g(l).path),1),t[1]||(t[1]=a(" not found "))])]),o("div",C,[s.value!==1?(n(),u(e,{key:0,to:"/",class:"page-link"},{default:i(()=>t[3]||(t[3]=[a(" Go Home ")])),_:1})):c("v-if",!0),s.value?(n(),u(e,{key:1,to:`/${s.value}`,class:"page-link"},{default:i(()=>[a(" Go to Slide "+r(s.value),1)]),_:1},8,["to"])):c("v-if",!0)])])}}}),b=h(R,[["__scopeId","data-v-2af184e6"]]);export{b as default};
diff --git a/docs/assets/slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js b/docs/assets/slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js
new file mode 100644
index 0000000..1f99994
--- /dev/null
+++ b/docs/assets/slidev/CodeBlockWrapper.vue_vue_type_script_setup_true_lang-C87JWgs7.js
@@ -0,0 +1 @@
+import{M as L,N as w}from"../modules/unplugin-icons-DdQIwbVj.js";import{d as A,t as N,Q as E,G as y,O as B,z as v,aH as M,o as c,b as _,f as q,I as u,c as C,g as V,i as z,h as $}from"../modules/vue-DPv8DyWv.js";import{a3 as D,C as K,a5 as R,a6 as G}from"../index-Da-oe7Cw.js";import{u as O}from"./context-DlCOjm-I.js";import{a as k}from"../monaco/bundled-types-B0l6HWZX.js";const Q=["title"],P=A({__name:"CodeBlockWrapper",props:{ranges:{type:Array,default:()=>[]},finally:{type:[String,Number],default:"last"},startLine:{type:Number,default:1},lines:{type:Boolean,default:k.lineNumbers},at:{type:[String,Number],default:"+1"},maxHeight:{type:String,default:void 0}},setup(S){const e=S,{$clicksContext:a}=O(),s=N(),d=D();E(()=>{a.unregister(d)}),y(()=>{var t;(t=s.value)==null||t.classList.toggle("slidev-code-line-numbers",e.lines)}),B(()=>{var r;if(!a||!((r=e.ranges)!=null&&r.length))return;const t=a.calculateSince(e.at,e.ranges.length-1);a.register(d,t);const o=v(()=>t?Math.max(0,a.current-t.start+1):K),n=v(()=>e.finally==="last"?e.ranges.at(-1):e.finally.toString());y(()=>{if(!s.value)return;let i=e.ranges[o.value]??n.value;const g=i==="hide";s.value.classList.toggle(R,g),g&&(i=e.ranges[o.value+1]??n.value);const h=s.value.querySelector(".shiki"),f=Array.from(h.querySelectorAll("code > .line")),H=f.length;if(G(i,H,e.startLine,l=>[f[l]]),e.maxHeight){const l=Array.from(h.querySelectorAll(".line.highlighted"));l.reduce((m,I)=>I.offsetHeight+m,0)>s.value.offsetHeight?l[0].scrollIntoView({behavior:"smooth",block:"start"}):l.length>0&&l[Math.round((l.length-1)/2)].scrollIntoView({behavior:"smooth",block:"center"})}})});const{copied:p,copy:b}=M();function x(){var o,n;const t=(n=(o=s.value)==null?void 0:o.querySelector(".slidev-code"))==null?void 0:n.textContent;t&&b(t)}return(t,o)=>{const n=L,r=w;return c(),_("div",{ref_key:"el",ref:s,class:z(["slidev-code-wrapper relative group",{"slidev-code-line-numbers":e.lines}]),style:$({"max-height":e.maxHeight,"overflow-y":e.maxHeight?"scroll":void 0,"--start":e.startLine})},[q(t.$slots,"default"),u(k).codeCopy?(c(),_("button",{key:0,class:"slidev-code-copy absolute top-0 right-0 transition opacity-0 group-hover:opacity-20 hover:!opacity-100",title:u(p)?"Copied":"Copy",onClick:o[0]||(o[0]=i=>x())},[u(p)?(c(),C(n,{key:0,class:"p-2 w-8 h-8"})):(c(),C(r,{key:1,class:"p-2 w-8 h-8"}))],8,Q)):V("v-if",!0)],6)}}});export{P as _};
diff --git a/docs/assets/slidev/CodeRunner-DT1_kUbn.js b/docs/assets/slidev/CodeRunner-DT1_kUbn.js
new file mode 100644
index 0000000..57dd6ef
--- /dev/null
+++ b/docs/assets/slidev/CodeRunner-DT1_kUbn.js
@@ -0,0 +1,9 @@
+const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/slidev/shiki-Bsl5RiOy.js","assets/monaco/bundled-types-B0l6HWZX.js","assets/modules/file-saver-igGfcqei.js","assets/modules/vue-DPv8DyWv.js","assets/monaco/bundled-types-B_1qVWDs.css","assets/modules/shiki-BNMsYMPq.js","assets/modules/shiki-Bxv373Z5.css"])))=>i.map(i=>d[i]);
+import{O as L}from"../modules/unplugin-icons-DdQIwbVj.js";import{t as b,d as T,G as P,o,b as a,ab as D,z as J,S as z,O as H,aI as F,Q as q,E as G,ae as K,at as Q,e as U,x as $,I as x,F as k,ag as R,c as W,i as X,g as B,aJ as Y,h as Z,l as I,k as ee}from"../modules/vue-DPv8DyWv.js";import{n as te,_ as V,l as ne,k as j}from"../monaco/bundled-types-B0l6HWZX.js";import{a as se,a3 as re}from"../index-Da-oe7Cw.js";import{u as oe}from"./context-DlCOjm-I.js";import{_ as ie}from"./IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js";import"../modules/file-saver-igGfcqei.js";import"../modules/shiki-BNMsYMPq.js";const M={},ae=[],le=te(async()=>{const i={javascript:C,js:C,typescript:C,ts:C},{getHighlighter:t}=await V(async()=>{const{getHighlighter:r}=await import("./shiki-Bsl5RiOy.js");return{getHighlighter:r}},__vite__mapDeps([0,1,2,3,4,5,6])),s=await t(),f=async(r,p,l)=>{try{const d=i[p];if(!d)throw new Error(`Runner for language "${p}" not found`);return await d(r,{options:l,highlight:s,run:async(e,n)=>await f(e,n,l)})}catch(d){return console.error(d),{error:`${d}`}}};for(const r of ae){const p=await r(i);Object.assign(i,p)}return{highlight:s,run:f}});function ce(i){const t=b([]),s=e=>t.value.push({error:String(e)}),f=(...e)=>t.value.push(e.map(p)),r=Object.assign({},console);r.info=r.log=r.debug=r.warn=r.error=f,r.clear=()=>t.value.length=0;try{const e=`return async (console, __slidev_import, __slidev_on_error) => {
+ try {
+ ${d(i)}
+ } catch (e) {
+ __slidev_on_error(e)
+ }
+ }`;new Function(e)()(r,n=>{const u=M[n];if(!u)throw new Error(`Module not found: ${n}.
+Available modules: ${Object.keys(M).join(", ")}. Please refer to https://sli.dev/custom/config-code-runners#additional-runner-dependencies`);return u},s)}catch(e){s(e)}function p(e){return typeof e=="string"?{text:e}:{text:l(e),highlightLang:"javascript"}}function l(e){var u;let n="";if(e instanceof Error)n=`Error: ${JSON.stringify(e.message)}`;else if(e==null||typeof e=="symbol")n=String(e);else if(Array.isArray(e))n=`[${e.map(l).join(", ")}]`;else if(e instanceof Set){const c=[...e];n=`Set (${e.size}) {${c.map(l).join(", ")}}`}else if(e instanceof Map){const c=[...e.entries()];n=`Map (${e.size}) {${c.map(([m,h])=>`${l(m)} => ${l(h)}`).join(", ")}}`}else if(e instanceof RegExp)n=e.toString();else if(typeof e=="string")n=JSON.stringify(e);else if(typeof e=="object"){const c=((u=e.constructor)==null?void 0:u.name)??"",m=c&&c==="Object"?"":c;n=(m?`${m}: `:"")+JSON.stringify(e,(g,_)=>_===void 0?"__undefined__":_,2).replace(/"__undefined__"/g,"undefined"),n=String(n)}else n=String(e);return n}function d(e){return e=e.replace('import "reflect-metadata"',"").replace('require("reflect-metadata")',""),e=e.replace("export {};",""),e}return t}let O;async function C(i){O??(O=await V(()=>import("../monaco/bundled-types-B0l6HWZX.js").then(s=>s.t),__vite__mapDeps([1,2,3,4]))),i=O.transpileModule(i,{compilerOptions:{module:O.ModuleKind.ESNext,target:O.ScriptTarget.ES2022},transformers:{after:[ue]}}).outputText;const t=/import\s*\((.+)\)/g;return i=i.replace(t,(s,f)=>`__slidev_import(${f})`),ce(i)}function ue(i){const{factory:t}=i,{isImportDeclaration:s,isNamedImports:f,NodeFlags:r}=O;return p=>{var d,e;const l=[...p.statements];for(let n=0;n<l.length;n++){const u=l[n];if(!s(u))continue;let c;const m=(d=u.importClause)==null?void 0:d.namedBindings,h=[];if((e=u.importClause)!=null&&e.name&&h.push(t.createBindingElement(void 0,t.createIdentifier("default"),u.importClause.name)),m)if(f(m)){for(const _ of m.elements)h.push(t.createBindingElement(void 0,_.propertyName,_.name));c=t.createObjectBindingPattern(h)}else c=t.createIdentifier(m.name.text);else c=t.createObjectBindingPattern(h);const g=t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(c,void 0,void 0,t.createAwaitExpression(t.createCallExpression(t.createIdentifier("import"),void 0,[u.moduleSpecifier])))],r.Const));l[n]=g}return t.updateSourceFile(p,l)}}const de=T({__name:"DomElement",props:{element:{}},setup(i){const t=i,s=b();return P(()=>{s.value&&s.value.appendChild(t.element)}),(f,r)=>(o(),a("div",{ref_key:"container",ref:s},null,512))}}),pe={key:0,class:"text-sm text-center opacity-50"},me={key:1,class:"text-sm text-center opacity-50"},fe={key:2,class:"text-sm text-center opacity-50"},he=["innerHTML"],_e={key:1,class:"text-red-500"},ve={key:3,class:"output-line"},ge=["innerHTML"],ye={key:2,class:"separator"},xe={key:0,class:"absolute right-1 top-1 max-h-full flex gap-1"},je=T({__name:"CodeRunner",props:{modelValue:{},lang:{},autorun:{type:[Boolean,String]},height:{},showOutputAt:{type:[null,Boolean,String,Number,Array]},highlightOutput:{type:Boolean},runnerOptions:{}},emits:["update:modelValue"],setup(i,{emit:t}){const s=i,f=t,{isPrintMode:r}=se(),p=D(s,"modelValue",f),{$renderContext:l,$clicksContext:d}=oe(),e=J(()=>!["slide","presenter"].includes(l.value)),n=r.value?"once":s.autorun,u=b(n),c=z(),m=b(0),h=b(),g=b(s.showOutputAt);if(s.showOutputAt){const w=re();H(()=>{const y=d.calculate(s.showOutputAt);y?(d.register(w,y),F(()=>{g.value=!y.isActive.value})):g.value=!1}),q(()=>d.unregister(w))}const _=ne(200,async()=>{if(e.value)return;const{highlight:w,run:y}=await le();h.value=w;const E=setTimeout(()=>{u.value=!0},500);c.value=await y(p.value,s.lang,s.runnerOptions??{}),m.value+=1,u.value=!1,clearTimeout(E)});return n==="once"?_():n&&G(p,_,{immediate:!0}),(w,y)=>{const E=L;return o(),a(k,null,[K(U("div",{class:"relative flex flex-col rounded-b border-t border-main",style:Z({height:s.height}),"data-waitfor":".slidev-runner-output"},[e.value?(o(),a("div",pe,' Code is disabled in the "'+$(x(l))+'" mode ',1)):u.value?(o(),a("div",me," Running... ")):c.value?(o(),a("div",{key:`run-${m.value}`,class:"slidev-runner-output"},[(o(!0),a(k,null,R(x(j)(Y(c.value)),(v,N)=>(o(),a(k,{key:N},["html"in v?(o(),a("div",{key:0,innerHTML:v.html},null,8,he)):"error"in v?(o(),a("div",_e,$(v.error),1)):"element"in v?(o(),W(de,{key:2,element:v.element},null,8,["element"])):(o(),a("div",ve,[(o(!0),a(k,null,R(x(j)(v),(S,A)=>(o(),a(k,{key:A},[S.highlightLang&&h.value?(o(),a("span",{key:0,class:"highlighted",innerHTML:h.value(S.text,S.highlightLang)},null,8,ge)):(o(),a("span",{key:1,class:X(S.class)},$(S.text),3)),A<x(j)(v).length-1?(o(),a("span",ye,",")):B("v-if",!0)],64))),128))]))],64))),128))])):(o(),a("div",fe," Click the play button to run the code "))],4),[[Q,!g.value]]),x(p).trim()?(o(),a("div",xe,[I(ie,{class:"w-8 h-8 max-h-full flex justify-center items-center",title:"Run code",onClick:x(_)},{default:ee(()=>[I(E)]),_:1},8,["onClick"])])):B("v-if",!0)],64)}}});export{je as default};
diff --git a/docs/assets/slidev/DrawingControls-QAEkhfiz.js b/docs/assets/slidev/DrawingControls-QAEkhfiz.js
new file mode 100644
index 0000000..5ebe1f8
--- /dev/null
+++ b/docs/assets/slidev/DrawingControls-QAEkhfiz.js
@@ -0,0 +1 @@
+import{_ as o}from"./DrawingControls.vue_vue_type_style_index_0_lang-j1j1HRFG.js";import"../modules/unplugin-icons-DdQIwbVj.js";import"../modules/vue-DPv8DyWv.js";import"../modules/shiki-BNMsYMPq.js";import"./SlideWrapper-Ccnvj0Sw.js";import"../index-Da-oe7Cw.js";import"../monaco/bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"./IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js";import"./shortcuts-TVJpHdJV.js";import"./context-DlCOjm-I.js";export{o as default};
diff --git a/docs/assets/slidev/DrawingControls.vue_vue_type_style_index_0_lang-j1j1HRFG.js b/docs/assets/slidev/DrawingControls.vue_vue_type_style_index_0_lang-j1j1HRFG.js
new file mode 100644
index 0000000..a8f3387
--- /dev/null
+++ b/docs/assets/slidev/DrawingControls.vue_vue_type_style_index_0_lang-j1j1HRFG.js
@@ -0,0 +1 @@
+import{f as Y,A as q,B as O,C as Q,D as W,E as X,F as Z,G as ee,H as ne,J as te,K as se,L as oe}from"../modules/unplugin-icons-DdQIwbVj.js";import{d as B,t as h,B as le,aC as ae,o as g,b as z,f as re,h as M,I as e,c as x,k as o,l as t,i as l,e as u,x as ie,ae as m,af as ue,F as ce,ag as de,at as k,g as L}from"../modules/vue-DPv8DyWv.js";import{L as _e}from"../modules/shiki-BNMsYMPq.js";import{c as pe}from"./SlideWrapper-Ccnvj0Sw.js";import{_ as a}from"./IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js";import{V as D}from"./shortcuts-TVJpHdJV.js";const me=B({__name:"Draggable",props:{storageKey:{},initial:{}},setup($){const c=$,f=h(null),w=c.initial??{x:0,y:0},b=c.storageKey?le(c.storageKey,w):h(w),{style:y}=ae(f,{initialValue:b});return(v,d)=>(g(),z("div",{ref_key:"el",ref:f,class:"fixed",style:M(e(y))},[re(v.$slots,"default")],4))}}),ge={class:"flex bg-main p-2"},fe={class:"inline-block w-7 text-center"},we={class:"pt-.5"},$e=B({__name:"DrawingControls",setup($){const{brush:c,canClear:f,canRedo:w,canUndo:b,clear:y,drauu:v,drawingEnabled:d,drawingMode:r,drawingPinned:i,brushColors:V}=pe();function S(){v.undo()}function E(){v.redo()}let C="stylus";function _(p){r.value=p,d.value=!0,p!=="eraseLine"&&(C=p)}function K(p){c.color=p,d.value=!0,r.value=C}return(p,n)=>{const U=Y,A=q,F=O,N=Q,R=W,P=X,j=Z,G=ee,H=ne,I=te,J=se,T=oe;return e(d)||e(i)?(g(),x(me,{key:0,class:l(["flex flex-wrap text-xl p-2 gap-1 rounded-md bg-main shadow transition-opacity duration-200 z-20 border border-main",!e(d)&&e(i)?"opacity-40 hover:opacity-90":""]),"storage-key":"slidev-drawing-pos","initial-x":10,"initial-y":10},{default:o(()=>[t(a,{title:"Draw with stylus",class:l({shallow:e(r)!=="stylus"}),onClick:n[0]||(n[0]=s=>_("stylus"))},{default:o(()=>[t(U)]),_:1},8,["class"]),t(a,{title:"Draw a line",class:l({shallow:e(r)!=="line"}),onClick:n[1]||(n[1]=s=>_("line"))},{default:o(()=>n[13]||(n[13]=[u("svg",{width:"1em",height:"1em",class:"-mt-0.5",preserveAspectRatio:"xMidYMid meet",viewBox:"0 0 24 24"},[u("path",{d:"M21.71 3.29a1 1 0 0 0-1.42 0l-18 18a1 1 0 0 0 0 1.42a1 1 0 0 0 1.42 0l18-18a1 1 0 0 0 0-1.42z",fill:"currentColor"})],-1)])),_:1},8,["class"]),t(a,{title:"Draw an arrow",class:l({shallow:e(r)!=="arrow"}),onClick:n[2]||(n[2]=s=>_("arrow"))},{default:o(()=>[t(A)]),_:1},8,["class"]),t(a,{title:"Draw an ellipse",class:l({shallow:e(r)!=="ellipse"}),onClick:n[3]||(n[3]=s=>_("ellipse"))},{default:o(()=>[t(F)]),_:1},8,["class"]),t(a,{title:"Draw a rectangle",class:l({shallow:e(r)!=="rectangle"}),onClick:n[4]||(n[4]=s=>_("rectangle"))},{default:o(()=>[t(N)]),_:1},8,["class"]),t(a,{title:"Erase",class:l({shallow:e(r)!=="eraseLine"}),onClick:n[5]||(n[5]=s=>_("eraseLine"))},{default:o(()=>[t(R)]),_:1},8,["class"]),t(D),t(e(_e),null,{popper:o(()=>[u("div",ge,[u("div",fe,ie(e(c).size),1),u("div",we,[m(u("input",{"onUpdate:modelValue":n[6]||(n[6]=s=>e(c).size=s),type:"range",min:"1",max:"15",onChange:n[7]||(n[7]=s=>r.value=e(C))},null,544),[[ue,e(c).size]])])])]),default:o(()=>[t(a,{title:"Adjust stroke width",class:l({shallow:e(r)==="eraseLine"})},{default:o(()=>n[14]||(n[14]=[u("svg",{viewBox:"0 0 32 32",width:"1.2em",height:"1.2em"},[u("line",{x1:"2",y1:"15",x2:"22",y2:"4",stroke:"currentColor","stroke-width":"1","stroke-linecap":"round"}),u("line",{x1:"2",y1:"24",x2:"28",y2:"10",stroke:"currentColor","stroke-width":"2","stroke-linecap":"round"}),u("line",{x1:"7",y1:"31",x2:"29",y2:"19",stroke:"currentColor","stroke-width":"3","stroke-linecap":"round"})],-1)])),_:1},8,["class"])]),_:1}),(g(!0),z(ce,null,de(e(V),s=>(g(),x(a,{key:s,title:"Set brush color",class:l(e(c).color===s&&e(r)!=="eraseLine"?"active":"shallow"),onClick:ve=>K(s)},{default:o(()=>[u("div",{class:l(["w-6 h-6 transition-all transform border",e(c).color!==s?"rounded-1/2 scale-85 border-white":"rounded-md border-gray-300/50"]),style:M(e(d)?{background:s}:{borderColor:s})},null,6)]),_:2},1032,["class","onClick"]))),128)),t(D),t(a,{title:"Undo",class:l({disabled:!e(b)}),onClick:n[8]||(n[8]=s=>S())},{default:o(()=>[t(P)]),_:1},8,["class"]),t(a,{title:"Redo",class:l({disabled:!e(w)}),onClick:n[9]||(n[9]=s=>E())},{default:o(()=>[t(j)]),_:1},8,["class"]),t(a,{title:"Delete",class:l({disabled:!e(f)}),onClick:n[10]||(n[10]=s=>e(y)())},{default:o(()=>[t(G)]),_:1},8,["class"]),t(D),t(a,{title:e(i)?"Unpin drawing":"Pin drawing",class:l({shallow:!e(i)}),onClick:n[11]||(n[11]=s=>i.value=!e(i))},{default:o(()=>[m(t(H,{class:"transform -rotate-45"},null,512),[[k,e(i)]]),m(t(I,null,null,512),[[k,!e(i)]])]),_:1},8,["title","class"]),e(d)?(g(),x(a,{key:0,title:e(i)?"Drawing pinned":"Drawing unpinned",class:l({shallow:!e(d)}),onClick:n[12]||(n[12]=s=>d.value=!e(d))},{default:o(()=>[m(t(J,null,null,512),[[k,e(i)]]),m(t(T,null,null,512),[[k,!e(i)]])]),_:1},8,["title","class"])):L("v-if",!0)]),_:1},8,["class"])):L("v-if",!0)}}});export{$e as _};
diff --git a/docs/assets/slidev/DrawingLayer-DoZ9KrJP.js b/docs/assets/slidev/DrawingLayer-DoZ9KrJP.js
new file mode 100644
index 0000000..56d848d
--- /dev/null
+++ b/docs/assets/slidev/DrawingLayer-DoZ9KrJP.js
@@ -0,0 +1 @@
+import{d as l,t as u,O as m,E as i,K as c,o as p,b as f,i as d,I as t}from"../modules/vue-DPv8DyWv.js";import{c as _}from"./SlideWrapper-Ccnvj0Sw.js";import{u as v}from"./context-DlCOjm-I.js";import"../index-Da-oe7Cw.js";import"../monaco/bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/shiki-BNMsYMPq.js";const y=l({__name:"DrawingLayer",setup(g){const{drauu:e,drawingEnabled:n,loadCanvas:s}=_(),r=v().$scale,o=u();return m(()=>{e.mount(o.value,o.value.parentElement),i(r,a=>e.options.coordinateScale=1/a,{immediate:!0}),s()}),c(()=>{e.unmount()}),(a,w)=>(p(),f("svg",{ref_key:"svg",ref:o,class:d(["w-full h-full absolute top-0",{"pointer-events-none":!t(n),"touch-none":t(n)}])},null,2))}});export{y as default};
diff --git a/docs/assets/slidev/IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js b/docs/assets/slidev/IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js
new file mode 100644
index 0000000..7502aca
--- /dev/null
+++ b/docs/assets/slidev/IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js
@@ -0,0 +1 @@
+import{d as t,o as a,c as o,k as n,e,x as l,f as i,ap as r,i as c}from"../modules/vue-DPv8DyWv.js";const p={class:"sr-only"},_=t({__name:"IconButton",props:{title:{},icon:{},as:{}},setup(d){return(s,m)=>(a(),o(r(s.as||"button"),{class:"slidev-icon-btn",title:s.title},{default:n(()=>[e("span",p,l(s.title),1),i(s.$slots,"default",{},()=>[e("div",{class:c(s.icon)},null,2)])]),_:3},8,["title"]))}});export{_};
diff --git a/docs/assets/slidev/NoteDisplay.vue_vue_type_style_index_0_lang-B38dLyf3.js b/docs/assets/slidev/NoteDisplay.vue_vue_type_style_index_0_lang-B38dLyf3.js
new file mode 100644
index 0000000..2cd1d5f
--- /dev/null
+++ b/docs/assets/slidev/NoteDisplay.vue_vue_type_style_index_0_lang-B38dLyf3.js
@@ -0,0 +1 @@
+import{m as I}from"../modules/unplugin-icons-DdQIwbVj.js";import{d as N,z as h,o as v,b as f,e as u,l as T,I as z,F as A,x as _,ag as F,ae as P,af as V,i as k,h as B,t as D,E as R,n as K,O as j,G as q}from"../modules/vue-DPv8DyWv.js";import{c as G,r as O}from"../monaco/bundled-types-B0l6HWZX.js";import{C as H,_ as U}from"../index-Da-oe7Cw.js";const X=["title"],J={class:"flex gap-0.2 items-center min-w-16 font-mono mr1"},Q={"text-primary":""},W={op50:"","text-sm":""},Y={key:1,op50:"","flex-auto":"",pl1:""},Z={relative:"","flex-auto":"",h5:"","font-mono":"",flex:"~"},ee=["min","max"],te=N({__name:"ClicksSlider",props:{clicksContext:{},readonly:{type:Boolean},active:{type:Boolean,default:!0}},setup(L){const p=L,t=h(()=>p.clicksContext.total),g=h(()=>G(0,p.clicksContext.clicksStart,t.value)),m=h(()=>t.value-g.value+1),n=h({get(){return p.clicksContext.current>t.value?-1:p.clicksContext.current},set(s){p.clicksContext.current=s}}),b=h(()=>O(g.value,t.value+1));function x(){p.readonly||(n.value<0||n.value>t.value)&&(n.value=0)}return(s,a)=>{const d=I;return v(),f("div",{class:k(["flex gap-1 items-center select-none",m.value&&p.clicksContext.isMounted?"":"op50"]),title:`Clicks in this slide: ${m.value}`},[u("div",J,[T(d,{"text-sm":"",op50:""}),n.value>=0&&n.value!==z(H)&&s.active?(v(),f(A,{key:0},[a[2]||(a[2]=u("div",{"flex-auto":""},null,-1)),u("span",Q,_(n.value),1),a[3]||(a[3]=u("span",{op25:"","text-sm":""},"/",-1)),u("span",W,_(t.value),1)],64)):(v(),f("div",Y,_(t.value),1))]),u("div",Z,[(v(!0),f(A,null,F(b.value,r=>(v(),f("div",{key:r,border:"y main","of-hidden":"",relative:"",class:k([r===0?"rounded-l border-l":"",r===t.value?"rounded-r border-r":""]),style:B({width:m.value>0?`${1/m.value*100}%`:"100%"})},[u("div",{absolute:"","inset-0":"",class:k(r<=n.value&&s.active?"bg-primary op15":"")},null,2),u("div",{class:k([+r==+n.value&&s.active?"text-primary font-bold op100 border-primary":"op30 border-main",r===0?"rounded-l":"",r===t.value?"rounded-r":"border-r-2"]),"w-full":"","h-full":"","text-xs":"",flex:"","items-center":"","justify-center":"","z-1":""},_(r),3)],6))),128)),P(u("input",{"onUpdate:modelValue":a[0]||(a[0]=r=>n.value=r),class:k(["range",s.readonly?"pointer-events-none":""]),type:"range",min:g.value,max:t.value,step:1,absolute:"","inset-0":"","z-10":"",op0:"",style:B({"--thumb-width":`${1/(m.value+1)*100}%`}),onMousedown:x,onFocus:a[1]||(a[1]=r=>{var C;return(C=r.currentTarget)==null?void 0:C.blur()})},null,46,ee),[[V,n.value]])])],10,X)}}}),ce=U(te,[["__scopeId","data-v-ef0c5640"]]),oe=["innerHTML"],se=["textContent"],le=["textContent"],S="slidev-note-fade",y="slidev-note-click-mark",ue=N({__name:"NoteDisplay",props:{class:{},noteHtml:{},note:{},highlight:{type:Boolean,default:!0},placeholder:{},clicksContext:{},autoScroll:{type:Boolean}},emits:["markerDblclick","markerClick"],setup(L,{emit:p}){const t=L,g=p,m=h(()=>{var s;return t.clicksContext!=null&&((s=t.noteHtml)==null?void 0:s.includes("slidev-note-click-mark"))}),n=D(null);function b(){var $,E;if(!n.value||!m.value)return;const s=Array.from(n.value.querySelectorAll(`.${y}`)),a=new Map,d=new Map;let r=0;for(const i of s){const l=Number(i.dataset.clicks);a.set(i,l);let o=i,e=i.parentElement;for(;e&&o!==n.value;)d.has(e)||d.set(e,[[null,r]]),d.get(e).push([o,l]),o=e,e=e.parentElement;r=l}const C=new Map;for(const[i,l]of d){let o=!1,e=0;for(const c of Array.from(i.childNodes)){let w=!1;for(;c===(($=l[e+1])==null?void 0:$[0]);)w=!0,e++;if(w)continue;let M=c;if(c.nodeType===3){if(!((E=c.textContent)!=null&&E.trim()))continue;M=document.createElement("span"),M.textContent=c.textContent,i.insertBefore(M,c),c.remove()}o||(o=e===0),C.set(M,l[e][1])}o||(l[0][1]=-1)}return i=>{const l=t.highlight;for(const[o,e]of d)o.classList.toggle(S,l&&!e.some(([c,w])=>w===i));for(const[o,e]of C)o.classList.toggle(S,l&&e!==i);for(const[o,e]of a)o.classList.remove(S),o.classList.toggle(`${y}-past`,l&&e<i),o.classList.toggle(`${y}-active`,l&&e===i),o.classList.toggle(`${y}-next`,l&&e===i+1),o.classList.toggle(`${y}-future`,l&&e>i+1),o.ondblclick=l?c=>{g("markerDblclick",c,e),!c.defaultPrevented&&(t.clicksContext.current=e,c.stopPropagation(),c.stopImmediatePropagation())}:null,o.onclick=l?c=>{g("markerClick",c,e)}:null,l&&t.autoScroll&&e===i&&o.scrollIntoView({block:"center",behavior:"smooth"})}}const x=D();return R(()=>[t.noteHtml,t.highlight],()=>{K(()=>{x.value=b()})},{immediate:!0}),j(()=>{b()}),q(()=>{var a,d;const s=((a=t.clicksContext)==null?void 0:a.current)??H;(d=x.value)==null||d.call(x,s)}),(s,a)=>s.noteHtml?(v(),f("div",{key:0,ref_key:"noteDisplay",ref:n,class:k(["prose overflow-auto outline-none slidev-note",[t.class,m.value?"slidev-note-with-clicks":""]]),innerHTML:s.noteHtml},null,10,oe)):s.note?(v(),f("div",{key:1,class:k(["prose overflow-auto outline-none slidev-note",t.class])},[u("p",{textContent:_(s.note)},null,8,se)],2)):(v(),f("div",{key:2,class:k(["prose overflow-auto outline-none opacity-50 italic select-none slidev-note",t.class])},[u("p",{textContent:_(t.placeholder||"No notes.")},null,8,le)],2))}});export{ce as C,ue as _};
diff --git a/docs/assets/slidev/SlideWrapper-Ccnvj0Sw.js b/docs/assets/slidev/SlideWrapper-Ccnvj0Sw.js
new file mode 100644
index 0000000..e90397d
--- /dev/null
+++ b/docs/assets/slidev/SlideWrapper-Ccnvj0Sw.js
@@ -0,0 +1 @@
+import{t as L,z as b,I as F,V as Zt,B as ut,ax as Qt,R as zt,y as Jt,n as Wt,E as te,d as vt,o as Y,b as tt,g as Rt,ay as ee,az as se,aA as A,O as ne,e as wt,f as St,h as W,F as re,ac as ie,l as bt,c as ae,ap as oe,i as le}from"../modules/vue-DPv8DyWv.js";import{E as jt,a as Ot,N as ct,O as Pt,P as he,M as ue,Q as B,R as ce,S as de,x as Et,F as fe,T as pe,_ as Bt,U as ge,V as me,W as ve,X as ye,Y as xe,Z as _e,$ as we}from"../index-Da-oe7Cw.js";import{a as ft,e as Mt,h as $t,j as Lt}from"../monaco/bundled-types-B0l6HWZX.js";function Se(t){var e;return{info:L(((e=jt(t))==null?void 0:e.meta.slide)??null),update:async()=>{}}}const dt={};function gs(t){function e(s){return dt[s]??(dt[s]=Se(s))}return{info:b({get(){return e(F(t)).info.value},set(s){e(F(t)).info.value=s}}),update:async(s,n)=>{const r=e(n??F(t)),i=await r.update(s);return i&&(r.info.value=i),i}}}var be=Object.defineProperty,kt=Object.getOwnPropertySymbols,Pe=Object.prototype.hasOwnProperty,Ee=Object.prototype.propertyIsEnumerable,Ct=(t,e,s)=>e in t?be(t,e,{enumerable:!0,configurable:!0,writable:!0,value:s}):t[e]=s,pt=(t,e)=>{for(var s in e||(e={}))Pe.call(e,s)&&Ct(t,s,e[s]);if(kt)for(var s of kt(e))Ee.call(e,s)&&Ct(t,s,e[s]);return t},Me=()=>({emit(t,...e){for(let s=0,n=this.events[t]||[],r=n.length;s<r;s++)n[s](...e)},events:{},on(t,e){var s;return((s=this.events)[t]||(s[t]=[])).push(e),()=>{var n;this.events[t]=(n=this.events[t])==null?void 0:n.filter(r=>e!==r)}}});function Dt(t,e,s,n=r=>r){return t*n(.5-e*(.5-s))}function $e(t){return[-t[0],-t[1]]}function $(t,e){return[t[0]+e[0],t[1]+e[1]]}function P(t,e){return[t[0]-e[0],t[1]-e[1]]}function M(t,e){return[t[0]*e,t[1]*e]}function Le(t,e){return[t[0]/e,t[1]/e]}function q(t){return[t[1],-t[0]]}function It(t,e){return t[0]*e[0]+t[1]*e[1]}function ke(t,e){return t[0]===e[0]&&t[1]===e[1]}function Ce(t){return Math.hypot(t[0],t[1])}function De(t){return t[0]*t[0]+t[1]*t[1]}function Nt(t,e){return De(P(t,e))}function Ut(t){return Le(t,Ce(t))}function Ie(t,e){return Math.hypot(t[1]-e[1],t[0]-e[0])}function H(t,e,s){let n=Math.sin(s),r=Math.cos(s),i=t[0]-e[0],o=t[1]-e[1],a=i*r-o*n,l=i*n+o*r;return[a+e[0],l+e[1]]}function gt(t,e,s){return $(t,M(P(e,t),s))}function At(t,e,s){return $(t,M(e,s))}var{min:O,PI:Ne}=Math,Ft=.275,X=Ne+1e-4;function Ae(t,e={}){let{size:s=16,smoothing:n=.5,thinning:r=.5,simulatePressure:i=!0,easing:o=u=>u,start:a={},end:l={},last:p=!1}=e,{cap:v=!0,easing:y=u=>u*(2-u)}=a,{cap:x=!0,easing:f=u=>--u*u*u+1}=l;if(t.length===0||s<=0)return[];let d=t[t.length-1].runningLength,c=a.taper===!1?0:a.taper===!0?Math.max(s,d):a.taper,E=l.taper===!1?0:l.taper===!0?Math.max(s,d):l.taper,j=Math.pow(s*n,2),C=[],h=[],_=t.slice(0,10).reduce((u,S)=>{let g=S.pressure;if(i){let m=O(1,S.distance/s),ot=O(1,1-m);g=O(1,u+(ot-u)*(m*Ft))}return(u+g)/2},t[0].pressure),w=Dt(s,r,t[t.length-1].pressure,o),nt,rt=t[0].vector,V=t[0].point,Z=V,K=V,z=Z,it=!1;for(let u=0;u<t.length;u++){let{pressure:S}=t[u],{point:g,vector:m,distance:ot,runningLength:G}=t[u];if(u<t.length-1&&d-G<3)continue;if(r){if(i){let N=O(1,ot/s),ht=O(1,1-N);S=O(1,_+(ht-_)*(N*Ft))}w=Dt(s,r,S,o)}else w=s/2;nt===void 0&&(nt=w);let Ht=G<c?y(G/c):1,Xt=d-G<E?f((d-G)/E):1;w=Math.max(.01,w*Math.min(Ht,Xt));let yt=(u<t.length-1?t[u+1]:t[u]).vector,lt=u<t.length-1?It(m,yt):1,Yt=It(m,rt)<0&&!it,xt=lt!==null&&lt<0;if(Yt||xt){let N=M(q(rt),w);for(let ht=1/13,J=0;J<=1;J+=ht)K=H(P(g,N),g,X*J),C.push(K),z=H($(g,N),g,X*-J),h.push(z);V=K,Z=z,xt&&(it=!0);continue}if(it=!1,u===t.length-1){let N=M(q(m),w);C.push(P(g,N)),h.push($(g,N));continue}let _t=M(q(gt(yt,m,lt)),w);K=P(g,_t),(u<=1||Nt(V,K)>j)&&(C.push(K),V=K),z=$(g,_t),(u<=1||Nt(Z,z)>j)&&(h.push(z),Z=z),_=S,rt=m}let D=t[0].point.slice(0,2),I=t.length>1?t[t.length-1].point.slice(0,2):$(t[0].point,[1,1]),at=[],Q=[];if(t.length===1){if(!(c||E)||p){let u=At(D,Ut(q(P(D,I))),-(nt||w)),S=[];for(let g=1/13,m=g;m<=1;m+=g)S.push(H(u,D,X*2*m));return S}}else{if(!(c||E&&t.length===1))if(v)for(let S=1/13,g=S;g<=1;g+=S){let m=H(h[0],D,X*g);at.push(m)}else{let S=P(C[0],h[0]),g=M(S,.5),m=M(S,.51);at.push(P(D,g),P(D,m),$(D,m),$(D,g))}let u=q($e(t[t.length-1].vector));if(E||c&&t.length===1)Q.push(I);else if(x){let S=At(I,u,w);for(let g=1/29,m=g;m<1;m+=g)Q.push(H(S,I,X*3*m))}else Q.push($(I,M(u,w)),$(I,M(u,w*.99)),P(I,M(u,w*.99)),P(I,M(u,w)))}return C.concat(Q,h.reverse(),at)}function Fe(t,e={}){var s;let{streamline:n=.5,size:r=16,last:i=!1}=e;if(t.length===0)return[];let o=.15+(1-n)*.85,a=Array.isArray(t[0])?t:t.map(({x:f,y:d,pressure:c=.5})=>[f,d,c]);if(a.length===2){let f=a[1];a=a.slice(0,-1);for(let d=1;d<5;d++)a.push(gt(a[0],f,d/4))}a.length===1&&(a=[...a,[...$(a[0],[1,1]),...a[0].slice(2)]]);let l=[{point:[a[0][0],a[0][1]],pressure:a[0][2]>=0?a[0][2]:.25,vector:[1,1],distance:0,runningLength:0}],p=!1,v=0,y=l[0],x=a.length-1;for(let f=1;f<a.length;f++){let d=i&&f===x?a[f].slice(0,2):gt(y.point,a[f],o);if(ke(y.point,d))continue;let c=Ie(d,y.point);if(v+=c,f<x&&!p){if(v<r)continue;p=!0}y={point:d,pressure:a[f][2]>=0?a[f][2]:.5,vector:Ut(P(y.point,d)),distance:c,runningLength:v},l.push(y)}return l[0].vector=((s=l[1])==null?void 0:s.vector)||[0,0],l}function Te(t,e={}){return Ae(Fe(t,e),e)}function et(t,e){return t-e}function Ke(t){return t<0?-1:1}function st(t){return[Math.abs(t),Ke(t)]}function Vt(){const t=()=>((1+Math.random())*65536|0).toString(16).substring(1);return`${t()+t()}-${t()}-${t()}-${t()}-${t()}${t()}${t()}`}var ze=2,k=ze,U=class{constructor(t){this.drauu=t,this.event=void 0,this.point=void 0,this.start=void 0,this.el=null}onSelected(t){}onUnselected(){}onStart(t){}onMove(t){return!1}onEnd(t){}get brush(){return this.drauu.brush}get shiftPressed(){return this.drauu.shiftPressed}get altPressed(){return this.drauu.altPressed}get svgElement(){return this.drauu.el}getMousePosition(t){var e,s,n;const r=this.drauu.el,i=(e=this.drauu.options.coordinateScale)!=null?e:1,o=(s=this.drauu.options.offset)!=null?s:{x:0,y:0};if(this.drauu.options.coordinateTransform===!1){const a=this.drauu.el.getBoundingClientRect();return{x:(t.pageX-a.left+o.x)*i,y:(t.pageY-a.top+o.y)*i,pressure:t.pressure}}else{const a=this.drauu.svgPoint;a.x=t.clientX+o.x,a.y=t.clientY+o.y;const l=a.matrixTransform((n=r.getScreenCTM())==null?void 0:n.inverse());return{x:l.x*i,y:l.y*i,pressure:t.pressure}}}createElement(t,e){var s;const n=document.createElementNS("http://www.w3.org/2000/svg",t),r=e?pt(pt({},this.brush),e):this.brush;return n.setAttribute("fill",(s=r.fill)!=null?s:"transparent"),n.setAttribute("stroke",r.color),n.setAttribute("stroke-width",r.size.toString()),n.setAttribute("stroke-linecap","round"),r.dasharray&&n.setAttribute("stroke-dasharray",r.dasharray),n}attr(t,e){this.el.setAttribute(t,typeof e=="string"?e:e.toFixed(k))}_setEvent(t){this.event=t,this.point=this.getMousePosition(t)}_eventDown(t){return this._setEvent(t),this.start=this.point,this.onStart(this.point)}_eventMove(t){return this._setEvent(t),this.onMove(this.point)}_eventUp(t){return this._setEvent(t),this.onEnd(this.point)}},Re=class Gt extends U{constructor(){super(...arguments),this.points=[]}onStart(e){return this.el=document.createElementNS("http://www.w3.org/2000/svg","path"),this.points=[e],this.attr("fill",this.brush.color),this.attr("d",this.getSvgData(this.points)),this.el}onMove(e){return this.el||this.onStart(e),this.points[this.points.length-1]!==e&&this.points.push(e),this.attr("d",this.getSvgData(this.points)),!0}onEnd(){const e=this.el;return this.el=null,!!e}getSvgData(e){return Gt.getSvgData(e,this.brush)}static getSvgData(e,s){const n=Te(e,pt({size:s.size,thinning:.9,simulatePressure:!1,start:{taper:5},end:{taper:5}},s.stylusOptions));if(!n.length)return"";const r=n.reduce((i,[o,a],l,p)=>{const[v,y]=p[(l+1)%p.length];return i.push(o,a,(o+v)/2,(a+y)/2),i},["M",...n[0],"Q"]);return r.push("Z"),r.map(i=>typeof i=="number"?i.toFixed(2):i).join(" ")}},je=class extends U{onStart(t){return this.el=this.createElement("ellipse"),this.attr("cx",t.x),this.attr("cy",t.y),this.el}onMove(t){if(!this.el||!this.start)return!1;let[e,s]=st(t.x-this.start.x),[n,r]=st(t.y-this.start.y);if(this.shiftPressed){const i=Math.min(e,n);e=i,n=i}if(this.altPressed)this.attr("cx",this.start.x),this.attr("cy",this.start.y),this.attr("rx",e),this.attr("ry",n);else{const[i,o]=[this.start.x,this.start.x+e*s].sort(et),[a,l]=[this.start.y,this.start.y+n*r].sort(et);this.attr("cx",(i+o)/2),this.attr("cy",(a+l)/2),this.attr("rx",(o-i)/2),this.attr("ry",(l-a)/2)}return!0}onEnd(){const t=this.el;return this.el=null,!(!t||!t.getTotalLength())}};function qt(t,e){const s=document.createElementNS("http://www.w3.org/2000/svg","defs"),n=document.createElementNS("http://www.w3.org/2000/svg","marker"),r=document.createElementNS("http://www.w3.org/2000/svg","path");return r.setAttribute("fill",e),n.setAttribute("id",t),n.setAttribute("viewBox","0 -5 10 10"),n.setAttribute("refX","5"),n.setAttribute("refY","0"),n.setAttribute("markerWidth","4"),n.setAttribute("markerHeight","4"),n.setAttribute("orient","auto"),r.setAttribute("d","M0,-5L10,0L0,5"),n.appendChild(r),s.appendChild(n),s}var Oe=class extends U{onStart(t){if(this.el=this.createElement("line",{fill:"transparent"}),this.attr("x1",t.x),this.attr("y1",t.y),this.attr("x2",t.x),this.attr("y2",t.y),this.brush.arrowEnd){const e=Vt(),s=document.createElementNS("http://www.w3.org/2000/svg","g");return s.append(qt(e,this.brush.color)),s.append(this.el),this.attr("marker-end",`url(#${e})`),s}return this.el}onMove(t){if(!this.el)return!1;let{x:e,y:s}=t;if(this.shiftPressed){const n=t.x-this.start.x,r=t.y-this.start.y;if(r!==0){let i=n/r;i=Math.round(i),Math.abs(i)<=1?(e=this.start.x+r*i,s=this.start.y+r):(e=this.start.x+n,s=this.start.y)}}return this.altPressed?(this.attr("x1",this.start.x*2-e),this.attr("y1",this.start.y*2-s),this.attr("x2",e),this.attr("y2",s)):(this.attr("x1",this.start.x),this.attr("y1",this.start.y),this.attr("x2",e),this.attr("y2",s)),!0}onEnd(){const t=this.el;return this.el=null,!(!t||t.getTotalLength()<5)}},Be=class extends U{onStart(t){return this.el=this.createElement("rect"),this.brush.cornerRadius&&(this.attr("rx",this.brush.cornerRadius),this.attr("ry",this.brush.cornerRadius)),this.attr("x",t.x),this.attr("y",t.y),this.el}onMove(t){if(!this.el||!this.start)return!1;let[e,s]=st(t.x-this.start.x),[n,r]=st(t.y-this.start.y);if(this.shiftPressed){const i=Math.min(e,n);e=i,n=i}if(this.altPressed)this.attr("x",this.start.x-e),this.attr("y",this.start.y-n),this.attr("width",e*2),this.attr("height",n*2);else{const[i,o]=[this.start.x,this.start.x+e*s].sort(et),[a,l]=[this.start.y,this.start.y+n*r].sort(et);this.attr("x",i),this.attr("y",a),this.attr("width",o-i),this.attr("height",l-a)}return!0}onEnd(){const t=this.el;return this.el=null,!(!t||!t.getTotalLength())}};function Ue(t,e){const s=t.x-e.x,n=t.y-e.y;return s*s+n*n}function Ve(t,e,s){let n=e.x,r=e.y,i=s.x-n,o=s.y-r;if(i!==0||o!==0){const a=((t.x-n)*i+(t.y-r)*o)/(i*i+o*o);a>1?(n=s.x,r=s.y):a>0&&(n+=i*a,r+=o*a)}return i=t.x-n,o=t.y-r,i*i+o*o}function Ge(t,e){let s=t[0];const n=[s];let r;for(let i=1,o=t.length;i<o;i++)r=t[i],Ue(r,s)>e&&(n.push(r),s=r);return s!==r&&r&&n.push(r),n}function mt(t,e,s,n,r){let i=n,o=0;for(let a=e+1;a<s;a++){const l=Ve(t[a],t[e],t[s]);l>i&&(o=a,i=l)}i>n&&(o-e>1&&mt(t,e,o,n,r),r.push(t[o]),s-o>1&&mt(t,o,s,n,r))}function qe(t,e){const s=t.length-1,n=[t[0]];return mt(t,0,s,e,n),n.push(t[s]),n}function Tt(t,e,s=!1){if(t.length<=2)return t;const n=e*e;return t=s?t:Ge(t,n),t=qe(t,n),t}var He=class R extends U{constructor(){super(...arguments),this.points=[],this.count=0}onStart(e){if(this.el=this.createElement("path",{fill:"transparent"}),this.points=[e],this.brush.arrowEnd){this.arrowId=Vt();const s=qt(this.arrowId,this.brush.color);this.el.appendChild(s)}return this.el}onMove(e){return this.el||this.onStart(e),this.points[this.points.length-1]!==e&&(this.points.push(e),this.count+=1),this.count>5&&(this.points=Tt(this.points,1,!0),this.count=0),this.attr("d",R.toSvgData(this.points)),!0}onEnd(){const e=this.el;if(this.el=null,!e)return!1;if(e.setAttribute("d",R.toSvgData(Tt(this.points,1,!0))),!e.getTotalLength()){const{x:s,y:n}=this.points[0],r=this.brush.size/2;e.setAttribute("d",`M ${s-r} ${n} a ${r},${r} 0 1,0 ${r*2},0 a ${r},${r} 0 1,0 ${-r*2},0`),e.setAttribute("fill",this.brush.color),e.setAttribute("stroke-width","0")}return!0}static line(e,s){const n=s.x-e.x,r=s.y-e.y;return{length:Math.sqrt(n**2+r**2),angle:Math.atan2(r,n)}}static controlPoint(e,s,n,r){const i=s||e,o=n||e,a=.2,l=R.line(i,o),p=l.angle+(r?Math.PI:0),v=l.length*a,y=e.x+Math.cos(p)*v,x=e.y+Math.sin(p)*v;return{x:y,y:x}}static bezierCommand(e,s,n){const r=R.controlPoint(n[s-1],n[s-2],e),i=R.controlPoint(e,n[s-1],n[s+1],!0);return`C ${r.x.toFixed(k)},${r.y.toFixed(k)} ${i.x.toFixed(k)},${i.y.toFixed(k)} ${e.x.toFixed(k)},${e.y.toFixed(k)}`}static toSvgData(e){return e.reduce((s,n,r,i)=>r===0?`M ${n.x.toFixed(k)},${n.y.toFixed(k)}`:`${s} ${R.bezierCommand(n,r,i)}`,"")}},Xe=class extends U{constructor(){super(...arguments),this.pathSubFactor=20,this.pathFragments=[],this._erased=[]}onSelected(t){const e=(s,n)=>{if(s&&s.length)for(let r=0;r<s.length;r++){const i=s[r];if(i.getTotalLength){const o=i.getTotalLength();for(let a=0;a<this.pathSubFactor;a++){const l=i.getPointAtLength(o*a/this.pathSubFactor),p=i.getPointAtLength(o*(a+1)/this.pathSubFactor);this.pathFragments.push({x1:l.x,x2:p.x,y1:l.y,y2:p.y,segment:a,element:n||i})}}else i.children&&e(i.children,i)}};t&&e(t.children)}onUnselected(){this.pathFragments=[]}onStart(t){this.svgPointPrevious=this.svgElement.createSVGPoint(),this.svgPointPrevious.x=t.x,this.svgPointPrevious.y=t.y}onMove(t){this.svgPointCurrent=this.svgElement.createSVGPoint(),this.svgPointCurrent.x=t.x,this.svgPointCurrent.y=t.y;const e=this.checkAndEraseElement();return this.svgPointPrevious=this.svgPointCurrent,e}onEnd(){this.svgPointPrevious=void 0,this.svgPointCurrent=void 0;const t=this._erased;return this._erased=[],{undo:()=>t.forEach(e=>this.drauu._restoreNode(e)),redo:()=>t.forEach(e=>this.drauu._removeNode(e))}}checkAndEraseElement(){if(this.pathFragments.length)for(let t=0;t<this.pathFragments.length;t++){const e=this.pathFragments[t],s={x1:this.svgPointPrevious.x,x2:this.svgPointCurrent.x,y1:this.svgPointPrevious.y,y2:this.svgPointCurrent.y};this.lineLineIntersect(e,s)&&(this.drauu._removeNode(e.element),this._erased.push(e.element))}return this._erased.length&&(this.pathFragments=this.pathFragments.filter(t=>!this._erased.includes(t.element))),this._erased.length>0}lineLineIntersect(t,e){const s=t.x1,n=t.x2,r=e.x1,i=e.x2,o=t.y1,a=t.y2,l=e.y1,p=e.y2,v=(s-n)*(l-p)-(o-a)*(r-i),y=(s*a-o*n)*(r-i)-(s-n)*(r*p-l*i),x=(s*a-o*n)*(l-p)-(o-a)*(r*p-l*i),f=(d,c,E)=>d>=c&&d<=E?!0:d>=E&&d<=c;if(v===0)return!1;{const d={x:y/v,y:x/v};return f(d.x,s,n)&&f(d.y,o,a)&&f(d.x,r,i)&&f(d.y,l,p)}}};function Ye(t){return{draw:new He(t),stylus:new Re(t),line:new Oe(t),rectangle:new Be(t),ellipse:new je(t),eraseLine:new Xe(t)}}var Ze=class{constructor(t={}){this.options=t,this.el=null,this.svgPoint=null,this.eventEl=null,this.shiftPressed=!1,this.altPressed=!1,this.drawing=!1,this._emitter=Me(),this._originalPointerId=null,this._models=Ye(this),this._opStack=[],this._opIndex=0,this._disposables=[],this._elements=[],this.options.brush||(this.options.brush={color:"black",size:3,mode:"stylus"}),t.el&&this.mount(t.el,t.eventTarget,t.window)}get model(){return this._models[this.mode]}get mounted(){return!!this.el}get mode(){return this.options.brush.mode||"stylus"}set mode(t){this._models[this.mode].onUnselected(),this.options.brush.mode=t,this.model.onSelected(this.el)}get brush(){return this.options.brush}set brush(t){this.options.brush=t}resolveSelector(t){return typeof t=="string"?document.querySelector(t):t||null}mount(t,e,s=window){if(this.el)throw new Error("[drauu] already mounted, unmount previous target first");if(this.el=this.resolveSelector(t),!this.el)throw new Error("[drauu] target element not found");if(this.el.tagName.toLocaleLowerCase()!=="svg")throw new Error("[drauu] can only mount to a SVG element");if(!this.el.createSVGPoint)throw new Error("[drauu] SVG element must be create by document.createElementNS('http://www.w3.org/2000/svg', 'svg')");this.svgPoint=this.el.createSVGPoint();const n=this.resolveSelector(e)||this.el,r=this.eventStart.bind(this),i=this.eventMove.bind(this),o=this.eventEnd.bind(this),a=this.eventKeyboard.bind(this);n.addEventListener("pointerdown",r,{passive:!1}),s.addEventListener("pointermove",i,{passive:!1}),s.addEventListener("pointerup",o,{passive:!1}),s.addEventListener("pointercancel",o,{passive:!1}),s.addEventListener("keydown",a,!1),s.addEventListener("keyup",a,!1),this._disposables.push(()=>{n.removeEventListener("pointerdown",r),s.removeEventListener("pointermove",i),s.removeEventListener("pointerup",o),s.removeEventListener("pointercancel",o),s.removeEventListener("keydown",a,!1),s.removeEventListener("keyup",a,!1)}),this._emitter.emit("mounted")}unmount(){this._disposables.forEach(t=>t()),this._disposables.length=0,this._elements.length=0,this.el=null,this._emitter.emit("unmounted")}on(t,e){return this._emitter.on(t,e)}undo(){return!this.canUndo()||this.drawing?!1:(this._opStack[--this._opIndex].undo(),this._emitter.emit("changed"),!0)}redo(){return!this.canRedo()||this.drawing?!1:(this._opStack[this._opIndex++].redo(),this._emitter.emit("changed"),!0)}canRedo(){return this._opIndex<this._opStack.length}canUndo(){return this._opIndex>0}eventMove(t){!this.acceptsInput(t)||!this.drawing||this.model._eventMove(t)&&(t.stopPropagation(),t.preventDefault(),this._emitter.emit("changed"))}eventStart(t){this.acceptsInput(t)&&(t.stopPropagation(),t.preventDefault(),this._currentNode&&this.cancel(),this.drawing=!0,this._originalPointerId=t.pointerId,this._emitter.emit("start"),this._currentNode=this.model._eventDown(t),this._currentNode&&this.mode!=="eraseLine"&&this.el.appendChild(this._currentNode),this._emitter.emit("changed"))}eventEnd(t){if(!this.acceptsInput(t)||!this.drawing)return;const e=this.model._eventUp(t);if(!e)this.cancel();else if(e===!0){const s=this._currentNode;this._appendNode(s),this.commit({undo:()=>this._removeNode(s),redo:()=>this._restoreNode(s)})}else this.commit(e);this.drawing=!1,this._emitter.emit("end"),this._emitter.emit("changed"),this._originalPointerId=null}acceptsInput(t){return(!this.options.acceptsInputTypes||this.options.acceptsInputTypes.includes(t.pointerType))&&!(this._originalPointerId&&this._originalPointerId!==t.pointerId)}eventKeyboard(t){this.shiftPressed===t.shiftKey&&this.altPressed===t.altKey||(this.shiftPressed=t.shiftKey,this.altPressed=t.altKey,this.model.point&&this.model.onMove(this.model.point)&&this._emitter.emit("changed"))}commit(t){this._opStack.length=this._opIndex,this._opStack.push(t),this._opIndex++;const e=this._currentNode;this._currentNode=void 0,this._emitter.emit("committed",e)}clear(){this._opStack.length=0,this._opIndex=0,this._elements=[],this.cancel(),this.el.innerHTML="",this._emitter.emit("changed")}cancel(){this._currentNode&&(this.el.removeChild(this._currentNode),this._currentNode=void 0,this._emitter.emit("canceled"))}dump(){return this.el.innerHTML}load(t){this.clear(),this.el.innerHTML=t}_appendNode(t){const e=this._elements.at(-1);e?e.after(t):this.el.append(t);const s=this._elements.push(t)-1;t.dataset.drauu_index=s.toString()}_removeNode(t){t.remove(),this._elements[+t.dataset.drauu_index]=null}_restoreNode(t){const e=+t.dataset.drauu_index;this._elements[e]=t;for(let s=e-1;s>=0;s--){const n=this._elements[s];if(n){n.after(t);return}}this.el.prepend(t)}};function Qe(t){return new Ze(t)}const Je=Zt(()=>{const{currentSlideNo:t,isPresenter:e}=Ot(),s=["#ff595e","#ffca3a","#8ac926","#1982c4","#6a4c93","#ffffff","#000000"],n=ut("slidev-drawing-enabled",!1),r=ut("slidev-drawing-pinned",!1),i=Qt(ut("slidev-drawing-brush",{color:s[0],size:4,mode:"stylus"})),o=L(!1),a=L(!1),l=L(!1),p=L(!1),v=L("stylus"),y=b(()=>ft.drawings.syncAll||e.value);let x=!1;const f=b({get(){return v.value},set(h){v.value=h,h==="arrow"?(c.mode="line",i.arrowEnd=!0):(c.mode=h,i.arrowEnd=!1)}}),d=zt({brush:i,acceptsInputTypes:b(()=>n.value&&(!ft.drawings.presenterOnly||e.value)?void 0:["pen"]),coordinateTransform:!1}),c=Jt(Qe(d));function E(){c.clear(),y.value&&Pt(t.value,"")}function j(){var h;l.value=c.canRedo(),a.value=c.canUndo(),p.value=!!((h=c.el)!=null&&h.children.length)}function C(h){x=!0;const _=ct[h||t.value];_!=null?c.load(_):c.clear(),j(),x=!1}return c.on("changed",()=>{if(j(),!x){const h=c.dump(),_=t.value;(ct[_]||"")!==h&&y.value&&Pt(_,c.dump())}}),he(h=>{x=!0,h[t.value]!=null&&c.load(h[t.value]||""),x=!1,j()}),Wt(()=>{te(t,()=>{c.mounted&&C()},{immediate:!0})}),c.on("start",()=>o.value=!0),c.on("end",()=>o.value=!1),window.addEventListener("keydown",h=>{if(!n.value||ue.value)return;const _=!h.ctrlKey&&!h.altKey&&!h.shiftKey&&!h.metaKey;let w=!0;h.code==="KeyZ"&&(h.ctrlKey||h.metaKey)?h.shiftKey?c.redo():c.undo():h.code==="Escape"?n.value=!1:h.code==="KeyL"&&_?f.value="line":h.code==="KeyA"&&_?f.value="arrow":h.code==="KeyS"&&_?f.value="stylus":h.code==="KeyR"&&_?f.value="rectangle":h.code==="KeyE"&&_?f.value="ellipse":h.code==="KeyC"&&_?E():h.code.startsWith("Digit")&&_&&+h.code[5]<=s.length?i.color=s[+h.code[5]-1]:w=!1,w&&(h.preventDefault(),h.stopPropagation())},!1),{brush:i,brushColors:s,canClear:p,canRedo:l,canUndo:a,clear:E,drauu:c,drauuOptions:d,drawingEnabled:n,drawingMode:f,drawingPinned:r,drawingState:ct,isDrawing:o,loadCanvas:C}}),We=["innerHTML"],ms=vt({__name:"DrawingPreview",props:{page:{}},setup(t){const{drawingState:e}=Je();return(s,n)=>F(e)[s.page]?(Y(),tt("svg",{key:0,class:"w-full h-full absolute top-0 pointer-events-none",innerHTML:F(e)[s.page]},null,8,We)):Rt("v-if",!0)}}),T=zt({});let ts=[],es=[];B(T,"$syncUp",!0);B(T,"$syncDown",!0);B(T,"$paused",!1);B(T,"$onSet",t=>ts.push(t));B(T,"$onPatch",t=>es.push(t));ce();B(T,"$patch",async()=>!1);const ss=de(T,T,!0);class ns{constructor(){this._capturePromises=new Map}getSnapshot(e){const s=ss.state[e];if(!s)return;const n=jt(e);if(n&&(s==null?void 0:s.revision)===(n==null?void 0:n.meta.slide.revision))return s.image}async captureSnapshot(e,s,n=1e3){}async _captureSnapshot(e,s,n){}}const Kt=new ns,rs=["id"],is=["id"],as=["src"],os=vt({__name:"SlideContainer",props:{width:{type:Number},meta:{default:()=>({})},isMain:{type:Boolean,default:!1},no:{type:Number,required:!1},useSnapshot:{type:Boolean,default:!1}},setup(t){const e=t,{isPrintMode:s}=Ot(),n=L(null),r=ee(n),i=L(null),o=b(()=>e.width??r.width.value),a=b(()=>e.width?e.width/Mt.value:r.height.value),l=b(()=>Et.value&&!s.value?+Et.value:Math.min(o.value/$t.value,a.value/Lt.value)),p=b(()=>({height:`${Lt.value}px`,width:`${$t.value}px`,transform:`translate(-50%, -50%) scale(${l.value})`,"--slidev-slide-scale":l.value})),v=b(()=>e.width?{width:`${e.width}px`,height:`${e.width/Mt.value}px`}:{});e.isMain&&se(b(()=>`:root { --slidev-slide-scale: ${l.value}; }`)),A(fe,l),A(pe,i);const y=b(()=>{if(!(!e.useSnapshot||e.no==null))return Kt.getSnapshot(e.no)});return ne(()=>{n.value&&e.useSnapshot&&e.no!=null&&Kt.captureSnapshot(e.no,n.value)}),(x,f)=>y.value?(Y(),tt(re,{key:1},[Rt(" Image preview "),wt("img",{src:y.value,class:"w-full object-cover",style:W(v.value)},null,12,as)],2112)):(Y(),tt("div",{key:0,id:t.isMain?"slide-container":void 0,ref_key:"container",ref:n,class:"slidev-slide-container",style:W(v.value)},[wt("div",{id:t.isMain?"slide-content":void 0,ref_key:"slideElement",ref:i,class:"slidev-slide-content",style:W(p.value)},[St(x.$slots,"default",{},void 0,!0)],12,is),St(x.$slots,"controls",{},void 0,!0)],12,rs))}}),vs=Bt(os,[["__scopeId","data-v-0e1f422a"]]),ys={render:()=>[]},xs={render:()=>[]},ls={render:()=>[]},hs={render:()=>[]},us=["data-slidev-no"],cs=vt({__name:"SlideWrapper",props:{clicksContext:{type:Object,required:!0},renderContext:{type:String,default:"slide"},route:{type:Object,required:!0}},setup(t){const e=t,s=b(()=>{var i,o;return((o=(i=e.route.meta)==null?void 0:i.slide)==null?void 0:o.frontmatter.zoom)??1});A(ge,e.route),A(me,e.route.meta.slide.frontmatter),A(ve,L(e.route.no)),A(ye,L(e.renderContext)),A(xe,ie(e,"clicksContext")),A(_e,s);const n=b(()=>s.value===1?void 0:{width:`${100/s.value}%`,height:`${100/s.value}%`,transformOrigin:"top left",transform:`scale(${s.value})`}),r=b(()=>({...n.value,"user-select":ft.selectable?void 0:"none"}));return(i,o)=>(Y(),tt("div",{"data-slidev-no":e.route.no,class:le(F(we)(t.route,["slide","presenter"].includes(e.renderContext)?"":"disable-view-transition")),style:W(r.value)},[bt(F(hs)),(Y(),ae(oe(e.route.component))),bt(F(ls))],14,us))}}),_s=Bt(cs,[["__scopeId","data-v-d740c2fd"]]);export{xs as G,_s as S,ms as _,vs as a,Se as b,Je as c,ys as d,gs as u};
diff --git a/docs/assets/slidev/VClicks-BJgSDv3Q.js b/docs/assets/slidev/VClicks-BJgSDv3Q.js
new file mode 100644
index 0000000..08ac7e4
--- /dev/null
+++ b/docs/assets/slidev/VClicks-BJgSDv3Q.js
@@ -0,0 +1 @@
+import{k as x}from"../monaco/bundled-types-B0l6HWZX.js";import{d as k,O as C,Q as z,o as B,c as I,F as V,aB as $,v as a,aE as f,aF as w,l as M,ae as D}from"../modules/vue-DPv8DyWv.js";import{a3 as E,a4 as F}from"../index-Da-oe7Cw.js";import{u as G}from"./context-DlCOjm-I.js";const L=k({__name:"VClickGap",props:{size:{type:[String,Number],default:1}},setup(d){const s=d,{$clicksContext:i}=G(),p=E();let o=+s.size;return Number.isNaN(o)&&(console.warn(`[slidev] Invalid size for VClickGap: ${s.size}`),o=1),C(()=>{const l=i.currentOffset+o-1;i.register(p,{max:l,delta:o})}),z(()=>{i.unregister(p)}),(l,r)=>(B(),I(V))}}),b=["ul","ol"],U=k({props:{depth:{type:[Number,String],default:1},every:{type:[Number,String],default:1},at:{type:[Number,String],default:"+1"},hide:{type:Boolean,default:!1},fade:{type:Boolean,default:!1},handleSpecialElements:{type:Boolean,default:!0}},render(){var A,g;const d=+this.every,s=F(this.at),i=typeof s=="string";if(!s){console.warn("[slidev] Invalid at prop for v-clicks component:",s);return}const p=$("click"),o=(n,e)=>D(n,[[p,e,"",{hide:this.hide,fade:this.fade}]]),l=n=>n.flatMap(e=>f(e)&&typeof e.type=="symbol"&&Array.isArray(e.children)?l(e.children):[e]);let r=(g=(A=this.$slots).default)==null?void 0:g.call(A);if(!r)return;r=l(x(r));const S=(n,e=1)=>l(n).map(t=>{if(!f(t))return t;if(b.includes(t.type)&&Array.isArray(t.children)){const c=u(t.children,e+1);return a(t,{},c)}return a(t)});let N=1,h=0;const u=(n,e=1)=>l(n).map(t=>{if(!f(t)||t.type===w)return t;const c=+s+Math.ceil(N++/d)-1;let y;e<+this.depth&&Array.isArray(t.children)?y=a(t,{},S(t.children,e)):y=a(t);const v=c-h;return h=c,o(y,i?v>=0?`+${v}`:`${v}`:c)}),m=()=>M(L,{size:+s+Math.ceil((N-1)/d)-1-h});if(this.handleSpecialElements){if(r.length===1&&b.includes(r[0].type)&&Array.isArray(r[0].children))return a(r[0],{},[...u(r[0].children),m()]);if(r.length===1&&r[0].type==="table"){const n=r[0];if(Array.isArray(n.children))return a(n,{},n.children.map(e=>f(e)?e.type==="tbody"&&Array.isArray(e.children)?a(e,{},[...u(e.children),m()]):a(e):e))}}return[...u(r),m()]}});export{U as _};
diff --git a/docs/assets/slidev/context-DlCOjm-I.js b/docs/assets/slidev/context-DlCOjm-I.js
new file mode 100644
index 0000000..acf5665
--- /dev/null
+++ b/docs/assets/slidev/context-DlCOjm-I.js
@@ -0,0 +1 @@
+import{a6 as t,ac as s,t as m,z as $,aD as d}from"../modules/vue-DPv8DyWv.js";import{F as f,Z as C,a0 as x,Y as S,W as p,X as v,V as R,U as T,a1 as E,a2 as F}from"../index-Da-oe7Cw.js";function A(){const n=t(x),o=s(n,"nav"),e=t(S).value,a=s(e,"current"),c=t(p),i=t(v),r=t(R,{}),l=t(T,void 0),u=t(f,m(1)),j=t(C,$(()=>1));return{$slidev:n,$nav:o,$clicksContext:e,$clicks:a,$page:c,$route:l,$renderContext:i,$frontmatter:r,$scale:u,$zoom:j}}function L(n,o){return{...d(n,o===0?E:F),frontmatter:n}}export{L as f,A as u};
diff --git a/docs/assets/slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js b/docs/assets/slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js
new file mode 100644
index 0000000..d5f03c9
--- /dev/null
+++ b/docs/assets/slidev/cover.vue_vue_type_script_setup_true_lang-BcnL1efM.js
@@ -0,0 +1 @@
+import{d as n,z as l,o as c,b as i,e as d,f as u,h as f}from"../modules/vue-DPv8DyWv.js";import{u as m}from"./context-DlCOjm-I.js";function a(e){return e.startsWith("/")?"/tuela24"+e.slice(1):e}function p(e,s=!1){const o=e&&["#","rgb","hsl"].some(r=>e.indexOf(r)===0),t={background:o?e:void 0,color:e&&!o?"white":void 0,backgroundImage:o?void 0:e?s?`linear-gradient(#0005, #0008), url(${a(e)})`:`url("${a(e)}")`:void 0,backgroundRepeat:"no-repeat",backgroundPosition:"center",backgroundSize:"cover"};return t.background||delete t.background,t}const v={class:"my-auto w-full"},h=n({__name:"cover",props:{background:{default:""}},setup(e){m();const s=e,o=l(()=>p(s.background,!0));return(t,r)=>(c(),i("div",{class:"slidev-layout cover",style:f(o.value)},[d("div",v,[u(t.$slots,"default")])],4))}});export{h as _};
diff --git a/docs/assets/slidev/default-DYfprxyr.js b/docs/assets/slidev/default-DYfprxyr.js
new file mode 100644
index 0000000..cf6fecb
--- /dev/null
+++ b/docs/assets/slidev/default-DYfprxyr.js
@@ -0,0 +1 @@
+import{o,b as t,f as s}from"../modules/vue-DPv8DyWv.js";import{_ as r}from"../index-Da-oe7Cw.js";const c={},a={class:"slidev-layout default"};function n(e,l){return o(),t("div",a,[s(e.$slots,"default")])}const f=r(c,[["render",n]]);export{f as I};
diff --git a/docs/assets/slidev/entry-BuL9-yYF.js b/docs/assets/slidev/entry-BuL9-yYF.js
new file mode 100644
index 0000000..c0548da
--- /dev/null
+++ b/docs/assets/slidev/entry-BuL9-yYF.js
@@ -0,0 +1 @@
+import{_ as p,a as i,b as c,c as m}from"../modules/unplugin-icons-DdQIwbVj.js";import{b as u,l as o,k as n,r as f,o as d,aa as s}from"../modules/vue-DPv8DyWv.js";import{_ as b}from"../index-Da-oe7Cw.js";import"../monaco/bundled-types-B0l6HWZX.js";import"../modules/file-saver-igGfcqei.js";import"../modules/shiki-BNMsYMPq.js";const g={},k={class:"h-full w-full flex items-center justify-center gap-5 lt-md:flex-col"};function x(v,e){const r=p,t=f("RouterLink"),_=i,a=c,l=m;return d(),u("div",k,[o(t,{to:"/",class:"page-link"},{default:n(()=>[o(r),e[0]||(e[0]=s(" Slides "))]),_:1}),o(t,{to:"/presenter",class:"page-link"},{default:n(()=>[o(_),e[1]||(e[1]=s(" Presenter "))]),_:1}),o(t,{to:"/notes",class:"page-link"},{default:n(()=>[o(a),e[2]||(e[2]=s(" Notes "))]),_:1}),o(t,{to:"/overview",class:"page-link"},{default:n(()=>[o(l),e[3]||(e[3]=s(" Overview "))]),_:1})])}const R=b(g,[["render",x],["__scopeId","data-v-b110b0be"]]);export{R as default};
diff --git a/docs/assets/slidev/notes-DJw_rOv3.js b/docs/assets/slidev/notes-DJw_rOv3.js
new file mode 100644
index 0000000..c59bd08
--- /dev/null
+++ b/docs/assets/slidev/notes-DJw_rOv3.js
@@ -0,0 +1 @@
+import{g as T,h as E,i as U,j as D}from"../modules/unplugin-icons-DdQIwbVj.js";import{d as H,t as b,B as I,z as u,E as L,o as p,b as V,e as o,h as y,I as l,l as s,k as _,c as C,x as z,F as j}from"../modules/vue-DPv8DyWv.js";import{u as M,a as P,s as t,b as R,f as q}from"../index-Da-oe7Cw.js";import{b as A}from"../monaco/bundled-types-B0l6HWZX.js";import{_ as G,C as J}from"./NoteDisplay.vue_vue_type_style_index_0_lang-B38dLyf3.js";import{_ as d}from"./IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js";import"../modules/shiki-BNMsYMPq.js";import"../modules/file-saver-igGfcqei.js";const K={class:"h-full pt-2 flex flex-col"},O={class:"flex-none border-t border-main",px3:"",py2:""},Q={class:"flex-none border-t border-main"},W={class:"flex gap-1 items-center px-6 py-3"},X={class:"p2 text-center"},ce=H({__name:"notes",setup(Y){M({title:`Notes - ${A}`});const{slides:w,total:m}=P(),{isFullscreen:f,toggle:S}=q,v=b(),n=I("slidev-notes-font-size",18),a=u(()=>{var e;return((e=t.lastUpdate)==null?void 0:e.type)==="viewer"?t.viewerPage:t.page}),x=u(()=>w.value.find(e=>e.no===a.value));L(a,()=>{var e;(e=v.value)==null||e.scrollTo({left:0,top:0,behavior:"smooth"}),window.scrollTo({left:0,top:0,behavior:"smooth"})});function $(){n.value=n.value+1}function B(){n.value=n.value-1}const k=u(()=>{var i,r;const e=((i=t.lastUpdate)==null?void 0:i.type)==="viewer"?t.viewerClicks:t.clicks,c=((r=t.lastUpdate)==null?void 0:r.type)==="viewer"?t.viewerClicksTotal:t.clicksTotal;return R(b(e),void 0,c)});return(e,c)=>{var h,g;const i=U,r=D,F=T,N=E;return p(),V(j,null,[o("div",{class:"fixed top-0 left-0 h-3px bg-primary transition-all duration-500",style:y({width:`${(a.value-1)/(l(m)-1)*100+1}%`})},null,4),o("div",K,[o("div",{ref_key:"scroller",ref:v,class:"px-5 flex-auto h-full overflow-auto",style:y({fontSize:`${l(n)}px`})},[s(G,{note:(h=x.value)==null?void 0:h.meta.slide.note,"note-html":(g=x.value)==null?void 0:g.meta.slide.noteHTML,placeholder:`No notes for Slide ${a.value}.`,"clicks-context":k.value,"auto-scroll":!0},null,8,["note","note-html","placeholder","clicks-context"])],4),o("div",O,[s(J,{"clicks-context":k.value,readonly:""},null,8,["clicks-context"])]),o("div",Q,[o("div",W,[s(d,{title:l(f)?"Close fullscreen":"Enter fullscreen",onClick:l(S)},{default:_(()=>[l(f)?(p(),C(i,{key:0})):(p(),C(r,{key:1}))]),_:1},8,["title","onClick"]),s(d,{title:"Increase font size",onClick:$},{default:_(()=>[s(F)]),_:1}),s(d,{title:"Decrease font size",onClick:B},{default:_(()=>[s(N)]),_:1}),c[0]||(c[0]=o("div",{class:"flex-auto"},null,-1)),o("div",X,z(a.value)+" / "+z(l(m)),1)])])])],64)}}});export{ce as default};
diff --git a/docs/assets/slidev/overview-1yejmVit.js b/docs/assets/slidev/overview-1yejmVit.js
new file mode 100644
index 0000000..2661b02
--- /dev/null
+++ b/docs/assets/slidev/overview-1yejmVit.js
@@ -0,0 +1 @@
+import{d as te,e as ne,_ as oe,f as le}from"../modules/unplugin-icons-DdQIwbVj.js";import{d as Q,ab as ie,ac as ae,t as T,ad as se,E as j,G as ce,a4 as re,n as Y,I as c,o as i,c as k,i as S,h as z,ae as ue,af as de,b as x,j as me,R as pe,z as R,S as fe,O as ve,e as s,F as q,ag as G,k as N,x as w,g as A,l as C}from"../modules/vue-DPv8DyWv.js";import{u as he,a as ge,c as ke,C as X,g as J}from"../index-Da-oe7Cw.js";import{b as _e,i as be,f as V,g as xe,p as ye}from"../monaco/bundled-types-B0l6HWZX.js";import{_ as we,C as Ce}from"./NoteDisplay.vue_vue_type_style_index_0_lang-B38dLyf3.js";import{u as De,S as $e,_ as Fe,a as Se}from"./SlideWrapper-Ccnvj0Sw.js";import{_ as W}from"./IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js";import"../modules/shiki-BNMsYMPq.js";import"../modules/file-saver-igGfcqei.js";const Ee=["placeholder"],Me=Q({__name:"NoteEditable",props:{no:{type:Number,required:!0},class:{default:""},editing:{default:!1},style:{default:()=>({})},placeholder:{default:"No notes for this slide"},clicksContext:{type:Object},highlight:{default:!0},autoHeight:{default:!1}},emits:["update:editing","markerDblclick","markerClick"],setup(D,{emit:O}){const l=D,y=O,r=ie(l,"editing",y,{passive:!0}),{info:h,update:$}=De(ae(l,"no")),p=T("");let E;const{ignoreUpdates:_}=se(p,a=>{if(!r.value)return;const m=l.no;clearTimeout(E),E=setTimeout(()=>{$({note:a},m)},500)});j(()=>{var a;return(a=h.value)==null?void 0:a.note},(a="")=>{r.value||(clearTimeout(E),_(()=>{p.value=a}))},{immediate:!0,flush:"sync"});const d=T(),b=T();ce(()=>{var a;r.value&&((a=d.value)==null||a.focus())}),re(d,()=>{r.value=!1});function B(){!l.autoHeight||!d.value||!r.value||d.value.scrollHeight>d.value.clientHeight&&(d.value.style.height=`${d.value.scrollHeight}px`)}function K(a){r.value&&a.metaKey&&a.key==="s"&&(a.preventDefault(),$({note:p.value},l.no))}return j([p,r],()=>{Y(()=>{B()})},{flush:"post",immediate:!0}),(a,m)=>{var M;return c(r)?ue((i(),x("textarea",{key:1,ref_key:"inputEl",ref:d,"onUpdate:modelValue":m[2]||(m[2]=g=>p.value=g),class:S(["prose resize-none overflow-auto outline-none bg-transparent block border-primary border-2",l.class]),style:z([{"line-height":"1.75"},[l.style,b.value!=null?{height:`${b.value}px`}:{}]]),placeholder:D.placeholder,onKeydown:[m[3]||(m[3]=me(g=>r.value=!1,["esc"])),K]},null,46,Ee)),[[de,p.value]]):(i(),k(we,{key:0,class:S(["border-transparent border-2",[l.class,p.value?"":"opacity-25 italic select-none"]]),style:z(l.style),note:p.value||D.placeholder,"note-html":(M=c(h))==null?void 0:M.noteHTML,"clicks-context":D.clicksContext,"auto-scroll":!D.autoHeight,highlight:l.highlight,onMarkerClick:m[0]||(m[0]=(g,H)=>y("markerClick",g,H)),onMarkerDblclick:m[1]||(m[1]=(g,H)=>y("markerDblclick",g,H))},null,8,["class","style","note","note-html","clicks-context","auto-scroll","highlight"]))}}}),He={class:"h-screen w-screen of-hidden flex"},Ne={class:"grid grid-rows-[auto_max-content] border-r border-main select-none max-h-full h-full"},Te={class:"relative"},Be={class:"absolute left-0 top-0 bottom-0 w-200 flex flex-col flex-auto items-end group p2 gap-1 max-h-full of-x-visible of-y-auto",style:{direction:"rtl"}},Ie=["onClick"],Ae={p2:"",border:"t main"},Ve={class:"select-none w-13 text-right my4 flex flex-col gap-1 items-end"},We={class:"text-3xl op20 mb2"},ze=["onDblclick"],Ke={class:"py3 mt-0.5 mr--8 ml--4 op0 transition group-hover:op100"},Re={key:0,class:"select-none absolute bottom-0 right-0 bg-main rounded-tl p2 op35 text-xs"},Le={class:"absolute top-0 right-0 px3 py1.5 border-b border-l rounded-lb bg-main border-main select-none"},Oe={class:"text-xs op50"},L=450,et=Q({__name:"overview",setup(D){he({title:`Overview - ${_e}`});const{openInEditor:O,slides:l}=ge(),y=pe(new Map),r=T([]),h=T(null),$=R(()=>l.value.map(t=>{var e,o;return a(((o=(e=t.meta)==null?void 0:e.slide)==null?void 0:o.note)||"")})),p=R(()=>$.value.reduce((t,e)=>t+e,0)),E=R(()=>l.value.map(t=>B(t)).reduce((t,e)=>t+e,0)),_=fe(),d=new WeakMap;function b(t){return d.has(t)||d.set(t,ke(t,X)),d.get(t)}function B(t){var e,o;return((e=t.meta)==null?void 0:e.clicks)||((o=b(t))==null?void 0:o.total)}function K(t){_.value===t?_.value=void 0:_.value=t}function a(t){const e=/[\w`'\-\u0392-\u03C9\u00C0-\u00FF\u0600-\u06FF\u0400-\u04FF]+|[\u4E00-\u9FFF\u3400-\u4DBF\uF900-\uFAFF\u3040-\u309F\uAC00-\uD7AF]+/g,o=t.match(e);let f=0;if(!o)return 0;for(let F=0;F<o.length;F++)o[F].charCodeAt(0)>=19968?f+=o[F].length:f+=1;return f}function m(t){const e=t.getBoundingClientRect(),o=20;return e.top>=0-o&&e.left>=0-o&&e.bottom<=(window.innerHeight||document.documentElement.clientHeight)+o&&e.right<=(window.innerWidth||document.documentElement.clientWidth)+o}function M(){const t=[];Array.from(y.entries()).forEach(([e,o])=>{m(o)&&t.push(e)}),r.value=t}function g(t){const e=document.createElement("a");e.target="_blank",e.href=ye+t.slice(1),e.click()}function H(t){const e=y.get(t);e&&e.scrollIntoView({behavior:"smooth",block:"start"})}function Z(t,e,o){const f=b(o);f.current===e?f.current=X:f.current=e,t.preventDefault()}return ve(()=>{Y(()=>{M()})}),(t,e)=>{const o=te,f=ne,F=oe,ee=le;return i(),x("div",He,[s("nav",Ne,[s("div",Te,[s("div",Be,[(i(!0),x(q,null,G(c(l),(n,v)=>{var u,I,P,U;return i(),x("div",{key:n.no,class:"relative",style:{direction:"ltr"}},[s("button",{class:S(["relative transition duration-300 w-8 h-8 rounded hover:bg-active hover:op100",r.value.includes(v)?"op100 text-primary bg-gray:5":"op20"]),onClick:Pe=>H(v)},[s("div",null,w(v+1),1)],10,Ie),(I=(u=n.meta)==null?void 0:u.slide)!=null&&I.title?(i(),x("div",{key:0,class:S(["pointer-events-none select-none absolute left-110% backdrop-blur-8 top-50% translate-y--50% ws-nowrap z-10 px2 shadow-xl rounded border border-main transition duration-400 op0 group-hover:op100",r.value.includes(v)?"text-primary":"text-main important-text-op-50"])},w((U=(P=n.meta)==null?void 0:P.slide)==null?void 0:U.title),3)):A("v-if",!0)])}),128))])]),s("div",Ae,[c(be)?(i(),k(W,{key:1,title:c(V)?"Dark mode":"Light mode","pointer-events-none":"",op50:""},{default:N(()=>[c(V)?(i(),k(o,{key:0})):(i(),k(f,{key:1}))]),_:1},8,["title"])):(i(),k(W,{key:0,title:c(V)?"Switch to light mode theme":"Switch to dark mode theme",onClick:e[0]||(e[0]=n=>c(xe)())},{default:N(()=>[c(V)?(i(),k(o,{key:0})):(i(),k(f,{key:1}))]),_:1},8,["title"]))])]),s("main",{class:"flex-1 h-full of-auto",style:z(`grid-template-columns: repeat(auto-fit,minmax(${L}px,1fr))`),onScroll:M},[(i(!0),x(q,null,G(c(l),(n,v)=>(i(),x("div",{key:n.no,ref_for:!0,ref:u=>y.set(v,u),class:S(["relative border-t border-main of-hidden flex gap-4 min-h-50 group",v===0?"pt5":""])},[s("div",Ve,[s("div",We,w(v+1),1),C(W,{class:"mr--3 op0 group-hover:op80",title:"Play in new tab",onClick:u=>g(c(J)(n,!1))},{default:N(()=>[C(F)]),_:2},1032,["onClick"]),A("v-if",!0)]),s("div",{class:"flex flex-col gap-2 my5",style:z({width:`${L}px`})},[s("div",{class:"border rounded border-main overflow-hidden bg-main select-none h-max",onDblclick:u=>g(c(J)(n,!1))},[(i(),k(Se,{key:n.no,width:L,class:"pointer-events-none important:[&_*]:select-none"},{default:N(()=>[C($e,{"clicks-context":b(n),route:n,"render-context":"overview"},null,8,["clicks-context","route"]),C(Fe,{page:n.no},null,8,["page"])]),_:2},1024))],40,ze),B(n)?(i(),k(Ce,{key:0,active:_.value===n,"clicks-context":b(n),class:"w-full mt-2",onDblclick:u=>K(n),onClick:u=>_.value=n},null,8,["active","clicks-context","onDblclick","onClick"])):A("v-if",!0)],4),s("div",Ke,[C(W,{title:"Edit Note",class:S(["rounded-full w-9 h-9 text-sm",h.value===n.no?"important:op0":""]),onClick:u=>h.value=n.no},{default:N(()=>[C(ee)]),_:2},1032,["class","onClick"])]),C(Me,{no:n.no,class:"max-w-250 w-250 text-lg rounded p3","auto-height":!0,highlight:_.value===n,editing:h.value===n.no,"clicks-context":b(n),onDblclick:u=>h.value!==n.no?h.value=n.no:null,"onUpdate:editing":e[1]||(e[1]=u=>h.value=null),onMarkerClick:(u,I)=>Z(u,I,n)},null,8,["no","highlight","editing","clicks-context","onDblclick","onMarkerClick"]),$.value[v]>0?(i(),x("div",Re,w($.value[v])+" words ",1)):A("v-if",!0)],2))),128))],36),s("div",Le,[s("div",Oe,w(c(l).length)+" slides · "+w(E.value+c(l).length-1)+" clicks · "+w(p.value)+" words ",1)])])}}});export{et as default};
diff --git a/docs/assets/slidev/play-D87vDAm9.js b/docs/assets/slidev/play-D87vDAm9.js
new file mode 100644
index 0000000..2d4bd3c
--- /dev/null
+++ b/docs/assets/slidev/play-D87vDAm9.js
@@ -0,0 +1,2 @@
+const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/slidev/DrawingControls-QAEkhfiz.js","assets/slidev/DrawingControls.vue_vue_type_style_index_0_lang-j1j1HRFG.js","assets/modules/unplugin-icons-DdQIwbVj.js","assets/modules/vue-DPv8DyWv.js","assets/modules/shiki-BNMsYMPq.js","assets/modules/shiki-Bxv373Z5.css","assets/slidev/SlideWrapper-Ccnvj0Sw.js","assets/index-Da-oe7Cw.js","assets/monaco/bundled-types-B0l6HWZX.js","assets/modules/file-saver-igGfcqei.js","assets/monaco/bundled-types-B_1qVWDs.css","assets/index-CGHbX1oj.css","assets/SlideWrapper-D-ORDv98.css","assets/slidev/IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js","assets/slidev/shortcuts-TVJpHdJV.js","assets/slidev/context-DlCOjm-I.js","assets/shortcuts-BAPqYAhK.css","assets/DrawingControls-Cxk9a9ub.css"])))=>i.map(i=>d[i]);
+import{d as v,ab as z,o,c as u,I as e,b as _,e as l,f as B,i as C,g as n,ai as I,z as E,k,aa as M,aj as $,S as h,l as m,F as P,h as R,x as D,v as W,t as H}from"../modules/vue-DPv8DyWv.js";import{c as L,a as T}from"./SlideWrapper-Ccnvj0Sw.js";import{a as N,l as x,m as w,s as V,n as j,h as S,w as A,o as O}from"../index-Da-oe7Cw.js";import{b as U,G as F,c as G,u as K,r as X,a as Y,S as q,_ as J,o as Q}from"./shortcuts-TVJpHdJV.js";import{a as b,_ as Z,h as ee,j as te}from"../monaco/bundled-types-B0l6HWZX.js";import{n as oe}from"../modules/unplugin-icons-DdQIwbVj.js";import"../modules/shiki-BNMsYMPq.js";import"../modules/file-saver-igGfcqei.js";import"./context-DlCOjm-I.js";import"./IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js";const se="/tuela24/assets/logo-BYkHSa_O.png",ae={key:0,class:"fixed top-0 bottom-0 left-0 right-0 grid z-20"},le=v({__name:"Modal",props:{modelValue:{default:!1},class:{default:""}},emits:["update:modelValue"],setup(p,{emit:i}){const r=p,a=z(r,"modelValue",i);function d(){a.value=!1}return(f,s)=>(o(),u(I,null,[e(a)?(o(),_("div",ae,[l("div",{bg:"black opacity-80",class:"absolute top-0 bottom-0 left-0 right-0 -z-1",onClick:s[0]||(s[0]=c=>d())}),l("div",{class:C(["m-auto rounded-md bg-main shadow",r.class]),"dark:border":"~ main"},[B(f.$slots,"default")],2)])):n("v-if",!0)],1024))}}),ne={class:"slidev-info-dialog slidev-layout flex flex-col gap-4 text-base"},ie=["innerHTML"],re=v({__name:"InfoDialog",props:{modelValue:{default:!1}},emits:["update:modelValue"],setup(p,{emit:i}){const a=z(p,"modelValue",i),d=E(()=>typeof b.info=="string");return(f,s)=>(o(),u(le,{modelValue:e(a),"onUpdate:modelValue":s[0]||(s[0]=c=>$(a)?a.value=c:null),class:"px-6 py-4"},{default:k(()=>[l("div",ne,[d.value?(o(),_("div",{key:0,class:"mb-4",innerHTML:e(b).info},null,8,ie)):n("v-if",!0),s[1]||(s[1]=l("a",{href:"https://github.com/slidevjs/slidev",target:"_blank",class:"!opacity-100 !border-none !text-current"},[l("div",{class:"flex gap-1 children:my-auto"},[l("div",{class:"opacity-50 text-sm mr-2"},"Powered by"),l("img",{class:"w-5 h-5",src:se,alt:"Slidev logo"}),l("div",{style:{color:"#2082A6"}},[l("b",null,"Sli"),M("dev ")])])],-1))])]),_:1},8,["modelValue"]))}}),ue=v({__name:"Controls",setup(p){const{isEmbedded:i}=N(),r=!b.drawings.presenterOnly&&!i.value,t=h();r&&Z(()=>import("./DrawingControls-QAEkhfiz.js"),__vite__mapDeps([0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17])).then(f=>t.value=f.default);const a=h(),d=h();return(f,s)=>(o(),_(P,null,[t.value?(o(),u(e(t),{key:0})):n("v-if",!0),m(U),m(F),a.value?(o(),u(e(a),{key:1})):n("v-if",!0),d.value?(o(),u(e(d),{key:2,modelValue:e(x),"onUpdate:modelValue":s[0]||(s[0]=c=>$(x)?x.value=c:null)},null,8,["modelValue"])):n("v-if",!0),e(b).info?(o(),u(re,{key:3,modelValue:e(w),"onUpdate:modelValue":s[1]||(s[1]=c=>$(w)?w.value=c:null)},null,8,["modelValue"])):n("v-if",!0),m(G)],64))}}),de={key:0,class:"absolute top-0 left-0 right-0 bottom-0 pointer-events-none text-xl"},ce=v({__name:"PresenterMouse",setup(p){return(i,r)=>{const t=oe;return e(V).cursor?(o(),_("div",de,[m(t,{class:"absolute stroke-white dark:stroke-black",style:R({left:`${e(V).cursor.x}%`,top:`${e(V).cursor.y}%`,strokeWidth:16})},null,8,["style"])])):n("v-if",!0)}}}),me=v({__name:"PrintStyle",setup(p){function i(r,{slots:t}){if(t.default)return W("style",t.default())}return(r,t)=>(o(),u(i,null,{default:k(()=>[M(" @page { size: "+D(e(ee))+"px "+D(e(te))+"px; margin: 0px; } ",1)]),_:1}))}}),we=v({__name:"play",setup(p){const{next:i,prev:r,isPrintMode:t}=N(),{isDrawing:a}=L(),d=H();function f(y){var g;S.value||y.button===0&&((g=y.target)==null?void 0:g.id)==="slide-container"&&(y.pageX/window.innerWidth>.5?i():r())}K(d),X(),Y();const s=E(()=>j.value||S.value),c=h();return(y,g)=>(o(),_(P,null,[e(t)?(o(),u(me,{key:0})):n("v-if",!0),l("div",{id:"page-root",ref_key:"root",ref:d,class:C(["grid",e(O)?"grid-rows-[1fr_max-content]":"grid-cols-[1fr_max-content]"])},[m(T,{style:{background:"var(--slidev-slide-container-background, black)"},width:e(t)?e(A).width.value:void 0,"is-main":"",onPointerdown:f,onContextmenu:e(Q)},{default:k(()=>[m(q,{"render-context":"slide"}),m(ce)]),controls:k(()=>[e(t)?n("v-if",!0):(o(),_("div",{key:0,class:C(["absolute bottom-0 left-0 transition duration-300 opacity-0 hover:opacity-100 focus-within:opacity-100 focus-visible:opacity-100",[s.value?"!opacity-100 right-0":"opacity-0 p-2",e(a)?"pointer-events-none":""]])},[m(J,{persist:s.value},null,8,["persist"])],2))]),_:1},8,["width","onContextmenu"]),c.value&&e(S)?(o(),u(e(c),{key:0,resize:!0})):n("v-if",!0)],2),e(t)?n("v-if",!0):(o(),u(ue,{key:1})),g[0]||(g[0]=l("div",{id:"twoslash-container"},null,-1))],64))}});export{we as default};
diff --git a/docs/assets/slidev/presenter-DtNW9EJv.js b/docs/assets/slidev/presenter-DtNW9EJv.js
new file mode 100644
index 0000000..fe269e5
--- /dev/null
+++ b/docs/assets/slidev/presenter-DtNW9EJv.js
@@ -0,0 +1 @@
+import{g as W,h as j,k as G,l as H}from"../modules/unplugin-icons-DdQIwbVj.js";import{d as E,o as n,c,i as M,I as e,t as $,z as y,E as z,S as q,O,R as A,ah as J,a5 as K,b as k,e as t,l as o,k as g,h as N,g as Q,x as U,F as X}from"../modules/vue-DPv8DyWv.js";import{a as Y,u as Z,d as ee,c as te,s as se,e as oe,p as ne,h as ae,i as re,j as ie,k as le,_ as ce}from"../index-Da-oe7Cw.js";import{b as ue,c as me,a as F,S as de}from"./SlideWrapper-Ccnvj0Sw.js";import{r as pe,u as _e,a as fe,S as xe,_ as ve,G as ke,b as ge,c as be,o as ye}from"./shortcuts-TVJpHdJV.js";import{b as Ce}from"../monaco/bundled-types-B0l6HWZX.js";import{_ as he,C as Se}from"./NoteDisplay.vue_vue_type_style_index_0_lang-B38dLyf3.js";import{_ as we}from"./DrawingControls.vue_vue_type_style_index_0_lang-j1j1HRFG.js";import{_ as B}from"./IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js";import"../modules/shiki-BNMsYMPq.js";import"../modules/file-saver-igGfcqei.js";import"./context-DlCOjm-I.js";const $e=E({__name:"NoteStatic",props:{no:{},class:{},clicksContext:{}},setup(C){const l=C,{info:i}=ue(l.no);return(u,p)=>{var _,f;return n(),c(he,{class:M(l.class),note:(_=e(i))==null?void 0:_.note,"note-html":(f=e(i))==null?void 0:f.noteHTML,"clicks-context":u.clicksContext},null,8,["class","note","note-html","clicks-context"])}}}),ze={class:"bg-main h-full slidev-presenter"},Ne={class:"relative grid-section next flex flex-col p-2 lg:p-4"},Fe={key:1,class:"h-full flex justify-center items-center"},Be={key:0,class:"grid-section note of-auto"},Ee={key:1,class:"grid-section note grid grid-rows-[1fr_min-content] overflow-hidden"},Me={class:"border-t border-main py-1 px-2 text-sm"},Pe={class:"grid-section bottom flex"},Re={class:"text-2xl pl-2 pr-6 my-auto tabular-nums"},De={class:"progress-bar"},Ie=E({__name:"presenter",setup(C){const l=$();pe(),_e(l),fe();const{clicksContext:i,currentSlideNo:u,currentSlideRoute:p,hasNext:_,nextRoute:f,slides:P,getPrimaryClicks:R,total:D}=Y(),{isDrawing:I}=me();Z({title:`Presenter - ${Ce}`}),$(!1);const{timer:T,resetTimer:h}=ee(),L=y(()=>P.value.map(v=>te(v))),a=y(()=>i.value.current<i.value.total?[p.value,i.value.current+1]:_.value?[f.value,0]:null),x=y(()=>a.value&&L.value[a.value[0].no-1]);z(a,()=>{x.value&&a.value&&(x.value.current=a.value[1])},{immediate:!0});const S=q();return O(()=>{const v=l.value.querySelector("#slide-content"),s=A(J()),b=K();z(()=>{if(!b.value||I.value||!oe.value)return;const r=v.getBoundingClientRect(),m=(s.x-r.left)/r.width*100,d=(s.y-r.top)/r.height*100;if(!(m<0||m>100||d<0||d>100))return{x:m,y:d}},r=>{se.cursor=r})}),(v,s)=>{var w;const b=W,r=j,m=G,d=H;return n(),k(X,null,[t("div",ze,[t("div",{class:M(["grid-container",`layout${e(ne)}`])},[t("div",{ref_key:"main",ref:l,class:"relative grid-section main flex flex-col"},[o(F,{key:"main",class:"p-2 lg:p-4 flex-auto","is-main":"",onContextmenu:e(ye)},{default:g(()=>[o(xe,{"render-context":"presenter"})]),_:1},8,["onContextmenu"]),(n(),c(Se,{key:(w=e(p))==null?void 0:w.no,"clicks-context":e(R)(e(p)),class:"w-full pb2 px4 flex-none"},null,8,["clicks-context"])),s[3]||(s[3]=t("div",{class:"absolute left-0 top-0 bg-main border-b border-r border-main px2 py1 op50 text-sm"}," Current ",-1))],512),t("div",Ne,[a.value&&x.value?(n(),c(F,{key:"next"},{default:g(()=>[(n(),c(de,{key:a.value[0].no,"clicks-context":x.value,route:a.value[0],"render-context":"previewNext"},null,8,["clicks-context","route"]))]),_:1})):(n(),k("div",Fe,s[4]||(s[4]=[t("div",{class:"text-gray-500"}," End of the presentation ",-1)]))),s[5]||(s[5]=t("div",{class:"absolute left-0 top-0 bg-main border-b border-r border-main px2 py1 op50 text-sm"}," Next ",-1))]),S.value&&e(ae)?(n(),k("div",Be,[o(e(S))])):(n(),k("div",Ee,[(n(),c($e,{key:`static-${e(u)}`,no:e(u),class:"w-full max-w-full h-full overflow-auto p-2 lg:p-4",style:N({fontSize:`${e(re)}em`}),"clicks-context":e(i)},null,8,["no","style","clicks-context"])),t("div",Me,[o(B,{title:"Increase font size",onClick:e(ie)},{default:g(()=>[o(b)]),_:1},8,["onClick"]),o(B,{title:"Decrease font size",onClick:e(le)},{default:g(()=>[o(r)]),_:1},8,["onClick"]),Q("v-if",!0)])])),t("div",Pe,[o(ve,{persist:!0}),s[6]||(s[6]=t("div",{"flex-auto":""},null,-1)),t("div",{class:"timer-btn my-auto relative w-22px h-22px cursor-pointer text-lg",opacity:"50 hover:100",onClick:s[2]||(s[2]=(...V)=>e(h)&&e(h)(...V))},[o(m,{class:"absolute"}),o(d,{class:"absolute opacity-0"})]),t("div",Re,U(e(T)),1)]),(n(),c(we,{key:2}))],2),t("div",De,[t("div",{class:"progress h-3px bg-primary transition-all",style:N({width:`${(e(u)-1)/(e(D)-1)*100+1}%`})},null,4)])]),o(ke),o(ge),o(be)],64)}}}),Qe=ce(Ie,[["__scopeId","data-v-536f23f4"]]);export{Qe as default};
diff --git a/docs/assets/slidev/shiki-Bsl5RiOy.js b/docs/assets/slidev/shiki-Bsl5RiOy.js
new file mode 100644
index 0000000..44bc482
--- /dev/null
+++ b/docs/assets/slidev/shiki-Bsl5RiOy.js
@@ -0,0 +1,2 @@
+const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/modules/shiki-BNMsYMPq.js","assets/modules/vue-DPv8DyWv.js","assets/modules/shiki-Bxv373Z5.css"])))=>i.map(i=>d[i]);
+import{_ as e}from"../monaco/bundled-types-B0l6HWZX.js";import{c as h}from"../modules/shiki-BNMsYMPq.js";import{s as u}from"../modules/shiki-BNMsYMPq.js";import"../modules/file-saver-igGfcqei.js";import"../modules/vue-DPv8DyWv.js";const l=["markdown","vue","javascript","typescript","html","css"],p={dark:"vitesse-dark",light:"vitesse-light"},s=h({themes:[e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.v),__vite__mapDeps([0,1,2])),e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.a),__vite__mapDeps([0,1,2]))],langs:[e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.m),__vite__mapDeps([0,1,2])),e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.e),__vite__mapDeps([0,1,2])),e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.j),__vite__mapDeps([0,1,2])),e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.d),__vite__mapDeps([0,1,2])),e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.h),__vite__mapDeps([0,1,2])),e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.b),__vite__mapDeps([0,1,2]))],loadWasm:e(()=>import("../modules/shiki-BNMsYMPq.js").then(t=>t.w),__vite__mapDeps([0,1,2]))});let i;async function E(){if(i)return i;const t=await s;return i=(_,r,o)=>t.codeToHtml(_,{lang:r,theme:void 0,themes:{dark:"vitesse-dark",light:"vitesse-light"},defaultColor:!1,...o}),i}export{E as getHighlighter,l as languages,s as shiki,u as shikiToMonaco,p as themes};
diff --git a/docs/assets/slidev/shortcuts-TVJpHdJV.js b/docs/assets/slidev/shortcuts-TVJpHdJV.js
new file mode 100644
index 0000000..e336bd1
--- /dev/null
+++ b/docs/assets/slidev/shortcuts-TVJpHdJV.js
@@ -0,0 +1,4 @@
+const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/slidev/DrawingLayer-DoZ9KrJP.js","assets/modules/vue-DPv8DyWv.js","assets/slidev/SlideWrapper-Ccnvj0Sw.js","assets/index-Da-oe7Cw.js","assets/monaco/bundled-types-B0l6HWZX.js","assets/modules/file-saver-igGfcqei.js","assets/monaco/bundled-types-B_1qVWDs.css","assets/modules/shiki-BNMsYMPq.js","assets/modules/shiki-Bxv373Z5.css","assets/index-CGHbX1oj.css","assets/SlideWrapper-D-ORDv98.css","assets/slidev/context-DlCOjm-I.js"])))=>i.map(i=>d[i]);
+import{t as K,ak as _n,al as gn,am as jt,E as De,z as N,S as bt,d as he,a4 as zt,an as Wt,a5 as mn,a3 as yn,I as u,o as i,b as v,F as j,ag as Fe,e as $,aa as Se,g as S,h as Ae,ao as Gt,i as Z,c as R,ap as He,x as de,j as Ve,l as I,ab as Kt,f as Mt,ai as bn,aj as Ct,k as V,G as Je,aq as kn,U as xn,J as wn,ar as je,q as me,s as ye,m as Sn,as as Mn,ae as Cn,at as $n,au as B,av as ae,W as En,aw as In}from"../modules/vue-DPv8DyWv.js";import{a as Me,q as Ye,h as at,t as Qe,f as kt,w as Ie,_ as qe,r as we,v as Oe,x as rt,y as dt,e as ze,m as $t,p as Pn,z as Rn,A as Ht,B as Nn,D as ee,c as Yt,C as Ut,E as Et,F as An,G as Ln,H as xt,I as It,J as ht,K as Tn,L as On,M as Dn}from"../index-Da-oe7Cw.js";import{c as et,u as Fn,a as Bn,S as Xt,_ as Vn,G as jn,d as zn}from"./SlideWrapper-Ccnvj0Sw.js";import{a as be,m as Wn,i as Gn,f as Pt,g as vt,p as Kn,c as Rt,h as Nt,j as At,_ as Hn}from"../monaco/bundled-types-B0l6HWZX.js";import{o as Jt,p as Qt,I as Yn,q as Un,r as Xn,f as Zt,s as qt,_ as en,a as tn,i as nn,j as sn,t as Jn,d as Qn,e as Zn,n as qn,u as es,v as ts,w as ns,x as ss,y as os,z as as,c as rs}from"../modules/unplugin-icons-DdQIwbVj.js";import{u as ls}from"./context-DlCOjm-I.js";import{_ as X}from"./IconButton.vue_vue_type_script_setup_true_lang-D92RVpEC.js";import{t as is}from"../modules/shiki-BNMsYMPq.js";function Ka(n){const{next:e,nextSlide:s,prev:t,prevSlide:a}=Me(),{isDrawing:o}=et(),r=K(0),{direction:l,distanceX:c,distanceY:d}=_n(n,{pointerTypes:["touch"],onSwipeStart(){o.value||(r.value=gn())},onSwipeEnd(){if(!r.value||o.value)return;const h=Math.abs(c.value),f=Math.abs(d.value);h/window.innerWidth>.3||h>75?l.value==="left"?e():t():(f/window.innerHeight>.4||f>200)&&(l.value==="down"?a():s())}})}function Ha(){const{request:n,release:e}=jt();De(Ye,s=>{s?n("screen"):e()},{immediate:!0})}const cs=[];let lt;const us=()=>{if(lt)return lt;const{next:n,nextSlide:e,prev:s,prevSlide:t,hasNext:a,hasPrev:o,currentPage:r,total:l,isPresenter:c,enterPresenter:d,exitPresenter:h,isEmbedded:f,isPresenterAvailable:g}=Me(),{drawingEnabled:m}=et(),{isFullscreen:y,toggle:k}=kt;return lt=cs.reduce((_,p)=>p(_),N(()=>[{small:!0,icon:Jt,label:"Previous Click",action:s,disabled:!o.value},{small:!0,icon:Qt,label:"Next Click",action:n,disabled:!a.value},{small:!0,icon:Yn,label:"Previous Slide",action:t,disabled:r.value<=1},{small:!0,icon:Un,label:"Next Slide",action:e,disabled:r.value>=l.value},"separator",{icon:Xn,label:at.value?"Hide editor":"Show editor",action:()=>at.value=!at.value},{icon:Zt,label:m.value?"Hide drawing toolbar":"Show drawing toolbar",action:()=>m.value=!m.value},{icon:qt,label:"Show slide overview",action:Qe},c.value&&{icon:en,label:"Exit Presenter Mode",action:h},g.value&&{icon:tn,label:"Enter Presenter Mode",action:d},!f.value&&{icon:y.value?nn:sn,label:y.value?"Close fullscreen":"Enter fullscreen",action:k}].filter(Boolean)))},Ne=bt(null);function ds(n,e){Ne.value={x:n,y:e,items:us()}}function Te(){Ne.value=null}function Ya(n){if(be.contextMenu!==!0&&be.contextMenu!=null&&be.contextMenu!==Wn||n.shiftKey||n.defaultPrevented)return;const{isEmbedded:e}=Me();e.value||(ds(n.pageX,n.pageY),n.preventDefault(),n.stopPropagation())}const hs=["title","onClick"],vs=["onClick"],fs={class:"mx-auto"},ps={key:0},_s={class:"w-full text-xs p2"},gs={class:"text-main text-opacity-50!"},Ua=he({__name:"ContextMenu",setup(n){const e=K();zt(e,Te),Wt(document,"mousedown",c=>{c.buttons&2&&Te()},{passive:!0,capture:!0});const s=N(()=>be.contextMenu!=null),t=mn();De(t,c=>{c||Te()}),Fn(1);const{width:a,height:o}=yn(e),r=N(()=>{var d;const c=(d=Ne.value)==null?void 0:d.x;return c?c+a.value>Ie.width.value?Ie.width.value-a.value:c:0}),l=N(()=>{var d;const c=(d=Ne.value)==null?void 0:d.y;return c?c+o.value>Ie.height.value?Ie.height.value-o.value:c:0});return(c,d)=>u(Ne)?(i(),v("div",{key:0,ref_key:"container",ref:e,style:Ae(`left:${r.value}px;top:${l.value}px`),class:"fixed z-100 w-60 flex flex-wrap justify-items-start p-1 animate-fade-in animate-duration-100 backdrop-blur bg-main bg-opacity-75! border border-main rounded-md shadow overflow-hidden select-none",onContextmenu:d[1]||(d[1]=Gt(()=>{},["prevent"])),onClick:d[2]||(d[2]=(...h)=>u(Te)&&u(Te)(...h))},[(i(!0),v(j,null,Fe(u(Ne).items.value,(h,f)=>(i(),v(j,{key:f},[h==="separator"?(i(),v("div",{key:f,class:"w-full my1 border-t border-main"})):h.small?(i(),v("div",{key:1,class:Z(["p-2 w-[40px] h-[40px] inline-block text-center cursor-pointer rounded",h.disabled?"op40":"hover:bg-active"]),title:h.label,onClick:h.action},[(i(),R(He(h.icon)))],10,hs)):(i(),v("div",{key:2,class:Z(["w-full grid grid-cols-[35px_1fr] p-2 pl-0 cursor-pointer rounded",h.disabled?"op40":"hover:bg-active"]),onClick:h.action},[$("div",fs,[(i(),R(He(h.icon)))]),typeof h.label=="string"?(i(),v("div",ps,de(h.label),1)):(i(),R(He(h.label),{key:1}))],10,vs))],64))),128)),s.value?S("v-if",!0):(i(),v(j,{key:0},[d[6]||(d[6]=$("div",{class:"w-full my1 border-t border-main"},null,-1)),$("div",_s,[$("div",gs,[d[3]||(d[3]=Se(" Hold ")),d[4]||(d[4]=$("kbd",{class:"border px1 py0.5 border-main rounded text-primary"},"Shift",-1)),d[5]||(d[5]=Se(" and right click to open the native context menu ")),S("v-if",!0)])])],64))],36)):S("v-if",!0)}}),ms={},ys={class:"w-1px opacity-10 bg-current m-1 lg:m-2"};function bs(n,e){return i(),v("div",ys)}const We=qe(ms,[["render",bs]]),ks={key:0},xs={key:1},ws={key:2},Ss={key:3},Ms={key:4},Cs={key:5},$s={key:6},Es={key:7},Is={key:8},Ps={key:9},Rs={key:10},Ns={key:11},As={key:12},Ls={key:13},Ts={key:14},Os={key:15},Ds={key:16},Fs={key:17},Bs={key:18},Vs={key:19},js={key:20},zs={key:21},Ws={key:22},Gs={key:23},Ks={key:24},Hs={key:25},Ys={key:26},Us={key:27},Xs={key:28},Js={key:29},Qs={key:30},Zs={key:31},qs={key:32},eo={key:33},to={key:34},no={key:35},so={key:36},oo={key:37},ao={key:38},ro={key:39},lo={key:40},io={key:41},co={key:42},uo={key:43},ho={key:44},vo={key:45},fo=he({__name:"title-renderer",props:{no:{}},setup(n){const e=n,{$page:s}=ls(),t=N(()=>+(e.no??s.value));return(a,o)=>(i(),v("div",null,[t.value===1?(i(),v("p",ks,"Really Functional Data Structures")):t.value===2?(i(),v("p",xs,"Goal/Motivation")):t.value===3?(i(),v("p",ws,"Anonymous Functions (Lambdas)")):t.value===4?(i(),v("p",Ss,"JavaScript Notation")):t.value===5?(i(),v("p",Ms,"Really Functional Data Structures")):t.value===6?(i(),v("p",Cs,"Common")):t.value===7?(i(),v("p",$s,"Boolean Logic")):t.value===8?(i(),v("p",Es,"Church Booleans")):t.value===9?(i(),v("p",Is,"Church Booleans")):t.value===10?(i(),v("p",Ps,"Example: Negation")):t.value===11?(i(),v("p",Rs,"Example: Negation")):t.value===12?(i(),v("p",Ns,"Other Operators")):t.value===13?(i(),v("p",As,"Church Pairs")):t.value===14?(i(),v("p",Ls,"Construction/Selection")):t.value===15?(i(),v("p",Ts,"Lists")):t.value===16?(i(),v("p",Os,"Church Lists")):t.value===17?(i(),v("p",Ds,o[0]||(o[0]=[$("code",null,"isNil",-1),Se("?")]))):t.value===18?(i(),v("p",Fs,o[1]||(o[1]=[$("code",null,"isNil",-1),Se("?")]))):t.value===19?(i(),v("p",Bs,"Example: Iteration")):t.value===20?(i(),v("p",Vs,"Other Lists")):t.value===21?(i(),v("p",js,"Numbers")):t.value===22?(i(),v("p",zs,"Church Numerals")):t.value===23?(i(),v("p",Ws,"Church Numerals")):t.value===24?(i(),v("p",Gs,"Successor")):t.value===25?(i(),v("p",Ks,"Successor")):t.value===26?(i(),v("p",Hs,o[2]||(o[2]=[$("code",null,"isZero",-1),Se("?")]))):t.value===27?(i(),v("p",Ys,"Other Numeral Systems")):t.value===28?(i(),v("p",Us,"Algebraic Types")):t.value===29?(i(),v("p",Xs,"Products/Records")):t.value===30?(i(),v("p",Js,"Products/Records")):t.value===31?(i(),v("p",Qs,"Sums/Unions")):t.value===32?(i(),v("p",Zs,"undefined")):t.value===33?(i(),v("p",qs,"undefined")):t.value===34?(i(),v("p",eo,"Trees")):t.value===35?(i(),v("p",to,"Monads")):t.value===36?(i(),v("p",no,"Maybe")):t.value===37?(i(),v("p",so,"Either")):t.value===38?(i(),v("p",oo,"Meta")):t.value===39?(i(),v("p",ao,"Mogensen-Scott")):t.value===40?(i(),v("p",ro,"Meta-Circular Interpreter")):t.value===41?(i(),v("p",lo,"de Bruijn-Church")):t.value===42?(i(),v("p",io,"194 bit self interpreter")):t.value===43?(i(),v("p",co,"Other Data?")):t.value===44?(i(),v("p",uo,"Images")):t.value===45?(i(),v("p",ho,"Quad Trees")):t.value===46?(i(),v("p",vo,"Demo")):S("v-if",!0)]))}});function ke(n){return Array.isArray?Array.isArray(n):rn(n)==="[object Array]"}const po=1/0;function _o(n){if(typeof n=="string")return n;let e=n+"";return e=="0"&&1/n==-po?"-0":e}function go(n){return n==null?"":_o(n)}function ue(n){return typeof n=="string"}function on(n){return typeof n=="number"}function mo(n){return n===!0||n===!1||yo(n)&&rn(n)=="[object Boolean]"}function an(n){return typeof n=="object"}function yo(n){return an(n)&&n!==null}function te(n){return n!=null}function it(n){return!n.trim().length}function rn(n){return n==null?n===void 0?"[object Undefined]":"[object Null]":Object.prototype.toString.call(n)}const bo="Incorrect 'index' type",ko=n=>`Invalid value for key ${n}`,xo=n=>`Pattern length exceeds max of ${n}.`,wo=n=>`Missing ${n} property in key`,So=n=>`Property 'weight' in key '${n}' must be a positive integer`,Lt=Object.prototype.hasOwnProperty;class Mo{constructor(e){this._keys=[],this._keyMap={};let s=0;e.forEach(t=>{let a=ln(t);this._keys.push(a),this._keyMap[a.id]=a,s+=a.weight}),this._keys.forEach(t=>{t.weight/=s})}get(e){return this._keyMap[e]}keys(){return this._keys}toJSON(){return JSON.stringify(this._keys)}}function ln(n){let e=null,s=null,t=null,a=1,o=null;if(ue(n)||ke(n))t=n,e=Tt(n),s=ft(n);else{if(!Lt.call(n,"name"))throw new Error(wo("name"));const r=n.name;if(t=r,Lt.call(n,"weight")&&(a=n.weight,a<=0))throw new Error(So(r));e=Tt(r),s=ft(r),o=n.getFn}return{path:e,id:s,weight:a,src:t,getFn:o}}function Tt(n){return ke(n)?n:n.split(".")}function ft(n){return ke(n)?n.join("."):n}function Co(n,e){let s=[],t=!1;const a=(o,r,l)=>{if(te(o))if(!r[l])s.push(o);else{let c=r[l];const d=o[c];if(!te(d))return;if(l===r.length-1&&(ue(d)||on(d)||mo(d)))s.push(go(d));else if(ke(d)){t=!0;for(let h=0,f=d.length;h<f;h+=1)a(d[h],r,l+1)}else r.length&&a(d,r,l+1)}};return a(n,ue(e)?e.split("."):e,0),t?s:s[0]}const $o={includeMatches:!1,findAllMatches:!1,minMatchCharLength:1},Eo={isCaseSensitive:!1,includeScore:!1,keys:[],shouldSort:!0,sortFn:(n,e)=>n.score===e.score?n.idx<e.idx?-1:1:n.score<e.score?-1:1},Io={location:0,threshold:.6,distance:100},Po={useExtendedSearch:!1,getFn:Co,ignoreLocation:!1,ignoreFieldNorm:!1,fieldNormWeight:1};var x={...Eo,...$o,...Io,...Po};const Ro=/[^ ]+/g;function No(n=1,e=3){const s=new Map,t=Math.pow(10,e);return{get(a){const o=a.match(Ro).length;if(s.has(o))return s.get(o);const r=1/Math.pow(o,.5*n),l=parseFloat(Math.round(r*t)/t);return s.set(o,l),l},clear(){s.clear()}}}class wt{constructor({getFn:e=x.getFn,fieldNormWeight:s=x.fieldNormWeight}={}){this.norm=No(s,3),this.getFn=e,this.isCreated=!1,this.setIndexRecords()}setSources(e=[]){this.docs=e}setIndexRecords(e=[]){this.records=e}setKeys(e=[]){this.keys=e,this._keysMap={},e.forEach((s,t)=>{this._keysMap[s.id]=t})}create(){this.isCreated||!this.docs.length||(this.isCreated=!0,ue(this.docs[0])?this.docs.forEach((e,s)=>{this._addString(e,s)}):this.docs.forEach((e,s)=>{this._addObject(e,s)}),this.norm.clear())}add(e){const s=this.size();ue(e)?this._addString(e,s):this._addObject(e,s)}removeAt(e){this.records.splice(e,1);for(let s=e,t=this.size();s<t;s+=1)this.records[s].i-=1}getValueForItemAtKeyId(e,s){return e[this._keysMap[s]]}size(){return this.records.length}_addString(e,s){if(!te(e)||it(e))return;let t={v:e,i:s,n:this.norm.get(e)};this.records.push(t)}_addObject(e,s){let t={i:s,$:{}};this.keys.forEach((a,o)=>{let r=a.getFn?a.getFn(e):this.getFn(e,a.path);if(te(r)){if(ke(r)){let l=[];const c=[{nestedArrIndex:-1,value:r}];for(;c.length;){const{nestedArrIndex:d,value:h}=c.pop();if(te(h))if(ue(h)&&!it(h)){let f={v:h,i:d,n:this.norm.get(h)};l.push(f)}else ke(h)&&h.forEach((f,g)=>{c.push({nestedArrIndex:g,value:f})})}t.$[o]=l}else if(ue(r)&&!it(r)){let l={v:r,n:this.norm.get(r)};t.$[o]=l}}}),this.records.push(t)}toJSON(){return{keys:this.keys,records:this.records}}}function cn(n,e,{getFn:s=x.getFn,fieldNormWeight:t=x.fieldNormWeight}={}){const a=new wt({getFn:s,fieldNormWeight:t});return a.setKeys(n.map(ln)),a.setSources(e),a.create(),a}function Ao(n,{getFn:e=x.getFn,fieldNormWeight:s=x.fieldNormWeight}={}){const{keys:t,records:a}=n,o=new wt({getFn:e,fieldNormWeight:s});return o.setKeys(t),o.setIndexRecords(a),o}function Ge(n,{errors:e=0,currentLocation:s=0,expectedLocation:t=0,distance:a=x.distance,ignoreLocation:o=x.ignoreLocation}={}){const r=e/n.length;if(o)return r;const l=Math.abs(t-s);return a?r+l/a:l?1:r}function Lo(n=[],e=x.minMatchCharLength){let s=[],t=-1,a=-1,o=0;for(let r=n.length;o<r;o+=1){let l=n[o];l&&t===-1?t=o:!l&&t!==-1&&(a=o-1,a-t+1>=e&&s.push([t,a]),t=-1)}return n[o-1]&&o-t>=e&&s.push([t,o-1]),s}const Ee=32;function To(n,e,s,{location:t=x.location,distance:a=x.distance,threshold:o=x.threshold,findAllMatches:r=x.findAllMatches,minMatchCharLength:l=x.minMatchCharLength,includeMatches:c=x.includeMatches,ignoreLocation:d=x.ignoreLocation}={}){if(e.length>Ee)throw new Error(xo(Ee));const h=e.length,f=n.length,g=Math.max(0,Math.min(t,f));let m=o,y=g;const k=l>1||c,_=k?Array(f):[];let p;for(;(p=n.indexOf(e,y))>-1;){let C=Ge(e,{currentLocation:p,expectedLocation:g,distance:a,ignoreLocation:d});if(m=Math.min(C,m),y=p+h,k){let G=0;for(;G<h;)_[p+G]=1,G+=1}}y=-1;let A=[],D=1,J=h+f;const w=1<<h-1;for(let C=0;C<h;C+=1){let G=0,L=J;for(;G<L;)Ge(e,{errors:C,currentLocation:g+L,expectedLocation:g,distance:a,ignoreLocation:d})<=m?G=L:J=L,L=Math.floor((J-G)/2+G);J=L;let F=Math.max(1,g-L+1),re=r?f:Math.min(g+L,f)+h,le=Array(re+2);le[re+1]=(1<<C)-1;for(let z=re;z>=F;z-=1){let se=z-1,Pe=s[n.charAt(se)];if(k&&(_[se]=+!!Pe),le[z]=(le[z+1]<<1|1)&Pe,C&&(le[z]|=(A[z+1]|A[z])<<1|1|A[z+1]),le[z]&w&&(D=Ge(e,{errors:C,currentLocation:se,expectedLocation:g,distance:a,ignoreLocation:d}),D<=m)){if(m=D,y=se,y<=g)break;F=Math.max(1,2*g-y)}}if(Ge(e,{errors:C+1,currentLocation:g,expectedLocation:g,distance:a,ignoreLocation:d})>m)break;A=le}const T={isMatch:y>=0,score:Math.max(.001,D)};if(k){const C=Lo(_,l);C.length?c&&(T.indices=C):T.isMatch=!1}return T}function Oo(n){let e={};for(let s=0,t=n.length;s<t;s+=1){const a=n.charAt(s);e[a]=(e[a]||0)|1<<t-s-1}return e}class un{constructor(e,{location:s=x.location,threshold:t=x.threshold,distance:a=x.distance,includeMatches:o=x.includeMatches,findAllMatches:r=x.findAllMatches,minMatchCharLength:l=x.minMatchCharLength,isCaseSensitive:c=x.isCaseSensitive,ignoreLocation:d=x.ignoreLocation}={}){if(this.options={location:s,threshold:t,distance:a,includeMatches:o,findAllMatches:r,minMatchCharLength:l,isCaseSensitive:c,ignoreLocation:d},this.pattern=c?e:e.toLowerCase(),this.chunks=[],!this.pattern.length)return;const h=(g,m)=>{this.chunks.push({pattern:g,alphabet:Oo(g),startIndex:m})},f=this.pattern.length;if(f>Ee){let g=0;const m=f%Ee,y=f-m;for(;g<y;)h(this.pattern.substr(g,Ee),g),g+=Ee;if(m){const k=f-Ee;h(this.pattern.substr(k),k)}}else h(this.pattern,0)}searchIn(e){const{isCaseSensitive:s,includeMatches:t}=this.options;if(s||(e=e.toLowerCase()),this.pattern===e){let y={isMatch:!0,score:0};return t&&(y.indices=[[0,e.length-1]]),y}const{location:a,distance:o,threshold:r,findAllMatches:l,minMatchCharLength:c,ignoreLocation:d}=this.options;let h=[],f=0,g=!1;this.chunks.forEach(({pattern:y,alphabet:k,startIndex:_})=>{const{isMatch:p,score:A,indices:D}=To(e,y,k,{location:a+_,distance:o,threshold:r,findAllMatches:l,minMatchCharLength:c,includeMatches:t,ignoreLocation:d});p&&(g=!0),f+=A,p&&D&&(h=[...h,...D])});let m={isMatch:g,score:g?f/this.chunks.length:1};return g&&t&&(m.indices=h),m}}class Ce{constructor(e){this.pattern=e}static isMultiMatch(e){return Ot(e,this.multiRegex)}static isSingleMatch(e){return Ot(e,this.singleRegex)}search(){}}function Ot(n,e){const s=n.match(e);return s?s[1]:null}class Do extends Ce{constructor(e){super(e)}static get type(){return"exact"}static get multiRegex(){return/^="(.*)"$/}static get singleRegex(){return/^=(.*)$/}search(e){const s=e===this.pattern;return{isMatch:s,score:s?0:1,indices:[0,this.pattern.length-1]}}}class Fo extends Ce{constructor(e){super(e)}static get type(){return"inverse-exact"}static get multiRegex(){return/^!"(.*)"$/}static get singleRegex(){return/^!(.*)$/}search(e){const t=e.indexOf(this.pattern)===-1;return{isMatch:t,score:t?0:1,indices:[0,e.length-1]}}}class Bo extends Ce{constructor(e){super(e)}static get type(){return"prefix-exact"}static get multiRegex(){return/^\^"(.*)"$/}static get singleRegex(){return/^\^(.*)$/}search(e){const s=e.startsWith(this.pattern);return{isMatch:s,score:s?0:1,indices:[0,this.pattern.length-1]}}}class Vo extends Ce{constructor(e){super(e)}static get type(){return"inverse-prefix-exact"}static get multiRegex(){return/^!\^"(.*)"$/}static get singleRegex(){return/^!\^(.*)$/}search(e){const s=!e.startsWith(this.pattern);return{isMatch:s,score:s?0:1,indices:[0,e.length-1]}}}class jo extends Ce{constructor(e){super(e)}static get type(){return"suffix-exact"}static get multiRegex(){return/^"(.*)"\$$/}static get singleRegex(){return/^(.*)\$$/}search(e){const s=e.endsWith(this.pattern);return{isMatch:s,score:s?0:1,indices:[e.length-this.pattern.length,e.length-1]}}}class zo extends Ce{constructor(e){super(e)}static get type(){return"inverse-suffix-exact"}static get multiRegex(){return/^!"(.*)"\$$/}static get singleRegex(){return/^!(.*)\$$/}search(e){const s=!e.endsWith(this.pattern);return{isMatch:s,score:s?0:1,indices:[0,e.length-1]}}}class dn extends Ce{constructor(e,{location:s=x.location,threshold:t=x.threshold,distance:a=x.distance,includeMatches:o=x.includeMatches,findAllMatches:r=x.findAllMatches,minMatchCharLength:l=x.minMatchCharLength,isCaseSensitive:c=x.isCaseSensitive,ignoreLocation:d=x.ignoreLocation}={}){super(e),this._bitapSearch=new un(e,{location:s,threshold:t,distance:a,includeMatches:o,findAllMatches:r,minMatchCharLength:l,isCaseSensitive:c,ignoreLocation:d})}static get type(){return"fuzzy"}static get multiRegex(){return/^"(.*)"$/}static get singleRegex(){return/^(.*)$/}search(e){return this._bitapSearch.searchIn(e)}}class hn extends Ce{constructor(e){super(e)}static get type(){return"include"}static get multiRegex(){return/^'"(.*)"$/}static get singleRegex(){return/^'(.*)$/}search(e){let s=0,t;const a=[],o=this.pattern.length;for(;(t=e.indexOf(this.pattern,s))>-1;)s=t+o,a.push([t,s-1]);const r=!!a.length;return{isMatch:r,score:r?0:1,indices:a}}}const pt=[Do,hn,Bo,Vo,zo,jo,Fo,dn],Dt=pt.length,Wo=/ +(?=(?:[^\"]*\"[^\"]*\")*[^\"]*$)/,Go="|";function Ko(n,e={}){return n.split(Go).map(s=>{let t=s.trim().split(Wo).filter(o=>o&&!!o.trim()),a=[];for(let o=0,r=t.length;o<r;o+=1){const l=t[o];let c=!1,d=-1;for(;!c&&++d<Dt;){const h=pt[d];let f=h.isMultiMatch(l);f&&(a.push(new h(f,e)),c=!0)}if(!c)for(d=-1;++d<Dt;){const h=pt[d];let f=h.isSingleMatch(l);if(f){a.push(new h(f,e));break}}}return a})}const Ho=new Set([dn.type,hn.type]);class Yo{constructor(e,{isCaseSensitive:s=x.isCaseSensitive,includeMatches:t=x.includeMatches,minMatchCharLength:a=x.minMatchCharLength,ignoreLocation:o=x.ignoreLocation,findAllMatches:r=x.findAllMatches,location:l=x.location,threshold:c=x.threshold,distance:d=x.distance}={}){this.query=null,this.options={isCaseSensitive:s,includeMatches:t,minMatchCharLength:a,findAllMatches:r,ignoreLocation:o,location:l,threshold:c,distance:d},this.pattern=s?e:e.toLowerCase(),this.query=Ko(this.pattern,this.options)}static condition(e,s){return s.useExtendedSearch}searchIn(e){const s=this.query;if(!s)return{isMatch:!1,score:1};const{includeMatches:t,isCaseSensitive:a}=this.options;e=a?e:e.toLowerCase();let o=0,r=[],l=0;for(let c=0,d=s.length;c<d;c+=1){const h=s[c];r.length=0,o=0;for(let f=0,g=h.length;f<g;f+=1){const m=h[f],{isMatch:y,indices:k,score:_}=m.search(e);if(y){if(o+=1,l+=_,t){const p=m.constructor.type;Ho.has(p)?r=[...r,...k]:r.push(k)}}else{l=0,o=0,r.length=0;break}}if(o){let f={isMatch:!0,score:l/o};return t&&(f.indices=r),f}}return{isMatch:!1,score:1}}}const _t=[];function Uo(...n){_t.push(...n)}function gt(n,e){for(let s=0,t=_t.length;s<t;s+=1){let a=_t[s];if(a.condition(n,e))return new a(n,e)}return new un(n,e)}const Ze={AND:"$and",OR:"$or"},mt={PATH:"$path",PATTERN:"$val"},yt=n=>!!(n[Ze.AND]||n[Ze.OR]),Xo=n=>!!n[mt.PATH],Jo=n=>!ke(n)&&an(n)&&!yt(n),Ft=n=>({[Ze.AND]:Object.keys(n).map(e=>({[e]:n[e]}))});function vn(n,e,{auto:s=!0}={}){const t=a=>{let o=Object.keys(a);const r=Xo(a);if(!r&&o.length>1&&!yt(a))return t(Ft(a));if(Jo(a)){const c=r?a[mt.PATH]:o[0],d=r?a[mt.PATTERN]:a[c];if(!ue(d))throw new Error(ko(c));const h={keyId:ft(c),pattern:d};return s&&(h.searcher=gt(d,e)),h}let l={children:[],operator:o[0]};return o.forEach(c=>{const d=a[c];ke(d)&&d.forEach(h=>{l.children.push(t(h))})}),l};return yt(n)||(n=Ft(n)),t(n)}function Qo(n,{ignoreFieldNorm:e=x.ignoreFieldNorm}){n.forEach(s=>{let t=1;s.matches.forEach(({key:a,norm:o,score:r})=>{const l=a?a.weight:null;t*=Math.pow(r===0&&l?Number.EPSILON:r,(l||1)*(e?1:o))}),s.score=t})}function Zo(n,e){const s=n.matches;e.matches=[],te(s)&&s.forEach(t=>{if(!te(t.indices)||!t.indices.length)return;const{indices:a,value:o}=t;let r={indices:a,value:o};t.key&&(r.key=t.key.src),t.idx>-1&&(r.refIndex=t.idx),e.matches.push(r)})}function qo(n,e){e.score=n.score}function ea(n,e,{includeMatches:s=x.includeMatches,includeScore:t=x.includeScore}={}){const a=[];return s&&a.push(Zo),t&&a.push(qo),n.map(o=>{const{idx:r}=o,l={item:e[r],refIndex:r};return a.length&&a.forEach(c=>{c(o,l)}),l})}class Le{constructor(e,s={},t){this.options={...x,...s},this.options.useExtendedSearch,this._keyStore=new Mo(this.options.keys),this.setCollection(e,t)}setCollection(e,s){if(this._docs=e,s&&!(s instanceof wt))throw new Error(bo);this._myIndex=s||cn(this.options.keys,this._docs,{getFn:this.options.getFn,fieldNormWeight:this.options.fieldNormWeight})}add(e){te(e)&&(this._docs.push(e),this._myIndex.add(e))}remove(e=()=>!1){const s=[];for(let t=0,a=this._docs.length;t<a;t+=1){const o=this._docs[t];e(o,t)&&(this.removeAt(t),t-=1,a-=1,s.push(o))}return s}removeAt(e){this._docs.splice(e,1),this._myIndex.removeAt(e)}getIndex(){return this._myIndex}search(e,{limit:s=-1}={}){const{includeMatches:t,includeScore:a,shouldSort:o,sortFn:r,ignoreFieldNorm:l}=this.options;let c=ue(e)?ue(this._docs[0])?this._searchStringList(e):this._searchObjectList(e):this._searchLogical(e);return Qo(c,{ignoreFieldNorm:l}),o&&c.sort(r),on(s)&&s>-1&&(c=c.slice(0,s)),ea(c,this._docs,{includeMatches:t,includeScore:a})}_searchStringList(e){const s=gt(e,this.options),{records:t}=this._myIndex,a=[];return t.forEach(({v:o,i:r,n:l})=>{if(!te(o))return;const{isMatch:c,score:d,indices:h}=s.searchIn(o);c&&a.push({item:o,idx:r,matches:[{score:d,value:o,norm:l,indices:h}]})}),a}_searchLogical(e){const s=vn(e,this.options),t=(l,c,d)=>{if(!l.children){const{keyId:f,searcher:g}=l,m=this._findMatches({key:this._keyStore.get(f),value:this._myIndex.getValueForItemAtKeyId(c,f),searcher:g});return m&&m.length?[{idx:d,item:c,matches:m}]:[]}const h=[];for(let f=0,g=l.children.length;f<g;f+=1){const m=l.children[f],y=t(m,c,d);if(y.length)h.push(...y);else if(l.operator===Ze.AND)return[]}return h},a=this._myIndex.records,o={},r=[];return a.forEach(({$:l,i:c})=>{if(te(l)){let d=t(s,l,c);d.length&&(o[c]||(o[c]={idx:c,item:l,matches:[]},r.push(o[c])),d.forEach(({matches:h})=>{o[c].matches.push(...h)}))}}),r}_searchObjectList(e){const s=gt(e,this.options),{keys:t,records:a}=this._myIndex,o=[];return a.forEach(({$:r,i:l})=>{if(!te(r))return;let c=[];t.forEach((d,h)=>{c.push(...this._findMatches({key:d,value:r[h],searcher:s}))}),c.length&&o.push({idx:l,item:r,matches:c})}),o}_findMatches({key:e,value:s,searcher:t}){if(!te(s))return[];let a=[];if(ke(s))s.forEach(({v:o,i:r,n:l})=>{if(!te(o))return;const{isMatch:c,score:d,indices:h}=t.searchIn(o);c&&a.push({score:d,key:e,value:o,idx:r,norm:l,indices:h})});else{const{v:o,n:r}=s,{isMatch:l,score:c,indices:d}=t.searchIn(o);l&&a.push({score:c,key:e,value:o,norm:r,indices:d})}return a}}Le.version="7.0.0";Le.createIndex=cn;Le.parseIndex=Ao;Le.config=x;Le.parseQuery=vn;Uo(Yo);const ta={class:"bg-main transform",shadow:"~",p:"x-4 y-2",border:"~ transparent rounded dark:main"},na=["value","disabled"],sa={table:"","w-full":"","border-collapse":""},oa=["border","onClick"],aa={"text-right":"",op50:"","text-sm":"","table-cell":"","py-2":"","pl-4":"","pr-3":"","vertical-middle":""},ra=he({__name:"Goto",setup(n){const e=K(),s=K(),t=K(),a=K(),o=K(""),r=K(0),{go:l,slides:c}=Me();function d(w){return w!=null}const h=N(()=>new Le(c.value.map(w=>{var T;return(T=w.meta)==null?void 0:T.slide}).filter(d),{keys:["no","title"],threshold:.3,shouldSort:!0,minMatchCharLength:1})),f=N(()=>o.value.startsWith("/")?o.value.substring(1):o.value),g=N(()=>h.value.search(f.value).map(w=>w.item)),m=N(()=>!!g.value.length);function y(){if(m.value){const w=g.value.at(r.value||0);w&&l(w.no)}k()}function k(){o.value="",we.value=!1}function _(w){w.preventDefault(),r.value++,r.value>=g.value.length&&(r.value=0),A()}function p(w){w.preventDefault(),r.value--,r.value<=-2&&(r.value=g.value.length-1),A()}function A(){var T;const w=(T=a.value)==null?void 0:T[r.value];w&&t.value&&(w.offsetTop+w.offsetHeight>t.value.offsetHeight+t.value.scrollTop?t.value.scrollTo({behavior:"smooth",top:w.offsetTop+w.offsetHeight-t.value.offsetHeight+1}):w.offsetTop<t.value.scrollTop&&t.value.scrollTo({behavior:"smooth",top:w.offsetTop}))}function D(w){r.value=0,o.value=w.target.value}function J(w){l(w),k()}return De(we,async w=>{var T;w?(o.value="",r.value=0,setTimeout(()=>{var C;return(C=s.value)==null?void 0:C.focus()},0)):(T=s.value)==null||T.blur()}),De(Oe,()=>{var w;(w=e.value)!=null&&w.contains(Oe.value)||k()}),(w,T)=>(i(),v("div",{id:"slidev-goto-dialog",ref_key:"container",ref:e,class:Z(["fixed right-5 transition-all",u(we)?"top-5":"-top-20"]),"w-90":"","max-w-90":"","min-w-90":""},[$("div",ta,[$("input",{id:"slidev-goto-input",ref_key:"input",ref:s,value:o.value,type:"text",disabled:!u(we),class:Z(["outline-none bg-transparent",{"text-red-400":!m.value&&o.value}]),placeholder:"Goto...",onKeydown:[Ve(y,["enter"]),Ve(k,["escape"]),Ve(_,["down"]),Ve(p,["up"])],onInput:D},null,42,na)]),g.value.length>0?(i(),v("div",{key:0,ref_key:"list",ref:t,class:"autocomplete-list",shadow:"~",border:"~ transparent rounded dark:main"},[$("ul",sa,[(i(!0),v(j,null,Fe(g.value,(C,G)=>(i(),v("li",{ref_for:!0,ref_key:"items",ref:a,key:C.id,role:"button",tabindex:"0","cursor-pointer":"",hover:"op100","table-row":"","items-center":"",border:G===0?void 0:"t main",class:Z(r.value===G?"bg-active op100":"op80"),onClick:Gt(L=>J(C.no),["stop","prevent"])},[$("div",aa,de(C.no),1),I(u(fo),{"table-cell":"","py-2":"","pr-4":"","w-full":"",no:C.no},null,8,["no"])],10,oa))),128))])],512)):S("v-if",!0)],2))}}),Xa=qe(ra,[["__scopeId","data-v-cba06e55"]]),la={render:()=>[]},ia={key:0,class:"rounded-md bg-main text-main shadow absolute bottom-10 left-0 z-20","dark:border":"~ main"},ca=he({__name:"MenuButton",props:{modelValue:{default:!1},disabled:{default:!1}},setup(n,{emit:e}){const a=Kt(n,"modelValue",e,{passive:!0}),o=K();return zt(o,()=>{a.value=!1}),(r,l)=>(i(),v("div",{ref_key:"el",ref:o,class:"flex relative"},[$("button",{class:Z({disabled:n.disabled}),onClick:l[0]||(l[0]=c=>a.value=!u(a))},[Mt(r.$slots,"button",{class:Z({disabled:n.disabled})})],2),(i(),R(bn,null,[u(a)?(i(),v("div",ia,[Mt(r.$slots,"menu")])):S("v-if",!0)],1024))],512))}}),ua={class:"select-list"},da={class:"title"},ha={class:"items"},va=["onClick"],fa=he({__name:"SelectList",props:{modelValue:{type:[Object,String,Number,Boolean]},title:{type:String},items:{type:Array}},setup(n,{emit:e}){const a=Kt(n,"modelValue",e,{passive:!0});return(o,r)=>{const l=Jn;return i(),v("div",ua,[$("div",da,de(n.title),1),$("div",ha,[(i(!0),v(j,null,Fe(n.items,c=>(i(),v("div",{key:c.value,class:Z(["item",{active:u(a)===c.value}]),onClick:()=>{var d;a.value=c.value,(d=c.onClick)==null||d.call(c)}},[I(l,{class:Z(["text-green-500",{"opacity-0":u(a)!==c.value}])},null,8,["class"]),Se(" "+de(c.display||c.value),1)],10,va))),128))])])}}}),Bt=qe(fa,[["__scopeId","data-v-553818ec"]]),pa={class:"text-sm select-none"},_a=he({__name:"Settings",setup(n){const e=[{display:"Fit",value:0},{display:"1:1",value:1}],{isSupported:s}=jt(),t=[{display:"Enabled",value:!0},{display:"Disabled",value:!1}];return(a,o)=>(i(),v("div",pa,[I(Bt,{modelValue:u(rt),"onUpdate:modelValue":o[0]||(o[0]=r=>Ct(rt)?rt.value=r:null),title:"Scale",items:e},null,8,["modelValue"]),u(s)?(i(),R(Bt,{key:0,modelValue:u(Ye),"onUpdate:modelValue":o[1]||(o[1]=r=>Ct(Ye)?Ye.value=r:null),title:"Wake lock",items:t},null,8,["modelValue"])):S("v-if",!0)]))}}),ga={class:"h-40px flex",p:"l-1 t-0.5 r-2",text:"sm leading-2"},ma={class:"my-auto"},ya={class:"opacity-50"},Ja=he({__name:"NavControls",props:{persist:{default:!1}},setup(n){const e=n,{currentSlideNo:s,hasNext:t,hasPrev:a,isEmbedded:o,isPresenter:r,isPresenterAvailable:l,next:c,prev:d,total:h,enterPresenter:f,exitPresenter:g}=Me(),{brush:m,drawingEnabled:y}=et(),k=dt.smaller("md"),{isFullscreen:_,toggle:p}=kt,A=K();function D(){A.value&&Oe.value&&A.value.contains(Oe.value)&&Oe.value.blur()}const J=N(()=>e.persist?"text-$slidev-controls-foreground bg-transparent":"rounded-md bg-main shadow dark:border dark:border-main"),w=bt();return(T,C)=>{const G=nn,L=sn,F=Jt,re=Qt,le=qt,$e=Qn,z=Zn,se=qn,Pe=es,Re=Zt,tt=en,nt=tn,st=ts,ot=ns,b=ss,O=os;return i(),v("nav",{ref_key:"root",ref:A,class:"flex flex-col"},[$("div",{class:Z(["flex flex-wrap-reverse text-xl gap-0.5 p-1 lg:gap-1 lg:p-2",J.value]),onMouseleave:D},[u(o)?S("v-if",!0):(i(),R(X,{key:0,title:u(_)?"Close fullscreen":"Enter fullscreen",onClick:u(p)},{default:V(()=>[u(_)?(i(),R(G,{key:0})):(i(),R(L,{key:1}))]),_:1},8,["title","onClick"])),I(X,{class:Z({disabled:!u(a)}),title:"Go to previous slide",onClick:u(d)},{default:V(()=>[I(F)]),_:1},8,["class","onClick"]),I(X,{class:Z({disabled:!u(t)}),title:"Go to next slide",onClick:u(c)},{default:V(()=>[I(re)]),_:1},8,["class","onClick"]),u(o)?S("v-if",!0):(i(),R(X,{key:1,title:"Show slide overview",onClick:C[0]||(C[0]=P=>u(Qe)())},{default:V(()=>[I(le)]),_:1})),u(Gn)?S("v-if",!0):(i(),R(X,{key:2,title:u(Pt)?"Switch to light mode theme":"Switch to dark mode theme",onClick:C[1]||(C[1]=P=>u(vt)())},{default:V(()=>[u(Pt)?(i(),R($e,{key:0})):(i(),R(z,{key:1}))]),_:1},8,["title"])),I(We),u(o)?S("v-if",!0):(i(),v(j,{key:3},[!u(r)&&!u(k)&&w.value?(i(),v(j,{key:0},[I(u(w)),I(We)],64)):S("v-if",!0),u(r)?(i(),R(X,{key:1,title:u(ze)?"Hide presenter cursor":"Show presenter cursor",onClick:C[2]||(C[2]=P=>ze.value=!u(ze))},{default:V(()=>[u(ze)?(i(),R(se,{key:0})):(i(),R(Pe,{key:1}))]),_:1},8,["title"])):S("v-if",!0)],64)),(!u(be).drawings.presenterOnly||u(r))&&!u(o)?(i(),v(j,{key:4},[I(X,{class:"relative",title:u(y)?"Hide drawing toolbar":"Show drawing toolbar",onClick:C[3]||(C[3]=P=>y.value=!u(y))},{default:V(()=>[I(Re),u(y)?(i(),v("div",{key:0,class:"absolute left-1 right-1 bottom-0 h-0.7 rounded-full",style:Ae({background:u(m).color})},null,4)):S("v-if",!0)]),_:1},8,["title"]),I(We)],64)):S("v-if",!0),u(o)?S("v-if",!0):(i(),v(j,{key:5},[u(r)?(i(),R(X,{key:0,title:"Play Mode",onClick:u(g)},{default:V(()=>[I(tt)]),_:1},8,["onClick"])):S("v-if",!0),u(l)?(i(),R(X,{key:1,title:"Presenter Mode",onClick:u(f)},{default:V(()=>[I(nt)]),_:1},8,["onClick"])):S("v-if",!0),S("v-if",!0),u(r)?(i(),R(X,{key:3,title:"Toggle Presenter Layout",class:"aspect-ratio-initial",onClick:u(Rn)},{default:V(()=>[I(st),Se(" "+de(u(Pn)),1)]),_:1},8,["onClick"])):S("v-if",!0)],64)),(i(),v(j,{key:6},[u(be).download?(i(),R(X,{key:0,title:"Download as PDF",onClick:u(Ht)},{default:V(()=>[I(ot)]),_:1},8,["onClick"])):S("v-if",!0)],64)),!u(r)&&u(be).info&&!u(o)?(i(),R(X,{key:7,title:"Show info",onClick:C[5]||(C[5]=P=>$t.value=!u($t))},{default:V(()=>[I(b)]),_:1})):S("v-if",!0),!u(r)&&!u(o)?(i(),R(ca,{key:8},{button:V(()=>[I(X,{title:"Adjust settings"},{default:V(()=>[I(O)]),_:1})]),menu:V(()=>[I(_a)]),_:1})):S("v-if",!0),u(o)?S("v-if",!0):(i(),R(We,{key:9})),$("div",ga,[$("div",ma,[Se(de(u(s))+" ",1),$("span",ya,"/ "+de(u(h)),1)])]),I(u(la))],34)],512)}}}),Ue=K(1),Xe=N(()=>Nn.value.length),H=K(0),St=K(0);function ba(){H.value>Ue.value&&(H.value-=1)}function ka(){H.value<Xe.value&&(H.value+=1)}function xa(){if(H.value>Ue.value){let n=H.value-St.value;n<Ue.value&&(n=Ue.value),H.value=n}}function wa(){if(H.value<Xe.value){let n=H.value+St.value;n>Xe.value&&(n=Xe.value),H.value=n}}const Sa=["onClick"],Ma={class:"text-green font-bold"},Ca={class:"opacity-50"},$a={key:1,class:"opacity-50"},Ea={key:0,class:"fixed top-4 right-4 z-20 text-gray-400 flex flex-col items-center gap-2"},ct=4*16*2,Vt=2*16,Qa=he({__name:"QuickOverview",setup(n){const{currentSlideNo:e,go:s,slides:t}=Me();function a(){ee.value=!1}function o(g){s(g),a()}function r(g){return g===H.value}const l=dt.smaller("xs"),c=dt.smaller("sm"),d=N(()=>l.value?Ie.width.value-ct:c.value?(Ie.width.value-ct-Vt)/2:300),h=N(()=>Math.floor((Ie.width.value-ct)/(d.value+Vt))),f=K("");return Wt("keypress",g=>{if(!ee.value){f.value="";return}if(g.key==="Enter"){g.preventDefault(),f.value?(o(+f.value),f.value=""):o(H.value);return}const m=Number.parseInt(g.key.replace(/\D/g,""));if(Number.isNaN(m)){f.value="";return}if(!f.value&&m===0)return;if(f.value+=String(m),+f.value>=t.value.length){f.value="";return}const y=t.value.findIndex(k=>`/${k.no}`===f.value);y!==-1&&(H.value=y+1),+f.value*10>t.value.length&&(o(+f.value),f.value="")}),Je(()=>{H.value=e.value,St.value=h.value}),(g,m)=>{const y=as,k=rs;return i(),v(j,null,[I(kn,{"enter-active-class":"duration-150 ease-out","enter-from-class":"opacity-0 scale-102 !backdrop-blur-0px","leave-active-class":"duration-200 ease-in","leave-to-class":"opacity-0 scale-102 !backdrop-blur-0px"},{default:V(()=>[u(ee)?(i(),v("div",{key:0,class:"fixed left-0 right-0 top-0 h-[calc(var(--vh,1vh)*100)] z-20 bg-main !bg-opacity-75 p-16 py-20 overflow-y-auto backdrop-blur-5px select-none",onClick:a},[$("div",{class:"grid gap-y-4 gap-x-8 w-full",style:Ae(`grid-template-columns: repeat(auto-fit,minmax(${d.value}px,1fr))`)},[(i(!0),v(j,null,Fe(u(t),(_,p)=>(i(),v("div",{key:_.no,class:"relative"},[$("div",{class:Z(["inline-block border rounded overflow-hidden bg-main hover:border-primary transition",r(p+1)||u(H)===p+1?"border-primary":"border-main"]),onClick:A=>o(_.no)},[(i(),R(Bn,{key:_.no,no:_.no,"use-snapshot":u(be).overviewSnapshots,width:d.value,class:"pointer-events-none"},{default:V(()=>[I(Xt,{"clicks-context":u(Yt)(_,u(Ut)),route:_,"render-context":"overview"},null,8,["clicks-context","route"]),I(Vn,{page:_.no},null,8,["page"])]),_:2},1032,["no","use-snapshot","width"]))],10,Sa),$("div",{class:"absolute top-0",style:Ae(`left: ${d.value+5}px`)},[f.value&&String(p+1).startsWith(f.value)?(i(),v(j,{key:0},[$("span",Ma,de(f.value),1),$("span",Ca,de(String(p+1).slice(f.value.length)),1)],64)):(i(),v("span",$a,de(p+1),1))],4)]))),128))],4)])):S("v-if",!0)]),_:1}),u(ee)?(i(),v("div",Ea,[I(X,{title:"Close",class:"text-2xl",onClick:a},{default:V(()=>[I(y)]),_:1}),(i(),R(X,{key:0,as:"a",title:"Slides Overview",target:"_blank",href:`${u(Kn)}overview`,"tab-index":"-1",class:"text-2xl"},{default:V(()=>[I(k)]),_:1},8,["href"]))])):S("v-if",!0)],64)}}});function Ia(){const n=xn(),e=K(!1);let s,t;const a=typeof document<"u"&&"startViewTransition"in document;return n.beforeResolve((o,r)=>{var k,_,p,A;const l=(k=Et(r.params.no))==null?void 0:k.meta,c=(_=Et(o.params.no))==null?void 0:_.meta,d=(p=l==null?void 0:l.slide)==null?void 0:p.no,h=(A=c==null?void 0:c.slide)==null?void 0:A.no;if((d!=null&&h!=null&&((d<h?l==null?void 0:l.transition:c==null?void 0:c.transition)??be.transition))!=="view-transition"){e.value=!1;return}if(!a){e.value=!1,console.warn("View transition is not supported in your browser, fallback to normal transition.");return}e.value=!0;const g=new Promise((D,J)=>{s=D,t=J});let m;const y=new Promise(D=>m=D);return setTimeout(()=>{document.startViewTransition(()=>(m(),g))},50),y}),a&&n.afterEach(()=>{s==null||s(),t==null||t()}),e}const Pa=["data-drag-id"],oe=10,xe=10,ut="absolute border border-gray bg-gray dark:border-gray-500 dark:bg-gray-800 bg-opacity-30 ",Ke=20,Ra=he({__name:"DragControl",props:{data:{}},setup(n){const{dragId:e,zoom:s,autoHeight:t,x0:a,y0:o,width:r,height:l,rotate:c,isArrow:d}=n.data,h=wn(An,K(1)),f=N(()=>h.value*s.value),{left:g,top:m}=Ln(),y=d?Number.NEGATIVE_INFINITY:40,k=N(()=>c.value*Math.PI/180),_=N(()=>Math.sin(k.value)),p=N(()=>Math.cos(k.value)),A=N(()=>r.value*p.value+l.value*_.value),D=N(()=>r.value*_.value+l.value*p.value),J=N(()=>a.value-A.value/2),w=N(()=>o.value-D.value/2),T=N(()=>a.value+A.value/2),C=N(()=>o.value+D.value/2),G=N(()=>d&&r.value<0),L=N(()=>d&&l.value<0);let F=null;function re(b){if(b.buttons!==1)return;b.preventDefault(),b.stopPropagation();const P=b.target.getBoundingClientRect(),M=r.value*p.value-l.value*_.value,E=r.value*_.value+l.value*p.value,Y=r.value*p.value+l.value*_.value,ne=-r.value*_.value+l.value*p.value;F={x0:a.value,y0:o.value,width:r.value,height:l.value,rotate:c.value,dx0:b.clientX-(P.left+P.right)/2,dy0:b.clientY-(P.top+P.bottom)/2,ltx:a.value-M/2,lty:o.value-E/2,rtx:a.value+Y/2,rty:o.value-ne/2,lbx:a.value-Y/2,lby:o.value+ne/2,rbx:a.value+M/2,rby:o.value+E/2},b.currentTarget.setPointerCapture(b.pointerId)}function le(b){if(!F||b.buttons!==1)return;b.preventDefault(),b.stopPropagation();const O=(b.clientX-g.value-F.dx0)/f.value,P=(b.clientY-m.value-F.dy0)/f.value;a.value=Rt(O,-A.value/2+xe,Nt.value+A.value/2-xe),o.value=Rt(P,-D.value/2+xe,At.value+D.value/2-xe)}function $e(b){F&&(b.preventDefault(),b.stopPropagation(),F=null)}function z(b,O){return{onPointerdown:re,onPointermove:P=>{if(!F||P.buttons!==1)return;P.preventDefault(),P.stopPropagation();let M=(P.clientX-g.value)/f.value,E=(P.clientY-m.value)/f.value;const{ltx:Y,lty:ne,rtx:ve,rty:fe,lbx:pe,lby:_e,rbx:ge,rby:W}=F,U=F.width/F.height,pn=Math.max(y,y*U);function Be(ie,ce){if(P.shiftKey){const Q=Math.max(ie,ce*U,pn),q=Q/U;return{w:Q,h:q}}else return{w:Math.max(ie,y),h:Math.max(ce,y)}}if(b)if(O){const ie=(ge-M)*p.value+(W-E)*_.value,ce=-(ge-M)*_.value+(W-E)*p.value,{w:Q,h:q}=Be(ie,ce);M=ge-Q*p.value+q*_.value,E=W-Q*_.value-q*p.value}else{const ie=(ve-M)*p.value-(E-fe)*_.value,ce=(ve-M)*_.value+(E-fe)*p.value,{w:Q,h:q}=Be(ie,ce);M=ve-Q*p.value-q*_.value,E=fe-Q*_.value+q*p.value}else if(O){const ie=(M-pe)*p.value-(_e-E)*_.value,ce=(M-pe)*_.value+(_e-E)*p.value,{w:Q,h:q}=Be(ie,ce);M=pe+Q*p.value+q*_.value,E=_e+Q*_.value-q*p.value}else{const ie=(M-Y)*p.value+(E-ne)*_.value,ce=-(M-Y)*_.value+(E-ne)*p.value,{w:Q,h:q}=Be(ie,ce);M=Y+Q*p.value-q*_.value,E=ne+Q*_.value+q*p.value}b?O?(a.value=(M+ge)/2,o.value=(E+W)/2,r.value=(ge-M)*p.value+(W-E)*_.value,l.value=-(ge-M)*_.value+(W-E)*p.value):(a.value=(M+ve)/2,o.value=(E+fe)/2,r.value=(ve-M)*p.value-(E-fe)*_.value,l.value=(ve-M)*_.value+(E-fe)*p.value):O?(a.value=(M+pe)/2,o.value=(E+_e)/2,r.value=(M-pe)*p.value-(_e-E)*_.value,l.value=(M-pe)*_.value+(_e-E)*p.value):(a.value=(M+Y)/2,o.value=(E+ne)/2,r.value=(M-Y)*p.value+(E-ne)*_.value,l.value=-(M-Y)*_.value+(E-ne)*p.value)},onPointerup:$e,style:{width:`${oe}px`,height:`${oe}px`,margin:`-${oe/2}px`,left:b!==G.value?"0":void 0,right:b!==G.value?void 0:"0",top:O!==L.value?"0":void 0,bottom:O!==L.value?void 0:"0",cursor:d?"move":+b+ +O==1?"nesw-resize":"nwse-resize",borderRadius:d?"50%":void 0},class:ut}}function se(b){return{onPointerdown:re,onPointermove:O=>{if(!F||O.buttons!==1)return;O.preventDefault(),O.stopPropagation();const P=(O.clientX-g.value)/f.value,M=(O.clientY-m.value)/f.value,{ltx:E,lty:Y,rtx:ne,rty:ve,lbx:fe,lby:pe,rbx:_e,rby:ge}=F;if(b==="l"){const W=(ne+_e)/2,U=(ve+ge)/2;r.value=Math.max((W-P)*p.value+(U-M)*_.value,y),a.value=W-r.value*p.value/2,o.value=U-r.value*_.value/2}else if(b==="r"){const W=(E+fe)/2,U=(Y+pe)/2;r.value=Math.max((P-W)*p.value+(M-U)*_.value,y),a.value=W+r.value*p.value/2,o.value=U+r.value*_.value/2}else if(b==="t"){const W=(fe+_e)/2,U=(pe+ge)/2;l.value=Math.max((U-M)*p.value-(W-P)*_.value,y),a.value=W+l.value*_.value/2,o.value=U-l.value*p.value/2}else if(b==="b"){const W=(E+ne)/2,U=(Y+ve)/2;l.value=Math.max((M-U)*p.value-(P-W)*_.value,y),a.value=W-l.value*_.value/2,o.value=U+l.value*p.value/2}},onPointerup:$e,style:{width:`${oe}px`,height:`${oe}px`,margin:`-${oe/2}px`,left:b==="l"?"0":b==="r"?"100%":"50%",top:b==="t"?"0":b==="b"?"100%":"50%",cursor:"lr".includes(b)?"ew-resize":"ns-resize",borderRadius:"50%"},class:ut}}function Pe(){return{onPointerdown:re,onPointermove:b=>{if(!F||b.buttons!==1)return;b.preventDefault(),b.stopPropagation();const O=(b.clientX-g.value-F.dx0)/f.value-oe/4,P=(b.clientY-m.value-F.dy0)/f.value-oe/4;let M=Math.atan2(P-o.value,O-a.value)*180/Math.PI+90;const E=[0,90,180,270,360];for(const Y of E)if(Math.abs(M-Y)<5){M=Y%360;break}c.value=M},onPointerup:$e,style:{width:`${oe}px`,height:`${oe}px`,margin:`-${oe/2}px`,left:"50%",top:"-20px",cursor:"grab",borderRadius:"50%"},class:ut}}const Re={immediate:!1,immediateCallback:!1},tt=je(()=>{T.value<=xe||a.value--},Ke,Re),nt=je(()=>{J.value>=Nt.value-xe||a.value++},Ke,Re),st=je(()=>{C.value<=xe||o.value--},Ke,Re),ot=je(()=>{w.value>=At.value-xe||o.value++},Ke,Re);return Je(()=>{function b(O,P){xt[O].value?P.resume():P.pause()}b("left",tt),b("right",nt),b("up",st),b("down",ot)}),(b,O)=>Number.isFinite(u(a))?(i(),v("div",{key:0,id:"drag-control-container","data-drag-id":u(e),style:Ae({position:"absolute",zIndex:100,left:`${u(s)*(u(a)-Math.abs(u(r))/2)}px`,top:`${u(s)*(u(o)-Math.abs(u(l))/2)}px`,width:`${u(s)*Math.abs(u(r))}px`,height:`${u(s)*Math.abs(u(l))}px`,transformOrigin:"center center",transform:`rotate(${u(c)}deg)`}),onPointerdown:re,onPointermove:le,onPointerup:$e},[$("div",{class:Z(["absolute inset-0 z-100 dark:b-gray-400",u(d)?"":"b b-dark"])},[u(t)?S("v-if",!0):(i(),v(j,{key:0},[$("div",me(ye(z(!0,!0))),null,16),$("div",me(ye(z(!1,!1))),null,16),u(d)?S("v-if",!0):(i(),v(j,{key:0},[$("div",me(ye(z(!0,!1))),null,16),$("div",me(ye(z(!1,!0))),null,16)],64))],64)),u(d)?S("v-if",!0):(i(),v(j,{key:1},[$("div",me(ye(se("l"))),null,16),$("div",me(ye(se("r"))),null,16),u(t)?S("v-if",!0):(i(),v(j,{key:0},[$("div",me(ye(se("t"))),null,16),$("div",me(ye(se("b"))),null,16)],64)),$("div",me(ye(Pe())),null,16),$("div",{class:"absolute -top-15px w-0 b b-dashed b-dark dark:b-gray-400",style:Ae({left:"calc(50% - 1px)",height:u(t)?"14px":"10px"})},null,4)],64))],2)],44,Pa)):S("v-if",!0)}}),Na=he({__name:"SlidesShow",props:{renderContext:{}},setup(n){const{currentSlideRoute:e,currentTransition:s,getPrimaryClicks:t,prevRoute:a,nextRoute:o,slides:r,isPrintMode:l,isPrintWithClicks:c,clicksDirection:d}=Me();function h(k){k.meta.preload!==!1&&(k.meta.__preloaded=!0,k.load())}Je(()=>{h(e.value),h(a.value),h(o.value)}),Je(k=>{const _=r.value,p=setTimeout(()=>{_.forEach(h)},3e3);k(()=>clearTimeout(p))});const f=Ia(),g=bt();Hn(()=>import("./DrawingLayer-DoZ9KrJP.js"),__vite__mapDeps([0,1,2,3,4,5,6,7,8,9,10,11])).then(k=>g.value=k.default);const m=N(()=>r.value.filter(k=>{var _;return((_=k.meta)==null?void 0:_.__preloaded)||k===e.value}));function y(){It.value=!0,is()}return(k,_)=>(i(),v(j,null,[S(" Global Bottom "),I(u(jn)),S(" Slides "),(i(),R(He(u(f)?"div":Mn),Sn(u(It)?{}:u(s),{id:"slideshow",tag:"div",class:{"slidev-nav-go-forward":u(d)>0,"slidev-nav-go-backward":u(d)<0},onAfterLeave:y}),{default:V(()=>[(i(!0),v(j,null,Fe(m.value,p=>(i(),v(j,{key:p.no},[Math.abs(p.no-u(e).no)<=20?Cn((i(),R(Xt,{key:0,"clicks-context":u(l)&&!u(c)?u(Yt)(p,u(Ut)):u(t)(p),route:p,"render-context":k.renderContext},null,8,["clicks-context","route","render-context"])),[[$n,p===u(e)]]):S("v-if",!0)],64))),128))]),_:1},16,["class"])),u(ht)?(i(),R(Ra,{key:0,data:u(ht)},null,8,["data"])):S("v-if",!0),S(" Global Top "),I(u(zn)),g.value?(i(),R(u(g),{key:1})):S("v-if",!0)],64))}}),Za=qe(Na,[["__scopeId","data-v-721ea928"]]),Aa=[];function La(){const{go:n,goFirst:e,goLast:s,next:t,nextSlide:a,prev:o,prevSlide:r}=Me(),{drawingEnabled:l}=et(),{escape:c,space:d,shift:h,left:f,right:g,up:m,down:y,enter:k,d:_,g:p,o:A,"`":D}=xt,J={next:t,prev:o,nextSlide:a,prevSlide:r,go:n,goFirst:e,goLast:s,downloadPDF:Ht,toggleDark:vt,toggleOverview:Qe,toggleDrawing:()=>l.value=!l.value,escapeOverview:()=>ee.value=!1,showGotoDialog:()=>we.value=!we.value},w=B(ae(ee),ae(ht));let T=[{name:"next_space",key:B(d,ae(h)),fn:t,autoRepeat:!0},{name:"prev_space",key:B(d,h),fn:o,autoRepeat:!0},{name:"next_right",key:B(g,ae(h),w),fn:t,autoRepeat:!0},{name:"prev_left",key:B(f,ae(h),w),fn:o,autoRepeat:!0},{name:"next_page_key",key:"pageDown",fn:t,autoRepeat:!0},{name:"prev_page_key",key:"pageUp",fn:o,autoRepeat:!0},{name:"next_down",key:B(y,w),fn:a,autoRepeat:!0},{name:"prev_up",key:B(m,w),fn:r,autoRepeat:!0},{name:"next_shift",key:B(g,h),fn:a,autoRepeat:!0},{name:"prev_shift",key:B(f,h),fn:r,autoRepeat:!0},{name:"toggle_dark",key:B(_,ae(l)),fn:vt},{name:"toggle_overview",key:B(En(A,D),ae(l)),fn:Qe},{name:"hide_overview",key:B(c,ae(l)),fn:()=>ee.value=!1},{name:"goto",key:B(p,ae(l)),fn:()=>we.value=!we.value},{name:"next_overview",key:B(g,ee),fn:ka},{name:"prev_overview",key:B(f,ee),fn:ba},{name:"up_overview",key:B(m,ee),fn:xa},{name:"down_overview",key:B(y,ee),fn:wa},{name:"goto_from_overview",key:B(k,ee),fn:()=>{n(H.value),ee.value=!1}}];const C=new Set(T.map(L=>L.name));for(const L of Aa){const F=L(J,T);T=T.concat(F)}if(T.filter(L=>L.name&&C.has(L.name)).length===0){const L=["========== WARNING ==========","defineShortcutsSetup did not return any of the base shortcuts.","See https://sli.dev/custom/config-shortcuts.html for migration.",'If it is intentional, return at least one shortcut with one of the base names (e.g. name:"goto").'].join(`
+
+`);alert(L),console.warn(L)}return T}const fn=B(ae(Dn),ae(On),Tn);function Ta(n,e,s=!1){typeof n=="string"&&(n=xt[n]);const t=B(n,fn);let a=0,o;const r=()=>{if(clearTimeout(o),!t.value){a=0;return}s&&(o=setTimeout(r,Math.max(1e3-a*250,150)),a++),e()};return De(t,r,{flush:"sync"})}function Oa(n,e){return In(n,s=>{fn.value&&(s.repeat||e())})}function qa(){const n=La();new Map(n.map(s=>[s.key,s])).forEach(s=>{s.fn&&Ta(s.key,s.fn,s.autoRepeat)}),Oa("f",()=>kt.toggle())}export{Xa as G,Za as S,We as V,Ja as _,Ha as a,Qa as b,Ua as c,Ya as o,qa as r,Ka as u};
diff --git a/docs/assets/ts.worker-Brk6wN3W.js b/docs/assets/ts.worker-Brk6wN3W.js
new file mode 100644
index 0000000..bfde632
--- /dev/null
+++ b/docs/assets/ts.worker-Brk6wN3W.js
@@ -0,0 +1,51334 @@
+(function(){"use strict";var m1e,_1e,h1e,g1e;class L7e{constructor(){this.listeners=[],this.unexpectedErrorHandler=function(v){setTimeout(()=>{throw v.stack?vM.isErrorNoTelemetry(v)?new vM(v.message+`
+
+`+v.stack):new Error(v.message+`
+
+`+v.stack):v},0)}}emit(v){this.listeners.forEach(T=>{T(v)})}onUnexpectedError(v){this.unexpectedErrorHandler(v),this.emit(v)}onUnexpectedExternalError(v){this.unexpectedErrorHandler(v)}}const k7e=new L7e;function _6(g){w7e(g)||k7e.onUnexpectedError(g)}function Kbe(g){if(g instanceof Error){const{name:v,message:T}=g,W=g.stacktrace||g.stack;return{$isError:!0,name:v,message:T,stack:W,noTelemetry:vM.isErrorNoTelemetry(g)}}return g}const Ote="Canceled";function w7e(g){return g instanceof O7e?!0:g instanceof Error&&g.name===Ote&&g.message===Ote}class O7e extends Error{constructor(){super(Ote),this.name=this.message}}class vM extends Error{constructor(v){super(v),this.name="CodeExpectedError"}static fromError(v){if(v instanceof vM)return v;const T=new vM;return T.message=v.message,T.stack=v.stack,T}static isErrorNoTelemetry(v){return v.name==="CodeExpectedError"}}class Ay extends Error{constructor(v){super(v||"An unexpected bug occurred."),Object.setPrototypeOf(this,Ay.prototype)}}function W7e(g,v){const T=this;let W=!1,X;return function(){return W||(W=!0,X=g.apply(T,arguments)),X}}var LB;(function(g){function v(Gr){return Gr&&typeof Gr=="object"&&typeof Gr[Symbol.iterator]=="function"}g.is=v;const T=Object.freeze([]);function W(){return T}g.empty=W;function*X(Gr){yield Gr}g.single=X;function ue(Gr){return v(Gr)?Gr:X(Gr)}g.wrap=ue;function Re(Gr){return Gr||T}g.from=Re;function*Be(Gr){for(let Bi=Gr.length-1;Bi>=0;Bi--)yield Gr[Bi]}g.reverse=Be;function it(Gr){return!Gr||Gr[Symbol.iterator]().next().done===!0}g.isEmpty=it;function Me(Gr){return Gr[Symbol.iterator]().next().value}g.first=Me;function Ue(Gr,Bi){let Uo=0;for(const Nr of Gr)if(Bi(Nr,Uo++))return!0;return!1}g.some=Ue;function Bt(Gr,Bi){for(const Uo of Gr)if(Bi(Uo))return Uo}g.find=Bt;function*Ut(Gr,Bi){for(const Uo of Gr)Bi(Uo)&&(yield Uo)}g.filter=Ut;function*dn(Gr,Bi){let Uo=0;for(const Nr of Gr)yield Bi(Nr,Uo++)}g.map=dn;function*Pr(Gr,Bi){let Uo=0;for(const Nr of Gr)yield*Bi(Nr,Uo++)}g.flatMap=Pr;function*yi(...Gr){for(const Bi of Gr)yield*Bi}g.concat=yi;function co(Gr,Bi,Uo){let Nr=Uo;for(const Ca of Gr)Nr=Bi(Nr,Ca);return Nr}g.reduce=co;function*Zn(Gr,Bi,Uo=Gr.length){for(Bi<0&&(Bi+=Gr.length),Uo<0?Uo+=Gr.length:Uo>Gr.length&&(Uo=Gr.length);Bi<Uo;Bi++)yield Gr[Bi]}g.slice=Zn;function An(Gr,Bi=Number.POSITIVE_INFINITY){const Uo=[];if(Bi===0)return[Uo,Gr];const Nr=Gr[Symbol.iterator]();for(let Ca=0;Ca<Bi;Ca++){const Sc=Nr.next();if(Sc.done)return[Uo,g.empty()];Uo.push(Sc.value)}return[Uo,{[Symbol.iterator](){return Nr}}]}g.consume=An;async function Oo(Gr){const Bi=[];for await(const Uo of Gr)Bi.push(Uo);return Promise.resolve(Bi)}g.asyncToArray=Oo})(LB||(LB={}));function Hbt(g){return g}function qbt(g,v){}function Xbe(g){if(LB.is(g)){const v=[];for(const T of g)if(T)try{T.dispose()}catch(W){v.push(W)}if(v.length===1)throw v[0];if(v.length>1)throw new AggregateError(v,"Encountered errors while disposing of store");return Array.isArray(g)?[]:g}else if(g)return g.dispose(),g}function F7e(...g){return kB(()=>Xbe(g))}function kB(g){return{dispose:W7e(()=>{g()})}}const tG=class tG{constructor(){this._toDispose=new Set,this._isDisposed=!1}dispose(){this._isDisposed||(this._isDisposed=!0,this.clear())}get isDisposed(){return this._isDisposed}clear(){if(this._toDispose.size!==0)try{Xbe(this._toDispose)}finally{this._toDispose.clear()}}add(v){if(!v)return v;if(v===this)throw new Error("Cannot register a disposable on itself!");return this._isDisposed?tG.DISABLE_DISPOSED_WARNING||console.warn(new Error("Trying to add a disposable to a DisposableStore that has already been disposed of. The added object will be leaked!").stack):this._toDispose.add(v),v}deleteAndLeak(v){v&&this._toDispose.has(v)&&this._toDispose.delete(v)}};tG.DISABLE_DISPOSED_WARNING=!1;let h6=tG;const Tne=class Tne{constructor(){this._store=new h6,this._store}dispose(){this._store.dispose()}_register(v){if(v===this)throw new Error("Cannot register a disposable on itself!");return this._store.add(v)}};Tne.None=Object.freeze({dispose(){}});let yM=Tne;const PM=class PM{constructor(v){this.element=v,this.next=PM.Undefined,this.prev=PM.Undefined}};PM.Undefined=new PM(void 0);let pp=PM;class z7e{constructor(){this._first=pp.Undefined,this._last=pp.Undefined,this._size=0}get size(){return this._size}isEmpty(){return this._first===pp.Undefined}clear(){let v=this._first;for(;v!==pp.Undefined;){const T=v.next;v.prev=pp.Undefined,v.next=pp.Undefined,v=T}this._first=pp.Undefined,this._last=pp.Undefined,this._size=0}unshift(v){return this._insert(v,!1)}push(v){return this._insert(v,!0)}_insert(v,T){const W=new pp(v);if(this._first===pp.Undefined)this._first=W,this._last=W;else if(T){const ue=this._last;this._last=W,W.prev=ue,ue.next=W}else{const ue=this._first;this._first=W,W.next=ue,ue.prev=W}this._size+=1;let X=!1;return()=>{X||(X=!0,this._remove(W))}}shift(){if(this._first!==pp.Undefined){const v=this._first.element;return this._remove(this._first),v}}pop(){if(this._last!==pp.Undefined){const v=this._last.element;return this._remove(this._last),v}}_remove(v){if(v.prev!==pp.Undefined&&v.next!==pp.Undefined){const T=v.prev;T.next=v.next,v.next.prev=T}else v.prev===pp.Undefined&&v.next===pp.Undefined?(this._first=pp.Undefined,this._last=pp.Undefined):v.next===pp.Undefined?(this._last=this._last.prev,this._last.next=pp.Undefined):v.prev===pp.Undefined&&(this._first=this._first.next,this._first.prev=pp.Undefined);this._size-=1}*[Symbol.iterator](){let v=this._first;for(;v!==pp.Undefined;)yield v.element,v=v.next}}const B7e=globalThis.performance&&typeof globalThis.performance.now=="function";class wB{static create(v){return new wB(v)}constructor(v){this._now=B7e&&v===!1?Date.now:globalThis.performance.now.bind(globalThis.performance),this._startTime=this._now(),this._stopTime=-1}stop(){this._stopTime=this._now()}reset(){this._startTime=this._now(),this._stopTime=-1}elapsed(){return this._stopTime!==-1?this._stopTime-this._startTime:this._now()-this._startTime}}var OB;(function(g){g.None=()=>yM.None;function v(Vo,wt){return Ut(Vo,()=>{},0,void 0,!0,void 0,wt)}g.defer=v;function T(Vo){return(wt,Ia=null,eo)=>{let ia=!1,Pi;return Pi=Vo(rc=>{if(!ia)return Pi?Pi.dispose():ia=!0,wt.call(Ia,rc)},null,eo),ia&&Pi.dispose(),Pi}}g.once=T;function W(Vo,wt){return g.once(g.filter(Vo,wt))}g.onceIf=W;function X(Vo,wt,Ia){return Ue((eo,ia=null,Pi)=>Vo(rc=>eo.call(ia,wt(rc)),null,Pi),Ia)}g.map=X;function ue(Vo,wt,Ia){return Ue((eo,ia=null,Pi)=>Vo(rc=>{wt(rc),eo.call(ia,rc)},null,Pi),Ia)}g.forEach=ue;function Re(Vo,wt,Ia){return Ue((eo,ia=null,Pi)=>Vo(rc=>wt(rc)&&eo.call(ia,rc),null,Pi),Ia)}g.filter=Re;function Be(Vo){return Vo}g.signal=Be;function it(...Vo){return(wt,Ia=null,eo)=>{const ia=F7e(...Vo.map(Pi=>Pi(rc=>wt.call(Ia,rc))));return Bt(ia,eo)}}g.any=it;function Me(Vo,wt,Ia,eo){let ia=Ia;return X(Vo,Pi=>(ia=wt(ia,Pi),ia),eo)}g.reduce=Me;function Ue(Vo,wt){let Ia;const eo={onWillAddFirstListener(){Ia=Vo(ia.fire,ia)},onDidRemoveLastListener(){Ia==null||Ia.dispose()}},ia=new Qb(eo);return wt==null||wt.add(ia),ia.event}function Bt(Vo,wt){return wt instanceof Array?wt.push(Vo):wt&&wt.add(Vo),Vo}function Ut(Vo,wt,Ia=100,eo=!1,ia=!1,Pi,rc){let Qp,vm,RE,Hi=0,Pg;const C6={leakWarningThreshold:Pi,onWillAddFirstListener(){Qp=Vo(Rv=>{Hi++,vm=wt(vm,Rv),eo&&!RE&&(n0.fire(vm),vm=void 0),Pg=()=>{const P6=vm;vm=void 0,RE=void 0,(!eo||Hi>1)&&n0.fire(P6),Hi=0},typeof Ia=="number"?(clearTimeout(RE),RE=setTimeout(Pg,Ia)):RE===void 0&&(RE=0,queueMicrotask(Pg))})},onWillRemoveListener(){ia&&Hi>0&&(Pg==null||Pg())},onDidRemoveLastListener(){Pg=void 0,Qp.dispose()}},n0=new Qb(C6);return rc==null||rc.add(n0),n0.event}g.debounce=Ut;function dn(Vo,wt=0,Ia){return g.debounce(Vo,(eo,ia)=>eo?(eo.push(ia),eo):[ia],wt,void 0,!0,void 0,Ia)}g.accumulate=dn;function Pr(Vo,wt=(eo,ia)=>eo===ia,Ia){let eo=!0,ia;return Re(Vo,Pi=>{const rc=eo||!wt(Pi,ia);return eo=!1,ia=Pi,rc},Ia)}g.latch=Pr;function yi(Vo,wt,Ia){return[g.filter(Vo,wt,Ia),g.filter(Vo,eo=>!wt(eo),Ia)]}g.split=yi;function co(Vo,wt=!1,Ia=[],eo){let ia=Ia.slice(),Pi=Vo(vm=>{ia?ia.push(vm):Qp.fire(vm)});eo&&eo.add(Pi);const rc=()=>{ia==null||ia.forEach(vm=>Qp.fire(vm)),ia=null},Qp=new Qb({onWillAddFirstListener(){Pi||(Pi=Vo(vm=>Qp.fire(vm)),eo&&eo.add(Pi))},onDidAddFirstListener(){ia&&(wt?setTimeout(rc):rc())},onDidRemoveLastListener(){Pi&&Pi.dispose(),Pi=null}});return eo&&eo.add(Qp),Qp.event}g.buffer=co;function Zn(Vo,wt){return(eo,ia,Pi)=>{const rc=wt(new Oo);return Vo(function(Qp){const vm=rc.evaluate(Qp);vm!==An&&eo.call(ia,vm)},void 0,Pi)}}g.chain=Zn;const An=Symbol("HaltChainable");class Oo{constructor(){this.steps=[]}map(wt){return this.steps.push(wt),this}forEach(wt){return this.steps.push(Ia=>(wt(Ia),Ia)),this}filter(wt){return this.steps.push(Ia=>wt(Ia)?Ia:An),this}reduce(wt,Ia){let eo=Ia;return this.steps.push(ia=>(eo=wt(eo,ia),eo)),this}latch(wt=(Ia,eo)=>Ia===eo){let Ia=!0,eo;return this.steps.push(ia=>{const Pi=Ia||!wt(ia,eo);return Ia=!1,eo=ia,Pi?ia:An}),this}evaluate(wt){for(const Ia of this.steps)if(wt=Ia(wt),wt===An)break;return wt}}function Gr(Vo,wt,Ia=eo=>eo){const eo=(...Qp)=>rc.fire(Ia(...Qp)),ia=()=>Vo.on(wt,eo),Pi=()=>Vo.removeListener(wt,eo),rc=new Qb({onWillAddFirstListener:ia,onDidRemoveLastListener:Pi});return rc.event}g.fromNodeEventEmitter=Gr;function Bi(Vo,wt,Ia=eo=>eo){const eo=(...Qp)=>rc.fire(Ia(...Qp)),ia=()=>Vo.addEventListener(wt,eo),Pi=()=>Vo.removeEventListener(wt,eo),rc=new Qb({onWillAddFirstListener:ia,onDidRemoveLastListener:Pi});return rc.event}g.fromDOMEventEmitter=Bi;function Uo(Vo){return new Promise(wt=>T(Vo)(wt))}g.toPromise=Uo;function Nr(Vo){const wt=new Qb;return Vo.then(Ia=>{wt.fire(Ia)},()=>{wt.fire(void 0)}).finally(()=>{wt.dispose()}),wt.event}g.fromPromise=Nr;function Ca(Vo,wt){return Vo(Ia=>wt.fire(Ia))}g.forward=Ca;function Sc(Vo,wt,Ia){return wt(Ia),Vo(eo=>wt(eo))}g.runAndSubscribe=Sc;class au{constructor(wt,Ia){this._observable=wt,this._counter=0,this._hasChanged=!1;const eo={onWillAddFirstListener:()=>{wt.addObserver(this),this._observable.reportChanges()},onDidRemoveLastListener:()=>{wt.removeObserver(this)}};this.emitter=new Qb(eo),Ia&&Ia.add(this.emitter)}beginUpdate(wt){this._counter++}handlePossibleChange(wt){}handleChange(wt,Ia){this._hasChanged=!0}endUpdate(wt){this._counter--,this._counter===0&&(this._observable.reportChanges(),this._hasChanged&&(this._hasChanged=!1,this.emitter.fire(this._observable.get())))}}function nr(Vo,wt){return new au(Vo,wt).emitter.event}g.fromObservable=nr;function Xs(Vo){return(wt,Ia,eo)=>{let ia=0,Pi=!1;const rc={beginUpdate(){ia++},endUpdate(){ia--,ia===0&&(Vo.reportChanges(),Pi&&(Pi=!1,wt.call(Ia)))},handlePossibleChange(){},handleChange(){Pi=!0}};Vo.addObserver(rc),Vo.reportChanges();const Qp={dispose(){Vo.removeObserver(rc)}};return eo instanceof h6?eo.add(Qp):Array.isArray(eo)&&eo.push(Qp),Qp}}g.fromObservableLight=Xs})(OB||(OB={}));const MM=class MM{constructor(v){this.listenerCount=0,this.invocationCount=0,this.elapsedOverall=0,this.durations=[],this.name=`${v}_${MM._idPool++}`,MM.all.add(this)}start(v){this._stopWatch=new wB,this.listenerCount=v}stop(){if(this._stopWatch){const v=this._stopWatch.elapsed();this.durations.push(v),this.elapsedOverall+=v,this.invocationCount+=1,this._stopWatch=void 0}}};MM.all=new Set,MM._idPool=0;let Wte=MM,G7e=-1;const nG=class nG{constructor(v,T,W=(nG._idPool++).toString(16).padStart(3,"0")){this._errorHandler=v,this.threshold=T,this.name=W,this._warnCountdown=0}dispose(){var v;(v=this._stacks)==null||v.clear()}check(v,T){const W=this.threshold;if(W<=0||T<W)return;this._stacks||(this._stacks=new Map);const X=this._stacks.get(v.value)||0;if(this._stacks.set(v.value,X+1),this._warnCountdown-=1,this._warnCountdown<=0){this._warnCountdown=W*.5;const[ue,Re]=this.getMostFrequentStack(),Be=`[${this.name}] potential listener LEAK detected, having ${T} listeners already. MOST frequent listener (${Re}):`;console.warn(Be),console.warn(ue);const it=new V7e(Be,ue);this._errorHandler(it)}return()=>{const ue=this._stacks.get(v.value)||0;this._stacks.set(v.value,ue-1)}}getMostFrequentStack(){if(!this._stacks)return;let v,T=0;for(const[W,X]of this._stacks)(!v||T<X)&&(v=[W,X],T=X);return v}};nG._idPool=1;let Fte=nG;class zte{static create(){const v=new Error;return new zte(v.stack??"")}constructor(v){this.value=v}print(){console.warn(this.value.split(`
+`).slice(2).join(`
+`))}}class V7e extends Error{constructor(v,T){super(v),this.name="ListenerLeakError",this.stack=T}}class j7e extends Error{constructor(v,T){super(v),this.name="ListenerRefusalError",this.stack=T}}class Bte{constructor(v){this.value=v}}const U7e=2;class Qb{constructor(v){var T,W,X,ue;this._size=0,this._options=v,this._leakageMon=(T=this._options)!=null&&T.leakWarningThreshold?new Fte((v==null?void 0:v.onListenerError)??_6,((W=this._options)==null?void 0:W.leakWarningThreshold)??G7e):void 0,this._perfMon=(X=this._options)!=null&&X._profName?new Wte(this._options._profName):void 0,this._deliveryQueue=(ue=this._options)==null?void 0:ue.deliveryQueue}dispose(){var v,T,W,X;this._disposed||(this._disposed=!0,((v=this._deliveryQueue)==null?void 0:v.current)===this&&this._deliveryQueue.reset(),this._listeners&&(this._listeners=void 0,this._size=0),(W=(T=this._options)==null?void 0:T.onDidRemoveLastListener)==null||W.call(T),(X=this._leakageMon)==null||X.dispose())}get event(){return this._event??(this._event=(v,T,W)=>{var Be,it,Me,Ue,Bt;if(this._leakageMon&&this._size>this._leakageMon.threshold**2){const Ut=`[${this._leakageMon.name}] REFUSES to accept new listeners because it exceeded its threshold by far (${this._size} vs ${this._leakageMon.threshold})`;console.warn(Ut);const dn=this._leakageMon.getMostFrequentStack()??["UNKNOWN stack",-1],Pr=new j7e(`${Ut}. HINT: Stack shows most frequent listener (${dn[1]}-times)`,dn[0]);return(((Be=this._options)==null?void 0:Be.onListenerError)||_6)(Pr),yM.None}if(this._disposed)return yM.None;T&&(v=v.bind(T));const X=new Bte(v);let ue;this._leakageMon&&this._size>=Math.ceil(this._leakageMon.threshold*.2)&&(X.stack=zte.create(),ue=this._leakageMon.check(X.stack,this._size+1)),this._listeners?this._listeners instanceof Bte?(this._deliveryQueue??(this._deliveryQueue=new H7e),this._listeners=[this._listeners,X]):this._listeners.push(X):((Me=(it=this._options)==null?void 0:it.onWillAddFirstListener)==null||Me.call(it,this),this._listeners=X,(Bt=(Ue=this._options)==null?void 0:Ue.onDidAddFirstListener)==null||Bt.call(Ue,this)),this._size++;const Re=kB(()=>{ue==null||ue(),this._removeListener(X)});return W instanceof h6?W.add(Re):Array.isArray(W)&&W.push(Re),Re}),this._event}_removeListener(v){var ue,Re,Be,it;if((Re=(ue=this._options)==null?void 0:ue.onWillRemoveListener)==null||Re.call(ue,this),!this._listeners)return;if(this._size===1){this._listeners=void 0,(it=(Be=this._options)==null?void 0:Be.onDidRemoveLastListener)==null||it.call(Be,this),this._size=0;return}const T=this._listeners,W=T.indexOf(v);if(W===-1)throw console.log("disposed?",this._disposed),console.log("size?",this._size),console.log("arr?",JSON.stringify(this._listeners)),new Error("Attempted to dispose unknown listener");this._size--,T[W]=void 0;const X=this._deliveryQueue.current===this;if(this._size*U7e<=T.length){let Me=0;for(let Ue=0;Ue<T.length;Ue++)T[Ue]?T[Me++]=T[Ue]:X&&(this._deliveryQueue.end--,Me<this._deliveryQueue.i&&this._deliveryQueue.i--);T.length=Me}}_deliver(v,T){var X;if(!v)return;const W=((X=this._options)==null?void 0:X.onListenerError)||_6;if(!W){v.value(T);return}try{v.value(T)}catch(ue){W(ue)}}_deliverQueue(v){const T=v.current._listeners;for(;v.i<v.end;)this._deliver(T[v.i++],v.value);v.reset()}fire(v){var T,W,X,ue;if((T=this._deliveryQueue)!=null&&T.current&&(this._deliverQueue(this._deliveryQueue),(W=this._perfMon)==null||W.stop()),(X=this._perfMon)==null||X.start(this._size),this._listeners)if(this._listeners instanceof Bte)this._deliver(this._listeners,v);else{const Re=this._deliveryQueue;Re.enqueue(this,v,this._listeners.length),this._deliverQueue(Re)}(ue=this._perfMon)==null||ue.stop()}hasListeners(){return this._size>0}}class H7e{constructor(){this.i=-1,this.end=0}enqueue(v,T,W){this.i=0,this.end=W,this.current=v,this.value=T}reset(){this.i=this.end,this.current=void 0,this.value=void 0}}function q7e(){return globalThis._VSCODE_NLS_MESSAGES}function Ybe(){return globalThis._VSCODE_NLS_LANGUAGE}const J7e=Ybe()==="pseudo"||typeof document<"u"&&document.location&&document.location.hash.indexOf("pseudo=true")>=0;function $be(g,v){let T;return v.length===0?T=g:T=g.replace(/\{(\d+)\}/g,(W,X)=>{const ue=X[0],Re=v[ue];let Be=W;return typeof Re=="string"?Be=Re:(typeof Re=="number"||typeof Re=="boolean"||Re===void 0||Re===null)&&(Be=String(Re)),Be}),J7e&&(T="["+T.replace(/[aouei]/g,"$&$&")+"]"),T}function Qd(g,v,...T){return $be(typeof g=="number"?K7e(g,v):v,T)}function K7e(g,v){var W;const T=(W=q7e())==null?void 0:W[g];if(typeof T!="string"){if(typeof v=="string")return v;throw new Error(`!!! NLS MISSING: ${g} !!!`)}return T}const bM="en";let Gte=!1,Vte=!1,jte=!1,Qbe=!1,Ute=!1,WB,Hte=bM,Zbe=bM,X7e,SE;const _A=globalThis;let ah;typeof _A.vscode<"u"&&typeof _A.vscode.process<"u"?ah=_A.vscode.process:typeof process<"u"&&typeof((m1e=process==null?void 0:process.versions)==null?void 0:m1e.node)=="string"&&(ah=process);const Y7e=typeof((_1e=ah==null?void 0:ah.versions)==null?void 0:_1e.electron)=="string"&&(ah==null?void 0:ah.type)==="renderer";if(typeof ah=="object"){Gte=ah.platform==="win32",Vte=ah.platform==="darwin",jte=ah.platform==="linux",jte&&ah.env.SNAP&&ah.env.SNAP_REVISION,ah.env.CI||ah.env.BUILD_ARTIFACTSTAGINGDIRECTORY,WB=bM,Hte=bM;const g=ah.env.VSCODE_NLS_CONFIG;if(g)try{const v=JSON.parse(g);WB=v.userLocale,Zbe=v.osLocale,Hte=v.resolvedLanguage||bM,X7e=(h1e=v.languagePack)==null?void 0:h1e.translationsConfigFile}catch{}Qbe=!0}else typeof navigator=="object"&&!Y7e?(SE=navigator.userAgent,Gte=SE.indexOf("Windows")>=0,Vte=SE.indexOf("Macintosh")>=0,(SE.indexOf("Macintosh")>=0||SE.indexOf("iPad")>=0||SE.indexOf("iPhone")>=0)&&navigator.maxTouchPoints&&navigator.maxTouchPoints>0,jte=SE.indexOf("Linux")>=0,(SE==null?void 0:SE.indexOf("Mobi"))>=0,Ute=!0,Hte=Ybe()||bM,WB=navigator.language.toLowerCase(),Zbe=WB):console.error("Unable to resolve platform.");const g6=Gte,$7e=Vte,Q7e=Qbe,Z7e=Ute,e5e=Ute&&typeof _A.importScripts=="function"?_A.origin:void 0,sS=SE,t5e=typeof _A.postMessage=="function"&&!_A.importScripts;(()=>{if(t5e){const g=[];_A.addEventListener("message",T=>{if(T.data&&T.data.vscodeScheduleAsyncWork)for(let W=0,X=g.length;W<X;W++){const ue=g[W];if(ue.id===T.data.vscodeScheduleAsyncWork){g.splice(W,1),ue.callback();return}}});let v=0;return T=>{const W=++v;g.push({id:W,callback:T}),_A.postMessage({vscodeScheduleAsyncWork:W},"*")}}return g=>setTimeout(g)})();const n5e=!!(sS&&sS.indexOf("Chrome")>=0);sS&&sS.indexOf("Firefox")>=0,!n5e&&sS&&sS.indexOf("Safari")>=0,sS&&sS.indexOf("Edg/")>=0,sS&&sS.indexOf("Android")>=0;function r5e(g){return g}class i5e{constructor(v,T){this.lastCache=void 0,this.lastArgKey=void 0,typeof v=="function"?(this._fn=v,this._computeKey=r5e):(this._fn=T,this._computeKey=v.getCacheKey)}get(v){const T=this._computeKey(v);return this.lastArgKey!==T&&(this.lastArgKey=T,this.lastCache=this._fn(v)),this.lastCache}}class e0e{constructor(v){this.executor=v,this._didRun=!1}get value(){if(!this._didRun)try{this._value=this.executor()}catch(v){this._error=v}finally{this._didRun=!0}if(this._error)throw this._error;return this._value}get rawValue(){return this._value}}function o5e(g){return g.replace(/[\\\{\}\*\+\?\|\^\$\.\[\]\(\)]/g,"\\$&")}function a5e(g){return g.split(/\r\n|\r|\n/)}function s5e(g){for(let v=0,T=g.length;v<T;v++){const W=g.charCodeAt(v);if(W!==32&&W!==9)return v}return-1}function l5e(g,v=g.length-1){for(let T=v;T>=0;T--){const W=g.charCodeAt(T);if(W!==32&&W!==9)return T}return-1}function t0e(g){return g>=65&&g<=90}function FB(g){return 55296<=g&&g<=56319}function qte(g){return 56320<=g&&g<=57343}function n0e(g,v){return(g-55296<<10)+(v-56320)+65536}function c5e(g,v,T){const W=g.charCodeAt(T);if(FB(W)&&T+1<v){const X=g.charCodeAt(T+1);if(qte(X))return n0e(W,X)}return W}const u5e=/^[\t\n\r\x20-\x7E]*$/;function d5e(g){return u5e.test(g)}const cS=class cS{static getInstance(v){return cS.cache.get(Array.from(v))}static getLocales(){return cS._locales.value}constructor(v){this.confusableDictionary=v}isAmbiguous(v){return this.confusableDictionary.has(v)}getPrimaryConfusable(v){return this.confusableDictionary.get(v)}getConfusableCodePoints(){return new Set(this.confusableDictionary.keys())}};cS.ambiguousCharacterData=new e0e(()=>JSON.parse('{"_common":[8232,32,8233,32,5760,32,8192,32,8193,32,8194,32,8195,32,8196,32,8197,32,8198,32,8200,32,8201,32,8202,32,8287,32,8199,32,8239,32,2042,95,65101,95,65102,95,65103,95,8208,45,8209,45,8210,45,65112,45,1748,45,8259,45,727,45,8722,45,10134,45,11450,45,1549,44,1643,44,8218,44,184,44,42233,44,894,59,2307,58,2691,58,1417,58,1795,58,1796,58,5868,58,65072,58,6147,58,6153,58,8282,58,1475,58,760,58,42889,58,8758,58,720,58,42237,58,451,33,11601,33,660,63,577,63,2429,63,5038,63,42731,63,119149,46,8228,46,1793,46,1794,46,42510,46,68176,46,1632,46,1776,46,42232,46,1373,96,65287,96,8219,96,8242,96,1370,96,1523,96,8175,96,65344,96,900,96,8189,96,8125,96,8127,96,8190,96,697,96,884,96,712,96,714,96,715,96,756,96,699,96,701,96,700,96,702,96,42892,96,1497,96,2036,96,2037,96,5194,96,5836,96,94033,96,94034,96,65339,91,10088,40,10098,40,12308,40,64830,40,65341,93,10089,41,10099,41,12309,41,64831,41,10100,123,119060,123,10101,125,65342,94,8270,42,1645,42,8727,42,66335,42,5941,47,8257,47,8725,47,8260,47,9585,47,10187,47,10744,47,119354,47,12755,47,12339,47,11462,47,20031,47,12035,47,65340,92,65128,92,8726,92,10189,92,10741,92,10745,92,119311,92,119355,92,12756,92,20022,92,12034,92,42872,38,708,94,710,94,5869,43,10133,43,66203,43,8249,60,10094,60,706,60,119350,60,5176,60,5810,60,5120,61,11840,61,12448,61,42239,61,8250,62,10095,62,707,62,119351,62,5171,62,94015,62,8275,126,732,126,8128,126,8764,126,65372,124,65293,45,120784,50,120794,50,120804,50,120814,50,120824,50,130034,50,42842,50,423,50,1000,50,42564,50,5311,50,42735,50,119302,51,120785,51,120795,51,120805,51,120815,51,120825,51,130035,51,42923,51,540,51,439,51,42858,51,11468,51,1248,51,94011,51,71882,51,120786,52,120796,52,120806,52,120816,52,120826,52,130036,52,5070,52,71855,52,120787,53,120797,53,120807,53,120817,53,120827,53,130037,53,444,53,71867,53,120788,54,120798,54,120808,54,120818,54,120828,54,130038,54,11474,54,5102,54,71893,54,119314,55,120789,55,120799,55,120809,55,120819,55,120829,55,130039,55,66770,55,71878,55,2819,56,2538,56,2666,56,125131,56,120790,56,120800,56,120810,56,120820,56,120830,56,130040,56,547,56,546,56,66330,56,2663,57,2920,57,2541,57,3437,57,120791,57,120801,57,120811,57,120821,57,120831,57,130041,57,42862,57,11466,57,71884,57,71852,57,71894,57,9082,97,65345,97,119834,97,119886,97,119938,97,119990,97,120042,97,120094,97,120146,97,120198,97,120250,97,120302,97,120354,97,120406,97,120458,97,593,97,945,97,120514,97,120572,97,120630,97,120688,97,120746,97,65313,65,119808,65,119860,65,119912,65,119964,65,120016,65,120068,65,120120,65,120172,65,120224,65,120276,65,120328,65,120380,65,120432,65,913,65,120488,65,120546,65,120604,65,120662,65,120720,65,5034,65,5573,65,42222,65,94016,65,66208,65,119835,98,119887,98,119939,98,119991,98,120043,98,120095,98,120147,98,120199,98,120251,98,120303,98,120355,98,120407,98,120459,98,388,98,5071,98,5234,98,5551,98,65314,66,8492,66,119809,66,119861,66,119913,66,120017,66,120069,66,120121,66,120173,66,120225,66,120277,66,120329,66,120381,66,120433,66,42932,66,914,66,120489,66,120547,66,120605,66,120663,66,120721,66,5108,66,5623,66,42192,66,66178,66,66209,66,66305,66,65347,99,8573,99,119836,99,119888,99,119940,99,119992,99,120044,99,120096,99,120148,99,120200,99,120252,99,120304,99,120356,99,120408,99,120460,99,7428,99,1010,99,11429,99,43951,99,66621,99,128844,67,71922,67,71913,67,65315,67,8557,67,8450,67,8493,67,119810,67,119862,67,119914,67,119966,67,120018,67,120174,67,120226,67,120278,67,120330,67,120382,67,120434,67,1017,67,11428,67,5087,67,42202,67,66210,67,66306,67,66581,67,66844,67,8574,100,8518,100,119837,100,119889,100,119941,100,119993,100,120045,100,120097,100,120149,100,120201,100,120253,100,120305,100,120357,100,120409,100,120461,100,1281,100,5095,100,5231,100,42194,100,8558,68,8517,68,119811,68,119863,68,119915,68,119967,68,120019,68,120071,68,120123,68,120175,68,120227,68,120279,68,120331,68,120383,68,120435,68,5024,68,5598,68,5610,68,42195,68,8494,101,65349,101,8495,101,8519,101,119838,101,119890,101,119942,101,120046,101,120098,101,120150,101,120202,101,120254,101,120306,101,120358,101,120410,101,120462,101,43826,101,1213,101,8959,69,65317,69,8496,69,119812,69,119864,69,119916,69,120020,69,120072,69,120124,69,120176,69,120228,69,120280,69,120332,69,120384,69,120436,69,917,69,120492,69,120550,69,120608,69,120666,69,120724,69,11577,69,5036,69,42224,69,71846,69,71854,69,66182,69,119839,102,119891,102,119943,102,119995,102,120047,102,120099,102,120151,102,120203,102,120255,102,120307,102,120359,102,120411,102,120463,102,43829,102,42905,102,383,102,7837,102,1412,102,119315,70,8497,70,119813,70,119865,70,119917,70,120021,70,120073,70,120125,70,120177,70,120229,70,120281,70,120333,70,120385,70,120437,70,42904,70,988,70,120778,70,5556,70,42205,70,71874,70,71842,70,66183,70,66213,70,66853,70,65351,103,8458,103,119840,103,119892,103,119944,103,120048,103,120100,103,120152,103,120204,103,120256,103,120308,103,120360,103,120412,103,120464,103,609,103,7555,103,397,103,1409,103,119814,71,119866,71,119918,71,119970,71,120022,71,120074,71,120126,71,120178,71,120230,71,120282,71,120334,71,120386,71,120438,71,1292,71,5056,71,5107,71,42198,71,65352,104,8462,104,119841,104,119945,104,119997,104,120049,104,120101,104,120153,104,120205,104,120257,104,120309,104,120361,104,120413,104,120465,104,1211,104,1392,104,5058,104,65320,72,8459,72,8460,72,8461,72,119815,72,119867,72,119919,72,120023,72,120179,72,120231,72,120283,72,120335,72,120387,72,120439,72,919,72,120494,72,120552,72,120610,72,120668,72,120726,72,11406,72,5051,72,5500,72,42215,72,66255,72,731,105,9075,105,65353,105,8560,105,8505,105,8520,105,119842,105,119894,105,119946,105,119998,105,120050,105,120102,105,120154,105,120206,105,120258,105,120310,105,120362,105,120414,105,120466,105,120484,105,618,105,617,105,953,105,8126,105,890,105,120522,105,120580,105,120638,105,120696,105,120754,105,1110,105,42567,105,1231,105,43893,105,5029,105,71875,105,65354,106,8521,106,119843,106,119895,106,119947,106,119999,106,120051,106,120103,106,120155,106,120207,106,120259,106,120311,106,120363,106,120415,106,120467,106,1011,106,1112,106,65322,74,119817,74,119869,74,119921,74,119973,74,120025,74,120077,74,120129,74,120181,74,120233,74,120285,74,120337,74,120389,74,120441,74,42930,74,895,74,1032,74,5035,74,5261,74,42201,74,119844,107,119896,107,119948,107,120000,107,120052,107,120104,107,120156,107,120208,107,120260,107,120312,107,120364,107,120416,107,120468,107,8490,75,65323,75,119818,75,119870,75,119922,75,119974,75,120026,75,120078,75,120130,75,120182,75,120234,75,120286,75,120338,75,120390,75,120442,75,922,75,120497,75,120555,75,120613,75,120671,75,120729,75,11412,75,5094,75,5845,75,42199,75,66840,75,1472,108,8739,73,9213,73,65512,73,1633,108,1777,73,66336,108,125127,108,120783,73,120793,73,120803,73,120813,73,120823,73,130033,73,65321,73,8544,73,8464,73,8465,73,119816,73,119868,73,119920,73,120024,73,120128,73,120180,73,120232,73,120284,73,120336,73,120388,73,120440,73,65356,108,8572,73,8467,108,119845,108,119897,108,119949,108,120001,108,120053,108,120105,73,120157,73,120209,73,120261,73,120313,73,120365,73,120417,73,120469,73,448,73,120496,73,120554,73,120612,73,120670,73,120728,73,11410,73,1030,73,1216,73,1493,108,1503,108,1575,108,126464,108,126592,108,65166,108,65165,108,1994,108,11599,73,5825,73,42226,73,93992,73,66186,124,66313,124,119338,76,8556,76,8466,76,119819,76,119871,76,119923,76,120027,76,120079,76,120131,76,120183,76,120235,76,120287,76,120339,76,120391,76,120443,76,11472,76,5086,76,5290,76,42209,76,93974,76,71843,76,71858,76,66587,76,66854,76,65325,77,8559,77,8499,77,119820,77,119872,77,119924,77,120028,77,120080,77,120132,77,120184,77,120236,77,120288,77,120340,77,120392,77,120444,77,924,77,120499,77,120557,77,120615,77,120673,77,120731,77,1018,77,11416,77,5047,77,5616,77,5846,77,42207,77,66224,77,66321,77,119847,110,119899,110,119951,110,120003,110,120055,110,120107,110,120159,110,120211,110,120263,110,120315,110,120367,110,120419,110,120471,110,1400,110,1404,110,65326,78,8469,78,119821,78,119873,78,119925,78,119977,78,120029,78,120081,78,120185,78,120237,78,120289,78,120341,78,120393,78,120445,78,925,78,120500,78,120558,78,120616,78,120674,78,120732,78,11418,78,42208,78,66835,78,3074,111,3202,111,3330,111,3458,111,2406,111,2662,111,2790,111,3046,111,3174,111,3302,111,3430,111,3664,111,3792,111,4160,111,1637,111,1781,111,65359,111,8500,111,119848,111,119900,111,119952,111,120056,111,120108,111,120160,111,120212,111,120264,111,120316,111,120368,111,120420,111,120472,111,7439,111,7441,111,43837,111,959,111,120528,111,120586,111,120644,111,120702,111,120760,111,963,111,120532,111,120590,111,120648,111,120706,111,120764,111,11423,111,4351,111,1413,111,1505,111,1607,111,126500,111,126564,111,126596,111,65259,111,65260,111,65258,111,65257,111,1726,111,64428,111,64429,111,64427,111,64426,111,1729,111,64424,111,64425,111,64423,111,64422,111,1749,111,3360,111,4125,111,66794,111,71880,111,71895,111,66604,111,1984,79,2534,79,2918,79,12295,79,70864,79,71904,79,120782,79,120792,79,120802,79,120812,79,120822,79,130032,79,65327,79,119822,79,119874,79,119926,79,119978,79,120030,79,120082,79,120134,79,120186,79,120238,79,120290,79,120342,79,120394,79,120446,79,927,79,120502,79,120560,79,120618,79,120676,79,120734,79,11422,79,1365,79,11604,79,4816,79,2848,79,66754,79,42227,79,71861,79,66194,79,66219,79,66564,79,66838,79,9076,112,65360,112,119849,112,119901,112,119953,112,120005,112,120057,112,120109,112,120161,112,120213,112,120265,112,120317,112,120369,112,120421,112,120473,112,961,112,120530,112,120544,112,120588,112,120602,112,120646,112,120660,112,120704,112,120718,112,120762,112,120776,112,11427,112,65328,80,8473,80,119823,80,119875,80,119927,80,119979,80,120031,80,120083,80,120187,80,120239,80,120291,80,120343,80,120395,80,120447,80,929,80,120504,80,120562,80,120620,80,120678,80,120736,80,11426,80,5090,80,5229,80,42193,80,66197,80,119850,113,119902,113,119954,113,120006,113,120058,113,120110,113,120162,113,120214,113,120266,113,120318,113,120370,113,120422,113,120474,113,1307,113,1379,113,1382,113,8474,81,119824,81,119876,81,119928,81,119980,81,120032,81,120084,81,120188,81,120240,81,120292,81,120344,81,120396,81,120448,81,11605,81,119851,114,119903,114,119955,114,120007,114,120059,114,120111,114,120163,114,120215,114,120267,114,120319,114,120371,114,120423,114,120475,114,43847,114,43848,114,7462,114,11397,114,43905,114,119318,82,8475,82,8476,82,8477,82,119825,82,119877,82,119929,82,120033,82,120189,82,120241,82,120293,82,120345,82,120397,82,120449,82,422,82,5025,82,5074,82,66740,82,5511,82,42211,82,94005,82,65363,115,119852,115,119904,115,119956,115,120008,115,120060,115,120112,115,120164,115,120216,115,120268,115,120320,115,120372,115,120424,115,120476,115,42801,115,445,115,1109,115,43946,115,71873,115,66632,115,65331,83,119826,83,119878,83,119930,83,119982,83,120034,83,120086,83,120138,83,120190,83,120242,83,120294,83,120346,83,120398,83,120450,83,1029,83,1359,83,5077,83,5082,83,42210,83,94010,83,66198,83,66592,83,119853,116,119905,116,119957,116,120009,116,120061,116,120113,116,120165,116,120217,116,120269,116,120321,116,120373,116,120425,116,120477,116,8868,84,10201,84,128872,84,65332,84,119827,84,119879,84,119931,84,119983,84,120035,84,120087,84,120139,84,120191,84,120243,84,120295,84,120347,84,120399,84,120451,84,932,84,120507,84,120565,84,120623,84,120681,84,120739,84,11430,84,5026,84,42196,84,93962,84,71868,84,66199,84,66225,84,66325,84,119854,117,119906,117,119958,117,120010,117,120062,117,120114,117,120166,117,120218,117,120270,117,120322,117,120374,117,120426,117,120478,117,42911,117,7452,117,43854,117,43858,117,651,117,965,117,120534,117,120592,117,120650,117,120708,117,120766,117,1405,117,66806,117,71896,117,8746,85,8899,85,119828,85,119880,85,119932,85,119984,85,120036,85,120088,85,120140,85,120192,85,120244,85,120296,85,120348,85,120400,85,120452,85,1357,85,4608,85,66766,85,5196,85,42228,85,94018,85,71864,85,8744,118,8897,118,65366,118,8564,118,119855,118,119907,118,119959,118,120011,118,120063,118,120115,118,120167,118,120219,118,120271,118,120323,118,120375,118,120427,118,120479,118,7456,118,957,118,120526,118,120584,118,120642,118,120700,118,120758,118,1141,118,1496,118,71430,118,43945,118,71872,118,119309,86,1639,86,1783,86,8548,86,119829,86,119881,86,119933,86,119985,86,120037,86,120089,86,120141,86,120193,86,120245,86,120297,86,120349,86,120401,86,120453,86,1140,86,11576,86,5081,86,5167,86,42719,86,42214,86,93960,86,71840,86,66845,86,623,119,119856,119,119908,119,119960,119,120012,119,120064,119,120116,119,120168,119,120220,119,120272,119,120324,119,120376,119,120428,119,120480,119,7457,119,1121,119,1309,119,1377,119,71434,119,71438,119,71439,119,43907,119,71919,87,71910,87,119830,87,119882,87,119934,87,119986,87,120038,87,120090,87,120142,87,120194,87,120246,87,120298,87,120350,87,120402,87,120454,87,1308,87,5043,87,5076,87,42218,87,5742,120,10539,120,10540,120,10799,120,65368,120,8569,120,119857,120,119909,120,119961,120,120013,120,120065,120,120117,120,120169,120,120221,120,120273,120,120325,120,120377,120,120429,120,120481,120,5441,120,5501,120,5741,88,9587,88,66338,88,71916,88,65336,88,8553,88,119831,88,119883,88,119935,88,119987,88,120039,88,120091,88,120143,88,120195,88,120247,88,120299,88,120351,88,120403,88,120455,88,42931,88,935,88,120510,88,120568,88,120626,88,120684,88,120742,88,11436,88,11613,88,5815,88,42219,88,66192,88,66228,88,66327,88,66855,88,611,121,7564,121,65369,121,119858,121,119910,121,119962,121,120014,121,120066,121,120118,121,120170,121,120222,121,120274,121,120326,121,120378,121,120430,121,120482,121,655,121,7935,121,43866,121,947,121,8509,121,120516,121,120574,121,120632,121,120690,121,120748,121,1199,121,4327,121,71900,121,65337,89,119832,89,119884,89,119936,89,119988,89,120040,89,120092,89,120144,89,120196,89,120248,89,120300,89,120352,89,120404,89,120456,89,933,89,978,89,120508,89,120566,89,120624,89,120682,89,120740,89,11432,89,1198,89,5033,89,5053,89,42220,89,94019,89,71844,89,66226,89,119859,122,119911,122,119963,122,120015,122,120067,122,120119,122,120171,122,120223,122,120275,122,120327,122,120379,122,120431,122,120483,122,7458,122,43923,122,71876,122,66293,90,71909,90,65338,90,8484,90,8488,90,119833,90,119885,90,119937,90,119989,90,120041,90,120197,90,120249,90,120301,90,120353,90,120405,90,120457,90,918,90,120493,90,120551,90,120609,90,120667,90,120725,90,5059,90,42204,90,71849,90,65282,34,65284,36,65285,37,65286,38,65290,42,65291,43,65294,46,65295,47,65296,48,65297,49,65298,50,65299,51,65300,52,65301,53,65302,54,65303,55,65304,56,65305,57,65308,60,65309,61,65310,62,65312,64,65316,68,65318,70,65319,71,65324,76,65329,81,65330,82,65333,85,65334,86,65335,87,65343,95,65346,98,65348,100,65350,102,65355,107,65357,109,65358,110,65361,113,65362,114,65364,116,65365,117,65367,119,65370,122,65371,123,65373,125,119846,109],"_default":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"cs":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"de":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"es":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"fr":[65374,126,65306,58,65281,33,8216,96,8245,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"it":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ja":[8211,45,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65292,44,65307,59],"ko":[8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pl":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"pt-BR":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"qps-ploc":[160,32,8211,45,65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"ru":[65374,126,65306,58,65281,33,8216,96,8217,96,8245,96,180,96,12494,47,305,105,921,73,1009,112,215,120,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"tr":[160,32,8211,45,65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65288,40,65289,41,65292,44,65307,59,65311,63],"zh-hans":[65374,126,65306,58,65281,33,8245,96,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65288,40,65289,41],"zh-hant":[8211,45,65374,126,180,96,12494,47,1047,51,1073,54,1072,97,1040,65,1068,98,1042,66,1089,99,1057,67,1077,101,1045,69,1053,72,305,105,1050,75,921,73,1052,77,1086,111,1054,79,1009,112,1088,112,1056,80,1075,114,1058,84,215,120,1093,120,1061,88,1091,121,1059,89,65283,35,65307,59]}')),cS.cache=new i5e({getCacheKey:JSON.stringify},v=>{function T(Ue){const Bt=new Map;for(let Ut=0;Ut<Ue.length;Ut+=2)Bt.set(Ue[Ut],Ue[Ut+1]);return Bt}function W(Ue,Bt){const Ut=new Map(Ue);for(const[dn,Pr]of Bt)Ut.set(dn,Pr);return Ut}function X(Ue,Bt){if(!Ue)return Bt;const Ut=new Map;for(const[dn,Pr]of Ue)Bt.has(dn)&&Ut.set(dn,Pr);return Ut}const ue=cS.ambiguousCharacterData.value;let Re=v.filter(Ue=>!Ue.startsWith("_")&&Ue in ue);Re.length===0&&(Re=["_default"]);let Be;for(const Ue of Re){const Bt=T(ue[Ue]);Be=X(Be,Bt)}const it=T(ue._common),Me=W(it,Be);return new cS(Me)}),cS._locales=new e0e(()=>Object.keys(cS.ambiguousCharacterData.value).filter(v=>!v.startsWith("_")));let v6=cS;const LM=class LM{static getRawData(){return JSON.parse("[9,10,11,12,13,32,127,160,173,847,1564,4447,4448,6068,6069,6155,6156,6157,6158,7355,7356,8192,8193,8194,8195,8196,8197,8198,8199,8200,8201,8202,8203,8204,8205,8206,8207,8234,8235,8236,8237,8238,8239,8287,8288,8289,8290,8291,8292,8293,8294,8295,8296,8297,8298,8299,8300,8301,8302,8303,10240,12288,12644,65024,65025,65026,65027,65028,65029,65030,65031,65032,65033,65034,65035,65036,65037,65038,65039,65279,65440,65520,65521,65522,65523,65524,65525,65526,65527,65528,65532,78844,119155,119156,119157,119158,119159,119160,119161,119162,917504,917505,917506,917507,917508,917509,917510,917511,917512,917513,917514,917515,917516,917517,917518,917519,917520,917521,917522,917523,917524,917525,917526,917527,917528,917529,917530,917531,917532,917533,917534,917535,917536,917537,917538,917539,917540,917541,917542,917543,917544,917545,917546,917547,917548,917549,917550,917551,917552,917553,917554,917555,917556,917557,917558,917559,917560,917561,917562,917563,917564,917565,917566,917567,917568,917569,917570,917571,917572,917573,917574,917575,917576,917577,917578,917579,917580,917581,917582,917583,917584,917585,917586,917587,917588,917589,917590,917591,917592,917593,917594,917595,917596,917597,917598,917599,917600,917601,917602,917603,917604,917605,917606,917607,917608,917609,917610,917611,917612,917613,917614,917615,917616,917617,917618,917619,917620,917621,917622,917623,917624,917625,917626,917627,917628,917629,917630,917631,917760,917761,917762,917763,917764,917765,917766,917767,917768,917769,917770,917771,917772,917773,917774,917775,917776,917777,917778,917779,917780,917781,917782,917783,917784,917785,917786,917787,917788,917789,917790,917791,917792,917793,917794,917795,917796,917797,917798,917799,917800,917801,917802,917803,917804,917805,917806,917807,917808,917809,917810,917811,917812,917813,917814,917815,917816,917817,917818,917819,917820,917821,917822,917823,917824,917825,917826,917827,917828,917829,917830,917831,917832,917833,917834,917835,917836,917837,917838,917839,917840,917841,917842,917843,917844,917845,917846,917847,917848,917849,917850,917851,917852,917853,917854,917855,917856,917857,917858,917859,917860,917861,917862,917863,917864,917865,917866,917867,917868,917869,917870,917871,917872,917873,917874,917875,917876,917877,917878,917879,917880,917881,917882,917883,917884,917885,917886,917887,917888,917889,917890,917891,917892,917893,917894,917895,917896,917897,917898,917899,917900,917901,917902,917903,917904,917905,917906,917907,917908,917909,917910,917911,917912,917913,917914,917915,917916,917917,917918,917919,917920,917921,917922,917923,917924,917925,917926,917927,917928,917929,917930,917931,917932,917933,917934,917935,917936,917937,917938,917939,917940,917941,917942,917943,917944,917945,917946,917947,917948,917949,917950,917951,917952,917953,917954,917955,917956,917957,917958,917959,917960,917961,917962,917963,917964,917965,917966,917967,917968,917969,917970,917971,917972,917973,917974,917975,917976,917977,917978,917979,917980,917981,917982,917983,917984,917985,917986,917987,917988,917989,917990,917991,917992,917993,917994,917995,917996,917997,917998,917999]")}static getData(){return this._data||(this._data=new Set(LM.getRawData())),this._data}static isInvisibleCharacter(v){return LM.getData().has(v)}static get codePoints(){return LM.getData()}};LM._data=void 0;let y6=LM;var r0e={};let EM;const Jte=globalThis.vscode;if(typeof Jte<"u"&&typeof Jte.process<"u"){const g=Jte.process;EM={get platform(){return g.platform},get arch(){return g.arch},get env(){return g.env},cwd(){return g.cwd()}}}else typeof process<"u"&&typeof((g1e=process==null?void 0:process.versions)==null?void 0:g1e.node)=="string"?EM={get platform(){return process.platform},get arch(){return process.arch},get env(){return r0e},cwd(){return r0e.VSCODE_CWD||process.cwd()}}:EM={get platform(){return g6?"win32":$7e?"darwin":"linux"},get arch(){},get env(){return{}},cwd(){return"/"}};const zB=EM.cwd,p5e=EM.env,f5e=EM.platform,m5e=65,_5e=97,h5e=90,g5e=122,Nx=46,T_=47,Iv=92,Cx=58,v5e=63;class i0e extends Error{constructor(v,T,W){let X;typeof T=="string"&&T.indexOf("not ")===0?(X="must not be",T=T.replace(/^not /,"")):X="must be";const ue=v.indexOf(".")!==-1?"property":"argument";let Re=`The "${v}" ${ue} ${X} of type ${T}`;Re+=`. Received type ${typeof W}`,super(Re),this.code="ERR_INVALID_ARG_TYPE"}}function y5e(g,v){if(g===null||typeof g!="object")throw new i0e(v,"Object",g)}function Sf(g,v){if(typeof g!="string")throw new i0e(v,"string",g)}const hA=f5e==="win32";function Ul(g){return g===T_||g===Iv}function Kte(g){return g===T_}function Px(g){return g>=m5e&&g<=h5e||g>=_5e&&g<=g5e}function BB(g,v,T,W){let X="",ue=0,Re=-1,Be=0,it=0;for(let Me=0;Me<=g.length;++Me){if(Me<g.length)it=g.charCodeAt(Me);else{if(W(it))break;it=T_}if(W(it)){if(!(Re===Me-1||Be===1))if(Be===2){if(X.length<2||ue!==2||X.charCodeAt(X.length-1)!==Nx||X.charCodeAt(X.length-2)!==Nx){if(X.length>2){const Ue=X.lastIndexOf(T);Ue===-1?(X="",ue=0):(X=X.slice(0,Ue),ue=X.length-1-X.lastIndexOf(T)),Re=Me,Be=0;continue}else if(X.length!==0){X="",ue=0,Re=Me,Be=0;continue}}v&&(X+=X.length>0?`${T}..`:"..",ue=2)}else X.length>0?X+=`${T}${g.slice(Re+1,Me)}`:X=g.slice(Re+1,Me),ue=Me-Re-1;Re=Me,Be=0}else it===Nx&&Be!==-1?++Be:Be=-1}return X}function b5e(g){return g?`${g[0]==="."?"":"."}${g}`:""}function o0e(g,v){y5e(v,"pathObject");const T=v.dir||v.root,W=v.base||`${v.name||""}${b5e(v.ext)}`;return T?T===v.root?`${T}${W}`:`${T}${g}${W}`:W}const Jh={resolve(...g){let v="",T="",W=!1;for(let X=g.length-1;X>=-1;X--){let ue;if(X>=0){if(ue=g[X],Sf(ue,`paths[${X}]`),ue.length===0)continue}else v.length===0?ue=zB():(ue=p5e[`=${v}`]||zB(),(ue===void 0||ue.slice(0,2).toLowerCase()!==v.toLowerCase()&&ue.charCodeAt(2)===Iv)&&(ue=`${v}\\`));const Re=ue.length;let Be=0,it="",Me=!1;const Ue=ue.charCodeAt(0);if(Re===1)Ul(Ue)&&(Be=1,Me=!0);else if(Ul(Ue))if(Me=!0,Ul(ue.charCodeAt(1))){let Bt=2,Ut=Bt;for(;Bt<Re&&!Ul(ue.charCodeAt(Bt));)Bt++;if(Bt<Re&&Bt!==Ut){const dn=ue.slice(Ut,Bt);for(Ut=Bt;Bt<Re&&Ul(ue.charCodeAt(Bt));)Bt++;if(Bt<Re&&Bt!==Ut){for(Ut=Bt;Bt<Re&&!Ul(ue.charCodeAt(Bt));)Bt++;(Bt===Re||Bt!==Ut)&&(it=`\\\\${dn}\\${ue.slice(Ut,Bt)}`,Be=Bt)}}}else Be=1;else Px(Ue)&&ue.charCodeAt(1)===Cx&&(it=ue.slice(0,2),Be=2,Re>2&&Ul(ue.charCodeAt(2))&&(Me=!0,Be=3));if(it.length>0)if(v.length>0){if(it.toLowerCase()!==v.toLowerCase())continue}else v=it;if(W){if(v.length>0)break}else if(T=`${ue.slice(Be)}\\${T}`,W=Me,Me&&v.length>0)break}return T=BB(T,!W,"\\",Ul),W?`${v}\\${T}`:`${v}${T}`||"."},normalize(g){Sf(g,"path");const v=g.length;if(v===0)return".";let T=0,W,X=!1;const ue=g.charCodeAt(0);if(v===1)return Kte(ue)?"\\":g;if(Ul(ue))if(X=!0,Ul(g.charCodeAt(1))){let Be=2,it=Be;for(;Be<v&&!Ul(g.charCodeAt(Be));)Be++;if(Be<v&&Be!==it){const Me=g.slice(it,Be);for(it=Be;Be<v&&Ul(g.charCodeAt(Be));)Be++;if(Be<v&&Be!==it){for(it=Be;Be<v&&!Ul(g.charCodeAt(Be));)Be++;if(Be===v)return`\\\\${Me}\\${g.slice(it)}\\`;Be!==it&&(W=`\\\\${Me}\\${g.slice(it,Be)}`,T=Be)}}}else T=1;else Px(ue)&&g.charCodeAt(1)===Cx&&(W=g.slice(0,2),T=2,v>2&&Ul(g.charCodeAt(2))&&(X=!0,T=3));let Re=T<v?BB(g.slice(T),!X,"\\",Ul):"";return Re.length===0&&!X&&(Re="."),Re.length>0&&Ul(g.charCodeAt(v-1))&&(Re+="\\"),W===void 0?X?`\\${Re}`:Re:X?`${W}\\${Re}`:`${W}${Re}`},isAbsolute(g){Sf(g,"path");const v=g.length;if(v===0)return!1;const T=g.charCodeAt(0);return Ul(T)||v>2&&Px(T)&&g.charCodeAt(1)===Cx&&Ul(g.charCodeAt(2))},join(...g){if(g.length===0)return".";let v,T;for(let ue=0;ue<g.length;++ue){const Re=g[ue];Sf(Re,"path"),Re.length>0&&(v===void 0?v=T=Re:v+=`\\${Re}`)}if(v===void 0)return".";let W=!0,X=0;if(typeof T=="string"&&Ul(T.charCodeAt(0))){++X;const ue=T.length;ue>1&&Ul(T.charCodeAt(1))&&(++X,ue>2&&(Ul(T.charCodeAt(2))?++X:W=!1))}if(W){for(;X<v.length&&Ul(v.charCodeAt(X));)X++;X>=2&&(v=`\\${v.slice(X)}`)}return Jh.normalize(v)},relative(g,v){if(Sf(g,"from"),Sf(v,"to"),g===v)return"";const T=Jh.resolve(g),W=Jh.resolve(v);if(T===W||(g=T.toLowerCase(),v=W.toLowerCase(),g===v))return"";let X=0;for(;X<g.length&&g.charCodeAt(X)===Iv;)X++;let ue=g.length;for(;ue-1>X&&g.charCodeAt(ue-1)===Iv;)ue--;const Re=ue-X;let Be=0;for(;Be<v.length&&v.charCodeAt(Be)===Iv;)Be++;let it=v.length;for(;it-1>Be&&v.charCodeAt(it-1)===Iv;)it--;const Me=it-Be,Ue=Re<Me?Re:Me;let Bt=-1,Ut=0;for(;Ut<Ue;Ut++){const Pr=g.charCodeAt(X+Ut);if(Pr!==v.charCodeAt(Be+Ut))break;Pr===Iv&&(Bt=Ut)}if(Ut!==Ue){if(Bt===-1)return W}else{if(Me>Ue){if(v.charCodeAt(Be+Ut)===Iv)return W.slice(Be+Ut+1);if(Ut===2)return W.slice(Be+Ut)}Re>Ue&&(g.charCodeAt(X+Ut)===Iv?Bt=Ut:Ut===2&&(Bt=3)),Bt===-1&&(Bt=0)}let dn="";for(Ut=X+Bt+1;Ut<=ue;++Ut)(Ut===ue||g.charCodeAt(Ut)===Iv)&&(dn+=dn.length===0?"..":"\\..");return Be+=Bt,dn.length>0?`${dn}${W.slice(Be,it)}`:(W.charCodeAt(Be)===Iv&&++Be,W.slice(Be,it))},toNamespacedPath(g){if(typeof g!="string"||g.length===0)return g;const v=Jh.resolve(g);if(v.length<=2)return g;if(v.charCodeAt(0)===Iv){if(v.charCodeAt(1)===Iv){const T=v.charCodeAt(2);if(T!==v5e&&T!==Nx)return`\\\\?\\UNC\\${v.slice(2)}`}}else if(Px(v.charCodeAt(0))&&v.charCodeAt(1)===Cx&&v.charCodeAt(2)===Iv)return`\\\\?\\${v}`;return g},dirname(g){Sf(g,"path");const v=g.length;if(v===0)return".";let T=-1,W=0;const X=g.charCodeAt(0);if(v===1)return Ul(X)?g:".";if(Ul(X)){if(T=W=1,Ul(g.charCodeAt(1))){let Be=2,it=Be;for(;Be<v&&!Ul(g.charCodeAt(Be));)Be++;if(Be<v&&Be!==it){for(it=Be;Be<v&&Ul(g.charCodeAt(Be));)Be++;if(Be<v&&Be!==it){for(it=Be;Be<v&&!Ul(g.charCodeAt(Be));)Be++;if(Be===v)return g;Be!==it&&(T=W=Be+1)}}}}else Px(X)&&g.charCodeAt(1)===Cx&&(T=v>2&&Ul(g.charCodeAt(2))?3:2,W=T);let ue=-1,Re=!0;for(let Be=v-1;Be>=W;--Be)if(Ul(g.charCodeAt(Be))){if(!Re){ue=Be;break}}else Re=!1;if(ue===-1){if(T===-1)return".";ue=T}return g.slice(0,ue)},basename(g,v){v!==void 0&&Sf(v,"suffix"),Sf(g,"path");let T=0,W=-1,X=!0,ue;if(g.length>=2&&Px(g.charCodeAt(0))&&g.charCodeAt(1)===Cx&&(T=2),v!==void 0&&v.length>0&&v.length<=g.length){if(v===g)return"";let Re=v.length-1,Be=-1;for(ue=g.length-1;ue>=T;--ue){const it=g.charCodeAt(ue);if(Ul(it)){if(!X){T=ue+1;break}}else Be===-1&&(X=!1,Be=ue+1),Re>=0&&(it===v.charCodeAt(Re)?--Re===-1&&(W=ue):(Re=-1,W=Be))}return T===W?W=Be:W===-1&&(W=g.length),g.slice(T,W)}for(ue=g.length-1;ue>=T;--ue)if(Ul(g.charCodeAt(ue))){if(!X){T=ue+1;break}}else W===-1&&(X=!1,W=ue+1);return W===-1?"":g.slice(T,W)},extname(g){Sf(g,"path");let v=0,T=-1,W=0,X=-1,ue=!0,Re=0;g.length>=2&&g.charCodeAt(1)===Cx&&Px(g.charCodeAt(0))&&(v=W=2);for(let Be=g.length-1;Be>=v;--Be){const it=g.charCodeAt(Be);if(Ul(it)){if(!ue){W=Be+1;break}continue}X===-1&&(ue=!1,X=Be+1),it===Nx?T===-1?T=Be:Re!==1&&(Re=1):T!==-1&&(Re=-1)}return T===-1||X===-1||Re===0||Re===1&&T===X-1&&T===W+1?"":g.slice(T,X)},format:o0e.bind(null,"\\"),parse(g){Sf(g,"path");const v={root:"",dir:"",base:"",ext:"",name:""};if(g.length===0)return v;const T=g.length;let W=0,X=g.charCodeAt(0);if(T===1)return Ul(X)?(v.root=v.dir=g,v):(v.base=v.name=g,v);if(Ul(X)){if(W=1,Ul(g.charCodeAt(1))){let Bt=2,Ut=Bt;for(;Bt<T&&!Ul(g.charCodeAt(Bt));)Bt++;if(Bt<T&&Bt!==Ut){for(Ut=Bt;Bt<T&&Ul(g.charCodeAt(Bt));)Bt++;if(Bt<T&&Bt!==Ut){for(Ut=Bt;Bt<T&&!Ul(g.charCodeAt(Bt));)Bt++;Bt===T?W=Bt:Bt!==Ut&&(W=Bt+1)}}}}else if(Px(X)&&g.charCodeAt(1)===Cx){if(T<=2)return v.root=v.dir=g,v;if(W=2,Ul(g.charCodeAt(2))){if(T===3)return v.root=v.dir=g,v;W=3}}W>0&&(v.root=g.slice(0,W));let ue=-1,Re=W,Be=-1,it=!0,Me=g.length-1,Ue=0;for(;Me>=W;--Me){if(X=g.charCodeAt(Me),Ul(X)){if(!it){Re=Me+1;break}continue}Be===-1&&(it=!1,Be=Me+1),X===Nx?ue===-1?ue=Me:Ue!==1&&(Ue=1):ue!==-1&&(Ue=-1)}return Be!==-1&&(ue===-1||Ue===0||Ue===1&&ue===Be-1&&ue===Re+1?v.base=v.name=g.slice(Re,Be):(v.name=g.slice(Re,ue),v.base=g.slice(Re,Be),v.ext=g.slice(ue,Be))),Re>0&&Re!==W?v.dir=g.slice(0,Re-1):v.dir=v.root,v},sep:"\\",delimiter:";",win32:null,posix:null},E5e=(()=>{if(hA){const g=/\\/g;return()=>{const v=zB().replace(g,"/");return v.slice(v.indexOf("/"))}}return()=>zB()})(),Kh={resolve(...g){let v="",T=!1;for(let W=g.length-1;W>=-1&&!T;W--){const X=W>=0?g[W]:E5e();Sf(X,`paths[${W}]`),X.length!==0&&(v=`${X}/${v}`,T=X.charCodeAt(0)===T_)}return v=BB(v,!T,"/",Kte),T?`/${v}`:v.length>0?v:"."},normalize(g){if(Sf(g,"path"),g.length===0)return".";const v=g.charCodeAt(0)===T_,T=g.charCodeAt(g.length-1)===T_;return g=BB(g,!v,"/",Kte),g.length===0?v?"/":T?"./":".":(T&&(g+="/"),v?`/${g}`:g)},isAbsolute(g){return Sf(g,"path"),g.length>0&&g.charCodeAt(0)===T_},join(...g){if(g.length===0)return".";let v;for(let T=0;T<g.length;++T){const W=g[T];Sf(W,"path"),W.length>0&&(v===void 0?v=W:v+=`/${W}`)}return v===void 0?".":Kh.normalize(v)},relative(g,v){if(Sf(g,"from"),Sf(v,"to"),g===v||(g=Kh.resolve(g),v=Kh.resolve(v),g===v))return"";const T=1,W=g.length,X=W-T,ue=1,Re=v.length-ue,Be=X<Re?X:Re;let it=-1,Me=0;for(;Me<Be;Me++){const Bt=g.charCodeAt(T+Me);if(Bt!==v.charCodeAt(ue+Me))break;Bt===T_&&(it=Me)}if(Me===Be)if(Re>Be){if(v.charCodeAt(ue+Me)===T_)return v.slice(ue+Me+1);if(Me===0)return v.slice(ue+Me)}else X>Be&&(g.charCodeAt(T+Me)===T_?it=Me:Me===0&&(it=0));let Ue="";for(Me=T+it+1;Me<=W;++Me)(Me===W||g.charCodeAt(Me)===T_)&&(Ue+=Ue.length===0?"..":"/..");return`${Ue}${v.slice(ue+it)}`},toNamespacedPath(g){return g},dirname(g){if(Sf(g,"path"),g.length===0)return".";const v=g.charCodeAt(0)===T_;let T=-1,W=!0;for(let X=g.length-1;X>=1;--X)if(g.charCodeAt(X)===T_){if(!W){T=X;break}}else W=!1;return T===-1?v?"/":".":v&&T===1?"//":g.slice(0,T)},basename(g,v){v!==void 0&&Sf(v,"ext"),Sf(g,"path");let T=0,W=-1,X=!0,ue;if(v!==void 0&&v.length>0&&v.length<=g.length){if(v===g)return"";let Re=v.length-1,Be=-1;for(ue=g.length-1;ue>=0;--ue){const it=g.charCodeAt(ue);if(it===T_){if(!X){T=ue+1;break}}else Be===-1&&(X=!1,Be=ue+1),Re>=0&&(it===v.charCodeAt(Re)?--Re===-1&&(W=ue):(Re=-1,W=Be))}return T===W?W=Be:W===-1&&(W=g.length),g.slice(T,W)}for(ue=g.length-1;ue>=0;--ue)if(g.charCodeAt(ue)===T_){if(!X){T=ue+1;break}}else W===-1&&(X=!1,W=ue+1);return W===-1?"":g.slice(T,W)},extname(g){Sf(g,"path");let v=-1,T=0,W=-1,X=!0,ue=0;for(let Re=g.length-1;Re>=0;--Re){const Be=g.charCodeAt(Re);if(Be===T_){if(!X){T=Re+1;break}continue}W===-1&&(X=!1,W=Re+1),Be===Nx?v===-1?v=Re:ue!==1&&(ue=1):v!==-1&&(ue=-1)}return v===-1||W===-1||ue===0||ue===1&&v===W-1&&v===T+1?"":g.slice(v,W)},format:o0e.bind(null,"/"),parse(g){Sf(g,"path");const v={root:"",dir:"",base:"",ext:"",name:""};if(g.length===0)return v;const T=g.charCodeAt(0)===T_;let W;T?(v.root="/",W=1):W=0;let X=-1,ue=0,Re=-1,Be=!0,it=g.length-1,Me=0;for(;it>=W;--it){const Ue=g.charCodeAt(it);if(Ue===T_){if(!Be){ue=it+1;break}continue}Re===-1&&(Be=!1,Re=it+1),Ue===Nx?X===-1?X=it:Me!==1&&(Me=1):X!==-1&&(Me=-1)}if(Re!==-1){const Ue=ue===0&&T?1:ue;X===-1||Me===0||Me===1&&X===Re-1&&X===ue+1?v.base=v.name=g.slice(Ue,Re):(v.name=g.slice(Ue,X),v.base=g.slice(Ue,Re),v.ext=g.slice(X,Re))}return ue>0?v.dir=g.slice(0,ue-1):T&&(v.dir="/"),v},sep:"/",delimiter:":",win32:null,posix:null};Kh.win32=Jh.win32=Jh,Kh.posix=Jh.posix=Kh,hA?Jh.normalize:Kh.normalize;const S5e=hA?Jh.join:Kh.join;hA?Jh.resolve:Kh.resolve,hA?Jh.relative:Kh.relative,hA?Jh.dirname:Kh.dirname,hA?Jh.basename:Kh.basename,hA?Jh.extname:Kh.extname,hA?Jh.sep:Kh.sep;const T5e=/^\w[\w\d+.-]*$/,A5e=/^\//,I5e=/^\/\//;function x5e(g,v){if(!g.scheme&&v)throw new Error(`[UriError]: Scheme is missing: {scheme: "", authority: "${g.authority}", path: "${g.path}", query: "${g.query}", fragment: "${g.fragment}"}`);if(g.scheme&&!T5e.test(g.scheme))throw new Error("[UriError]: Scheme contains illegal characters.");if(g.path){if(g.authority){if(!A5e.test(g.path))throw new Error('[UriError]: If a URI contains an authority component, then the path component must either be empty or begin with a slash ("/") character')}else if(I5e.test(g.path))throw new Error('[UriError]: If a URI does not contain an authority component, then the path cannot begin with two slash characters ("//")')}}function R5e(g,v){return!g&&!v?"file":g}function D5e(g,v){switch(g){case"https":case"http":case"file":v?v[0]!==TE&&(v=TE+v):v=TE;break}return v}const Od="",TE="/",N5e=/^(([^:/?#]+?):)?(\/\/([^/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?/;class xv{static isUri(v){return v instanceof xv?!0:v?typeof v.authority=="string"&&typeof v.fragment=="string"&&typeof v.path=="string"&&typeof v.query=="string"&&typeof v.scheme=="string"&&typeof v.fsPath=="string"&&typeof v.with=="function"&&typeof v.toString=="function":!1}constructor(v,T,W,X,ue,Re=!1){typeof v=="object"?(this.scheme=v.scheme||Od,this.authority=v.authority||Od,this.path=v.path||Od,this.query=v.query||Od,this.fragment=v.fragment||Od):(this.scheme=R5e(v,Re),this.authority=T||Od,this.path=D5e(this.scheme,W||Od),this.query=X||Od,this.fragment=ue||Od,x5e(this,Re))}get fsPath(){return Xte(this,!1)}with(v){if(!v)return this;let{scheme:T,authority:W,path:X,query:ue,fragment:Re}=v;return T===void 0?T=this.scheme:T===null&&(T=Od),W===void 0?W=this.authority:W===null&&(W=Od),X===void 0?X=this.path:X===null&&(X=Od),ue===void 0?ue=this.query:ue===null&&(ue=Od),Re===void 0?Re=this.fragment:Re===null&&(Re=Od),T===this.scheme&&W===this.authority&&X===this.path&&ue===this.query&&Re===this.fragment?this:new SM(T,W,X,ue,Re)}static parse(v,T=!1){const W=N5e.exec(v);return W?new SM(W[2]||Od,GB(W[4]||Od),GB(W[5]||Od),GB(W[7]||Od),GB(W[9]||Od),T):new SM(Od,Od,Od,Od,Od)}static file(v){let T=Od;if(g6&&(v=v.replace(/\\/g,TE)),v[0]===TE&&v[1]===TE){const W=v.indexOf(TE,2);W===-1?(T=v.substring(2),v=TE):(T=v.substring(2,W),v=v.substring(W)||TE)}return new SM("file",T,v,Od,Od)}static from(v,T){return new SM(v.scheme,v.authority,v.path,v.query,v.fragment,T)}static joinPath(v,...T){if(!v.path)throw new Error("[UriError]: cannot call joinPath on URI without path");let W;return g6&&v.scheme==="file"?W=xv.file(Jh.join(Xte(v,!0),...T)).path:W=Kh.join(v.path,...T),v.with({path:W})}toString(v=!1){return Yte(this,v)}toJSON(){return this}static revive(v){if(v){if(v instanceof xv)return v;{const T=new SM(v);return T._formatted=v.external??null,T._fsPath=v._sep===a0e?v.fsPath??null:null,T}}else return v}}const a0e=g6?1:void 0;class SM extends xv{constructor(){super(...arguments),this._formatted=null,this._fsPath=null}get fsPath(){return this._fsPath||(this._fsPath=Xte(this,!1)),this._fsPath}toString(v=!1){return v?Yte(this,!0):(this._formatted||(this._formatted=Yte(this,!1)),this._formatted)}toJSON(){const v={$mid:1};return this._fsPath&&(v.fsPath=this._fsPath,v._sep=a0e),this._formatted&&(v.external=this._formatted),this.path&&(v.path=this.path),this.scheme&&(v.scheme=this.scheme),this.authority&&(v.authority=this.authority),this.query&&(v.query=this.query),this.fragment&&(v.fragment=this.fragment),v}}const s0e={58:"%3A",47:"%2F",63:"%3F",35:"%23",91:"%5B",93:"%5D",64:"%40",33:"%21",36:"%24",38:"%26",39:"%27",40:"%28",41:"%29",42:"%2A",43:"%2B",44:"%2C",59:"%3B",61:"%3D",32:"%20"};function l0e(g,v,T){let W,X=-1;for(let ue=0;ue<g.length;ue++){const Re=g.charCodeAt(ue);if(Re>=97&&Re<=122||Re>=65&&Re<=90||Re>=48&&Re<=57||Re===45||Re===46||Re===95||Re===126||v&&Re===47||T&&Re===91||T&&Re===93||T&&Re===58)X!==-1&&(W+=encodeURIComponent(g.substring(X,ue)),X=-1),W!==void 0&&(W+=g.charAt(ue));else{W===void 0&&(W=g.substr(0,ue));const Be=s0e[Re];Be!==void 0?(X!==-1&&(W+=encodeURIComponent(g.substring(X,ue)),X=-1),W+=Be):X===-1&&(X=ue)}}return X!==-1&&(W+=encodeURIComponent(g.substring(X))),W!==void 0?W:g}function C5e(g){let v;for(let T=0;T<g.length;T++){const W=g.charCodeAt(T);W===35||W===63?(v===void 0&&(v=g.substr(0,T)),v+=s0e[W]):v!==void 0&&(v+=g[T])}return v!==void 0?v:g}function Xte(g,v){let T;return g.authority&&g.path.length>1&&g.scheme==="file"?T=`//${g.authority}${g.path}`:g.path.charCodeAt(0)===47&&(g.path.charCodeAt(1)>=65&&g.path.charCodeAt(1)<=90||g.path.charCodeAt(1)>=97&&g.path.charCodeAt(1)<=122)&&g.path.charCodeAt(2)===58?v?T=g.path.substr(1):T=g.path[1].toLowerCase()+g.path.substr(2):T=g.path,g6&&(T=T.replace(/\//g,"\\")),T}function Yte(g,v){const T=v?C5e:l0e;let W="",{scheme:X,authority:ue,path:Re,query:Be,fragment:it}=g;if(X&&(W+=X,W+=":"),(ue||X==="file")&&(W+=TE,W+=TE),ue){let Me=ue.indexOf("@");if(Me!==-1){const Ue=ue.substr(0,Me);ue=ue.substr(Me+1),Me=Ue.lastIndexOf(":"),Me===-1?W+=T(Ue,!1,!1):(W+=T(Ue.substr(0,Me),!1,!1),W+=":",W+=T(Ue.substr(Me+1),!1,!0)),W+="@"}ue=ue.toLowerCase(),Me=ue.lastIndexOf(":"),Me===-1?W+=T(ue,!1,!0):(W+=T(ue.substr(0,Me),!1,!0),W+=ue.substr(Me))}if(Re){if(Re.length>=3&&Re.charCodeAt(0)===47&&Re.charCodeAt(2)===58){const Me=Re.charCodeAt(1);Me>=65&&Me<=90&&(Re=`/${String.fromCharCode(Me+32)}:${Re.substr(3)}`)}else if(Re.length>=2&&Re.charCodeAt(1)===58){const Me=Re.charCodeAt(0);Me>=65&&Me<=90&&(Re=`${String.fromCharCode(Me+32)}:${Re.substr(2)}`)}W+=T(Re,!0,!1)}return Be&&(W+="?",W+=T(Be,!1,!1)),it&&(W+="#",W+=v?it:l0e(it,!1,!1)),W}function c0e(g){try{return decodeURIComponent(g)}catch{return g.length>3?g.substr(0,3)+c0e(g.substr(3)):g}}const u0e=/(%[0-9A-Za-z][0-9A-Za-z])+/g;function GB(g){return g.match(u0e)?g.replace(u0e,v=>c0e(v)):g}var Mx;(function(g){g.inMemory="inmemory",g.vscode="vscode",g.internal="private",g.walkThrough="walkThrough",g.walkThroughSnippet="walkThroughSnippet",g.http="http",g.https="https",g.file="file",g.mailto="mailto",g.untitled="untitled",g.data="data",g.command="command",g.vscodeRemote="vscode-remote",g.vscodeRemoteResource="vscode-remote-resource",g.vscodeManagedRemoteResource="vscode-managed-remote-resource",g.vscodeUserData="vscode-userdata",g.vscodeCustomEditor="vscode-custom-editor",g.vscodeNotebookCell="vscode-notebook-cell",g.vscodeNotebookCellMetadata="vscode-notebook-cell-metadata",g.vscodeNotebookCellMetadataDiff="vscode-notebook-cell-metadata-diff",g.vscodeNotebookCellOutput="vscode-notebook-cell-output",g.vscodeNotebookCellOutputDiff="vscode-notebook-cell-output-diff",g.vscodeNotebookMetadata="vscode-notebook-metadata",g.vscodeInteractiveInput="vscode-interactive-input",g.vscodeSettings="vscode-settings",g.vscodeWorkspaceTrust="vscode-workspace-trust",g.vscodeTerminal="vscode-terminal",g.vscodeChatCodeBlock="vscode-chat-code-block",g.vscodeChatCodeCompareBlock="vscode-chat-code-compare-block",g.vscodeChatSesssion="vscode-chat-editor",g.webviewPanel="webview-panel",g.vscodeWebview="vscode-webview",g.extension="extension",g.vscodeFileResource="vscode-file",g.tmp="tmp",g.vsls="vsls",g.vscodeSourceControl="vscode-scm",g.commentsInput="comment",g.codeSetting="code-setting",g.outputChannel="output"})(Mx||(Mx={}));const P5e="tkn";class M5e{constructor(){this._hosts=Object.create(null),this._ports=Object.create(null),this._connectionTokens=Object.create(null),this._preferredWebSchema="http",this._delegate=null,this._serverRootPath="/"}setPreferredWebSchema(v){this._preferredWebSchema=v}get _remoteResourcesPath(){return Kh.join(this._serverRootPath,Mx.vscodeRemoteResource)}rewrite(v){if(this._delegate)try{return this._delegate(v)}catch(Be){return _6(Be),v}const T=v.authority;let W=this._hosts[T];W&&W.indexOf(":")!==-1&&W.indexOf("[")===-1&&(W=`[${W}]`);const X=this._ports[T],ue=this._connectionTokens[T];let Re=`path=${encodeURIComponent(v.path)}`;return typeof ue=="string"&&(Re+=`&${P5e}=${encodeURIComponent(ue)}`),xv.from({scheme:Z7e?this._preferredWebSchema:Mx.vscodeRemoteResource,authority:`${W}:${X}`,path:this._remoteResourcesPath,query:Re})}}const L5e=new M5e,k5e="vscode-app",R6=class R6{asBrowserUri(v){const T=this.toUri(v);return this.uriToBrowserUri(T)}uriToBrowserUri(v){return v.scheme===Mx.vscodeRemote?L5e.rewrite(v):v.scheme===Mx.file&&(Q7e||e5e===`${Mx.vscodeFileResource}://${R6.FALLBACK_AUTHORITY}`)?v.with({scheme:Mx.vscodeFileResource,authority:v.authority||R6.FALLBACK_AUTHORITY,query:null,fragment:null}):v}toUri(v,T){if(xv.isUri(v))return v;if(globalThis._VSCODE_FILE_ROOT){const W=globalThis._VSCODE_FILE_ROOT;if(/^\w[\w\d+.-]*:\/\//.test(W))return xv.joinPath(xv.parse(W,!0),v);const X=S5e(W,v);return xv.file(X)}return xv.parse(T.toUrl(v))}};R6.FALLBACK_AUTHORITY=k5e;let $te=R6;const d0e=new $te;var p0e;(function(g){const v=new Map([["1",{"Cross-Origin-Opener-Policy":"same-origin"}],["2",{"Cross-Origin-Embedder-Policy":"require-corp"}],["3",{"Cross-Origin-Opener-Policy":"same-origin","Cross-Origin-Embedder-Policy":"require-corp"}]]);g.CoopAndCoep=Object.freeze(v.get("3"));const T="vscode-coi";function W(ue){let Re;typeof ue=="string"?Re=new URL(ue).searchParams:ue instanceof URL?Re=ue.searchParams:xv.isUri(ue)&&(Re=new URL(ue.toString(!0)).searchParams);const Be=Re==null?void 0:Re.get(T);if(Be)return v.get(Be)}g.getHeadersFromQuery=W;function X(ue,Re,Be){if(!globalThis.crossOriginIsolated)return;const it=Re&&Be?"3":Be?"2":"1";ue instanceof URLSearchParams?ue.set(T,it):ue[T]=it}g.addSearchParam=X})(p0e||(p0e={}));const Qte="default",w5e="$initialize";class O5e{constructor(v,T,W,X,ue){this.vsWorker=v,this.req=T,this.channel=W,this.method=X,this.args=ue,this.type=0}}class f0e{constructor(v,T,W,X){this.vsWorker=v,this.seq=T,this.res=W,this.err=X,this.type=1}}class W5e{constructor(v,T,W,X,ue){this.vsWorker=v,this.req=T,this.channel=W,this.eventName=X,this.arg=ue,this.type=2}}class F5e{constructor(v,T,W){this.vsWorker=v,this.req=T,this.event=W,this.type=3}}class z5e{constructor(v,T){this.vsWorker=v,this.req=T,this.type=4}}class B5e{constructor(v){this._workerId=-1,this._handler=v,this._lastSentReq=0,this._pendingReplies=Object.create(null),this._pendingEmitters=new Map,this._pendingEvents=new Map}setWorkerId(v){this._workerId=v}sendMessage(v,T,W){const X=String(++this._lastSentReq);return new Promise((ue,Re)=>{this._pendingReplies[X]={resolve:ue,reject:Re},this._send(new O5e(this._workerId,X,v,T,W))})}listen(v,T,W){let X=null;const ue=new Qb({onWillAddFirstListener:()=>{X=String(++this._lastSentReq),this._pendingEmitters.set(X,ue),this._send(new W5e(this._workerId,X,v,T,W))},onDidRemoveLastListener:()=>{this._pendingEmitters.delete(X),this._send(new z5e(this._workerId,X)),X=null}});return ue.event}handleMessage(v){!v||!v.vsWorker||this._workerId!==-1&&v.vsWorker!==this._workerId||this._handleMessage(v)}createProxyToRemoteChannel(v,T){const W={get:(X,ue)=>(typeof ue=="string"&&!X[ue]&&(_0e(ue)?X[ue]=Re=>this.listen(v,ue,Re):m0e(ue)?X[ue]=this.listen(v,ue,void 0):ue.charCodeAt(0)===36&&(X[ue]=async(...Re)=>(await(T==null?void 0:T()),this.sendMessage(v,ue,Re)))),X[ue])};return new Proxy(Object.create(null),W)}_handleMessage(v){switch(v.type){case 1:return this._handleReplyMessage(v);case 0:return this._handleRequestMessage(v);case 2:return this._handleSubscribeEventMessage(v);case 3:return this._handleEventMessage(v);case 4:return this._handleUnsubscribeEventMessage(v)}}_handleReplyMessage(v){if(!this._pendingReplies[v.seq]){console.warn("Got reply to unknown seq");return}const T=this._pendingReplies[v.seq];if(delete this._pendingReplies[v.seq],v.err){let W=v.err;v.err.$isError&&(W=new Error,W.name=v.err.name,W.message=v.err.message,W.stack=v.err.stack),T.reject(W);return}T.resolve(v.res)}_handleRequestMessage(v){const T=v.req;this._handler.handleMessage(v.channel,v.method,v.args).then(X=>{this._send(new f0e(this._workerId,T,X,void 0))},X=>{X.detail instanceof Error&&(X.detail=Kbe(X.detail)),this._send(new f0e(this._workerId,T,void 0,Kbe(X)))})}_handleSubscribeEventMessage(v){const T=v.req,W=this._handler.handleEvent(v.channel,v.eventName,v.arg)(X=>{this._send(new F5e(this._workerId,T,X))});this._pendingEvents.set(T,W)}_handleEventMessage(v){if(!this._pendingEmitters.has(v.req)){console.warn("Got event for unknown req");return}this._pendingEmitters.get(v.req).fire(v.event)}_handleUnsubscribeEventMessage(v){if(!this._pendingEvents.has(v.req)){console.warn("Got unsubscribe for unknown req");return}this._pendingEvents.get(v.req).dispose(),this._pendingEvents.delete(v.req)}_send(v){const T=[];if(v.type===0)for(let W=0;W<v.args.length;W++)v.args[W]instanceof ArrayBuffer&&T.push(v.args[W]);else v.type===1&&v.res instanceof ArrayBuffer&&T.push(v.res);this._handler.sendMessage(v,T)}}function m0e(g){return g[0]==="o"&&g[1]==="n"&&t0e(g.charCodeAt(2))}function _0e(g){return/^onDynamic/.test(g)&&t0e(g.charCodeAt(9))}class G5e{constructor(v,T){this._localChannels=new Map,this._remoteChannels=new Map,this._requestHandlerFactory=T,this._requestHandler=null,this._protocol=new B5e({sendMessage:(W,X)=>{v(W,X)},handleMessage:(W,X,ue)=>this._handleMessage(W,X,ue),handleEvent:(W,X,ue)=>this._handleEvent(W,X,ue)})}onmessage(v){this._protocol.handleMessage(v)}_handleMessage(v,T,W){if(v===Qte&&T===w5e)return this.initialize(W[0],W[1],W[2]);const X=v===Qte?this._requestHandler:this._localChannels.get(v);if(!X)return Promise.reject(new Error(`Missing channel ${v} on worker thread`));if(typeof X[T]!="function")return Promise.reject(new Error(`Missing method ${T} on worker thread channel ${v}`));try{return Promise.resolve(X[T].apply(X,W))}catch(ue){return Promise.reject(ue)}}_handleEvent(v,T,W){const X=v===Qte?this._requestHandler:this._localChannels.get(v);if(!X)throw new Error(`Missing channel ${v} on worker thread`);if(_0e(T)){const ue=X[T].call(X,W);if(typeof ue!="function")throw new Error(`Missing dynamic event ${T} on request handler.`);return ue}if(m0e(T)){const ue=X[T];if(typeof ue!="function")throw new Error(`Missing event ${T} on request handler.`);return ue}throw new Error(`Malformed event name ${T}`)}getChannel(v){if(!this._remoteChannels.has(v)){const T=this._protocol.createProxyToRemoteChannel(v);this._remoteChannels.set(v,T)}return this._remoteChannels.get(v)}async initialize(v,T,W){if(this._protocol.setWorkerId(v),this._requestHandlerFactory){this._requestHandler=this._requestHandlerFactory(this);return}return T&&(typeof T.baseUrl<"u"&&delete T.baseUrl,typeof T.paths<"u"&&typeof T.paths.vs<"u"&&delete T.paths.vs,typeof T.trustedTypesPolicy<"u"&&delete T.trustedTypesPolicy,T.catchError=!0,globalThis.require.config(T)),import(`${d0e.asBrowserUri(`${W}.js`).toString(!0)}`).then(ue=>{if(this._requestHandler=ue.create(this),!this._requestHandler)throw new Error("No RequestHandler!")})}}class Lx{constructor(v,T,W,X){this.originalStart=v,this.originalLength=T,this.modifiedStart=W,this.modifiedLength=X}getOriginalEnd(){return this.originalStart+this.originalLength}getModifiedEnd(){return this.modifiedStart+this.modifiedLength}}function h0e(g,v){return(v<<5)-v+g|0}function V5e(g,v){v=h0e(149417,v);for(let T=0,W=g.length;T<W;T++)v=h0e(g.charCodeAt(T),v);return v}function Zte(g,v,T=32){const W=T-v,X=~((1<<W)-1);return(g<<v|(X&g)>>>W)>>>0}function g0e(g,v=0,T=g.byteLength,W=0){for(let X=0;X<T;X++)g[v+X]=W}function j5e(g,v,T="0"){for(;g.length<v;)g=T+g;return g}function b6(g,v=32){return g instanceof ArrayBuffer?Array.from(new Uint8Array(g)).map(T=>T.toString(16).padStart(2,"0")).join(""):j5e((g>>>0).toString(16),v/4)}const rG=class rG{constructor(){this._h0=1732584193,this._h1=4023233417,this._h2=2562383102,this._h3=271733878,this._h4=3285377520,this._buff=new Uint8Array(67),this._buffDV=new DataView(this._buff.buffer),this._buffLen=0,this._totalLen=0,this._leftoverHighSurrogate=0,this._finished=!1}update(v){const T=v.length;if(T===0)return;const W=this._buff;let X=this._buffLen,ue=this._leftoverHighSurrogate,Re,Be;for(ue!==0?(Re=ue,Be=-1,ue=0):(Re=v.charCodeAt(0),Be=0);;){let it=Re;if(FB(Re))if(Be+1<T){const Me=v.charCodeAt(Be+1);qte(Me)?(Be++,it=n0e(Re,Me)):it=65533}else{ue=Re;break}else qte(Re)&&(it=65533);if(X=this._push(W,X,it),Be++,Be<T)Re=v.charCodeAt(Be);else break}this._buffLen=X,this._leftoverHighSurrogate=ue}_push(v,T,W){return W<128?v[T++]=W:W<2048?(v[T++]=192|(W&1984)>>>6,v[T++]=128|(W&63)>>>0):W<65536?(v[T++]=224|(W&61440)>>>12,v[T++]=128|(W&4032)>>>6,v[T++]=128|(W&63)>>>0):(v[T++]=240|(W&1835008)>>>18,v[T++]=128|(W&258048)>>>12,v[T++]=128|(W&4032)>>>6,v[T++]=128|(W&63)>>>0),T>=64&&(this._step(),T-=64,this._totalLen+=64,v[0]=v[64],v[1]=v[65],v[2]=v[66]),T}digest(){return this._finished||(this._finished=!0,this._leftoverHighSurrogate&&(this._leftoverHighSurrogate=0,this._buffLen=this._push(this._buff,this._buffLen,65533)),this._totalLen+=this._buffLen,this._wrapUp()),b6(this._h0)+b6(this._h1)+b6(this._h2)+b6(this._h3)+b6(this._h4)}_wrapUp(){this._buff[this._buffLen++]=128,g0e(this._buff,this._buffLen),this._buffLen>56&&(this._step(),g0e(this._buff));const v=8*this._totalLen;this._buffDV.setUint32(56,Math.floor(v/4294967296),!1),this._buffDV.setUint32(60,v%4294967296,!1),this._step()}_step(){const v=rG._bigBlock32,T=this._buffDV;for(let Bt=0;Bt<64;Bt+=4)v.setUint32(Bt,T.getUint32(Bt,!1),!1);for(let Bt=64;Bt<320;Bt+=4)v.setUint32(Bt,Zte(v.getUint32(Bt-12,!1)^v.getUint32(Bt-32,!1)^v.getUint32(Bt-56,!1)^v.getUint32(Bt-64,!1),1),!1);let W=this._h0,X=this._h1,ue=this._h2,Re=this._h3,Be=this._h4,it,Me,Ue;for(let Bt=0;Bt<80;Bt++)Bt<20?(it=X&ue|~X&Re,Me=1518500249):Bt<40?(it=X^ue^Re,Me=1859775393):Bt<60?(it=X&ue|X&Re|ue&Re,Me=2400959708):(it=X^ue^Re,Me=3395469782),Ue=Zte(W,5)+it+Be+Me+v.getUint32(Bt*4,!1)&4294967295,Be=Re,Re=ue,ue=Zte(X,30),X=W,W=Ue;this._h0=this._h0+W&4294967295,this._h1=this._h1+X&4294967295,this._h2=this._h2+ue&4294967295,this._h3=this._h3+Re&4294967295,this._h4=this._h4+Be&4294967295}};rG._bigBlock32=new DataView(new ArrayBuffer(320));let v0e=rG;class y0e{constructor(v){this.source=v}getElements(){const v=this.source,T=new Int32Array(v.length);for(let W=0,X=v.length;W<X;W++)T[W]=v.charCodeAt(W);return T}}function U5e(g,v,T){return new kx(new y0e(g),new y0e(v)).ComputeDiff(T).changes}class TM{static Assert(v,T){if(!v)throw new Error(T)}}class AM{static Copy(v,T,W,X,ue){for(let Re=0;Re<ue;Re++)W[X+Re]=v[T+Re]}static Copy2(v,T,W,X,ue){for(let Re=0;Re<ue;Re++)W[X+Re]=v[T+Re]}}class b0e{constructor(){this.m_changes=[],this.m_originalStart=1073741824,this.m_modifiedStart=1073741824,this.m_originalCount=0,this.m_modifiedCount=0}MarkNextChange(){(this.m_originalCount>0||this.m_modifiedCount>0)&&this.m_changes.push(new Lx(this.m_originalStart,this.m_originalCount,this.m_modifiedStart,this.m_modifiedCount)),this.m_originalCount=0,this.m_modifiedCount=0,this.m_originalStart=1073741824,this.m_modifiedStart=1073741824}AddOriginalElement(v,T){this.m_originalStart=Math.min(this.m_originalStart,v),this.m_modifiedStart=Math.min(this.m_modifiedStart,T),this.m_originalCount++}AddModifiedElement(v,T){this.m_originalStart=Math.min(this.m_originalStart,v),this.m_modifiedStart=Math.min(this.m_modifiedStart,T),this.m_modifiedCount++}getChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes}getReverseChanges(){return(this.m_originalCount>0||this.m_modifiedCount>0)&&this.MarkNextChange(),this.m_changes.reverse(),this.m_changes}}class kx{constructor(v,T,W=null){this.ContinueProcessingPredicate=W,this._originalSequence=v,this._modifiedSequence=T;const[X,ue,Re]=kx._getElements(v),[Be,it,Me]=kx._getElements(T);this._hasStrings=Re&&Me,this._originalStringElements=X,this._originalElementsOrHash=ue,this._modifiedStringElements=Be,this._modifiedElementsOrHash=it,this.m_forwardHistory=[],this.m_reverseHistory=[]}static _isStringArray(v){return v.length>0&&typeof v[0]=="string"}static _getElements(v){const T=v.getElements();if(kx._isStringArray(T)){const W=new Int32Array(T.length);for(let X=0,ue=T.length;X<ue;X++)W[X]=V5e(T[X],0);return[T,W,!0]}return T instanceof Int32Array?[[],T,!1]:[[],new Int32Array(T),!1]}ElementsAreEqual(v,T){return this._originalElementsOrHash[v]!==this._modifiedElementsOrHash[T]?!1:this._hasStrings?this._originalStringElements[v]===this._modifiedStringElements[T]:!0}ElementsAreStrictEqual(v,T){if(!this.ElementsAreEqual(v,T))return!1;const W=kx._getStrictElement(this._originalSequence,v),X=kx._getStrictElement(this._modifiedSequence,T);return W===X}static _getStrictElement(v,T){return typeof v.getStrictElement=="function"?v.getStrictElement(T):null}OriginalElementsAreEqual(v,T){return this._originalElementsOrHash[v]!==this._originalElementsOrHash[T]?!1:this._hasStrings?this._originalStringElements[v]===this._originalStringElements[T]:!0}ModifiedElementsAreEqual(v,T){return this._modifiedElementsOrHash[v]!==this._modifiedElementsOrHash[T]?!1:this._hasStrings?this._modifiedStringElements[v]===this._modifiedStringElements[T]:!0}ComputeDiff(v){return this._ComputeDiff(0,this._originalElementsOrHash.length-1,0,this._modifiedElementsOrHash.length-1,v)}_ComputeDiff(v,T,W,X,ue){const Re=[!1];let Be=this.ComputeDiffRecursive(v,T,W,X,Re);return ue&&(Be=this.PrettifyChanges(Be)),{quitEarly:Re[0],changes:Be}}ComputeDiffRecursive(v,T,W,X,ue){for(ue[0]=!1;v<=T&&W<=X&&this.ElementsAreEqual(v,W);)v++,W++;for(;T>=v&&X>=W&&this.ElementsAreEqual(T,X);)T--,X--;if(v>T||W>X){let Bt;return W<=X?(TM.Assert(v===T+1,"originalStart should only be one more than originalEnd"),Bt=[new Lx(v,0,W,X-W+1)]):v<=T?(TM.Assert(W===X+1,"modifiedStart should only be one more than modifiedEnd"),Bt=[new Lx(v,T-v+1,W,0)]):(TM.Assert(v===T+1,"originalStart should only be one more than originalEnd"),TM.Assert(W===X+1,"modifiedStart should only be one more than modifiedEnd"),Bt=[]),Bt}const Re=[0],Be=[0],it=this.ComputeRecursionPoint(v,T,W,X,Re,Be,ue),Me=Re[0],Ue=Be[0];if(it!==null)return it;if(!ue[0]){const Bt=this.ComputeDiffRecursive(v,Me,W,Ue,ue);let Ut=[];return ue[0]?Ut=[new Lx(Me+1,T-(Me+1)+1,Ue+1,X-(Ue+1)+1)]:Ut=this.ComputeDiffRecursive(Me+1,T,Ue+1,X,ue),this.ConcatenateChanges(Bt,Ut)}return[new Lx(v,T-v+1,W,X-W+1)]}WALKTRACE(v,T,W,X,ue,Re,Be,it,Me,Ue,Bt,Ut,dn,Pr,yi,co,Zn,An){let Oo=null,Gr=null,Bi=new b0e,Uo=T,Nr=W,Ca=dn[0]-co[0]-X,Sc=-1073741824,au=this.m_forwardHistory.length-1;do{const nr=Ca+v;nr===Uo||nr<Nr&&Me[nr-1]<Me[nr+1]?(Bt=Me[nr+1],Pr=Bt-Ca-X,Bt<Sc&&Bi.MarkNextChange(),Sc=Bt,Bi.AddModifiedElement(Bt+1,Pr),Ca=nr+1-v):(Bt=Me[nr-1]+1,Pr=Bt-Ca-X,Bt<Sc&&Bi.MarkNextChange(),Sc=Bt-1,Bi.AddOriginalElement(Bt,Pr+1),Ca=nr-1-v),au>=0&&(Me=this.m_forwardHistory[au],v=Me[0],Uo=1,Nr=Me.length-1)}while(--au>=-1);if(Oo=Bi.getReverseChanges(),An[0]){let nr=dn[0]+1,Xs=co[0]+1;if(Oo!==null&&Oo.length>0){const Vo=Oo[Oo.length-1];nr=Math.max(nr,Vo.getOriginalEnd()),Xs=Math.max(Xs,Vo.getModifiedEnd())}Gr=[new Lx(nr,Ut-nr+1,Xs,yi-Xs+1)]}else{Bi=new b0e,Uo=Re,Nr=Be,Ca=dn[0]-co[0]-it,Sc=1073741824,au=Zn?this.m_reverseHistory.length-1:this.m_reverseHistory.length-2;do{const nr=Ca+ue;nr===Uo||nr<Nr&&Ue[nr-1]>=Ue[nr+1]?(Bt=Ue[nr+1]-1,Pr=Bt-Ca-it,Bt>Sc&&Bi.MarkNextChange(),Sc=Bt+1,Bi.AddOriginalElement(Bt+1,Pr+1),Ca=nr+1-ue):(Bt=Ue[nr-1],Pr=Bt-Ca-it,Bt>Sc&&Bi.MarkNextChange(),Sc=Bt,Bi.AddModifiedElement(Bt+1,Pr+1),Ca=nr-1-ue),au>=0&&(Ue=this.m_reverseHistory[au],ue=Ue[0],Uo=1,Nr=Ue.length-1)}while(--au>=-1);Gr=Bi.getChanges()}return this.ConcatenateChanges(Oo,Gr)}ComputeRecursionPoint(v,T,W,X,ue,Re,Be){let it=0,Me=0,Ue=0,Bt=0,Ut=0,dn=0;v--,W--,ue[0]=0,Re[0]=0,this.m_forwardHistory=[],this.m_reverseHistory=[];const Pr=T-v+(X-W),yi=Pr+1,co=new Int32Array(yi),Zn=new Int32Array(yi),An=X-W,Oo=T-v,Gr=v-W,Bi=T-X,Nr=(Oo-An)%2===0;co[An]=v,Zn[Oo]=T,Be[0]=!1;for(let Ca=1;Ca<=Pr/2+1;Ca++){let Sc=0,au=0;Ue=this.ClipDiagonalBound(An-Ca,Ca,An,yi),Bt=this.ClipDiagonalBound(An+Ca,Ca,An,yi);for(let Xs=Ue;Xs<=Bt;Xs+=2){Xs===Ue||Xs<Bt&&co[Xs-1]<co[Xs+1]?it=co[Xs+1]:it=co[Xs-1]+1,Me=it-(Xs-An)-Gr;const Vo=it;for(;it<T&&Me<X&&this.ElementsAreEqual(it+1,Me+1);)it++,Me++;if(co[Xs]=it,it+Me>Sc+au&&(Sc=it,au=Me),!Nr&&Math.abs(Xs-Oo)<=Ca-1&&it>=Zn[Xs])return ue[0]=it,Re[0]=Me,Vo<=Zn[Xs]&&Ca<=1448?this.WALKTRACE(An,Ue,Bt,Gr,Oo,Ut,dn,Bi,co,Zn,it,T,ue,Me,X,Re,Nr,Be):null}const nr=(Sc-v+(au-W)-Ca)/2;if(this.ContinueProcessingPredicate!==null&&!this.ContinueProcessingPredicate(Sc,nr))return Be[0]=!0,ue[0]=Sc,Re[0]=au,nr>0&&Ca<=1448?this.WALKTRACE(An,Ue,Bt,Gr,Oo,Ut,dn,Bi,co,Zn,it,T,ue,Me,X,Re,Nr,Be):(v++,W++,[new Lx(v,T-v+1,W,X-W+1)]);Ut=this.ClipDiagonalBound(Oo-Ca,Ca,Oo,yi),dn=this.ClipDiagonalBound(Oo+Ca,Ca,Oo,yi);for(let Xs=Ut;Xs<=dn;Xs+=2){Xs===Ut||Xs<dn&&Zn[Xs-1]>=Zn[Xs+1]?it=Zn[Xs+1]-1:it=Zn[Xs-1],Me=it-(Xs-Oo)-Bi;const Vo=it;for(;it>v&&Me>W&&this.ElementsAreEqual(it,Me);)it--,Me--;if(Zn[Xs]=it,Nr&&Math.abs(Xs-An)<=Ca&&it<=co[Xs])return ue[0]=it,Re[0]=Me,Vo>=co[Xs]&&Ca<=1448?this.WALKTRACE(An,Ue,Bt,Gr,Oo,Ut,dn,Bi,co,Zn,it,T,ue,Me,X,Re,Nr,Be):null}if(Ca<=1447){let Xs=new Int32Array(Bt-Ue+2);Xs[0]=An-Ue+1,AM.Copy2(co,Ue,Xs,1,Bt-Ue+1),this.m_forwardHistory.push(Xs),Xs=new Int32Array(dn-Ut+2),Xs[0]=Oo-Ut+1,AM.Copy2(Zn,Ut,Xs,1,dn-Ut+1),this.m_reverseHistory.push(Xs)}}return this.WALKTRACE(An,Ue,Bt,Gr,Oo,Ut,dn,Bi,co,Zn,it,T,ue,Me,X,Re,Nr,Be)}PrettifyChanges(v){for(let T=0;T<v.length;T++){const W=v[T],X=T<v.length-1?v[T+1].originalStart:this._originalElementsOrHash.length,ue=T<v.length-1?v[T+1].modifiedStart:this._modifiedElementsOrHash.length,Re=W.originalLength>0,Be=W.modifiedLength>0;for(;W.originalStart+W.originalLength<X&&W.modifiedStart+W.modifiedLength<ue&&(!Re||this.OriginalElementsAreEqual(W.originalStart,W.originalStart+W.originalLength))&&(!Be||this.ModifiedElementsAreEqual(W.modifiedStart,W.modifiedStart+W.modifiedLength));){const Me=this.ElementsAreStrictEqual(W.originalStart,W.modifiedStart);if(this.ElementsAreStrictEqual(W.originalStart+W.originalLength,W.modifiedStart+W.modifiedLength)&&!Me)break;W.originalStart++,W.modifiedStart++}const it=[null];if(T<v.length-1&&this.ChangesOverlap(v[T],v[T+1],it)){v[T]=it[0],v.splice(T+1,1),T--;continue}}for(let T=v.length-1;T>=0;T--){const W=v[T];let X=0,ue=0;if(T>0){const Bt=v[T-1];X=Bt.originalStart+Bt.originalLength,ue=Bt.modifiedStart+Bt.modifiedLength}const Re=W.originalLength>0,Be=W.modifiedLength>0;let it=0,Me=this._boundaryScore(W.originalStart,W.originalLength,W.modifiedStart,W.modifiedLength);for(let Bt=1;;Bt++){const Ut=W.originalStart-Bt,dn=W.modifiedStart-Bt;if(Ut<X||dn<ue||Re&&!this.OriginalElementsAreEqual(Ut,Ut+W.originalLength)||Be&&!this.ModifiedElementsAreEqual(dn,dn+W.modifiedLength))break;const yi=(Ut===X&&dn===ue?5:0)+this._boundaryScore(Ut,W.originalLength,dn,W.modifiedLength);yi>Me&&(Me=yi,it=Bt)}W.originalStart-=it,W.modifiedStart-=it;const Ue=[null];if(T>0&&this.ChangesOverlap(v[T-1],v[T],Ue)){v[T-1]=Ue[0],v.splice(T,1),T++;continue}}if(this._hasStrings)for(let T=1,W=v.length;T<W;T++){const X=v[T-1],ue=v[T],Re=ue.originalStart-X.originalStart-X.originalLength,Be=X.originalStart,it=ue.originalStart+ue.originalLength,Me=it-Be,Ue=X.modifiedStart,Bt=ue.modifiedStart+ue.modifiedLength,Ut=Bt-Ue;if(Re<5&&Me<20&&Ut<20){const dn=this._findBetterContiguousSequence(Be,Me,Ue,Ut,Re);if(dn){const[Pr,yi]=dn;(Pr!==X.originalStart+X.originalLength||yi!==X.modifiedStart+X.modifiedLength)&&(X.originalLength=Pr-X.originalStart,X.modifiedLength=yi-X.modifiedStart,ue.originalStart=Pr+Re,ue.modifiedStart=yi+Re,ue.originalLength=it-ue.originalStart,ue.modifiedLength=Bt-ue.modifiedStart)}}}return v}_findBetterContiguousSequence(v,T,W,X,ue){if(T<ue||X<ue)return null;const Re=v+T-ue+1,Be=W+X-ue+1;let it=0,Me=0,Ue=0;for(let Bt=v;Bt<Re;Bt++)for(let Ut=W;Ut<Be;Ut++){const dn=this._contiguousSequenceScore(Bt,Ut,ue);dn>0&&dn>it&&(it=dn,Me=Bt,Ue=Ut)}return it>0?[Me,Ue]:null}_contiguousSequenceScore(v,T,W){let X=0;for(let ue=0;ue<W;ue++){if(!this.ElementsAreEqual(v+ue,T+ue))return 0;X+=this._originalStringElements[v+ue].length}return X}_OriginalIsBoundary(v){return v<=0||v>=this._originalElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._originalStringElements[v])}_OriginalRegionIsBoundary(v,T){if(this._OriginalIsBoundary(v)||this._OriginalIsBoundary(v-1))return!0;if(T>0){const W=v+T;if(this._OriginalIsBoundary(W-1)||this._OriginalIsBoundary(W))return!0}return!1}_ModifiedIsBoundary(v){return v<=0||v>=this._modifiedElementsOrHash.length-1?!0:this._hasStrings&&/^\s*$/.test(this._modifiedStringElements[v])}_ModifiedRegionIsBoundary(v,T){if(this._ModifiedIsBoundary(v)||this._ModifiedIsBoundary(v-1))return!0;if(T>0){const W=v+T;if(this._ModifiedIsBoundary(W-1)||this._ModifiedIsBoundary(W))return!0}return!1}_boundaryScore(v,T,W,X){const ue=this._OriginalRegionIsBoundary(v,T)?1:0,Re=this._ModifiedRegionIsBoundary(W,X)?1:0;return ue+Re}ConcatenateChanges(v,T){const W=[];if(v.length===0||T.length===0)return T.length>0?T:v;if(this.ChangesOverlap(v[v.length-1],T[0],W)){const X=new Array(v.length+T.length-1);return AM.Copy(v,0,X,0,v.length-1),X[v.length-1]=W[0],AM.Copy(T,1,X,v.length,T.length-1),X}else{const X=new Array(v.length+T.length);return AM.Copy(v,0,X,0,v.length),AM.Copy(T,0,X,v.length,T.length),X}}ChangesOverlap(v,T,W){if(TM.Assert(v.originalStart<=T.originalStart,"Left change is not less than or equal to right change"),TM.Assert(v.modifiedStart<=T.modifiedStart,"Left change is not less than or equal to right change"),v.originalStart+v.originalLength>=T.originalStart||v.modifiedStart+v.modifiedLength>=T.modifiedStart){const X=v.originalStart;let ue=v.originalLength;const Re=v.modifiedStart;let Be=v.modifiedLength;return v.originalStart+v.originalLength>=T.originalStart&&(ue=T.originalStart+T.originalLength-v.originalStart),v.modifiedStart+v.modifiedLength>=T.modifiedStart&&(Be=T.modifiedStart+T.modifiedLength-v.modifiedStart),W[0]=new Lx(X,ue,Re,Be),!0}else return W[0]=null,!1}ClipDiagonalBound(v,T,W,X){if(v>=0&&v<X)return v;const ue=W,Re=X-W-1,Be=T%2===0;if(v<0){const it=ue%2===0;return Be===it?0:1}else{const it=Re%2===0;return Be===it?X-1:X-2}}}class rd{constructor(v,T){this.lineNumber=v,this.column=T}with(v=this.lineNumber,T=this.column){return v===this.lineNumber&&T===this.column?this:new rd(v,T)}delta(v=0,T=0){return this.with(this.lineNumber+v,this.column+T)}equals(v){return rd.equals(this,v)}static equals(v,T){return!v&&!T?!0:!!v&&!!T&&v.lineNumber===T.lineNumber&&v.column===T.column}isBefore(v){return rd.isBefore(this,v)}static isBefore(v,T){return v.lineNumber<T.lineNumber?!0:T.lineNumber<v.lineNumber?!1:v.column<T.column}isBeforeOrEqual(v){return rd.isBeforeOrEqual(this,v)}static isBeforeOrEqual(v,T){return v.lineNumber<T.lineNumber?!0:T.lineNumber<v.lineNumber?!1:v.column<=T.column}static compare(v,T){const W=v.lineNumber|0,X=T.lineNumber|0;if(W===X){const ue=v.column|0,Re=T.column|0;return ue-Re}return W-X}clone(){return new rd(this.lineNumber,this.column)}toString(){return"("+this.lineNumber+","+this.column+")"}static lift(v){return new rd(v.lineNumber,v.column)}static isIPosition(v){return v&&typeof v.lineNumber=="number"&&typeof v.column=="number"}toJSON(){return{lineNumber:this.lineNumber,column:this.column}}}class ys{constructor(v,T,W,X){v>W||v===W&&T>X?(this.startLineNumber=W,this.startColumn=X,this.endLineNumber=v,this.endColumn=T):(this.startLineNumber=v,this.startColumn=T,this.endLineNumber=W,this.endColumn=X)}isEmpty(){return ys.isEmpty(this)}static isEmpty(v){return v.startLineNumber===v.endLineNumber&&v.startColumn===v.endColumn}containsPosition(v){return ys.containsPosition(this,v)}static containsPosition(v,T){return!(T.lineNumber<v.startLineNumber||T.lineNumber>v.endLineNumber||T.lineNumber===v.startLineNumber&&T.column<v.startColumn||T.lineNumber===v.endLineNumber&&T.column>v.endColumn)}static strictContainsPosition(v,T){return!(T.lineNumber<v.startLineNumber||T.lineNumber>v.endLineNumber||T.lineNumber===v.startLineNumber&&T.column<=v.startColumn||T.lineNumber===v.endLineNumber&&T.column>=v.endColumn)}containsRange(v){return ys.containsRange(this,v)}static containsRange(v,T){return!(T.startLineNumber<v.startLineNumber||T.endLineNumber<v.startLineNumber||T.startLineNumber>v.endLineNumber||T.endLineNumber>v.endLineNumber||T.startLineNumber===v.startLineNumber&&T.startColumn<v.startColumn||T.endLineNumber===v.endLineNumber&&T.endColumn>v.endColumn)}strictContainsRange(v){return ys.strictContainsRange(this,v)}static strictContainsRange(v,T){return!(T.startLineNumber<v.startLineNumber||T.endLineNumber<v.startLineNumber||T.startLineNumber>v.endLineNumber||T.endLineNumber>v.endLineNumber||T.startLineNumber===v.startLineNumber&&T.startColumn<=v.startColumn||T.endLineNumber===v.endLineNumber&&T.endColumn>=v.endColumn)}plusRange(v){return ys.plusRange(this,v)}static plusRange(v,T){let W,X,ue,Re;return T.startLineNumber<v.startLineNumber?(W=T.startLineNumber,X=T.startColumn):T.startLineNumber===v.startLineNumber?(W=T.startLineNumber,X=Math.min(T.startColumn,v.startColumn)):(W=v.startLineNumber,X=v.startColumn),T.endLineNumber>v.endLineNumber?(ue=T.endLineNumber,Re=T.endColumn):T.endLineNumber===v.endLineNumber?(ue=T.endLineNumber,Re=Math.max(T.endColumn,v.endColumn)):(ue=v.endLineNumber,Re=v.endColumn),new ys(W,X,ue,Re)}intersectRanges(v){return ys.intersectRanges(this,v)}static intersectRanges(v,T){let W=v.startLineNumber,X=v.startColumn,ue=v.endLineNumber,Re=v.endColumn;const Be=T.startLineNumber,it=T.startColumn,Me=T.endLineNumber,Ue=T.endColumn;return W<Be?(W=Be,X=it):W===Be&&(X=Math.max(X,it)),ue>Me?(ue=Me,Re=Ue):ue===Me&&(Re=Math.min(Re,Ue)),W>ue||W===ue&&X>Re?null:new ys(W,X,ue,Re)}equalsRange(v){return ys.equalsRange(this,v)}static equalsRange(v,T){return!v&&!T?!0:!!v&&!!T&&v.startLineNumber===T.startLineNumber&&v.startColumn===T.startColumn&&v.endLineNumber===T.endLineNumber&&v.endColumn===T.endColumn}getEndPosition(){return ys.getEndPosition(this)}static getEndPosition(v){return new rd(v.endLineNumber,v.endColumn)}getStartPosition(){return ys.getStartPosition(this)}static getStartPosition(v){return new rd(v.startLineNumber,v.startColumn)}toString(){return"["+this.startLineNumber+","+this.startColumn+" -> "+this.endLineNumber+","+this.endColumn+"]"}setEndPosition(v,T){return new ys(this.startLineNumber,this.startColumn,v,T)}setStartPosition(v,T){return new ys(v,T,this.endLineNumber,this.endColumn)}collapseToStart(){return ys.collapseToStart(this)}static collapseToStart(v){return new ys(v.startLineNumber,v.startColumn,v.startLineNumber,v.startColumn)}collapseToEnd(){return ys.collapseToEnd(this)}static collapseToEnd(v){return new ys(v.endLineNumber,v.endColumn,v.endLineNumber,v.endColumn)}delta(v){return new ys(this.startLineNumber+v,this.startColumn,this.endLineNumber+v,this.endColumn)}static fromPositions(v,T=v){return new ys(v.lineNumber,v.column,T.lineNumber,T.column)}static lift(v){return v?new ys(v.startLineNumber,v.startColumn,v.endLineNumber,v.endColumn):null}static isIRange(v){return v&&typeof v.startLineNumber=="number"&&typeof v.startColumn=="number"&&typeof v.endLineNumber=="number"&&typeof v.endColumn=="number"}static areIntersectingOrTouching(v,T){return!(v.endLineNumber<T.startLineNumber||v.endLineNumber===T.startLineNumber&&v.endColumn<T.startColumn||T.endLineNumber<v.startLineNumber||T.endLineNumber===v.startLineNumber&&T.endColumn<v.startColumn)}static areIntersecting(v,T){return!(v.endLineNumber<T.startLineNumber||v.endLineNumber===T.startLineNumber&&v.endColumn<=T.startColumn||T.endLineNumber<v.startLineNumber||T.endLineNumber===v.startLineNumber&&T.endColumn<=v.startColumn)}static compareRangesUsingStarts(v,T){if(v&&T){const ue=v.startLineNumber|0,Re=T.startLineNumber|0;if(ue===Re){const Be=v.startColumn|0,it=T.startColumn|0;if(Be===it){const Me=v.endLineNumber|0,Ue=T.endLineNumber|0;if(Me===Ue){const Bt=v.endColumn|0,Ut=T.endColumn|0;return Bt-Ut}return Me-Ue}return Be-it}return ue-Re}return(v?1:0)-(T?1:0)}static compareRangesUsingEnds(v,T){return v.endLineNumber===T.endLineNumber?v.endColumn===T.endColumn?v.startLineNumber===T.startLineNumber?v.startColumn-T.startColumn:v.startLineNumber-T.startLineNumber:v.endColumn-T.endColumn:v.endLineNumber-T.endLineNumber}static spansMultipleLines(v){return v.endLineNumber>v.startLineNumber}toJSON(){return this}}function E0e(g){return g<0?0:g>255?255:g|0}function IM(g){return g<0?0:g>4294967295?4294967295:g|0}class ene{constructor(v){const T=E0e(v);this._defaultValue=T,this._asciiMap=ene._createAsciiMap(T),this._map=new Map}static _createAsciiMap(v){const T=new Uint8Array(256);return T.fill(v),T}set(v,T){const W=E0e(T);v>=0&&v<256?this._asciiMap[v]=W:this._map.set(v,W)}get(v){return v>=0&&v<256?this._asciiMap[v]:this._map.get(v)||this._defaultValue}clear(){this._asciiMap.fill(this._defaultValue),this._map.clear()}}class H5e{constructor(v,T,W){const X=new Uint8Array(v*T);for(let ue=0,Re=v*T;ue<Re;ue++)X[ue]=W;this._data=X,this.rows=v,this.cols=T}get(v,T){return this._data[v*this.cols+T]}set(v,T,W){this._data[v*this.cols+T]=W}}class q5e{constructor(v){let T=0,W=0;for(let ue=0,Re=v.length;ue<Re;ue++){const[Be,it,Me]=v[ue];it>T&&(T=it),Be>W&&(W=Be),Me>W&&(W=Me)}T++,W++;const X=new H5e(W,T,0);for(let ue=0,Re=v.length;ue<Re;ue++){const[Be,it,Me]=v[ue];X.set(Be,it,Me)}this._states=X,this._maxCharCode=T}nextState(v,T){return T<0||T>=this._maxCharCode?0:this._states.get(v,T)}}let tne=null;function J5e(){return tne===null&&(tne=new q5e([[1,104,2],[1,72,2],[1,102,6],[1,70,6],[2,116,3],[2,84,3],[3,116,4],[3,84,4],[4,112,5],[4,80,5],[5,115,9],[5,83,9],[5,58,10],[6,105,7],[6,73,7],[7,108,8],[7,76,8],[8,101,9],[8,69,9],[9,58,10],[10,47,11],[11,47,12]])),tne}let E6=null;function K5e(){if(E6===null){E6=new ene(0);const g=` <>'"、。。、,.:;‘〈「『〔([{「」}])〕』」〉’`~…`;for(let T=0;T<g.length;T++)E6.set(g.charCodeAt(T),1);const v=".,;:";for(let T=0;T<v.length;T++)E6.set(v.charCodeAt(T),2)}return E6}class VB{static _createLink(v,T,W,X,ue){let Re=ue-1;do{const Be=T.charCodeAt(Re);if(v.get(Be)!==2)break;Re--}while(Re>X);if(X>0){const Be=T.charCodeAt(X-1),it=T.charCodeAt(Re);(Be===40&&it===41||Be===91&&it===93||Be===123&&it===125)&&Re--}return{range:{startLineNumber:W,startColumn:X+1,endLineNumber:W,endColumn:Re+2},url:T.substring(X,Re+1)}}static computeLinks(v,T=J5e()){const W=K5e(),X=[];for(let ue=1,Re=v.getLineCount();ue<=Re;ue++){const Be=v.getLineContent(ue),it=Be.length;let Me=0,Ue=0,Bt=0,Ut=1,dn=!1,Pr=!1,yi=!1,co=!1;for(;Me<it;){let Zn=!1;const An=Be.charCodeAt(Me);if(Ut===13){let Oo;switch(An){case 40:dn=!0,Oo=0;break;case 41:Oo=dn?0:1;break;case 91:yi=!0,Pr=!0,Oo=0;break;case 93:yi=!1,Oo=Pr?0:1;break;case 123:co=!0,Oo=0;break;case 125:Oo=co?0:1;break;case 39:case 34:case 96:Bt===An?Oo=1:Bt===39||Bt===34||Bt===96?Oo=0:Oo=1;break;case 42:Oo=Bt===42?1:0;break;case 124:Oo=Bt===124?1:0;break;case 32:Oo=yi?0:1;break;default:Oo=W.get(An)}Oo===1&&(X.push(VB._createLink(W,Be,ue,Ue,Me)),Zn=!0)}else if(Ut===12){let Oo;An===91?(Pr=!0,Oo=0):Oo=W.get(An),Oo===1?Zn=!0:Ut=13}else Ut=T.nextState(Ut,An),Ut===0&&(Zn=!0);Zn&&(Ut=1,dn=!1,Pr=!1,co=!1,Ue=Me+1,Bt=An),Me++}Ut===13&&X.push(VB._createLink(W,Be,ue,Ue,it))}return X}}function X5e(g){return!g||typeof g.getLineCount!="function"||typeof g.getLineContent!="function"?[]:VB.computeLinks(g)}const iG=class iG{constructor(){this._defaultValueSet=[["true","false"],["True","False"],["Private","Public","Friend","ReadOnly","Partial","Protected","WriteOnly"],["public","protected","private"]]}navigateValueSet(v,T,W,X,ue){if(v&&T){const Re=this.doNavigateValueSet(T,ue);if(Re)return{range:v,value:Re}}if(W&&X){const Re=this.doNavigateValueSet(X,ue);if(Re)return{range:W,value:Re}}return null}doNavigateValueSet(v,T){const W=this.numberReplace(v,T);return W!==null?W:this.textReplace(v,T)}numberReplace(v,T){const W=Math.pow(10,v.length-(v.lastIndexOf(".")+1));let X=Number(v);const ue=parseFloat(v);return!isNaN(X)&&!isNaN(ue)&&X===ue?X===0&&!T?null:(X=Math.floor(X*W),X+=T?W:-W,String(X/W)):null}textReplace(v,T){return this.valueSetsReplace(this._defaultValueSet,v,T)}valueSetsReplace(v,T,W){let X=null;for(let ue=0,Re=v.length;X===null&&ue<Re;ue++)X=this.valueSetReplace(v[ue],T,W);return X}valueSetReplace(v,T,W){let X=v.indexOf(T);return X>=0?(X+=W?1:-1,X<0?X=v.length-1:X%=v.length,v[X]):null}};iG.INSTANCE=new iG;let nne=iG;const S0e=Object.freeze(function(g,v){const T=setTimeout(g.bind(v),0);return{dispose(){clearTimeout(T)}}});var jB;(function(g){function v(T){return T===g.None||T===g.Cancelled||T instanceof UB?!0:!T||typeof T!="object"?!1:typeof T.isCancellationRequested=="boolean"&&typeof T.onCancellationRequested=="function"}g.isCancellationToken=v,g.None=Object.freeze({isCancellationRequested:!1,onCancellationRequested:OB.None}),g.Cancelled=Object.freeze({isCancellationRequested:!0,onCancellationRequested:S0e})})(jB||(jB={}));class UB{constructor(){this._isCancelled=!1,this._emitter=null}cancel(){this._isCancelled||(this._isCancelled=!0,this._emitter&&(this._emitter.fire(void 0),this.dispose()))}get isCancellationRequested(){return this._isCancelled}get onCancellationRequested(){return this._isCancelled?S0e:(this._emitter||(this._emitter=new Qb),this._emitter.event)}dispose(){this._emitter&&(this._emitter.dispose(),this._emitter=null)}}class Y5e{constructor(v){this._token=void 0,this._parentListener=void 0,this._parentListener=v&&v.onCancellationRequested(this.cancel,this)}get token(){return this._token||(this._token=new UB),this._token}cancel(){this._token?this._token instanceof UB&&this._token.cancel():this._token=jB.Cancelled}dispose(v=!1){var T;v&&this.cancel(),(T=this._parentListener)==null||T.dispose(),this._token?this._token instanceof UB&&this._token.dispose():this._token=jB.None}}class rne{constructor(){this._keyCodeToStr=[],this._strToKeyCode=Object.create(null)}define(v,T){this._keyCodeToStr[v]=T,this._strToKeyCode[T.toLowerCase()]=v}keyCodeToStr(v){return this._keyCodeToStr[v]}strToKeyCode(v){return this._strToKeyCode[v.toLowerCase()]||0}}const HB=new rne,ine=new rne,one=new rne,$5e=new Array(230),Q5e=Object.create(null),Z5e=Object.create(null);(function(){const g="",v=[[1,0,"None",0,"unknown",0,"VK_UNKNOWN",g,g],[1,1,"Hyper",0,g,0,g,g,g],[1,2,"Super",0,g,0,g,g,g],[1,3,"Fn",0,g,0,g,g,g],[1,4,"FnLock",0,g,0,g,g,g],[1,5,"Suspend",0,g,0,g,g,g],[1,6,"Resume",0,g,0,g,g,g],[1,7,"Turbo",0,g,0,g,g,g],[1,8,"Sleep",0,g,0,"VK_SLEEP",g,g],[1,9,"WakeUp",0,g,0,g,g,g],[0,10,"KeyA",31,"A",65,"VK_A",g,g],[0,11,"KeyB",32,"B",66,"VK_B",g,g],[0,12,"KeyC",33,"C",67,"VK_C",g,g],[0,13,"KeyD",34,"D",68,"VK_D",g,g],[0,14,"KeyE",35,"E",69,"VK_E",g,g],[0,15,"KeyF",36,"F",70,"VK_F",g,g],[0,16,"KeyG",37,"G",71,"VK_G",g,g],[0,17,"KeyH",38,"H",72,"VK_H",g,g],[0,18,"KeyI",39,"I",73,"VK_I",g,g],[0,19,"KeyJ",40,"J",74,"VK_J",g,g],[0,20,"KeyK",41,"K",75,"VK_K",g,g],[0,21,"KeyL",42,"L",76,"VK_L",g,g],[0,22,"KeyM",43,"M",77,"VK_M",g,g],[0,23,"KeyN",44,"N",78,"VK_N",g,g],[0,24,"KeyO",45,"O",79,"VK_O",g,g],[0,25,"KeyP",46,"P",80,"VK_P",g,g],[0,26,"KeyQ",47,"Q",81,"VK_Q",g,g],[0,27,"KeyR",48,"R",82,"VK_R",g,g],[0,28,"KeyS",49,"S",83,"VK_S",g,g],[0,29,"KeyT",50,"T",84,"VK_T",g,g],[0,30,"KeyU",51,"U",85,"VK_U",g,g],[0,31,"KeyV",52,"V",86,"VK_V",g,g],[0,32,"KeyW",53,"W",87,"VK_W",g,g],[0,33,"KeyX",54,"X",88,"VK_X",g,g],[0,34,"KeyY",55,"Y",89,"VK_Y",g,g],[0,35,"KeyZ",56,"Z",90,"VK_Z",g,g],[0,36,"Digit1",22,"1",49,"VK_1",g,g],[0,37,"Digit2",23,"2",50,"VK_2",g,g],[0,38,"Digit3",24,"3",51,"VK_3",g,g],[0,39,"Digit4",25,"4",52,"VK_4",g,g],[0,40,"Digit5",26,"5",53,"VK_5",g,g],[0,41,"Digit6",27,"6",54,"VK_6",g,g],[0,42,"Digit7",28,"7",55,"VK_7",g,g],[0,43,"Digit8",29,"8",56,"VK_8",g,g],[0,44,"Digit9",30,"9",57,"VK_9",g,g],[0,45,"Digit0",21,"0",48,"VK_0",g,g],[1,46,"Enter",3,"Enter",13,"VK_RETURN",g,g],[1,47,"Escape",9,"Escape",27,"VK_ESCAPE",g,g],[1,48,"Backspace",1,"Backspace",8,"VK_BACK",g,g],[1,49,"Tab",2,"Tab",9,"VK_TAB",g,g],[1,50,"Space",10,"Space",32,"VK_SPACE",g,g],[0,51,"Minus",88,"-",189,"VK_OEM_MINUS","-","OEM_MINUS"],[0,52,"Equal",86,"=",187,"VK_OEM_PLUS","=","OEM_PLUS"],[0,53,"BracketLeft",92,"[",219,"VK_OEM_4","[","OEM_4"],[0,54,"BracketRight",94,"]",221,"VK_OEM_6","]","OEM_6"],[0,55,"Backslash",93,"\\",220,"VK_OEM_5","\\","OEM_5"],[0,56,"IntlHash",0,g,0,g,g,g],[0,57,"Semicolon",85,";",186,"VK_OEM_1",";","OEM_1"],[0,58,"Quote",95,"'",222,"VK_OEM_7","'","OEM_7"],[0,59,"Backquote",91,"`",192,"VK_OEM_3","`","OEM_3"],[0,60,"Comma",87,",",188,"VK_OEM_COMMA",",","OEM_COMMA"],[0,61,"Period",89,".",190,"VK_OEM_PERIOD",".","OEM_PERIOD"],[0,62,"Slash",90,"/",191,"VK_OEM_2","/","OEM_2"],[1,63,"CapsLock",8,"CapsLock",20,"VK_CAPITAL",g,g],[1,64,"F1",59,"F1",112,"VK_F1",g,g],[1,65,"F2",60,"F2",113,"VK_F2",g,g],[1,66,"F3",61,"F3",114,"VK_F3",g,g],[1,67,"F4",62,"F4",115,"VK_F4",g,g],[1,68,"F5",63,"F5",116,"VK_F5",g,g],[1,69,"F6",64,"F6",117,"VK_F6",g,g],[1,70,"F7",65,"F7",118,"VK_F7",g,g],[1,71,"F8",66,"F8",119,"VK_F8",g,g],[1,72,"F9",67,"F9",120,"VK_F9",g,g],[1,73,"F10",68,"F10",121,"VK_F10",g,g],[1,74,"F11",69,"F11",122,"VK_F11",g,g],[1,75,"F12",70,"F12",123,"VK_F12",g,g],[1,76,"PrintScreen",0,g,0,g,g,g],[1,77,"ScrollLock",84,"ScrollLock",145,"VK_SCROLL",g,g],[1,78,"Pause",7,"PauseBreak",19,"VK_PAUSE",g,g],[1,79,"Insert",19,"Insert",45,"VK_INSERT",g,g],[1,80,"Home",14,"Home",36,"VK_HOME",g,g],[1,81,"PageUp",11,"PageUp",33,"VK_PRIOR",g,g],[1,82,"Delete",20,"Delete",46,"VK_DELETE",g,g],[1,83,"End",13,"End",35,"VK_END",g,g],[1,84,"PageDown",12,"PageDown",34,"VK_NEXT",g,g],[1,85,"ArrowRight",17,"RightArrow",39,"VK_RIGHT","Right",g],[1,86,"ArrowLeft",15,"LeftArrow",37,"VK_LEFT","Left",g],[1,87,"ArrowDown",18,"DownArrow",40,"VK_DOWN","Down",g],[1,88,"ArrowUp",16,"UpArrow",38,"VK_UP","Up",g],[1,89,"NumLock",83,"NumLock",144,"VK_NUMLOCK",g,g],[1,90,"NumpadDivide",113,"NumPad_Divide",111,"VK_DIVIDE",g,g],[1,91,"NumpadMultiply",108,"NumPad_Multiply",106,"VK_MULTIPLY",g,g],[1,92,"NumpadSubtract",111,"NumPad_Subtract",109,"VK_SUBTRACT",g,g],[1,93,"NumpadAdd",109,"NumPad_Add",107,"VK_ADD",g,g],[1,94,"NumpadEnter",3,g,0,g,g,g],[1,95,"Numpad1",99,"NumPad1",97,"VK_NUMPAD1",g,g],[1,96,"Numpad2",100,"NumPad2",98,"VK_NUMPAD2",g,g],[1,97,"Numpad3",101,"NumPad3",99,"VK_NUMPAD3",g,g],[1,98,"Numpad4",102,"NumPad4",100,"VK_NUMPAD4",g,g],[1,99,"Numpad5",103,"NumPad5",101,"VK_NUMPAD5",g,g],[1,100,"Numpad6",104,"NumPad6",102,"VK_NUMPAD6",g,g],[1,101,"Numpad7",105,"NumPad7",103,"VK_NUMPAD7",g,g],[1,102,"Numpad8",106,"NumPad8",104,"VK_NUMPAD8",g,g],[1,103,"Numpad9",107,"NumPad9",105,"VK_NUMPAD9",g,g],[1,104,"Numpad0",98,"NumPad0",96,"VK_NUMPAD0",g,g],[1,105,"NumpadDecimal",112,"NumPad_Decimal",110,"VK_DECIMAL",g,g],[0,106,"IntlBackslash",97,"OEM_102",226,"VK_OEM_102",g,g],[1,107,"ContextMenu",58,"ContextMenu",93,g,g,g],[1,108,"Power",0,g,0,g,g,g],[1,109,"NumpadEqual",0,g,0,g,g,g],[1,110,"F13",71,"F13",124,"VK_F13",g,g],[1,111,"F14",72,"F14",125,"VK_F14",g,g],[1,112,"F15",73,"F15",126,"VK_F15",g,g],[1,113,"F16",74,"F16",127,"VK_F16",g,g],[1,114,"F17",75,"F17",128,"VK_F17",g,g],[1,115,"F18",76,"F18",129,"VK_F18",g,g],[1,116,"F19",77,"F19",130,"VK_F19",g,g],[1,117,"F20",78,"F20",131,"VK_F20",g,g],[1,118,"F21",79,"F21",132,"VK_F21",g,g],[1,119,"F22",80,"F22",133,"VK_F22",g,g],[1,120,"F23",81,"F23",134,"VK_F23",g,g],[1,121,"F24",82,"F24",135,"VK_F24",g,g],[1,122,"Open",0,g,0,g,g,g],[1,123,"Help",0,g,0,g,g,g],[1,124,"Select",0,g,0,g,g,g],[1,125,"Again",0,g,0,g,g,g],[1,126,"Undo",0,g,0,g,g,g],[1,127,"Cut",0,g,0,g,g,g],[1,128,"Copy",0,g,0,g,g,g],[1,129,"Paste",0,g,0,g,g,g],[1,130,"Find",0,g,0,g,g,g],[1,131,"AudioVolumeMute",117,"AudioVolumeMute",173,"VK_VOLUME_MUTE",g,g],[1,132,"AudioVolumeUp",118,"AudioVolumeUp",175,"VK_VOLUME_UP",g,g],[1,133,"AudioVolumeDown",119,"AudioVolumeDown",174,"VK_VOLUME_DOWN",g,g],[1,134,"NumpadComma",110,"NumPad_Separator",108,"VK_SEPARATOR",g,g],[0,135,"IntlRo",115,"ABNT_C1",193,"VK_ABNT_C1",g,g],[1,136,"KanaMode",0,g,0,g,g,g],[0,137,"IntlYen",0,g,0,g,g,g],[1,138,"Convert",0,g,0,g,g,g],[1,139,"NonConvert",0,g,0,g,g,g],[1,140,"Lang1",0,g,0,g,g,g],[1,141,"Lang2",0,g,0,g,g,g],[1,142,"Lang3",0,g,0,g,g,g],[1,143,"Lang4",0,g,0,g,g,g],[1,144,"Lang5",0,g,0,g,g,g],[1,145,"Abort",0,g,0,g,g,g],[1,146,"Props",0,g,0,g,g,g],[1,147,"NumpadParenLeft",0,g,0,g,g,g],[1,148,"NumpadParenRight",0,g,0,g,g,g],[1,149,"NumpadBackspace",0,g,0,g,g,g],[1,150,"NumpadMemoryStore",0,g,0,g,g,g],[1,151,"NumpadMemoryRecall",0,g,0,g,g,g],[1,152,"NumpadMemoryClear",0,g,0,g,g,g],[1,153,"NumpadMemoryAdd",0,g,0,g,g,g],[1,154,"NumpadMemorySubtract",0,g,0,g,g,g],[1,155,"NumpadClear",131,"Clear",12,"VK_CLEAR",g,g],[1,156,"NumpadClearEntry",0,g,0,g,g,g],[1,0,g,5,"Ctrl",17,"VK_CONTROL",g,g],[1,0,g,4,"Shift",16,"VK_SHIFT",g,g],[1,0,g,6,"Alt",18,"VK_MENU",g,g],[1,0,g,57,"Meta",91,"VK_COMMAND",g,g],[1,157,"ControlLeft",5,g,0,"VK_LCONTROL",g,g],[1,158,"ShiftLeft",4,g,0,"VK_LSHIFT",g,g],[1,159,"AltLeft",6,g,0,"VK_LMENU",g,g],[1,160,"MetaLeft",57,g,0,"VK_LWIN",g,g],[1,161,"ControlRight",5,g,0,"VK_RCONTROL",g,g],[1,162,"ShiftRight",4,g,0,"VK_RSHIFT",g,g],[1,163,"AltRight",6,g,0,"VK_RMENU",g,g],[1,164,"MetaRight",57,g,0,"VK_RWIN",g,g],[1,165,"BrightnessUp",0,g,0,g,g,g],[1,166,"BrightnessDown",0,g,0,g,g,g],[1,167,"MediaPlay",0,g,0,g,g,g],[1,168,"MediaRecord",0,g,0,g,g,g],[1,169,"MediaFastForward",0,g,0,g,g,g],[1,170,"MediaRewind",0,g,0,g,g,g],[1,171,"MediaTrackNext",124,"MediaTrackNext",176,"VK_MEDIA_NEXT_TRACK",g,g],[1,172,"MediaTrackPrevious",125,"MediaTrackPrevious",177,"VK_MEDIA_PREV_TRACK",g,g],[1,173,"MediaStop",126,"MediaStop",178,"VK_MEDIA_STOP",g,g],[1,174,"Eject",0,g,0,g,g,g],[1,175,"MediaPlayPause",127,"MediaPlayPause",179,"VK_MEDIA_PLAY_PAUSE",g,g],[1,176,"MediaSelect",128,"LaunchMediaPlayer",181,"VK_MEDIA_LAUNCH_MEDIA_SELECT",g,g],[1,177,"LaunchMail",129,"LaunchMail",180,"VK_MEDIA_LAUNCH_MAIL",g,g],[1,178,"LaunchApp2",130,"LaunchApp2",183,"VK_MEDIA_LAUNCH_APP2",g,g],[1,179,"LaunchApp1",0,g,0,"VK_MEDIA_LAUNCH_APP1",g,g],[1,180,"SelectTask",0,g,0,g,g,g],[1,181,"LaunchScreenSaver",0,g,0,g,g,g],[1,182,"BrowserSearch",120,"BrowserSearch",170,"VK_BROWSER_SEARCH",g,g],[1,183,"BrowserHome",121,"BrowserHome",172,"VK_BROWSER_HOME",g,g],[1,184,"BrowserBack",122,"BrowserBack",166,"VK_BROWSER_BACK",g,g],[1,185,"BrowserForward",123,"BrowserForward",167,"VK_BROWSER_FORWARD",g,g],[1,186,"BrowserStop",0,g,0,"VK_BROWSER_STOP",g,g],[1,187,"BrowserRefresh",0,g,0,"VK_BROWSER_REFRESH",g,g],[1,188,"BrowserFavorites",0,g,0,"VK_BROWSER_FAVORITES",g,g],[1,189,"ZoomToggle",0,g,0,g,g,g],[1,190,"MailReply",0,g,0,g,g,g],[1,191,"MailForward",0,g,0,g,g,g],[1,192,"MailSend",0,g,0,g,g,g],[1,0,g,114,"KeyInComposition",229,g,g,g],[1,0,g,116,"ABNT_C2",194,"VK_ABNT_C2",g,g],[1,0,g,96,"OEM_8",223,"VK_OEM_8",g,g],[1,0,g,0,g,0,"VK_KANA",g,g],[1,0,g,0,g,0,"VK_HANGUL",g,g],[1,0,g,0,g,0,"VK_JUNJA",g,g],[1,0,g,0,g,0,"VK_FINAL",g,g],[1,0,g,0,g,0,"VK_HANJA",g,g],[1,0,g,0,g,0,"VK_KANJI",g,g],[1,0,g,0,g,0,"VK_CONVERT",g,g],[1,0,g,0,g,0,"VK_NONCONVERT",g,g],[1,0,g,0,g,0,"VK_ACCEPT",g,g],[1,0,g,0,g,0,"VK_MODECHANGE",g,g],[1,0,g,0,g,0,"VK_SELECT",g,g],[1,0,g,0,g,0,"VK_PRINT",g,g],[1,0,g,0,g,0,"VK_EXECUTE",g,g],[1,0,g,0,g,0,"VK_SNAPSHOT",g,g],[1,0,g,0,g,0,"VK_HELP",g,g],[1,0,g,0,g,0,"VK_APPS",g,g],[1,0,g,0,g,0,"VK_PROCESSKEY",g,g],[1,0,g,0,g,0,"VK_PACKET",g,g],[1,0,g,0,g,0,"VK_DBE_SBCSCHAR",g,g],[1,0,g,0,g,0,"VK_DBE_DBCSCHAR",g,g],[1,0,g,0,g,0,"VK_ATTN",g,g],[1,0,g,0,g,0,"VK_CRSEL",g,g],[1,0,g,0,g,0,"VK_EXSEL",g,g],[1,0,g,0,g,0,"VK_EREOF",g,g],[1,0,g,0,g,0,"VK_PLAY",g,g],[1,0,g,0,g,0,"VK_ZOOM",g,g],[1,0,g,0,g,0,"VK_NONAME",g,g],[1,0,g,0,g,0,"VK_PA1",g,g],[1,0,g,0,g,0,"VK_OEM_CLEAR",g,g]],T=[],W=[];for(const X of v){const[ue,Re,Be,it,Me,Ue,Bt,Ut,dn]=X;if(W[Re]||(W[Re]=!0,Q5e[Be]=Re,Z5e[Be.toLowerCase()]=Re),!T[it]){if(T[it]=!0,!Me)throw new Error(`String representation missing for key code ${it} around scan code ${Be}`);HB.define(it,Me),ine.define(it,Ut||Me),one.define(it,dn||Ut||Me)}Ue&&($5e[Ue]=it)}})();var T0e;(function(g){function v(Be){return HB.keyCodeToStr(Be)}g.toString=v;function T(Be){return HB.strToKeyCode(Be)}g.fromString=T;function W(Be){return ine.keyCodeToStr(Be)}g.toUserSettingsUS=W;function X(Be){return one.keyCodeToStr(Be)}g.toUserSettingsGeneral=X;function ue(Be){return ine.strToKeyCode(Be)||one.strToKeyCode(Be)}g.fromUserSettings=ue;function Re(Be){if(Be>=98&&Be<=113)return null;switch(Be){case 16:return"Up";case 18:return"Down";case 15:return"Left";case 17:return"Right"}return HB.keyCodeToStr(Be)}g.toElectronAccelerator=Re})(T0e||(T0e={}));function eze(g,v){const T=(v&65535)<<16>>>0;return(g|T)>>>0}class Iy extends ys{constructor(v,T,W,X){super(v,T,W,X),this.selectionStartLineNumber=v,this.selectionStartColumn=T,this.positionLineNumber=W,this.positionColumn=X}toString(){return"["+this.selectionStartLineNumber+","+this.selectionStartColumn+" -> "+this.positionLineNumber+","+this.positionColumn+"]"}equalsSelection(v){return Iy.selectionsEqual(this,v)}static selectionsEqual(v,T){return v.selectionStartLineNumber===T.selectionStartLineNumber&&v.selectionStartColumn===T.selectionStartColumn&&v.positionLineNumber===T.positionLineNumber&&v.positionColumn===T.positionColumn}getDirection(){return this.selectionStartLineNumber===this.startLineNumber&&this.selectionStartColumn===this.startColumn?0:1}setEndPosition(v,T){return this.getDirection()===0?new Iy(this.startLineNumber,this.startColumn,v,T):new Iy(v,T,this.startLineNumber,this.startColumn)}getPosition(){return new rd(this.positionLineNumber,this.positionColumn)}getSelectionStart(){return new rd(this.selectionStartLineNumber,this.selectionStartColumn)}setStartPosition(v,T){return this.getDirection()===0?new Iy(v,T,this.endLineNumber,this.endColumn):new Iy(this.endLineNumber,this.endColumn,v,T)}static fromPositions(v,T=v){return new Iy(v.lineNumber,v.column,T.lineNumber,T.column)}static fromRange(v,T){return T===0?new Iy(v.startLineNumber,v.startColumn,v.endLineNumber,v.endColumn):new Iy(v.endLineNumber,v.endColumn,v.startLineNumber,v.startColumn)}static liftSelection(v){return new Iy(v.selectionStartLineNumber,v.selectionStartColumn,v.positionLineNumber,v.positionColumn)}static selectionsArrEqual(v,T){if(v&&!T||!v&&T)return!1;if(!v&&!T)return!0;if(v.length!==T.length)return!1;for(let W=0,X=v.length;W<X;W++)if(!this.selectionsEqual(v[W],T[W]))return!1;return!0}static isISelection(v){return v&&typeof v.selectionStartLineNumber=="number"&&typeof v.selectionStartColumn=="number"&&typeof v.positionLineNumber=="number"&&typeof v.positionColumn=="number"}static createWithDirection(v,T,W,X,ue){return ue===0?new Iy(v,T,W,X):new Iy(W,X,v,T)}}function tze(g){return typeof g=="string"}const A0e=Object.create(null);function Ie(g,v){if(tze(v)){const T=A0e[v];if(T===void 0)throw new Error(`${g} references an unknown codicon: ${v}`);v=T}return A0e[g]=v,{id:g}}const nze={add:Ie("add",6e4),plus:Ie("plus",6e4),gistNew:Ie("gist-new",6e4),repoCreate:Ie("repo-create",6e4),lightbulb:Ie("lightbulb",60001),lightBulb:Ie("light-bulb",60001),repo:Ie("repo",60002),repoDelete:Ie("repo-delete",60002),gistFork:Ie("gist-fork",60003),repoForked:Ie("repo-forked",60003),gitPullRequest:Ie("git-pull-request",60004),gitPullRequestAbandoned:Ie("git-pull-request-abandoned",60004),recordKeys:Ie("record-keys",60005),keyboard:Ie("keyboard",60005),tag:Ie("tag",60006),gitPullRequestLabel:Ie("git-pull-request-label",60006),tagAdd:Ie("tag-add",60006),tagRemove:Ie("tag-remove",60006),person:Ie("person",60007),personFollow:Ie("person-follow",60007),personOutline:Ie("person-outline",60007),personFilled:Ie("person-filled",60007),gitBranch:Ie("git-branch",60008),gitBranchCreate:Ie("git-branch-create",60008),gitBranchDelete:Ie("git-branch-delete",60008),sourceControl:Ie("source-control",60008),mirror:Ie("mirror",60009),mirrorPublic:Ie("mirror-public",60009),star:Ie("star",60010),starAdd:Ie("star-add",60010),starDelete:Ie("star-delete",60010),starEmpty:Ie("star-empty",60010),comment:Ie("comment",60011),commentAdd:Ie("comment-add",60011),alert:Ie("alert",60012),warning:Ie("warning",60012),search:Ie("search",60013),searchSave:Ie("search-save",60013),logOut:Ie("log-out",60014),signOut:Ie("sign-out",60014),logIn:Ie("log-in",60015),signIn:Ie("sign-in",60015),eye:Ie("eye",60016),eyeUnwatch:Ie("eye-unwatch",60016),eyeWatch:Ie("eye-watch",60016),circleFilled:Ie("circle-filled",60017),primitiveDot:Ie("primitive-dot",60017),closeDirty:Ie("close-dirty",60017),debugBreakpoint:Ie("debug-breakpoint",60017),debugBreakpointDisabled:Ie("debug-breakpoint-disabled",60017),debugHint:Ie("debug-hint",60017),terminalDecorationSuccess:Ie("terminal-decoration-success",60017),primitiveSquare:Ie("primitive-square",60018),edit:Ie("edit",60019),pencil:Ie("pencil",60019),info:Ie("info",60020),issueOpened:Ie("issue-opened",60020),gistPrivate:Ie("gist-private",60021),gitForkPrivate:Ie("git-fork-private",60021),lock:Ie("lock",60021),mirrorPrivate:Ie("mirror-private",60021),close:Ie("close",60022),removeClose:Ie("remove-close",60022),x:Ie("x",60022),repoSync:Ie("repo-sync",60023),sync:Ie("sync",60023),clone:Ie("clone",60024),desktopDownload:Ie("desktop-download",60024),beaker:Ie("beaker",60025),microscope:Ie("microscope",60025),vm:Ie("vm",60026),deviceDesktop:Ie("device-desktop",60026),file:Ie("file",60027),fileText:Ie("file-text",60027),more:Ie("more",60028),ellipsis:Ie("ellipsis",60028),kebabHorizontal:Ie("kebab-horizontal",60028),mailReply:Ie("mail-reply",60029),reply:Ie("reply",60029),organization:Ie("organization",60030),organizationFilled:Ie("organization-filled",60030),organizationOutline:Ie("organization-outline",60030),newFile:Ie("new-file",60031),fileAdd:Ie("file-add",60031),newFolder:Ie("new-folder",60032),fileDirectoryCreate:Ie("file-directory-create",60032),trash:Ie("trash",60033),trashcan:Ie("trashcan",60033),history:Ie("history",60034),clock:Ie("clock",60034),folder:Ie("folder",60035),fileDirectory:Ie("file-directory",60035),symbolFolder:Ie("symbol-folder",60035),logoGithub:Ie("logo-github",60036),markGithub:Ie("mark-github",60036),github:Ie("github",60036),terminal:Ie("terminal",60037),console:Ie("console",60037),repl:Ie("repl",60037),zap:Ie("zap",60038),symbolEvent:Ie("symbol-event",60038),error:Ie("error",60039),stop:Ie("stop",60039),variable:Ie("variable",60040),symbolVariable:Ie("symbol-variable",60040),array:Ie("array",60042),symbolArray:Ie("symbol-array",60042),symbolModule:Ie("symbol-module",60043),symbolPackage:Ie("symbol-package",60043),symbolNamespace:Ie("symbol-namespace",60043),symbolObject:Ie("symbol-object",60043),symbolMethod:Ie("symbol-method",60044),symbolFunction:Ie("symbol-function",60044),symbolConstructor:Ie("symbol-constructor",60044),symbolBoolean:Ie("symbol-boolean",60047),symbolNull:Ie("symbol-null",60047),symbolNumeric:Ie("symbol-numeric",60048),symbolNumber:Ie("symbol-number",60048),symbolStructure:Ie("symbol-structure",60049),symbolStruct:Ie("symbol-struct",60049),symbolParameter:Ie("symbol-parameter",60050),symbolTypeParameter:Ie("symbol-type-parameter",60050),symbolKey:Ie("symbol-key",60051),symbolText:Ie("symbol-text",60051),symbolReference:Ie("symbol-reference",60052),goToFile:Ie("go-to-file",60052),symbolEnum:Ie("symbol-enum",60053),symbolValue:Ie("symbol-value",60053),symbolRuler:Ie("symbol-ruler",60054),symbolUnit:Ie("symbol-unit",60054),activateBreakpoints:Ie("activate-breakpoints",60055),archive:Ie("archive",60056),arrowBoth:Ie("arrow-both",60057),arrowDown:Ie("arrow-down",60058),arrowLeft:Ie("arrow-left",60059),arrowRight:Ie("arrow-right",60060),arrowSmallDown:Ie("arrow-small-down",60061),arrowSmallLeft:Ie("arrow-small-left",60062),arrowSmallRight:Ie("arrow-small-right",60063),arrowSmallUp:Ie("arrow-small-up",60064),arrowUp:Ie("arrow-up",60065),bell:Ie("bell",60066),bold:Ie("bold",60067),book:Ie("book",60068),bookmark:Ie("bookmark",60069),debugBreakpointConditionalUnverified:Ie("debug-breakpoint-conditional-unverified",60070),debugBreakpointConditional:Ie("debug-breakpoint-conditional",60071),debugBreakpointConditionalDisabled:Ie("debug-breakpoint-conditional-disabled",60071),debugBreakpointDataUnverified:Ie("debug-breakpoint-data-unverified",60072),debugBreakpointData:Ie("debug-breakpoint-data",60073),debugBreakpointDataDisabled:Ie("debug-breakpoint-data-disabled",60073),debugBreakpointLogUnverified:Ie("debug-breakpoint-log-unverified",60074),debugBreakpointLog:Ie("debug-breakpoint-log",60075),debugBreakpointLogDisabled:Ie("debug-breakpoint-log-disabled",60075),briefcase:Ie("briefcase",60076),broadcast:Ie("broadcast",60077),browser:Ie("browser",60078),bug:Ie("bug",60079),calendar:Ie("calendar",60080),caseSensitive:Ie("case-sensitive",60081),check:Ie("check",60082),checklist:Ie("checklist",60083),chevronDown:Ie("chevron-down",60084),chevronLeft:Ie("chevron-left",60085),chevronRight:Ie("chevron-right",60086),chevronUp:Ie("chevron-up",60087),chromeClose:Ie("chrome-close",60088),chromeMaximize:Ie("chrome-maximize",60089),chromeMinimize:Ie("chrome-minimize",60090),chromeRestore:Ie("chrome-restore",60091),circleOutline:Ie("circle-outline",60092),circle:Ie("circle",60092),debugBreakpointUnverified:Ie("debug-breakpoint-unverified",60092),terminalDecorationIncomplete:Ie("terminal-decoration-incomplete",60092),circleSlash:Ie("circle-slash",60093),circuitBoard:Ie("circuit-board",60094),clearAll:Ie("clear-all",60095),clippy:Ie("clippy",60096),closeAll:Ie("close-all",60097),cloudDownload:Ie("cloud-download",60098),cloudUpload:Ie("cloud-upload",60099),code:Ie("code",60100),collapseAll:Ie("collapse-all",60101),colorMode:Ie("color-mode",60102),commentDiscussion:Ie("comment-discussion",60103),creditCard:Ie("credit-card",60105),dash:Ie("dash",60108),dashboard:Ie("dashboard",60109),database:Ie("database",60110),debugContinue:Ie("debug-continue",60111),debugDisconnect:Ie("debug-disconnect",60112),debugPause:Ie("debug-pause",60113),debugRestart:Ie("debug-restart",60114),debugStart:Ie("debug-start",60115),debugStepInto:Ie("debug-step-into",60116),debugStepOut:Ie("debug-step-out",60117),debugStepOver:Ie("debug-step-over",60118),debugStop:Ie("debug-stop",60119),debug:Ie("debug",60120),deviceCameraVideo:Ie("device-camera-video",60121),deviceCamera:Ie("device-camera",60122),deviceMobile:Ie("device-mobile",60123),diffAdded:Ie("diff-added",60124),diffIgnored:Ie("diff-ignored",60125),diffModified:Ie("diff-modified",60126),diffRemoved:Ie("diff-removed",60127),diffRenamed:Ie("diff-renamed",60128),diff:Ie("diff",60129),diffSidebyside:Ie("diff-sidebyside",60129),discard:Ie("discard",60130),editorLayout:Ie("editor-layout",60131),emptyWindow:Ie("empty-window",60132),exclude:Ie("exclude",60133),extensions:Ie("extensions",60134),eyeClosed:Ie("eye-closed",60135),fileBinary:Ie("file-binary",60136),fileCode:Ie("file-code",60137),fileMedia:Ie("file-media",60138),filePdf:Ie("file-pdf",60139),fileSubmodule:Ie("file-submodule",60140),fileSymlinkDirectory:Ie("file-symlink-directory",60141),fileSymlinkFile:Ie("file-symlink-file",60142),fileZip:Ie("file-zip",60143),files:Ie("files",60144),filter:Ie("filter",60145),flame:Ie("flame",60146),foldDown:Ie("fold-down",60147),foldUp:Ie("fold-up",60148),fold:Ie("fold",60149),folderActive:Ie("folder-active",60150),folderOpened:Ie("folder-opened",60151),gear:Ie("gear",60152),gift:Ie("gift",60153),gistSecret:Ie("gist-secret",60154),gist:Ie("gist",60155),gitCommit:Ie("git-commit",60156),gitCompare:Ie("git-compare",60157),compareChanges:Ie("compare-changes",60157),gitMerge:Ie("git-merge",60158),githubAction:Ie("github-action",60159),githubAlt:Ie("github-alt",60160),globe:Ie("globe",60161),grabber:Ie("grabber",60162),graph:Ie("graph",60163),gripper:Ie("gripper",60164),heart:Ie("heart",60165),home:Ie("home",60166),horizontalRule:Ie("horizontal-rule",60167),hubot:Ie("hubot",60168),inbox:Ie("inbox",60169),issueReopened:Ie("issue-reopened",60171),issues:Ie("issues",60172),italic:Ie("italic",60173),jersey:Ie("jersey",60174),json:Ie("json",60175),kebabVertical:Ie("kebab-vertical",60176),key:Ie("key",60177),law:Ie("law",60178),lightbulbAutofix:Ie("lightbulb-autofix",60179),linkExternal:Ie("link-external",60180),link:Ie("link",60181),listOrdered:Ie("list-ordered",60182),listUnordered:Ie("list-unordered",60183),liveShare:Ie("live-share",60184),loading:Ie("loading",60185),location:Ie("location",60186),mailRead:Ie("mail-read",60187),mail:Ie("mail",60188),markdown:Ie("markdown",60189),megaphone:Ie("megaphone",60190),mention:Ie("mention",60191),milestone:Ie("milestone",60192),gitPullRequestMilestone:Ie("git-pull-request-milestone",60192),mortarBoard:Ie("mortar-board",60193),move:Ie("move",60194),multipleWindows:Ie("multiple-windows",60195),mute:Ie("mute",60196),noNewline:Ie("no-newline",60197),note:Ie("note",60198),octoface:Ie("octoface",60199),openPreview:Ie("open-preview",60200),package:Ie("package",60201),paintcan:Ie("paintcan",60202),pin:Ie("pin",60203),play:Ie("play",60204),run:Ie("run",60204),plug:Ie("plug",60205),preserveCase:Ie("preserve-case",60206),preview:Ie("preview",60207),project:Ie("project",60208),pulse:Ie("pulse",60209),question:Ie("question",60210),quote:Ie("quote",60211),radioTower:Ie("radio-tower",60212),reactions:Ie("reactions",60213),references:Ie("references",60214),refresh:Ie("refresh",60215),regex:Ie("regex",60216),remoteExplorer:Ie("remote-explorer",60217),remote:Ie("remote",60218),remove:Ie("remove",60219),replaceAll:Ie("replace-all",60220),replace:Ie("replace",60221),repoClone:Ie("repo-clone",60222),repoForcePush:Ie("repo-force-push",60223),repoPull:Ie("repo-pull",60224),repoPush:Ie("repo-push",60225),report:Ie("report",60226),requestChanges:Ie("request-changes",60227),rocket:Ie("rocket",60228),rootFolderOpened:Ie("root-folder-opened",60229),rootFolder:Ie("root-folder",60230),rss:Ie("rss",60231),ruby:Ie("ruby",60232),saveAll:Ie("save-all",60233),saveAs:Ie("save-as",60234),save:Ie("save",60235),screenFull:Ie("screen-full",60236),screenNormal:Ie("screen-normal",60237),searchStop:Ie("search-stop",60238),server:Ie("server",60240),settingsGear:Ie("settings-gear",60241),settings:Ie("settings",60242),shield:Ie("shield",60243),smiley:Ie("smiley",60244),sortPrecedence:Ie("sort-precedence",60245),splitHorizontal:Ie("split-horizontal",60246),splitVertical:Ie("split-vertical",60247),squirrel:Ie("squirrel",60248),starFull:Ie("star-full",60249),starHalf:Ie("star-half",60250),symbolClass:Ie("symbol-class",60251),symbolColor:Ie("symbol-color",60252),symbolConstant:Ie("symbol-constant",60253),symbolEnumMember:Ie("symbol-enum-member",60254),symbolField:Ie("symbol-field",60255),symbolFile:Ie("symbol-file",60256),symbolInterface:Ie("symbol-interface",60257),symbolKeyword:Ie("symbol-keyword",60258),symbolMisc:Ie("symbol-misc",60259),symbolOperator:Ie("symbol-operator",60260),symbolProperty:Ie("symbol-property",60261),wrench:Ie("wrench",60261),wrenchSubaction:Ie("wrench-subaction",60261),symbolSnippet:Ie("symbol-snippet",60262),tasklist:Ie("tasklist",60263),telescope:Ie("telescope",60264),textSize:Ie("text-size",60265),threeBars:Ie("three-bars",60266),thumbsdown:Ie("thumbsdown",60267),thumbsup:Ie("thumbsup",60268),tools:Ie("tools",60269),triangleDown:Ie("triangle-down",60270),triangleLeft:Ie("triangle-left",60271),triangleRight:Ie("triangle-right",60272),triangleUp:Ie("triangle-up",60273),twitter:Ie("twitter",60274),unfold:Ie("unfold",60275),unlock:Ie("unlock",60276),unmute:Ie("unmute",60277),unverified:Ie("unverified",60278),verified:Ie("verified",60279),versions:Ie("versions",60280),vmActive:Ie("vm-active",60281),vmOutline:Ie("vm-outline",60282),vmRunning:Ie("vm-running",60283),watch:Ie("watch",60284),whitespace:Ie("whitespace",60285),wholeWord:Ie("whole-word",60286),window:Ie("window",60287),wordWrap:Ie("word-wrap",60288),zoomIn:Ie("zoom-in",60289),zoomOut:Ie("zoom-out",60290),listFilter:Ie("list-filter",60291),listFlat:Ie("list-flat",60292),listSelection:Ie("list-selection",60293),selection:Ie("selection",60293),listTree:Ie("list-tree",60294),debugBreakpointFunctionUnverified:Ie("debug-breakpoint-function-unverified",60295),debugBreakpointFunction:Ie("debug-breakpoint-function",60296),debugBreakpointFunctionDisabled:Ie("debug-breakpoint-function-disabled",60296),debugStackframeActive:Ie("debug-stackframe-active",60297),circleSmallFilled:Ie("circle-small-filled",60298),debugStackframeDot:Ie("debug-stackframe-dot",60298),terminalDecorationMark:Ie("terminal-decoration-mark",60298),debugStackframe:Ie("debug-stackframe",60299),debugStackframeFocused:Ie("debug-stackframe-focused",60299),debugBreakpointUnsupported:Ie("debug-breakpoint-unsupported",60300),symbolString:Ie("symbol-string",60301),debugReverseContinue:Ie("debug-reverse-continue",60302),debugStepBack:Ie("debug-step-back",60303),debugRestartFrame:Ie("debug-restart-frame",60304),debugAlt:Ie("debug-alt",60305),callIncoming:Ie("call-incoming",60306),callOutgoing:Ie("call-outgoing",60307),menu:Ie("menu",60308),expandAll:Ie("expand-all",60309),feedback:Ie("feedback",60310),gitPullRequestReviewer:Ie("git-pull-request-reviewer",60310),groupByRefType:Ie("group-by-ref-type",60311),ungroupByRefType:Ie("ungroup-by-ref-type",60312),account:Ie("account",60313),gitPullRequestAssignee:Ie("git-pull-request-assignee",60313),bellDot:Ie("bell-dot",60314),debugConsole:Ie("debug-console",60315),library:Ie("library",60316),output:Ie("output",60317),runAll:Ie("run-all",60318),syncIgnored:Ie("sync-ignored",60319),pinned:Ie("pinned",60320),githubInverted:Ie("github-inverted",60321),serverProcess:Ie("server-process",60322),serverEnvironment:Ie("server-environment",60323),pass:Ie("pass",60324),issueClosed:Ie("issue-closed",60324),stopCircle:Ie("stop-circle",60325),playCircle:Ie("play-circle",60326),record:Ie("record",60327),debugAltSmall:Ie("debug-alt-small",60328),vmConnect:Ie("vm-connect",60329),cloud:Ie("cloud",60330),merge:Ie("merge",60331),export:Ie("export",60332),graphLeft:Ie("graph-left",60333),magnet:Ie("magnet",60334),notebook:Ie("notebook",60335),redo:Ie("redo",60336),checkAll:Ie("check-all",60337),pinnedDirty:Ie("pinned-dirty",60338),passFilled:Ie("pass-filled",60339),circleLargeFilled:Ie("circle-large-filled",60340),circleLarge:Ie("circle-large",60341),circleLargeOutline:Ie("circle-large-outline",60341),combine:Ie("combine",60342),gather:Ie("gather",60342),table:Ie("table",60343),variableGroup:Ie("variable-group",60344),typeHierarchy:Ie("type-hierarchy",60345),typeHierarchySub:Ie("type-hierarchy-sub",60346),typeHierarchySuper:Ie("type-hierarchy-super",60347),gitPullRequestCreate:Ie("git-pull-request-create",60348),runAbove:Ie("run-above",60349),runBelow:Ie("run-below",60350),notebookTemplate:Ie("notebook-template",60351),debugRerun:Ie("debug-rerun",60352),workspaceTrusted:Ie("workspace-trusted",60353),workspaceUntrusted:Ie("workspace-untrusted",60354),workspaceUnknown:Ie("workspace-unknown",60355),terminalCmd:Ie("terminal-cmd",60356),terminalDebian:Ie("terminal-debian",60357),terminalLinux:Ie("terminal-linux",60358),terminalPowershell:Ie("terminal-powershell",60359),terminalTmux:Ie("terminal-tmux",60360),terminalUbuntu:Ie("terminal-ubuntu",60361),terminalBash:Ie("terminal-bash",60362),arrowSwap:Ie("arrow-swap",60363),copy:Ie("copy",60364),personAdd:Ie("person-add",60365),filterFilled:Ie("filter-filled",60366),wand:Ie("wand",60367),debugLineByLine:Ie("debug-line-by-line",60368),inspect:Ie("inspect",60369),layers:Ie("layers",60370),layersDot:Ie("layers-dot",60371),layersActive:Ie("layers-active",60372),compass:Ie("compass",60373),compassDot:Ie("compass-dot",60374),compassActive:Ie("compass-active",60375),azure:Ie("azure",60376),issueDraft:Ie("issue-draft",60377),gitPullRequestClosed:Ie("git-pull-request-closed",60378),gitPullRequestDraft:Ie("git-pull-request-draft",60379),debugAll:Ie("debug-all",60380),debugCoverage:Ie("debug-coverage",60381),runErrors:Ie("run-errors",60382),folderLibrary:Ie("folder-library",60383),debugContinueSmall:Ie("debug-continue-small",60384),beakerStop:Ie("beaker-stop",60385),graphLine:Ie("graph-line",60386),graphScatter:Ie("graph-scatter",60387),pieChart:Ie("pie-chart",60388),bracket:Ie("bracket",60175),bracketDot:Ie("bracket-dot",60389),bracketError:Ie("bracket-error",60390),lockSmall:Ie("lock-small",60391),azureDevops:Ie("azure-devops",60392),verifiedFilled:Ie("verified-filled",60393),newline:Ie("newline",60394),layout:Ie("layout",60395),layoutActivitybarLeft:Ie("layout-activitybar-left",60396),layoutActivitybarRight:Ie("layout-activitybar-right",60397),layoutPanelLeft:Ie("layout-panel-left",60398),layoutPanelCenter:Ie("layout-panel-center",60399),layoutPanelJustify:Ie("layout-panel-justify",60400),layoutPanelRight:Ie("layout-panel-right",60401),layoutPanel:Ie("layout-panel",60402),layoutSidebarLeft:Ie("layout-sidebar-left",60403),layoutSidebarRight:Ie("layout-sidebar-right",60404),layoutStatusbar:Ie("layout-statusbar",60405),layoutMenubar:Ie("layout-menubar",60406),layoutCentered:Ie("layout-centered",60407),target:Ie("target",60408),indent:Ie("indent",60409),recordSmall:Ie("record-small",60410),errorSmall:Ie("error-small",60411),terminalDecorationError:Ie("terminal-decoration-error",60411),arrowCircleDown:Ie("arrow-circle-down",60412),arrowCircleLeft:Ie("arrow-circle-left",60413),arrowCircleRight:Ie("arrow-circle-right",60414),arrowCircleUp:Ie("arrow-circle-up",60415),layoutSidebarRightOff:Ie("layout-sidebar-right-off",60416),layoutPanelOff:Ie("layout-panel-off",60417),layoutSidebarLeftOff:Ie("layout-sidebar-left-off",60418),blank:Ie("blank",60419),heartFilled:Ie("heart-filled",60420),map:Ie("map",60421),mapHorizontal:Ie("map-horizontal",60421),foldHorizontal:Ie("fold-horizontal",60421),mapFilled:Ie("map-filled",60422),mapHorizontalFilled:Ie("map-horizontal-filled",60422),foldHorizontalFilled:Ie("fold-horizontal-filled",60422),circleSmall:Ie("circle-small",60423),bellSlash:Ie("bell-slash",60424),bellSlashDot:Ie("bell-slash-dot",60425),commentUnresolved:Ie("comment-unresolved",60426),gitPullRequestGoToChanges:Ie("git-pull-request-go-to-changes",60427),gitPullRequestNewChanges:Ie("git-pull-request-new-changes",60428),searchFuzzy:Ie("search-fuzzy",60429),commentDraft:Ie("comment-draft",60430),send:Ie("send",60431),sparkle:Ie("sparkle",60432),insert:Ie("insert",60433),mic:Ie("mic",60434),thumbsdownFilled:Ie("thumbsdown-filled",60435),thumbsupFilled:Ie("thumbsup-filled",60436),coffee:Ie("coffee",60437),snake:Ie("snake",60438),game:Ie("game",60439),vr:Ie("vr",60440),chip:Ie("chip",60441),piano:Ie("piano",60442),music:Ie("music",60443),micFilled:Ie("mic-filled",60444),repoFetch:Ie("repo-fetch",60445),copilot:Ie("copilot",60446),lightbulbSparkle:Ie("lightbulb-sparkle",60447),robot:Ie("robot",60448),sparkleFilled:Ie("sparkle-filled",60449),diffSingle:Ie("diff-single",60450),diffMultiple:Ie("diff-multiple",60451),surroundWith:Ie("surround-with",60452),share:Ie("share",60453),gitStash:Ie("git-stash",60454),gitStashApply:Ie("git-stash-apply",60455),gitStashPop:Ie("git-stash-pop",60456),vscode:Ie("vscode",60457),vscodeInsiders:Ie("vscode-insiders",60458),codeOss:Ie("code-oss",60459),runCoverage:Ie("run-coverage",60460),runAllCoverage:Ie("run-all-coverage",60461),coverage:Ie("coverage",60462),githubProject:Ie("github-project",60463),mapVertical:Ie("map-vertical",60464),foldVertical:Ie("fold-vertical",60464),mapVerticalFilled:Ie("map-vertical-filled",60465),foldVerticalFilled:Ie("fold-vertical-filled",60465),goToSearch:Ie("go-to-search",60466),percentage:Ie("percentage",60467),sortPercentage:Ie("sort-percentage",60467),attach:Ie("attach",60468)},rze={dialogError:Ie("dialog-error","error"),dialogWarning:Ie("dialog-warning","warning"),dialogInfo:Ie("dialog-info","info"),dialogClose:Ie("dialog-close","close"),treeItemExpanded:Ie("tree-item-expanded","chevron-down"),treeFilterOnTypeOn:Ie("tree-filter-on-type-on","list-filter"),treeFilterOnTypeOff:Ie("tree-filter-on-type-off","list-selection"),treeFilterClear:Ie("tree-filter-clear","close"),treeItemLoading:Ie("tree-item-loading","loading"),menuSelection:Ie("menu-selection","check"),menuSubmenu:Ie("menu-submenu","chevron-right"),menuBarMore:Ie("menubar-more","more"),scrollbarButtonLeft:Ie("scrollbar-button-left","triangle-left"),scrollbarButtonRight:Ie("scrollbar-button-right","triangle-right"),scrollbarButtonUp:Ie("scrollbar-button-up","triangle-up"),scrollbarButtonDown:Ie("scrollbar-button-down","triangle-down"),toolBarMore:Ie("toolbar-more","more"),quickInputBack:Ie("quick-input-back","arrow-left"),dropDownButton:Ie("drop-down-button",60084),symbolCustomColor:Ie("symbol-customcolor",60252),exportIcon:Ie("export",60332),workspaceUnspecified:Ie("workspace-unspecified",60355),newLine:Ie("newline",60394),thumbsDownFilled:Ie("thumbsdown-filled",60435),thumbsUpFilled:Ie("thumbsup-filled",60436),gitFetch:Ie("git-fetch",60445),lightbulbSparkleAutofix:Ie("lightbulb-sparkle-autofix",60447),debugBreakpointPending:Ie("debug-breakpoint-pending",60377)},_s={...nze,...rze};class I0e{constructor(){this._tokenizationSupports=new Map,this._factories=new Map,this._onDidChange=new Qb,this.onDidChange=this._onDidChange.event,this._colorMap=null}handleChange(v){this._onDidChange.fire({changedLanguages:v,changedColorMap:!1})}register(v,T){return this._tokenizationSupports.set(v,T),this.handleChange([v]),kB(()=>{this._tokenizationSupports.get(v)===T&&(this._tokenizationSupports.delete(v),this.handleChange([v]))})}get(v){return this._tokenizationSupports.get(v)||null}registerFactory(v,T){var X;(X=this._factories.get(v))==null||X.dispose();const W=new ize(this,v,T);return this._factories.set(v,W),kB(()=>{const ue=this._factories.get(v);!ue||ue!==W||(this._factories.delete(v),ue.dispose())})}async getOrCreate(v){const T=this.get(v);if(T)return T;const W=this._factories.get(v);return!W||W.isResolved?null:(await W.resolve(),this.get(v))}isResolved(v){if(this.get(v))return!0;const W=this._factories.get(v);return!!(!W||W.isResolved)}setColorMap(v){this._colorMap=v,this._onDidChange.fire({changedLanguages:Array.from(this._tokenizationSupports.keys()),changedColorMap:!0})}getColorMap(){return this._colorMap}getDefaultBackground(){return this._colorMap&&this._colorMap.length>2?this._colorMap[2]:null}}class ize extends yM{get isResolved(){return this._isResolved}constructor(v,T,W){super(),this._registry=v,this._languageId=T,this._factory=W,this._isDisposed=!1,this._resolvePromise=null,this._isResolved=!1}dispose(){this._isDisposed=!0,super.dispose()}async resolve(){return this._resolvePromise||(this._resolvePromise=this._create()),this._resolvePromise}async _create(){const v=await this._factory.tokenizationSupport;this._isResolved=!0,v&&!this._isDisposed&&this._register(this._registry.register(this._languageId,v))}}class oze{constructor(v,T,W){this.offset=v,this.type=T,this.language=W,this._tokenBrand=void 0}toString(){return"("+this.offset+", "+this.type+")"}}var x0e;(function(g){g[g.Increase=0]="Increase",g[g.Decrease=1]="Decrease"})(x0e||(x0e={}));var R0e;(function(g){const v=new Map;v.set(0,_s.symbolMethod),v.set(1,_s.symbolFunction),v.set(2,_s.symbolConstructor),v.set(3,_s.symbolField),v.set(4,_s.symbolVariable),v.set(5,_s.symbolClass),v.set(6,_s.symbolStruct),v.set(7,_s.symbolInterface),v.set(8,_s.symbolModule),v.set(9,_s.symbolProperty),v.set(10,_s.symbolEvent),v.set(11,_s.symbolOperator),v.set(12,_s.symbolUnit),v.set(13,_s.symbolValue),v.set(15,_s.symbolEnum),v.set(14,_s.symbolConstant),v.set(15,_s.symbolEnum),v.set(16,_s.symbolEnumMember),v.set(17,_s.symbolKeyword),v.set(27,_s.symbolSnippet),v.set(18,_s.symbolText),v.set(19,_s.symbolColor),v.set(20,_s.symbolFile),v.set(21,_s.symbolReference),v.set(22,_s.symbolCustomColor),v.set(23,_s.symbolFolder),v.set(24,_s.symbolTypeParameter),v.set(25,_s.account),v.set(26,_s.issues);function T(ue){let Re=v.get(ue);return Re||(console.info("No codicon found for CompletionItemKind "+ue),Re=_s.symbolProperty),Re}g.toIcon=T;const W=new Map;W.set("method",0),W.set("function",1),W.set("constructor",2),W.set("field",3),W.set("variable",4),W.set("class",5),W.set("struct",6),W.set("interface",7),W.set("module",8),W.set("property",9),W.set("event",10),W.set("operator",11),W.set("unit",12),W.set("value",13),W.set("constant",14),W.set("enum",15),W.set("enum-member",16),W.set("enumMember",16),W.set("keyword",17),W.set("snippet",27),W.set("text",18),W.set("color",19),W.set("file",20),W.set("reference",21),W.set("customcolor",22),W.set("folder",23),W.set("type-parameter",24),W.set("typeParameter",24),W.set("account",25),W.set("issue",26);function X(ue,Re){let Be=W.get(ue);return typeof Be>"u"&&!Re&&(Be=9),Be}g.fromString=X})(R0e||(R0e={}));var D0e;(function(g){g[g.Automatic=0]="Automatic",g[g.Explicit=1]="Explicit"})(D0e||(D0e={}));var N0e;(function(g){g[g.Automatic=0]="Automatic",g[g.PasteAs=1]="PasteAs"})(N0e||(N0e={}));var C0e;(function(g){g[g.Invoke=1]="Invoke",g[g.TriggerCharacter=2]="TriggerCharacter",g[g.ContentChange=3]="ContentChange"})(C0e||(C0e={}));var P0e;(function(g){g[g.Text=0]="Text",g[g.Read=1]="Read",g[g.Write=2]="Write"})(P0e||(P0e={})),Qd("Array","array"),Qd("Boolean","boolean"),Qd("Class","class"),Qd("Constant","constant"),Qd("Constructor","constructor"),Qd("Enum","enumeration"),Qd("EnumMember","enumeration member"),Qd("Event","event"),Qd("Field","field"),Qd("File","file"),Qd("Function","function"),Qd("Interface","interface"),Qd("Key","key"),Qd("Method","method"),Qd("Module","module"),Qd("Namespace","namespace"),Qd("Null","null"),Qd("Number","number"),Qd("Object","object"),Qd("Operator","operator"),Qd("Package","package"),Qd("Property","property"),Qd("String","string"),Qd("Struct","struct"),Qd("TypeParameter","type parameter"),Qd("Variable","variable");var M0e;(function(g){const v=new Map;v.set(0,_s.symbolFile),v.set(1,_s.symbolModule),v.set(2,_s.symbolNamespace),v.set(3,_s.symbolPackage),v.set(4,_s.symbolClass),v.set(5,_s.symbolMethod),v.set(6,_s.symbolProperty),v.set(7,_s.symbolField),v.set(8,_s.symbolConstructor),v.set(9,_s.symbolEnum),v.set(10,_s.symbolInterface),v.set(11,_s.symbolFunction),v.set(12,_s.symbolVariable),v.set(13,_s.symbolConstant),v.set(14,_s.symbolString),v.set(15,_s.symbolNumber),v.set(16,_s.symbolBoolean),v.set(17,_s.symbolArray),v.set(18,_s.symbolObject),v.set(19,_s.symbolKey),v.set(20,_s.symbolNull),v.set(21,_s.symbolEnumMember),v.set(22,_s.symbolStruct),v.set(23,_s.symbolEvent),v.set(24,_s.symbolOperator),v.set(25,_s.symbolTypeParameter);function T(W){let X=v.get(W);return X||(console.info("No codicon found for SymbolKind "+W),X=_s.symbolProperty),X}g.toIcon=T})(M0e||(M0e={}));const t0=class t0{static fromValue(v){switch(v){case"comment":return t0.Comment;case"imports":return t0.Imports;case"region":return t0.Region}return new t0(v)}constructor(v){this.value=v}};t0.Comment=new t0("comment"),t0.Imports=new t0("imports"),t0.Region=new t0("region");let L0e=t0;var k0e;(function(g){g[g.AIGenerated=1]="AIGenerated"})(k0e||(k0e={}));var w0e;(function(g){g[g.Invoke=0]="Invoke",g[g.Automatic=1]="Automatic"})(w0e||(w0e={}));var O0e;(function(g){function v(T){return!T||typeof T!="object"?!1:typeof T.id=="string"&&typeof T.title=="string"}g.is=v})(O0e||(O0e={}));var W0e;(function(g){g[g.Type=1]="Type",g[g.Parameter=2]="Parameter"})(W0e||(W0e={})),new I0e,new I0e;var F0e;(function(g){g[g.Invoke=0]="Invoke",g[g.Automatic=1]="Automatic"})(F0e||(F0e={}));var z0e;(function(g){g[g.Unknown=0]="Unknown",g[g.Disabled=1]="Disabled",g[g.Enabled=2]="Enabled"})(z0e||(z0e={}));var B0e;(function(g){g[g.Invoke=1]="Invoke",g[g.Auto=2]="Auto"})(B0e||(B0e={}));var G0e;(function(g){g[g.None=0]="None",g[g.KeepWhitespace=1]="KeepWhitespace",g[g.InsertAsSnippet=4]="InsertAsSnippet"})(G0e||(G0e={}));var V0e;(function(g){g[g.Method=0]="Method",g[g.Function=1]="Function",g[g.Constructor=2]="Constructor",g[g.Field=3]="Field",g[g.Variable=4]="Variable",g[g.Class=5]="Class",g[g.Struct=6]="Struct",g[g.Interface=7]="Interface",g[g.Module=8]="Module",g[g.Property=9]="Property",g[g.Event=10]="Event",g[g.Operator=11]="Operator",g[g.Unit=12]="Unit",g[g.Value=13]="Value",g[g.Constant=14]="Constant",g[g.Enum=15]="Enum",g[g.EnumMember=16]="EnumMember",g[g.Keyword=17]="Keyword",g[g.Text=18]="Text",g[g.Color=19]="Color",g[g.File=20]="File",g[g.Reference=21]="Reference",g[g.Customcolor=22]="Customcolor",g[g.Folder=23]="Folder",g[g.TypeParameter=24]="TypeParameter",g[g.User=25]="User",g[g.Issue=26]="Issue",g[g.Snippet=27]="Snippet"})(V0e||(V0e={}));var j0e;(function(g){g[g.Deprecated=1]="Deprecated"})(j0e||(j0e={}));var U0e;(function(g){g[g.Invoke=0]="Invoke",g[g.TriggerCharacter=1]="TriggerCharacter",g[g.TriggerForIncompleteCompletions=2]="TriggerForIncompleteCompletions"})(U0e||(U0e={}));var H0e;(function(g){g[g.EXACT=0]="EXACT",g[g.ABOVE=1]="ABOVE",g[g.BELOW=2]="BELOW"})(H0e||(H0e={}));var q0e;(function(g){g[g.NotSet=0]="NotSet",g[g.ContentFlush=1]="ContentFlush",g[g.RecoverFromMarkers=2]="RecoverFromMarkers",g[g.Explicit=3]="Explicit",g[g.Paste=4]="Paste",g[g.Undo=5]="Undo",g[g.Redo=6]="Redo"})(q0e||(q0e={}));var J0e;(function(g){g[g.LF=1]="LF",g[g.CRLF=2]="CRLF"})(J0e||(J0e={}));var K0e;(function(g){g[g.Text=0]="Text",g[g.Read=1]="Read",g[g.Write=2]="Write"})(K0e||(K0e={}));var X0e;(function(g){g[g.None=0]="None",g[g.Keep=1]="Keep",g[g.Brackets=2]="Brackets",g[g.Advanced=3]="Advanced",g[g.Full=4]="Full"})(X0e||(X0e={}));var Y0e;(function(g){g[g.acceptSuggestionOnCommitCharacter=0]="acceptSuggestionOnCommitCharacter",g[g.acceptSuggestionOnEnter=1]="acceptSuggestionOnEnter",g[g.accessibilitySupport=2]="accessibilitySupport",g[g.accessibilityPageSize=3]="accessibilityPageSize",g[g.ariaLabel=4]="ariaLabel",g[g.ariaRequired=5]="ariaRequired",g[g.autoClosingBrackets=6]="autoClosingBrackets",g[g.autoClosingComments=7]="autoClosingComments",g[g.screenReaderAnnounceInlineSuggestion=8]="screenReaderAnnounceInlineSuggestion",g[g.autoClosingDelete=9]="autoClosingDelete",g[g.autoClosingOvertype=10]="autoClosingOvertype",g[g.autoClosingQuotes=11]="autoClosingQuotes",g[g.autoIndent=12]="autoIndent",g[g.automaticLayout=13]="automaticLayout",g[g.autoSurround=14]="autoSurround",g[g.bracketPairColorization=15]="bracketPairColorization",g[g.guides=16]="guides",g[g.codeLens=17]="codeLens",g[g.codeLensFontFamily=18]="codeLensFontFamily",g[g.codeLensFontSize=19]="codeLensFontSize",g[g.colorDecorators=20]="colorDecorators",g[g.colorDecoratorsLimit=21]="colorDecoratorsLimit",g[g.columnSelection=22]="columnSelection",g[g.comments=23]="comments",g[g.contextmenu=24]="contextmenu",g[g.copyWithSyntaxHighlighting=25]="copyWithSyntaxHighlighting",g[g.cursorBlinking=26]="cursorBlinking",g[g.cursorSmoothCaretAnimation=27]="cursorSmoothCaretAnimation",g[g.cursorStyle=28]="cursorStyle",g[g.cursorSurroundingLines=29]="cursorSurroundingLines",g[g.cursorSurroundingLinesStyle=30]="cursorSurroundingLinesStyle",g[g.cursorWidth=31]="cursorWidth",g[g.disableLayerHinting=32]="disableLayerHinting",g[g.disableMonospaceOptimizations=33]="disableMonospaceOptimizations",g[g.domReadOnly=34]="domReadOnly",g[g.dragAndDrop=35]="dragAndDrop",g[g.dropIntoEditor=36]="dropIntoEditor",g[g.emptySelectionClipboard=37]="emptySelectionClipboard",g[g.experimentalWhitespaceRendering=38]="experimentalWhitespaceRendering",g[g.extraEditorClassName=39]="extraEditorClassName",g[g.fastScrollSensitivity=40]="fastScrollSensitivity",g[g.find=41]="find",g[g.fixedOverflowWidgets=42]="fixedOverflowWidgets",g[g.folding=43]="folding",g[g.foldingStrategy=44]="foldingStrategy",g[g.foldingHighlight=45]="foldingHighlight",g[g.foldingImportsByDefault=46]="foldingImportsByDefault",g[g.foldingMaximumRegions=47]="foldingMaximumRegions",g[g.unfoldOnClickAfterEndOfLine=48]="unfoldOnClickAfterEndOfLine",g[g.fontFamily=49]="fontFamily",g[g.fontInfo=50]="fontInfo",g[g.fontLigatures=51]="fontLigatures",g[g.fontSize=52]="fontSize",g[g.fontWeight=53]="fontWeight",g[g.fontVariations=54]="fontVariations",g[g.formatOnPaste=55]="formatOnPaste",g[g.formatOnType=56]="formatOnType",g[g.glyphMargin=57]="glyphMargin",g[g.gotoLocation=58]="gotoLocation",g[g.hideCursorInOverviewRuler=59]="hideCursorInOverviewRuler",g[g.hover=60]="hover",g[g.inDiffEditor=61]="inDiffEditor",g[g.inlineSuggest=62]="inlineSuggest",g[g.inlineEdit=63]="inlineEdit",g[g.letterSpacing=64]="letterSpacing",g[g.lightbulb=65]="lightbulb",g[g.lineDecorationsWidth=66]="lineDecorationsWidth",g[g.lineHeight=67]="lineHeight",g[g.lineNumbers=68]="lineNumbers",g[g.lineNumbersMinChars=69]="lineNumbersMinChars",g[g.linkedEditing=70]="linkedEditing",g[g.links=71]="links",g[g.matchBrackets=72]="matchBrackets",g[g.minimap=73]="minimap",g[g.mouseStyle=74]="mouseStyle",g[g.mouseWheelScrollSensitivity=75]="mouseWheelScrollSensitivity",g[g.mouseWheelZoom=76]="mouseWheelZoom",g[g.multiCursorMergeOverlapping=77]="multiCursorMergeOverlapping",g[g.multiCursorModifier=78]="multiCursorModifier",g[g.multiCursorPaste=79]="multiCursorPaste",g[g.multiCursorLimit=80]="multiCursorLimit",g[g.occurrencesHighlight=81]="occurrencesHighlight",g[g.overviewRulerBorder=82]="overviewRulerBorder",g[g.overviewRulerLanes=83]="overviewRulerLanes",g[g.padding=84]="padding",g[g.pasteAs=85]="pasteAs",g[g.parameterHints=86]="parameterHints",g[g.peekWidgetDefaultFocus=87]="peekWidgetDefaultFocus",g[g.placeholder=88]="placeholder",g[g.definitionLinkOpensInPeek=89]="definitionLinkOpensInPeek",g[g.quickSuggestions=90]="quickSuggestions",g[g.quickSuggestionsDelay=91]="quickSuggestionsDelay",g[g.readOnly=92]="readOnly",g[g.readOnlyMessage=93]="readOnlyMessage",g[g.renameOnType=94]="renameOnType",g[g.renderControlCharacters=95]="renderControlCharacters",g[g.renderFinalNewline=96]="renderFinalNewline",g[g.renderLineHighlight=97]="renderLineHighlight",g[g.renderLineHighlightOnlyWhenFocus=98]="renderLineHighlightOnlyWhenFocus",g[g.renderValidationDecorations=99]="renderValidationDecorations",g[g.renderWhitespace=100]="renderWhitespace",g[g.revealHorizontalRightPadding=101]="revealHorizontalRightPadding",g[g.roundedSelection=102]="roundedSelection",g[g.rulers=103]="rulers",g[g.scrollbar=104]="scrollbar",g[g.scrollBeyondLastColumn=105]="scrollBeyondLastColumn",g[g.scrollBeyondLastLine=106]="scrollBeyondLastLine",g[g.scrollPredominantAxis=107]="scrollPredominantAxis",g[g.selectionClipboard=108]="selectionClipboard",g[g.selectionHighlight=109]="selectionHighlight",g[g.selectOnLineNumbers=110]="selectOnLineNumbers",g[g.showFoldingControls=111]="showFoldingControls",g[g.showUnused=112]="showUnused",g[g.snippetSuggestions=113]="snippetSuggestions",g[g.smartSelect=114]="smartSelect",g[g.smoothScrolling=115]="smoothScrolling",g[g.stickyScroll=116]="stickyScroll",g[g.stickyTabStops=117]="stickyTabStops",g[g.stopRenderingLineAfter=118]="stopRenderingLineAfter",g[g.suggest=119]="suggest",g[g.suggestFontSize=120]="suggestFontSize",g[g.suggestLineHeight=121]="suggestLineHeight",g[g.suggestOnTriggerCharacters=122]="suggestOnTriggerCharacters",g[g.suggestSelection=123]="suggestSelection",g[g.tabCompletion=124]="tabCompletion",g[g.tabIndex=125]="tabIndex",g[g.unicodeHighlighting=126]="unicodeHighlighting",g[g.unusualLineTerminators=127]="unusualLineTerminators",g[g.useShadowDOM=128]="useShadowDOM",g[g.useTabStops=129]="useTabStops",g[g.wordBreak=130]="wordBreak",g[g.wordSegmenterLocales=131]="wordSegmenterLocales",g[g.wordSeparators=132]="wordSeparators",g[g.wordWrap=133]="wordWrap",g[g.wordWrapBreakAfterCharacters=134]="wordWrapBreakAfterCharacters",g[g.wordWrapBreakBeforeCharacters=135]="wordWrapBreakBeforeCharacters",g[g.wordWrapColumn=136]="wordWrapColumn",g[g.wordWrapOverride1=137]="wordWrapOverride1",g[g.wordWrapOverride2=138]="wordWrapOverride2",g[g.wrappingIndent=139]="wrappingIndent",g[g.wrappingStrategy=140]="wrappingStrategy",g[g.showDeprecated=141]="showDeprecated",g[g.inlayHints=142]="inlayHints",g[g.editorClassName=143]="editorClassName",g[g.pixelRatio=144]="pixelRatio",g[g.tabFocusMode=145]="tabFocusMode",g[g.layoutInfo=146]="layoutInfo",g[g.wrappingInfo=147]="wrappingInfo",g[g.defaultColorDecorators=148]="defaultColorDecorators",g[g.colorDecoratorsActivatedOn=149]="colorDecoratorsActivatedOn",g[g.inlineCompletionsAccessibilityVerbose=150]="inlineCompletionsAccessibilityVerbose"})(Y0e||(Y0e={}));var $0e;(function(g){g[g.TextDefined=0]="TextDefined",g[g.LF=1]="LF",g[g.CRLF=2]="CRLF"})($0e||($0e={}));var Q0e;(function(g){g[g.LF=0]="LF",g[g.CRLF=1]="CRLF"})(Q0e||(Q0e={}));var Z0e;(function(g){g[g.Left=1]="Left",g[g.Center=2]="Center",g[g.Right=3]="Right"})(Z0e||(Z0e={}));var eEe;(function(g){g[g.Increase=0]="Increase",g[g.Decrease=1]="Decrease"})(eEe||(eEe={}));var tEe;(function(g){g[g.None=0]="None",g[g.Indent=1]="Indent",g[g.IndentOutdent=2]="IndentOutdent",g[g.Outdent=3]="Outdent"})(tEe||(tEe={}));var nEe;(function(g){g[g.Both=0]="Both",g[g.Right=1]="Right",g[g.Left=2]="Left",g[g.None=3]="None"})(nEe||(nEe={}));var rEe;(function(g){g[g.Type=1]="Type",g[g.Parameter=2]="Parameter"})(rEe||(rEe={}));var iEe;(function(g){g[g.Automatic=0]="Automatic",g[g.Explicit=1]="Explicit"})(iEe||(iEe={}));var oEe;(function(g){g[g.Invoke=0]="Invoke",g[g.Automatic=1]="Automatic"})(oEe||(oEe={}));var ane;(function(g){g[g.DependsOnKbLayout=-1]="DependsOnKbLayout",g[g.Unknown=0]="Unknown",g[g.Backspace=1]="Backspace",g[g.Tab=2]="Tab",g[g.Enter=3]="Enter",g[g.Shift=4]="Shift",g[g.Ctrl=5]="Ctrl",g[g.Alt=6]="Alt",g[g.PauseBreak=7]="PauseBreak",g[g.CapsLock=8]="CapsLock",g[g.Escape=9]="Escape",g[g.Space=10]="Space",g[g.PageUp=11]="PageUp",g[g.PageDown=12]="PageDown",g[g.End=13]="End",g[g.Home=14]="Home",g[g.LeftArrow=15]="LeftArrow",g[g.UpArrow=16]="UpArrow",g[g.RightArrow=17]="RightArrow",g[g.DownArrow=18]="DownArrow",g[g.Insert=19]="Insert",g[g.Delete=20]="Delete",g[g.Digit0=21]="Digit0",g[g.Digit1=22]="Digit1",g[g.Digit2=23]="Digit2",g[g.Digit3=24]="Digit3",g[g.Digit4=25]="Digit4",g[g.Digit5=26]="Digit5",g[g.Digit6=27]="Digit6",g[g.Digit7=28]="Digit7",g[g.Digit8=29]="Digit8",g[g.Digit9=30]="Digit9",g[g.KeyA=31]="KeyA",g[g.KeyB=32]="KeyB",g[g.KeyC=33]="KeyC",g[g.KeyD=34]="KeyD",g[g.KeyE=35]="KeyE",g[g.KeyF=36]="KeyF",g[g.KeyG=37]="KeyG",g[g.KeyH=38]="KeyH",g[g.KeyI=39]="KeyI",g[g.KeyJ=40]="KeyJ",g[g.KeyK=41]="KeyK",g[g.KeyL=42]="KeyL",g[g.KeyM=43]="KeyM",g[g.KeyN=44]="KeyN",g[g.KeyO=45]="KeyO",g[g.KeyP=46]="KeyP",g[g.KeyQ=47]="KeyQ",g[g.KeyR=48]="KeyR",g[g.KeyS=49]="KeyS",g[g.KeyT=50]="KeyT",g[g.KeyU=51]="KeyU",g[g.KeyV=52]="KeyV",g[g.KeyW=53]="KeyW",g[g.KeyX=54]="KeyX",g[g.KeyY=55]="KeyY",g[g.KeyZ=56]="KeyZ",g[g.Meta=57]="Meta",g[g.ContextMenu=58]="ContextMenu",g[g.F1=59]="F1",g[g.F2=60]="F2",g[g.F3=61]="F3",g[g.F4=62]="F4",g[g.F5=63]="F5",g[g.F6=64]="F6",g[g.F7=65]="F7",g[g.F8=66]="F8",g[g.F9=67]="F9",g[g.F10=68]="F10",g[g.F11=69]="F11",g[g.F12=70]="F12",g[g.F13=71]="F13",g[g.F14=72]="F14",g[g.F15=73]="F15",g[g.F16=74]="F16",g[g.F17=75]="F17",g[g.F18=76]="F18",g[g.F19=77]="F19",g[g.F20=78]="F20",g[g.F21=79]="F21",g[g.F22=80]="F22",g[g.F23=81]="F23",g[g.F24=82]="F24",g[g.NumLock=83]="NumLock",g[g.ScrollLock=84]="ScrollLock",g[g.Semicolon=85]="Semicolon",g[g.Equal=86]="Equal",g[g.Comma=87]="Comma",g[g.Minus=88]="Minus",g[g.Period=89]="Period",g[g.Slash=90]="Slash",g[g.Backquote=91]="Backquote",g[g.BracketLeft=92]="BracketLeft",g[g.Backslash=93]="Backslash",g[g.BracketRight=94]="BracketRight",g[g.Quote=95]="Quote",g[g.OEM_8=96]="OEM_8",g[g.IntlBackslash=97]="IntlBackslash",g[g.Numpad0=98]="Numpad0",g[g.Numpad1=99]="Numpad1",g[g.Numpad2=100]="Numpad2",g[g.Numpad3=101]="Numpad3",g[g.Numpad4=102]="Numpad4",g[g.Numpad5=103]="Numpad5",g[g.Numpad6=104]="Numpad6",g[g.Numpad7=105]="Numpad7",g[g.Numpad8=106]="Numpad8",g[g.Numpad9=107]="Numpad9",g[g.NumpadMultiply=108]="NumpadMultiply",g[g.NumpadAdd=109]="NumpadAdd",g[g.NUMPAD_SEPARATOR=110]="NUMPAD_SEPARATOR",g[g.NumpadSubtract=111]="NumpadSubtract",g[g.NumpadDecimal=112]="NumpadDecimal",g[g.NumpadDivide=113]="NumpadDivide",g[g.KEY_IN_COMPOSITION=114]="KEY_IN_COMPOSITION",g[g.ABNT_C1=115]="ABNT_C1",g[g.ABNT_C2=116]="ABNT_C2",g[g.AudioVolumeMute=117]="AudioVolumeMute",g[g.AudioVolumeUp=118]="AudioVolumeUp",g[g.AudioVolumeDown=119]="AudioVolumeDown",g[g.BrowserSearch=120]="BrowserSearch",g[g.BrowserHome=121]="BrowserHome",g[g.BrowserBack=122]="BrowserBack",g[g.BrowserForward=123]="BrowserForward",g[g.MediaTrackNext=124]="MediaTrackNext",g[g.MediaTrackPrevious=125]="MediaTrackPrevious",g[g.MediaStop=126]="MediaStop",g[g.MediaPlayPause=127]="MediaPlayPause",g[g.LaunchMediaPlayer=128]="LaunchMediaPlayer",g[g.LaunchMail=129]="LaunchMail",g[g.LaunchApp2=130]="LaunchApp2",g[g.Clear=131]="Clear",g[g.MAX_VALUE=132]="MAX_VALUE"})(ane||(ane={}));var sne;(function(g){g[g.Hint=1]="Hint",g[g.Info=2]="Info",g[g.Warning=4]="Warning",g[g.Error=8]="Error"})(sne||(sne={}));var lne;(function(g){g[g.Unnecessary=1]="Unnecessary",g[g.Deprecated=2]="Deprecated"})(lne||(lne={}));var aEe;(function(g){g[g.Inline=1]="Inline",g[g.Gutter=2]="Gutter"})(aEe||(aEe={}));var sEe;(function(g){g[g.Normal=1]="Normal",g[g.Underlined=2]="Underlined"})(sEe||(sEe={}));var lEe;(function(g){g[g.UNKNOWN=0]="UNKNOWN",g[g.TEXTAREA=1]="TEXTAREA",g[g.GUTTER_GLYPH_MARGIN=2]="GUTTER_GLYPH_MARGIN",g[g.GUTTER_LINE_NUMBERS=3]="GUTTER_LINE_NUMBERS",g[g.GUTTER_LINE_DECORATIONS=4]="GUTTER_LINE_DECORATIONS",g[g.GUTTER_VIEW_ZONE=5]="GUTTER_VIEW_ZONE",g[g.CONTENT_TEXT=6]="CONTENT_TEXT",g[g.CONTENT_EMPTY=7]="CONTENT_EMPTY",g[g.CONTENT_VIEW_ZONE=8]="CONTENT_VIEW_ZONE",g[g.CONTENT_WIDGET=9]="CONTENT_WIDGET",g[g.OVERVIEW_RULER=10]="OVERVIEW_RULER",g[g.SCROLLBAR=11]="SCROLLBAR",g[g.OVERLAY_WIDGET=12]="OVERLAY_WIDGET",g[g.OUTSIDE_EDITOR=13]="OUTSIDE_EDITOR"})(lEe||(lEe={}));var cEe;(function(g){g[g.AIGenerated=1]="AIGenerated"})(cEe||(cEe={}));var uEe;(function(g){g[g.Invoke=0]="Invoke",g[g.Automatic=1]="Automatic"})(uEe||(uEe={}));var dEe;(function(g){g[g.TOP_RIGHT_CORNER=0]="TOP_RIGHT_CORNER",g[g.BOTTOM_RIGHT_CORNER=1]="BOTTOM_RIGHT_CORNER",g[g.TOP_CENTER=2]="TOP_CENTER"})(dEe||(dEe={}));var pEe;(function(g){g[g.Left=1]="Left",g[g.Center=2]="Center",g[g.Right=4]="Right",g[g.Full=7]="Full"})(pEe||(pEe={}));var fEe;(function(g){g[g.Word=0]="Word",g[g.Line=1]="Line",g[g.Suggest=2]="Suggest"})(fEe||(fEe={}));var mEe;(function(g){g[g.Left=0]="Left",g[g.Right=1]="Right",g[g.None=2]="None",g[g.LeftOfInjectedText=3]="LeftOfInjectedText",g[g.RightOfInjectedText=4]="RightOfInjectedText"})(mEe||(mEe={}));var _Ee;(function(g){g[g.Off=0]="Off",g[g.On=1]="On",g[g.Relative=2]="Relative",g[g.Interval=3]="Interval",g[g.Custom=4]="Custom"})(_Ee||(_Ee={}));var hEe;(function(g){g[g.None=0]="None",g[g.Text=1]="Text",g[g.Blocks=2]="Blocks"})(hEe||(hEe={}));var gEe;(function(g){g[g.Smooth=0]="Smooth",g[g.Immediate=1]="Immediate"})(gEe||(gEe={}));var vEe;(function(g){g[g.Auto=1]="Auto",g[g.Hidden=2]="Hidden",g[g.Visible=3]="Visible"})(vEe||(vEe={}));var cne;(function(g){g[g.LTR=0]="LTR",g[g.RTL=1]="RTL"})(cne||(cne={}));var yEe;(function(g){g.Off="off",g.OnCode="onCode",g.On="on"})(yEe||(yEe={}));var bEe;(function(g){g[g.Invoke=1]="Invoke",g[g.TriggerCharacter=2]="TriggerCharacter",g[g.ContentChange=3]="ContentChange"})(bEe||(bEe={}));var EEe;(function(g){g[g.File=0]="File",g[g.Module=1]="Module",g[g.Namespace=2]="Namespace",g[g.Package=3]="Package",g[g.Class=4]="Class",g[g.Method=5]="Method",g[g.Property=6]="Property",g[g.Field=7]="Field",g[g.Constructor=8]="Constructor",g[g.Enum=9]="Enum",g[g.Interface=10]="Interface",g[g.Function=11]="Function",g[g.Variable=12]="Variable",g[g.Constant=13]="Constant",g[g.String=14]="String",g[g.Number=15]="Number",g[g.Boolean=16]="Boolean",g[g.Array=17]="Array",g[g.Object=18]="Object",g[g.Key=19]="Key",g[g.Null=20]="Null",g[g.EnumMember=21]="EnumMember",g[g.Struct=22]="Struct",g[g.Event=23]="Event",g[g.Operator=24]="Operator",g[g.TypeParameter=25]="TypeParameter"})(EEe||(EEe={}));var SEe;(function(g){g[g.Deprecated=1]="Deprecated"})(SEe||(SEe={}));var TEe;(function(g){g[g.Hidden=0]="Hidden",g[g.Blink=1]="Blink",g[g.Smooth=2]="Smooth",g[g.Phase=3]="Phase",g[g.Expand=4]="Expand",g[g.Solid=5]="Solid"})(TEe||(TEe={}));var AEe;(function(g){g[g.Line=1]="Line",g[g.Block=2]="Block",g[g.Underline=3]="Underline",g[g.LineThin=4]="LineThin",g[g.BlockOutline=5]="BlockOutline",g[g.UnderlineThin=6]="UnderlineThin"})(AEe||(AEe={}));var IEe;(function(g){g[g.AlwaysGrowsWhenTypingAtEdges=0]="AlwaysGrowsWhenTypingAtEdges",g[g.NeverGrowsWhenTypingAtEdges=1]="NeverGrowsWhenTypingAtEdges",g[g.GrowsOnlyWhenTypingBefore=2]="GrowsOnlyWhenTypingBefore",g[g.GrowsOnlyWhenTypingAfter=3]="GrowsOnlyWhenTypingAfter"})(IEe||(IEe={}));var xEe;(function(g){g[g.None=0]="None",g[g.Same=1]="Same",g[g.Indent=2]="Indent",g[g.DeepIndent=3]="DeepIndent"})(xEe||(xEe={}));const kM=class kM{static chord(v,T){return eze(v,T)}};kM.CtrlCmd=2048,kM.Shift=1024,kM.Alt=512,kM.WinCtrl=256;let une=kM;function aze(){return{editor:void 0,languages:void 0,CancellationTokenSource:Y5e,Emitter:Qb,KeyCode:ane,KeyMod:une,Position:rd,Range:ys,Selection:Iy,SelectionDirection:cne,MarkerSeverity:sne,MarkerTag:lne,Uri:xv,Token:oze}}const D6=class D6{static getChannel(v){return v.getChannel(D6.CHANNEL_NAME)}static setChannel(v,T){v.setChannel(D6.CHANNEL_NAME,T)}};D6.CHANNEL_NAME="editorWorkerHost";let dne=D6;var REe;class sze{constructor(){this[REe]="LinkedMap",this._map=new Map,this._head=void 0,this._tail=void 0,this._size=0,this._state=0}clear(){this._map.clear(),this._head=void 0,this._tail=void 0,this._size=0,this._state++}isEmpty(){return!this._head&&!this._tail}get size(){return this._size}get first(){var v;return(v=this._head)==null?void 0:v.value}get last(){var v;return(v=this._tail)==null?void 0:v.value}has(v){return this._map.has(v)}get(v,T=0){const W=this._map.get(v);if(W)return T!==0&&this.touch(W,T),W.value}set(v,T,W=0){let X=this._map.get(v);if(X)X.value=T,W!==0&&this.touch(X,W);else{switch(X={key:v,value:T,next:void 0,previous:void 0},W){case 0:this.addItemLast(X);break;case 1:this.addItemFirst(X);break;case 2:this.addItemLast(X);break;default:this.addItemLast(X);break}this._map.set(v,X),this._size++}return this}delete(v){return!!this.remove(v)}remove(v){const T=this._map.get(v);if(T)return this._map.delete(v),this.removeItem(T),this._size--,T.value}shift(){if(!this._head&&!this._tail)return;if(!this._head||!this._tail)throw new Error("Invalid list");const v=this._head;return this._map.delete(v.key),this.removeItem(v),this._size--,v.value}forEach(v,T){const W=this._state;let X=this._head;for(;X;){if(T?v.bind(T)(X.value,X.key,this):v(X.value,X.key,this),this._state!==W)throw new Error("LinkedMap got modified during iteration.");X=X.next}}keys(){const v=this,T=this._state;let W=this._head;const X={[Symbol.iterator](){return X},next(){if(v._state!==T)throw new Error("LinkedMap got modified during iteration.");if(W){const ue={value:W.key,done:!1};return W=W.next,ue}else return{value:void 0,done:!0}}};return X}values(){const v=this,T=this._state;let W=this._head;const X={[Symbol.iterator](){return X},next(){if(v._state!==T)throw new Error("LinkedMap got modified during iteration.");if(W){const ue={value:W.value,done:!1};return W=W.next,ue}else return{value:void 0,done:!0}}};return X}entries(){const v=this,T=this._state;let W=this._head;const X={[Symbol.iterator](){return X},next(){if(v._state!==T)throw new Error("LinkedMap got modified during iteration.");if(W){const ue={value:[W.key,W.value],done:!1};return W=W.next,ue}else return{value:void 0,done:!0}}};return X}[(REe=Symbol.toStringTag,Symbol.iterator)](){return this.entries()}trimOld(v){if(v>=this.size)return;if(v===0){this.clear();return}let T=this._head,W=this.size;for(;T&&W>v;)this._map.delete(T.key),T=T.next,W--;this._head=T,this._size=W,T&&(T.previous=void 0),this._state++}trimNew(v){if(v>=this.size)return;if(v===0){this.clear();return}let T=this._tail,W=this.size;for(;T&&W>v;)this._map.delete(T.key),T=T.previous,W--;this._tail=T,this._size=W,T&&(T.next=void 0),this._state++}addItemFirst(v){if(!this._head&&!this._tail)this._tail=v;else if(this._head)v.next=this._head,this._head.previous=v;else throw new Error("Invalid list");this._head=v,this._state++}addItemLast(v){if(!this._head&&!this._tail)this._head=v;else if(this._tail)v.previous=this._tail,this._tail.next=v;else throw new Error("Invalid list");this._tail=v,this._state++}removeItem(v){if(v===this._head&&v===this._tail)this._head=void 0,this._tail=void 0;else if(v===this._head){if(!v.next)throw new Error("Invalid list");v.next.previous=void 0,this._head=v.next}else if(v===this._tail){if(!v.previous)throw new Error("Invalid list");v.previous.next=void 0,this._tail=v.previous}else{const T=v.next,W=v.previous;if(!T||!W)throw new Error("Invalid list");T.previous=W,W.next=T}v.next=void 0,v.previous=void 0,this._state++}touch(v,T){if(!this._head||!this._tail)throw new Error("Invalid list");if(!(T!==1&&T!==2)){if(T===1){if(v===this._head)return;const W=v.next,X=v.previous;v===this._tail?(X.next=void 0,this._tail=X):(W.previous=X,X.next=W),v.previous=void 0,v.next=this._head,this._head.previous=v,this._head=v,this._state++}else if(T===2){if(v===this._tail)return;const W=v.next,X=v.previous;v===this._head?(W.previous=void 0,this._head=W):(W.previous=X,X.next=W),v.next=void 0,v.previous=this._tail,this._tail.next=v,this._tail=v,this._state++}}}toJSON(){const v=[];return this.forEach((T,W)=>{v.push([W,T])}),v}fromJSON(v){this.clear();for(const[T,W]of v)this.set(T,W)}}class lze extends sze{constructor(v,T=1){super(),this._limit=v,this._ratio=Math.min(Math.max(0,T),1)}get limit(){return this._limit}set limit(v){this._limit=v,this.checkTrim()}get(v,T=2){return super.get(v,T)}peek(v){return super.get(v,0)}set(v,T){return super.set(v,T,2),this}checkTrim(){this.size>this._limit&&this.trim(Math.round(this._limit*this._ratio))}}class cze extends lze{constructor(v,T=1){super(v,T)}trim(v){this.trimOld(v)}set(v,T){return super.set(v,T),this.checkTrim(),this}}class uze{constructor(){this.map=new Map}add(v,T){let W=this.map.get(v);W||(W=new Set,this.map.set(v,W)),W.add(T)}delete(v,T){const W=this.map.get(v);W&&(W.delete(T),W.size===0&&this.map.delete(v))}forEach(v,T){const W=this.map.get(v);W&&W.forEach(T)}get(v){const T=this.map.get(v);return T||new Set}}new cze(10);function dze(g){let v=[];for(;Object.prototype!==g;)v=v.concat(Object.getOwnPropertyNames(g)),g=Object.getPrototypeOf(g);return v}function DEe(g){const v=[];for(const T of dze(g))typeof g[T]=="function"&&v.push(T);return v}function pze(g,v){const T=X=>function(){const ue=Array.prototype.slice.call(arguments,0);return v(X,ue)},W={};for(const X of g)W[X]=T(X);return W}var NEe;(function(g){g[g.Left=1]="Left",g[g.Center=2]="Center",g[g.Right=4]="Right",g[g.Full=7]="Full"})(NEe||(NEe={}));var CEe;(function(g){g[g.Left=1]="Left",g[g.Center=2]="Center",g[g.Right=3]="Right"})(CEe||(CEe={}));var PEe;(function(g){g[g.Both=0]="Both",g[g.Right=1]="Right",g[g.Left=2]="Left",g[g.None=3]="None"})(PEe||(PEe={}));function fze(g,v,T,W,X){if(W===0)return!0;const ue=v.charCodeAt(W-1);if(g.get(ue)!==0||ue===13||ue===10)return!0;if(X>0){const Re=v.charCodeAt(W);if(g.get(Re)!==0)return!0}return!1}function mze(g,v,T,W,X){if(W+X===T)return!0;const ue=v.charCodeAt(W+X);if(g.get(ue)!==0||ue===13||ue===10)return!0;if(X>0){const Re=v.charCodeAt(W+X-1);if(g.get(Re)!==0)return!0}return!1}function _ze(g,v,T,W,X){return fze(g,v,T,W,X)&&mze(g,v,T,W,X)}class hze{constructor(v,T){this._wordSeparators=v,this._searchRegex=T,this._prevMatchStartIndex=-1,this._prevMatchLength=0}reset(v){this._searchRegex.lastIndex=v,this._prevMatchStartIndex=-1,this._prevMatchLength=0}next(v){const T=v.length;let W;do{if(this._prevMatchStartIndex+this._prevMatchLength===T||(W=this._searchRegex.exec(v),!W))return null;const X=W.index,ue=W[0].length;if(X===this._prevMatchStartIndex&&ue===this._prevMatchLength){if(ue===0){c5e(v,T,this._searchRegex.lastIndex)>65535?this._searchRegex.lastIndex+=2:this._searchRegex.lastIndex+=1;continue}return null}if(this._prevMatchStartIndex=X,this._prevMatchLength=ue,!this._wordSeparators||_ze(this._wordSeparators,v,T,X,ue))return W}while(W);return null}}function gze(g,v="Unreachable"){throw new Error(v)}function qB(g){if(!g()){debugger;g(),_6(new Ay("Assertion Failed"))}}function MEe(g,v){let T=0;for(;T<g.length-1;){const W=g[T],X=g[T+1];if(!v(W,X))return!1;T++}return!0}const vze="`~!@#$%^&*()-=+[{]}\\|;:'\",.<>/?";function yze(g=""){let v="(-?\\d*\\.\\d\\w*)|([^";for(const T of vze)g.indexOf(T)>=0||(v+="\\"+T);return v+="\\s]+)",new RegExp(v,"g")}const LEe=yze();function kEe(g){let v=LEe;if(g&&g instanceof RegExp)if(g.global)v=g;else{let T="g";g.ignoreCase&&(T+="i"),g.multiline&&(T+="m"),g.unicode&&(T+="u"),v=new RegExp(g.source,T)}return v.lastIndex=0,v}const wEe=new z7e;wEe.unshift({maxLen:1e3,windowSize:15,timeBudget:150});function pne(g,v,T,W,X){if(v=kEe(v),X||(X=LB.first(wEe)),T.length>X.maxLen){let Me=g-X.maxLen/2;return Me<0?Me=0:W+=Me,T=T.substring(Me,g+X.maxLen/2),pne(g,v,T,W,X)}const ue=Date.now(),Re=g-1-W;let Be=-1,it=null;for(let Me=1;!(Date.now()-ue>=X.timeBudget);Me++){const Ue=Re-X.windowSize*Me;v.lastIndex=Math.max(0,Ue);const Bt=bze(v,T,Re,Be);if(!Bt&&it||(it=Bt,Ue<=0))break;Be=Ue}if(it){const Me={word:it[0],startColumn:W+1+it.index,endColumn:W+1+it.index+it[0].length};return v.lastIndex=0,Me}return null}function bze(g,v,T,W){let X;for(;X=g.exec(v);){const ue=X.index||0;if(ue<=T&&g.lastIndex>=T)return X;if(W>0&&ue>W)return null}return null}class Eze{static computeUnicodeHighlights(v,T,W){const X=W?W.startLineNumber:1,ue=W?W.endLineNumber:v.getLineCount(),Re=new OEe(T),Be=Re.getCandidateCodePoints();let it;Be==="allNonBasicAscii"?it=new RegExp("[^\\t\\n\\r\\x20-\\x7E]","g"):it=new RegExp(`${Sze(Array.from(Be))}`,"g");const Me=new hze(null,it),Ue=[];let Bt=!1,Ut,dn=0,Pr=0,yi=0;e:for(let co=X,Zn=ue;co<=Zn;co++){const An=v.getLineContent(co),Oo=An.length;Me.reset(0);do if(Ut=Me.next(An),Ut){let Gr=Ut.index,Bi=Ut.index+Ut[0].length;if(Gr>0){const Sc=An.charCodeAt(Gr-1);FB(Sc)&&Gr--}if(Bi+1<Oo){const Sc=An.charCodeAt(Bi-1);FB(Sc)&&Bi++}const Uo=An.substring(Gr,Bi);let Nr=pne(Gr+1,LEe,An,0);Nr&&Nr.endColumn<=Gr+1&&(Nr=null);const Ca=Re.shouldHighlightNonBasicASCII(Uo,Nr?Nr.word:null);if(Ca!==0){if(Ca===3?dn++:Ca===2?Pr++:Ca===1?yi++:gze(),Ue.length>=1e3){Bt=!0;break e}Ue.push(new ys(co,Gr+1,co,Bi+1))}}while(Ut)}return{ranges:Ue,hasMore:Bt,ambiguousCharacterCount:dn,invisibleCharacterCount:Pr,nonBasicAsciiCharacterCount:yi}}static computeUnicodeHighlightReason(v,T){const W=new OEe(T);switch(W.shouldHighlightNonBasicASCII(v,null)){case 0:return null;case 2:return{kind:1};case 3:{const ue=v.codePointAt(0),Re=W.ambiguousCharacters.getPrimaryConfusable(ue),Be=v6.getLocales().filter(it=>!v6.getInstance(new Set([...T.allowedLocales,it])).isAmbiguous(ue));return{kind:0,confusableWith:String.fromCodePoint(Re),notAmbiguousInLocales:Be}}case 1:return{kind:2}}}}function Sze(g,v){return`[${o5e(g.map(W=>String.fromCodePoint(W)).join(""))}]`}class OEe{constructor(v){this.options=v,this.allowedCodePoints=new Set(v.allowedCodePoints),this.ambiguousCharacters=v6.getInstance(new Set(v.allowedLocales))}getCandidateCodePoints(){if(this.options.nonBasicASCII)return"allNonBasicAscii";const v=new Set;if(this.options.invisibleCharacters)for(const T of y6.codePoints)WEe(String.fromCodePoint(T))||v.add(T);if(this.options.ambiguousCharacters)for(const T of this.ambiguousCharacters.getConfusableCodePoints())v.add(T);for(const T of this.allowedCodePoints)v.delete(T);return v}shouldHighlightNonBasicASCII(v,T){const W=v.codePointAt(0);if(this.allowedCodePoints.has(W))return 0;if(this.options.nonBasicASCII)return 1;let X=!1,ue=!1;if(T)for(const Re of T){const Be=Re.codePointAt(0),it=d5e(Re);X=X||it,!it&&!this.ambiguousCharacters.isAmbiguous(Be)&&!y6.isInvisibleCharacter(Be)&&(ue=!0)}return!X&&ue?0:this.options.invisibleCharacters&&!WEe(v)&&y6.isInvisibleCharacter(W)?2:this.options.ambiguousCharacters&&this.ambiguousCharacters.isAmbiguous(W)?3:0}}function WEe(g){return g===" "||g===`
+`||g===" "}class JB{constructor(v,T,W){this.changes=v,this.moves=T,this.hitTimeout=W}}class Tze{constructor(v,T){this.lineRangeMapping=v,this.changes=T}}class Mc{static addRange(v,T){let W=0;for(;W<T.length&&T[W].endExclusive<v.start;)W++;let X=W;for(;X<T.length&&T[X].start<=v.endExclusive;)X++;if(W===X)T.splice(W,0,v);else{const ue=Math.min(v.start,T[W].start),Re=Math.max(v.endExclusive,T[X-1].endExclusive);T.splice(W,X-W,new Mc(ue,Re))}}static tryCreate(v,T){if(!(v>T))return new Mc(v,T)}static ofLength(v){return new Mc(0,v)}static ofStartAndLength(v,T){return new Mc(v,v+T)}constructor(v,T){if(this.start=v,this.endExclusive=T,v>T)throw new Ay(`Invalid range: ${this.toString()}`)}get isEmpty(){return this.start===this.endExclusive}delta(v){return new Mc(this.start+v,this.endExclusive+v)}deltaStart(v){return new Mc(this.start+v,this.endExclusive)}deltaEnd(v){return new Mc(this.start,this.endExclusive+v)}get length(){return this.endExclusive-this.start}toString(){return`[${this.start}, ${this.endExclusive})`}contains(v){return this.start<=v&&v<this.endExclusive}join(v){return new Mc(Math.min(this.start,v.start),Math.max(this.endExclusive,v.endExclusive))}intersect(v){const T=Math.max(this.start,v.start),W=Math.min(this.endExclusive,v.endExclusive);if(T<=W)return new Mc(T,W)}intersects(v){const T=Math.max(this.start,v.start),W=Math.min(this.endExclusive,v.endExclusive);return T<W}isBefore(v){return this.endExclusive<=v.start}isAfter(v){return this.start>=v.endExclusive}slice(v){return v.slice(this.start,this.endExclusive)}substring(v){return v.substring(this.start,this.endExclusive)}clip(v){if(this.isEmpty)throw new Ay(`Invalid clipping range: ${this.toString()}`);return Math.max(this.start,Math.min(this.endExclusive-1,v))}clipCyclic(v){if(this.isEmpty)throw new Ay(`Invalid clipping range: ${this.toString()}`);return v<this.start?this.endExclusive-(this.start-v)%this.length:v>=this.endExclusive?this.start+(v-this.start)%this.length:v}forEach(v){for(let T=this.start;T<this.endExclusive;T++)v(T)}}function xM(g,v){const T=S6(g,v);return T===-1?void 0:g[T]}function S6(g,v,T=0,W=g.length){let X=T,ue=W;for(;X<ue;){const Re=Math.floor((X+ue)/2);v(g[Re])?X=Re+1:ue=Re}return X-1}function Aze(g,v){const T=fne(g,v);return T===g.length?void 0:g[T]}function fne(g,v,T=0,W=g.length){let X=T,ue=W;for(;X<ue;){const Re=Math.floor((X+ue)/2);v(g[Re])?ue=Re:X=Re+1}return X}const oG=class oG{constructor(v){this._array=v,this._findLastMonotonousLastIdx=0}findLastMonotonous(v){if(oG.assertInvariants){if(this._prevFindLastPredicate){for(const W of this._array)if(this._prevFindLastPredicate(W)&&!v(W))throw new Error("MonotonousArray: current predicate must be weaker than (or equal to) the previous predicate.")}this._prevFindLastPredicate=v}const T=S6(this._array,v,this._findLastMonotonousLastIdx);return this._findLastMonotonousLastIdx=T+1,T===-1?void 0:this._array[T]}};oG.assertInvariants=!1;let KB=oG;class Fl{static fromRangeInclusive(v){return new Fl(v.startLineNumber,v.endLineNumber+1)}static joinMany(v){if(v.length===0)return[];let T=new lS(v[0].slice());for(let W=1;W<v.length;W++)T=T.getUnion(new lS(v[W].slice()));return T.ranges}static join(v){if(v.length===0)throw new Ay("lineRanges cannot be empty");let T=v[0].startLineNumber,W=v[0].endLineNumberExclusive;for(let X=1;X<v.length;X++)T=Math.min(T,v[X].startLineNumber),W=Math.max(W,v[X].endLineNumberExclusive);return new Fl(T,W)}static ofLength(v,T){return new Fl(v,v+T)}static deserialize(v){return new Fl(v[0],v[1])}constructor(v,T){if(v>T)throw new Ay(`startLineNumber ${v} cannot be after endLineNumberExclusive ${T}`);this.startLineNumber=v,this.endLineNumberExclusive=T}contains(v){return this.startLineNumber<=v&&v<this.endLineNumberExclusive}get isEmpty(){return this.startLineNumber===this.endLineNumberExclusive}delta(v){return new Fl(this.startLineNumber+v,this.endLineNumberExclusive+v)}deltaLength(v){return new Fl(this.startLineNumber,this.endLineNumberExclusive+v)}get length(){return this.endLineNumberExclusive-this.startLineNumber}join(v){return new Fl(Math.min(this.startLineNumber,v.startLineNumber),Math.max(this.endLineNumberExclusive,v.endLineNumberExclusive))}toString(){return`[${this.startLineNumber},${this.endLineNumberExclusive})`}intersect(v){const T=Math.max(this.startLineNumber,v.startLineNumber),W=Math.min(this.endLineNumberExclusive,v.endLineNumberExclusive);if(T<=W)return new Fl(T,W)}intersectsStrict(v){return this.startLineNumber<v.endLineNumberExclusive&&v.startLineNumber<this.endLineNumberExclusive}overlapOrTouch(v){return this.startLineNumber<=v.endLineNumberExclusive&&v.startLineNumber<=this.endLineNumberExclusive}equals(v){return this.startLineNumber===v.startLineNumber&&this.endLineNumberExclusive===v.endLineNumberExclusive}toInclusiveRange(){return this.isEmpty?null:new ys(this.startLineNumber,1,this.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER)}toExclusiveRange(){return new ys(this.startLineNumber,1,this.endLineNumberExclusive,1)}mapToLineArray(v){const T=[];for(let W=this.startLineNumber;W<this.endLineNumberExclusive;W++)T.push(v(W));return T}forEach(v){for(let T=this.startLineNumber;T<this.endLineNumberExclusive;T++)v(T)}serialize(){return[this.startLineNumber,this.endLineNumberExclusive]}includes(v){return this.startLineNumber<=v&&v<this.endLineNumberExclusive}toOffsetRange(){return new Mc(this.startLineNumber-1,this.endLineNumberExclusive-1)}}class lS{constructor(v=[]){this._normalizedRanges=v}get ranges(){return this._normalizedRanges}addRange(v){if(v.length===0)return;const T=fne(this._normalizedRanges,X=>X.endLineNumberExclusive>=v.startLineNumber),W=S6(this._normalizedRanges,X=>X.startLineNumber<=v.endLineNumberExclusive)+1;if(T===W)this._normalizedRanges.splice(T,0,v);else if(T===W-1){const X=this._normalizedRanges[T];this._normalizedRanges[T]=X.join(v)}else{const X=this._normalizedRanges[T].join(this._normalizedRanges[W-1]).join(v);this._normalizedRanges.splice(T,W-T,X)}}contains(v){const T=xM(this._normalizedRanges,W=>W.startLineNumber<=v);return!!T&&T.endLineNumberExclusive>v}intersects(v){const T=xM(this._normalizedRanges,W=>W.startLineNumber<v.endLineNumberExclusive);return!!T&&T.endLineNumberExclusive>v.startLineNumber}getUnion(v){if(this._normalizedRanges.length===0)return v;if(v._normalizedRanges.length===0)return this;const T=[];let W=0,X=0,ue=null;for(;W<this._normalizedRanges.length||X<v._normalizedRanges.length;){let Re=null;if(W<this._normalizedRanges.length&&X<v._normalizedRanges.length){const Be=this._normalizedRanges[W],it=v._normalizedRanges[X];Be.startLineNumber<it.startLineNumber?(Re=Be,W++):(Re=it,X++)}else W<this._normalizedRanges.length?(Re=this._normalizedRanges[W],W++):(Re=v._normalizedRanges[X],X++);ue===null?ue=Re:ue.endLineNumberExclusive>=Re.startLineNumber?ue=new Fl(ue.startLineNumber,Math.max(ue.endLineNumberExclusive,Re.endLineNumberExclusive)):(T.push(ue),ue=Re)}return ue!==null&&T.push(ue),new lS(T)}subtractFrom(v){const T=fne(this._normalizedRanges,Re=>Re.endLineNumberExclusive>=v.startLineNumber),W=S6(this._normalizedRanges,Re=>Re.startLineNumber<=v.endLineNumberExclusive)+1;if(T===W)return new lS([v]);const X=[];let ue=v.startLineNumber;for(let Re=T;Re<W;Re++){const Be=this._normalizedRanges[Re];Be.startLineNumber>ue&&X.push(new Fl(ue,Be.startLineNumber)),ue=Be.endLineNumberExclusive}return ue<v.endLineNumberExclusive&&X.push(new Fl(ue,v.endLineNumberExclusive)),new lS(X)}toString(){return this._normalizedRanges.map(v=>v.toString()).join(", ")}getIntersection(v){const T=[];let W=0,X=0;for(;W<this._normalizedRanges.length&&X<v._normalizedRanges.length;){const ue=this._normalizedRanges[W],Re=v._normalizedRanges[X],Be=ue.intersect(Re);Be&&!Be.isEmpty&&T.push(Be),ue.endLineNumberExclusive<Re.endLineNumberExclusive?W++:X++}return new lS(T)}getWithDelta(v){return new lS(this._normalizedRanges.map(T=>T.delta(v)))}}const Ox=class Ox{static betweenPositions(v,T){return v.lineNumber===T.lineNumber?new Ox(0,T.column-v.column):new Ox(T.lineNumber-v.lineNumber,T.column-1)}static ofRange(v){return Ox.betweenPositions(v.getStartPosition(),v.getEndPosition())}static ofText(v){let T=0,W=0;for(const X of v)X===`
+`?(T++,W=0):W++;return new Ox(T,W)}constructor(v,T){this.lineCount=v,this.columnCount=T}isGreaterThanOrEqualTo(v){return this.lineCount!==v.lineCount?this.lineCount>v.lineCount:this.columnCount>=v.columnCount}createRange(v){return this.lineCount===0?new ys(v.lineNumber,v.column,v.lineNumber,v.column+this.columnCount):new ys(v.lineNumber,v.column,v.lineNumber+this.lineCount,this.columnCount+1)}addToPosition(v){return this.lineCount===0?new rd(v.lineNumber,v.column+this.columnCount):new rd(v.lineNumber+this.lineCount,this.columnCount+1)}toString(){return`${this.lineCount},${this.columnCount}`}};Ox.zero=new Ox(0,0);let FEe=Ox;class Ize{constructor(v,T){this.range=v,this.text=T}toSingleEditOperation(){return{range:this.range,text:this.text}}}class Zb{static inverse(v,T,W){const X=[];let ue=1,Re=1;for(const it of v){const Me=new Zb(new Fl(ue,it.original.startLineNumber),new Fl(Re,it.modified.startLineNumber));Me.modified.isEmpty||X.push(Me),ue=it.original.endLineNumberExclusive,Re=it.modified.endLineNumberExclusive}const Be=new Zb(new Fl(ue,T+1),new Fl(Re,W+1));return Be.modified.isEmpty||X.push(Be),X}static clip(v,T,W){const X=[];for(const ue of v){const Re=ue.original.intersect(T),Be=ue.modified.intersect(W);Re&&!Re.isEmpty&&Be&&!Be.isEmpty&&X.push(new Zb(Re,Be))}return X}constructor(v,T){this.original=v,this.modified=T}toString(){return`{${this.original.toString()}->${this.modified.toString()}}`}flip(){return new Zb(this.modified,this.original)}join(v){return new Zb(this.original.join(v.original),this.modified.join(v.modified))}toRangeMapping(){const v=this.original.toInclusiveRange(),T=this.modified.toInclusiveRange();if(v&&T)return new AE(v,T);if(this.original.startLineNumber===1||this.modified.startLineNumber===1){if(!(this.modified.startLineNumber===1&&this.original.startLineNumber===1))throw new Ay("not a valid diff");return new AE(new ys(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new ys(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1))}else return new AE(new ys(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),new ys(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER,this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER))}toRangeMapping2(v,T){if(zEe(this.original.endLineNumberExclusive,v)&&zEe(this.modified.endLineNumberExclusive,T))return new AE(new ys(this.original.startLineNumber,1,this.original.endLineNumberExclusive,1),new ys(this.modified.startLineNumber,1,this.modified.endLineNumberExclusive,1));if(!this.original.isEmpty&&!this.modified.isEmpty)return new AE(ys.fromPositions(new rd(this.original.startLineNumber,1),RM(new rd(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),v)),ys.fromPositions(new rd(this.modified.startLineNumber,1),RM(new rd(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),T)));if(this.original.startLineNumber>1&&this.modified.startLineNumber>1)return new AE(ys.fromPositions(RM(new rd(this.original.startLineNumber-1,Number.MAX_SAFE_INTEGER),v),RM(new rd(this.original.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),v)),ys.fromPositions(RM(new rd(this.modified.startLineNumber-1,Number.MAX_SAFE_INTEGER),T),RM(new rd(this.modified.endLineNumberExclusive-1,Number.MAX_SAFE_INTEGER),T)));throw new Ay}}function RM(g,v){if(g.lineNumber<1)return new rd(1,1);if(g.lineNumber>v.length)return new rd(v.length,v[v.length-1].length+1);const T=v[g.lineNumber-1];return g.column>T.length+1?new rd(g.lineNumber,T.length+1):g}function zEe(g,v){return g>=1&&g<=v.length}class gA extends Zb{static fromRangeMappings(v){const T=Fl.join(v.map(X=>Fl.fromRangeInclusive(X.originalRange))),W=Fl.join(v.map(X=>Fl.fromRangeInclusive(X.modifiedRange)));return new gA(T,W,v)}constructor(v,T,W){super(v,T),this.innerChanges=W}flip(){var v;return new gA(this.modified,this.original,(v=this.innerChanges)==null?void 0:v.map(T=>T.flip()))}withInnerChangesFromLineRanges(){return new gA(this.original,this.modified,[this.toRangeMapping()])}}class AE{static assertSorted(v){for(let T=1;T<v.length;T++){const W=v[T-1],X=v[T];if(!(W.originalRange.getEndPosition().isBeforeOrEqual(X.originalRange.getStartPosition())&&W.modifiedRange.getEndPosition().isBeforeOrEqual(X.modifiedRange.getStartPosition())))throw new Ay("Range mappings must be sorted")}}constructor(v,T){this.originalRange=v,this.modifiedRange=T}toString(){return`{${this.originalRange.toString()}->${this.modifiedRange.toString()}}`}flip(){return new AE(this.modifiedRange,this.originalRange)}toTextEdit(v){const T=v.getValueOfRange(this.modifiedRange);return new Ize(this.originalRange,T)}}const xze=3;class Rze{computeDiff(v,T,W){var it;const ue=new Cze(v,T,{maxComputationTime:W.maxComputationTimeMs,shouldIgnoreTrimWhitespace:W.ignoreTrimWhitespace,shouldComputeCharChanges:!0,shouldMakePrettyDiff:!0,shouldPostProcessCharChanges:!0}).computeDiff(),Re=[];let Be=null;for(const Me of ue.changes){let Ue;Me.originalEndLineNumber===0?Ue=new Fl(Me.originalStartLineNumber+1,Me.originalStartLineNumber+1):Ue=new Fl(Me.originalStartLineNumber,Me.originalEndLineNumber+1);let Bt;Me.modifiedEndLineNumber===0?Bt=new Fl(Me.modifiedStartLineNumber+1,Me.modifiedStartLineNumber+1):Bt=new Fl(Me.modifiedStartLineNumber,Me.modifiedEndLineNumber+1);let Ut=new gA(Ue,Bt,(it=Me.charChanges)==null?void 0:it.map(dn=>new AE(new ys(dn.originalStartLineNumber,dn.originalStartColumn,dn.originalEndLineNumber,dn.originalEndColumn),new ys(dn.modifiedStartLineNumber,dn.modifiedStartColumn,dn.modifiedEndLineNumber,dn.modifiedEndColumn))));Be&&(Be.modified.endLineNumberExclusive===Ut.modified.startLineNumber||Be.original.endLineNumberExclusive===Ut.original.startLineNumber)&&(Ut=new gA(Be.original.join(Ut.original),Be.modified.join(Ut.modified),Be.innerChanges&&Ut.innerChanges?Be.innerChanges.concat(Ut.innerChanges):void 0),Re.pop()),Re.push(Ut),Be=Ut}return qB(()=>MEe(Re,(Me,Ue)=>Ue.original.startLineNumber-Me.original.endLineNumberExclusive===Ue.modified.startLineNumber-Me.modified.endLineNumberExclusive&&Me.original.endLineNumberExclusive<Ue.original.startLineNumber&&Me.modified.endLineNumberExclusive<Ue.modified.startLineNumber)),new JB(Re,[],ue.quitEarly)}}function BEe(g,v,T,W){return new kx(g,v,T).ComputeDiff(W)}let GEe=class{constructor(v){const T=[],W=[];for(let X=0,ue=v.length;X<ue;X++)T[X]=mne(v[X],1),W[X]=_ne(v[X],1);this.lines=v,this._startColumns=T,this._endColumns=W}getElements(){const v=[];for(let T=0,W=this.lines.length;T<W;T++)v[T]=this.lines[T].substring(this._startColumns[T]-1,this._endColumns[T]-1);return v}getStrictElement(v){return this.lines[v]}getStartLineNumber(v){return v+1}getEndLineNumber(v){return v+1}createCharSequence(v,T,W){const X=[],ue=[],Re=[];let Be=0;for(let it=T;it<=W;it++){const Me=this.lines[it],Ue=v?this._startColumns[it]:1,Bt=v?this._endColumns[it]:Me.length+1;for(let Ut=Ue;Ut<Bt;Ut++)X[Be]=Me.charCodeAt(Ut-1),ue[Be]=it+1,Re[Be]=Ut,Be++;!v&&it<W&&(X[Be]=10,ue[Be]=it+1,Re[Be]=Me.length+1,Be++)}return new Dze(X,ue,Re)}};class Dze{constructor(v,T,W){this._charCodes=v,this._lineNumbers=T,this._columns=W}toString(){return"["+this._charCodes.map((v,T)=>(v===10?"\\n":String.fromCharCode(v))+`-(${this._lineNumbers[T]},${this._columns[T]})`).join(", ")+"]"}_assertIndex(v,T){if(v<0||v>=T.length)throw new Error("Illegal index")}getElements(){return this._charCodes}getStartLineNumber(v){return v>0&&v===this._lineNumbers.length?this.getEndLineNumber(v-1):(this._assertIndex(v,this._lineNumbers),this._lineNumbers[v])}getEndLineNumber(v){return v===-1?this.getStartLineNumber(v+1):(this._assertIndex(v,this._lineNumbers),this._charCodes[v]===10?this._lineNumbers[v]+1:this._lineNumbers[v])}getStartColumn(v){return v>0&&v===this._columns.length?this.getEndColumn(v-1):(this._assertIndex(v,this._columns),this._columns[v])}getEndColumn(v){return v===-1?this.getStartColumn(v+1):(this._assertIndex(v,this._columns),this._charCodes[v]===10?1:this._columns[v]+1)}}class DM{constructor(v,T,W,X,ue,Re,Be,it){this.originalStartLineNumber=v,this.originalStartColumn=T,this.originalEndLineNumber=W,this.originalEndColumn=X,this.modifiedStartLineNumber=ue,this.modifiedStartColumn=Re,this.modifiedEndLineNumber=Be,this.modifiedEndColumn=it}static createFromDiffChange(v,T,W){const X=T.getStartLineNumber(v.originalStart),ue=T.getStartColumn(v.originalStart),Re=T.getEndLineNumber(v.originalStart+v.originalLength-1),Be=T.getEndColumn(v.originalStart+v.originalLength-1),it=W.getStartLineNumber(v.modifiedStart),Me=W.getStartColumn(v.modifiedStart),Ue=W.getEndLineNumber(v.modifiedStart+v.modifiedLength-1),Bt=W.getEndColumn(v.modifiedStart+v.modifiedLength-1);return new DM(X,ue,Re,Be,it,Me,Ue,Bt)}}function Nze(g){if(g.length<=1)return g;const v=[g[0]];let T=v[0];for(let W=1,X=g.length;W<X;W++){const ue=g[W],Re=ue.originalStart-(T.originalStart+T.originalLength),Be=ue.modifiedStart-(T.modifiedStart+T.modifiedLength);Math.min(Re,Be)<xze?(T.originalLength=ue.originalStart+ue.originalLength-T.originalStart,T.modifiedLength=ue.modifiedStart+ue.modifiedLength-T.modifiedStart):(v.push(ue),T=ue)}return v}class T6{constructor(v,T,W,X,ue){this.originalStartLineNumber=v,this.originalEndLineNumber=T,this.modifiedStartLineNumber=W,this.modifiedEndLineNumber=X,this.charChanges=ue}static createFromDiffResult(v,T,W,X,ue,Re,Be){let it,Me,Ue,Bt,Ut;if(T.originalLength===0?(it=W.getStartLineNumber(T.originalStart)-1,Me=0):(it=W.getStartLineNumber(T.originalStart),Me=W.getEndLineNumber(T.originalStart+T.originalLength-1)),T.modifiedLength===0?(Ue=X.getStartLineNumber(T.modifiedStart)-1,Bt=0):(Ue=X.getStartLineNumber(T.modifiedStart),Bt=X.getEndLineNumber(T.modifiedStart+T.modifiedLength-1)),Re&&T.originalLength>0&&T.originalLength<20&&T.modifiedLength>0&&T.modifiedLength<20&&ue()){const dn=W.createCharSequence(v,T.originalStart,T.originalStart+T.originalLength-1),Pr=X.createCharSequence(v,T.modifiedStart,T.modifiedStart+T.modifiedLength-1);if(dn.getElements().length>0&&Pr.getElements().length>0){let yi=BEe(dn,Pr,ue,!0).changes;Be&&(yi=Nze(yi)),Ut=[];for(let co=0,Zn=yi.length;co<Zn;co++)Ut.push(DM.createFromDiffChange(yi[co],dn,Pr))}}return new T6(it,Me,Ue,Bt,Ut)}}class Cze{constructor(v,T,W){this.shouldComputeCharChanges=W.shouldComputeCharChanges,this.shouldPostProcessCharChanges=W.shouldPostProcessCharChanges,this.shouldIgnoreTrimWhitespace=W.shouldIgnoreTrimWhitespace,this.shouldMakePrettyDiff=W.shouldMakePrettyDiff,this.originalLines=v,this.modifiedLines=T,this.original=new GEe(v),this.modified=new GEe(T),this.continueLineDiff=VEe(W.maxComputationTime),this.continueCharDiff=VEe(W.maxComputationTime===0?0:Math.min(W.maxComputationTime,5e3))}computeDiff(){if(this.original.lines.length===1&&this.original.lines[0].length===0)return this.modified.lines.length===1&&this.modified.lines[0].length===0?{quitEarly:!1,changes:[]}:{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:1,modifiedStartLineNumber:1,modifiedEndLineNumber:this.modified.lines.length,charChanges:void 0}]};if(this.modified.lines.length===1&&this.modified.lines[0].length===0)return{quitEarly:!1,changes:[{originalStartLineNumber:1,originalEndLineNumber:this.original.lines.length,modifiedStartLineNumber:1,modifiedEndLineNumber:1,charChanges:void 0}]};const v=BEe(this.original,this.modified,this.continueLineDiff,this.shouldMakePrettyDiff),T=v.changes,W=v.quitEarly;if(this.shouldIgnoreTrimWhitespace){const Be=[];for(let it=0,Me=T.length;it<Me;it++)Be.push(T6.createFromDiffResult(this.shouldIgnoreTrimWhitespace,T[it],this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges));return{quitEarly:W,changes:Be}}const X=[];let ue=0,Re=0;for(let Be=-1,it=T.length;Be<it;Be++){const Me=Be+1<it?T[Be+1]:null,Ue=Me?Me.originalStart:this.originalLines.length,Bt=Me?Me.modifiedStart:this.modifiedLines.length;for(;ue<Ue&&Re<Bt;){const Ut=this.originalLines[ue],dn=this.modifiedLines[Re];if(Ut!==dn){{let Pr=mne(Ut,1),yi=mne(dn,1);for(;Pr>1&&yi>1;){const co=Ut.charCodeAt(Pr-2),Zn=dn.charCodeAt(yi-2);if(co!==Zn)break;Pr--,yi--}(Pr>1||yi>1)&&this._pushTrimWhitespaceCharChange(X,ue+1,1,Pr,Re+1,1,yi)}{let Pr=_ne(Ut,1),yi=_ne(dn,1);const co=Ut.length+1,Zn=dn.length+1;for(;Pr<co&&yi<Zn;){const An=Ut.charCodeAt(Pr-1),Oo=Ut.charCodeAt(yi-1);if(An!==Oo)break;Pr++,yi++}(Pr<co||yi<Zn)&&this._pushTrimWhitespaceCharChange(X,ue+1,Pr,co,Re+1,yi,Zn)}}ue++,Re++}Me&&(X.push(T6.createFromDiffResult(this.shouldIgnoreTrimWhitespace,Me,this.original,this.modified,this.continueCharDiff,this.shouldComputeCharChanges,this.shouldPostProcessCharChanges)),ue+=Me.originalLength,Re+=Me.modifiedLength)}return{quitEarly:W,changes:X}}_pushTrimWhitespaceCharChange(v,T,W,X,ue,Re,Be){if(this._mergeTrimWhitespaceCharChange(v,T,W,X,ue,Re,Be))return;let it;this.shouldComputeCharChanges&&(it=[new DM(T,W,T,X,ue,Re,ue,Be)]),v.push(new T6(T,T,ue,ue,it))}_mergeTrimWhitespaceCharChange(v,T,W,X,ue,Re,Be){const it=v.length;if(it===0)return!1;const Me=v[it-1];return Me.originalEndLineNumber===0||Me.modifiedEndLineNumber===0?!1:Me.originalEndLineNumber===T&&Me.modifiedEndLineNumber===ue?(this.shouldComputeCharChanges&&Me.charChanges&&Me.charChanges.push(new DM(T,W,T,X,ue,Re,ue,Be)),!0):Me.originalEndLineNumber+1===T&&Me.modifiedEndLineNumber+1===ue?(Me.originalEndLineNumber=T,Me.modifiedEndLineNumber=ue,this.shouldComputeCharChanges&&Me.charChanges&&Me.charChanges.push(new DM(T,W,T,X,ue,Re,ue,Be)),!0):!1}}function mne(g,v){const T=s5e(g);return T===-1?v:T+1}function _ne(g,v){const T=l5e(g);return T===-1?v:T+2}function VEe(g){if(g===0)return()=>!0;const v=Date.now();return()=>Date.now()-v<g}function Pze(g,v,T=(W,X)=>W===X){if(g===v)return!0;if(!g||!v||g.length!==v.length)return!1;for(let W=0,X=g.length;W<X;W++)if(!T(g[W],v[W]))return!1;return!0}function*Mze(g,v){let T,W;for(const X of g)W!==void 0&&v(W,X)?T.push(X):(T&&(yield T),T=[X]),W=X;T&&(yield T)}function Lze(g,v){for(let T=0;T<=g.length;T++)v(T===0?void 0:g[T-1],T===g.length?void 0:g[T])}function kze(g,v){for(let T=0;T<g.length;T++)v(T===0?void 0:g[T-1],g[T],T+1===g.length?void 0:g[T+1])}function wze(g,v){for(const T of v)g.push(T)}var hne;(function(g){function v(ue){return ue<0}g.isLessThan=v;function T(ue){return ue<=0}g.isLessThanOrEqual=T;function W(ue){return ue>0}g.isGreaterThan=W;function X(ue){return ue===0}g.isNeitherLessOrGreaterThan=X,g.greaterThan=1,g.lessThan=-1,g.neitherLessOrGreaterThan=0})(hne||(hne={}));function XB(g,v){return(T,W)=>v(g(T),g(W))}const YB=(g,v)=>g-v;function Oze(g){return(v,T)=>-g(v,T)}const wM=class wM{constructor(v){this.iterate=v}toArray(){const v=[];return this.iterate(T=>(v.push(T),!0)),v}filter(v){return new wM(T=>this.iterate(W=>v(W)?T(W):!0))}map(v){return new wM(T=>this.iterate(W=>T(v(W))))}findLast(v){let T;return this.iterate(W=>(v(W)&&(T=W),!0)),T}findLastMaxBy(v){let T,W=!0;return this.iterate(X=>((W||hne.isGreaterThan(v(X,T)))&&(W=!1,T=X),!0)),T}};wM.empty=new wM(v=>{});let jEe=wM;class vA{static trivial(v,T){return new vA([new $p(Mc.ofLength(v.length),Mc.ofLength(T.length))],!1)}static trivialTimedOut(v,T){return new vA([new $p(Mc.ofLength(v.length),Mc.ofLength(T.length))],!0)}constructor(v,T){this.diffs=v,this.hitTimeout=T}}class $p{static invert(v,T){const W=[];return Lze(v,(X,ue)=>{W.push($p.fromOffsetPairs(X?X.getEndExclusives():yA.zero,ue?ue.getStarts():new yA(T,(X?X.seq2Range.endExclusive-X.seq1Range.endExclusive:0)+T)))}),W}static fromOffsetPairs(v,T){return new $p(new Mc(v.offset1,T.offset1),new Mc(v.offset2,T.offset2))}static assertSorted(v){let T;for(const W of v){if(T&&!(T.seq1Range.endExclusive<=W.seq1Range.start&&T.seq2Range.endExclusive<=W.seq2Range.start))throw new Ay("Sequence diffs must be sorted");T=W}}constructor(v,T){this.seq1Range=v,this.seq2Range=T}swap(){return new $p(this.seq2Range,this.seq1Range)}toString(){return`${this.seq1Range} <-> ${this.seq2Range}`}join(v){return new $p(this.seq1Range.join(v.seq1Range),this.seq2Range.join(v.seq2Range))}delta(v){return v===0?this:new $p(this.seq1Range.delta(v),this.seq2Range.delta(v))}deltaStart(v){return v===0?this:new $p(this.seq1Range.deltaStart(v),this.seq2Range.deltaStart(v))}deltaEnd(v){return v===0?this:new $p(this.seq1Range.deltaEnd(v),this.seq2Range.deltaEnd(v))}intersect(v){const T=this.seq1Range.intersect(v.seq1Range),W=this.seq2Range.intersect(v.seq2Range);if(!(!T||!W))return new $p(T,W)}getStarts(){return new yA(this.seq1Range.start,this.seq2Range.start)}getEndExclusives(){return new yA(this.seq1Range.endExclusive,this.seq2Range.endExclusive)}}const JN=class JN{constructor(v,T){this.offset1=v,this.offset2=T}toString(){return`${this.offset1} <-> ${this.offset2}`}delta(v){return v===0?this:new JN(this.offset1+v,this.offset2+v)}equals(v){return this.offset1===v.offset1&&this.offset2===v.offset2}};JN.zero=new JN(0,0),JN.max=new JN(Number.MAX_SAFE_INTEGER,Number.MAX_SAFE_INTEGER);let yA=JN;const aG=class aG{isValid(){return!0}};aG.instance=new aG;let A6=aG;class Wze{constructor(v){if(this.timeout=v,this.startTime=Date.now(),this.valid=!0,v<=0)throw new Ay("timeout must be positive")}isValid(){if(!(Date.now()-this.startTime<this.timeout)&&this.valid){this.valid=!1;debugger}return this.valid}}class gne{constructor(v,T){this.width=v,this.height=T,this.array=[],this.array=new Array(v*T)}get(v,T){return this.array[v+T*this.width]}set(v,T,W){this.array[v+T*this.width]=W}}function vne(g){return g===32||g===9}const N6=class N6{static getKey(v){let T=this.chrKeys.get(v);return T===void 0&&(T=this.chrKeys.size,this.chrKeys.set(v,T)),T}constructor(v,T,W){this.range=v,this.lines=T,this.source=W,this.histogram=[];let X=0;for(let ue=v.startLineNumber-1;ue<v.endLineNumberExclusive-1;ue++){const Re=T[ue];for(let it=0;it<Re.length;it++){X++;const Me=Re[it],Ue=N6.getKey(Me);this.histogram[Ue]=(this.histogram[Ue]||0)+1}X++;const Be=N6.getKey(`
+`);this.histogram[Be]=(this.histogram[Be]||0)+1}this.totalCount=X}computeSimilarity(v){let T=0;const W=Math.max(this.histogram.length,v.histogram.length);for(let X=0;X<W;X++)T+=Math.abs((this.histogram[X]??0)-(v.histogram[X]??0));return 1-T/(this.totalCount+v.totalCount)}};N6.chrKeys=new Map;let $B=N6;class Fze{compute(v,T,W=A6.instance,X){if(v.length===0||T.length===0)return vA.trivial(v,T);const ue=new gne(v.length,T.length),Re=new gne(v.length,T.length),Be=new gne(v.length,T.length);for(let Pr=0;Pr<v.length;Pr++)for(let yi=0;yi<T.length;yi++){if(!W.isValid())return vA.trivialTimedOut(v,T);const co=Pr===0?0:ue.get(Pr-1,yi),Zn=yi===0?0:ue.get(Pr,yi-1);let An;v.getElement(Pr)===T.getElement(yi)?(Pr===0||yi===0?An=0:An=ue.get(Pr-1,yi-1),Pr>0&&yi>0&&Re.get(Pr-1,yi-1)===3&&(An+=Be.get(Pr-1,yi-1)),An+=X?X(Pr,yi):1):An=-1;const Oo=Math.max(co,Zn,An);if(Oo===An){const Gr=Pr>0&&yi>0?Be.get(Pr-1,yi-1):0;Be.set(Pr,yi,Gr+1),Re.set(Pr,yi,3)}else Oo===co?(Be.set(Pr,yi,0),Re.set(Pr,yi,1)):Oo===Zn&&(Be.set(Pr,yi,0),Re.set(Pr,yi,2));ue.set(Pr,yi,Oo)}const it=[];let Me=v.length,Ue=T.length;function Bt(Pr,yi){(Pr+1!==Me||yi+1!==Ue)&&it.push(new $p(new Mc(Pr+1,Me),new Mc(yi+1,Ue))),Me=Pr,Ue=yi}let Ut=v.length-1,dn=T.length-1;for(;Ut>=0&&dn>=0;)Re.get(Ut,dn)===3?(Bt(Ut,dn),Ut--,dn--):Re.get(Ut,dn)===1?Ut--:dn--;return Bt(-1,-1),it.reverse(),new vA(it,!1)}}class UEe{compute(v,T,W=A6.instance){if(v.length===0||T.length===0)return vA.trivial(v,T);const X=v,ue=T;function Re(yi,co){for(;yi<X.length&&co<ue.length&&X.getElement(yi)===ue.getElement(co);)yi++,co++;return yi}let Be=0;const it=new zze;it.set(0,Re(0,0));const Me=new Bze;Me.set(0,it.get(0)===0?null:new HEe(null,0,0,it.get(0)));let Ue=0;e:for(;;){if(Be++,!W.isValid())return vA.trivialTimedOut(X,ue);const yi=-Math.min(Be,ue.length+Be%2),co=Math.min(Be,X.length+Be%2);for(Ue=yi;Ue<=co;Ue+=2){const Zn=Ue===co?-1:it.get(Ue+1),An=Ue===yi?-1:it.get(Ue-1)+1,Oo=Math.min(Math.max(Zn,An),X.length),Gr=Oo-Ue;if(Oo>X.length||Gr>ue.length)continue;const Bi=Re(Oo,Gr);it.set(Ue,Bi);const Uo=Oo===Zn?Me.get(Ue+1):Me.get(Ue-1);if(Me.set(Ue,Bi!==Oo?new HEe(Uo,Oo,Gr,Bi-Oo):Uo),it.get(Ue)===X.length&&it.get(Ue)-Ue===ue.length)break e}}let Bt=Me.get(Ue);const Ut=[];let dn=X.length,Pr=ue.length;for(;;){const yi=Bt?Bt.x+Bt.length:0,co=Bt?Bt.y+Bt.length:0;if((yi!==dn||co!==Pr)&&Ut.push(new $p(new Mc(yi,dn),new Mc(co,Pr))),!Bt)break;dn=Bt.x,Pr=Bt.y,Bt=Bt.prev}return Ut.reverse(),new vA(Ut,!1)}}class HEe{constructor(v,T,W,X){this.prev=v,this.x=T,this.y=W,this.length=X}}class zze{constructor(){this.positiveArr=new Int32Array(10),this.negativeArr=new Int32Array(10)}get(v){return v<0?(v=-v-1,this.negativeArr[v]):this.positiveArr[v]}set(v,T){if(v<0){if(v=-v-1,v>=this.negativeArr.length){const W=this.negativeArr;this.negativeArr=new Int32Array(W.length*2),this.negativeArr.set(W)}this.negativeArr[v]=T}else{if(v>=this.positiveArr.length){const W=this.positiveArr;this.positiveArr=new Int32Array(W.length*2),this.positiveArr.set(W)}this.positiveArr[v]=T}}}class Bze{constructor(){this.positiveArr=[],this.negativeArr=[]}get(v){return v<0?(v=-v-1,this.negativeArr[v]):this.positiveArr[v]}set(v,T){v<0?(v=-v-1,this.negativeArr[v]=T):this.positiveArr[v]=T}}class QB{constructor(v,T,W){this.lines=v,this.range=T,this.considerWhitespaceChanges=W,this.elements=[],this.firstElementOffsetByLineIdx=[],this.lineStartOffsets=[],this.trimmedWsLengthsByLineIdx=[],this.firstElementOffsetByLineIdx.push(0);for(let X=this.range.startLineNumber;X<=this.range.endLineNumber;X++){let ue=v[X-1],Re=0;X===this.range.startLineNumber&&this.range.startColumn>1&&(Re=this.range.startColumn-1,ue=ue.substring(Re)),this.lineStartOffsets.push(Re);let Be=0;if(!W){const Me=ue.trimStart();Be=ue.length-Me.length,ue=Me.trimEnd()}this.trimmedWsLengthsByLineIdx.push(Be);const it=X===this.range.endLineNumber?Math.min(this.range.endColumn-1-Re-Be,ue.length):ue.length;for(let Me=0;Me<it;Me++)this.elements.push(ue.charCodeAt(Me));X<this.range.endLineNumber&&(this.elements.push(10),this.firstElementOffsetByLineIdx.push(this.elements.length))}}toString(){return`Slice: "${this.text}"`}get text(){return this.getText(new Mc(0,this.length))}getText(v){return this.elements.slice(v.start,v.endExclusive).map(T=>String.fromCharCode(T)).join("")}getElement(v){return this.elements[v]}get length(){return this.elements.length}getBoundaryScore(v){const T=JEe(v>0?this.elements[v-1]:-1),W=JEe(v<this.elements.length?this.elements[v]:-1);if(T===7&&W===8)return 0;if(T===8)return 150;let X=0;return T!==W&&(X+=10,T===0&&W===1&&(X+=1)),X+=qEe(T),X+=qEe(W),X}translateOffset(v,T="right"){const W=S6(this.firstElementOffsetByLineIdx,ue=>ue<=v),X=v-this.firstElementOffsetByLineIdx[W];return new rd(this.range.startLineNumber+W,1+this.lineStartOffsets[W]+X+(X===0&&T==="left"?0:this.trimmedWsLengthsByLineIdx[W]))}translateRange(v){const T=this.translateOffset(v.start,"right"),W=this.translateOffset(v.endExclusive,"left");return W.isBefore(T)?ys.fromPositions(W,W):ys.fromPositions(T,W)}findWordContaining(v){if(v<0||v>=this.elements.length||!yne(this.elements[v]))return;let T=v;for(;T>0&&yne(this.elements[T-1]);)T--;let W=v;for(;W<this.elements.length&&yne(this.elements[W]);)W++;return new Mc(T,W)}countLinesIn(v){return this.translateOffset(v.endExclusive).lineNumber-this.translateOffset(v.start).lineNumber}isStronglyEqual(v,T){return this.elements[v]===this.elements[T]}extendToFullLines(v){const T=xM(this.firstElementOffsetByLineIdx,X=>X<=v.start)??0,W=Aze(this.firstElementOffsetByLineIdx,X=>v.endExclusive<=X)??this.elements.length;return new Mc(T,W)}}function yne(g){return g>=97&&g<=122||g>=65&&g<=90||g>=48&&g<=57}const Gze={0:0,1:0,2:0,3:10,4:2,5:30,6:3,7:10,8:10};function qEe(g){return Gze[g]}function JEe(g){return g===10?8:g===13?7:vne(g)?6:g>=97&&g<=122?0:g>=65&&g<=90?1:g>=48&&g<=57?2:g===-1?3:g===44||g===59?5:4}function Vze(g,v,T,W,X,ue){let{moves:Re,excludedChanges:Be}=Uze(g,v,T,ue);if(!ue.isValid())return[];const it=g.filter(Ue=>!Be.has(Ue)),Me=Hze(it,W,X,v,T,ue);return wze(Re,Me),Re=qze(Re),Re=Re.filter(Ue=>{const Bt=Ue.original.toOffsetRange().slice(v).map(dn=>dn.trim());return Bt.join(`
+`).length>=15&&jze(Bt,dn=>dn.length>=2)>=2}),Re=Jze(g,Re),Re}function jze(g,v){let T=0;for(const W of g)v(W)&&T++;return T}function Uze(g,v,T,W){const X=[],ue=g.filter(it=>it.modified.isEmpty&&it.original.length>=3).map(it=>new $B(it.original,v,it)),Re=new Set(g.filter(it=>it.original.isEmpty&&it.modified.length>=3).map(it=>new $B(it.modified,T,it))),Be=new Set;for(const it of ue){let Me=-1,Ue;for(const Bt of Re){const Ut=it.computeSimilarity(Bt);Ut>Me&&(Me=Ut,Ue=Bt)}if(Me>.9&&Ue&&(Re.delete(Ue),X.push(new Zb(it.range,Ue.range)),Be.add(it.source),Be.add(Ue.source)),!W.isValid())return{moves:X,excludedChanges:Be}}return{moves:X,excludedChanges:Be}}function Hze(g,v,T,W,X,ue){const Re=[],Be=new uze;for(const Ut of g)for(let dn=Ut.original.startLineNumber;dn<Ut.original.endLineNumberExclusive-2;dn++){const Pr=`${v[dn-1]}:${v[dn+1-1]}:${v[dn+2-1]}`;Be.add(Pr,{range:new Fl(dn,dn+3)})}const it=[];g.sort(XB(Ut=>Ut.modified.startLineNumber,YB));for(const Ut of g){let dn=[];for(let Pr=Ut.modified.startLineNumber;Pr<Ut.modified.endLineNumberExclusive-2;Pr++){const yi=`${T[Pr-1]}:${T[Pr+1-1]}:${T[Pr+2-1]}`,co=new Fl(Pr,Pr+3),Zn=[];Be.forEach(yi,({range:An})=>{for(const Gr of dn)if(Gr.originalLineRange.endLineNumberExclusive+1===An.endLineNumberExclusive&&Gr.modifiedLineRange.endLineNumberExclusive+1===co.endLineNumberExclusive){Gr.originalLineRange=new Fl(Gr.originalLineRange.startLineNumber,An.endLineNumberExclusive),Gr.modifiedLineRange=new Fl(Gr.modifiedLineRange.startLineNumber,co.endLineNumberExclusive),Zn.push(Gr);return}const Oo={modifiedLineRange:co,originalLineRange:An};it.push(Oo),Zn.push(Oo)}),dn=Zn}if(!ue.isValid())return[]}it.sort(Oze(XB(Ut=>Ut.modifiedLineRange.length,YB)));const Me=new lS,Ue=new lS;for(const Ut of it){const dn=Ut.modifiedLineRange.startLineNumber-Ut.originalLineRange.startLineNumber,Pr=Me.subtractFrom(Ut.modifiedLineRange),yi=Ue.subtractFrom(Ut.originalLineRange).getWithDelta(dn),co=Pr.getIntersection(yi);for(const Zn of co.ranges){if(Zn.length<3)continue;const An=Zn,Oo=Zn.delta(-dn);Re.push(new Zb(Oo,An)),Me.addRange(An),Ue.addRange(Oo)}}Re.sort(XB(Ut=>Ut.original.startLineNumber,YB));const Bt=new KB(g);for(let Ut=0;Ut<Re.length;Ut++){const dn=Re[Ut],Pr=Bt.findLastMonotonous(Uo=>Uo.original.startLineNumber<=dn.original.startLineNumber),yi=xM(g,Uo=>Uo.modified.startLineNumber<=dn.modified.startLineNumber),co=Math.max(dn.original.startLineNumber-Pr.original.startLineNumber,dn.modified.startLineNumber-yi.modified.startLineNumber),Zn=Bt.findLastMonotonous(Uo=>Uo.original.startLineNumber<dn.original.endLineNumberExclusive),An=xM(g,Uo=>Uo.modified.startLineNumber<dn.modified.endLineNumberExclusive),Oo=Math.max(Zn.original.endLineNumberExclusive-dn.original.endLineNumberExclusive,An.modified.endLineNumberExclusive-dn.modified.endLineNumberExclusive);let Gr;for(Gr=0;Gr<co;Gr++){const Uo=dn.original.startLineNumber-Gr-1,Nr=dn.modified.startLineNumber-Gr-1;if(Uo>W.length||Nr>X.length||Me.contains(Nr)||Ue.contains(Uo)||!KEe(W[Uo-1],X[Nr-1],ue))break}Gr>0&&(Ue.addRange(new Fl(dn.original.startLineNumber-Gr,dn.original.startLineNumber)),Me.addRange(new Fl(dn.modified.startLineNumber-Gr,dn.modified.startLineNumber)));let Bi;for(Bi=0;Bi<Oo;Bi++){const Uo=dn.original.endLineNumberExclusive+Bi,Nr=dn.modified.endLineNumberExclusive+Bi;if(Uo>W.length||Nr>X.length||Me.contains(Nr)||Ue.contains(Uo)||!KEe(W[Uo-1],X[Nr-1],ue))break}Bi>0&&(Ue.addRange(new Fl(dn.original.endLineNumberExclusive,dn.original.endLineNumberExclusive+Bi)),Me.addRange(new Fl(dn.modified.endLineNumberExclusive,dn.modified.endLineNumberExclusive+Bi))),(Gr>0||Bi>0)&&(Re[Ut]=new Zb(new Fl(dn.original.startLineNumber-Gr,dn.original.endLineNumberExclusive+Bi),new Fl(dn.modified.startLineNumber-Gr,dn.modified.endLineNumberExclusive+Bi)))}return Re}function KEe(g,v,T){if(g.trim()===v.trim())return!0;if(g.length>300&&v.length>300)return!1;const X=new UEe().compute(new QB([g],new ys(1,1,1,g.length),!1),new QB([v],new ys(1,1,1,v.length),!1),T);let ue=0;const Re=$p.invert(X.diffs,g.length);for(const Ue of Re)Ue.seq1Range.forEach(Bt=>{vne(g.charCodeAt(Bt))||ue++});function Be(Ue){let Bt=0;for(let Ut=0;Ut<g.length;Ut++)vne(Ue.charCodeAt(Ut))||Bt++;return Bt}const it=Be(g.length>v.length?g:v);return ue/it>.6&&it>10}function qze(g){if(g.length===0)return g;g.sort(XB(T=>T.original.startLineNumber,YB));const v=[g[0]];for(let T=1;T<g.length;T++){const W=v[v.length-1],X=g[T],ue=X.original.startLineNumber-W.original.endLineNumberExclusive,Re=X.modified.startLineNumber-W.modified.endLineNumberExclusive;if(ue>=0&&Re>=0&&ue+Re<=2){v[v.length-1]=W.join(X);continue}v.push(X)}return v}function Jze(g,v){const T=new KB(g);return v=v.filter(W=>{const X=T.findLastMonotonous(Be=>Be.original.startLineNumber<W.original.endLineNumberExclusive)||new Zb(new Fl(1,1),new Fl(1,1)),ue=xM(g,Be=>Be.modified.startLineNumber<W.modified.endLineNumberExclusive);return X!==ue}),v}function XEe(g,v,T){let W=T;return W=YEe(g,v,W),W=YEe(g,v,W),W=Kze(g,v,W),W}function YEe(g,v,T){if(T.length===0)return T;const W=[];W.push(T[0]);for(let ue=1;ue<T.length;ue++){const Re=W[W.length-1];let Be=T[ue];if(Be.seq1Range.isEmpty||Be.seq2Range.isEmpty){const it=Be.seq1Range.start-Re.seq1Range.endExclusive;let Me;for(Me=1;Me<=it&&!(g.getElement(Be.seq1Range.start-Me)!==g.getElement(Be.seq1Range.endExclusive-Me)||v.getElement(Be.seq2Range.start-Me)!==v.getElement(Be.seq2Range.endExclusive-Me));Me++);if(Me--,Me===it){W[W.length-1]=new $p(new Mc(Re.seq1Range.start,Be.seq1Range.endExclusive-it),new Mc(Re.seq2Range.start,Be.seq2Range.endExclusive-it));continue}Be=Be.delta(-Me)}W.push(Be)}const X=[];for(let ue=0;ue<W.length-1;ue++){const Re=W[ue+1];let Be=W[ue];if(Be.seq1Range.isEmpty||Be.seq2Range.isEmpty){const it=Re.seq1Range.start-Be.seq1Range.endExclusive;let Me;for(Me=0;Me<it&&!(!g.isStronglyEqual(Be.seq1Range.start+Me,Be.seq1Range.endExclusive+Me)||!v.isStronglyEqual(Be.seq2Range.start+Me,Be.seq2Range.endExclusive+Me));Me++);if(Me===it){W[ue+1]=new $p(new Mc(Be.seq1Range.start+it,Re.seq1Range.endExclusive),new Mc(Be.seq2Range.start+it,Re.seq2Range.endExclusive));continue}Me>0&&(Be=Be.delta(Me))}X.push(Be)}return W.length>0&&X.push(W[W.length-1]),X}function Kze(g,v,T){if(!g.getBoundaryScore||!v.getBoundaryScore)return T;for(let W=0;W<T.length;W++){const X=W>0?T[W-1]:void 0,ue=T[W],Re=W+1<T.length?T[W+1]:void 0,Be=new Mc(X?X.seq1Range.endExclusive+1:0,Re?Re.seq1Range.start-1:g.length),it=new Mc(X?X.seq2Range.endExclusive+1:0,Re?Re.seq2Range.start-1:v.length);ue.seq1Range.isEmpty?T[W]=$Ee(ue,g,v,Be,it):ue.seq2Range.isEmpty&&(T[W]=$Ee(ue.swap(),v,g,it,Be).swap())}return T}function $Ee(g,v,T,W,X){let Re=1;for(;g.seq1Range.start-Re>=W.start&&g.seq2Range.start-Re>=X.start&&T.isStronglyEqual(g.seq2Range.start-Re,g.seq2Range.endExclusive-Re)&&Re<100;)Re++;Re--;let Be=0;for(;g.seq1Range.start+Be<W.endExclusive&&g.seq2Range.endExclusive+Be<X.endExclusive&&T.isStronglyEqual(g.seq2Range.start+Be,g.seq2Range.endExclusive+Be)&&Be<100;)Be++;if(Re===0&&Be===0)return g;let it=0,Me=-1;for(let Ue=-Re;Ue<=Be;Ue++){const Bt=g.seq2Range.start+Ue,Ut=g.seq2Range.endExclusive+Ue,dn=g.seq1Range.start+Ue,Pr=v.getBoundaryScore(dn)+T.getBoundaryScore(Bt)+T.getBoundaryScore(Ut);Pr>Me&&(Me=Pr,it=Ue)}return g.delta(it)}function Xze(g,v,T){const W=[];for(const X of T){const ue=W[W.length-1];if(!ue){W.push(X);continue}X.seq1Range.start-ue.seq1Range.endExclusive<=2||X.seq2Range.start-ue.seq2Range.endExclusive<=2?W[W.length-1]=new $p(ue.seq1Range.join(X.seq1Range),ue.seq2Range.join(X.seq2Range)):W.push(X)}return W}function Yze(g,v,T){const W=$p.invert(T,g.length),X=[];let ue=new yA(0,0);function Re(it,Me){if(it.offset1<ue.offset1||it.offset2<ue.offset2)return;const Ue=g.findWordContaining(it.offset1),Bt=v.findWordContaining(it.offset2);if(!Ue||!Bt)return;let Ut=new $p(Ue,Bt);const dn=Ut.intersect(Me);let Pr=dn.seq1Range.length,yi=dn.seq2Range.length;for(;W.length>0;){const co=W[0];if(!(co.seq1Range.intersects(Ut.seq1Range)||co.seq2Range.intersects(Ut.seq2Range)))break;const An=g.findWordContaining(co.seq1Range.start),Oo=v.findWordContaining(co.seq2Range.start),Gr=new $p(An,Oo),Bi=Gr.intersect(co);if(Pr+=Bi.seq1Range.length,yi+=Bi.seq2Range.length,Ut=Ut.join(Gr),Ut.seq1Range.endExclusive>=co.seq1Range.endExclusive)W.shift();else break}Pr+yi<(Ut.seq1Range.length+Ut.seq2Range.length)*2/3&&X.push(Ut),ue=Ut.getEndExclusives()}for(;W.length>0;){const it=W.shift();it.seq1Range.isEmpty||(Re(it.getStarts(),it),Re(it.getEndExclusives().delta(-1),it))}return $ze(T,X)}function $ze(g,v){const T=[];for(;g.length>0||v.length>0;){const W=g[0],X=v[0];let ue;W&&(!X||W.seq1Range.start<X.seq1Range.start)?ue=g.shift():ue=v.shift(),T.length>0&&T[T.length-1].seq1Range.endExclusive>=ue.seq1Range.start?T[T.length-1]=T[T.length-1].join(ue):T.push(ue)}return T}function Qze(g,v,T){let W=T;if(W.length===0)return W;let X=0,ue;do{ue=!1;const Re=[W[0]];for(let Be=1;Be<W.length;Be++){let Ue=function(Ut,dn){const Pr=new Mc(Me.seq1Range.endExclusive,it.seq1Range.start);return g.getText(Pr).replace(/\s/g,"").length<=4&&(Ut.seq1Range.length+Ut.seq2Range.length>5||dn.seq1Range.length+dn.seq2Range.length>5)};const it=W[Be],Me=Re[Re.length-1];Ue(Me,it)?(ue=!0,Re[Re.length-1]=Re[Re.length-1].join(it)):Re.push(it)}W=Re}while(X++<10&&ue);return W}function Zze(g,v,T){let W=T;if(W.length===0)return W;let X=0,ue;do{ue=!1;const Be=[W[0]];for(let it=1;it<W.length;it++){let Bt=function(dn,Pr){const yi=new Mc(Ue.seq1Range.endExclusive,Me.seq1Range.start);if(g.countLinesIn(yi)>5||yi.length>500)return!1;const Zn=g.getText(yi).trim();if(Zn.length>20||Zn.split(/\r\n|\r|\n/).length>1)return!1;const An=g.countLinesIn(dn.seq1Range),Oo=dn.seq1Range.length,Gr=v.countLinesIn(dn.seq2Range),Bi=dn.seq2Range.length,Uo=g.countLinesIn(Pr.seq1Range),Nr=Pr.seq1Range.length,Ca=v.countLinesIn(Pr.seq2Range),Sc=Pr.seq2Range.length,au=2*40+50;function nr(Xs){return Math.min(Xs,au)}return Math.pow(Math.pow(nr(An*40+Oo),1.5)+Math.pow(nr(Gr*40+Bi),1.5),1.5)+Math.pow(Math.pow(nr(Uo*40+Nr),1.5)+Math.pow(nr(Ca*40+Sc),1.5),1.5)>(au**1.5)**1.5*1.3};const Me=W[it],Ue=Be[Be.length-1];Bt(Ue,Me)?(ue=!0,Be[Be.length-1]=Be[Be.length-1].join(Me)):Be.push(Me)}W=Be}while(X++<10&&ue);const Re=[];return kze(W,(Be,it,Me)=>{let Ue=it;function Bt(Zn){return Zn.length>0&&Zn.trim().length<=3&&it.seq1Range.length+it.seq2Range.length>100}const Ut=g.extendToFullLines(it.seq1Range),dn=g.getText(new Mc(Ut.start,it.seq1Range.start));Bt(dn)&&(Ue=Ue.deltaStart(-dn.length));const Pr=g.getText(new Mc(it.seq1Range.endExclusive,Ut.endExclusive));Bt(Pr)&&(Ue=Ue.deltaEnd(Pr.length));const yi=$p.fromOffsetPairs(Be?Be.getEndExclusives():yA.zero,Me?Me.getStarts():yA.max),co=Ue.intersect(yi);Re.length>0&&co.getStarts().equals(Re[Re.length-1].getEndExclusives())?Re[Re.length-1]=Re[Re.length-1].join(co):Re.push(co)}),Re}class QEe{constructor(v,T){this.trimmedHash=v,this.lines=T}getElement(v){return this.trimmedHash[v]}get length(){return this.trimmedHash.length}getBoundaryScore(v){const T=v===0?0:ZEe(this.lines[v-1]),W=v===this.lines.length?0:ZEe(this.lines[v]);return 1e3-(T+W)}getText(v){return this.lines.slice(v.start,v.endExclusive).join(`
+`)}isStronglyEqual(v,T){return this.lines[v]===this.lines[T]}}function ZEe(g){let v=0;for(;v<g.length&&(g.charCodeAt(v)===32||g.charCodeAt(v)===9);)v++;return v}class e9e{constructor(){this.dynamicProgrammingDiffing=new Fze,this.myersDiffingAlgorithm=new UEe}computeDiff(v,T,W){if(v.length<=1&&Pze(v,T,(Bi,Uo)=>Bi===Uo))return new JB([],[],!1);if(v.length===1&&v[0].length===0||T.length===1&&T[0].length===0)return new JB([new gA(new Fl(1,v.length+1),new Fl(1,T.length+1),[new AE(new ys(1,1,v.length,v[v.length-1].length+1),new ys(1,1,T.length,T[T.length-1].length+1))])],[],!1);const X=W.maxComputationTimeMs===0?A6.instance:new Wze(W.maxComputationTimeMs),ue=!W.ignoreTrimWhitespace,Re=new Map;function Be(Bi){let Uo=Re.get(Bi);return Uo===void 0&&(Uo=Re.size,Re.set(Bi,Uo)),Uo}const it=v.map(Bi=>Be(Bi.trim())),Me=T.map(Bi=>Be(Bi.trim())),Ue=new QEe(it,v),Bt=new QEe(Me,T),Ut=Ue.length+Bt.length<1700?this.dynamicProgrammingDiffing.compute(Ue,Bt,X,(Bi,Uo)=>v[Bi]===T[Uo]?T[Uo].length===0?.1:1+Math.log(1+T[Uo].length):.99):this.myersDiffingAlgorithm.compute(Ue,Bt,X);let dn=Ut.diffs,Pr=Ut.hitTimeout;dn=XEe(Ue,Bt,dn),dn=Qze(Ue,Bt,dn);const yi=[],co=Bi=>{if(ue)for(let Uo=0;Uo<Bi;Uo++){const Nr=Zn+Uo,Ca=An+Uo;if(v[Nr]!==T[Ca]){const Sc=this.refineDiff(v,T,new $p(new Mc(Nr,Nr+1),new Mc(Ca,Ca+1)),X,ue);for(const au of Sc.mappings)yi.push(au);Sc.hitTimeout&&(Pr=!0)}}};let Zn=0,An=0;for(const Bi of dn){qB(()=>Bi.seq1Range.start-Zn===Bi.seq2Range.start-An);const Uo=Bi.seq1Range.start-Zn;co(Uo),Zn=Bi.seq1Range.endExclusive,An=Bi.seq2Range.endExclusive;const Nr=this.refineDiff(v,T,Bi,X,ue);Nr.hitTimeout&&(Pr=!0);for(const Ca of Nr.mappings)yi.push(Ca)}co(v.length-Zn);const Oo=e1e(yi,v,T);let Gr=[];return W.computeMoves&&(Gr=this.computeMoves(Oo,v,T,it,Me,X,ue)),qB(()=>{function Bi(Nr,Ca){if(Nr.lineNumber<1||Nr.lineNumber>Ca.length)return!1;const Sc=Ca[Nr.lineNumber-1];return!(Nr.column<1||Nr.column>Sc.length+1)}function Uo(Nr,Ca){return!(Nr.startLineNumber<1||Nr.startLineNumber>Ca.length+1||Nr.endLineNumberExclusive<1||Nr.endLineNumberExclusive>Ca.length+1)}for(const Nr of Oo){if(!Nr.innerChanges)return!1;for(const Ca of Nr.innerChanges)if(!(Bi(Ca.modifiedRange.getStartPosition(),T)&&Bi(Ca.modifiedRange.getEndPosition(),T)&&Bi(Ca.originalRange.getStartPosition(),v)&&Bi(Ca.originalRange.getEndPosition(),v)))return!1;if(!Uo(Nr.modified,T)||!Uo(Nr.original,v))return!1}return!0}),new JB(Oo,Gr,Pr)}computeMoves(v,T,W,X,ue,Re,Be){return Vze(v,T,W,X,ue,Re).map(Ue=>{const Bt=this.refineDiff(T,W,new $p(Ue.original.toOffsetRange(),Ue.modified.toOffsetRange()),Re,Be),Ut=e1e(Bt.mappings,T,W,!0);return new Tze(Ue,Ut)})}refineDiff(v,T,W,X,ue){const Be=n9e(W).toRangeMapping2(v,T),it=new QB(v,Be.originalRange,ue),Me=new QB(T,Be.modifiedRange,ue),Ue=it.length+Me.length<500?this.dynamicProgrammingDiffing.compute(it,Me,X):this.myersDiffingAlgorithm.compute(it,Me,X);let Bt=Ue.diffs;return Bt=XEe(it,Me,Bt),Bt=Yze(it,Me,Bt),Bt=Xze(it,Me,Bt),Bt=Zze(it,Me,Bt),{mappings:Bt.map(dn=>new AE(it.translateRange(dn.seq1Range),Me.translateRange(dn.seq2Range))),hitTimeout:Ue.hitTimeout}}}function e1e(g,v,T,W=!1){const X=[];for(const ue of Mze(g.map(Re=>t9e(Re,v,T)),(Re,Be)=>Re.original.overlapOrTouch(Be.original)||Re.modified.overlapOrTouch(Be.modified))){const Re=ue[0],Be=ue[ue.length-1];X.push(new gA(Re.original.join(Be.original),Re.modified.join(Be.modified),ue.map(it=>it.innerChanges[0])))}return qB(()=>!W&&X.length>0&&(X[0].modified.startLineNumber!==X[0].original.startLineNumber||T.length-X[X.length-1].modified.endLineNumberExclusive!==v.length-X[X.length-1].original.endLineNumberExclusive)?!1:MEe(X,(ue,Re)=>Re.original.startLineNumber-ue.original.endLineNumberExclusive===Re.modified.startLineNumber-ue.modified.endLineNumberExclusive&&ue.original.endLineNumberExclusive<Re.original.startLineNumber&&ue.modified.endLineNumberExclusive<Re.modified.startLineNumber)),X}function t9e(g,v,T){let W=0,X=0;g.modifiedRange.endColumn===1&&g.originalRange.endColumn===1&&g.originalRange.startLineNumber+W<=g.originalRange.endLineNumber&&g.modifiedRange.startLineNumber+W<=g.modifiedRange.endLineNumber&&(X=-1),g.modifiedRange.startColumn-1>=T[g.modifiedRange.startLineNumber-1].length&&g.originalRange.startColumn-1>=v[g.originalRange.startLineNumber-1].length&&g.originalRange.startLineNumber<=g.originalRange.endLineNumber+X&&g.modifiedRange.startLineNumber<=g.modifiedRange.endLineNumber+X&&(W=1);const ue=new Fl(g.originalRange.startLineNumber+W,g.originalRange.endLineNumber+1+X),Re=new Fl(g.modifiedRange.startLineNumber+W,g.modifiedRange.endLineNumber+1+X);return new gA(ue,Re,[g])}function n9e(g){return new Zb(new Fl(g.seq1Range.start+1,g.seq1Range.endExclusive+1),new Fl(g.seq2Range.start+1,g.seq2Range.endExclusive+1))}const t1e={getLegacy:()=>new Rze,getDefault:()=>new e9e};function wx(g,v){const T=Math.pow(10,v);return Math.round(g*T)/T}class Jm{constructor(v,T,W,X=1){this._rgbaBrand=void 0,this.r=Math.min(255,Math.max(0,v))|0,this.g=Math.min(255,Math.max(0,T))|0,this.b=Math.min(255,Math.max(0,W))|0,this.a=wx(Math.max(Math.min(1,X),0),3)}static equals(v,T){return v.r===T.r&&v.g===T.g&&v.b===T.b&&v.a===T.a}}class e0{constructor(v,T,W,X){this._hslaBrand=void 0,this.h=Math.max(Math.min(360,v),0)|0,this.s=wx(Math.max(Math.min(1,T),0),3),this.l=wx(Math.max(Math.min(1,W),0),3),this.a=wx(Math.max(Math.min(1,X),0),3)}static equals(v,T){return v.h===T.h&&v.s===T.s&&v.l===T.l&&v.a===T.a}static fromRGBA(v){const T=v.r/255,W=v.g/255,X=v.b/255,ue=v.a,Re=Math.max(T,W,X),Be=Math.min(T,W,X);let it=0,Me=0;const Ue=(Be+Re)/2,Bt=Re-Be;if(Bt>0){switch(Me=Math.min(Ue<=.5?Bt/(2*Ue):Bt/(2-2*Ue),1),Re){case T:it=(W-X)/Bt+(W<X?6:0);break;case W:it=(X-T)/Bt+2;break;case X:it=(T-W)/Bt+4;break}it*=60,it=Math.round(it)}return new e0(it,Me,Ue,ue)}static _hue2rgb(v,T,W){return W<0&&(W+=1),W>1&&(W-=1),W<1/6?v+(T-v)*6*W:W<1/2?T:W<2/3?v+(T-v)*(2/3-W)*6:v}static toRGBA(v){const T=v.h/360,{s:W,l:X,a:ue}=v;let Re,Be,it;if(W===0)Re=Be=it=X;else{const Me=X<.5?X*(1+W):X+W-X*W,Ue=2*X-Me;Re=e0._hue2rgb(Ue,Me,T+1/3),Be=e0._hue2rgb(Ue,Me,T),it=e0._hue2rgb(Ue,Me,T-1/3)}return new Jm(Math.round(Re*255),Math.round(Be*255),Math.round(it*255),ue)}}class NM{constructor(v,T,W,X){this._hsvaBrand=void 0,this.h=Math.max(Math.min(360,v),0)|0,this.s=wx(Math.max(Math.min(1,T),0),3),this.v=wx(Math.max(Math.min(1,W),0),3),this.a=wx(Math.max(Math.min(1,X),0),3)}static equals(v,T){return v.h===T.h&&v.s===T.s&&v.v===T.v&&v.a===T.a}static fromRGBA(v){const T=v.r/255,W=v.g/255,X=v.b/255,ue=Math.max(T,W,X),Re=Math.min(T,W,X),Be=ue-Re,it=ue===0?0:Be/ue;let Me;return Be===0?Me=0:ue===T?Me=((W-X)/Be%6+6)%6:ue===W?Me=(X-T)/Be+2:Me=(T-W)/Be+4,new NM(Math.round(Me*60),it,ue,v.a)}static toRGBA(v){const{h:T,s:W,v:X,a:ue}=v,Re=X*W,Be=Re*(1-Math.abs(T/60%2-1)),it=X-Re;let[Me,Ue,Bt]=[0,0,0];return T<60?(Me=Re,Ue=Be):T<120?(Me=Be,Ue=Re):T<180?(Ue=Re,Bt=Be):T<240?(Ue=Be,Bt=Re):T<300?(Me=Be,Bt=Re):T<=360&&(Me=Re,Bt=Be),Me=Math.round((Me+it)*255),Ue=Math.round((Ue+it)*255),Bt=Math.round((Bt+it)*255),new Jm(Me,Ue,Bt,ue)}}const id=class id{static fromHex(v){return id.Format.CSS.parseHex(v)||id.red}static equals(v,T){return!v&&!T?!0:!v||!T?!1:v.equals(T)}get hsla(){return this._hsla?this._hsla:e0.fromRGBA(this.rgba)}get hsva(){return this._hsva?this._hsva:NM.fromRGBA(this.rgba)}constructor(v){if(v)if(v instanceof Jm)this.rgba=v;else if(v instanceof e0)this._hsla=v,this.rgba=e0.toRGBA(v);else if(v instanceof NM)this._hsva=v,this.rgba=NM.toRGBA(v);else throw new Error("Invalid color ctor argument");else throw new Error("Color needs a value")}equals(v){return!!v&&Jm.equals(this.rgba,v.rgba)&&e0.equals(this.hsla,v.hsla)&&NM.equals(this.hsva,v.hsva)}getRelativeLuminance(){const v=id._relativeLuminanceForComponent(this.rgba.r),T=id._relativeLuminanceForComponent(this.rgba.g),W=id._relativeLuminanceForComponent(this.rgba.b),X=.2126*v+.7152*T+.0722*W;return wx(X,4)}static _relativeLuminanceForComponent(v){const T=v/255;return T<=.03928?T/12.92:Math.pow((T+.055)/1.055,2.4)}isLighter(){return(this.rgba.r*299+this.rgba.g*587+this.rgba.b*114)/1e3>=128}isLighterThan(v){const T=this.getRelativeLuminance(),W=v.getRelativeLuminance();return T>W}isDarkerThan(v){const T=this.getRelativeLuminance(),W=v.getRelativeLuminance();return T<W}lighten(v){return new id(new e0(this.hsla.h,this.hsla.s,this.hsla.l+this.hsla.l*v,this.hsla.a))}darken(v){return new id(new e0(this.hsla.h,this.hsla.s,this.hsla.l-this.hsla.l*v,this.hsla.a))}transparent(v){const{r:T,g:W,b:X,a:ue}=this.rgba;return new id(new Jm(T,W,X,ue*v))}isTransparent(){return this.rgba.a===0}isOpaque(){return this.rgba.a===1}opposite(){return new id(new Jm(255-this.rgba.r,255-this.rgba.g,255-this.rgba.b,this.rgba.a))}makeOpaque(v){if(this.isOpaque()||v.rgba.a!==1)return this;const{r:T,g:W,b:X,a:ue}=this.rgba;return new id(new Jm(v.rgba.r-ue*(v.rgba.r-T),v.rgba.g-ue*(v.rgba.g-W),v.rgba.b-ue*(v.rgba.b-X),1))}toString(){return this._toString||(this._toString=id.Format.CSS.format(this)),this._toString}static getLighterColor(v,T,W){if(v.isLighterThan(T))return v;W=W||.5;const X=v.getRelativeLuminance(),ue=T.getRelativeLuminance();return W=W*(ue-X)/ue,v.lighten(W)}static getDarkerColor(v,T,W){if(v.isDarkerThan(T))return v;W=W||.5;const X=v.getRelativeLuminance(),ue=T.getRelativeLuminance();return W=W*(X-ue)/X,v.darken(W)}};id.white=new id(new Jm(255,255,255,1)),id.black=new id(new Jm(0,0,0,1)),id.red=new id(new Jm(255,0,0,1)),id.blue=new id(new Jm(0,0,255,1)),id.green=new id(new Jm(0,255,0,1)),id.cyan=new id(new Jm(0,255,255,1)),id.lightgrey=new id(new Jm(211,211,211,1)),id.transparent=new id(new Jm(0,0,0,0));let CM=id;(function(g){(function(v){(function(T){function W(dn){return dn.rgba.a===1?`rgb(${dn.rgba.r}, ${dn.rgba.g}, ${dn.rgba.b})`:g.Format.CSS.formatRGBA(dn)}T.formatRGB=W;function X(dn){return`rgba(${dn.rgba.r}, ${dn.rgba.g}, ${dn.rgba.b}, ${+dn.rgba.a.toFixed(2)})`}T.formatRGBA=X;function ue(dn){return dn.hsla.a===1?`hsl(${dn.hsla.h}, ${(dn.hsla.s*100).toFixed(2)}%, ${(dn.hsla.l*100).toFixed(2)}%)`:g.Format.CSS.formatHSLA(dn)}T.formatHSL=ue;function Re(dn){return`hsla(${dn.hsla.h}, ${(dn.hsla.s*100).toFixed(2)}%, ${(dn.hsla.l*100).toFixed(2)}%, ${dn.hsla.a.toFixed(2)})`}T.formatHSLA=Re;function Be(dn){const Pr=dn.toString(16);return Pr.length!==2?"0"+Pr:Pr}function it(dn){return`#${Be(dn.rgba.r)}${Be(dn.rgba.g)}${Be(dn.rgba.b)}`}T.formatHex=it;function Me(dn,Pr=!1){return Pr&&dn.rgba.a===1?g.Format.CSS.formatHex(dn):`#${Be(dn.rgba.r)}${Be(dn.rgba.g)}${Be(dn.rgba.b)}${Be(Math.round(dn.rgba.a*255))}`}T.formatHexA=Me;function Ue(dn){return dn.isOpaque()?g.Format.CSS.formatHex(dn):g.Format.CSS.formatRGBA(dn)}T.format=Ue;function Bt(dn){const Pr=dn.length;if(Pr===0||dn.charCodeAt(0)!==35)return null;if(Pr===7){const yi=16*Ut(dn.charCodeAt(1))+Ut(dn.charCodeAt(2)),co=16*Ut(dn.charCodeAt(3))+Ut(dn.charCodeAt(4)),Zn=16*Ut(dn.charCodeAt(5))+Ut(dn.charCodeAt(6));return new g(new Jm(yi,co,Zn,1))}if(Pr===9){const yi=16*Ut(dn.charCodeAt(1))+Ut(dn.charCodeAt(2)),co=16*Ut(dn.charCodeAt(3))+Ut(dn.charCodeAt(4)),Zn=16*Ut(dn.charCodeAt(5))+Ut(dn.charCodeAt(6)),An=16*Ut(dn.charCodeAt(7))+Ut(dn.charCodeAt(8));return new g(new Jm(yi,co,Zn,An/255))}if(Pr===4){const yi=Ut(dn.charCodeAt(1)),co=Ut(dn.charCodeAt(2)),Zn=Ut(dn.charCodeAt(3));return new g(new Jm(16*yi+yi,16*co+co,16*Zn+Zn))}if(Pr===5){const yi=Ut(dn.charCodeAt(1)),co=Ut(dn.charCodeAt(2)),Zn=Ut(dn.charCodeAt(3)),An=Ut(dn.charCodeAt(4));return new g(new Jm(16*yi+yi,16*co+co,16*Zn+Zn,(16*An+An)/255))}return null}T.parseHex=Bt;function Ut(dn){switch(dn){case 48:return 0;case 49:return 1;case 50:return 2;case 51:return 3;case 52:return 4;case 53:return 5;case 54:return 6;case 55:return 7;case 56:return 8;case 57:return 9;case 97:return 10;case 65:return 10;case 98:return 11;case 66:return 11;case 99:return 12;case 67:return 12;case 100:return 13;case 68:return 13;case 101:return 14;case 69:return 14;case 102:return 15;case 70:return 15}return 0}})(v.CSS||(v.CSS={}))})(g.Format||(g.Format={}))})(CM||(CM={}));function n1e(g){const v=[];for(const T of g){const W=Number(T);(W||W===0&&T.replace(/\s/g,"")!=="")&&v.push(W)}return v}function bne(g,v,T,W){return{red:g/255,blue:T/255,green:v/255,alpha:W}}function I6(g,v){const T=v.index,W=v[0].length;if(!T)return;const X=g.positionAt(T);return{startLineNumber:X.lineNumber,startColumn:X.column,endLineNumber:X.lineNumber,endColumn:X.column+W}}function r9e(g,v){if(!g)return;const T=CM.Format.CSS.parseHex(v);if(T)return{range:g,color:bne(T.rgba.r,T.rgba.g,T.rgba.b,T.rgba.a)}}function r1e(g,v,T){if(!g||v.length!==1)return;const X=v[0].values(),ue=n1e(X);return{range:g,color:bne(ue[0],ue[1],ue[2],T?ue[3]:1)}}function i1e(g,v,T){if(!g||v.length!==1)return;const X=v[0].values(),ue=n1e(X),Re=new CM(new e0(ue[0],ue[1]/100,ue[2]/100,T?ue[3]:1));return{range:g,color:bne(Re.rgba.r,Re.rgba.g,Re.rgba.b,Re.rgba.a)}}function x6(g,v){return typeof g=="string"?[...g.matchAll(v)]:g.findMatches(v)}function i9e(g){const v=[],W=x6(g,/\b(rgb|rgba|hsl|hsla)(\([0-9\s,.\%]*\))|(#)([A-Fa-f0-9]{3})\b|(#)([A-Fa-f0-9]{4})\b|(#)([A-Fa-f0-9]{6})\b|(#)([A-Fa-f0-9]{8})\b/gm);if(W.length>0)for(const X of W){const ue=X.filter(Me=>Me!==void 0),Re=ue[1],Be=ue[2];if(!Be)continue;let it;if(Re==="rgb"){const Me=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*\)$/gm;it=r1e(I6(g,X),x6(Be,Me),!1)}else if(Re==="rgba"){const Me=/^\(\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(25[0-5]|2[0-4][0-9]|1[0-9]{2}|[1-9][0-9]|[0-9])\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;it=r1e(I6(g,X),x6(Be,Me),!0)}else if(Re==="hsl"){const Me=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*\)$/gm;it=i1e(I6(g,X),x6(Be,Me),!1)}else if(Re==="hsla"){const Me=/^\(\s*(36[0]|3[0-5][0-9]|[12][0-9][0-9]|[1-9]?[0-9])\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(100|\d{1,2}[.]\d*|\d{1,2})%\s*,\s*(0[.][0-9]+|[.][0-9]+|[01][.]|[01])\s*\)$/gm;it=i1e(I6(g,X),x6(Be,Me),!0)}else Re==="#"&&(it=r9e(I6(g,X),Re+Be));it&&v.push(it)}return v}function o9e(g){return!g||typeof g.getValue!="function"||typeof g.positionAt!="function"?[]:i9e(g)}const o1e=new RegExp("\\bMARK:\\s*(.*)$","d"),a9e=/^-+|-+$/g;function s9e(g,v){var W;let T=[];if(v.findRegionSectionHeaders&&((W=v.foldingRules)!=null&&W.markers)){const X=l9e(g,v);T=T.concat(X)}if(v.findMarkSectionHeaders){const X=c9e(g);T=T.concat(X)}return T}function l9e(g,v){const T=[],W=g.getLineCount();for(let X=1;X<=W;X++){const ue=g.getLineContent(X),Re=ue.match(v.foldingRules.markers.start);if(Re){const Be={startLineNumber:X,startColumn:Re[0].length+1,endLineNumber:X,endColumn:ue.length+1};if(Be.endColumn>Be.startColumn){const it={range:Be,...a1e(ue.substring(Re[0].length)),shouldBeInComments:!1};(it.text||it.hasSeparatorLine)&&T.push(it)}}}return T}function c9e(g){const v=[],T=g.getLineCount();for(let W=1;W<=T;W++){const X=g.getLineContent(W);u9e(X,W,v)}return v}function u9e(g,v,T){o1e.lastIndex=0;const W=o1e.exec(g);if(W){const X=W.indices[1][0]+1,ue=W.indices[1][1]+1,Re={startLineNumber:v,startColumn:X,endLineNumber:v,endColumn:ue};if(Re.endColumn>Re.startColumn){const Be={range:Re,...a1e(W[1]),shouldBeInComments:!0};(Be.text||Be.hasSeparatorLine)&&T.push(Be)}}}function a1e(g){g=g.trim();const v=g.startsWith("-");return g=g.replace(a9e,""),{text:g,hasSeparatorLine:v}}var s1e;(function(g){async function v(W){let X;const ue=await Promise.all(W.map(Re=>Re.then(Be=>Be,Be=>{X||(X=Be)})));if(typeof X<"u")throw X;return ue}g.settled=v;function T(W){return new Promise(async(X,ue)=>{try{await W(X,ue)}catch(Re){ue(Re)}})}g.withAsyncBody=T})(s1e||(s1e={}));const Cg=class Cg{static fromArray(v){return new Cg(T=>{T.emitMany(v)})}static fromPromise(v){return new Cg(async T=>{T.emitMany(await v)})}static fromPromises(v){return new Cg(async T=>{await Promise.all(v.map(async W=>T.emitOne(await W)))})}static merge(v){return new Cg(async T=>{await Promise.all(v.map(async W=>{for await(const X of W)T.emitOne(X)}))})}constructor(v,T){this._state=0,this._results=[],this._error=null,this._onReturn=T,this._onStateChanged=new Qb,queueMicrotask(async()=>{const W={emitOne:X=>this.emitOne(X),emitMany:X=>this.emitMany(X),reject:X=>this.reject(X)};try{await Promise.resolve(v(W)),this.resolve()}catch(X){this.reject(X)}finally{W.emitOne=void 0,W.emitMany=void 0,W.reject=void 0}})}[Symbol.asyncIterator](){let v=0;return{next:async()=>{do{if(this._state===2)throw this._error;if(v<this._results.length)return{done:!1,value:this._results[v++]};if(this._state===1)return{done:!0,value:void 0};await OB.toPromise(this._onStateChanged.event)}while(!0)},return:async()=>{var T;return(T=this._onReturn)==null||T.call(this),{done:!0,value:void 0}}}}static map(v,T){return new Cg(async W=>{for await(const X of v)W.emitOne(T(X))})}map(v){return Cg.map(this,v)}static filter(v,T){return new Cg(async W=>{for await(const X of v)T(X)&&W.emitOne(X)})}filter(v){return Cg.filter(this,v)}static coalesce(v){return Cg.filter(v,T=>!!T)}coalesce(){return Cg.coalesce(this)}static async toPromise(v){const T=[];for await(const W of v)T.push(W);return T}toPromise(){return Cg.toPromise(this)}emitOne(v){this._state===0&&(this._results.push(v),this._onStateChanged.fire())}emitMany(v){this._state===0&&(this._results=this._results.concat(v),this._onStateChanged.fire())}resolve(){this._state===0&&(this._state=1,this._onStateChanged.fire())}reject(v){this._state===0&&(this._state=2,this._error=v,this._onStateChanged.fire())}};Cg.EMPTY=Cg.fromArray([]);let l1e=Cg;class d9e{constructor(v){this.values=v,this.prefixSum=new Uint32Array(v.length),this.prefixSumValidIndex=new Int32Array(1),this.prefixSumValidIndex[0]=-1}insertValues(v,T){v=IM(v);const W=this.values,X=this.prefixSum,ue=T.length;return ue===0?!1:(this.values=new Uint32Array(W.length+ue),this.values.set(W.subarray(0,v),0),this.values.set(W.subarray(v),v+ue),this.values.set(T,v),v-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=v-1),this.prefixSum=new Uint32Array(this.values.length),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(X.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}setValue(v,T){return v=IM(v),T=IM(T),this.values[v]===T?!1:(this.values[v]=T,v-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=v-1),!0)}removeValues(v,T){v=IM(v),T=IM(T);const W=this.values,X=this.prefixSum;if(v>=W.length)return!1;const ue=W.length-v;return T>=ue&&(T=ue),T===0?!1:(this.values=new Uint32Array(W.length-T),this.values.set(W.subarray(0,v),0),this.values.set(W.subarray(v+T),v),this.prefixSum=new Uint32Array(this.values.length),v-1<this.prefixSumValidIndex[0]&&(this.prefixSumValidIndex[0]=v-1),this.prefixSumValidIndex[0]>=0&&this.prefixSum.set(X.subarray(0,this.prefixSumValidIndex[0]+1)),!0)}getTotalSum(){return this.values.length===0?0:this._getPrefixSum(this.values.length-1)}getPrefixSum(v){return v<0?0:(v=IM(v),this._getPrefixSum(v))}_getPrefixSum(v){if(v<=this.prefixSumValidIndex[0])return this.prefixSum[v];let T=this.prefixSumValidIndex[0]+1;T===0&&(this.prefixSum[0]=this.values[0],T++),v>=this.values.length&&(v=this.values.length-1);for(let W=T;W<=v;W++)this.prefixSum[W]=this.prefixSum[W-1]+this.values[W];return this.prefixSumValidIndex[0]=Math.max(this.prefixSumValidIndex[0],v),this.prefixSum[v]}getIndexOf(v){v=Math.floor(v),this.getTotalSum();let T=0,W=this.values.length-1,X=0,ue=0,Re=0;for(;T<=W;)if(X=T+(W-T)/2|0,ue=this.prefixSum[X],Re=ue-this.values[X],v<Re)W=X-1;else if(v>=ue)T=X+1;else break;return new p9e(X,v-Re)}}class p9e{constructor(v,T){this.index=v,this.remainder=T,this._prefixSumIndexOfResultBrand=void 0,this.index=v,this.remainder=T}}class f9e{constructor(v,T,W,X){this._uri=v,this._lines=T,this._eol=W,this._versionId=X,this._lineStarts=null,this._cachedTextValue=null}dispose(){this._lines.length=0}get version(){return this._versionId}getText(){return this._cachedTextValue===null&&(this._cachedTextValue=this._lines.join(this._eol)),this._cachedTextValue}onEvents(v){v.eol&&v.eol!==this._eol&&(this._eol=v.eol,this._lineStarts=null);const T=v.changes;for(const W of T)this._acceptDeleteRange(W.range),this._acceptInsertText(new rd(W.range.startLineNumber,W.range.startColumn),W.text);this._versionId=v.versionId,this._cachedTextValue=null}_ensureLineStarts(){if(!this._lineStarts){const v=this._eol.length,T=this._lines.length,W=new Uint32Array(T);for(let X=0;X<T;X++)W[X]=this._lines[X].length+v;this._lineStarts=new d9e(W)}}_setLineText(v,T){this._lines[v]=T,this._lineStarts&&this._lineStarts.setValue(v,this._lines[v].length+this._eol.length)}_acceptDeleteRange(v){if(v.startLineNumber===v.endLineNumber){if(v.startColumn===v.endColumn)return;this._setLineText(v.startLineNumber-1,this._lines[v.startLineNumber-1].substring(0,v.startColumn-1)+this._lines[v.startLineNumber-1].substring(v.endColumn-1));return}this._setLineText(v.startLineNumber-1,this._lines[v.startLineNumber-1].substring(0,v.startColumn-1)+this._lines[v.endLineNumber-1].substring(v.endColumn-1)),this._lines.splice(v.startLineNumber,v.endLineNumber-v.startLineNumber),this._lineStarts&&this._lineStarts.removeValues(v.startLineNumber,v.endLineNumber-v.startLineNumber)}_acceptInsertText(v,T){if(T.length===0)return;const W=a5e(T);if(W.length===1){this._setLineText(v.lineNumber-1,this._lines[v.lineNumber-1].substring(0,v.column-1)+W[0]+this._lines[v.lineNumber-1].substring(v.column-1));return}W[W.length-1]+=this._lines[v.lineNumber-1].substring(v.column-1),this._setLineText(v.lineNumber-1,this._lines[v.lineNumber-1].substring(0,v.column-1)+W[0]);const X=new Uint32Array(W.length-1);for(let ue=1;ue<W.length;ue++)this._lines.splice(v.lineNumber+ue-1,0,W[ue]),X[ue-1]=W[ue].length+this._eol.length;this._lineStarts&&this._lineStarts.insertValues(v.lineNumber,X)}}class m9e{constructor(){this._models=Object.create(null)}getModel(v){return this._models[v]}getModels(){const v=[];return Object.keys(this._models).forEach(T=>v.push(this._models[T])),v}$acceptNewModel(v){this._models[v.url]=new _9e(xv.parse(v.url),v.lines,v.EOL,v.versionId)}$acceptModelChanged(v,T){if(!this._models[v])return;this._models[v].onEvents(T)}$acceptRemovedModel(v){this._models[v]&&delete this._models[v]}}class _9e extends f9e{get uri(){return this._uri}get eol(){return this._eol}getValue(){return this.getText()}findMatches(v){const T=[];for(let W=0;W<this._lines.length;W++){const X=this._lines[W],ue=this.offsetAt(new rd(W+1,1)),Re=X.matchAll(v);for(const Be of Re)(Be.index||Be.index===0)&&(Be.index=Be.index+ue),T.push(Be)}return T}getLinesContent(){return this._lines.slice(0)}getLineCount(){return this._lines.length}getLineContent(v){return this._lines[v-1]}getWordAtPosition(v,T){const W=pne(v.column,kEe(T),this._lines[v.lineNumber-1],0);return W?new ys(v.lineNumber,W.startColumn,v.lineNumber,W.endColumn):null}words(v){const T=this._lines,W=this._wordenize.bind(this);let X=0,ue="",Re=0,Be=[];return{*[Symbol.iterator](){for(;;)if(Re<Be.length){const it=ue.substring(Be[Re].start,Be[Re].end);Re+=1,yield it}else if(X<T.length)ue=T[X],Be=W(ue,v),Re=0,X+=1;else break}}}getLineWords(v,T){const W=this._lines[v-1],X=this._wordenize(W,T),ue=[];for(const Re of X)ue.push({word:W.substring(Re.start,Re.end),startColumn:Re.start+1,endColumn:Re.end+1});return ue}_wordenize(v,T){const W=[];let X;for(T.lastIndex=0;(X=T.exec(v))&&X[0].length!==0;)W.push({start:X.index,end:X.index+X[0].length});return W}getValueInRange(v){if(v=this._validateRange(v),v.startLineNumber===v.endLineNumber)return this._lines[v.startLineNumber-1].substring(v.startColumn-1,v.endColumn-1);const T=this._eol,W=v.startLineNumber-1,X=v.endLineNumber-1,ue=[];ue.push(this._lines[W].substring(v.startColumn-1));for(let Re=W+1;Re<X;Re++)ue.push(this._lines[Re]);return ue.push(this._lines[X].substring(0,v.endColumn-1)),ue.join(T)}offsetAt(v){return v=this._validatePosition(v),this._ensureLineStarts(),this._lineStarts.getPrefixSum(v.lineNumber-2)+(v.column-1)}positionAt(v){v=Math.floor(v),v=Math.max(0,v),this._ensureLineStarts();const T=this._lineStarts.getIndexOf(v),W=this._lines[T.index].length;return{lineNumber:1+T.index,column:1+Math.min(T.remainder,W)}}_validateRange(v){const T=this._validatePosition({lineNumber:v.startLineNumber,column:v.startColumn}),W=this._validatePosition({lineNumber:v.endLineNumber,column:v.endColumn});return T.lineNumber!==v.startLineNumber||T.column!==v.startColumn||W.lineNumber!==v.endLineNumber||W.column!==v.endColumn?{startLineNumber:T.lineNumber,startColumn:T.column,endLineNumber:W.lineNumber,endColumn:W.column}:v}_validatePosition(v){if(!rd.isIPosition(v))throw new Error("bad position");let{lineNumber:T,column:W}=v,X=!1;if(T<1)T=1,W=1,X=!0;else if(T>this._lines.length)T=this._lines.length,W=this._lines[T-1].length+1,X=!0;else{const ue=this._lines[T-1].length+1;W<1?(W=1,X=!0):W>ue&&(W=ue,X=!0)}return X?{lineNumber:T,column:W}:v}}const sG=class sG{constructor(){this._workerTextModelSyncServer=new m9e}dispose(){}_getModel(v){return this._workerTextModelSyncServer.getModel(v)}_getModels(){return this._workerTextModelSyncServer.getModels()}$acceptNewModel(v){this._workerTextModelSyncServer.$acceptNewModel(v)}$acceptModelChanged(v,T){this._workerTextModelSyncServer.$acceptModelChanged(v,T)}$acceptRemovedModel(v){this._workerTextModelSyncServer.$acceptRemovedModel(v)}async $computeUnicodeHighlights(v,T,W){const X=this._getModel(v);return X?Eze.computeUnicodeHighlights(X,T,W):{ranges:[],hasMore:!1,ambiguousCharacterCount:0,invisibleCharacterCount:0,nonBasicAsciiCharacterCount:0}}async $findSectionHeaders(v,T){const W=this._getModel(v);return W?s9e(W,T):[]}async $computeDiff(v,T,W,X){const ue=this._getModel(v),Re=this._getModel(T);return!ue||!Re?null:ZB.computeDiff(ue,Re,W,X)}static computeDiff(v,T,W,X){const ue=X==="advanced"?t1e.getDefault():t1e.getLegacy(),Re=v.getLinesContent(),Be=T.getLinesContent(),it=ue.computeDiff(Re,Be,W),Me=it.changes.length>0?!1:this._modelsAreIdentical(v,T);function Ue(Bt){return Bt.map(Ut=>{var dn;return[Ut.original.startLineNumber,Ut.original.endLineNumberExclusive,Ut.modified.startLineNumber,Ut.modified.endLineNumberExclusive,(dn=Ut.innerChanges)==null?void 0:dn.map(Pr=>[Pr.originalRange.startLineNumber,Pr.originalRange.startColumn,Pr.originalRange.endLineNumber,Pr.originalRange.endColumn,Pr.modifiedRange.startLineNumber,Pr.modifiedRange.startColumn,Pr.modifiedRange.endLineNumber,Pr.modifiedRange.endColumn])]})}return{identical:Me,quitEarly:it.hitTimeout,changes:Ue(it.changes),moves:it.moves.map(Bt=>[Bt.lineRangeMapping.original.startLineNumber,Bt.lineRangeMapping.original.endLineNumberExclusive,Bt.lineRangeMapping.modified.startLineNumber,Bt.lineRangeMapping.modified.endLineNumberExclusive,Ue(Bt.changes)])}}static _modelsAreIdentical(v,T){const W=v.getLineCount(),X=T.getLineCount();if(W!==X)return!1;for(let ue=1;ue<=W;ue++){const Re=v.getLineContent(ue),Be=T.getLineContent(ue);if(Re!==Be)return!1}return!0}async $computeMoreMinimalEdits(v,T,W){const X=this._getModel(v);if(!X)return T;const ue=[];let Re;T=T.slice(0).sort((it,Me)=>{if(it.range&&Me.range)return ys.compareRangesUsingStarts(it.range,Me.range);const Ue=it.range?0:1,Bt=Me.range?0:1;return Ue-Bt});let Be=0;for(let it=1;it<T.length;it++)ys.getEndPosition(T[Be].range).equals(ys.getStartPosition(T[it].range))?(T[Be].range=ys.fromPositions(ys.getStartPosition(T[Be].range),ys.getEndPosition(T[it].range)),T[Be].text+=T[it].text):(Be++,T[Be]=T[it]);T.length=Be+1;for(let{range:it,text:Me,eol:Ue}of T){if(typeof Ue=="number"&&(Re=Ue),ys.isEmpty(it)&&!Me)continue;const Bt=X.getValueInRange(it);if(Me=Me.replace(/\r\n|\n|\r/g,X.eol),Bt===Me)continue;if(Math.max(Me.length,Bt.length)>ZB._diffLimit){ue.push({range:it,text:Me});continue}const Ut=U5e(Bt,Me,W),dn=X.offsetAt(ys.lift(it).getStartPosition());for(const Pr of Ut){const yi=X.positionAt(dn+Pr.originalStart),co=X.positionAt(dn+Pr.originalStart+Pr.originalLength),Zn={text:Me.substr(Pr.modifiedStart,Pr.modifiedLength),range:{startLineNumber:yi.lineNumber,startColumn:yi.column,endLineNumber:co.lineNumber,endColumn:co.column}};X.getValueInRange(Zn.range)!==Zn.text&&ue.push(Zn)}}return typeof Re=="number"&&ue.push({eol:Re,text:"",range:{startLineNumber:0,startColumn:0,endLineNumber:0,endColumn:0}}),ue}async $computeLinks(v){const T=this._getModel(v);return T?X5e(T):null}async $computeDefaultDocumentColors(v){const T=this._getModel(v);return T?o9e(T):null}async $textualSuggest(v,T,W,X){const ue=new wB,Re=new RegExp(W,X),Be=new Set;e:for(const it of v){const Me=this._getModel(it);if(Me){for(const Ue of Me.words(Re))if(!(Ue===T||!isNaN(Number(Ue)))&&(Be.add(Ue),Be.size>ZB._suggestionsLimit))break e}}return{words:Array.from(Be),duration:ue.elapsed()}}async $computeWordRanges(v,T,W,X){const ue=this._getModel(v);if(!ue)return Object.create(null);const Re=new RegExp(W,X),Be=Object.create(null);for(let it=T.startLineNumber;it<T.endLineNumber;it++){const Me=ue.getLineWords(it,Re);for(const Ue of Me){if(!isNaN(Number(Ue.word)))continue;let Bt=Be[Ue.word];Bt||(Bt=[],Be[Ue.word]=Bt),Bt.push({startLineNumber:it,startColumn:Ue.startColumn,endLineNumber:it,endColumn:Ue.endColumn})}}return Be}async $navigateValueSet(v,T,W,X,ue){const Re=this._getModel(v);if(!Re)return null;const Be=new RegExp(X,ue);T.startColumn===T.endColumn&&(T={startLineNumber:T.startLineNumber,startColumn:T.startColumn,endLineNumber:T.endLineNumber,endColumn:T.endColumn+1});const it=Re.getValueInRange(T),Me=Re.getWordAtPosition({lineNumber:T.startLineNumber,column:T.startColumn},Be);if(!Me)return null;const Ue=Re.getValueInRange(Me);return nne.INSTANCE.navigateValueSet(T,it,Me,Ue,W)}};sG._diffLimit=1e5,sG._suggestionsLimit=1e4;let Ene=sG;class ZB extends Ene{constructor(v,T){super(),this._host=v,this._foreignModuleFactory=T,this._foreignModule=null}async $ping(){return"pong"}$loadForeignModule(v,T,W){const Re={host:pze(W,(Be,it)=>this._host.$fhr(Be,it)),getMirrorModels:()=>this._getModels()};return this._foreignModuleFactory?(this._foreignModule=this._foreignModuleFactory(Re,T),Promise.resolve(DEe(this._foreignModule))):new Promise((Be,it)=>{const Me=Ue=>{this._foreignModule=Ue.create(Re,T),Be(DEe(this._foreignModule))};import(`${d0e.asBrowserUri(`${v}.js`).toString(!0)}`).then(Me).catch(it)})}$fmr(v,T){if(!this._foreignModule||typeof this._foreignModule[v]!="function")return Promise.reject(new Error("Missing requestHandler or method: "+v));try{return Promise.resolve(this._foreignModule[v].apply(this._foreignModule,T))}catch(W){return Promise.reject(W)}}}typeof importScripts=="function"&&(globalThis.monaco=aze());let Sne=!1;function c1e(g){if(Sne)return;Sne=!0;const v=new G5e(T=>{globalThis.postMessage(T)},T=>new ZB(dne.getChannel(T),g));globalThis.onmessage=T=>{v.onmessage(T.data)}}globalThis.onmessage=g=>{Sne||c1e(null)};var HN={};/*!-----------------------------------------------------------------------------
+ * Copyright (c) Microsoft Corporation. All rights reserved.
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
+ * Released under the MIT license
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
+ *-----------------------------------------------------------------------------*/var h9e=Object.defineProperty,g9e=(g,v)=>{for(var T in v)h9e(g,T,{get:v[T],enumerable:!0})},u1e={};g9e(u1e,{EndOfLineState:()=>b9e,IndentStyle:()=>S9e,ScriptKind:()=>qN,ScriptTarget:()=>T9e,TokenClass:()=>A9e,createClassifier:()=>v9e,createLanguageService:()=>d1e,displayPartsToString:()=>y9e,flattenDiagnosticMessageText:()=>E9e,typescript:()=>p1e});var IE=void 0,eG={exports:{}},xE=(()=>{var g=Object.defineProperty,v=Object.getOwnPropertyNames,T=(e,t)=>function(){return e&&(t=(0,e[v(e)[0]])(e=0)),t},W=(e,t)=>function(){return t||(0,e[v(e)[0]])((t={exports:{}}).exports,t),t.exports},X=(e,t)=>{for(var r in t)g(e,r,{get:t[r],enumerable:!0})},ue,Re,Be,it=T({"src/compiler/corePublic.ts"(){ue="5.4",Re="5.4.5",Be=(e=>(e[e.LessThan=-1]="LessThan",e[e.EqualTo=0]="EqualTo",e[e.GreaterThan=1]="GreaterThan",e))(Be||{})}});function Me(e){return e?e.length:0}function Ue(e,t){if(e)for(let r=0;r<e.length;r++){const i=t(e[r],r);if(i)return i}}function Bt(e,t){if(e)for(let r=e.length-1;r>=0;r--){const i=t(e[r],r);if(i)return i}}function Ut(e,t){if(e!==void 0)for(let r=0;r<e.length;r++){const i=t(e[r],r);if(i!==void 0)return i}}function dn(e,t){for(const r of e){const i=t(r);if(i!==void 0)return i}}function Pr(e,t,r){let i=r;if(e){let o=0;for(const s of e)i=t(i,s,o),o++}return i}function yi(e,t,r){const i=[];N.assertEqual(e.length,t.length);for(let o=0;o<e.length;o++)i.push(r(e[o],t[o],o));return i}function co(e,t){if(e.length<=1)return e;const r=[];for(let i=0,o=e.length;i<o;i++)i&&r.push(t),r.push(e[i]);return r}function Zn(e,t){if(e){for(let r=0;r<e.length;r++)if(!t(e[r],r))return!1}return!0}function An(e,t,r){if(e!==void 0)for(let i=r??0;i<e.length;i++){const o=e[i];if(t(o,i))return o}}function Oo(e,t,r){if(e!==void 0)for(let i=r??e.length-1;i>=0;i--){const o=e[i];if(t(o,i))return o}}function Gr(e,t,r){if(e===void 0)return-1;for(let i=r??0;i<e.length;i++)if(t(e[i],i))return i;return-1}function Bi(e,t,r){if(e===void 0)return-1;for(let i=r??e.length-1;i>=0;i--)if(t(e[i],i))return i;return-1}function Uo(e,t){for(let r=0;r<e.length;r++){const i=t(e[r],r);if(i)return i}return N.fail()}function Nr(e,t,r=Mv){if(e){for(const i of e)if(r(i,t))return!0}return!1}function Ca(e,t,r=Mv){return e.length===t.length&&e.every((i,o)=>r(i,t[o]))}function Sc(e,t,r){for(let i=r||0;i<e.length;i++)if(Nr(t,e.charCodeAt(i)))return i;return-1}function au(e,t){let r=0;if(e)for(let i=0;i<e.length;i++){const o=e[i];t(o,i)&&r++}return r}function nr(e,t){if(e){const r=e.length;let i=0;for(;i<r&&t(e[i]);)i++;if(i<r){const o=e.slice(0,i);for(i++;i<r;){const s=e[i];t(s)&&o.push(s),i++}return o}}return e}function Xs(e,t){let r=0;for(let i=0;i<e.length;i++)t(e[i],i,e)&&(e[r]=e[i],r++);e.length=r}function Vo(e){e.length=0}function wt(e,t){let r;if(e){r=[];for(let i=0;i<e.length;i++)r.push(t(e[i],i))}return r}function*Ia(e,t){for(const r of e)yield t(r)}function eo(e,t){if(e)for(let r=0;r<e.length;r++){const i=e[r],o=t(i,r);if(i!==o){const s=e.slice(0,r);for(s.push(o),r++;r<e.length;r++)s.push(t(e[r],r));return s}}return e}function ia(e){const t=[];for(const r of e)r&&(To(r)?Jr(t,r):t.push(r));return t}function Pi(e,t){let r;if(e)for(let i=0;i<e.length;i++){const o=t(e[i],i);o&&(To(o)?r=Jr(r,o):r=xn(r,o))}return r||et}function rc(e,t){const r=[];if(e)for(let i=0;i<e.length;i++){const o=t(e[i],i);o&&(To(o)?Jr(r,o):r.push(o))}return r}function*Qp(e,t){for(const r of e){const i=t(r);i&&(yield*i)}}function vm(e,t){let r;if(e)for(let i=0;i<e.length;i++){const o=e[i],s=t(o,i);(r||o!==s||To(s))&&(r||(r=e.slice(0,i)),To(s)?Jr(r,s):r.push(s))}return r||e}function RE(e,t){const r=[];for(let i=0;i<e.length;i++){const o=t(e[i],i);if(o===void 0)return;r.push(o)}return r}function Hi(e,t){const r=[];if(e)for(let i=0;i<e.length;i++){const o=t(e[i],i);o!==void 0&&r.push(o)}return r}function*Pg(e,t){for(const r of e){const i=t(r);i!==void 0&&(yield i)}}function C6(e,t){if(!e)return;const r=new Map;return e.forEach((i,o)=>{const s=t(o,i);if(s!==void 0){const[l,u]=s;l!==void 0&&u!==void 0&&r.set(l,u)}}),r}function n0(e,t,r){if(e.has(t))return e.get(t);const i=r();return e.set(t,i),i}function Rv(e,t){return e.has(t)?!1:(e.add(t),!0)}function*P6(e){yield e}function lG(e,t,r){let i;if(e){i=[];const o=e.length;let s,l,u=0,p=0;for(;u<o;){for(;p<o;){const h=e[p];if(l=t(h,p),p===0)s=l;else if(l!==s)break;p++}if(u<p){const h=r(e.slice(u,p),s,u,p);h&&i.push(h),u=p}s=l,p++}}return i}function Ane(e,t){if(!e)return;const r=new Map;return e.forEach((i,o)=>{const[s,l]=t(o,i);r.set(s,l)}),r}function bt(e,t){if(e)if(t){for(const r of e)if(t(r))return!0}else return e.length>0;return!1}function cG(e,t,r){let i;for(let o=0;o<e.length;o++)t(e[o])?i=i===void 0?o:i:i!==void 0&&(r(i,o),i=void 0);i!==void 0&&r(i,e.length)}function So(e,t){return bt(t)?bt(e)?[...e,...t]:t:e}function x9e(e,t){return t}function OM(e){return e.map(x9e)}function R9e(e,t,r){const i=OM(e);v1e(e,i,r);let o=e[i[0]];const s=[i[0]];for(let l=1;l<i.length;l++){const u=i[l],p=e[u];t(o,p)||(s.push(u),o=p)}return s.sort(),s.map(l=>e[l])}function D9e(e,t){const r=[];for(const i of e)Tf(r,i,t);return r}function DE(e,t,r){return e.length===0?[]:e.length===1?e.slice():r?R9e(e,t,r):D9e(e,t)}function N9e(e,t){if(e.length===0)return et;let r=e[0];const i=[r];for(let o=1;o<e.length;o++){const s=e[o];switch(t(s,r)){case!0:case 0:continue;case-1:return N.fail("Array is unsorted.")}i.push(r=s)}return i}function uG(){return[]}function xy(e,t,r,i){if(e.length===0)return e.push(t),!0;const o=Nv(e,t,nl,r);return o<0?(e.splice(~o,0,t),!0):i?(e.splice(o,0,t),!0):!1}function KN(e,t,r){return N9e(uS(e,t),r||t||Uu)}function M6(e,t){if(e.length<2)return!0;for(let r=1,i=e.length;r<i;r++)if(t(e[r-1],e[r])===1)return!1;return!0}function XN(e,t,r,i){let o=3;if(e.length<2)return o;let s=t(e[0]);for(let l=1,u=e.length;l<u&&o!==0;l++){const p=t(e[l]);o&1&&r(s,p)>0&&(o&=-2),o&2&&i(s,p)>0&&(o&=-3),s=p}return o}function Km(e,t,r=Mv){if(!e||!t)return e===t;if(e.length!==t.length)return!1;for(let i=0;i<e.length;i++)if(!r(e[i],t[i],i))return!1;return!0}function WM(e){let t;if(e)for(let r=0;r<e.length;r++){const i=e[r];(t||!i)&&(t||(t=e.slice(0,r)),i&&t.push(i))}return t||e}function Ine(e,t,r){if(!t||!e||t.length===0||e.length===0)return t;const i=[];e:for(let o=0,s=0;s<t.length;s++){s>0&&N.assertGreaterThanOrEqual(r(t[s],t[s-1]),0);t:for(const l=o;o<e.length;o++)switch(o>l&&N.assertGreaterThanOrEqual(r(e[o],e[o-1]),0),r(t[s],e[o])){case-1:i.push(t[s]);continue e;case 0:continue e;case 1:continue t}}return i}function xn(e,t){return t===void 0?e:e===void 0?[t]:(e.push(t),e)}function Wx(e,t){return e===void 0?t:t===void 0?e:To(e)?To(t)?So(e,t):xn(e,t):To(t)?xn(t,e):[e,t]}function xne(e,t){return t<0?e.length+t:t}function Jr(e,t,r,i){if(t===void 0||t.length===0)return e;if(e===void 0)return t.slice(r,i);r=r===void 0?0:xne(t,r),i=i===void 0?t.length:xne(t,i);for(let o=r;o<i&&o<t.length;o++)t[o]!==void 0&&e.push(t[o]);return e}function Tf(e,t,r){return Nr(e,t,r)?!1:(e.push(t),!0)}function Mg(e,t,r){return e?(Tf(e,t,r),e):[t]}function v1e(e,t,r){t.sort((i,o)=>r(e[i],e[o])||rl(i,o))}function uS(e,t){return e.length===0?e:e.slice().sort(t)}function*dG(e){for(let t=e.length-1;t>=0;t--)yield e[t]}function Dv(e,t){const r=OM(e);return v1e(e,r,t),r.map(i=>e[i])}function pG(e,t,r,i){for(;r<i;){if(e[r]!==t[r])return!1;r++}return!0}function Xc(e){return e===void 0||e.length===0?void 0:e[0]}function L6(e){if(e)for(const t of e)return t}function Ha(e){return N.assert(e.length!==0),e[0]}function fG(e){for(const t of e)return t;N.fail("iterator is empty")}function tl(e){return e===void 0||e.length===0?void 0:e[e.length-1]}function Ya(e){return N.assert(e.length!==0),e[e.length-1]}function sh(e){return e&&e.length===1?e[0]:void 0}function mG(e){return N.checkDefined(sh(e))}function lh(e){return e&&e.length===1?e[0]:e}function _G(e,t,r){const i=e.slice(0);return i[t]=r,i}function Nv(e,t,r,i,o){return bA(e,r(t),r,i,o)}function bA(e,t,r,i,o){if(!bt(e))return-1;let s=o||0,l=e.length-1;for(;s<=l;){const u=s+(l-s>>1),p=r(e[u],u);switch(i(p,t)){case-1:s=u+1;break;case 0:return u;case 1:l=u-1;break}}return~s}function od(e,t,r,i,o){if(e&&e.length>0){const s=e.length;if(s>0){let l=i===void 0||i<0?0:i;const u=o===void 0||l+o>s-1?s-1:l+o;let p;for(arguments.length<=2?(p=e[l],l++):p=r;l<=u;)p=t(p,e[l],l),l++;return p}}return r}function xs(e,t){return Ny.call(e,t)}function k6(e,t){return Ny.call(e,t)?e[t]:void 0}function Xh(e){const t=[];for(const r in e)Ny.call(e,r)&&t.push(r);return t}function y1e(e){const t=[];do{const r=Object.getOwnPropertyNames(e);for(const i of r)Tf(t,i)}while(e=Object.getPrototypeOf(e));return t}function EA(e){const t=[];for(const r in e)Ny.call(e,r)&&t.push(e[r]);return t}function Rne(e,t){const r=new Array(e);for(let i=0;i<e;i++)r[i]=t(i);return r}function zo(e,t){const r=[];for(const i of e)r.push(t?t(i):i);return r}function Fx(e,...t){for(const r of t)if(r!==void 0)for(const i in r)xs(r,i)&&(e[i]=r[i]);return e}function Dne(e,t,r=Mv){if(e===t)return!0;if(!e||!t)return!1;for(const i in e)if(Ny.call(e,i)&&(!Ny.call(t,i)||!r(e[i],t[i])))return!1;for(const i in t)if(Ny.call(t,i)&&!Ny.call(e,i))return!1;return!0}function NE(e,t,r=nl){const i=new Map;for(const o of e){const s=t(o);s!==void 0&&i.set(s,r(o))}return i}function Nne(e,t,r=nl){const i=[];for(const o of e)i[t(o)]=r(o);return i}function FM(e,t,r=nl){const i=Zp();for(const o of e)i.add(t(o),r(o));return i}function YN(e,t,r=nl){return zo(FM(e,t).values(),r)}function w6(e,t){const r={};if(e)for(const i of e){const o=`${t(i)}`;(r[o]??(r[o]=[])).push(i)}return r}function hG(e){const t={};for(const r in e)Ny.call(e,r)&&(t[r]=e[r]);return t}function O6(e,t){const r={};for(const i in t)Ny.call(t,i)&&(r[i]=t[i]);for(const i in e)Ny.call(e,i)&&(r[i]=e[i]);return r}function gG(e,t){for(const r in t)Ny.call(t,r)&&(e[r]=t[r])}function oa(e,t){return t?t.bind(e):void 0}function Zp(){const e=new Map;return e.add=C9e,e.remove=P9e,e}function C9e(e,t){let r=this.get(e);return r?r.push(t):this.set(e,r=[t]),r}function P9e(e,t){const r=this.get(e);r&&(TA(r,t),r.length||this.delete(e))}function zM(e){const t=(e==null?void 0:e.slice())||[];let r=0;function i(){return r===t.length}function o(...l){t.push(...l)}function s(){if(i())throw new Error("Queue is empty");const l=t[r];if(t[r]=void 0,r++,r>100&&r>t.length>>1){const u=t.length-r;t.copyWithin(0,r),t.length=u,r=0}return l}return{enqueue:o,dequeue:s,isEmpty:i}}function vG(e,t){const r=new Map;let i=0;function*o(){for(const l of r.values())To(l)?yield*l:yield l}const s={has(l){const u=e(l);if(!r.has(u))return!1;const p=r.get(u);if(!To(p))return t(p,l);for(const h of p)if(t(h,l))return!0;return!1},add(l){const u=e(l);if(r.has(u)){const p=r.get(u);if(To(p))Nr(p,l,t)||(p.push(l),i++);else{const h=p;t(h,l)||(r.set(u,[h,l]),i++)}}else r.set(u,l),i++;return this},delete(l){const u=e(l);if(!r.has(u))return!1;const p=r.get(u);if(To(p)){for(let h=0;h<p.length;h++)if(t(p[h],l))return p.length===1?r.delete(u):p.length===2?r.set(u,p[1-h]):EG(p,h),i--,!0}else if(t(p,l))return r.delete(u),i--,!0;return!1},clear(){r.clear(),i=0},get size(){return i},forEach(l){for(const u of zo(r.values()))if(To(u))for(const p of u)l(p,p,s);else{const p=u;l(p,p,s)}},keys(){return o()},values(){return o()},*entries(){for(const l of o())yield[l,l]},[Symbol.iterator]:()=>o(),[Symbol.toStringTag]:r[Symbol.toStringTag]};return s}function To(e){return Array.isArray(e)}function SA(e){return To(e)?e:[e]}function Po(e){return typeof e=="string"}function Cv(e){return typeof e=="number"}function ii(e,t){return e!==void 0&&t(e)?e:void 0}function aa(e,t){return e!==void 0&&t(e)?e:N.fail(`Invalid cast. The supplied value ${e} did not pass the test '${N.getFunctionName(t)}'.`)}function $a(e){}function Xm(){return!1}function Pv(){return!0}function r0(){}function nl(e){return e}function Cne(e){return e.toLowerCase()}function ch(e){return Vne.test(e)?e.replace(Vne,Cne):e}function Ko(){throw new Error("Not implemented")}function Td(e){let t;return()=>(e&&(t=e(),e=void 0),t)}function uh(e){const t=new Map;return r=>{const i=`${typeof r}:${r}`;let o=t.get(i);return o===void 0&&!t.has(i)&&(o=e(r),t.set(i,o)),o}}function b1e(e){const t=new WeakMap;return r=>{let i=t.get(r);return i===void 0&&!t.has(r)&&(i=e(r),t.set(r,i)),i}}function Pne(e,t){return(...r)=>{let i=t.get(r);return i===void 0&&!t.has(r)&&(i=e(...r),t.set(r,i)),i}}function E1e(e,t,r,i,o){if(o){const s=[];for(let l=0;l<arguments.length;l++)s[l]=arguments[l];return l=>od(s,(u,p)=>p(u),l)}else return i?s=>i(r(t(e(s)))):r?s=>r(t(e(s))):t?s=>t(e(s)):e?s=>e(s):s=>s}function Mv(e,t){return e===t}function i0(e,t){return e===t||e!==void 0&&t!==void 0&&e.toUpperCase()===t.toUpperCase()}function dS(e,t){return Mv(e,t)}function S1e(e,t){return e===t?0:e===void 0?-1:t===void 0?1:e<t?-1:1}function rl(e,t){return S1e(e,t)}function W6(e,t){return rl(e==null?void 0:e.start,t==null?void 0:t.start)||rl(e==null?void 0:e.length,t==null?void 0:t.length)}function yG(e,t){return od(e,(r,i)=>t(r,i)===-1?r:i)}function F6(e,t){return e===t?0:e===void 0?-1:t===void 0?1:(e=e.toUpperCase(),t=t.toUpperCase(),e<t?-1:e>t?1:0)}function Mne(e,t){return e===t?0:e===void 0?-1:t===void 0?1:(e=e.toLowerCase(),t=t.toLowerCase(),e<t?-1:e>t?1:0)}function Uu(e,t){return S1e(e,t)}function zx(e){return e?F6:Uu}function Lne(){return RG}function kne(e){RG!==e&&(RG=e,jne=void 0)}function BM(e,t){return(jne||(jne=I1e(RG)))(e,t)}function wne(e,t,r,i){return e===t?0:e===void 0?-1:t===void 0?1:i(e[r],t[r])}function Ry(e,t){return rl(e?1:0,t?1:0)}function $N(e,t,r){const i=Math.max(2,Math.floor(e.length*.34));let o=Math.floor(e.length*.4)+1,s;for(const l of t){const u=r(l);if(u!==void 0&&Math.abs(u.length-e.length)<=i){if(u===e||u.length<3&&u.toLowerCase()!==e.toLowerCase())continue;const p=M9e(e,u,o-.1);if(p===void 0)continue;N.assert(p<o),o=p,s=l}}return s}function M9e(e,t,r){let i=new Array(t.length+1),o=new Array(t.length+1);const s=r+.01;for(let u=0;u<=t.length;u++)i[u]=u;for(let u=1;u<=e.length;u++){const p=e.charCodeAt(u-1),h=Math.ceil(u>r?u-r:1),m=Math.floor(t.length>r+u?r+u:t.length);o[0]=u;let b=u;for(let I=1;I<h;I++)o[I]=s;for(let I=h;I<=m;I++){const R=e[u-1].toLowerCase()===t[I-1].toLowerCase()?i[I-1]+.1:i[I-1]+2,M=p===t.charCodeAt(I-1)?i[I-1]:Math.min(i[I]+1,o[I-1]+1,R);o[I]=M,b=Math.min(b,M)}for(let I=m+1;I<=t.length;I++)o[I]=s;if(b>r)return;const A=i;i=o,o=A}const l=i[t.length];return l>r?void 0:l}function Al(e,t,r){const i=e.length-t.length;return i>=0&&(r?i0(e.slice(i),t):e.indexOf(t,i)===i)}function Bx(e,t){return Al(e,t)?e.slice(0,e.length-t.length):e}function One(e,t){return Al(e,t)?e.slice(0,e.length-t.length):void 0}function bG(e){let t=e.length;for(let r=t-1;r>0;r--){let i=e.charCodeAt(r);if(i>=48&&i<=57)do--r,i=e.charCodeAt(r);while(r>0&&i>=48&&i<=57);else if(r>4&&(i===110||i===78)){if(--r,i=e.charCodeAt(r),i!==105&&i!==73||(--r,i=e.charCodeAt(r),i!==109&&i!==77))break;--r,i=e.charCodeAt(r)}else break;if(i!==45&&i!==46)break;t=r}return t===e.length?e:e.slice(0,t)}function Gx(e,t){for(let r=0;r<e.length;r++)if(e[r]===t)return Dy(e,r),!0;return!1}function Dy(e,t){for(let r=t;r<e.length-1;r++)e[r]=e[r+1];e.pop()}function EG(e,t){e[t]=e[e.length-1],e.pop()}function TA(e,t){return L9e(e,r=>r===t)}function L9e(e,t){for(let r=0;r<e.length;r++)if(t(e[r]))return EG(e,r),!0;return!1}function Mu(e){return e?nl:ch}function Wne({prefix:e,suffix:t}){return`${e}*${t}`}function Fne(e,t){return N.assert(z6(e,t)),t.substring(e.prefix.length,t.length-e.suffix.length)}function SG(e,t,r){let i,o=-1;for(const s of e){const l=t(s);z6(l,r)&&l.prefix.length>o&&(o=l.prefix.length,i=s)}return i}function uo(e,t,r){return r?i0(e.slice(0,t.length),t):e.lastIndexOf(t,0)===0}function QN(e,t){return uo(e,t)?e.substr(t.length):e}function TG(e,t,r=nl){return uo(r(e),r(t))?e.substring(t.length):void 0}function z6({prefix:e,suffix:t},r){return r.length>=e.length+t.length&&uo(r,e)&&Al(r,t)}function B6(e,t){return r=>e(r)&&t(r)}function Ym(...e){return(...t)=>{let r;for(const i of e)if(r=i(...t),r)return r;return r}}function G6(e){return(...t)=>!e(...t)}function T1e(e){}function AA(e){return e===void 0?void 0:[e]}function V6(e,t,r,i,o,s){s=s||$a;let l=0,u=0;const p=e.length,h=t.length;let m=!1;for(;l<p&&u<h;){const b=e[l],A=t[u],I=r(b,A);I===-1?(i(b),l++,m=!0):I===1?(o(A),u++,m=!0):(s(A,b),l++,u++)}for(;l<p;)i(e[l++]),m=!0;for(;u<h;)o(t[u++]),m=!0;return m}function zne(e){const t=[];return A1e(e,t,void 0,0),t}function A1e(e,t,r,i){for(const o of e[i]){let s;r?(s=r.slice(),s.push(o)):s=[o],i===e.length-1?t.push(s):A1e(e,t,s,i+1)}}function j6(e,t){if(e){const r=e.length;let i=0;for(;i<r&&t(e[i]);)i++;return e.slice(0,i)}}function Bne(e,t){if(e){const r=e.length;let i=0;for(;i<r&&t(e[i]);)i++;return e.slice(i)}}function AG(){return typeof process<"u"&&!!process.nextTick&&!process.browser&&typeof eG=="object"}var et,U6,Gne,IG,Lv,Ny,Vne,xG,I1e,jne,RG,k9e=T({"src/compiler/core.ts"(){ra(),et=[],U6=new Map,Gne=new Set,IG=(e=>(e[e.None=0]="None",e[e.CaseSensitive=1]="CaseSensitive",e[e.CaseInsensitive=2]="CaseInsensitive",e[e.Both=3]="Both",e))(IG||{}),Lv=Array.prototype.at?(e,t)=>e==null?void 0:e.at(t):(e,t)=>{if(e&&(t=xne(e,t),t<e.length))return e[t]},Ny=Object.prototype.hasOwnProperty,Vne=/[^\u0130\u0131\u00DFa-z0-9\\/:\-_. ]+/g,xG=(e=>(e[e.None=0]="None",e[e.Normal=1]="Normal",e[e.Aggressive=2]="Aggressive",e[e.VeryAggressive=3]="VeryAggressive",e))(xG||{}),I1e=(()=>{return t;function e(r,i,o){if(r===i)return 0;if(r===void 0)return-1;if(i===void 0)return 1;const s=o(r,i);return s<0?-1:s>0?1:0}function t(r){const i=new Intl.Collator(r,{usage:"sort",sensitivity:"variant"}).compare;return(o,s)=>e(o,s,i)}})()}}),DG,N,w9e=T({"src/compiler/debug.ts"(){ra(),ra(),DG=(e=>(e[e.Off=0]="Off",e[e.Error=1]="Error",e[e.Warning=2]="Warning",e[e.Info=3]="Info",e[e.Verbose=4]="Verbose",e))(DG||{}),(e=>{let t=0;e.currentLogLevel=2,e.isDebugging=!1;function r(sn){return e.currentLogLevel<=sn}e.shouldLog=r;function i(sn,tt){e.loggingHost&&r(sn)&&e.loggingHost.log(sn,tt)}function o(sn){i(3,sn)}e.log=o,(sn=>{function tt(hi){i(1,hi)}sn.error=tt;function zt(hi){i(2,hi)}sn.warn=zt;function Rn(hi){i(3,hi)}sn.log=Rn;function li(hi){i(4,hi)}sn.trace=li})(o=e.log||(e.log={}));const s={};function l(){return t}e.getAssertionLevel=l;function u(sn){const tt=t;if(t=sn,sn>tt)for(const zt of Xh(s)){const Rn=s[zt];Rn!==void 0&&e[zt]!==Rn.assertion&&sn>=Rn.level&&(e[zt]=Rn,s[zt]=void 0)}}e.setAssertionLevel=u;function p(sn){return t>=sn}e.shouldAssert=p;function h(sn,tt){return p(sn)?!0:(s[tt]={level:sn,assertion:e[tt]},e[tt]=$a,!1)}function m(sn,tt){const zt=new Error(sn?`Debug Failure. ${sn}`:"Debug Failure.");throw Error.captureStackTrace&&Error.captureStackTrace(zt,tt||m),zt}e.fail=m;function b(sn,tt,zt){return m(`${tt||"Unexpected node."}\r
+Node ${ve(sn.kind)} was unexpected.`,zt||b)}e.failBadSyntaxKind=b;function A(sn,tt,zt,Rn){sn||(tt=tt?`False expression: ${tt}`:"False expression.",zt&&(tt+=`\r
+Verbose Debug Information: `+(typeof zt=="string"?zt:zt())),m(tt,Rn||A))}e.assert=A;function I(sn,tt,zt,Rn,li){if(sn!==tt){const hi=zt?Rn?`${zt} ${Rn}`:zt:"";m(`Expected ${sn} === ${tt}. ${hi}`,li||I)}}e.assertEqual=I;function R(sn,tt,zt,Rn){sn>=tt&&m(`Expected ${sn} < ${tt}. ${zt||""}`,Rn||R)}e.assertLessThan=R;function M(sn,tt,zt){sn>tt&&m(`Expected ${sn} <= ${tt}`,zt||M)}e.assertLessThanOrEqual=M;function C(sn,tt,zt){sn<tt&&m(`Expected ${sn} >= ${tt}`,zt||C)}e.assertGreaterThanOrEqual=C;function O(sn,tt,zt){sn==null&&m(tt,zt||O)}e.assertIsDefined=O;function U(sn,tt,zt){return O(sn,tt,zt||U),sn}e.checkDefined=U;function K(sn,tt,zt){for(const Rn of sn)O(Rn,tt,zt||K)}e.assertEachIsDefined=K;function Z(sn,tt,zt){return K(sn,tt,zt||Z),sn}e.checkEachDefined=Z;function V(sn,tt="Illegal value:",zt){const Rn=typeof sn=="object"&&xs(sn,"kind")&&xs(sn,"pos")?"SyntaxKind: "+ve(sn.kind):JSON.stringify(sn);return m(`${tt} ${Rn}`,zt||V)}e.assertNever=V;function se(sn,tt,zt,Rn){h(1,"assertEachNode")&&A(tt===void 0||Zn(sn,tt),zt||"Unexpected node.",()=>`Node array did not pass test '${oe(tt)}'.`,Rn||se)}e.assertEachNode=se;function G(sn,tt,zt,Rn){h(1,"assertNode")&&A(sn!==void 0&&(tt===void 0||tt(sn)),zt||"Unexpected node.",()=>`Node ${ve(sn==null?void 0:sn.kind)} did not pass test '${oe(tt)}'.`,Rn||G)}e.assertNode=G;function ne(sn,tt,zt,Rn){h(1,"assertNotNode")&&A(sn===void 0||tt===void 0||!tt(sn),zt||"Unexpected node.",()=>`Node ${ve(sn.kind)} should not have passed test '${oe(tt)}'.`,Rn||ne)}e.assertNotNode=ne;function he(sn,tt,zt,Rn){h(1,"assertOptionalNode")&&A(tt===void 0||sn===void 0||tt(sn),zt||"Unexpected node.",()=>`Node ${ve(sn==null?void 0:sn.kind)} did not pass test '${oe(tt)}'.`,Rn||he)}e.assertOptionalNode=he;function ye(sn,tt,zt,Rn){h(1,"assertOptionalToken")&&A(tt===void 0||sn===void 0||sn.kind===tt,zt||"Unexpected node.",()=>`Node ${ve(sn==null?void 0:sn.kind)} was not a '${ve(tt)}' token.`,Rn||ye)}e.assertOptionalToken=ye;function $(sn,tt,zt){h(1,"assertMissingNode")&&A(sn===void 0,tt||"Unexpected node.",()=>`Node ${ve(sn.kind)} was unexpected'.`,zt||$)}e.assertMissingNode=$;function Y(sn){}e.type=Y;function oe(sn){if(typeof sn!="function")return"";if(xs(sn,"name"))return sn.name;{const tt=Function.prototype.toString.call(sn),zt=/^function\s+([\w$]+)\s*\(/.exec(tt);return zt?zt[1]:""}}e.getFunctionName=oe;function fe(sn){return`{ name: ${Vi(sn.escapedName)}; flags: ${At(sn.flags)}; declarations: ${wt(sn.declarations,tt=>ve(tt.kind))} }`}e.formatSymbol=fe;function Ne(sn=0,tt,zt){const Rn=ie(tt);if(sn===0)return Rn.length>0&&Rn[0][0]===0?Rn[0][1]:"0";if(zt){const li=[];let hi=sn;for(const[Qi,Io]of Rn){if(Qi>sn)break;Qi!==0&&Qi&sn&&(li.push(Io),hi&=~Qi)}if(hi===0)return li.join("|")}else for(const[li,hi]of Rn)if(li===sn)return hi;return sn.toString()}e.formatEnum=Ne;const pe=new Map;function ie(sn){const tt=pe.get(sn);if(tt)return tt;const zt=[];for(const li in sn){const hi=sn[li];typeof hi=="number"&&zt.push([hi,li])}const Rn=Dv(zt,(li,hi)=>rl(li[0],hi[0]));return pe.set(sn,Rn),Rn}function ve(sn){return Ne(sn,q6,!1)}e.formatSyntaxKind=ve;function Le(sn){return Ne(sn,o4,!1)}e.formatSnippetKind=Le;function He(sn){return Ne(sn,r4,!1)}e.formatScriptKind=He;function Ee(sn){return Ne(sn,J6,!0)}e.formatNodeFlags=Ee;function De(sn){return Ne(sn,K6,!0)}e.formatModifierFlags=De;function Pe(sn){return Ne(sn,i4,!0)}e.formatTransformFlags=Pe;function We(sn){return Ne(sn,a4,!0)}e.formatEmitFlags=We;function At(sn){return Ne(sn,Z6,!0)}e.formatSymbolFlags=At;function Se(sn){return Ne(sn,e4,!0)}e.formatTypeFlags=Se;function je(sn){return Ne(sn,n4,!0)}e.formatSignatureFlags=je;function at(sn){return Ne(sn,t4,!0)}e.formatObjectFlags=at;function Gt(sn){return Ne(sn,UM,!0)}e.formatFlowFlags=Gt;function vt(sn){return Ne(sn,X6,!0)}e.formatRelationComparisonResult=vt;function $e(sn){return Ne(sn,YF,!0)}e.formatCheckMode=$e;function It(sn){return Ne(sn,$F,!0)}e.formatSignatureCheckMode=It;function tn(sn){return Ne(sn,XF,!0)}e.formatTypeFacts=tn;let rn=!1,Pn;function Xn(sn){"__debugFlowFlags"in sn||Object.defineProperties(sn,{__tsDebuggerDisplay:{value(){const tt=this.flags&2?"FlowStart":this.flags&4?"FlowBranchLabel":this.flags&8?"FlowLoopLabel":this.flags&16?"FlowAssignment":this.flags&32?"FlowTrueCondition":this.flags&64?"FlowFalseCondition":this.flags&128?"FlowSwitchClause":this.flags&256?"FlowArrayMutation":this.flags&512?"FlowCall":this.flags&1024?"FlowReduceLabel":this.flags&1?"FlowUnreachable":"UnknownFlow",zt=this.flags&-2048;return`${tt}${zt?` (${Gt(zt)})`:""}`}},__debugFlowFlags:{get(){return Ne(this.flags,UM,!0)}},__debugToString:{value(){return Ar(this)}}})}function hn(sn){rn&&(typeof Object.setPrototypeOf=="function"?(Pn||(Pn=Object.create(Object.prototype),Xn(Pn)),Object.setPrototypeOf(sn,Pn)):Xn(sn))}e.attachFlowNodeDebugInfo=hn;let Qt;function $t(sn){"__tsDebuggerDisplay"in sn||Object.defineProperties(sn,{__tsDebuggerDisplay:{value(tt){return tt=String(tt).replace(/(?:,[\s\w\d_]+:[^,]+)+\]$/,"]"),`NodeArray ${tt}`}}})}function _i(sn){rn&&(typeof Object.setPrototypeOf=="function"?(Qt||(Qt=Object.create(Array.prototype),$t(Qt)),Object.setPrototypeOf(sn,Qt)):$t(sn))}e.attachNodeArrayDebugInfo=_i;function ao(){if(rn)return;const sn=new WeakMap,tt=new WeakMap;Object.defineProperties(lu.getSymbolConstructor().prototype,{__tsDebuggerDisplay:{value(){const Rn=this.flags&33554432?"TransientSymbol":"Symbol",li=this.flags&-33554433;return`${Rn} '${Sl(this)}'${li?` (${At(li)})`:""}`}},__debugFlags:{get(){return At(this.flags)}}}),Object.defineProperties(lu.getTypeConstructor().prototype,{__tsDebuggerDisplay:{value(){const Rn=this.flags&67359327?`IntrinsicType ${this.intrinsicName}${this.debugIntrinsicName?` (${this.debugIntrinsicName})`:""}`:this.flags&98304?"NullableType":this.flags&384?`LiteralType ${JSON.stringify(this.value)}`:this.flags&2048?`LiteralType ${this.value.negative?"-":""}${this.value.base10Value}n`:this.flags&8192?"UniqueESSymbolType":this.flags&32?"EnumType":this.flags&1048576?"UnionType":this.flags&2097152?"IntersectionType":this.flags&4194304?"IndexType":this.flags&8388608?"IndexedAccessType":this.flags&16777216?"ConditionalType":this.flags&33554432?"SubstitutionType":this.flags&262144?"TypeParameter":this.flags&524288?this.objectFlags&3?"InterfaceType":this.objectFlags&4?"TypeReference":this.objectFlags&8?"TupleType":this.objectFlags&16?"AnonymousType":this.objectFlags&32?"MappedType":this.objectFlags&1024?"ReverseMappedType":this.objectFlags&256?"EvolvingArrayType":"ObjectType":"Type",li=this.flags&524288?this.objectFlags&-1344:0;return`${Rn}${this.symbol?` '${Sl(this.symbol)}'`:""}${li?` (${at(li)})`:""}`}},__debugFlags:{get(){return Se(this.flags)}},__debugObjectFlags:{get(){return this.flags&524288?at(this.objectFlags):""}},__debugTypeToString:{value(){let Rn=sn.get(this);return Rn===void 0&&(Rn=this.checker.typeToString(this),sn.set(this,Rn)),Rn}}}),Object.defineProperties(lu.getSignatureConstructor().prototype,{__debugFlags:{get(){return je(this.flags)}},__debugSignatureToString:{value(){var Rn;return(Rn=this.checker)==null?void 0:Rn.signatureToString(this)}}});const zt=[lu.getNodeConstructor(),lu.getIdentifierConstructor(),lu.getTokenConstructor(),lu.getSourceFileConstructor()];for(const Rn of zt)xs(Rn.prototype,"__debugKind")||Object.defineProperties(Rn.prototype,{__tsDebuggerDisplay:{value(){return`${sl(this)?"GeneratedIdentifier":Ve(this)?`Identifier '${Sr(this)}'`:Ji(this)?`PrivateIdentifier '${Sr(this)}'`:Ma(this)?`StringLiteral ${JSON.stringify(this.text.length<10?this.text:this.text.slice(10)+"...")}`:vp(this)?`NumericLiteral ${this.text}`:YW(this)?`BigIntLiteral ${this.text}n`:gl(this)?"TypeParameterDeclaration":Ao(this)?"ParameterDeclaration":Ml(this)?"ConstructorDeclaration":rf(this)?"GetAccessorDeclaration":bp(this)?"SetAccessorDeclaration":sI(this)?"CallSignatureDeclaration":Jk(this)?"ConstructSignatureDeclaration":t1(this)?"IndexSignatureDeclaration":Kk(this)?"TypePredicateNode":Cf(this)?"TypeReferenceNode":Eh(this)?"FunctionTypeNode":HR(this)?"ConstructorTypeNode":lI(this)?"TypeQueryNode":Ep(this)?"TypeLiteralNode":Xk(this)?"ArrayTypeNode":cI(this)?"TupleTypeNode":tF(this)?"OptionalTypeNode":nF(this)?"RestTypeNode":Qy(this)?"UnionTypeNode":uI(this)?"IntersectionTypeNode":dI(this)?"ConditionalTypeNode":BS(this)?"InferTypeNode":GS(this)?"ParenthesizedTypeNode":Yk(this)?"ThisTypeNode":VS(this)?"TypeOperatorNode":jS(this)?"IndexedAccessTypeNode":JR(this)?"MappedTypeNode":Zy(this)?"LiteralTypeNode":qR(this)?"NamedTupleMember":ug(this)?"ImportTypeNode":ve(this.kind)}${this.flags?` (${Ee(this.flags)})`:""}`}},__debugKind:{get(){return ve(this.kind)}},__debugNodeFlags:{get(){return Ee(this.flags)}},__debugModifierFlags:{get(){return De(hoe(this))}},__debugTransformFlags:{get(){return Pe(this.transformFlags)}},__debugIsParseTreeNode:{get(){return cC(this)}},__debugEmitFlags:{get(){return We(ja(this))}},__debugGetText:{value(li){if($s(this))return"";let hi=tt.get(this);if(hi===void 0){const Qi=Do(this),Io=Qi&&jn(Qi);hi=Io?OE(Io,Qi,li):"",tt.set(this,hi)}return hi}}});rn=!0}e.enableDebugInfo=ao;function Oi(sn){const tt=sn&7;let zt=tt===0?"in out":tt===3?"[bivariant]":tt===2?"in":tt===1?"out":tt===4?"[independent]":"";return sn&8?zt+=" (unmeasurable)":sn&16&&(zt+=" (unreliable)"),zt}e.formatVariance=Oi;class No{__debugToString(){var tt;switch(this.kind){case 3:return((tt=this.debugInfo)==null?void 0:tt.call(this))||"(function mapper)";case 0:return`${this.source.__debugTypeToString()} -> ${this.target.__debugTypeToString()}`;case 1:return yi(this.sources,this.targets||wt(this.sources,()=>"any"),(zt,Rn)=>`${zt.__debugTypeToString()} -> ${typeof Rn=="string"?Rn:Rn.__debugTypeToString()}`).join(", ");case 2:return yi(this.sources,this.targets,(zt,Rn)=>`${zt.__debugTypeToString()} -> ${Rn().__debugTypeToString()}`).join(", ");case 5:case 4:return`m1: ${this.mapper1.__debugToString().split(`
+`).join(`
+ `)}
+m2: ${this.mapper2.__debugToString().split(`
+`).join(`
+ `)}`;default:return V(this)}}}e.DebugTypeMapper=No;function Mn(sn){return e.isDebugging?Object.setPrototypeOf(sn,No.prototype):sn}e.attachDebugPrototypeIfDebug=Mn;function Di(sn){return console.log(Ar(sn))}e.printControlFlowGraph=Di;function Ar(sn){let tt=-1;function zt(L){return L.id||(L.id=tt,tt--),L.id}let Rn;(L=>{L.lr="─",L.ud="│",L.dr="╭",L.dl="╮",L.ul="╯",L.ur="╰",L.udr="├",L.udl="┤",L.dlr="┬",L.ulr="┴",L.udlr="╫"})(Rn||(Rn={}));let li;(L=>{L[L.None=0]="None",L[L.Up=1]="Up",L[L.Down=2]="Down",L[L.Left=4]="Left",L[L.Right=8]="Right",L[L.UpDown=3]="UpDown",L[L.LeftRight=12]="LeftRight",L[L.UpLeft=5]="UpLeft",L[L.UpRight=9]="UpRight",L[L.DownLeft=6]="DownLeft",L[L.DownRight=10]="DownRight",L[L.UpDownLeft=7]="UpDownLeft",L[L.UpDownRight=11]="UpDownRight",L[L.UpLeftRight=13]="UpLeftRight",L[L.DownLeftRight=14]="DownLeftRight",L[L.UpDownLeftRight=15]="UpDownLeftRight",L[L.NoChildren=16]="NoChildren"})(li||(li={}));const hi=2032,Qi=882,Io=Object.create(null),ma=[],Wa=pt(sn,new Set);for(const L of ma)L.text=fn(L.flowNode,L.circular),ot(L);const Sn=Rt(Wa),kn=Ye(Sn);return _t(Wa,0),_n();function qe(L){return!!(L.flags&128)}function mt(L){return!!(L.flags&12)&&!!L.antecedents}function ft(L){return!!(L.flags&hi)}function Ct(L){return!!(L.flags&Qi)}function le(L){const _e=[];for(const J of L.edges)J.source===L&&_e.push(J.target);return _e}function Fe(L){const _e=[];for(const J of L.edges)J.target===L&&_e.push(J.source);return _e}function pt(L,_e){const J=zt(L);let me=Io[J];if(me&&_e.has(L))return me.circular=!0,me={id:-1,flowNode:L,edges:[],text:"",lane:-1,endLane:-1,level:-1,circular:"circularity"},ma.push(me),me;if(_e.add(L),!me)if(Io[J]=me={id:J,flowNode:L,edges:[],text:"",lane:-1,endLane:-1,level:-1,circular:!1},ma.push(me),mt(L))for(const Ge of L.antecedents)j(me,Ge,_e);else ft(L)&&j(me,L.antecedent,_e);return _e.delete(L),me}function j(L,_e,J){const me=pt(_e,J),Ge={source:L,target:me};L.edges.push(Ge),me.edges.push(Ge)}function ot(L){if(L.level!==-1)return L.level;let _e=0;for(const J of Fe(L))_e=Math.max(_e,ot(J)+1);return L.level=_e}function Rt(L){let _e=0;for(const J of le(L))_e=Math.max(_e,Rt(J));return _e+1}function Ye(L){const _e=Oe(Array(L),0);for(const J of ma)_e[J.level]=Math.max(_e[J.level],J.text.length);return _e}function _t(L,_e){if(L.lane===-1){L.lane=_e,L.endLane=_e;const J=le(L);for(let me=0;me<J.length;me++){me>0&&_e++;const Ge=J[me];_t(Ge,_e),Ge.endLane>L.endLane&&(_e=Ge.endLane)}L.endLane=_e}}function Vt(L){if(L&2)return"Start";if(L&4)return"Branch";if(L&8)return"Loop";if(L&16)return"Assignment";if(L&32)return"True";if(L&64)return"False";if(L&128)return"SwitchClause";if(L&256)return"ArrayMutation";if(L&512)return"Call";if(L&1024)return"ReduceLabel";if(L&1)return"Unreachable";throw new Error}function vn(L){const _e=jn(L);return OE(_e,L,!1)}function fn(L,_e){let J=Vt(L.flags);if(_e&&(J=`${J}#${zt(L)}`),Ct(L))L.node&&(J+=` (${vn(L.node)})`);else if(qe(L)){const me=[];for(let Ge=L.clauseStart;Ge<L.clauseEnd;Ge++){const ke=L.switchStatement.caseBlock.clauses[Ge];AP(ke)?me.push("default"):me.push(vn(ke.expression))}J+=` (${me.join(", ")})`}return _e==="circularity"?`Circular(${J})`:J}function _n(){const L=kn.length,_e=ma.reduce((Tt,kt)=>Math.max(Tt,kt.lane),0)+1,J=Oe(Array(_e),""),me=kn.map(()=>Array(_e)),Ge=kn.map(()=>Oe(Array(_e),0));for(const Tt of ma){me[Tt.level][Tt.lane]=Tt;const kt=le(Tt);for(let bn=0;bn<kt.length;bn++){const Bn=kt[bn];let Hn=8;Bn.lane===Tt.lane&&(Hn|=4),bn>0&&(Hn|=1),bn<kt.length-1&&(Hn|=2),Ge[Tt.level][Bn.lane]|=Hn}kt.length===0&&(Ge[Tt.level][Tt.lane]|=16);const en=Fe(Tt);for(let bn=0;bn<en.length;bn++){const Bn=en[bn];let Hn=4;bn>0&&(Hn|=1),bn<en.length-1&&(Hn|=2),Ge[Tt.level-1][Bn.lane]|=Hn}}for(let Tt=0;Tt<L;Tt++)for(let kt=0;kt<_e;kt++){const en=Tt>0?Ge[Tt-1][kt]:0,bn=kt>0?Ge[Tt][kt-1]:0;let Bn=Ge[Tt][kt];Bn||(en&8&&(Bn|=12),bn&2&&(Bn|=3),Ge[Tt][kt]=Bn)}for(let Tt=0;Tt<L;Tt++)for(let kt=0;kt<J.length;kt++){const en=Ge[Tt][kt],bn=en&4?"─":" ",Bn=me[Tt][kt];Bn?(ke(kt,Bn.text),Tt<L-1&&(ke(kt," "),ke(kt,Lt(bn,kn[Tt]-Bn.text.length)))):Tt<L-1&&ke(kt,Lt(bn,kn[Tt]+1)),ke(kt,q(en)),ke(kt,en&8&&Tt<L-1&&!me[Tt+1][kt]?"─":" ")}return`
+${J.join(`
+`)}
+`;function ke(Tt,kt){J[Tt]+=kt}}function q(L){switch(L){case 3:return"│";case 12:return"─";case 5:return"╯";case 9:return"╰";case 6:return"╮";case 10:return"╭";case 7:return"┤";case 11:return"├";case 13:return"┴";case 14:return"┬";case 15:return"╫"}return" "}function Oe(L,_e){if(L.fill)L.fill(_e);else for(let J=0;J<L.length;J++)L[J]=_e;return L}function Lt(L,_e){if(L.repeat)return _e>0?L.repeat(_e):"";let J="";for(;J.length<_e;)J+=L;return J}}e.formatControlFlowGraph=Ar})(N||(N={}))}});function x1e(e){const t=D1e.exec(e);if(!t)return;const[,r,i="0",o="0",s="",l=""]=t;if(!(s&&!N1e.test(s))&&!(l&&!P1e.test(l)))return{major:parseInt(r,10),minor:parseInt(i,10),patch:parseInt(o,10),prerelease:s,build:l}}function O9e(e,t){if(e===t)return 0;if(e.length===0)return t.length===0?0:1;if(t.length===0)return-1;const r=Math.min(e.length,t.length);for(let i=0;i<r;i++){const o=e[i],s=t[i];if(o===s)continue;const l=Hne.test(o),u=Hne.test(s);if(l||u){if(l!==u)return l?-1:1;const p=rl(+o,+s);if(p)return p}else{const p=Uu(o,s);if(p)return p}}return rl(e.length,t.length)}function R1e(e){const t=[];for(let r of e.trim().split(L1e)){if(!r)continue;const i=[];r=r.trim();const o=O1e.exec(r);if(o){if(!W9e(o[1],o[2],i))return}else for(const s of r.split(k1e)){const l=W1e.exec(s.trim());if(!l||!F9e(l[1],l[2],i))return}t.push(i)}return t}function Une(e){const t=w1e.exec(e);if(!t)return;const[,r,i="*",o="*",s,l]=t;return{version:new ym(Kf(r)?0:parseInt(r,10),Kf(r)||Kf(i)?0:parseInt(i,10),Kf(r)||Kf(i)||Kf(o)?0:parseInt(o,10),s,l),major:r,minor:i,patch:o}}function W9e(e,t,r){const i=Une(e);if(!i)return!1;const o=Une(t);return o?(Kf(i.major)||r.push(dh(">=",i.version)),Kf(o.major)||r.push(Kf(o.minor)?dh("<",o.version.increment("major")):Kf(o.patch)?dh("<",o.version.increment("minor")):dh("<=",o.version)),!0):!1}function F9e(e,t,r){const i=Une(t);if(!i)return!1;const{version:o,major:s,minor:l,patch:u}=i;if(Kf(s))(e==="<"||e===">")&&r.push(dh("<",ym.zero));else switch(e){case"~":r.push(dh(">=",o)),r.push(dh("<",o.increment(Kf(l)?"major":"minor")));break;case"^":r.push(dh(">=",o)),r.push(dh("<",o.increment(o.major>0||Kf(l)?"major":o.minor>0||Kf(u)?"minor":"patch")));break;case"<":case">=":r.push(Kf(l)||Kf(u)?dh(e,o.with({prerelease:"0"})):dh(e,o));break;case"<=":case">":r.push(Kf(l)?dh(e==="<="?"<":">=",o.increment("major").with({prerelease:"0"})):Kf(u)?dh(e==="<="?"<":">=",o.increment("minor").with({prerelease:"0"})):dh(e,o));break;case"=":case void 0:Kf(l)||Kf(u)?(r.push(dh(">=",o.with({prerelease:"0"}))),r.push(dh("<",o.increment(Kf(l)?"major":"minor").with({prerelease:"0"})))):r.push(dh("=",o));break;default:return!1}return!0}function Kf(e){return e==="*"||e==="x"||e==="X"}function dh(e,t){return{operator:e,operand:t}}function z9e(e,t){if(t.length===0)return!0;for(const r of t)if(B9e(e,r))return!0;return!1}function B9e(e,t){for(const r of t)if(!G9e(e,r.operator,r.operand))return!1;return!0}function G9e(e,t,r){const i=e.compareTo(r);switch(t){case"<":return i<0;case"<=":return i<=0;case">":return i>0;case">=":return i>=0;case"=":return i===0;default:return N.assertNever(t)}}function V9e(e){return wt(e,j9e).join(" || ")||"*"}function j9e(e){return wt(e,U9e).join(" ")}function U9e(e){return`${e.operator}${e.operand}`}var D1e,N1e,C1e,P1e,M1e,Hne,NG,ym,GM,L1e,k1e,w1e,O1e,W1e,H9e=T({"src/compiler/semver.ts"(){ra(),D1e=/^(0|[1-9]\d*)(?:\.(0|[1-9]\d*)(?:\.(0|[1-9]\d*)(?:-([a-z0-9-.]+))?(?:\+([a-z0-9-.]+))?)?)?$/i,N1e=/^(?:0|[1-9]\d*|[a-z-][a-z0-9-]*)(?:\.(?:0|[1-9]\d*|[a-z-][a-z0-9-]*))*$/i,C1e=/^(?:0|[1-9]\d*|[a-z-][a-z0-9-]*)$/i,P1e=/^[a-z0-9-]+(?:\.[a-z0-9-]+)*$/i,M1e=/^[a-z0-9-]+$/i,Hne=/^(0|[1-9]\d*)$/,NG=class f6{constructor(t,r=0,i=0,o="",s=""){typeof t=="string"&&({major:t,minor:r,patch:i,prerelease:o,build:s}=N.checkDefined(x1e(t),"Invalid version")),N.assert(t>=0,"Invalid argument: major"),N.assert(r>=0,"Invalid argument: minor"),N.assert(i>=0,"Invalid argument: patch");const l=o?To(o)?o:o.split("."):et,u=s?To(s)?s:s.split("."):et;N.assert(Zn(l,p=>C1e.test(p)),"Invalid argument: prerelease"),N.assert(Zn(u,p=>M1e.test(p)),"Invalid argument: build"),this.major=t,this.minor=r,this.patch=i,this.prerelease=l,this.build=u}static tryParse(t){const r=x1e(t);if(!r)return;const{major:i,minor:o,patch:s,prerelease:l,build:u}=r;return new f6(i,o,s,l,u)}compareTo(t){return this===t?0:t===void 0?1:rl(this.major,t.major)||rl(this.minor,t.minor)||rl(this.patch,t.patch)||O9e(this.prerelease,t.prerelease)}increment(t){switch(t){case"major":return new f6(this.major+1,0,0);case"minor":return new f6(this.major,this.minor+1,0);case"patch":return new f6(this.major,this.minor,this.patch+1);default:return N.assertNever(t)}}with(t){const{major:r=this.major,minor:i=this.minor,patch:o=this.patch,prerelease:s=this.prerelease,build:l=this.build}=t;return new f6(r,i,o,s,l)}toString(){let t=`${this.major}.${this.minor}.${this.patch}`;return bt(this.prerelease)&&(t+=`-${this.prerelease.join(".")}`),bt(this.build)&&(t+=`+${this.build.join(".")}`),t}},NG.zero=new NG(0,0,0,["0"]),ym=NG,GM=class x7e{constructor(t){this._alternatives=t?N.checkDefined(R1e(t),"Invalid range spec."):et}static tryParse(t){const r=R1e(t);if(r){const i=new x7e("");return i._alternatives=r,i}}test(t){return typeof t=="string"&&(t=new ym(t)),z9e(t,this._alternatives)}toString(){return V9e(this._alternatives)}},L1e=/\|\|/g,k1e=/\s+/g,w1e=/^([xX*0]|[1-9]\d*)(?:\.([xX*0]|[1-9]\d*)(?:\.([xX*0]|[1-9]\d*)(?:-([a-z0-9-.]+))?(?:\+([a-z0-9-.]+))?)?)?$/i,O1e=/^\s*([a-z0-9-+.*]+)\s+-\s+([a-z0-9-+.*]+)\s*$/i,W1e=/^(~|\^|<|<=|>|>=|=)?\s*([a-z0-9-+.*]+)$/i}});function F1e(e,t){return typeof e=="object"&&typeof e.timeOrigin=="number"&&typeof e.mark=="function"&&typeof e.measure=="function"&&typeof e.now=="function"&&typeof e.clearMarks=="function"&&typeof e.clearMeasures=="function"&&typeof t=="function"}function q9e(){if(typeof performance=="object"&&typeof PerformanceObserver=="function"&&F1e(performance,PerformanceObserver))return{shouldWriteNativeEvents:!0,performance,PerformanceObserver}}function J9e(){if(AG())try{const{performance:e,PerformanceObserver:t}=IE("perf_hooks");if(F1e(e,t))return{shouldWriteNativeEvents:!1,performance:e,PerformanceObserver:t}}catch{}}function qne(){return CG}var CG,Jne,Ys,K9e=T({"src/compiler/performanceCore.ts"(){ra(),CG=q9e()||J9e(),Jne=CG==null?void 0:CG.performance,Ys=Jne?()=>Jne.now():Date.now?Date.now:()=>+new Date}}),H6,ad,X9e=T({"src/compiler/perfLogger.ts"(){try{const e=HN.TS_ETW_MODULE_PATH??"./node_modules/@microsoft/typescript-etw";H6=IE(e)}catch{H6=void 0}ad=H6!=null&&H6.logEvent?H6:void 0}});function z1e(e,t,r,i){return e?PG(t,r,i):MG}function PG(e,t,r){let i=0;return{enter:o,exit:s};function o(){++i===1&&il(t)}function s(){--i===0?(il(r),ef(e,t,r)):i<0&&N.fail("enter/exit count does not match.")}}function il(e){if(Vx){const t=ZN.get(e)??0;ZN.set(e,t+1),jx.set(e,Ys()),pS==null||pS.mark(e),typeof onProfilerEvent=="function"&&onProfilerEvent(e)}}function ef(e,t,r){if(Vx){const i=(r!==void 0?jx.get(r):void 0)??Ys(),o=(t!==void 0?jx.get(t):void 0)??Kne,s=Ux.get(e)||0;Ux.set(e,s+(i-o)),pS==null||pS.measure(e,t,r)}}function Y9e(e){return ZN.get(e)||0}function $9e(e){return Ux.get(e)||0}function Q9e(e){Ux.forEach((t,r)=>e(r,t))}function Z9e(e){jx.forEach((t,r)=>e(r))}function eBe(e){e!==void 0?Ux.delete(e):Ux.clear(),pS==null||pS.clearMeasures(e)}function tBe(e){e!==void 0?(ZN.delete(e),jx.delete(e)):(ZN.clear(),jx.clear()),pS==null||pS.clearMarks(e)}function nBe(){return Vx}function rBe(e=gu){var t;return Vx||(Vx=!0,VM||(VM=qne()),VM&&(Kne=VM.performance.timeOrigin,(VM.shouldWriteNativeEvents||(t=e==null?void 0:e.cpuProfilingEnabled)!=null&&t.call(e)||e!=null&&e.debugMode)&&(pS=VM.performance))),!0}function iBe(){Vx&&(jx.clear(),ZN.clear(),Ux.clear(),pS=void 0,Vx=!1)}var VM,pS,MG,Vx,Kne,jx,ZN,Ux,oBe=T({"src/compiler/performance.ts"(){ra(),MG={enter:$a,exit:$a},Vx=!1,Kne=Ys(),jx=new Map,ZN=new Map,Ux=new Map}}),Xne={};X(Xne,{clearMarks:()=>tBe,clearMeasures:()=>eBe,createTimer:()=>PG,createTimerIf:()=>z1e,disable:()=>iBe,enable:()=>rBe,forEachMark:()=>Z9e,forEachMeasure:()=>Q9e,getCount:()=>Y9e,getDuration:()=>$9e,isEnabled:()=>nBe,mark:()=>il,measure:()=>ef,nullTimer:()=>MG});var fS=T({"src/compiler/_namespaces/ts.performance.ts"(){oBe()}}),sr,jM,Yne,$ne,aBe=T({"src/compiler/tracing.ts"(){ra(),fS(),(e=>{let t,r=0,i=0,o;const s=[];let l;const u=[];function p(se,G,ne){if(N.assert(!sr,"Tracing already started"),t===void 0)try{t=IE("fs")}catch(oe){throw new Error(`tracing requires having fs
+(original error: ${oe.message||oe})`)}o=se,s.length=0,l===void 0&&(l=Qr(G,"legend.json")),t.existsSync(G)||t.mkdirSync(G,{recursive:!0});const he=o==="build"?`.${process.pid}-${++r}`:o==="server"?`.${process.pid}`:"",ye=Qr(G,`trace${he}.json`),$=Qr(G,`types${he}.json`);u.push({configFilePath:ne,tracePath:ye,typesPath:$}),i=t.openSync(ye,"w"),sr=e;const Y={cat:"__metadata",ph:"M",ts:1e3*Ys(),pid:1,tid:1};t.writeSync(i,`[
+`+[{name:"process_name",args:{name:"tsc"},...Y},{name:"thread_name",args:{name:"Main"},...Y},{name:"TracingStartedInBrowser",...Y,cat:"disabled-by-default-devtools.timeline"}].map(oe=>JSON.stringify(oe)).join(`,
+`))}e.startTracing=p;function h(){N.assert(sr,"Tracing is not in progress"),N.assert(!!s.length==(o!=="server")),t.writeSync(i,`
+]
+`),t.closeSync(i),sr=void 0,s.length?Z(s):u[u.length-1].typesPath=void 0}e.stopTracing=h;function m(se){o!=="server"&&s.push(se)}e.recordType=m,(se=>{se.Parse="parse",se.Program="program",se.Bind="bind",se.Check="check",se.CheckTypes="checkTypes",se.Emit="emit",se.Session="session"})(e.Phase||(e.Phase={}));function b(se,G,ne){U("I",se,G,ne,'"s":"g"')}e.instant=b;const A=[];function I(se,G,ne,he=!1){he&&U("B",se,G,ne),A.push({phase:se,name:G,args:ne,time:1e3*Ys(),separateBeginAndEnd:he})}e.push=I;function R(se){N.assert(A.length>0),O(A.length-1,1e3*Ys(),se),A.length--}e.pop=R;function M(){const se=1e3*Ys();for(let G=A.length-1;G>=0;G--)O(G,se);A.length=0}e.popAll=M;const C=1e3*10;function O(se,G,ne){const{phase:he,name:ye,args:$,time:Y,separateBeginAndEnd:oe}=A[se];oe?(N.assert(!ne,"`results` are not supported for events with `separateBeginAndEnd`"),U("E",he,ye,$,void 0,G)):C-Y%C<=G-Y&&U("X",he,ye,{...$,results:ne},`"dur":${G-Y}`,Y)}function U(se,G,ne,he,ye,$=1e3*Ys()){o==="server"&&G==="checkTypes"||(il("beginTracing"),t.writeSync(i,`,
+{"pid":1,"tid":1,"ph":"${se}","cat":"${G}","ts":${$},"name":"${ne}"`),ye&&t.writeSync(i,`,${ye}`),he&&t.writeSync(i,`,"args":${JSON.stringify(he)}`),t.writeSync(i,"}"),il("endTracing"),ef("Tracing","beginTracing","endTracing"))}function K(se){const G=jn(se);return G?{path:G.path,start:ne(bs(G,se.pos)),end:ne(bs(G,se.end))}:void 0;function ne(he){return{line:he.line+1,character:he.character+1}}}function Z(se){var G,ne,he,ye,$,Y,oe,fe,Ne,pe,ie,ve,Le,He,Ee,De,Pe,We,At;il("beginDumpTypes");const Se=u[u.length-1].typesPath,je=t.openSync(Se,"w"),at=new Map;t.writeSync(je,"[");const Gt=se.length;for(let vt=0;vt<Gt;vt++){const $e=se[vt],It=$e.objectFlags,tn=$e.aliasSymbol??$e.symbol;let rn;if(It&16|$e.flags&2944)try{rn=(G=$e.checker)==null?void 0:G.typeToString($e)}catch{rn=void 0}let Pn={};if($e.flags&8388608){const Mn=$e;Pn={indexedAccessObjectType:(ne=Mn.objectType)==null?void 0:ne.id,indexedAccessIndexType:(he=Mn.indexType)==null?void 0:he.id}}let Xn={};if(It&4){const Mn=$e;Xn={instantiatedType:(ye=Mn.target)==null?void 0:ye.id,typeArguments:($=Mn.resolvedTypeArguments)==null?void 0:$.map(Di=>Di.id),referenceLocation:K(Mn.node)}}let hn={};if($e.flags&16777216){const Mn=$e;hn={conditionalCheckType:(Y=Mn.checkType)==null?void 0:Y.id,conditionalExtendsType:(oe=Mn.extendsType)==null?void 0:oe.id,conditionalTrueType:((fe=Mn.resolvedTrueType)==null?void 0:fe.id)??-1,conditionalFalseType:((Ne=Mn.resolvedFalseType)==null?void 0:Ne.id)??-1}}let Qt={};if($e.flags&33554432){const Mn=$e;Qt={substitutionBaseType:(pe=Mn.baseType)==null?void 0:pe.id,constraintType:(ie=Mn.constraint)==null?void 0:ie.id}}let $t={};if(It&1024){const Mn=$e;$t={reverseMappedSourceType:(ve=Mn.source)==null?void 0:ve.id,reverseMappedMappedType:(Le=Mn.mappedType)==null?void 0:Le.id,reverseMappedConstraintType:(He=Mn.constraintType)==null?void 0:He.id}}let _i={};if(It&256){const Mn=$e;_i={evolvingArrayElementType:Mn.elementType.id,evolvingArrayFinalType:(Ee=Mn.finalArrayType)==null?void 0:Ee.id}}let ao;const Oi=$e.checker.getRecursionIdentity($e);Oi&&(ao=at.get(Oi),ao||(ao=at.size,at.set(Oi,ao)));const No={id:$e.id,intrinsicName:$e.intrinsicName,symbolName:(tn==null?void 0:tn.escapedName)&&Vi(tn.escapedName),recursionId:ao,isTuple:It&8?!0:void 0,unionTypes:$e.flags&1048576?(De=$e.types)==null?void 0:De.map(Mn=>Mn.id):void 0,intersectionTypes:$e.flags&2097152?$e.types.map(Mn=>Mn.id):void 0,aliasTypeArguments:(Pe=$e.aliasTypeArguments)==null?void 0:Pe.map(Mn=>Mn.id),keyofType:$e.flags&4194304?(We=$e.type)==null?void 0:We.id:void 0,...Pn,...Xn,...hn,...Qt,...$t,..._i,destructuringPattern:K($e.pattern),firstDeclaration:K((At=tn==null?void 0:tn.declarations)==null?void 0:At[0]),flags:N.formatTypeFlags($e.flags).split("|"),display:rn};t.writeSync(je,JSON.stringify(No)),vt<Gt-1&&t.writeSync(je,`,
+`)}t.writeSync(je,`]
+`),t.closeSync(je),il("endDumpTypes"),ef("Dump types","beginDumpTypes","endDumpTypes")}function V(){l&&t.writeFileSync(l,JSON.stringify(u))}e.dumpLegend=V})(jM||(jM={})),Yne=jM.startTracing,$ne=jM.dumpLegend}});function mS(e,t=!0){const r=HM[e.category];return t?r.toLowerCase():r}var q6,J6,K6,LG,X6,Y6,kG,UM,wG,Hx,$6,OG,WG,Q6,FG,zG,BG,GG,VG,jG,UG,HG,qG,JG,KG,Z6,XG,YG,$G,QG,e4,t4,ZG,eV,tV,nV,rV,iV,n4,oV,aV,sV,lV,cV,uV,HM,qx,dV,pV,fV,mV,eC,_V,hV,gV,r4,vV,yV,bV,EV,SV,i4,o4,a4,TV,AV,IV,xV,RV,DV,NV,CV,qM,PV,B1e=T({"src/compiler/types.ts"(){q6=(e=>(e[e.Unknown=0]="Unknown",e[e.EndOfFileToken=1]="EndOfFileToken",e[e.SingleLineCommentTrivia=2]="SingleLineCommentTrivia",e[e.MultiLineCommentTrivia=3]="MultiLineCommentTrivia",e[e.NewLineTrivia=4]="NewLineTrivia",e[e.WhitespaceTrivia=5]="WhitespaceTrivia",e[e.ShebangTrivia=6]="ShebangTrivia",e[e.ConflictMarkerTrivia=7]="ConflictMarkerTrivia",e[e.NonTextFileMarkerTrivia=8]="NonTextFileMarkerTrivia",e[e.NumericLiteral=9]="NumericLiteral",e[e.BigIntLiteral=10]="BigIntLiteral",e[e.StringLiteral=11]="StringLiteral",e[e.JsxText=12]="JsxText",e[e.JsxTextAllWhiteSpaces=13]="JsxTextAllWhiteSpaces",e[e.RegularExpressionLiteral=14]="RegularExpressionLiteral",e[e.NoSubstitutionTemplateLiteral=15]="NoSubstitutionTemplateLiteral",e[e.TemplateHead=16]="TemplateHead",e[e.TemplateMiddle=17]="TemplateMiddle",e[e.TemplateTail=18]="TemplateTail",e[e.OpenBraceToken=19]="OpenBraceToken",e[e.CloseBraceToken=20]="CloseBraceToken",e[e.OpenParenToken=21]="OpenParenToken",e[e.CloseParenToken=22]="CloseParenToken",e[e.OpenBracketToken=23]="OpenBracketToken",e[e.CloseBracketToken=24]="CloseBracketToken",e[e.DotToken=25]="DotToken",e[e.DotDotDotToken=26]="DotDotDotToken",e[e.SemicolonToken=27]="SemicolonToken",e[e.CommaToken=28]="CommaToken",e[e.QuestionDotToken=29]="QuestionDotToken",e[e.LessThanToken=30]="LessThanToken",e[e.LessThanSlashToken=31]="LessThanSlashToken",e[e.GreaterThanToken=32]="GreaterThanToken",e[e.LessThanEqualsToken=33]="LessThanEqualsToken",e[e.GreaterThanEqualsToken=34]="GreaterThanEqualsToken",e[e.EqualsEqualsToken=35]="EqualsEqualsToken",e[e.ExclamationEqualsToken=36]="ExclamationEqualsToken",e[e.EqualsEqualsEqualsToken=37]="EqualsEqualsEqualsToken",e[e.ExclamationEqualsEqualsToken=38]="ExclamationEqualsEqualsToken",e[e.EqualsGreaterThanToken=39]="EqualsGreaterThanToken",e[e.PlusToken=40]="PlusToken",e[e.MinusToken=41]="MinusToken",e[e.AsteriskToken=42]="AsteriskToken",e[e.AsteriskAsteriskToken=43]="AsteriskAsteriskToken",e[e.SlashToken=44]="SlashToken",e[e.PercentToken=45]="PercentToken",e[e.PlusPlusToken=46]="PlusPlusToken",e[e.MinusMinusToken=47]="MinusMinusToken",e[e.LessThanLessThanToken=48]="LessThanLessThanToken",e[e.GreaterThanGreaterThanToken=49]="GreaterThanGreaterThanToken",e[e.GreaterThanGreaterThanGreaterThanToken=50]="GreaterThanGreaterThanGreaterThanToken",e[e.AmpersandToken=51]="AmpersandToken",e[e.BarToken=52]="BarToken",e[e.CaretToken=53]="CaretToken",e[e.ExclamationToken=54]="ExclamationToken",e[e.TildeToken=55]="TildeToken",e[e.AmpersandAmpersandToken=56]="AmpersandAmpersandToken",e[e.BarBarToken=57]="BarBarToken",e[e.QuestionToken=58]="QuestionToken",e[e.ColonToken=59]="ColonToken",e[e.AtToken=60]="AtToken",e[e.QuestionQuestionToken=61]="QuestionQuestionToken",e[e.BacktickToken=62]="BacktickToken",e[e.HashToken=63]="HashToken",e[e.EqualsToken=64]="EqualsToken",e[e.PlusEqualsToken=65]="PlusEqualsToken",e[e.MinusEqualsToken=66]="MinusEqualsToken",e[e.AsteriskEqualsToken=67]="AsteriskEqualsToken",e[e.AsteriskAsteriskEqualsToken=68]="AsteriskAsteriskEqualsToken",e[e.SlashEqualsToken=69]="SlashEqualsToken",e[e.PercentEqualsToken=70]="PercentEqualsToken",e[e.LessThanLessThanEqualsToken=71]="LessThanLessThanEqualsToken",e[e.GreaterThanGreaterThanEqualsToken=72]="GreaterThanGreaterThanEqualsToken",e[e.GreaterThanGreaterThanGreaterThanEqualsToken=73]="GreaterThanGreaterThanGreaterThanEqualsToken",e[e.AmpersandEqualsToken=74]="AmpersandEqualsToken",e[e.BarEqualsToken=75]="BarEqualsToken",e[e.BarBarEqualsToken=76]="BarBarEqualsToken",e[e.AmpersandAmpersandEqualsToken=77]="AmpersandAmpersandEqualsToken",e[e.QuestionQuestionEqualsToken=78]="QuestionQuestionEqualsToken",e[e.CaretEqualsToken=79]="CaretEqualsToken",e[e.Identifier=80]="Identifier",e[e.PrivateIdentifier=81]="PrivateIdentifier",e[e.JSDocCommentTextToken=82]="JSDocCommentTextToken",e[e.BreakKeyword=83]="BreakKeyword",e[e.CaseKeyword=84]="CaseKeyword",e[e.CatchKeyword=85]="CatchKeyword",e[e.ClassKeyword=86]="ClassKeyword",e[e.ConstKeyword=87]="ConstKeyword",e[e.ContinueKeyword=88]="ContinueKeyword",e[e.DebuggerKeyword=89]="DebuggerKeyword",e[e.DefaultKeyword=90]="DefaultKeyword",e[e.DeleteKeyword=91]="DeleteKeyword",e[e.DoKeyword=92]="DoKeyword",e[e.ElseKeyword=93]="ElseKeyword",e[e.EnumKeyword=94]="EnumKeyword",e[e.ExportKeyword=95]="ExportKeyword",e[e.ExtendsKeyword=96]="ExtendsKeyword",e[e.FalseKeyword=97]="FalseKeyword",e[e.FinallyKeyword=98]="FinallyKeyword",e[e.ForKeyword=99]="ForKeyword",e[e.FunctionKeyword=100]="FunctionKeyword",e[e.IfKeyword=101]="IfKeyword",e[e.ImportKeyword=102]="ImportKeyword",e[e.InKeyword=103]="InKeyword",e[e.InstanceOfKeyword=104]="InstanceOfKeyword",e[e.NewKeyword=105]="NewKeyword",e[e.NullKeyword=106]="NullKeyword",e[e.ReturnKeyword=107]="ReturnKeyword",e[e.SuperKeyword=108]="SuperKeyword",e[e.SwitchKeyword=109]="SwitchKeyword",e[e.ThisKeyword=110]="ThisKeyword",e[e.ThrowKeyword=111]="ThrowKeyword",e[e.TrueKeyword=112]="TrueKeyword",e[e.TryKeyword=113]="TryKeyword",e[e.TypeOfKeyword=114]="TypeOfKeyword",e[e.VarKeyword=115]="VarKeyword",e[e.VoidKeyword=116]="VoidKeyword",e[e.WhileKeyword=117]="WhileKeyword",e[e.WithKeyword=118]="WithKeyword",e[e.ImplementsKeyword=119]="ImplementsKeyword",e[e.InterfaceKeyword=120]="InterfaceKeyword",e[e.LetKeyword=121]="LetKeyword",e[e.PackageKeyword=122]="PackageKeyword",e[e.PrivateKeyword=123]="PrivateKeyword",e[e.ProtectedKeyword=124]="ProtectedKeyword",e[e.PublicKeyword=125]="PublicKeyword",e[e.StaticKeyword=126]="StaticKeyword",e[e.YieldKeyword=127]="YieldKeyword",e[e.AbstractKeyword=128]="AbstractKeyword",e[e.AccessorKeyword=129]="AccessorKeyword",e[e.AsKeyword=130]="AsKeyword",e[e.AssertsKeyword=131]="AssertsKeyword",e[e.AssertKeyword=132]="AssertKeyword",e[e.AnyKeyword=133]="AnyKeyword",e[e.AsyncKeyword=134]="AsyncKeyword",e[e.AwaitKeyword=135]="AwaitKeyword",e[e.BooleanKeyword=136]="BooleanKeyword",e[e.ConstructorKeyword=137]="ConstructorKeyword",e[e.DeclareKeyword=138]="DeclareKeyword",e[e.GetKeyword=139]="GetKeyword",e[e.InferKeyword=140]="InferKeyword",e[e.IntrinsicKeyword=141]="IntrinsicKeyword",e[e.IsKeyword=142]="IsKeyword",e[e.KeyOfKeyword=143]="KeyOfKeyword",e[e.ModuleKeyword=144]="ModuleKeyword",e[e.NamespaceKeyword=145]="NamespaceKeyword",e[e.NeverKeyword=146]="NeverKeyword",e[e.OutKeyword=147]="OutKeyword",e[e.ReadonlyKeyword=148]="ReadonlyKeyword",e[e.RequireKeyword=149]="RequireKeyword",e[e.NumberKeyword=150]="NumberKeyword",e[e.ObjectKeyword=151]="ObjectKeyword",e[e.SatisfiesKeyword=152]="SatisfiesKeyword",e[e.SetKeyword=153]="SetKeyword",e[e.StringKeyword=154]="StringKeyword",e[e.SymbolKeyword=155]="SymbolKeyword",e[e.TypeKeyword=156]="TypeKeyword",e[e.UndefinedKeyword=157]="UndefinedKeyword",e[e.UniqueKeyword=158]="UniqueKeyword",e[e.UnknownKeyword=159]="UnknownKeyword",e[e.UsingKeyword=160]="UsingKeyword",e[e.FromKeyword=161]="FromKeyword",e[e.GlobalKeyword=162]="GlobalKeyword",e[e.BigIntKeyword=163]="BigIntKeyword",e[e.OverrideKeyword=164]="OverrideKeyword",e[e.OfKeyword=165]="OfKeyword",e[e.QualifiedName=166]="QualifiedName",e[e.ComputedPropertyName=167]="ComputedPropertyName",e[e.TypeParameter=168]="TypeParameter",e[e.Parameter=169]="Parameter",e[e.Decorator=170]="Decorator",e[e.PropertySignature=171]="PropertySignature",e[e.PropertyDeclaration=172]="PropertyDeclaration",e[e.MethodSignature=173]="MethodSignature",e[e.MethodDeclaration=174]="MethodDeclaration",e[e.ClassStaticBlockDeclaration=175]="ClassStaticBlockDeclaration",e[e.Constructor=176]="Constructor",e[e.GetAccessor=177]="GetAccessor",e[e.SetAccessor=178]="SetAccessor",e[e.CallSignature=179]="CallSignature",e[e.ConstructSignature=180]="ConstructSignature",e[e.IndexSignature=181]="IndexSignature",e[e.TypePredicate=182]="TypePredicate",e[e.TypeReference=183]="TypeReference",e[e.FunctionType=184]="FunctionType",e[e.ConstructorType=185]="ConstructorType",e[e.TypeQuery=186]="TypeQuery",e[e.TypeLiteral=187]="TypeLiteral",e[e.ArrayType=188]="ArrayType",e[e.TupleType=189]="TupleType",e[e.OptionalType=190]="OptionalType",e[e.RestType=191]="RestType",e[e.UnionType=192]="UnionType",e[e.IntersectionType=193]="IntersectionType",e[e.ConditionalType=194]="ConditionalType",e[e.InferType=195]="InferType",e[e.ParenthesizedType=196]="ParenthesizedType",e[e.ThisType=197]="ThisType",e[e.TypeOperator=198]="TypeOperator",e[e.IndexedAccessType=199]="IndexedAccessType",e[e.MappedType=200]="MappedType",e[e.LiteralType=201]="LiteralType",e[e.NamedTupleMember=202]="NamedTupleMember",e[e.TemplateLiteralType=203]="TemplateLiteralType",e[e.TemplateLiteralTypeSpan=204]="TemplateLiteralTypeSpan",e[e.ImportType=205]="ImportType",e[e.ObjectBindingPattern=206]="ObjectBindingPattern",e[e.ArrayBindingPattern=207]="ArrayBindingPattern",e[e.BindingElement=208]="BindingElement",e[e.ArrayLiteralExpression=209]="ArrayLiteralExpression",e[e.ObjectLiteralExpression=210]="ObjectLiteralExpression",e[e.PropertyAccessExpression=211]="PropertyAccessExpression",e[e.ElementAccessExpression=212]="ElementAccessExpression",e[e.CallExpression=213]="CallExpression",e[e.NewExpression=214]="NewExpression",e[e.TaggedTemplateExpression=215]="TaggedTemplateExpression",e[e.TypeAssertionExpression=216]="TypeAssertionExpression",e[e.ParenthesizedExpression=217]="ParenthesizedExpression",e[e.FunctionExpression=218]="FunctionExpression",e[e.ArrowFunction=219]="ArrowFunction",e[e.DeleteExpression=220]="DeleteExpression",e[e.TypeOfExpression=221]="TypeOfExpression",e[e.VoidExpression=222]="VoidExpression",e[e.AwaitExpression=223]="AwaitExpression",e[e.PrefixUnaryExpression=224]="PrefixUnaryExpression",e[e.PostfixUnaryExpression=225]="PostfixUnaryExpression",e[e.BinaryExpression=226]="BinaryExpression",e[e.ConditionalExpression=227]="ConditionalExpression",e[e.TemplateExpression=228]="TemplateExpression",e[e.YieldExpression=229]="YieldExpression",e[e.SpreadElement=230]="SpreadElement",e[e.ClassExpression=231]="ClassExpression",e[e.OmittedExpression=232]="OmittedExpression",e[e.ExpressionWithTypeArguments=233]="ExpressionWithTypeArguments",e[e.AsExpression=234]="AsExpression",e[e.NonNullExpression=235]="NonNullExpression",e[e.MetaProperty=236]="MetaProperty",e[e.SyntheticExpression=237]="SyntheticExpression",e[e.SatisfiesExpression=238]="SatisfiesExpression",e[e.TemplateSpan=239]="TemplateSpan",e[e.SemicolonClassElement=240]="SemicolonClassElement",e[e.Block=241]="Block",e[e.EmptyStatement=242]="EmptyStatement",e[e.VariableStatement=243]="VariableStatement",e[e.ExpressionStatement=244]="ExpressionStatement",e[e.IfStatement=245]="IfStatement",e[e.DoStatement=246]="DoStatement",e[e.WhileStatement=247]="WhileStatement",e[e.ForStatement=248]="ForStatement",e[e.ForInStatement=249]="ForInStatement",e[e.ForOfStatement=250]="ForOfStatement",e[e.ContinueStatement=251]="ContinueStatement",e[e.BreakStatement=252]="BreakStatement",e[e.ReturnStatement=253]="ReturnStatement",e[e.WithStatement=254]="WithStatement",e[e.SwitchStatement=255]="SwitchStatement",e[e.LabeledStatement=256]="LabeledStatement",e[e.ThrowStatement=257]="ThrowStatement",e[e.TryStatement=258]="TryStatement",e[e.DebuggerStatement=259]="DebuggerStatement",e[e.VariableDeclaration=260]="VariableDeclaration",e[e.VariableDeclarationList=261]="VariableDeclarationList",e[e.FunctionDeclaration=262]="FunctionDeclaration",e[e.ClassDeclaration=263]="ClassDeclaration",e[e.InterfaceDeclaration=264]="InterfaceDeclaration",e[e.TypeAliasDeclaration=265]="TypeAliasDeclaration",e[e.EnumDeclaration=266]="EnumDeclaration",e[e.ModuleDeclaration=267]="ModuleDeclaration",e[e.ModuleBlock=268]="ModuleBlock",e[e.CaseBlock=269]="CaseBlock",e[e.NamespaceExportDeclaration=270]="NamespaceExportDeclaration",e[e.ImportEqualsDeclaration=271]="ImportEqualsDeclaration",e[e.ImportDeclaration=272]="ImportDeclaration",e[e.ImportClause=273]="ImportClause",e[e.NamespaceImport=274]="NamespaceImport",e[e.NamedImports=275]="NamedImports",e[e.ImportSpecifier=276]="ImportSpecifier",e[e.ExportAssignment=277]="ExportAssignment",e[e.ExportDeclaration=278]="ExportDeclaration",e[e.NamedExports=279]="NamedExports",e[e.NamespaceExport=280]="NamespaceExport",e[e.ExportSpecifier=281]="ExportSpecifier",e[e.MissingDeclaration=282]="MissingDeclaration",e[e.ExternalModuleReference=283]="ExternalModuleReference",e[e.JsxElement=284]="JsxElement",e[e.JsxSelfClosingElement=285]="JsxSelfClosingElement",e[e.JsxOpeningElement=286]="JsxOpeningElement",e[e.JsxClosingElement=287]="JsxClosingElement",e[e.JsxFragment=288]="JsxFragment",e[e.JsxOpeningFragment=289]="JsxOpeningFragment",e[e.JsxClosingFragment=290]="JsxClosingFragment",e[e.JsxAttribute=291]="JsxAttribute",e[e.JsxAttributes=292]="JsxAttributes",e[e.JsxSpreadAttribute=293]="JsxSpreadAttribute",e[e.JsxExpression=294]="JsxExpression",e[e.JsxNamespacedName=295]="JsxNamespacedName",e[e.CaseClause=296]="CaseClause",e[e.DefaultClause=297]="DefaultClause",e[e.HeritageClause=298]="HeritageClause",e[e.CatchClause=299]="CatchClause",e[e.ImportAttributes=300]="ImportAttributes",e[e.ImportAttribute=301]="ImportAttribute",e[e.AssertClause=300]="AssertClause",e[e.AssertEntry=301]="AssertEntry",e[e.ImportTypeAssertionContainer=302]="ImportTypeAssertionContainer",e[e.PropertyAssignment=303]="PropertyAssignment",e[e.ShorthandPropertyAssignment=304]="ShorthandPropertyAssignment",e[e.SpreadAssignment=305]="SpreadAssignment",e[e.EnumMember=306]="EnumMember",e[e.UnparsedPrologue=307]="UnparsedPrologue",e[e.UnparsedPrepend=308]="UnparsedPrepend",e[e.UnparsedText=309]="UnparsedText",e[e.UnparsedInternalText=310]="UnparsedInternalText",e[e.UnparsedSyntheticReference=311]="UnparsedSyntheticReference",e[e.SourceFile=312]="SourceFile",e[e.Bundle=313]="Bundle",e[e.UnparsedSource=314]="UnparsedSource",e[e.InputFiles=315]="InputFiles",e[e.JSDocTypeExpression=316]="JSDocTypeExpression",e[e.JSDocNameReference=317]="JSDocNameReference",e[e.JSDocMemberName=318]="JSDocMemberName",e[e.JSDocAllType=319]="JSDocAllType",e[e.JSDocUnknownType=320]="JSDocUnknownType",e[e.JSDocNullableType=321]="JSDocNullableType",e[e.JSDocNonNullableType=322]="JSDocNonNullableType",e[e.JSDocOptionalType=323]="JSDocOptionalType",e[e.JSDocFunctionType=324]="JSDocFunctionType",e[e.JSDocVariadicType=325]="JSDocVariadicType",e[e.JSDocNamepathType=326]="JSDocNamepathType",e[e.JSDoc=327]="JSDoc",e[e.JSDocComment=327]="JSDocComment",e[e.JSDocText=328]="JSDocText",e[e.JSDocTypeLiteral=329]="JSDocTypeLiteral",e[e.JSDocSignature=330]="JSDocSignature",e[e.JSDocLink=331]="JSDocLink",e[e.JSDocLinkCode=332]="JSDocLinkCode",e[e.JSDocLinkPlain=333]="JSDocLinkPlain",e[e.JSDocTag=334]="JSDocTag",e[e.JSDocAugmentsTag=335]="JSDocAugmentsTag",e[e.JSDocImplementsTag=336]="JSDocImplementsTag",e[e.JSDocAuthorTag=337]="JSDocAuthorTag",e[e.JSDocDeprecatedTag=338]="JSDocDeprecatedTag",e[e.JSDocClassTag=339]="JSDocClassTag",e[e.JSDocPublicTag=340]="JSDocPublicTag",e[e.JSDocPrivateTag=341]="JSDocPrivateTag",e[e.JSDocProtectedTag=342]="JSDocProtectedTag",e[e.JSDocReadonlyTag=343]="JSDocReadonlyTag",e[e.JSDocOverrideTag=344]="JSDocOverrideTag",e[e.JSDocCallbackTag=345]="JSDocCallbackTag",e[e.JSDocOverloadTag=346]="JSDocOverloadTag",e[e.JSDocEnumTag=347]="JSDocEnumTag",e[e.JSDocParameterTag=348]="JSDocParameterTag",e[e.JSDocReturnTag=349]="JSDocReturnTag",e[e.JSDocThisTag=350]="JSDocThisTag",e[e.JSDocTypeTag=351]="JSDocTypeTag",e[e.JSDocTemplateTag=352]="JSDocTemplateTag",e[e.JSDocTypedefTag=353]="JSDocTypedefTag",e[e.JSDocSeeTag=354]="JSDocSeeTag",e[e.JSDocPropertyTag=355]="JSDocPropertyTag",e[e.JSDocThrowsTag=356]="JSDocThrowsTag",e[e.JSDocSatisfiesTag=357]="JSDocSatisfiesTag",e[e.SyntaxList=358]="SyntaxList",e[e.NotEmittedStatement=359]="NotEmittedStatement",e[e.PartiallyEmittedExpression=360]="PartiallyEmittedExpression",e[e.CommaListExpression=361]="CommaListExpression",e[e.SyntheticReferenceExpression=362]="SyntheticReferenceExpression",e[e.Count=363]="Count",e[e.FirstAssignment=64]="FirstAssignment",e[e.LastAssignment=79]="LastAssignment",e[e.FirstCompoundAssignment=65]="FirstCompoundAssignment",e[e.LastCompoundAssignment=79]="LastCompoundAssignment",e[e.FirstReservedWord=83]="FirstReservedWord",e[e.LastReservedWord=118]="LastReservedWord",e[e.FirstKeyword=83]="FirstKeyword",e[e.LastKeyword=165]="LastKeyword",e[e.FirstFutureReservedWord=119]="FirstFutureReservedWord",e[e.LastFutureReservedWord=127]="LastFutureReservedWord",e[e.FirstTypeNode=182]="FirstTypeNode",e[e.LastTypeNode=205]="LastTypeNode",e[e.FirstPunctuation=19]="FirstPunctuation",e[e.LastPunctuation=79]="LastPunctuation",e[e.FirstToken=0]="FirstToken",e[e.LastToken=165]="LastToken",e[e.FirstTriviaToken=2]="FirstTriviaToken",e[e.LastTriviaToken=7]="LastTriviaToken",e[e.FirstLiteralToken=9]="FirstLiteralToken",e[e.LastLiteralToken=15]="LastLiteralToken",e[e.FirstTemplateToken=15]="FirstTemplateToken",e[e.LastTemplateToken=18]="LastTemplateToken",e[e.FirstBinaryOperator=30]="FirstBinaryOperator",e[e.LastBinaryOperator=79]="LastBinaryOperator",e[e.FirstStatement=243]="FirstStatement",e[e.LastStatement=259]="LastStatement",e[e.FirstNode=166]="FirstNode",e[e.FirstJSDocNode=316]="FirstJSDocNode",e[e.LastJSDocNode=357]="LastJSDocNode",e[e.FirstJSDocTagNode=334]="FirstJSDocTagNode",e[e.LastJSDocTagNode=357]="LastJSDocTagNode",e[e.FirstContextualKeyword=128]="FirstContextualKeyword",e[e.LastContextualKeyword=165]="LastContextualKeyword",e))(q6||{}),J6=(e=>(e[e.None=0]="None",e[e.Let=1]="Let",e[e.Const=2]="Const",e[e.Using=4]="Using",e[e.AwaitUsing=6]="AwaitUsing",e[e.NestedNamespace=8]="NestedNamespace",e[e.Synthesized=16]="Synthesized",e[e.Namespace=32]="Namespace",e[e.OptionalChain=64]="OptionalChain",e[e.ExportContext=128]="ExportContext",e[e.ContainsThis=256]="ContainsThis",e[e.HasImplicitReturn=512]="HasImplicitReturn",e[e.HasExplicitReturn=1024]="HasExplicitReturn",e[e.GlobalAugmentation=2048]="GlobalAugmentation",e[e.HasAsyncFunctions=4096]="HasAsyncFunctions",e[e.DisallowInContext=8192]="DisallowInContext",e[e.YieldContext=16384]="YieldContext",e[e.DecoratorContext=32768]="DecoratorContext",e[e.AwaitContext=65536]="AwaitContext",e[e.DisallowConditionalTypesContext=131072]="DisallowConditionalTypesContext",e[e.ThisNodeHasError=262144]="ThisNodeHasError",e[e.JavaScriptFile=524288]="JavaScriptFile",e[e.ThisNodeOrAnySubNodesHasError=1048576]="ThisNodeOrAnySubNodesHasError",e[e.HasAggregatedChildData=2097152]="HasAggregatedChildData",e[e.PossiblyContainsDynamicImport=4194304]="PossiblyContainsDynamicImport",e[e.PossiblyContainsImportMeta=8388608]="PossiblyContainsImportMeta",e[e.JSDoc=16777216]="JSDoc",e[e.Ambient=33554432]="Ambient",e[e.InWithStatement=67108864]="InWithStatement",e[e.JsonFile=134217728]="JsonFile",e[e.TypeCached=268435456]="TypeCached",e[e.Deprecated=536870912]="Deprecated",e[e.BlockScoped=7]="BlockScoped",e[e.Constant=6]="Constant",e[e.ReachabilityCheckFlags=1536]="ReachabilityCheckFlags",e[e.ReachabilityAndEmitFlags=5632]="ReachabilityAndEmitFlags",e[e.ContextFlags=101441536]="ContextFlags",e[e.TypeExcludesFlags=81920]="TypeExcludesFlags",e[e.PermanentlySetIncrementalFlags=12582912]="PermanentlySetIncrementalFlags",e[e.IdentifierHasExtendedUnicodeEscape=256]="IdentifierHasExtendedUnicodeEscape",e[e.IdentifierIsInJSDocNamespace=4096]="IdentifierIsInJSDocNamespace",e))(J6||{}),K6=(e=>(e[e.None=0]="None",e[e.Public=1]="Public",e[e.Private=2]="Private",e[e.Protected=4]="Protected",e[e.Readonly=8]="Readonly",e[e.Override=16]="Override",e[e.Export=32]="Export",e[e.Abstract=64]="Abstract",e[e.Ambient=128]="Ambient",e[e.Static=256]="Static",e[e.Accessor=512]="Accessor",e[e.Async=1024]="Async",e[e.Default=2048]="Default",e[e.Const=4096]="Const",e[e.In=8192]="In",e[e.Out=16384]="Out",e[e.Decorator=32768]="Decorator",e[e.Deprecated=65536]="Deprecated",e[e.JSDocPublic=8388608]="JSDocPublic",e[e.JSDocPrivate=16777216]="JSDocPrivate",e[e.JSDocProtected=33554432]="JSDocProtected",e[e.JSDocReadonly=67108864]="JSDocReadonly",e[e.JSDocOverride=134217728]="JSDocOverride",e[e.SyntacticOrJSDocModifiers=31]="SyntacticOrJSDocModifiers",e[e.SyntacticOnlyModifiers=65504]="SyntacticOnlyModifiers",e[e.SyntacticModifiers=65535]="SyntacticModifiers",e[e.JSDocCacheOnlyModifiers=260046848]="JSDocCacheOnlyModifiers",e[e.JSDocOnlyModifiers=65536]="JSDocOnlyModifiers",e[e.NonCacheOnlyModifiers=131071]="NonCacheOnlyModifiers",e[e.HasComputedJSDocModifiers=268435456]="HasComputedJSDocModifiers",e[e.HasComputedFlags=536870912]="HasComputedFlags",e[e.AccessibilityModifier=7]="AccessibilityModifier",e[e.ParameterPropertyModifier=31]="ParameterPropertyModifier",e[e.NonPublicAccessibilityModifier=6]="NonPublicAccessibilityModifier",e[e.TypeScriptModifier=28895]="TypeScriptModifier",e[e.ExportDefault=2080]="ExportDefault",e[e.All=131071]="All",e[e.Modifier=98303]="Modifier",e))(K6||{}),LG=(e=>(e[e.None=0]="None",e[e.IntrinsicNamedElement=1]="IntrinsicNamedElement",e[e.IntrinsicIndexedElement=2]="IntrinsicIndexedElement",e[e.IntrinsicElement=3]="IntrinsicElement",e))(LG||{}),X6=(e=>(e[e.None=0]="None",e[e.Succeeded=1]="Succeeded",e[e.Failed=2]="Failed",e[e.Reported=4]="Reported",e[e.ReportsUnmeasurable=8]="ReportsUnmeasurable",e[e.ReportsUnreliable=16]="ReportsUnreliable",e[e.ReportsMask=24]="ReportsMask",e))(X6||{}),Y6=(e=>(e[e.None=0]="None",e[e.Auto=1]="Auto",e[e.Loop=2]="Loop",e[e.Unique=3]="Unique",e[e.Node=4]="Node",e[e.KindMask=7]="KindMask",e[e.ReservedInNestedScopes=8]="ReservedInNestedScopes",e[e.Optimistic=16]="Optimistic",e[e.FileLevel=32]="FileLevel",e[e.AllowNameSubstitution=64]="AllowNameSubstitution",e))(Y6||{}),kG=(e=>(e[e.None=0]="None",e[e.PrecedingLineBreak=1]="PrecedingLineBreak",e[e.PrecedingJSDocComment=2]="PrecedingJSDocComment",e[e.Unterminated=4]="Unterminated",e[e.ExtendedUnicodeEscape=8]="ExtendedUnicodeEscape",e[e.Scientific=16]="Scientific",e[e.Octal=32]="Octal",e[e.HexSpecifier=64]="HexSpecifier",e[e.BinarySpecifier=128]="BinarySpecifier",e[e.OctalSpecifier=256]="OctalSpecifier",e[e.ContainsSeparator=512]="ContainsSeparator",e[e.UnicodeEscape=1024]="UnicodeEscape",e[e.ContainsInvalidEscape=2048]="ContainsInvalidEscape",e[e.HexEscape=4096]="HexEscape",e[e.ContainsLeadingZero=8192]="ContainsLeadingZero",e[e.ContainsInvalidSeparator=16384]="ContainsInvalidSeparator",e[e.BinaryOrOctalSpecifier=384]="BinaryOrOctalSpecifier",e[e.WithSpecifier=448]="WithSpecifier",e[e.StringLiteralFlags=7176]="StringLiteralFlags",e[e.NumericLiteralFlags=25584]="NumericLiteralFlags",e[e.TemplateLiteralLikeFlags=7176]="TemplateLiteralLikeFlags",e[e.IsInvalid=26656]="IsInvalid",e))(kG||{}),UM=(e=>(e[e.Unreachable=1]="Unreachable",e[e.Start=2]="Start",e[e.BranchLabel=4]="BranchLabel",e[e.LoopLabel=8]="LoopLabel",e[e.Assignment=16]="Assignment",e[e.TrueCondition=32]="TrueCondition",e[e.FalseCondition=64]="FalseCondition",e[e.SwitchClause=128]="SwitchClause",e[e.ArrayMutation=256]="ArrayMutation",e[e.Call=512]="Call",e[e.ReduceLabel=1024]="ReduceLabel",e[e.Referenced=2048]="Referenced",e[e.Shared=4096]="Shared",e[e.Label=12]="Label",e[e.Condition=96]="Condition",e))(UM||{}),wG=(e=>(e[e.ExpectError=0]="ExpectError",e[e.Ignore=1]="Ignore",e))(wG||{}),Hx=class{},$6=(e=>(e[e.RootFile=0]="RootFile",e[e.SourceFromProjectReference=1]="SourceFromProjectReference",e[e.OutputFromProjectReference=2]="OutputFromProjectReference",e[e.Import=3]="Import",e[e.ReferenceFile=4]="ReferenceFile",e[e.TypeReferenceDirective=5]="TypeReferenceDirective",e[e.LibFile=6]="LibFile",e[e.LibReferenceDirective=7]="LibReferenceDirective",e[e.AutomaticTypeDirectiveFile=8]="AutomaticTypeDirectiveFile",e))($6||{}),OG=(e=>(e[e.FilePreprocessingReferencedDiagnostic=0]="FilePreprocessingReferencedDiagnostic",e[e.FilePreprocessingFileExplainingDiagnostic=1]="FilePreprocessingFileExplainingDiagnostic",e[e.ResolutionDiagnostics=2]="ResolutionDiagnostics",e))(OG||{}),WG=(e=>(e[e.Js=0]="Js",e[e.Dts=1]="Dts",e))(WG||{}),Q6=(e=>(e[e.Not=0]="Not",e[e.SafeModules=1]="SafeModules",e[e.Completely=2]="Completely",e))(Q6||{}),FG=(e=>(e[e.Success=0]="Success",e[e.DiagnosticsPresent_OutputsSkipped=1]="DiagnosticsPresent_OutputsSkipped",e[e.DiagnosticsPresent_OutputsGenerated=2]="DiagnosticsPresent_OutputsGenerated",e[e.InvalidProject_OutputsSkipped=3]="InvalidProject_OutputsSkipped",e[e.ProjectReferenceCycle_OutputsSkipped=4]="ProjectReferenceCycle_OutputsSkipped",e))(FG||{}),zG=(e=>(e[e.Ok=0]="Ok",e[e.NeedsOverride=1]="NeedsOverride",e[e.HasInvalidOverride=2]="HasInvalidOverride",e))(zG||{}),BG=(e=>(e[e.None=0]="None",e[e.Literal=1]="Literal",e[e.Subtype=2]="Subtype",e))(BG||{}),GG=(e=>(e[e.None=0]="None",e[e.Signature=1]="Signature",e[e.NoConstraints=2]="NoConstraints",e[e.Completions=4]="Completions",e[e.SkipBindingPatterns=8]="SkipBindingPatterns",e))(GG||{}),VG=(e=>(e[e.None=0]="None",e[e.NoTruncation=1]="NoTruncation",e[e.WriteArrayAsGenericType=2]="WriteArrayAsGenericType",e[e.GenerateNamesForShadowedTypeParams=4]="GenerateNamesForShadowedTypeParams",e[e.UseStructuralFallback=8]="UseStructuralFallback",e[e.ForbidIndexedAccessSymbolReferences=16]="ForbidIndexedAccessSymbolReferences",e[e.WriteTypeArgumentsOfSignature=32]="WriteTypeArgumentsOfSignature",e[e.UseFullyQualifiedType=64]="UseFullyQualifiedType",e[e.UseOnlyExternalAliasing=128]="UseOnlyExternalAliasing",e[e.SuppressAnyReturnType=256]="SuppressAnyReturnType",e[e.WriteTypeParametersInQualifiedName=512]="WriteTypeParametersInQualifiedName",e[e.MultilineObjectLiterals=1024]="MultilineObjectLiterals",e[e.WriteClassExpressionAsTypeLiteral=2048]="WriteClassExpressionAsTypeLiteral",e[e.UseTypeOfFunction=4096]="UseTypeOfFunction",e[e.OmitParameterModifiers=8192]="OmitParameterModifiers",e[e.UseAliasDefinedOutsideCurrentScope=16384]="UseAliasDefinedOutsideCurrentScope",e[e.UseSingleQuotesForStringLiteralType=268435456]="UseSingleQuotesForStringLiteralType",e[e.NoTypeReduction=536870912]="NoTypeReduction",e[e.OmitThisParameter=33554432]="OmitThisParameter",e[e.AllowThisInObjectLiteral=32768]="AllowThisInObjectLiteral",e[e.AllowQualifiedNameInPlaceOfIdentifier=65536]="AllowQualifiedNameInPlaceOfIdentifier",e[e.AllowAnonymousIdentifier=131072]="AllowAnonymousIdentifier",e[e.AllowEmptyUnionOrIntersection=262144]="AllowEmptyUnionOrIntersection",e[e.AllowEmptyTuple=524288]="AllowEmptyTuple",e[e.AllowUniqueESSymbolType=1048576]="AllowUniqueESSymbolType",e[e.AllowEmptyIndexInfoType=2097152]="AllowEmptyIndexInfoType",e[e.WriteComputedProps=1073741824]="WriteComputedProps",e[e.AllowNodeModulesRelativePaths=67108864]="AllowNodeModulesRelativePaths",e[e.DoNotIncludeSymbolChain=134217728]="DoNotIncludeSymbolChain",e[e.IgnoreErrors=70221824]="IgnoreErrors",e[e.InObjectTypeLiteral=4194304]="InObjectTypeLiteral",e[e.InTypeAlias=8388608]="InTypeAlias",e[e.InInitialEntityName=16777216]="InInitialEntityName",e))(VG||{}),jG=(e=>(e[e.None=0]="None",e[e.NoTruncation=1]="NoTruncation",e[e.WriteArrayAsGenericType=2]="WriteArrayAsGenericType",e[e.GenerateNamesForShadowedTypeParams=4]="GenerateNamesForShadowedTypeParams",e[e.UseStructuralFallback=8]="UseStructuralFallback",e[e.WriteTypeArgumentsOfSignature=32]="WriteTypeArgumentsOfSignature",e[e.UseFullyQualifiedType=64]="UseFullyQualifiedType",e[e.SuppressAnyReturnType=256]="SuppressAnyReturnType",e[e.MultilineObjectLiterals=1024]="MultilineObjectLiterals",e[e.WriteClassExpressionAsTypeLiteral=2048]="WriteClassExpressionAsTypeLiteral",e[e.UseTypeOfFunction=4096]="UseTypeOfFunction",e[e.OmitParameterModifiers=8192]="OmitParameterModifiers",e[e.UseAliasDefinedOutsideCurrentScope=16384]="UseAliasDefinedOutsideCurrentScope",e[e.UseSingleQuotesForStringLiteralType=268435456]="UseSingleQuotesForStringLiteralType",e[e.NoTypeReduction=536870912]="NoTypeReduction",e[e.OmitThisParameter=33554432]="OmitThisParameter",e[e.AllowUniqueESSymbolType=1048576]="AllowUniqueESSymbolType",e[e.AddUndefined=131072]="AddUndefined",e[e.WriteArrowStyleSignature=262144]="WriteArrowStyleSignature",e[e.InArrayType=524288]="InArrayType",e[e.InElementType=2097152]="InElementType",e[e.InFirstTypeArgument=4194304]="InFirstTypeArgument",e[e.InTypeAlias=8388608]="InTypeAlias",e[e.NodeBuilderFlagsMask=848330095]="NodeBuilderFlagsMask",e))(jG||{}),UG=(e=>(e[e.None=0]="None",e[e.WriteTypeParametersOrArguments=1]="WriteTypeParametersOrArguments",e[e.UseOnlyExternalAliasing=2]="UseOnlyExternalAliasing",e[e.AllowAnyNodeKind=4]="AllowAnyNodeKind",e[e.UseAliasDefinedOutsideCurrentScope=8]="UseAliasDefinedOutsideCurrentScope",e[e.WriteComputedProps=16]="WriteComputedProps",e[e.DoNotIncludeSymbolChain=32]="DoNotIncludeSymbolChain",e))(UG||{}),HG=(e=>(e[e.Accessible=0]="Accessible",e[e.NotAccessible=1]="NotAccessible",e[e.CannotBeNamed=2]="CannotBeNamed",e))(HG||{}),qG=(e=>(e[e.UnionOrIntersection=0]="UnionOrIntersection",e[e.Spread=1]="Spread",e))(qG||{}),JG=(e=>(e[e.This=0]="This",e[e.Identifier=1]="Identifier",e[e.AssertsThis=2]="AssertsThis",e[e.AssertsIdentifier=3]="AssertsIdentifier",e))(JG||{}),KG=(e=>(e[e.Unknown=0]="Unknown",e[e.TypeWithConstructSignatureAndValue=1]="TypeWithConstructSignatureAndValue",e[e.VoidNullableOrNeverType=2]="VoidNullableOrNeverType",e[e.NumberLikeType=3]="NumberLikeType",e[e.BigIntLikeType=4]="BigIntLikeType",e[e.StringLikeType=5]="StringLikeType",e[e.BooleanType=6]="BooleanType",e[e.ArrayLikeType=7]="ArrayLikeType",e[e.ESSymbolType=8]="ESSymbolType",e[e.Promise=9]="Promise",e[e.TypeWithCallSignature=10]="TypeWithCallSignature",e[e.ObjectType=11]="ObjectType",e))(KG||{}),Z6=(e=>(e[e.None=0]="None",e[e.FunctionScopedVariable=1]="FunctionScopedVariable",e[e.BlockScopedVariable=2]="BlockScopedVariable",e[e.Property=4]="Property",e[e.EnumMember=8]="EnumMember",e[e.Function=16]="Function",e[e.Class=32]="Class",e[e.Interface=64]="Interface",e[e.ConstEnum=128]="ConstEnum",e[e.RegularEnum=256]="RegularEnum",e[e.ValueModule=512]="ValueModule",e[e.NamespaceModule=1024]="NamespaceModule",e[e.TypeLiteral=2048]="TypeLiteral",e[e.ObjectLiteral=4096]="ObjectLiteral",e[e.Method=8192]="Method",e[e.Constructor=16384]="Constructor",e[e.GetAccessor=32768]="GetAccessor",e[e.SetAccessor=65536]="SetAccessor",e[e.Signature=131072]="Signature",e[e.TypeParameter=262144]="TypeParameter",e[e.TypeAlias=524288]="TypeAlias",e[e.ExportValue=1048576]="ExportValue",e[e.Alias=2097152]="Alias",e[e.Prototype=4194304]="Prototype",e[e.ExportStar=8388608]="ExportStar",e[e.Optional=16777216]="Optional",e[e.Transient=33554432]="Transient",e[e.Assignment=67108864]="Assignment",e[e.ModuleExports=134217728]="ModuleExports",e[e.All=-1]="All",e[e.Enum=384]="Enum",e[e.Variable=3]="Variable",e[e.Value=111551]="Value",e[e.Type=788968]="Type",e[e.Namespace=1920]="Namespace",e[e.Module=1536]="Module",e[e.Accessor=98304]="Accessor",e[e.FunctionScopedVariableExcludes=111550]="FunctionScopedVariableExcludes",e[e.BlockScopedVariableExcludes=111551]="BlockScopedVariableExcludes",e[e.ParameterExcludes=111551]="ParameterExcludes",e[e.PropertyExcludes=0]="PropertyExcludes",e[e.EnumMemberExcludes=900095]="EnumMemberExcludes",e[e.FunctionExcludes=110991]="FunctionExcludes",e[e.ClassExcludes=899503]="ClassExcludes",e[e.InterfaceExcludes=788872]="InterfaceExcludes",e[e.RegularEnumExcludes=899327]="RegularEnumExcludes",e[e.ConstEnumExcludes=899967]="ConstEnumExcludes",e[e.ValueModuleExcludes=110735]="ValueModuleExcludes",e[e.NamespaceModuleExcludes=0]="NamespaceModuleExcludes",e[e.MethodExcludes=103359]="MethodExcludes",e[e.GetAccessorExcludes=46015]="GetAccessorExcludes",e[e.SetAccessorExcludes=78783]="SetAccessorExcludes",e[e.AccessorExcludes=13247]="AccessorExcludes",e[e.TypeParameterExcludes=526824]="TypeParameterExcludes",e[e.TypeAliasExcludes=788968]="TypeAliasExcludes",e[e.AliasExcludes=2097152]="AliasExcludes",e[e.ModuleMember=2623475]="ModuleMember",e[e.ExportHasLocal=944]="ExportHasLocal",e[e.BlockScoped=418]="BlockScoped",e[e.PropertyOrAccessor=98308]="PropertyOrAccessor",e[e.ClassMember=106500]="ClassMember",e[e.ExportSupportsDefaultModifier=112]="ExportSupportsDefaultModifier",e[e.ExportDoesNotSupportDefaultModifier=-113]="ExportDoesNotSupportDefaultModifier",e[e.Classifiable=2885600]="Classifiable",e[e.LateBindingContainer=6256]="LateBindingContainer",e))(Z6||{}),XG=(e=>(e[e.Numeric=0]="Numeric",e[e.Literal=1]="Literal",e))(XG||{}),YG=(e=>(e[e.None=0]="None",e[e.Instantiated=1]="Instantiated",e[e.SyntheticProperty=2]="SyntheticProperty",e[e.SyntheticMethod=4]="SyntheticMethod",e[e.Readonly=8]="Readonly",e[e.ReadPartial=16]="ReadPartial",e[e.WritePartial=32]="WritePartial",e[e.HasNonUniformType=64]="HasNonUniformType",e[e.HasLiteralType=128]="HasLiteralType",e[e.ContainsPublic=256]="ContainsPublic",e[e.ContainsProtected=512]="ContainsProtected",e[e.ContainsPrivate=1024]="ContainsPrivate",e[e.ContainsStatic=2048]="ContainsStatic",e[e.Late=4096]="Late",e[e.ReverseMapped=8192]="ReverseMapped",e[e.OptionalParameter=16384]="OptionalParameter",e[e.RestParameter=32768]="RestParameter",e[e.DeferredType=65536]="DeferredType",e[e.HasNeverType=131072]="HasNeverType",e[e.Mapped=262144]="Mapped",e[e.StripOptional=524288]="StripOptional",e[e.Unresolved=1048576]="Unresolved",e[e.Synthetic=6]="Synthetic",e[e.Discriminant=192]="Discriminant",e[e.Partial=48]="Partial",e))(YG||{}),$G=(e=>(e.Call="__call",e.Constructor="__constructor",e.New="__new",e.Index="__index",e.ExportStar="__export",e.Global="__global",e.Missing="__missing",e.Type="__type",e.Object="__object",e.JSXAttributes="__jsxAttributes",e.Class="__class",e.Function="__function",e.Computed="__computed",e.Resolving="__resolving__",e.ExportEquals="export=",e.Default="default",e.This="this",e.InstantiationExpression="__instantiationExpression",e.ImportAttributes="__importAttributes",e))($G||{}),QG=(e=>(e[e.None=0]="None",e[e.TypeChecked=1]="TypeChecked",e[e.LexicalThis=2]="LexicalThis",e[e.CaptureThis=4]="CaptureThis",e[e.CaptureNewTarget=8]="CaptureNewTarget",e[e.SuperInstance=16]="SuperInstance",e[e.SuperStatic=32]="SuperStatic",e[e.ContextChecked=64]="ContextChecked",e[e.MethodWithSuperPropertyAccessInAsync=128]="MethodWithSuperPropertyAccessInAsync",e[e.MethodWithSuperPropertyAssignmentInAsync=256]="MethodWithSuperPropertyAssignmentInAsync",e[e.CaptureArguments=512]="CaptureArguments",e[e.EnumValuesComputed=1024]="EnumValuesComputed",e[e.LexicalModuleMergesWithClass=2048]="LexicalModuleMergesWithClass",e[e.LoopWithCapturedBlockScopedBinding=4096]="LoopWithCapturedBlockScopedBinding",e[e.ContainsCapturedBlockScopeBinding=8192]="ContainsCapturedBlockScopeBinding",e[e.CapturedBlockScopedBinding=16384]="CapturedBlockScopedBinding",e[e.BlockScopedBindingInLoop=32768]="BlockScopedBindingInLoop",e[e.NeedsLoopOutParameter=65536]="NeedsLoopOutParameter",e[e.AssignmentsMarked=131072]="AssignmentsMarked",e[e.ContainsConstructorReference=262144]="ContainsConstructorReference",e[e.ConstructorReference=536870912]="ConstructorReference",e[e.ContainsClassWithPrivateIdentifiers=1048576]="ContainsClassWithPrivateIdentifiers",e[e.ContainsSuperPropertyInStaticInitializer=2097152]="ContainsSuperPropertyInStaticInitializer",e[e.InCheckIdentifier=4194304]="InCheckIdentifier",e))(QG||{}),e4=(e=>(e[e.Any=1]="Any",e[e.Unknown=2]="Unknown",e[e.String=4]="String",e[e.Number=8]="Number",e[e.Boolean=16]="Boolean",e[e.Enum=32]="Enum",e[e.BigInt=64]="BigInt",e[e.StringLiteral=128]="StringLiteral",e[e.NumberLiteral=256]="NumberLiteral",e[e.BooleanLiteral=512]="BooleanLiteral",e[e.EnumLiteral=1024]="EnumLiteral",e[e.BigIntLiteral=2048]="BigIntLiteral",e[e.ESSymbol=4096]="ESSymbol",e[e.UniqueESSymbol=8192]="UniqueESSymbol",e[e.Void=16384]="Void",e[e.Undefined=32768]="Undefined",e[e.Null=65536]="Null",e[e.Never=131072]="Never",e[e.TypeParameter=262144]="TypeParameter",e[e.Object=524288]="Object",e[e.Union=1048576]="Union",e[e.Intersection=2097152]="Intersection",e[e.Index=4194304]="Index",e[e.IndexedAccess=8388608]="IndexedAccess",e[e.Conditional=16777216]="Conditional",e[e.Substitution=33554432]="Substitution",e[e.NonPrimitive=67108864]="NonPrimitive",e[e.TemplateLiteral=134217728]="TemplateLiteral",e[e.StringMapping=268435456]="StringMapping",e[e.Reserved1=536870912]="Reserved1",e[e.AnyOrUnknown=3]="AnyOrUnknown",e[e.Nullable=98304]="Nullable",e[e.Literal=2944]="Literal",e[e.Unit=109472]="Unit",e[e.Freshable=2976]="Freshable",e[e.StringOrNumberLiteral=384]="StringOrNumberLiteral",e[e.StringOrNumberLiteralOrUnique=8576]="StringOrNumberLiteralOrUnique",e[e.DefinitelyFalsy=117632]="DefinitelyFalsy",e[e.PossiblyFalsy=117724]="PossiblyFalsy",e[e.Intrinsic=67359327]="Intrinsic",e[e.StringLike=402653316]="StringLike",e[e.NumberLike=296]="NumberLike",e[e.BigIntLike=2112]="BigIntLike",e[e.BooleanLike=528]="BooleanLike",e[e.EnumLike=1056]="EnumLike",e[e.ESSymbolLike=12288]="ESSymbolLike",e[e.VoidLike=49152]="VoidLike",e[e.Primitive=402784252]="Primitive",e[e.DefinitelyNonNullable=470302716]="DefinitelyNonNullable",e[e.DisjointDomains=469892092]="DisjointDomains",e[e.UnionOrIntersection=3145728]="UnionOrIntersection",e[e.StructuredType=3670016]="StructuredType",e[e.TypeVariable=8650752]="TypeVariable",e[e.InstantiableNonPrimitive=58982400]="InstantiableNonPrimitive",e[e.InstantiablePrimitive=406847488]="InstantiablePrimitive",e[e.Instantiable=465829888]="Instantiable",e[e.StructuredOrInstantiable=469499904]="StructuredOrInstantiable",e[e.ObjectFlagsType=3899393]="ObjectFlagsType",e[e.Simplifiable=25165824]="Simplifiable",e[e.Singleton=67358815]="Singleton",e[e.Narrowable=536624127]="Narrowable",e[e.IncludesMask=473694207]="IncludesMask",e[e.IncludesMissingType=262144]="IncludesMissingType",e[e.IncludesNonWideningType=4194304]="IncludesNonWideningType",e[e.IncludesWildcard=8388608]="IncludesWildcard",e[e.IncludesEmptyObject=16777216]="IncludesEmptyObject",e[e.IncludesInstantiable=33554432]="IncludesInstantiable",e[e.IncludesConstrainedTypeVariable=536870912]="IncludesConstrainedTypeVariable",e[e.NotPrimitiveUnion=36323331]="NotPrimitiveUnion",e))(e4||{}),t4=(e=>(e[e.None=0]="None",e[e.Class=1]="Class",e[e.Interface=2]="Interface",e[e.Reference=4]="Reference",e[e.Tuple=8]="Tuple",e[e.Anonymous=16]="Anonymous",e[e.Mapped=32]="Mapped",e[e.Instantiated=64]="Instantiated",e[e.ObjectLiteral=128]="ObjectLiteral",e[e.EvolvingArray=256]="EvolvingArray",e[e.ObjectLiteralPatternWithComputedProperties=512]="ObjectLiteralPatternWithComputedProperties",e[e.ReverseMapped=1024]="ReverseMapped",e[e.JsxAttributes=2048]="JsxAttributes",e[e.JSLiteral=4096]="JSLiteral",e[e.FreshLiteral=8192]="FreshLiteral",e[e.ArrayLiteral=16384]="ArrayLiteral",e[e.PrimitiveUnion=32768]="PrimitiveUnion",e[e.ContainsWideningType=65536]="ContainsWideningType",e[e.ContainsObjectOrArrayLiteral=131072]="ContainsObjectOrArrayLiteral",e[e.NonInferrableType=262144]="NonInferrableType",e[e.CouldContainTypeVariablesComputed=524288]="CouldContainTypeVariablesComputed",e[e.CouldContainTypeVariables=1048576]="CouldContainTypeVariables",e[e.ClassOrInterface=3]="ClassOrInterface",e[e.RequiresWidening=196608]="RequiresWidening",e[e.PropagatingFlags=458752]="PropagatingFlags",e[e.InstantiatedMapped=96]="InstantiatedMapped",e[e.ObjectTypeKindMask=1343]="ObjectTypeKindMask",e[e.ContainsSpread=2097152]="ContainsSpread",e[e.ObjectRestType=4194304]="ObjectRestType",e[e.InstantiationExpressionType=8388608]="InstantiationExpressionType",e[e.IsClassInstanceClone=16777216]="IsClassInstanceClone",e[e.IdenticalBaseTypeCalculated=33554432]="IdenticalBaseTypeCalculated",e[e.IdenticalBaseTypeExists=67108864]="IdenticalBaseTypeExists",e[e.IsGenericTypeComputed=2097152]="IsGenericTypeComputed",e[e.IsGenericObjectType=4194304]="IsGenericObjectType",e[e.IsGenericIndexType=8388608]="IsGenericIndexType",e[e.IsGenericType=12582912]="IsGenericType",e[e.ContainsIntersections=16777216]="ContainsIntersections",e[e.IsUnknownLikeUnionComputed=33554432]="IsUnknownLikeUnionComputed",e[e.IsUnknownLikeUnion=67108864]="IsUnknownLikeUnion",e[e.IsNeverIntersectionComputed=16777216]="IsNeverIntersectionComputed",e[e.IsNeverIntersection=33554432]="IsNeverIntersection",e[e.IsConstrainedTypeVariable=67108864]="IsConstrainedTypeVariable",e))(t4||{}),ZG=(e=>(e[e.Invariant=0]="Invariant",e[e.Covariant=1]="Covariant",e[e.Contravariant=2]="Contravariant",e[e.Bivariant=3]="Bivariant",e[e.Independent=4]="Independent",e[e.VarianceMask=7]="VarianceMask",e[e.Unmeasurable=8]="Unmeasurable",e[e.Unreliable=16]="Unreliable",e[e.AllowsStructuralFallback=24]="AllowsStructuralFallback",e))(ZG||{}),eV=(e=>(e[e.Required=1]="Required",e[e.Optional=2]="Optional",e[e.Rest=4]="Rest",e[e.Variadic=8]="Variadic",e[e.Fixed=3]="Fixed",e[e.Variable=12]="Variable",e[e.NonRequired=14]="NonRequired",e[e.NonRest=11]="NonRest",e))(eV||{}),tV=(e=>(e[e.None=0]="None",e[e.IncludeUndefined=1]="IncludeUndefined",e[e.NoIndexSignatures=2]="NoIndexSignatures",e[e.Writing=4]="Writing",e[e.CacheSymbol=8]="CacheSymbol",e[e.NoTupleBoundsCheck=16]="NoTupleBoundsCheck",e[e.ExpressionPosition=32]="ExpressionPosition",e[e.ReportDeprecated=64]="ReportDeprecated",e[e.SuppressNoImplicitAnyError=128]="SuppressNoImplicitAnyError",e[e.Contextual=256]="Contextual",e[e.Persistent=1]="Persistent",e))(tV||{}),nV=(e=>(e[e.None=0]="None",e[e.StringsOnly=1]="StringsOnly",e[e.NoIndexSignatures=2]="NoIndexSignatures",e[e.NoReducibleCheck=4]="NoReducibleCheck",e))(nV||{}),rV=(e=>(e[e.Component=0]="Component",e[e.Function=1]="Function",e[e.Mixed=2]="Mixed",e))(rV||{}),iV=(e=>(e[e.Call=0]="Call",e[e.Construct=1]="Construct",e))(iV||{}),n4=(e=>(e[e.None=0]="None",e[e.HasRestParameter=1]="HasRestParameter",e[e.HasLiteralTypes=2]="HasLiteralTypes",e[e.Abstract=4]="Abstract",e[e.IsInnerCallChain=8]="IsInnerCallChain",e[e.IsOuterCallChain=16]="IsOuterCallChain",e[e.IsUntypedSignatureInJSFile=32]="IsUntypedSignatureInJSFile",e[e.IsNonInferrable=64]="IsNonInferrable",e[e.IsSignatureCandidateForOverloadFailure=128]="IsSignatureCandidateForOverloadFailure",e[e.PropagatingFlags=167]="PropagatingFlags",e[e.CallChainFlags=24]="CallChainFlags",e))(n4||{}),oV=(e=>(e[e.String=0]="String",e[e.Number=1]="Number",e))(oV||{}),aV=(e=>(e[e.Simple=0]="Simple",e[e.Array=1]="Array",e[e.Deferred=2]="Deferred",e[e.Function=3]="Function",e[e.Composite=4]="Composite",e[e.Merged=5]="Merged",e))(aV||{}),sV=(e=>(e[e.None=0]="None",e[e.NakedTypeVariable=1]="NakedTypeVariable",e[e.SpeculativeTuple=2]="SpeculativeTuple",e[e.SubstituteSource=4]="SubstituteSource",e[e.HomomorphicMappedType=8]="HomomorphicMappedType",e[e.PartialHomomorphicMappedType=16]="PartialHomomorphicMappedType",e[e.MappedTypeConstraint=32]="MappedTypeConstraint",e[e.ContravariantConditional=64]="ContravariantConditional",e[e.ReturnType=128]="ReturnType",e[e.LiteralKeyof=256]="LiteralKeyof",e[e.NoConstraints=512]="NoConstraints",e[e.AlwaysStrict=1024]="AlwaysStrict",e[e.MaxValue=2048]="MaxValue",e[e.PriorityImpliesCombination=416]="PriorityImpliesCombination",e[e.Circularity=-1]="Circularity",e))(sV||{}),lV=(e=>(e[e.None=0]="None",e[e.NoDefault=1]="NoDefault",e[e.AnyDefault=2]="AnyDefault",e[e.SkippedGenericFunction=4]="SkippedGenericFunction",e))(lV||{}),cV=(e=>(e[e.False=0]="False",e[e.Unknown=1]="Unknown",e[e.Maybe=3]="Maybe",e[e.True=-1]="True",e))(cV||{}),uV=(e=>(e[e.None=0]="None",e[e.ExportsProperty=1]="ExportsProperty",e[e.ModuleExports=2]="ModuleExports",e[e.PrototypeProperty=3]="PrototypeProperty",e[e.ThisProperty=4]="ThisProperty",e[e.Property=5]="Property",e[e.Prototype=6]="Prototype",e[e.ObjectDefinePropertyValue=7]="ObjectDefinePropertyValue",e[e.ObjectDefinePropertyExports=8]="ObjectDefinePropertyExports",e[e.ObjectDefinePrototypeProperty=9]="ObjectDefinePrototypeProperty",e))(uV||{}),HM=(e=>(e[e.Warning=0]="Warning",e[e.Error=1]="Error",e[e.Suggestion=2]="Suggestion",e[e.Message=3]="Message",e))(HM||{}),qx=(e=>(e[e.Classic=1]="Classic",e[e.NodeJs=2]="NodeJs",e[e.Node10=2]="Node10",e[e.Node16=3]="Node16",e[e.NodeNext=99]="NodeNext",e[e.Bundler=100]="Bundler",e))(qx||{}),dV=(e=>(e[e.Legacy=1]="Legacy",e[e.Auto=2]="Auto",e[e.Force=3]="Force",e))(dV||{}),pV=(e=>(e[e.FixedPollingInterval=0]="FixedPollingInterval",e[e.PriorityPollingInterval=1]="PriorityPollingInterval",e[e.DynamicPriorityPolling=2]="DynamicPriorityPolling",e[e.FixedChunkSizePolling=3]="FixedChunkSizePolling",e[e.UseFsEvents=4]="UseFsEvents",e[e.UseFsEventsOnParentDirectory=5]="UseFsEventsOnParentDirectory",e))(pV||{}),fV=(e=>(e[e.UseFsEvents=0]="UseFsEvents",e[e.FixedPollingInterval=1]="FixedPollingInterval",e[e.DynamicPriorityPolling=2]="DynamicPriorityPolling",e[e.FixedChunkSizePolling=3]="FixedChunkSizePolling",e))(fV||{}),mV=(e=>(e[e.FixedInterval=0]="FixedInterval",e[e.PriorityInterval=1]="PriorityInterval",e[e.DynamicPriority=2]="DynamicPriority",e[e.FixedChunkSize=3]="FixedChunkSize",e))(mV||{}),eC=(e=>(e[e.None=0]="None",e[e.CommonJS=1]="CommonJS",e[e.AMD=2]="AMD",e[e.UMD=3]="UMD",e[e.System=4]="System",e[e.ES2015=5]="ES2015",e[e.ES2020=6]="ES2020",e[e.ES2022=7]="ES2022",e[e.ESNext=99]="ESNext",e[e.Node16=100]="Node16",e[e.NodeNext=199]="NodeNext",e[e.Preserve=200]="Preserve",e))(eC||{}),_V=(e=>(e[e.None=0]="None",e[e.Preserve=1]="Preserve",e[e.React=2]="React",e[e.ReactNative=3]="ReactNative",e[e.ReactJSX=4]="ReactJSX",e[e.ReactJSXDev=5]="ReactJSXDev",e))(_V||{}),hV=(e=>(e[e.Remove=0]="Remove",e[e.Preserve=1]="Preserve",e[e.Error=2]="Error",e))(hV||{}),gV=(e=>(e[e.CarriageReturnLineFeed=0]="CarriageReturnLineFeed",e[e.LineFeed=1]="LineFeed",e))(gV||{}),r4=(e=>(e[e.Unknown=0]="Unknown",e[e.JS=1]="JS",e[e.JSX=2]="JSX",e[e.TS=3]="TS",e[e.TSX=4]="TSX",e[e.External=5]="External",e[e.JSON=6]="JSON",e[e.Deferred=7]="Deferred",e))(r4||{}),vV=(e=>(e[e.ES3=0]="ES3",e[e.ES5=1]="ES5",e[e.ES2015=2]="ES2015",e[e.ES2016=3]="ES2016",e[e.ES2017=4]="ES2017",e[e.ES2018=5]="ES2018",e[e.ES2019=6]="ES2019",e[e.ES2020=7]="ES2020",e[e.ES2021=8]="ES2021",e[e.ES2022=9]="ES2022",e[e.ESNext=99]="ESNext",e[e.JSON=100]="JSON",e[e.Latest=99]="Latest",e))(vV||{}),yV=(e=>(e[e.Standard=0]="Standard",e[e.JSX=1]="JSX",e))(yV||{}),bV=(e=>(e[e.None=0]="None",e[e.Recursive=1]="Recursive",e))(bV||{}),EV=(e=>(e[e.nullCharacter=0]="nullCharacter",e[e.maxAsciiCharacter=127]="maxAsciiCharacter",e[e.lineFeed=10]="lineFeed",e[e.carriageReturn=13]="carriageReturn",e[e.lineSeparator=8232]="lineSeparator",e[e.paragraphSeparator=8233]="paragraphSeparator",e[e.nextLine=133]="nextLine",e[e.space=32]="space",e[e.nonBreakingSpace=160]="nonBreakingSpace",e[e.enQuad=8192]="enQuad",e[e.emQuad=8193]="emQuad",e[e.enSpace=8194]="enSpace",e[e.emSpace=8195]="emSpace",e[e.threePerEmSpace=8196]="threePerEmSpace",e[e.fourPerEmSpace=8197]="fourPerEmSpace",e[e.sixPerEmSpace=8198]="sixPerEmSpace",e[e.figureSpace=8199]="figureSpace",e[e.punctuationSpace=8200]="punctuationSpace",e[e.thinSpace=8201]="thinSpace",e[e.hairSpace=8202]="hairSpace",e[e.zeroWidthSpace=8203]="zeroWidthSpace",e[e.narrowNoBreakSpace=8239]="narrowNoBreakSpace",e[e.ideographicSpace=12288]="ideographicSpace",e[e.mathematicalSpace=8287]="mathematicalSpace",e[e.ogham=5760]="ogham",e[e._=95]="_",e[e.$=36]="$",e[e._0=48]="_0",e[e._1=49]="_1",e[e._2=50]="_2",e[e._3=51]="_3",e[e._4=52]="_4",e[e._5=53]="_5",e[e._6=54]="_6",e[e._7=55]="_7",e[e._8=56]="_8",e[e._9=57]="_9",e[e.a=97]="a",e[e.b=98]="b",e[e.c=99]="c",e[e.d=100]="d",e[e.e=101]="e",e[e.f=102]="f",e[e.g=103]="g",e[e.h=104]="h",e[e.i=105]="i",e[e.j=106]="j",e[e.k=107]="k",e[e.l=108]="l",e[e.m=109]="m",e[e.n=110]="n",e[e.o=111]="o",e[e.p=112]="p",e[e.q=113]="q",e[e.r=114]="r",e[e.s=115]="s",e[e.t=116]="t",e[e.u=117]="u",e[e.v=118]="v",e[e.w=119]="w",e[e.x=120]="x",e[e.y=121]="y",e[e.z=122]="z",e[e.A=65]="A",e[e.B=66]="B",e[e.C=67]="C",e[e.D=68]="D",e[e.E=69]="E",e[e.F=70]="F",e[e.G=71]="G",e[e.H=72]="H",e[e.I=73]="I",e[e.J=74]="J",e[e.K=75]="K",e[e.L=76]="L",e[e.M=77]="M",e[e.N=78]="N",e[e.O=79]="O",e[e.P=80]="P",e[e.Q=81]="Q",e[e.R=82]="R",e[e.S=83]="S",e[e.T=84]="T",e[e.U=85]="U",e[e.V=86]="V",e[e.W=87]="W",e[e.X=88]="X",e[e.Y=89]="Y",e[e.Z=90]="Z",e[e.ampersand=38]="ampersand",e[e.asterisk=42]="asterisk",e[e.at=64]="at",e[e.backslash=92]="backslash",e[e.backtick=96]="backtick",e[e.bar=124]="bar",e[e.caret=94]="caret",e[e.closeBrace=125]="closeBrace",e[e.closeBracket=93]="closeBracket",e[e.closeParen=41]="closeParen",e[e.colon=58]="colon",e[e.comma=44]="comma",e[e.dot=46]="dot",e[e.doubleQuote=34]="doubleQuote",e[e.equals=61]="equals",e[e.exclamation=33]="exclamation",e[e.greaterThan=62]="greaterThan",e[e.hash=35]="hash",e[e.lessThan=60]="lessThan",e[e.minus=45]="minus",e[e.openBrace=123]="openBrace",e[e.openBracket=91]="openBracket",e[e.openParen=40]="openParen",e[e.percent=37]="percent",e[e.plus=43]="plus",e[e.question=63]="question",e[e.semicolon=59]="semicolon",e[e.singleQuote=39]="singleQuote",e[e.slash=47]="slash",e[e.tilde=126]="tilde",e[e.backspace=8]="backspace",e[e.formFeed=12]="formFeed",e[e.byteOrderMark=65279]="byteOrderMark",e[e.tab=9]="tab",e[e.verticalTab=11]="verticalTab",e))(EV||{}),SV=(e=>(e.Ts=".ts",e.Tsx=".tsx",e.Dts=".d.ts",e.Js=".js",e.Jsx=".jsx",e.Json=".json",e.TsBuildInfo=".tsbuildinfo",e.Mjs=".mjs",e.Mts=".mts",e.Dmts=".d.mts",e.Cjs=".cjs",e.Cts=".cts",e.Dcts=".d.cts",e))(SV||{}),i4=(e=>(e[e.None=0]="None",e[e.ContainsTypeScript=1]="ContainsTypeScript",e[e.ContainsJsx=2]="ContainsJsx",e[e.ContainsESNext=4]="ContainsESNext",e[e.ContainsES2022=8]="ContainsES2022",e[e.ContainsES2021=16]="ContainsES2021",e[e.ContainsES2020=32]="ContainsES2020",e[e.ContainsES2019=64]="ContainsES2019",e[e.ContainsES2018=128]="ContainsES2018",e[e.ContainsES2017=256]="ContainsES2017",e[e.ContainsES2016=512]="ContainsES2016",e[e.ContainsES2015=1024]="ContainsES2015",e[e.ContainsGenerator=2048]="ContainsGenerator",e[e.ContainsDestructuringAssignment=4096]="ContainsDestructuringAssignment",e[e.ContainsTypeScriptClassSyntax=8192]="ContainsTypeScriptClassSyntax",e[e.ContainsLexicalThis=16384]="ContainsLexicalThis",e[e.ContainsRestOrSpread=32768]="ContainsRestOrSpread",e[e.ContainsObjectRestOrSpread=65536]="ContainsObjectRestOrSpread",e[e.ContainsComputedPropertyName=131072]="ContainsComputedPropertyName",e[e.ContainsBlockScopedBinding=262144]="ContainsBlockScopedBinding",e[e.ContainsBindingPattern=524288]="ContainsBindingPattern",e[e.ContainsYield=1048576]="ContainsYield",e[e.ContainsAwait=2097152]="ContainsAwait",e[e.ContainsHoistedDeclarationOrCompletion=4194304]="ContainsHoistedDeclarationOrCompletion",e[e.ContainsDynamicImport=8388608]="ContainsDynamicImport",e[e.ContainsClassFields=16777216]="ContainsClassFields",e[e.ContainsDecorators=33554432]="ContainsDecorators",e[e.ContainsPossibleTopLevelAwait=67108864]="ContainsPossibleTopLevelAwait",e[e.ContainsLexicalSuper=134217728]="ContainsLexicalSuper",e[e.ContainsUpdateExpressionForIdentifier=268435456]="ContainsUpdateExpressionForIdentifier",e[e.ContainsPrivateIdentifierInExpression=536870912]="ContainsPrivateIdentifierInExpression",e[e.HasComputedFlags=-2147483648]="HasComputedFlags",e[e.AssertTypeScript=1]="AssertTypeScript",e[e.AssertJsx=2]="AssertJsx",e[e.AssertESNext=4]="AssertESNext",e[e.AssertES2022=8]="AssertES2022",e[e.AssertES2021=16]="AssertES2021",e[e.AssertES2020=32]="AssertES2020",e[e.AssertES2019=64]="AssertES2019",e[e.AssertES2018=128]="AssertES2018",e[e.AssertES2017=256]="AssertES2017",e[e.AssertES2016=512]="AssertES2016",e[e.AssertES2015=1024]="AssertES2015",e[e.AssertGenerator=2048]="AssertGenerator",e[e.AssertDestructuringAssignment=4096]="AssertDestructuringAssignment",e[e.OuterExpressionExcludes=-2147483648]="OuterExpressionExcludes",e[e.PropertyAccessExcludes=-2147483648]="PropertyAccessExcludes",e[e.NodeExcludes=-2147483648]="NodeExcludes",e[e.ArrowFunctionExcludes=-2072174592]="ArrowFunctionExcludes",e[e.FunctionExcludes=-1937940480]="FunctionExcludes",e[e.ConstructorExcludes=-1937948672]="ConstructorExcludes",e[e.MethodOrAccessorExcludes=-2005057536]="MethodOrAccessorExcludes",e[e.PropertyExcludes=-2013249536]="PropertyExcludes",e[e.ClassExcludes=-2147344384]="ClassExcludes",e[e.ModuleExcludes=-1941676032]="ModuleExcludes",e[e.TypeExcludes=-2]="TypeExcludes",e[e.ObjectLiteralExcludes=-2147278848]="ObjectLiteralExcludes",e[e.ArrayLiteralOrCallOrNewExcludes=-2147450880]="ArrayLiteralOrCallOrNewExcludes",e[e.VariableDeclarationListExcludes=-2146893824]="VariableDeclarationListExcludes",e[e.ParameterExcludes=-2147483648]="ParameterExcludes",e[e.CatchClauseExcludes=-2147418112]="CatchClauseExcludes",e[e.BindingPatternExcludes=-2147450880]="BindingPatternExcludes",e[e.ContainsLexicalThisOrSuper=134234112]="ContainsLexicalThisOrSuper",e[e.PropertyNamePropagatingFlags=134234112]="PropertyNamePropagatingFlags",e))(i4||{}),o4=(e=>(e[e.TabStop=0]="TabStop",e[e.Placeholder=1]="Placeholder",e[e.Choice=2]="Choice",e[e.Variable=3]="Variable",e))(o4||{}),a4=(e=>(e[e.None=0]="None",e[e.SingleLine=1]="SingleLine",e[e.MultiLine=2]="MultiLine",e[e.AdviseOnEmitNode=4]="AdviseOnEmitNode",e[e.NoSubstitution=8]="NoSubstitution",e[e.CapturesThis=16]="CapturesThis",e[e.NoLeadingSourceMap=32]="NoLeadingSourceMap",e[e.NoTrailingSourceMap=64]="NoTrailingSourceMap",e[e.NoSourceMap=96]="NoSourceMap",e[e.NoNestedSourceMaps=128]="NoNestedSourceMaps",e[e.NoTokenLeadingSourceMaps=256]="NoTokenLeadingSourceMaps",e[e.NoTokenTrailingSourceMaps=512]="NoTokenTrailingSourceMaps",e[e.NoTokenSourceMaps=768]="NoTokenSourceMaps",e[e.NoLeadingComments=1024]="NoLeadingComments",e[e.NoTrailingComments=2048]="NoTrailingComments",e[e.NoComments=3072]="NoComments",e[e.NoNestedComments=4096]="NoNestedComments",e[e.HelperName=8192]="HelperName",e[e.ExportName=16384]="ExportName",e[e.LocalName=32768]="LocalName",e[e.InternalName=65536]="InternalName",e[e.Indented=131072]="Indented",e[e.NoIndentation=262144]="NoIndentation",e[e.AsyncFunctionBody=524288]="AsyncFunctionBody",e[e.ReuseTempVariableScope=1048576]="ReuseTempVariableScope",e[e.CustomPrologue=2097152]="CustomPrologue",e[e.NoHoisting=4194304]="NoHoisting",e[e.Iterator=8388608]="Iterator",e[e.NoAsciiEscaping=16777216]="NoAsciiEscaping",e))(a4||{}),TV=(e=>(e[e.None=0]="None",e[e.TypeScriptClassWrapper=1]="TypeScriptClassWrapper",e[e.NeverApplyImportHelper=2]="NeverApplyImportHelper",e[e.IgnoreSourceNewlines=4]="IgnoreSourceNewlines",e[e.Immutable=8]="Immutable",e[e.IndirectCall=16]="IndirectCall",e[e.TransformPrivateStaticElements=32]="TransformPrivateStaticElements",e))(TV||{}),AV=(e=>(e[e.Extends=1]="Extends",e[e.Assign=2]="Assign",e[e.Rest=4]="Rest",e[e.Decorate=8]="Decorate",e[e.ESDecorateAndRunInitializers=8]="ESDecorateAndRunInitializers",e[e.Metadata=16]="Metadata",e[e.Param=32]="Param",e[e.Awaiter=64]="Awaiter",e[e.Generator=128]="Generator",e[e.Values=256]="Values",e[e.Read=512]="Read",e[e.SpreadArray=1024]="SpreadArray",e[e.Await=2048]="Await",e[e.AsyncGenerator=4096]="AsyncGenerator",e[e.AsyncDelegator=8192]="AsyncDelegator",e[e.AsyncValues=16384]="AsyncValues",e[e.ExportStar=32768]="ExportStar",e[e.ImportStar=65536]="ImportStar",e[e.ImportDefault=131072]="ImportDefault",e[e.MakeTemplateObject=262144]="MakeTemplateObject",e[e.ClassPrivateFieldGet=524288]="ClassPrivateFieldGet",e[e.ClassPrivateFieldSet=1048576]="ClassPrivateFieldSet",e[e.ClassPrivateFieldIn=2097152]="ClassPrivateFieldIn",e[e.CreateBinding=4194304]="CreateBinding",e[e.SetFunctionName=8388608]="SetFunctionName",e[e.PropKey=16777216]="PropKey",e[e.AddDisposableResourceAndDisposeResources=33554432]="AddDisposableResourceAndDisposeResources",e[e.FirstEmitHelper=1]="FirstEmitHelper",e[e.LastEmitHelper=33554432]="LastEmitHelper",e[e.ForOfIncludes=256]="ForOfIncludes",e[e.ForAwaitOfIncludes=16384]="ForAwaitOfIncludes",e[e.AsyncGeneratorIncludes=6144]="AsyncGeneratorIncludes",e[e.AsyncDelegatorIncludes=26624]="AsyncDelegatorIncludes",e[e.SpreadIncludes=1536]="SpreadIncludes",e))(AV||{}),IV=(e=>(e[e.SourceFile=0]="SourceFile",e[e.Expression=1]="Expression",e[e.IdentifierName=2]="IdentifierName",e[e.MappedTypeParameter=3]="MappedTypeParameter",e[e.Unspecified=4]="Unspecified",e[e.EmbeddedStatement=5]="EmbeddedStatement",e[e.JsxAttributeValue=6]="JsxAttributeValue",e[e.ImportTypeNodeAttributes=7]="ImportTypeNodeAttributes",e))(IV||{}),xV=(e=>(e[e.Parentheses=1]="Parentheses",e[e.TypeAssertions=2]="TypeAssertions",e[e.NonNullAssertions=4]="NonNullAssertions",e[e.PartiallyEmittedExpressions=8]="PartiallyEmittedExpressions",e[e.Assertions=6]="Assertions",e[e.All=15]="All",e[e.ExcludeJSDocTypeAssertion=16]="ExcludeJSDocTypeAssertion",e))(xV||{}),RV=(e=>(e[e.None=0]="None",e[e.InParameters=1]="InParameters",e[e.VariablesHoistedInParameters=2]="VariablesHoistedInParameters",e))(RV||{}),DV=(e=>(e.Prologue="prologue",e.EmitHelpers="emitHelpers",e.NoDefaultLib="no-default-lib",e.Reference="reference",e.Type="type",e.TypeResolutionModeRequire="type-require",e.TypeResolutionModeImport="type-import",e.Lib="lib",e.Prepend="prepend",e.Text="text",e.Internal="internal",e))(DV||{}),NV=(e=>(e[e.None=0]="None",e[e.SingleLine=0]="SingleLine",e[e.MultiLine=1]="MultiLine",e[e.PreserveLines=2]="PreserveLines",e[e.LinesMask=3]="LinesMask",e[e.NotDelimited=0]="NotDelimited",e[e.BarDelimited=4]="BarDelimited",e[e.AmpersandDelimited=8]="AmpersandDelimited",e[e.CommaDelimited=16]="CommaDelimited",e[e.AsteriskDelimited=32]="AsteriskDelimited",e[e.DelimitersMask=60]="DelimitersMask",e[e.AllowTrailingComma=64]="AllowTrailingComma",e[e.Indented=128]="Indented",e[e.SpaceBetweenBraces=256]="SpaceBetweenBraces",e[e.SpaceBetweenSiblings=512]="SpaceBetweenSiblings",e[e.Braces=1024]="Braces",e[e.Parenthesis=2048]="Parenthesis",e[e.AngleBrackets=4096]="AngleBrackets",e[e.SquareBrackets=8192]="SquareBrackets",e[e.BracketsMask=15360]="BracketsMask",e[e.OptionalIfUndefined=16384]="OptionalIfUndefined",e[e.OptionalIfEmpty=32768]="OptionalIfEmpty",e[e.Optional=49152]="Optional",e[e.PreferNewLine=65536]="PreferNewLine",e[e.NoTrailingNewLine=131072]="NoTrailingNewLine",e[e.NoInterveningComments=262144]="NoInterveningComments",e[e.NoSpaceIfEmpty=524288]="NoSpaceIfEmpty",e[e.SingleElement=1048576]="SingleElement",e[e.SpaceAfterList=2097152]="SpaceAfterList",e[e.Modifiers=2359808]="Modifiers",e[e.HeritageClauses=512]="HeritageClauses",e[e.SingleLineTypeLiteralMembers=768]="SingleLineTypeLiteralMembers",e[e.MultiLineTypeLiteralMembers=32897]="MultiLineTypeLiteralMembers",e[e.SingleLineTupleTypeElements=528]="SingleLineTupleTypeElements",e[e.MultiLineTupleTypeElements=657]="MultiLineTupleTypeElements",e[e.UnionTypeConstituents=516]="UnionTypeConstituents",e[e.IntersectionTypeConstituents=520]="IntersectionTypeConstituents",e[e.ObjectBindingPatternElements=525136]="ObjectBindingPatternElements",e[e.ArrayBindingPatternElements=524880]="ArrayBindingPatternElements",e[e.ObjectLiteralExpressionProperties=526226]="ObjectLiteralExpressionProperties",e[e.ImportAttributes=526226]="ImportAttributes",e[e.ImportClauseEntries=526226]="ImportClauseEntries",e[e.ArrayLiteralExpressionElements=8914]="ArrayLiteralExpressionElements",e[e.CommaListElements=528]="CommaListElements",e[e.CallExpressionArguments=2576]="CallExpressionArguments",e[e.NewExpressionArguments=18960]="NewExpressionArguments",e[e.TemplateExpressionSpans=262144]="TemplateExpressionSpans",e[e.SingleLineBlockStatements=768]="SingleLineBlockStatements",e[e.MultiLineBlockStatements=129]="MultiLineBlockStatements",e[e.VariableDeclarationList=528]="VariableDeclarationList",e[e.SingleLineFunctionBodyStatements=768]="SingleLineFunctionBodyStatements",e[e.MultiLineFunctionBodyStatements=1]="MultiLineFunctionBodyStatements",e[e.ClassHeritageClauses=0]="ClassHeritageClauses",e[e.ClassMembers=129]="ClassMembers",e[e.InterfaceMembers=129]="InterfaceMembers",e[e.EnumMembers=145]="EnumMembers",e[e.CaseBlockClauses=129]="CaseBlockClauses",e[e.NamedImportsOrExportsElements=525136]="NamedImportsOrExportsElements",e[e.JsxElementOrFragmentChildren=262144]="JsxElementOrFragmentChildren",e[e.JsxElementAttributes=262656]="JsxElementAttributes",e[e.CaseOrDefaultClauseStatements=163969]="CaseOrDefaultClauseStatements",e[e.HeritageClauseTypes=528]="HeritageClauseTypes",e[e.SourceFileStatements=131073]="SourceFileStatements",e[e.Decorators=2146305]="Decorators",e[e.TypeArguments=53776]="TypeArguments",e[e.TypeParameters=53776]="TypeParameters",e[e.Parameters=2576]="Parameters",e[e.IndexSignatureParameters=8848]="IndexSignatureParameters",e[e.JSDocComment=33]="JSDocComment",e))(NV||{}),CV=(e=>(e[e.None=0]="None",e[e.TripleSlashXML=1]="TripleSlashXML",e[e.SingleLine=2]="SingleLine",e[e.MultiLine=4]="MultiLine",e[e.All=7]="All",e[e.Default=7]="Default",e))(CV||{}),qM={reference:{args:[{name:"types",optional:!0,captureSpan:!0},{name:"lib",optional:!0,captureSpan:!0},{name:"path",optional:!0,captureSpan:!0},{name:"no-default-lib",optional:!0},{name:"resolution-mode",optional:!0}],kind:1},"amd-dependency":{args:[{name:"path"},{name:"name",optional:!0}],kind:1},"amd-module":{args:[{name:"name"}],kind:1},"ts-check":{kind:2},"ts-nocheck":{kind:2},jsx:{args:[{name:"factory"}],kind:4},jsxfrag:{args:[{name:"factory"}],kind:4},jsximportsource:{args:[{name:"factory"}],kind:4},jsxruntime:{args:[{name:"factory"}],kind:4}},PV=(e=>(e[e.ParseAll=0]="ParseAll",e[e.ParseNone=1]="ParseNone",e[e.ParseForTypeErrors=2]="ParseForTypeErrors",e[e.ParseForTypeInfo=3]="ParseForTypeInfo",e))(PV||{})}});function tC(e){let t=5381;for(let r=0;r<e.length;r++)t=(t<<5)+t+e.charCodeAt(r);return t.toString()}function G1e(){Error.stackTraceLimit<100&&(Error.stackTraceLimit=100)}function IA(e,t){return e.getModifiedTime(t)||Op}function Qne(e){return{250:e.Low,500:e.Medium,2e3:e.High}}function sBe(e){if(!e.getEnvironmentVariable)return;const t=o("TSC_WATCH_POLLINGINTERVAL",s4);c4=s("TSC_WATCH_POLLINGCHUNKSIZE",l4)||c4,KM=s("TSC_WATCH_UNCHANGEDPOLLTHRESHOLDS",l4)||KM;function r(l,u){return e.getEnvironmentVariable(`${l}_${u.toUpperCase()}`)}function i(l){let u;return p("Low"),p("Medium"),p("High"),u;function p(h){const m=r(l,h);m&&((u||(u={}))[h]=Number(m))}}function o(l,u){const p=i(l);if(p)return h("Low"),h("Medium"),h("High"),!0;return!1;function h(m){u[m]=p[m]||u[m]}}function s(l,u){const p=i(l);return(t||p)&&Qne(p?{...u,...p}:u)}}function V1e(e,t,r,i,o){let s=r;for(let u=t.length;i&&u;l(),u--){const p=t[r];if(p){if(p.isClosed){t[r]=void 0;continue}}else continue;i--;const h=dBe(p,IA(e,p.fileName));if(p.isClosed){t[r]=void 0;continue}o==null||o(p,r,h),t[r]&&(s<r&&(t[s]=p,t[r]=void 0),s++)}return r;function l(){r++,r===t.length&&(s<r&&(t.length=s),r=0,s=0)}}function lBe(e){const t=[],r=[],i=u(250),o=u(500),s=u(2e3);return l;function l(C,O,U){const K={fileName:C,callback:O,unchangedPolls:0,mtime:IA(e,C)};return t.push(K),A(K,U),{close:()=>{K.isClosed=!0,TA(t,K)}}}function u(C){const O=[];return O.pollingInterval=C,O.pollIndex=0,O.pollScheduled=!1,O}function p(C,O){O.pollIndex=m(O,O.pollingInterval,O.pollIndex,c4[O.pollingInterval]),O.length?M(O.pollingInterval):(N.assert(O.pollIndex===0),O.pollScheduled=!1)}function h(C,O){m(r,250,0,r.length),p(C,O),!O.pollScheduled&&r.length&&M(250)}function m(C,O,U,K){return V1e(e,C,U,K,Z);function Z(V,se,G){G?(V.unchangedPolls=0,C!==r&&(C[se]=void 0,I(V))):V.unchangedPolls!==KM[O]?V.unchangedPolls++:C===r?(V.unchangedPolls=1,C[se]=void 0,A(V,250)):O!==2e3&&(V.unchangedPolls++,C[se]=void 0,A(V,O===250?500:2e3))}}function b(C){switch(C){case 250:return i;case 500:return o;case 2e3:return s}}function A(C,O){b(O).push(C),R(O)}function I(C){r.push(C),R(250)}function R(C){b(C).pollScheduled||M(C)}function M(C){b(C).pollScheduled=e.setTimeout(C===250?h:p,C,C===250?"pollLowPollingIntervalQueue":"pollPollingIntervalQueue",b(C))}}function cBe(e,t,r,i){const o=Zp(),s=i?new Map:void 0,l=new Map,u=Mu(t);return p;function p(m,b,A,I){const R=u(m);o.add(R,b).length===1&&s&&s.set(R,r(m)||Op);const M=ai(R)||".",C=l.get(M)||h(ai(m)||".",M,I);return C.referenceCount++,{close:()=>{C.referenceCount===1?(C.close(),l.delete(M)):C.referenceCount--,o.remove(R,b)}}}function h(m,b,A){const I=e(m,1,(R,M)=>{if(!Po(M))return;const C=go(M,m),O=u(C),U=C&&o.get(O);if(U){let K,Z=1;if(s){const V=s.get(O);if(R==="change"&&(K=r(C)||Op,K.getTime()===V.getTime()))return;K||(K=r(C)||Op),s.set(O,K),V===Op?Z=0:K===Op&&(Z=2)}for(const V of U)V(C,Z,K)}},!1,500,A);return I.referenceCount=0,l.set(b,I),I}}function uBe(e){const t=[];let r=0,i;return o;function o(u,p){const h={fileName:u,callback:p,mtime:IA(e,u)};return t.push(h),l(),{close:()=>{h.isClosed=!0,TA(t,h)}}}function s(){i=void 0,r=V1e(e,t,r,c4[250]),l()}function l(){!t.length||i||(i=e.setTimeout(s,2e3,"pollQueue"))}}function j1e(e,t,r,i,o){const l=Mu(t)(r),u=e.get(l);return u?u.callbacks.push(i):e.set(l,{watcher:o((p,h,m)=>{var b;return(b=e.get(l))==null?void 0:b.callbacks.slice().forEach(A=>A(p,h,m))}),callbacks:[i]}),{close:()=>{const p=e.get(l);p&&(!Gx(p.callbacks,i)||p.callbacks.length||(e.delete(l),Mf(p)))}}}function dBe(e,t){const r=e.mtime.getTime(),i=t.getTime();return r!==i?(e.mtime=t,e.callback(e.fileName,MV(r,i),t),!0):!1}function MV(e,t){return e===0?0:t===0?2:1}function JM(e){return nre(e)}function Zne(e){nre=e}function pBe({watchDirectory:e,useCaseSensitiveFileNames:t,getCurrentDirectory:r,getAccessibleSortedChildDirectories:i,fileSystemEntryExists:o,realpath:s,setTimeout:l,clearTimeout:u}){const p=new Map,h=Zp(),m=new Map;let b;const A=zx(!t),I=Mu(t);return(G,ne,he,ye)=>he?R(G,ye,ne):e(G,ne,he,ye);function R(G,ne,he){const ye=I(G);let $=p.get(ye);$?$.refCount++:($={watcher:e(G,oe=>{V(oe,ne)||(ne!=null&&ne.synchronousWatchDirectory?(M(ye,oe),Z(G,ye,ne)):C(G,ye,oe,ne))},!1,ne),refCount:1,childWatches:et},p.set(ye,$),Z(G,ye,ne));const Y=he&&{dirName:G,callback:he};return Y&&h.add(ye,Y),{dirName:G,close:()=>{const oe=N.checkDefined(p.get(ye));Y&&h.remove(ye,Y),oe.refCount--,!oe.refCount&&(p.delete(ye),Mf(oe),oe.childWatches.forEach(Qm))}}}function M(G,ne,he){let ye,$;Po(ne)?ye=ne:$=ne,h.forEach((Y,oe)=>{if(!($&&$.get(oe)===!0)&&(oe===G||uo(G,oe)&&G[oe.length]===al))if($)if(he){const fe=$.get(oe);fe?fe.push(...he):$.set(oe,he.slice())}else $.set(oe,!0);else Y.forEach(({callback:fe})=>fe(ye))})}function C(G,ne,he,ye){const $=p.get(ne);if($&&o(G,1)){O(G,ne,he,ye);return}M(ne,he),K($)}function O(G,ne,he,ye){const $=m.get(ne);$?$.fileNames.push(he):m.set(ne,{dirName:G,options:ye,fileNames:[he]}),b&&(u(b),b=void 0),b=l(U,1e3,"timerToUpdateChildWatches")}function U(){b=void 0,JM(`sysLog:: onTimerToUpdateChildWatches:: ${m.size}`);const G=Ys(),ne=new Map;for(;!b&&m.size;){const ye=m.entries().next();N.assert(!ye.done);const{value:[$,{dirName:Y,options:oe,fileNames:fe}]}=ye;m.delete($);const Ne=Z(Y,$,oe);M($,ne,Ne?void 0:fe)}JM(`sysLog:: invokingWatchers:: Elapsed:: ${Ys()-G}ms:: ${m.size}`),h.forEach((ye,$)=>{const Y=ne.get($);Y&&ye.forEach(({callback:oe,dirName:fe})=>{To(Y)?Y.forEach(oe):oe(fe)})});const he=Ys()-G;JM(`sysLog:: Elapsed:: ${he}ms:: onTimerToUpdateChildWatches:: ${m.size} ${b}`)}function K(G){if(!G)return;const ne=G.childWatches;G.childWatches=et;for(const he of ne)he.close(),K(p.get(I(he.dirName)))}function Z(G,ne,he){const ye=p.get(ne);if(!ye)return!1;let $;const Y=V6(o(G,1)?Hi(i(G),Ne=>{const pe=go(Ne,G);return!V(pe,he)&&A(pe,ga(s(pe)))===0?pe:void 0}):et,ye.childWatches,(Ne,pe)=>A(Ne,pe.dirName),oe,Qm,fe);return ye.childWatches=$||et,Y;function oe(Ne){const pe=R(Ne,he);fe(pe)}function fe(Ne){($||($=[])).push(Ne)}}function V(G,ne){return bt(XM,he=>se(G,he))||U1e(G,ne,t,r)}function se(G,ne){return G.includes(ne)?!0:t?!1:I(G).includes(ne)}}function fBe(e){return(t,r,i)=>e(r===1?"change":"rename","",i)}function mBe(e,t,r){return(i,o,s)=>{i==="rename"?(s||(s=r(e)||Op),t(e,s!==Op?0:2,s)):t(e,1,s)}}function U1e(e,t,r,i){return((t==null?void 0:t.excludeDirectories)||(t==null?void 0:t.excludeFiles))&&(RF(e,t==null?void 0:t.excludeFiles,r,i())||RF(e,t==null?void 0:t.excludeDirectories,r,i()))}function H1e(e,t,r,i,o){return(s,l)=>{if(s==="rename"){const u=l?ga(Qr(e,l)):e;(!l||!U1e(u,r,i,o))&&t(u)}}}function ere({pollingWatchFileWorker:e,getModifiedTime:t,setTimeout:r,clearTimeout:i,fsWatchWorker:o,fileSystemEntryExists:s,useCaseSensitiveFileNames:l,getCurrentDirectory:u,fsSupportsRecursiveFsWatch:p,getAccessibleSortedChildDirectories:h,realpath:m,tscWatchFile:b,useNonPollingWatchers:A,tscWatchDirectory:I,inodeWatching:R,fsWatchWithTimestamp:M,sysLog:C}){const O=new Map,U=new Map,K=new Map;let Z,V,se,G,ne=!1;return{watchFile:he,watchDirectory:fe};function he(Ee,De,Pe,We){We=Y(We,A);const At=N.checkDefined(We.watchFile);switch(At){case 0:return ie(Ee,De,250,void 0);case 1:return ie(Ee,De,Pe,void 0);case 2:return ye()(Ee,De,Pe,void 0);case 3:return $()(Ee,De,void 0,void 0);case 4:return ve(Ee,0,mBe(Ee,De,t),!1,Pe,jw(We));case 5:return se||(se=cBe(ve,l,t,M)),se(Ee,De,Pe,jw(We));default:N.assertNever(At)}}function ye(){return Z||(Z=lBe({getModifiedTime:t,setTimeout:r}))}function $(){return V||(V=uBe({getModifiedTime:t,setTimeout:r}))}function Y(Ee,De){if(Ee&&Ee.watchFile!==void 0)return Ee;switch(b){case"PriorityPollingInterval":return{watchFile:1};case"DynamicPriorityPolling":return{watchFile:2};case"UseFsEvents":return oe(4,1,Ee);case"UseFsEventsWithFallbackDynamicPolling":return oe(4,2,Ee);case"UseFsEventsOnParentDirectory":De=!0;default:return De?oe(5,1,Ee):{watchFile:4}}}function oe(Ee,De,Pe){const We=Pe==null?void 0:Pe.fallbackPolling;return{watchFile:Ee,fallbackPolling:We===void 0?De:We}}function fe(Ee,De,Pe,We){return p?ve(Ee,1,H1e(Ee,De,We,l,u),Pe,500,jw(We)):(G||(G=pBe({useCaseSensitiveFileNames:l,getCurrentDirectory:u,fileSystemEntryExists:s,getAccessibleSortedChildDirectories:h,watchDirectory:Ne,realpath:m,setTimeout:r,clearTimeout:i})),G(Ee,De,Pe,We))}function Ne(Ee,De,Pe,We){N.assert(!Pe);const At=pe(We),Se=N.checkDefined(At.watchDirectory);switch(Se){case 1:return ie(Ee,()=>De(Ee),500,void 0);case 2:return ye()(Ee,()=>De(Ee),500,void 0);case 3:return $()(Ee,()=>De(Ee),void 0,void 0);case 0:return ve(Ee,1,H1e(Ee,De,We,l,u),Pe,500,jw(At));default:N.assertNever(Se)}}function pe(Ee){if(Ee&&Ee.watchDirectory!==void 0)return Ee;switch(I){case"RecursiveDirectoryUsingFsWatchFile":return{watchDirectory:1};case"RecursiveDirectoryUsingDynamicPriorityPolling":return{watchDirectory:2};default:const De=Ee==null?void 0:Ee.fallbackPolling;return{watchDirectory:0,fallbackPolling:De!==void 0?De:void 0}}}function ie(Ee,De,Pe,We){return j1e(O,l,Ee,De,At=>e(Ee,At,Pe,We))}function ve(Ee,De,Pe,We,At,Se){return j1e(We?K:U,l,Ee,Pe,je=>Le(Ee,De,je,We,At,Se))}function Le(Ee,De,Pe,We,At,Se){let je,at;R&&(je=Ee.substring(Ee.lastIndexOf(al)),at=je.slice(al.length));let Gt=s(Ee,De)?$e():rn();return{close:()=>{Gt&&(Gt.close(),Gt=void 0)}};function vt(Pn){Gt&&(C(`sysLog:: ${Ee}:: Changing watcher to ${Pn===$e?"Present":"Missing"}FileSystemEntryWatcher`),Gt.close(),Gt=Pn())}function $e(){if(ne)return C(`sysLog:: ${Ee}:: Defaulting to watchFile`),tn();try{const Pn=(De===1||!M?o:He)(Ee,We,R?It:Pe);return Pn.on("error",()=>{Pe("rename",""),vt(rn)}),Pn}catch(Pn){return ne||(ne=Pn.code==="ENOSPC"),C(`sysLog:: ${Ee}:: Changing to watchFile`),tn()}}function It(Pn,Xn){let hn;if(Xn&&Al(Xn,"~")&&(hn=Xn,Xn=Xn.slice(0,Xn.length-1)),Pn==="rename"&&(!Xn||Xn===at||Al(Xn,je))){const Qt=t(Ee)||Op;hn&&Pe(Pn,hn,Qt),Pe(Pn,Xn,Qt),R?vt(Qt===Op?rn:$e):Qt===Op&&vt(rn)}else hn&&Pe(Pn,hn),Pe(Pn,Xn)}function tn(){return he(Ee,fBe(Pe),At,Se)}function rn(){return he(Ee,(Pn,Xn,hn)=>{Xn===0&&(hn||(hn=t(Ee)||Op),hn!==Op&&(Pe("rename","",hn),vt($e)))},At,Se)}}function He(Ee,De,Pe){let We=t(Ee)||Op;return o(Ee,De,(At,Se,je)=>{At==="change"&&(je||(je=t(Ee)||Op),je.getTime()===We.getTime())||(We=je||t(Ee)||Op,Pe(At,Se,We))})}}function tre(e){const t=e.writeFile;e.writeFile=(r,i,o)=>_U(r,i,!!o,(s,l,u)=>t.call(e,s,l,u),s=>e.createDirectory(s),s=>e.directoryExists(s))}function q1e(e){gu=e}var LV,s4,Op,l4,c4,KM,XM,nre,kV,gu,_Be=T({"src/compiler/sys.ts"(){ra(),LV=(e=>(e[e.Created=0]="Created",e[e.Changed=1]="Changed",e[e.Deleted=2]="Deleted",e))(LV||{}),s4=(e=>(e[e.High=2e3]="High",e[e.Medium=500]="Medium",e[e.Low=250]="Low",e))(s4||{}),Op=new Date(0),l4={Low:32,Medium:64,High:256},c4=Qne(l4),KM=Qne(l4),XM=["/node_modules/.","/.git","/.#"],nre=$a,kV=(e=>(e[e.File=0]="File",e[e.Directory=1]="Directory",e))(kV||{}),gu=(()=>{const e="\uFEFF";function t(){const i=/^native |^\([^)]+\)$|^(internal[\\/]|[a-zA-Z0-9_\s]+(\.js)?$)/,o=IE("fs"),s=IE("path"),l=IE("os");let u;try{u=IE("crypto")}catch{u=void 0}let p,h="./profile.cpuprofile";const m=IE("buffer").Buffer,b=process.platform==="darwin",A=process.platform==="linux"||b,I=l.platform(),R=$(),M=o.realpathSync.native?process.platform==="win32"?We:o.realpathSync.native:o.realpathSync,C=__filename.endsWith("sys.js")?s.join(s.dirname(__dirname),"__fake__.js"):__filename,O=process.platform==="win32"||b,U=Td(()=>process.cwd()),{watchFile:K,watchDirectory:Z}=ere({pollingWatchFileWorker:oe,getModifiedTime:Se,setTimeout,clearTimeout,fsWatchWorker:fe,useCaseSensitiveFileNames:R,getCurrentDirectory:U,fileSystemEntryExists:He,fsSupportsRecursiveFsWatch:O,getAccessibleSortedChildDirectories:vt=>ve(vt).directories,realpath:At,tscWatchFile:HN.TSC_WATCHFILE,useNonPollingWatchers:!!HN.TSC_NONPOLLING_WATCHER,tscWatchDirectory:HN.TSC_WATCHDIRECTORY,inodeWatching:A,fsWatchWithTimestamp:b,sysLog:JM}),V={args:process.argv.slice(2),newLine:l.EOL,useCaseSensitiveFileNames:R,write(vt){process.stdout.write(vt)},getWidthOfTerminal(){return process.stdout.columns},writeOutputIsTTY(){return process.stdout.isTTY},readFile:pe,writeFile:ie,watchFile:K,watchDirectory:Z,resolvePath:vt=>s.resolve(vt),fileExists:Ee,directoryExists:De,getAccessibleFileSystemEntries:ve,createDirectory(vt){if(!V.directoryExists(vt))try{o.mkdirSync(vt)}catch($e){if($e.code!=="EEXIST")throw $e}},getExecutingFilePath(){return C},getCurrentDirectory:U,getDirectories:Pe,getEnvironmentVariable(vt){return HN[vt]||""},readDirectory:Le,getModifiedTime:Se,setModifiedTime:je,deleteFile:at,createHash:u?Gt:tC,createSHA256Hash:u?Gt:void 0,getMemoryUsage(){return global.gc&&global.gc(),process.memoryUsage().heapUsed},getFileSize(vt){try{const $e=se(vt);if($e!=null&&$e.isFile())return $e.size}catch{}return 0},exit(vt){he(()=>process.exit(vt))},enableCPUProfiler:G,disableCPUProfiler:he,cpuProfilingEnabled:()=>!!p||Nr(process.execArgv,"--cpu-prof")||Nr(process.execArgv,"--prof"),realpath:At,debugMode:!!HN.NODE_INSPECTOR_IPC||!!HN.VSCODE_INSPECTOR_OPTIONS||bt(process.execArgv,vt=>/^--(inspect|debug)(-brk)?(=\d+)?$/i.test(vt))||!!process.recordreplay,tryEnableSourceMapsForHost(){try{IE("source-map-support").install()}catch{}},setTimeout,clearTimeout,clearScreen:()=>{process.stdout.write("\x1Bc")},setBlocking:()=>{var vt;const $e=(vt=process.stdout)==null?void 0:vt._handle;$e&&$e.setBlocking&&$e.setBlocking(!0)},bufferFrom:ye,base64decode:vt=>ye(vt,"base64").toString("utf8"),base64encode:vt=>ye(vt).toString("base64"),require:(vt,$e)=>{try{const It=Yse($e,vt,V);return{module:IE(It),modulePath:It,error:void 0}}catch(It){return{module:void 0,modulePath:void 0,error:It}}}};return V;function se(vt){return o.statSync(vt,{throwIfNoEntry:!1})}function G(vt,$e){if(p)return $e(),!1;const It=IE("inspector");if(!It||!It.Session)return $e(),!1;const tn=new It.Session;return tn.connect(),tn.post("Profiler.enable",()=>{tn.post("Profiler.start",()=>{p=tn,h=vt,$e()})}),!0}function ne(vt){let $e=0;const It=new Map,tn=Lu(s.dirname(C)),rn=`file://${ph(tn)===1?"":"/"}${tn}`;for(const Pn of vt.nodes)if(Pn.callFrame.url){const Xn=Lu(Pn.callFrame.url);bm(rn,Xn,R)?Pn.callFrame.url=RA(rn,Xn,rn,Mu(R),!0):i.test(Xn)||(Pn.callFrame.url=(It.has(Xn)?It:It.set(Xn,`external${$e}.js`)).get(Xn),$e++)}return vt}function he(vt){if(p&&p!=="stopping"){const $e=p;return p.post("Profiler.stop",(It,{profile:tn})=>{var rn;if(!It){try{(rn=se(h))!=null&&rn.isDirectory()&&(h=s.join(h,`${new Date().toISOString().replace(/:/g,"-")}+P${process.pid}.cpuprofile`))}catch{}try{o.mkdirSync(s.dirname(h),{recursive:!0})}catch{}o.writeFileSync(h,JSON.stringify(ne(tn)))}p=void 0,$e.disconnect(),vt()}),p="stopping",!0}else return vt(),!1}function ye(vt,$e){return m.from&&m.from!==Int8Array.from?m.from(vt,$e):new m(vt,$e)}function $(){return I==="win32"||I==="win64"?!1:!Ee(Y(__filename))}function Y(vt){return vt.replace(/\w/g,$e=>{const It=$e.toUpperCase();return $e===It?$e.toLowerCase():It})}function oe(vt,$e,It){o.watchFile(vt,{persistent:!0,interval:It},rn);let tn;return{close:()=>o.unwatchFile(vt,rn)};function rn(Pn,Xn){const hn=+Xn.mtime==0||tn===2;if(+Pn.mtime==0){if(hn)return;tn=2}else if(hn)tn=0;else{if(+Pn.mtime==+Xn.mtime)return;tn=1}$e(vt,tn,Pn.mtime)}}function fe(vt,$e,It){return o.watch(vt,O?{persistent:!0,recursive:!!$e}:{persistent:!0},It)}function Ne(vt,$e){let It;try{It=o.readFileSync(vt)}catch{return}let tn=It.length;if(tn>=2&&It[0]===254&&It[1]===255){tn&=-2;for(let rn=0;rn<tn;rn+=2){const Pn=It[rn];It[rn]=It[rn+1],It[rn+1]=Pn}return It.toString("utf16le",2)}return tn>=2&&It[0]===255&&It[1]===254?It.toString("utf16le",2):tn>=3&&It[0]===239&&It[1]===187&&It[2]===191?It.toString("utf8",3):It.toString("utf8")}function pe(vt,$e){var It,tn;(It=ad)==null||It.logStartReadFile(vt);const rn=Ne(vt);return(tn=ad)==null||tn.logStopReadFile(),rn}function ie(vt,$e,It){var tn;(tn=ad)==null||tn.logEvent("WriteFile: "+vt),It&&($e=e+$e);let rn;try{rn=o.openSync(vt,"w"),o.writeSync(rn,$e,void 0,"utf8")}finally{rn!==void 0&&o.closeSync(rn)}}function ve(vt){var $e;($e=ad)==null||$e.logEvent("ReadDir: "+(vt||"."));try{const It=o.readdirSync(vt||".",{withFileTypes:!0}),tn=[],rn=[];for(const Pn of It){const Xn=typeof Pn=="string"?Pn:Pn.name;if(Xn==="."||Xn==="..")continue;let hn;if(typeof Pn=="string"||Pn.isSymbolicLink()){const Qt=Qr(vt,Xn);try{if(hn=se(Qt),!hn)continue}catch{continue}}else hn=Pn;hn.isFile()?tn.push(Xn):hn.isDirectory()&&rn.push(Xn)}return tn.sort(),rn.sort(),{files:tn,directories:rn}}catch{return gW}}function Le(vt,$e,It,tn,rn){return FU(vt,$e,It,tn,R,process.cwd(),rn,ve,At)}function He(vt,$e){const It=Error.stackTraceLimit;Error.stackTraceLimit=0;try{const tn=se(vt);if(!tn)return!1;switch($e){case 0:return tn.isFile();case 1:return tn.isDirectory();default:return!1}}catch{return!1}finally{Error.stackTraceLimit=It}}function Ee(vt){return He(vt,0)}function De(vt){return He(vt,1)}function Pe(vt){return ve(vt).directories.slice()}function We(vt){return vt.length<260?o.realpathSync.native(vt):o.realpathSync(vt)}function At(vt){try{return M(vt)}catch{return vt}}function Se(vt){var $e;const It=Error.stackTraceLimit;Error.stackTraceLimit=0;try{return($e=se(vt))==null?void 0:$e.mtime}catch{return}finally{Error.stackTraceLimit=It}}function je(vt,$e){try{o.utimesSync(vt,$e,$e)}catch{return}}function at(vt){try{return o.unlinkSync(vt)}catch{return}}function Gt(vt){const $e=u.createHash("sha256");return $e.update(vt),$e.digest("hex")}}let r;return AG()&&(r=t()),r&&tre(r),r})(),gu&&gu.getEnvironmentVariable&&(sBe(gu),N.setAssertionLevel(/^development$/i.test(gu.getEnvironmentVariable("NODE_ENV"))?1:0)),gu&&gu.debugMode&&(N.isDebugging=!0)}});function wV(e){return e===47||e===92}function rre(e){return u4(e)<0}function fp(e){return u4(e)>0}function OV(e){const t=u4(e);return t>0&&t===e.length}function nC(e){return u4(e)!==0}function Wp(e){return/^\.\.?($|[\\/])/.test(e)}function WV(e){return!nC(e)&&!Wp(e)}function xA(e){return ic(e).includes(".")}function Il(e,t){return e.length>t.length&&Al(e,t)}function Tc(e,t){for(const r of t)if(Il(e,r))return!0;return!1}function kv(e){return e.length>0&&wV(e.charCodeAt(e.length-1))}function J1e(e){return e>=97&&e<=122||e>=65&&e<=90}function hBe(e,t){const r=e.charCodeAt(t);if(r===58)return t+1;if(r===37&&e.charCodeAt(t+1)===51){const i=e.charCodeAt(t+2);if(i===97||i===65)return t+3}return-1}function u4(e){if(!e)return 0;const t=e.charCodeAt(0);if(t===47||t===92){if(e.charCodeAt(1)!==t)return 1;const i=e.indexOf(t===47?al:ZM,2);return i<0?e.length:i+1}if(J1e(t)&&e.charCodeAt(1)===58){const i=e.charCodeAt(2);if(i===47||i===92)return 3;if(e.length===2)return 2}const r=e.indexOf(are);if(r!==-1){const i=r+are.length,o=e.indexOf(al,i);if(o!==-1){const s=e.slice(0,r),l=e.slice(i,o);if(s==="file"&&(l===""||l==="localhost")&&J1e(e.charCodeAt(o+1))){const u=hBe(e,o+2);if(u!==-1){if(e.charCodeAt(u)===47)return~(u+1);if(u===e.length)return~u}}return~(o+1)}return~e.length}return 0}function ph(e){const t=u4(e);return t<0?~t:t}function ai(e){e=Lu(e);const t=ph(e);return t===e.length?e:(e=o0(e),e.slice(0,Math.max(t,e.lastIndexOf(al))))}function ic(e,t,r){if(e=Lu(e),ph(e)===e.length)return"";e=o0(e);const o=e.slice(Math.max(ph(e),e.lastIndexOf(al)+1)),s=t!==void 0&&r!==void 0?Jx(o,t,r):void 0;return s?o.slice(0,o.length-s.length):o}function K1e(e,t,r){if(uo(t,".")||(t="."+t),e.length>=t.length&&e.charCodeAt(e.length-t.length)===46){const i=e.slice(e.length-t.length);if(r(i,t))return i}}function gBe(e,t,r){if(typeof t=="string")return K1e(e,t,r)||"";for(const i of t){const o=K1e(e,i,r);if(o)return o}return""}function Jx(e,t,r){if(t)return gBe(o0(e),t,r?i0:dS);const i=ic(e),o=i.lastIndexOf(".");return o>=0?i.substring(o):""}function vBe(e,t){const r=e.substring(0,t),i=e.substring(t).split(al);return i.length&&!tl(i)&&i.pop(),[r,...i]}function zc(e,t=""){return e=Qr(t,e),vBe(e,ph(e))}function Cy(e,t){return e.length===0?"":(e[0]&&Bc(e[0]))+e.slice(1,t).join(al)}function Lu(e){return e.includes("\\")?e.replace($1e,al):e}function _S(e){if(!bt(e))return[];const t=[e[0]];for(let r=1;r<e.length;r++){const i=e[r];if(i&&i!=="."){if(i===".."){if(t.length>1){if(t[t.length-1]!==".."){t.pop();continue}}else if(t[0])continue}t.push(i)}}return t}function Qr(e,...t){e&&(e=Lu(e));for(let r of t)r&&(r=Lu(r),!e||ph(r)!==0?e=r:e=Bc(e)+r);return e}function Py(e,...t){return ga(bt(t)?Qr(e,...t):Lu(e))}function YM(e,t){return _S(zc(e,t))}function go(e,t){return Cy(YM(e,t))}function ga(e){if(e=Lu(e),!p4.test(e))return e;const t=e.replace(/\/\.\//g,"/").replace(/^\.\//,"");if(t!==e&&(e=t,!p4.test(e)))return e;const r=Cy(_S(zc(e)));return r&&kv(e)?Bc(r):r}function yBe(e){return e.length===0?"":e.slice(1).join(al)}function FV(e,t){return yBe(YM(e,t))}function ol(e,t,r){const i=fp(e)?ga(e):go(e,t);return r(i)}function o0(e){return kv(e)?e.substr(0,e.length-1):e}function Bc(e){return kv(e)?e:e+al}function CE(e){return!nC(e)&&!Wp(e)?"./"+e:e}function $M(e,t,r,i){const o=r!==void 0&&i!==void 0?Jx(e,r,i):Jx(e);return o?e.slice(0,e.length-o.length)+(uo(t,".")?t:"."+t):e}function ire(e,t){const r=oq(e);return r?e.slice(0,e.length-r.length)+(uo(t,".")?t:"."+t):$M(e,t)}function ore(e,t,r){if(e===t)return 0;if(e===void 0)return-1;if(t===void 0)return 1;const i=e.substring(0,ph(e)),o=t.substring(0,ph(t)),s=F6(i,o);if(s!==0)return s;const l=e.substring(i.length),u=t.substring(o.length);if(!p4.test(l)&&!p4.test(u))return r(l,u);const p=_S(zc(e)),h=_S(zc(t)),m=Math.min(p.length,h.length);for(let b=1;b<m;b++){const A=r(p[b],h[b]);if(A!==0)return A}return rl(p.length,h.length)}function X1e(e,t){return ore(e,t,Uu)}function Y1e(e,t){return ore(e,t,F6)}function Lg(e,t,r,i){return typeof r=="string"?(e=Qr(r,e),t=Qr(r,t)):typeof r=="boolean"&&(i=r),ore(e,t,zx(i))}function bm(e,t,r,i){if(typeof r=="string"?(e=Qr(r,e),t=Qr(r,t)):typeof r=="boolean"&&(i=r),e===void 0||t===void 0)return!1;if(e===t)return!0;const o=_S(zc(e)),s=_S(zc(t));if(s.length<o.length)return!1;const l=i?i0:dS;for(let u=0;u<o.length;u++)if(!(u===0?i0:l)(o[u],s[u]))return!1;return!0}function zV(e,t,r){const i=r(e),o=r(t);return uo(i,o+"/")||uo(i,o+"\\")}function BV(e,t,r,i){const o=_S(zc(e)),s=_S(zc(t));let l;for(l=0;l<o.length&&l<s.length;l++){const h=i(o[l]),m=i(s[l]);if(!(l===0?i0:r)(h,m))break}if(l===0)return s;const u=s.slice(l),p=[];for(;l<o.length;l++)p.push("..");return["",...p,...u]}function Em(e,t,r){N.assert(ph(e)>0==ph(t)>0,"Paths must either both be absolute or both be relative");const s=BV(e,t,(typeof r=="boolean"?r:!1)?i0:dS,typeof r=="function"?r:nl);return Cy(s)}function rC(e,t,r){return fp(e)?RA(t,e,t,r,!1):e}function QM(e,t,r){return CE(Em(ai(e),t,r))}function RA(e,t,r,i,o){const s=BV(Py(r,e),Py(r,t),dS,i),l=s[0];if(o&&fp(l)){const u=l.charAt(0)===al?"file://":"file:///";s[0]=u+l}return Cy(s)}function Sm(e,t){for(;;){const r=t(e);if(r!==void 0)return r;const i=ai(e);if(i===e)return;e=i}}function d4(e){return Al(e,"/node_modules")}var al,ZM,are,$1e,p4,bBe=T({"src/compiler/path.ts"(){ra(),al="/",ZM="\\",are="://",$1e=/\\/g,p4=/(?:\/\/)|(?:^|\/)\.\.?(?:$|\/)/}});function S(e,t,r,i,o,s,l){return{code:e,category:t,key:r,message:i,reportsUnnecessary:o,elidedInCompatabilityPyramid:s,reportsDeprecated:l}}var f,EBe=T({"src/compiler/diagnosticInformationMap.generated.ts"(){B1e(),f={Unterminated_string_literal:S(1002,1,"Unterminated_string_literal_1002","Unterminated string literal."),Identifier_expected:S(1003,1,"Identifier_expected_1003","Identifier expected."),_0_expected:S(1005,1,"_0_expected_1005","'{0}' expected."),A_file_cannot_have_a_reference_to_itself:S(1006,1,"A_file_cannot_have_a_reference_to_itself_1006","A file cannot have a reference to itself."),The_parser_expected_to_find_a_1_to_match_the_0_token_here:S(1007,1,"The_parser_expected_to_find_a_1_to_match_the_0_token_here_1007","The parser expected to find a '{1}' to match the '{0}' token here."),Trailing_comma_not_allowed:S(1009,1,"Trailing_comma_not_allowed_1009","Trailing comma not allowed."),Asterisk_Slash_expected:S(1010,1,"Asterisk_Slash_expected_1010","'*/' expected."),An_element_access_expression_should_take_an_argument:S(1011,1,"An_element_access_expression_should_take_an_argument_1011","An element access expression should take an argument."),Unexpected_token:S(1012,1,"Unexpected_token_1012","Unexpected token."),A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma:S(1013,1,"A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma_1013","A rest parameter or binding pattern may not have a trailing comma."),A_rest_parameter_must_be_last_in_a_parameter_list:S(1014,1,"A_rest_parameter_must_be_last_in_a_parameter_list_1014","A rest parameter must be last in a parameter list."),Parameter_cannot_have_question_mark_and_initializer:S(1015,1,"Parameter_cannot_have_question_mark_and_initializer_1015","Parameter cannot have question mark and initializer."),A_required_parameter_cannot_follow_an_optional_parameter:S(1016,1,"A_required_parameter_cannot_follow_an_optional_parameter_1016","A required parameter cannot follow an optional parameter."),An_index_signature_cannot_have_a_rest_parameter:S(1017,1,"An_index_signature_cannot_have_a_rest_parameter_1017","An index signature cannot have a rest parameter."),An_index_signature_parameter_cannot_have_an_accessibility_modifier:S(1018,1,"An_index_signature_parameter_cannot_have_an_accessibility_modifier_1018","An index signature parameter cannot have an accessibility modifier."),An_index_signature_parameter_cannot_have_a_question_mark:S(1019,1,"An_index_signature_parameter_cannot_have_a_question_mark_1019","An index signature parameter cannot have a question mark."),An_index_signature_parameter_cannot_have_an_initializer:S(1020,1,"An_index_signature_parameter_cannot_have_an_initializer_1020","An index signature parameter cannot have an initializer."),An_index_signature_must_have_a_type_annotation:S(1021,1,"An_index_signature_must_have_a_type_annotation_1021","An index signature must have a type annotation."),An_index_signature_parameter_must_have_a_type_annotation:S(1022,1,"An_index_signature_parameter_must_have_a_type_annotation_1022","An index signature parameter must have a type annotation."),readonly_modifier_can_only_appear_on_a_property_declaration_or_index_signature:S(1024,1,"readonly_modifier_can_only_appear_on_a_property_declaration_or_index_signature_1024","'readonly' modifier can only appear on a property declaration or index signature."),An_index_signature_cannot_have_a_trailing_comma:S(1025,1,"An_index_signature_cannot_have_a_trailing_comma_1025","An index signature cannot have a trailing comma."),Accessibility_modifier_already_seen:S(1028,1,"Accessibility_modifier_already_seen_1028","Accessibility modifier already seen."),_0_modifier_must_precede_1_modifier:S(1029,1,"_0_modifier_must_precede_1_modifier_1029","'{0}' modifier must precede '{1}' modifier."),_0_modifier_already_seen:S(1030,1,"_0_modifier_already_seen_1030","'{0}' modifier already seen."),_0_modifier_cannot_appear_on_class_elements_of_this_kind:S(1031,1,"_0_modifier_cannot_appear_on_class_elements_of_this_kind_1031","'{0}' modifier cannot appear on class elements of this kind."),super_must_be_followed_by_an_argument_list_or_member_access:S(1034,1,"super_must_be_followed_by_an_argument_list_or_member_access_1034","'super' must be followed by an argument list or member access."),Only_ambient_modules_can_use_quoted_names:S(1035,1,"Only_ambient_modules_can_use_quoted_names_1035","Only ambient modules can use quoted names."),Statements_are_not_allowed_in_ambient_contexts:S(1036,1,"Statements_are_not_allowed_in_ambient_contexts_1036","Statements are not allowed in ambient contexts."),A_declare_modifier_cannot_be_used_in_an_already_ambient_context:S(1038,1,"A_declare_modifier_cannot_be_used_in_an_already_ambient_context_1038","A 'declare' modifier cannot be used in an already ambient context."),Initializers_are_not_allowed_in_ambient_contexts:S(1039,1,"Initializers_are_not_allowed_in_ambient_contexts_1039","Initializers are not allowed in ambient contexts."),_0_modifier_cannot_be_used_in_an_ambient_context:S(1040,1,"_0_modifier_cannot_be_used_in_an_ambient_context_1040","'{0}' modifier cannot be used in an ambient context."),_0_modifier_cannot_be_used_here:S(1042,1,"_0_modifier_cannot_be_used_here_1042","'{0}' modifier cannot be used here."),_0_modifier_cannot_appear_on_a_module_or_namespace_element:S(1044,1,"_0_modifier_cannot_appear_on_a_module_or_namespace_element_1044","'{0}' modifier cannot appear on a module or namespace element."),Top_level_declarations_in_d_ts_files_must_start_with_either_a_declare_or_export_modifier:S(1046,1,"Top_level_declarations_in_d_ts_files_must_start_with_either_a_declare_or_export_modifier_1046","Top-level declarations in .d.ts files must start with either a 'declare' or 'export' modifier."),A_rest_parameter_cannot_be_optional:S(1047,1,"A_rest_parameter_cannot_be_optional_1047","A rest parameter cannot be optional."),A_rest_parameter_cannot_have_an_initializer:S(1048,1,"A_rest_parameter_cannot_have_an_initializer_1048","A rest parameter cannot have an initializer."),A_set_accessor_must_have_exactly_one_parameter:S(1049,1,"A_set_accessor_must_have_exactly_one_parameter_1049","A 'set' accessor must have exactly one parameter."),A_set_accessor_cannot_have_an_optional_parameter:S(1051,1,"A_set_accessor_cannot_have_an_optional_parameter_1051","A 'set' accessor cannot have an optional parameter."),A_set_accessor_parameter_cannot_have_an_initializer:S(1052,1,"A_set_accessor_parameter_cannot_have_an_initializer_1052","A 'set' accessor parameter cannot have an initializer."),A_set_accessor_cannot_have_rest_parameter:S(1053,1,"A_set_accessor_cannot_have_rest_parameter_1053","A 'set' accessor cannot have rest parameter."),A_get_accessor_cannot_have_parameters:S(1054,1,"A_get_accessor_cannot_have_parameters_1054","A 'get' accessor cannot have parameters."),Type_0_is_not_a_valid_async_function_return_type_in_ES5_SlashES3_because_it_does_not_refer_to_a_Promise_compatible_constructor_value:S(1055,1,"Type_0_is_not_a_valid_async_function_return_type_in_ES5_SlashES3_because_it_does_not_refer_to_a_Prom_1055","Type '{0}' is not a valid async function return type in ES5/ES3 because it does not refer to a Promise-compatible constructor value."),Accessors_are_only_available_when_targeting_ECMAScript_5_and_higher:S(1056,1,"Accessors_are_only_available_when_targeting_ECMAScript_5_and_higher_1056","Accessors are only available when targeting ECMAScript 5 and higher."),The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:S(1058,1,"The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_t_1058","The return type of an async function must either be a valid promise or must not contain a callable 'then' member."),A_promise_must_have_a_then_method:S(1059,1,"A_promise_must_have_a_then_method_1059","A promise must have a 'then' method."),The_first_parameter_of_the_then_method_of_a_promise_must_be_a_callback:S(1060,1,"The_first_parameter_of_the_then_method_of_a_promise_must_be_a_callback_1060","The first parameter of the 'then' method of a promise must be a callback."),Enum_member_must_have_initializer:S(1061,1,"Enum_member_must_have_initializer_1061","Enum member must have initializer."),Type_is_referenced_directly_or_indirectly_in_the_fulfillment_callback_of_its_own_then_method:S(1062,1,"Type_is_referenced_directly_or_indirectly_in_the_fulfillment_callback_of_its_own_then_method_1062","Type is referenced directly or indirectly in the fulfillment callback of its own 'then' method."),An_export_assignment_cannot_be_used_in_a_namespace:S(1063,1,"An_export_assignment_cannot_be_used_in_a_namespace_1063","An export assignment cannot be used in a namespace."),The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_Did_you_mean_to_write_Promise_0:S(1064,1,"The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_Did_you_mean_to_wri_1064","The return type of an async function or method must be the global Promise<T> type. Did you mean to write 'Promise<{0}>'?"),The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type:S(1065,1,"The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_1065","The return type of an async function or method must be the global Promise<T> type."),In_ambient_enum_declarations_member_initializer_must_be_constant_expression:S(1066,1,"In_ambient_enum_declarations_member_initializer_must_be_constant_expression_1066","In ambient enum declarations member initializer must be constant expression."),Unexpected_token_A_constructor_method_accessor_or_property_was_expected:S(1068,1,"Unexpected_token_A_constructor_method_accessor_or_property_was_expected_1068","Unexpected token. A constructor, method, accessor, or property was expected."),Unexpected_token_A_type_parameter_name_was_expected_without_curly_braces:S(1069,1,"Unexpected_token_A_type_parameter_name_was_expected_without_curly_braces_1069","Unexpected token. A type parameter name was expected without curly braces."),_0_modifier_cannot_appear_on_a_type_member:S(1070,1,"_0_modifier_cannot_appear_on_a_type_member_1070","'{0}' modifier cannot appear on a type member."),_0_modifier_cannot_appear_on_an_index_signature:S(1071,1,"_0_modifier_cannot_appear_on_an_index_signature_1071","'{0}' modifier cannot appear on an index signature."),A_0_modifier_cannot_be_used_with_an_import_declaration:S(1079,1,"A_0_modifier_cannot_be_used_with_an_import_declaration_1079","A '{0}' modifier cannot be used with an import declaration."),Invalid_reference_directive_syntax:S(1084,1,"Invalid_reference_directive_syntax_1084","Invalid 'reference' directive syntax."),_0_modifier_cannot_appear_on_a_constructor_declaration:S(1089,1,"_0_modifier_cannot_appear_on_a_constructor_declaration_1089","'{0}' modifier cannot appear on a constructor declaration."),_0_modifier_cannot_appear_on_a_parameter:S(1090,1,"_0_modifier_cannot_appear_on_a_parameter_1090","'{0}' modifier cannot appear on a parameter."),Only_a_single_variable_declaration_is_allowed_in_a_for_in_statement:S(1091,1,"Only_a_single_variable_declaration_is_allowed_in_a_for_in_statement_1091","Only a single variable declaration is allowed in a 'for...in' statement."),Type_parameters_cannot_appear_on_a_constructor_declaration:S(1092,1,"Type_parameters_cannot_appear_on_a_constructor_declaration_1092","Type parameters cannot appear on a constructor declaration."),Type_annotation_cannot_appear_on_a_constructor_declaration:S(1093,1,"Type_annotation_cannot_appear_on_a_constructor_declaration_1093","Type annotation cannot appear on a constructor declaration."),An_accessor_cannot_have_type_parameters:S(1094,1,"An_accessor_cannot_have_type_parameters_1094","An accessor cannot have type parameters."),A_set_accessor_cannot_have_a_return_type_annotation:S(1095,1,"A_set_accessor_cannot_have_a_return_type_annotation_1095","A 'set' accessor cannot have a return type annotation."),An_index_signature_must_have_exactly_one_parameter:S(1096,1,"An_index_signature_must_have_exactly_one_parameter_1096","An index signature must have exactly one parameter."),_0_list_cannot_be_empty:S(1097,1,"_0_list_cannot_be_empty_1097","'{0}' list cannot be empty."),Type_parameter_list_cannot_be_empty:S(1098,1,"Type_parameter_list_cannot_be_empty_1098","Type parameter list cannot be empty."),Type_argument_list_cannot_be_empty:S(1099,1,"Type_argument_list_cannot_be_empty_1099","Type argument list cannot be empty."),Invalid_use_of_0_in_strict_mode:S(1100,1,"Invalid_use_of_0_in_strict_mode_1100","Invalid use of '{0}' in strict mode."),with_statements_are_not_allowed_in_strict_mode:S(1101,1,"with_statements_are_not_allowed_in_strict_mode_1101","'with' statements are not allowed in strict mode."),delete_cannot_be_called_on_an_identifier_in_strict_mode:S(1102,1,"delete_cannot_be_called_on_an_identifier_in_strict_mode_1102","'delete' cannot be called on an identifier in strict mode."),for_await_loops_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules:S(1103,1,"for_await_loops_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules_1103","'for await' loops are only allowed within async functions and at the top levels of modules."),A_continue_statement_can_only_be_used_within_an_enclosing_iteration_statement:S(1104,1,"A_continue_statement_can_only_be_used_within_an_enclosing_iteration_statement_1104","A 'continue' statement can only be used within an enclosing iteration statement."),A_break_statement_can_only_be_used_within_an_enclosing_iteration_or_switch_statement:S(1105,1,"A_break_statement_can_only_be_used_within_an_enclosing_iteration_or_switch_statement_1105","A 'break' statement can only be used within an enclosing iteration or switch statement."),The_left_hand_side_of_a_for_of_statement_may_not_be_async:S(1106,1,"The_left_hand_side_of_a_for_of_statement_may_not_be_async_1106","The left-hand side of a 'for...of' statement may not be 'async'."),Jump_target_cannot_cross_function_boundary:S(1107,1,"Jump_target_cannot_cross_function_boundary_1107","Jump target cannot cross function boundary."),A_return_statement_can_only_be_used_within_a_function_body:S(1108,1,"A_return_statement_can_only_be_used_within_a_function_body_1108","A 'return' statement can only be used within a function body."),Expression_expected:S(1109,1,"Expression_expected_1109","Expression expected."),Type_expected:S(1110,1,"Type_expected_1110","Type expected."),Private_field_0_must_be_declared_in_an_enclosing_class:S(1111,1,"Private_field_0_must_be_declared_in_an_enclosing_class_1111","Private field '{0}' must be declared in an enclosing class."),A_default_clause_cannot_appear_more_than_once_in_a_switch_statement:S(1113,1,"A_default_clause_cannot_appear_more_than_once_in_a_switch_statement_1113","A 'default' clause cannot appear more than once in a 'switch' statement."),Duplicate_label_0:S(1114,1,"Duplicate_label_0_1114","Duplicate label '{0}'."),A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement:S(1115,1,"A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement_1115","A 'continue' statement can only jump to a label of an enclosing iteration statement."),A_break_statement_can_only_jump_to_a_label_of_an_enclosing_statement:S(1116,1,"A_break_statement_can_only_jump_to_a_label_of_an_enclosing_statement_1116","A 'break' statement can only jump to a label of an enclosing statement."),An_object_literal_cannot_have_multiple_properties_with_the_same_name:S(1117,1,"An_object_literal_cannot_have_multiple_properties_with_the_same_name_1117","An object literal cannot have multiple properties with the same name."),An_object_literal_cannot_have_multiple_get_Slashset_accessors_with_the_same_name:S(1118,1,"An_object_literal_cannot_have_multiple_get_Slashset_accessors_with_the_same_name_1118","An object literal cannot have multiple get/set accessors with the same name."),An_object_literal_cannot_have_property_and_accessor_with_the_same_name:S(1119,1,"An_object_literal_cannot_have_property_and_accessor_with_the_same_name_1119","An object literal cannot have property and accessor with the same name."),An_export_assignment_cannot_have_modifiers:S(1120,1,"An_export_assignment_cannot_have_modifiers_1120","An export assignment cannot have modifiers."),Octal_literals_are_not_allowed_Use_the_syntax_0:S(1121,1,"Octal_literals_are_not_allowed_Use_the_syntax_0_1121","Octal literals are not allowed. Use the syntax '{0}'."),Variable_declaration_list_cannot_be_empty:S(1123,1,"Variable_declaration_list_cannot_be_empty_1123","Variable declaration list cannot be empty."),Digit_expected:S(1124,1,"Digit_expected_1124","Digit expected."),Hexadecimal_digit_expected:S(1125,1,"Hexadecimal_digit_expected_1125","Hexadecimal digit expected."),Unexpected_end_of_text:S(1126,1,"Unexpected_end_of_text_1126","Unexpected end of text."),Invalid_character:S(1127,1,"Invalid_character_1127","Invalid character."),Declaration_or_statement_expected:S(1128,1,"Declaration_or_statement_expected_1128","Declaration or statement expected."),Statement_expected:S(1129,1,"Statement_expected_1129","Statement expected."),case_or_default_expected:S(1130,1,"case_or_default_expected_1130","'case' or 'default' expected."),Property_or_signature_expected:S(1131,1,"Property_or_signature_expected_1131","Property or signature expected."),Enum_member_expected:S(1132,1,"Enum_member_expected_1132","Enum member expected."),Variable_declaration_expected:S(1134,1,"Variable_declaration_expected_1134","Variable declaration expected."),Argument_expression_expected:S(1135,1,"Argument_expression_expected_1135","Argument expression expected."),Property_assignment_expected:S(1136,1,"Property_assignment_expected_1136","Property assignment expected."),Expression_or_comma_expected:S(1137,1,"Expression_or_comma_expected_1137","Expression or comma expected."),Parameter_declaration_expected:S(1138,1,"Parameter_declaration_expected_1138","Parameter declaration expected."),Type_parameter_declaration_expected:S(1139,1,"Type_parameter_declaration_expected_1139","Type parameter declaration expected."),Type_argument_expected:S(1140,1,"Type_argument_expected_1140","Type argument expected."),String_literal_expected:S(1141,1,"String_literal_expected_1141","String literal expected."),Line_break_not_permitted_here:S(1142,1,"Line_break_not_permitted_here_1142","Line break not permitted here."),or_expected:S(1144,1,"or_expected_1144","'{' or ';' expected."),or_JSX_element_expected:S(1145,1,"or_JSX_element_expected_1145","'{' or JSX element expected."),Declaration_expected:S(1146,1,"Declaration_expected_1146","Declaration expected."),Import_declarations_in_a_namespace_cannot_reference_a_module:S(1147,1,"Import_declarations_in_a_namespace_cannot_reference_a_module_1147","Import declarations in a namespace cannot reference a module."),Cannot_use_imports_exports_or_module_augmentations_when_module_is_none:S(1148,1,"Cannot_use_imports_exports_or_module_augmentations_when_module_is_none_1148","Cannot use imports, exports, or module augmentations when '--module' is 'none'."),File_name_0_differs_from_already_included_file_name_1_only_in_casing:S(1149,1,"File_name_0_differs_from_already_included_file_name_1_only_in_casing_1149","File name '{0}' differs from already included file name '{1}' only in casing."),_0_declarations_must_be_initialized:S(1155,1,"_0_declarations_must_be_initialized_1155","'{0}' declarations must be initialized."),_0_declarations_can_only_be_declared_inside_a_block:S(1156,1,"_0_declarations_can_only_be_declared_inside_a_block_1156","'{0}' declarations can only be declared inside a block."),Unterminated_template_literal:S(1160,1,"Unterminated_template_literal_1160","Unterminated template literal."),Unterminated_regular_expression_literal:S(1161,1,"Unterminated_regular_expression_literal_1161","Unterminated regular expression literal."),An_object_member_cannot_be_declared_optional:S(1162,1,"An_object_member_cannot_be_declared_optional_1162","An object member cannot be declared optional."),A_yield_expression_is_only_allowed_in_a_generator_body:S(1163,1,"A_yield_expression_is_only_allowed_in_a_generator_body_1163","A 'yield' expression is only allowed in a generator body."),Computed_property_names_are_not_allowed_in_enums:S(1164,1,"Computed_property_names_are_not_allowed_in_enums_1164","Computed property names are not allowed in enums."),A_computed_property_name_in_an_ambient_context_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type:S(1165,1,"A_computed_property_name_in_an_ambient_context_must_refer_to_an_expression_whose_type_is_a_literal_t_1165","A computed property name in an ambient context must refer to an expression whose type is a literal type or a 'unique symbol' type."),A_computed_property_name_in_a_class_property_declaration_must_have_a_simple_literal_type_or_a_unique_symbol_type:S(1166,1,"A_computed_property_name_in_a_class_property_declaration_must_have_a_simple_literal_type_or_a_unique_1166","A computed property name in a class property declaration must have a simple literal type or a 'unique symbol' type."),A_computed_property_name_in_a_method_overload_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type:S(1168,1,"A_computed_property_name_in_a_method_overload_must_refer_to_an_expression_whose_type_is_a_literal_ty_1168","A computed property name in a method overload must refer to an expression whose type is a literal type or a 'unique symbol' type."),A_computed_property_name_in_an_interface_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type:S(1169,1,"A_computed_property_name_in_an_interface_must_refer_to_an_expression_whose_type_is_a_literal_type_or_1169","A computed property name in an interface must refer to an expression whose type is a literal type or a 'unique symbol' type."),A_computed_property_name_in_a_type_literal_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type:S(1170,1,"A_computed_property_name_in_a_type_literal_must_refer_to_an_expression_whose_type_is_a_literal_type__1170","A computed property name in a type literal must refer to an expression whose type is a literal type or a 'unique symbol' type."),A_comma_expression_is_not_allowed_in_a_computed_property_name:S(1171,1,"A_comma_expression_is_not_allowed_in_a_computed_property_name_1171","A comma expression is not allowed in a computed property name."),extends_clause_already_seen:S(1172,1,"extends_clause_already_seen_1172","'extends' clause already seen."),extends_clause_must_precede_implements_clause:S(1173,1,"extends_clause_must_precede_implements_clause_1173","'extends' clause must precede 'implements' clause."),Classes_can_only_extend_a_single_class:S(1174,1,"Classes_can_only_extend_a_single_class_1174","Classes can only extend a single class."),implements_clause_already_seen:S(1175,1,"implements_clause_already_seen_1175","'implements' clause already seen."),Interface_declaration_cannot_have_implements_clause:S(1176,1,"Interface_declaration_cannot_have_implements_clause_1176","Interface declaration cannot have 'implements' clause."),Binary_digit_expected:S(1177,1,"Binary_digit_expected_1177","Binary digit expected."),Octal_digit_expected:S(1178,1,"Octal_digit_expected_1178","Octal digit expected."),Unexpected_token_expected:S(1179,1,"Unexpected_token_expected_1179","Unexpected token. '{' expected."),Property_destructuring_pattern_expected:S(1180,1,"Property_destructuring_pattern_expected_1180","Property destructuring pattern expected."),Array_element_destructuring_pattern_expected:S(1181,1,"Array_element_destructuring_pattern_expected_1181","Array element destructuring pattern expected."),A_destructuring_declaration_must_have_an_initializer:S(1182,1,"A_destructuring_declaration_must_have_an_initializer_1182","A destructuring declaration must have an initializer."),An_implementation_cannot_be_declared_in_ambient_contexts:S(1183,1,"An_implementation_cannot_be_declared_in_ambient_contexts_1183","An implementation cannot be declared in ambient contexts."),Modifiers_cannot_appear_here:S(1184,1,"Modifiers_cannot_appear_here_1184","Modifiers cannot appear here."),Merge_conflict_marker_encountered:S(1185,1,"Merge_conflict_marker_encountered_1185","Merge conflict marker encountered."),A_rest_element_cannot_have_an_initializer:S(1186,1,"A_rest_element_cannot_have_an_initializer_1186","A rest element cannot have an initializer."),A_parameter_property_may_not_be_declared_using_a_binding_pattern:S(1187,1,"A_parameter_property_may_not_be_declared_using_a_binding_pattern_1187","A parameter property may not be declared using a binding pattern."),Only_a_single_variable_declaration_is_allowed_in_a_for_of_statement:S(1188,1,"Only_a_single_variable_declaration_is_allowed_in_a_for_of_statement_1188","Only a single variable declaration is allowed in a 'for...of' statement."),The_variable_declaration_of_a_for_in_statement_cannot_have_an_initializer:S(1189,1,"The_variable_declaration_of_a_for_in_statement_cannot_have_an_initializer_1189","The variable declaration of a 'for...in' statement cannot have an initializer."),The_variable_declaration_of_a_for_of_statement_cannot_have_an_initializer:S(1190,1,"The_variable_declaration_of_a_for_of_statement_cannot_have_an_initializer_1190","The variable declaration of a 'for...of' statement cannot have an initializer."),An_import_declaration_cannot_have_modifiers:S(1191,1,"An_import_declaration_cannot_have_modifiers_1191","An import declaration cannot have modifiers."),Module_0_has_no_default_export:S(1192,1,"Module_0_has_no_default_export_1192","Module '{0}' has no default export."),An_export_declaration_cannot_have_modifiers:S(1193,1,"An_export_declaration_cannot_have_modifiers_1193","An export declaration cannot have modifiers."),Export_declarations_are_not_permitted_in_a_namespace:S(1194,1,"Export_declarations_are_not_permitted_in_a_namespace_1194","Export declarations are not permitted in a namespace."),export_Asterisk_does_not_re_export_a_default:S(1195,1,"export_Asterisk_does_not_re_export_a_default_1195","'export *' does not re-export a default."),Catch_clause_variable_type_annotation_must_be_any_or_unknown_if_specified:S(1196,1,"Catch_clause_variable_type_annotation_must_be_any_or_unknown_if_specified_1196","Catch clause variable type annotation must be 'any' or 'unknown' if specified."),Catch_clause_variable_cannot_have_an_initializer:S(1197,1,"Catch_clause_variable_cannot_have_an_initializer_1197","Catch clause variable cannot have an initializer."),An_extended_Unicode_escape_value_must_be_between_0x0_and_0x10FFFF_inclusive:S(1198,1,"An_extended_Unicode_escape_value_must_be_between_0x0_and_0x10FFFF_inclusive_1198","An extended Unicode escape value must be between 0x0 and 0x10FFFF inclusive."),Unterminated_Unicode_escape_sequence:S(1199,1,"Unterminated_Unicode_escape_sequence_1199","Unterminated Unicode escape sequence."),Line_terminator_not_permitted_before_arrow:S(1200,1,"Line_terminator_not_permitted_before_arrow_1200","Line terminator not permitted before arrow."),Import_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_import_Asterisk_as_ns_from_mod_import_a_from_mod_import_d_from_mod_or_another_module_format_instead:S(1202,1,"Import_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_import_Asterisk_as_1202",`Import assignment cannot be used when targeting ECMAScript modules. Consider using 'import * as ns from "mod"', 'import {a} from "mod"', 'import d from "mod"', or another module format instead.`),Export_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_export_default_or_another_module_format_instead:S(1203,1,"Export_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_export_default_or__1203","Export assignment cannot be used when targeting ECMAScript modules. Consider using 'export default' or another module format instead."),Re_exporting_a_type_when_0_is_enabled_requires_using_export_type:S(1205,1,"Re_exporting_a_type_when_0_is_enabled_requires_using_export_type_1205","Re-exporting a type when '{0}' is enabled requires using 'export type'."),Decorators_are_not_valid_here:S(1206,1,"Decorators_are_not_valid_here_1206","Decorators are not valid here."),Decorators_cannot_be_applied_to_multiple_get_Slashset_accessors_of_the_same_name:S(1207,1,"Decorators_cannot_be_applied_to_multiple_get_Slashset_accessors_of_the_same_name_1207","Decorators cannot be applied to multiple get/set accessors of the same name."),Invalid_optional_chain_from_new_expression_Did_you_mean_to_call_0:S(1209,1,"Invalid_optional_chain_from_new_expression_Did_you_mean_to_call_0_1209","Invalid optional chain from new expression. Did you mean to call '{0}()'?"),Code_contained_in_a_class_is_evaluated_in_JavaScript_s_strict_mode_which_does_not_allow_this_use_of_0_For_more_information_see_https_Colon_Slash_Slashdeveloper_mozilla_org_Slashen_US_Slashdocs_SlashWeb_SlashJavaScript_SlashReference_SlashStrict_mode:S(1210,1,"Code_contained_in_a_class_is_evaluated_in_JavaScript_s_strict_mode_which_does_not_allow_this_use_of__1210","Code contained in a class is evaluated in JavaScript's strict mode which does not allow this use of '{0}'. For more information, see https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Strict_mode."),A_class_declaration_without_the_default_modifier_must_have_a_name:S(1211,1,"A_class_declaration_without_the_default_modifier_must_have_a_name_1211","A class declaration without the 'default' modifier must have a name."),Identifier_expected_0_is_a_reserved_word_in_strict_mode:S(1212,1,"Identifier_expected_0_is_a_reserved_word_in_strict_mode_1212","Identifier expected. '{0}' is a reserved word in strict mode."),Identifier_expected_0_is_a_reserved_word_in_strict_mode_Class_definitions_are_automatically_in_strict_mode:S(1213,1,"Identifier_expected_0_is_a_reserved_word_in_strict_mode_Class_definitions_are_automatically_in_stric_1213","Identifier expected. '{0}' is a reserved word in strict mode. Class definitions are automatically in strict mode."),Identifier_expected_0_is_a_reserved_word_in_strict_mode_Modules_are_automatically_in_strict_mode:S(1214,1,"Identifier_expected_0_is_a_reserved_word_in_strict_mode_Modules_are_automatically_in_strict_mode_1214","Identifier expected. '{0}' is a reserved word in strict mode. Modules are automatically in strict mode."),Invalid_use_of_0_Modules_are_automatically_in_strict_mode:S(1215,1,"Invalid_use_of_0_Modules_are_automatically_in_strict_mode_1215","Invalid use of '{0}'. Modules are automatically in strict mode."),Identifier_expected_esModule_is_reserved_as_an_exported_marker_when_transforming_ECMAScript_modules:S(1216,1,"Identifier_expected_esModule_is_reserved_as_an_exported_marker_when_transforming_ECMAScript_modules_1216","Identifier expected. '__esModule' is reserved as an exported marker when transforming ECMAScript modules."),Export_assignment_is_not_supported_when_module_flag_is_system:S(1218,1,"Export_assignment_is_not_supported_when_module_flag_is_system_1218","Export assignment is not supported when '--module' flag is 'system'."),Generators_are_not_allowed_in_an_ambient_context:S(1221,1,"Generators_are_not_allowed_in_an_ambient_context_1221","Generators are not allowed in an ambient context."),An_overload_signature_cannot_be_declared_as_a_generator:S(1222,1,"An_overload_signature_cannot_be_declared_as_a_generator_1222","An overload signature cannot be declared as a generator."),_0_tag_already_specified:S(1223,1,"_0_tag_already_specified_1223","'{0}' tag already specified."),Signature_0_must_be_a_type_predicate:S(1224,1,"Signature_0_must_be_a_type_predicate_1224","Signature '{0}' must be a type predicate."),Cannot_find_parameter_0:S(1225,1,"Cannot_find_parameter_0_1225","Cannot find parameter '{0}'."),Type_predicate_0_is_not_assignable_to_1:S(1226,1,"Type_predicate_0_is_not_assignable_to_1_1226","Type predicate '{0}' is not assignable to '{1}'."),Parameter_0_is_not_in_the_same_position_as_parameter_1:S(1227,1,"Parameter_0_is_not_in_the_same_position_as_parameter_1_1227","Parameter '{0}' is not in the same position as parameter '{1}'."),A_type_predicate_is_only_allowed_in_return_type_position_for_functions_and_methods:S(1228,1,"A_type_predicate_is_only_allowed_in_return_type_position_for_functions_and_methods_1228","A type predicate is only allowed in return type position for functions and methods."),A_type_predicate_cannot_reference_a_rest_parameter:S(1229,1,"A_type_predicate_cannot_reference_a_rest_parameter_1229","A type predicate cannot reference a rest parameter."),A_type_predicate_cannot_reference_element_0_in_a_binding_pattern:S(1230,1,"A_type_predicate_cannot_reference_element_0_in_a_binding_pattern_1230","A type predicate cannot reference element '{0}' in a binding pattern."),An_export_assignment_must_be_at_the_top_level_of_a_file_or_module_declaration:S(1231,1,"An_export_assignment_must_be_at_the_top_level_of_a_file_or_module_declaration_1231","An export assignment must be at the top level of a file or module declaration."),An_import_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module:S(1232,1,"An_import_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module_1232","An import declaration can only be used at the top level of a namespace or module."),An_export_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module:S(1233,1,"An_export_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module_1233","An export declaration can only be used at the top level of a namespace or module."),An_ambient_module_declaration_is_only_allowed_at_the_top_level_in_a_file:S(1234,1,"An_ambient_module_declaration_is_only_allowed_at_the_top_level_in_a_file_1234","An ambient module declaration is only allowed at the top level in a file."),A_namespace_declaration_is_only_allowed_at_the_top_level_of_a_namespace_or_module:S(1235,1,"A_namespace_declaration_is_only_allowed_at_the_top_level_of_a_namespace_or_module_1235","A namespace declaration is only allowed at the top level of a namespace or module."),The_return_type_of_a_property_decorator_function_must_be_either_void_or_any:S(1236,1,"The_return_type_of_a_property_decorator_function_must_be_either_void_or_any_1236","The return type of a property decorator function must be either 'void' or 'any'."),The_return_type_of_a_parameter_decorator_function_must_be_either_void_or_any:S(1237,1,"The_return_type_of_a_parameter_decorator_function_must_be_either_void_or_any_1237","The return type of a parameter decorator function must be either 'void' or 'any'."),Unable_to_resolve_signature_of_class_decorator_when_called_as_an_expression:S(1238,1,"Unable_to_resolve_signature_of_class_decorator_when_called_as_an_expression_1238","Unable to resolve signature of class decorator when called as an expression."),Unable_to_resolve_signature_of_parameter_decorator_when_called_as_an_expression:S(1239,1,"Unable_to_resolve_signature_of_parameter_decorator_when_called_as_an_expression_1239","Unable to resolve signature of parameter decorator when called as an expression."),Unable_to_resolve_signature_of_property_decorator_when_called_as_an_expression:S(1240,1,"Unable_to_resolve_signature_of_property_decorator_when_called_as_an_expression_1240","Unable to resolve signature of property decorator when called as an expression."),Unable_to_resolve_signature_of_method_decorator_when_called_as_an_expression:S(1241,1,"Unable_to_resolve_signature_of_method_decorator_when_called_as_an_expression_1241","Unable to resolve signature of method decorator when called as an expression."),abstract_modifier_can_only_appear_on_a_class_method_or_property_declaration:S(1242,1,"abstract_modifier_can_only_appear_on_a_class_method_or_property_declaration_1242","'abstract' modifier can only appear on a class, method, or property declaration."),_0_modifier_cannot_be_used_with_1_modifier:S(1243,1,"_0_modifier_cannot_be_used_with_1_modifier_1243","'{0}' modifier cannot be used with '{1}' modifier."),Abstract_methods_can_only_appear_within_an_abstract_class:S(1244,1,"Abstract_methods_can_only_appear_within_an_abstract_class_1244","Abstract methods can only appear within an abstract class."),Method_0_cannot_have_an_implementation_because_it_is_marked_abstract:S(1245,1,"Method_0_cannot_have_an_implementation_because_it_is_marked_abstract_1245","Method '{0}' cannot have an implementation because it is marked abstract."),An_interface_property_cannot_have_an_initializer:S(1246,1,"An_interface_property_cannot_have_an_initializer_1246","An interface property cannot have an initializer."),A_type_literal_property_cannot_have_an_initializer:S(1247,1,"A_type_literal_property_cannot_have_an_initializer_1247","A type literal property cannot have an initializer."),A_class_member_cannot_have_the_0_keyword:S(1248,1,"A_class_member_cannot_have_the_0_keyword_1248","A class member cannot have the '{0}' keyword."),A_decorator_can_only_decorate_a_method_implementation_not_an_overload:S(1249,1,"A_decorator_can_only_decorate_a_method_implementation_not_an_overload_1249","A decorator can only decorate a method implementation, not an overload."),Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5:S(1250,1,"Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5_1250","Function declarations are not allowed inside blocks in strict mode when targeting 'ES3' or 'ES5'."),Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5_Class_definitions_are_automatically_in_strict_mode:S(1251,1,"Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5_Class_d_1251","Function declarations are not allowed inside blocks in strict mode when targeting 'ES3' or 'ES5'. Class definitions are automatically in strict mode."),Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5_Modules_are_automatically_in_strict_mode:S(1252,1,"Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5_Modules_1252","Function declarations are not allowed inside blocks in strict mode when targeting 'ES3' or 'ES5'. Modules are automatically in strict mode."),Abstract_properties_can_only_appear_within_an_abstract_class:S(1253,1,"Abstract_properties_can_only_appear_within_an_abstract_class_1253","Abstract properties can only appear within an abstract class."),A_const_initializer_in_an_ambient_context_must_be_a_string_or_numeric_literal_or_literal_enum_reference:S(1254,1,"A_const_initializer_in_an_ambient_context_must_be_a_string_or_numeric_literal_or_literal_enum_refere_1254","A 'const' initializer in an ambient context must be a string or numeric literal or literal enum reference."),A_definite_assignment_assertion_is_not_permitted_in_this_context:S(1255,1,"A_definite_assignment_assertion_is_not_permitted_in_this_context_1255","A definite assignment assertion '!' is not permitted in this context."),A_required_element_cannot_follow_an_optional_element:S(1257,1,"A_required_element_cannot_follow_an_optional_element_1257","A required element cannot follow an optional element."),A_default_export_must_be_at_the_top_level_of_a_file_or_module_declaration:S(1258,1,"A_default_export_must_be_at_the_top_level_of_a_file_or_module_declaration_1258","A default export must be at the top level of a file or module declaration."),Module_0_can_only_be_default_imported_using_the_1_flag:S(1259,1,"Module_0_can_only_be_default_imported_using_the_1_flag_1259","Module '{0}' can only be default-imported using the '{1}' flag"),Keywords_cannot_contain_escape_characters:S(1260,1,"Keywords_cannot_contain_escape_characters_1260","Keywords cannot contain escape characters."),Already_included_file_name_0_differs_from_file_name_1_only_in_casing:S(1261,1,"Already_included_file_name_0_differs_from_file_name_1_only_in_casing_1261","Already included file name '{0}' differs from file name '{1}' only in casing."),Identifier_expected_0_is_a_reserved_word_at_the_top_level_of_a_module:S(1262,1,"Identifier_expected_0_is_a_reserved_word_at_the_top_level_of_a_module_1262","Identifier expected. '{0}' is a reserved word at the top-level of a module."),Declarations_with_initializers_cannot_also_have_definite_assignment_assertions:S(1263,1,"Declarations_with_initializers_cannot_also_have_definite_assignment_assertions_1263","Declarations with initializers cannot also have definite assignment assertions."),Declarations_with_definite_assignment_assertions_must_also_have_type_annotations:S(1264,1,"Declarations_with_definite_assignment_assertions_must_also_have_type_annotations_1264","Declarations with definite assignment assertions must also have type annotations."),A_rest_element_cannot_follow_another_rest_element:S(1265,1,"A_rest_element_cannot_follow_another_rest_element_1265","A rest element cannot follow another rest element."),An_optional_element_cannot_follow_a_rest_element:S(1266,1,"An_optional_element_cannot_follow_a_rest_element_1266","An optional element cannot follow a rest element."),Property_0_cannot_have_an_initializer_because_it_is_marked_abstract:S(1267,1,"Property_0_cannot_have_an_initializer_because_it_is_marked_abstract_1267","Property '{0}' cannot have an initializer because it is marked abstract."),An_index_signature_parameter_type_must_be_string_number_symbol_or_a_template_literal_type:S(1268,1,"An_index_signature_parameter_type_must_be_string_number_symbol_or_a_template_literal_type_1268","An index signature parameter type must be 'string', 'number', 'symbol', or a template literal type."),Cannot_use_export_import_on_a_type_or_type_only_namespace_when_0_is_enabled:S(1269,1,"Cannot_use_export_import_on_a_type_or_type_only_namespace_when_0_is_enabled_1269","Cannot use 'export import' on a type or type-only namespace when '{0}' is enabled."),Decorator_function_return_type_0_is_not_assignable_to_type_1:S(1270,1,"Decorator_function_return_type_0_is_not_assignable_to_type_1_1270","Decorator function return type '{0}' is not assignable to type '{1}'."),Decorator_function_return_type_is_0_but_is_expected_to_be_void_or_any:S(1271,1,"Decorator_function_return_type_is_0_but_is_expected_to_be_void_or_any_1271","Decorator function return type is '{0}' but is expected to be 'void' or 'any'."),A_type_referenced_in_a_decorated_signature_must_be_imported_with_import_type_or_a_namespace_import_when_isolatedModules_and_emitDecoratorMetadata_are_enabled:S(1272,1,"A_type_referenced_in_a_decorated_signature_must_be_imported_with_import_type_or_a_namespace_import_w_1272","A type referenced in a decorated signature must be imported with 'import type' or a namespace import when 'isolatedModules' and 'emitDecoratorMetadata' are enabled."),_0_modifier_cannot_appear_on_a_type_parameter:S(1273,1,"_0_modifier_cannot_appear_on_a_type_parameter_1273","'{0}' modifier cannot appear on a type parameter"),_0_modifier_can_only_appear_on_a_type_parameter_of_a_class_interface_or_type_alias:S(1274,1,"_0_modifier_can_only_appear_on_a_type_parameter_of_a_class_interface_or_type_alias_1274","'{0}' modifier can only appear on a type parameter of a class, interface or type alias"),accessor_modifier_can_only_appear_on_a_property_declaration:S(1275,1,"accessor_modifier_can_only_appear_on_a_property_declaration_1275","'accessor' modifier can only appear on a property declaration."),An_accessor_property_cannot_be_declared_optional:S(1276,1,"An_accessor_property_cannot_be_declared_optional_1276","An 'accessor' property cannot be declared optional."),_0_modifier_can_only_appear_on_a_type_parameter_of_a_function_method_or_class:S(1277,1,"_0_modifier_can_only_appear_on_a_type_parameter_of_a_function_method_or_class_1277","'{0}' modifier can only appear on a type parameter of a function, method or class"),The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_0:S(1278,1,"The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_0_1278","The runtime will invoke the decorator with {1} arguments, but the decorator expects {0}."),The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_at_least_0:S(1279,1,"The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_at_least_0_1279","The runtime will invoke the decorator with {1} arguments, but the decorator expects at least {0}."),Namespaces_are_not_allowed_in_global_script_files_when_0_is_enabled_If_this_file_is_not_intended_to_be_a_global_script_set_moduleDetection_to_force_or_add_an_empty_export_statement:S(1280,1,"Namespaces_are_not_allowed_in_global_script_files_when_0_is_enabled_If_this_file_is_not_intended_to__1280","Namespaces are not allowed in global script files when '{0}' is enabled. If this file is not intended to be a global script, set 'moduleDetection' to 'force' or add an empty 'export {}' statement."),Cannot_access_0_from_another_file_without_qualification_when_1_is_enabled_Use_2_instead:S(1281,1,"Cannot_access_0_from_another_file_without_qualification_when_1_is_enabled_Use_2_instead_1281","Cannot access '{0}' from another file without qualification when '{1}' is enabled. Use '{2}' instead."),An_export_declaration_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_type:S(1282,1,"An_export_declaration_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers__1282","An 'export =' declaration must reference a value when 'verbatimModuleSyntax' is enabled, but '{0}' only refers to a type."),An_export_declaration_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_a_type_only_declaration:S(1283,1,"An_export_declaration_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolve_1283","An 'export =' declaration must reference a real value when 'verbatimModuleSyntax' is enabled, but '{0}' resolves to a type-only declaration."),An_export_default_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_type:S(1284,1,"An_export_default_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_1284","An 'export default' must reference a value when 'verbatimModuleSyntax' is enabled, but '{0}' only refers to a type."),An_export_default_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_a_type_only_declaration:S(1285,1,"An_export_default_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_1285","An 'export default' must reference a real value when 'verbatimModuleSyntax' is enabled, but '{0}' resolves to a type-only declaration."),ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled:S(1286,1,"ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled_1286","ESM syntax is not allowed in a CommonJS module when 'verbatimModuleSyntax' is enabled."),A_top_level_export_modifier_cannot_be_used_on_value_declarations_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled:S(1287,1,"A_top_level_export_modifier_cannot_be_used_on_value_declarations_in_a_CommonJS_module_when_verbatimM_1287","A top-level 'export' modifier cannot be used on value declarations in a CommonJS module when 'verbatimModuleSyntax' is enabled."),An_import_alias_cannot_resolve_to_a_type_or_type_only_declaration_when_verbatimModuleSyntax_is_enabled:S(1288,1,"An_import_alias_cannot_resolve_to_a_type_or_type_only_declaration_when_verbatimModuleSyntax_is_enabl_1288","An import alias cannot resolve to a type or type-only declaration when 'verbatimModuleSyntax' is enabled."),_0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_import_type_where_0_is_imported:S(1289,1,"_0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_1289","'{0}' resolves to a type-only declaration and must be marked type-only in this file before re-exporting when '{1}' is enabled. Consider using 'import type' where '{0}' is imported."),_0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_export_type_0_as_default:S(1290,1,"_0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_1290","'{0}' resolves to a type-only declaration and must be marked type-only in this file before re-exporting when '{1}' is enabled. Consider using 'export type { {0} as default }'."),_0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_import_type_where_0_is_imported:S(1291,1,"_0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enable_1291","'{0}' resolves to a type and must be marked type-only in this file before re-exporting when '{1}' is enabled. Consider using 'import type' where '{0}' is imported."),_0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_export_type_0_as_default:S(1292,1,"_0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enable_1292","'{0}' resolves to a type and must be marked type-only in this file before re-exporting when '{1}' is enabled. Consider using 'export type { {0} as default }'."),with_statements_are_not_allowed_in_an_async_function_block:S(1300,1,"with_statements_are_not_allowed_in_an_async_function_block_1300","'with' statements are not allowed in an async function block."),await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules:S(1308,1,"await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules_1308","'await' expressions are only allowed within async functions and at the top levels of modules."),The_current_file_is_a_CommonJS_module_and_cannot_use_await_at_the_top_level:S(1309,1,"The_current_file_is_a_CommonJS_module_and_cannot_use_await_at_the_top_level_1309","The current file is a CommonJS module and cannot use 'await' at the top level."),Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_part_of_a_destructuring_pattern:S(1312,1,"Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_1312","Did you mean to use a ':'? An '=' can only follow a property name when the containing object literal is part of a destructuring pattern."),The_body_of_an_if_statement_cannot_be_the_empty_statement:S(1313,1,"The_body_of_an_if_statement_cannot_be_the_empty_statement_1313","The body of an 'if' statement cannot be the empty statement."),Global_module_exports_may_only_appear_in_module_files:S(1314,1,"Global_module_exports_may_only_appear_in_module_files_1314","Global module exports may only appear in module files."),Global_module_exports_may_only_appear_in_declaration_files:S(1315,1,"Global_module_exports_may_only_appear_in_declaration_files_1315","Global module exports may only appear in declaration files."),Global_module_exports_may_only_appear_at_top_level:S(1316,1,"Global_module_exports_may_only_appear_at_top_level_1316","Global module exports may only appear at top level."),A_parameter_property_cannot_be_declared_using_a_rest_parameter:S(1317,1,"A_parameter_property_cannot_be_declared_using_a_rest_parameter_1317","A parameter property cannot be declared using a rest parameter."),An_abstract_accessor_cannot_have_an_implementation:S(1318,1,"An_abstract_accessor_cannot_have_an_implementation_1318","An abstract accessor cannot have an implementation."),A_default_export_can_only_be_used_in_an_ECMAScript_style_module:S(1319,1,"A_default_export_can_only_be_used_in_an_ECMAScript_style_module_1319","A default export can only be used in an ECMAScript-style module."),Type_of_await_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:S(1320,1,"Type_of_await_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member_1320","Type of 'await' operand must either be a valid promise or must not contain a callable 'then' member."),Type_of_yield_operand_in_an_async_generator_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:S(1321,1,"Type_of_yield_operand_in_an_async_generator_must_either_be_a_valid_promise_or_must_not_contain_a_cal_1321","Type of 'yield' operand in an async generator must either be a valid promise or must not contain a callable 'then' member."),Type_of_iterated_elements_of_a_yield_Asterisk_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:S(1322,1,"Type_of_iterated_elements_of_a_yield_Asterisk_operand_must_either_be_a_valid_promise_or_must_not_con_1322","Type of iterated elements of a 'yield*' operand must either be a valid promise or must not contain a callable 'then' member."),Dynamic_imports_are_only_supported_when_the_module_flag_is_set_to_es2020_es2022_esnext_commonjs_amd_system_umd_node16_or_nodenext:S(1323,1,"Dynamic_imports_are_only_supported_when_the_module_flag_is_set_to_es2020_es2022_esnext_commonjs_amd__1323","Dynamic imports are only supported when the '--module' flag is set to 'es2020', 'es2022', 'esnext', 'commonjs', 'amd', 'system', 'umd', 'node16', or 'nodenext'."),Dynamic_imports_only_support_a_second_argument_when_the_module_option_is_set_to_esnext_node16_or_nodenext:S(1324,1,"Dynamic_imports_only_support_a_second_argument_when_the_module_option_is_set_to_esnext_node16_or_nod_1324","Dynamic imports only support a second argument when the '--module' option is set to 'esnext', 'node16', or 'nodenext'."),Argument_of_dynamic_import_cannot_be_spread_element:S(1325,1,"Argument_of_dynamic_import_cannot_be_spread_element_1325","Argument of dynamic import cannot be spread element."),This_use_of_import_is_invalid_import_calls_can_be_written_but_they_must_have_parentheses_and_cannot_have_type_arguments:S(1326,1,"This_use_of_import_is_invalid_import_calls_can_be_written_but_they_must_have_parentheses_and_cannot__1326","This use of 'import' is invalid. 'import()' calls can be written, but they must have parentheses and cannot have type arguments."),String_literal_with_double_quotes_expected:S(1327,1,"String_literal_with_double_quotes_expected_1327","String literal with double quotes expected."),Property_value_can_only_be_string_literal_numeric_literal_true_false_null_object_literal_or_array_literal:S(1328,1,"Property_value_can_only_be_string_literal_numeric_literal_true_false_null_object_literal_or_array_li_1328","Property value can only be string literal, numeric literal, 'true', 'false', 'null', object literal or array literal."),_0_accepts_too_few_arguments_to_be_used_as_a_decorator_here_Did_you_mean_to_call_it_first_and_write_0:S(1329,1,"_0_accepts_too_few_arguments_to_be_used_as_a_decorator_here_Did_you_mean_to_call_it_first_and_write__1329","'{0}' accepts too few arguments to be used as a decorator here. Did you mean to call it first and write '@{0}()'?"),A_property_of_an_interface_or_type_literal_whose_type_is_a_unique_symbol_type_must_be_readonly:S(1330,1,"A_property_of_an_interface_or_type_literal_whose_type_is_a_unique_symbol_type_must_be_readonly_1330","A property of an interface or type literal whose type is a 'unique symbol' type must be 'readonly'."),A_property_of_a_class_whose_type_is_a_unique_symbol_type_must_be_both_static_and_readonly:S(1331,1,"A_property_of_a_class_whose_type_is_a_unique_symbol_type_must_be_both_static_and_readonly_1331","A property of a class whose type is a 'unique symbol' type must be both 'static' and 'readonly'."),A_variable_whose_type_is_a_unique_symbol_type_must_be_const:S(1332,1,"A_variable_whose_type_is_a_unique_symbol_type_must_be_const_1332","A variable whose type is a 'unique symbol' type must be 'const'."),unique_symbol_types_may_not_be_used_on_a_variable_declaration_with_a_binding_name:S(1333,1,"unique_symbol_types_may_not_be_used_on_a_variable_declaration_with_a_binding_name_1333","'unique symbol' types may not be used on a variable declaration with a binding name."),unique_symbol_types_are_only_allowed_on_variables_in_a_variable_statement:S(1334,1,"unique_symbol_types_are_only_allowed_on_variables_in_a_variable_statement_1334","'unique symbol' types are only allowed on variables in a variable statement."),unique_symbol_types_are_not_allowed_here:S(1335,1,"unique_symbol_types_are_not_allowed_here_1335","'unique symbol' types are not allowed here."),An_index_signature_parameter_type_cannot_be_a_literal_type_or_generic_type_Consider_using_a_mapped_object_type_instead:S(1337,1,"An_index_signature_parameter_type_cannot_be_a_literal_type_or_generic_type_Consider_using_a_mapped_o_1337","An index signature parameter type cannot be a literal type or generic type. Consider using a mapped object type instead."),infer_declarations_are_only_permitted_in_the_extends_clause_of_a_conditional_type:S(1338,1,"infer_declarations_are_only_permitted_in_the_extends_clause_of_a_conditional_type_1338","'infer' declarations are only permitted in the 'extends' clause of a conditional type."),Module_0_does_not_refer_to_a_value_but_is_used_as_a_value_here:S(1339,1,"Module_0_does_not_refer_to_a_value_but_is_used_as_a_value_here_1339","Module '{0}' does not refer to a value, but is used as a value here."),Module_0_does_not_refer_to_a_type_but_is_used_as_a_type_here_Did_you_mean_typeof_import_0:S(1340,1,"Module_0_does_not_refer_to_a_type_but_is_used_as_a_type_here_Did_you_mean_typeof_import_0_1340","Module '{0}' does not refer to a type, but is used as a type here. Did you mean 'typeof import('{0}')'?"),Class_constructor_may_not_be_an_accessor:S(1341,1,"Class_constructor_may_not_be_an_accessor_1341","Class constructor may not be an accessor."),The_import_meta_meta_property_is_only_allowed_when_the_module_option_is_es2020_es2022_esnext_system_node16_or_nodenext:S(1343,1,"The_import_meta_meta_property_is_only_allowed_when_the_module_option_is_es2020_es2022_esnext_system__1343","The 'import.meta' meta-property is only allowed when the '--module' option is 'es2020', 'es2022', 'esnext', 'system', 'node16', or 'nodenext'."),A_label_is_not_allowed_here:S(1344,1,"A_label_is_not_allowed_here_1344","'A label is not allowed here."),An_expression_of_type_void_cannot_be_tested_for_truthiness:S(1345,1,"An_expression_of_type_void_cannot_be_tested_for_truthiness_1345","An expression of type 'void' cannot be tested for truthiness."),This_parameter_is_not_allowed_with_use_strict_directive:S(1346,1,"This_parameter_is_not_allowed_with_use_strict_directive_1346","This parameter is not allowed with 'use strict' directive."),use_strict_directive_cannot_be_used_with_non_simple_parameter_list:S(1347,1,"use_strict_directive_cannot_be_used_with_non_simple_parameter_list_1347","'use strict' directive cannot be used with non-simple parameter list."),Non_simple_parameter_declared_here:S(1348,1,"Non_simple_parameter_declared_here_1348","Non-simple parameter declared here."),use_strict_directive_used_here:S(1349,1,"use_strict_directive_used_here_1349","'use strict' directive used here."),Print_the_final_configuration_instead_of_building:S(1350,3,"Print_the_final_configuration_instead_of_building_1350","Print the final configuration instead of building."),An_identifier_or_keyword_cannot_immediately_follow_a_numeric_literal:S(1351,1,"An_identifier_or_keyword_cannot_immediately_follow_a_numeric_literal_1351","An identifier or keyword cannot immediately follow a numeric literal."),A_bigint_literal_cannot_use_exponential_notation:S(1352,1,"A_bigint_literal_cannot_use_exponential_notation_1352","A bigint literal cannot use exponential notation."),A_bigint_literal_must_be_an_integer:S(1353,1,"A_bigint_literal_must_be_an_integer_1353","A bigint literal must be an integer."),readonly_type_modifier_is_only_permitted_on_array_and_tuple_literal_types:S(1354,1,"readonly_type_modifier_is_only_permitted_on_array_and_tuple_literal_types_1354","'readonly' type modifier is only permitted on array and tuple literal types."),A_const_assertions_can_only_be_applied_to_references_to_enum_members_or_string_number_boolean_array_or_object_literals:S(1355,1,"A_const_assertions_can_only_be_applied_to_references_to_enum_members_or_string_number_boolean_array__1355","A 'const' assertions can only be applied to references to enum members, or string, number, boolean, array, or object literals."),Did_you_mean_to_mark_this_function_as_async:S(1356,1,"Did_you_mean_to_mark_this_function_as_async_1356","Did you mean to mark this function as 'async'?"),An_enum_member_name_must_be_followed_by_a_or:S(1357,1,"An_enum_member_name_must_be_followed_by_a_or_1357","An enum member name must be followed by a ',', '=', or '}'."),Tagged_template_expressions_are_not_permitted_in_an_optional_chain:S(1358,1,"Tagged_template_expressions_are_not_permitted_in_an_optional_chain_1358","Tagged template expressions are not permitted in an optional chain."),Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here:S(1359,1,"Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here_1359","Identifier expected. '{0}' is a reserved word that cannot be used here."),Type_0_does_not_satisfy_the_expected_type_1:S(1360,1,"Type_0_does_not_satisfy_the_expected_type_1_1360","Type '{0}' does not satisfy the expected type '{1}'."),_0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type:S(1361,1,"_0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type_1361","'{0}' cannot be used as a value because it was imported using 'import type'."),_0_cannot_be_used_as_a_value_because_it_was_exported_using_export_type:S(1362,1,"_0_cannot_be_used_as_a_value_because_it_was_exported_using_export_type_1362","'{0}' cannot be used as a value because it was exported using 'export type'."),A_type_only_import_can_specify_a_default_import_or_named_bindings_but_not_both:S(1363,1,"A_type_only_import_can_specify_a_default_import_or_named_bindings_but_not_both_1363","A type-only import can specify a default import or named bindings, but not both."),Convert_to_type_only_export:S(1364,3,"Convert_to_type_only_export_1364","Convert to type-only export"),Convert_all_re_exported_types_to_type_only_exports:S(1365,3,"Convert_all_re_exported_types_to_type_only_exports_1365","Convert all re-exported types to type-only exports"),Split_into_two_separate_import_declarations:S(1366,3,"Split_into_two_separate_import_declarations_1366","Split into two separate import declarations"),Split_all_invalid_type_only_imports:S(1367,3,"Split_all_invalid_type_only_imports_1367","Split all invalid type-only imports"),Class_constructor_may_not_be_a_generator:S(1368,1,"Class_constructor_may_not_be_a_generator_1368","Class constructor may not be a generator."),Did_you_mean_0:S(1369,3,"Did_you_mean_0_1369","Did you mean '{0}'?"),This_import_is_never_used_as_a_value_and_must_use_import_type_because_importsNotUsedAsValues_is_set_to_error:S(1371,1,"This_import_is_never_used_as_a_value_and_must_use_import_type_because_importsNotUsedAsValues_is_set__1371","This import is never used as a value and must use 'import type' because 'importsNotUsedAsValues' is set to 'error'."),await_expressions_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module:S(1375,1,"await_expressions_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_fi_1375","'await' expressions are only allowed at the top level of a file when that file is a module, but this file has no imports or exports. Consider adding an empty 'export {}' to make this file a module."),_0_was_imported_here:S(1376,3,"_0_was_imported_here_1376","'{0}' was imported here."),_0_was_exported_here:S(1377,3,"_0_was_exported_here_1377","'{0}' was exported here."),Top_level_await_expressions_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher:S(1378,1,"Top_level_await_expressions_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_n_1378","Top-level 'await' expressions are only allowed when the 'module' option is set to 'es2022', 'esnext', 'system', 'node16', 'nodenext', or 'preserve', and the 'target' option is set to 'es2017' or higher."),An_import_alias_cannot_reference_a_declaration_that_was_exported_using_export_type:S(1379,1,"An_import_alias_cannot_reference_a_declaration_that_was_exported_using_export_type_1379","An import alias cannot reference a declaration that was exported using 'export type'."),An_import_alias_cannot_reference_a_declaration_that_was_imported_using_import_type:S(1380,1,"An_import_alias_cannot_reference_a_declaration_that_was_imported_using_import_type_1380","An import alias cannot reference a declaration that was imported using 'import type'."),Unexpected_token_Did_you_mean_or_rbrace:S(1381,1,"Unexpected_token_Did_you_mean_or_rbrace_1381","Unexpected token. Did you mean `{'}'}` or `&rbrace;`?"),Unexpected_token_Did_you_mean_or_gt:S(1382,1,"Unexpected_token_Did_you_mean_or_gt_1382","Unexpected token. Did you mean `{'>'}` or `&gt;`?"),Function_type_notation_must_be_parenthesized_when_used_in_a_union_type:S(1385,1,"Function_type_notation_must_be_parenthesized_when_used_in_a_union_type_1385","Function type notation must be parenthesized when used in a union type."),Constructor_type_notation_must_be_parenthesized_when_used_in_a_union_type:S(1386,1,"Constructor_type_notation_must_be_parenthesized_when_used_in_a_union_type_1386","Constructor type notation must be parenthesized when used in a union type."),Function_type_notation_must_be_parenthesized_when_used_in_an_intersection_type:S(1387,1,"Function_type_notation_must_be_parenthesized_when_used_in_an_intersection_type_1387","Function type notation must be parenthesized when used in an intersection type."),Constructor_type_notation_must_be_parenthesized_when_used_in_an_intersection_type:S(1388,1,"Constructor_type_notation_must_be_parenthesized_when_used_in_an_intersection_type_1388","Constructor type notation must be parenthesized when used in an intersection type."),_0_is_not_allowed_as_a_variable_declaration_name:S(1389,1,"_0_is_not_allowed_as_a_variable_declaration_name_1389","'{0}' is not allowed as a variable declaration name."),_0_is_not_allowed_as_a_parameter_name:S(1390,1,"_0_is_not_allowed_as_a_parameter_name_1390","'{0}' is not allowed as a parameter name."),An_import_alias_cannot_use_import_type:S(1392,1,"An_import_alias_cannot_use_import_type_1392","An import alias cannot use 'import type'"),Imported_via_0_from_file_1:S(1393,3,"Imported_via_0_from_file_1_1393","Imported via {0} from file '{1}'"),Imported_via_0_from_file_1_with_packageId_2:S(1394,3,"Imported_via_0_from_file_1_with_packageId_2_1394","Imported via {0} from file '{1}' with packageId '{2}'"),Imported_via_0_from_file_1_to_import_importHelpers_as_specified_in_compilerOptions:S(1395,3,"Imported_via_0_from_file_1_to_import_importHelpers_as_specified_in_compilerOptions_1395","Imported via {0} from file '{1}' to import 'importHelpers' as specified in compilerOptions"),Imported_via_0_from_file_1_with_packageId_2_to_import_importHelpers_as_specified_in_compilerOptions:S(1396,3,"Imported_via_0_from_file_1_with_packageId_2_to_import_importHelpers_as_specified_in_compilerOptions_1396","Imported via {0} from file '{1}' with packageId '{2}' to import 'importHelpers' as specified in compilerOptions"),Imported_via_0_from_file_1_to_import_jsx_and_jsxs_factory_functions:S(1397,3,"Imported_via_0_from_file_1_to_import_jsx_and_jsxs_factory_functions_1397","Imported via {0} from file '{1}' to import 'jsx' and 'jsxs' factory functions"),Imported_via_0_from_file_1_with_packageId_2_to_import_jsx_and_jsxs_factory_functions:S(1398,3,"Imported_via_0_from_file_1_with_packageId_2_to_import_jsx_and_jsxs_factory_functions_1398","Imported via {0} from file '{1}' with packageId '{2}' to import 'jsx' and 'jsxs' factory functions"),File_is_included_via_import_here:S(1399,3,"File_is_included_via_import_here_1399","File is included via import here."),Referenced_via_0_from_file_1:S(1400,3,"Referenced_via_0_from_file_1_1400","Referenced via '{0}' from file '{1}'"),File_is_included_via_reference_here:S(1401,3,"File_is_included_via_reference_here_1401","File is included via reference here."),Type_library_referenced_via_0_from_file_1:S(1402,3,"Type_library_referenced_via_0_from_file_1_1402","Type library referenced via '{0}' from file '{1}'"),Type_library_referenced_via_0_from_file_1_with_packageId_2:S(1403,3,"Type_library_referenced_via_0_from_file_1_with_packageId_2_1403","Type library referenced via '{0}' from file '{1}' with packageId '{2}'"),File_is_included_via_type_library_reference_here:S(1404,3,"File_is_included_via_type_library_reference_here_1404","File is included via type library reference here."),Library_referenced_via_0_from_file_1:S(1405,3,"Library_referenced_via_0_from_file_1_1405","Library referenced via '{0}' from file '{1}'"),File_is_included_via_library_reference_here:S(1406,3,"File_is_included_via_library_reference_here_1406","File is included via library reference here."),Matched_by_include_pattern_0_in_1:S(1407,3,"Matched_by_include_pattern_0_in_1_1407","Matched by include pattern '{0}' in '{1}'"),File_is_matched_by_include_pattern_specified_here:S(1408,3,"File_is_matched_by_include_pattern_specified_here_1408","File is matched by include pattern specified here."),Part_of_files_list_in_tsconfig_json:S(1409,3,"Part_of_files_list_in_tsconfig_json_1409","Part of 'files' list in tsconfig.json"),File_is_matched_by_files_list_specified_here:S(1410,3,"File_is_matched_by_files_list_specified_here_1410","File is matched by 'files' list specified here."),Output_from_referenced_project_0_included_because_1_specified:S(1411,3,"Output_from_referenced_project_0_included_because_1_specified_1411","Output from referenced project '{0}' included because '{1}' specified"),Output_from_referenced_project_0_included_because_module_is_specified_as_none:S(1412,3,"Output_from_referenced_project_0_included_because_module_is_specified_as_none_1412","Output from referenced project '{0}' included because '--module' is specified as 'none'"),File_is_output_from_referenced_project_specified_here:S(1413,3,"File_is_output_from_referenced_project_specified_here_1413","File is output from referenced project specified here."),Source_from_referenced_project_0_included_because_1_specified:S(1414,3,"Source_from_referenced_project_0_included_because_1_specified_1414","Source from referenced project '{0}' included because '{1}' specified"),Source_from_referenced_project_0_included_because_module_is_specified_as_none:S(1415,3,"Source_from_referenced_project_0_included_because_module_is_specified_as_none_1415","Source from referenced project '{0}' included because '--module' is specified as 'none'"),File_is_source_from_referenced_project_specified_here:S(1416,3,"File_is_source_from_referenced_project_specified_here_1416","File is source from referenced project specified here."),Entry_point_of_type_library_0_specified_in_compilerOptions:S(1417,3,"Entry_point_of_type_library_0_specified_in_compilerOptions_1417","Entry point of type library '{0}' specified in compilerOptions"),Entry_point_of_type_library_0_specified_in_compilerOptions_with_packageId_1:S(1418,3,"Entry_point_of_type_library_0_specified_in_compilerOptions_with_packageId_1_1418","Entry point of type library '{0}' specified in compilerOptions with packageId '{1}'"),File_is_entry_point_of_type_library_specified_here:S(1419,3,"File_is_entry_point_of_type_library_specified_here_1419","File is entry point of type library specified here."),Entry_point_for_implicit_type_library_0:S(1420,3,"Entry_point_for_implicit_type_library_0_1420","Entry point for implicit type library '{0}'"),Entry_point_for_implicit_type_library_0_with_packageId_1:S(1421,3,"Entry_point_for_implicit_type_library_0_with_packageId_1_1421","Entry point for implicit type library '{0}' with packageId '{1}'"),Library_0_specified_in_compilerOptions:S(1422,3,"Library_0_specified_in_compilerOptions_1422","Library '{0}' specified in compilerOptions"),File_is_library_specified_here:S(1423,3,"File_is_library_specified_here_1423","File is library specified here."),Default_library:S(1424,3,"Default_library_1424","Default library"),Default_library_for_target_0:S(1425,3,"Default_library_for_target_0_1425","Default library for target '{0}'"),File_is_default_library_for_target_specified_here:S(1426,3,"File_is_default_library_for_target_specified_here_1426","File is default library for target specified here."),Root_file_specified_for_compilation:S(1427,3,"Root_file_specified_for_compilation_1427","Root file specified for compilation"),File_is_output_of_project_reference_source_0:S(1428,3,"File_is_output_of_project_reference_source_0_1428","File is output of project reference source '{0}'"),File_redirects_to_file_0:S(1429,3,"File_redirects_to_file_0_1429","File redirects to file '{0}'"),The_file_is_in_the_program_because_Colon:S(1430,3,"The_file_is_in_the_program_because_Colon_1430","The file is in the program because:"),for_await_loops_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module:S(1431,1,"for_await_loops_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_1431","'for await' loops are only allowed at the top level of a file when that file is a module, but this file has no imports or exports. Consider adding an empty 'export {}' to make this file a module."),Top_level_for_await_loops_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher:S(1432,1,"Top_level_for_await_loops_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_nod_1432","Top-level 'for await' loops are only allowed when the 'module' option is set to 'es2022', 'esnext', 'system', 'node16', 'nodenext', or 'preserve', and the 'target' option is set to 'es2017' or higher."),Neither_decorators_nor_modifiers_may_be_applied_to_this_parameters:S(1433,1,"Neither_decorators_nor_modifiers_may_be_applied_to_this_parameters_1433","Neither decorators nor modifiers may be applied to 'this' parameters."),Unexpected_keyword_or_identifier:S(1434,1,"Unexpected_keyword_or_identifier_1434","Unexpected keyword or identifier."),Unknown_keyword_or_identifier_Did_you_mean_0:S(1435,1,"Unknown_keyword_or_identifier_Did_you_mean_0_1435","Unknown keyword or identifier. Did you mean '{0}'?"),Decorators_must_precede_the_name_and_all_keywords_of_property_declarations:S(1436,1,"Decorators_must_precede_the_name_and_all_keywords_of_property_declarations_1436","Decorators must precede the name and all keywords of property declarations."),Namespace_must_be_given_a_name:S(1437,1,"Namespace_must_be_given_a_name_1437","Namespace must be given a name."),Interface_must_be_given_a_name:S(1438,1,"Interface_must_be_given_a_name_1438","Interface must be given a name."),Type_alias_must_be_given_a_name:S(1439,1,"Type_alias_must_be_given_a_name_1439","Type alias must be given a name."),Variable_declaration_not_allowed_at_this_location:S(1440,1,"Variable_declaration_not_allowed_at_this_location_1440","Variable declaration not allowed at this location."),Cannot_start_a_function_call_in_a_type_annotation:S(1441,1,"Cannot_start_a_function_call_in_a_type_annotation_1441","Cannot start a function call in a type annotation."),Expected_for_property_initializer:S(1442,1,"Expected_for_property_initializer_1442","Expected '=' for property initializer."),Module_declaration_names_may_only_use_or_quoted_strings:S(1443,1,"Module_declaration_names_may_only_use_or_quoted_strings_1443",`Module declaration names may only use ' or " quoted strings.`),_0_is_a_type_and_must_be_imported_using_a_type_only_import_when_preserveValueImports_and_isolatedModules_are_both_enabled:S(1444,1,"_0_is_a_type_and_must_be_imported_using_a_type_only_import_when_preserveValueImports_and_isolatedMod_1444","'{0}' is a type and must be imported using a type-only import when 'preserveValueImports' and 'isolatedModules' are both enabled."),_0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_preserveValueImports_and_isolatedModules_are_both_enabled:S(1446,1,"_0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_preserveVa_1446","'{0}' resolves to a type-only declaration and must be imported using a type-only import when 'preserveValueImports' and 'isolatedModules' are both enabled."),_0_resolves_to_a_type_only_declaration_and_must_be_re_exported_using_a_type_only_re_export_when_1_is_enabled:S(1448,1,"_0_resolves_to_a_type_only_declaration_and_must_be_re_exported_using_a_type_only_re_export_when_1_is_1448","'{0}' resolves to a type-only declaration and must be re-exported using a type-only re-export when '{1}' is enabled."),Preserve_unused_imported_values_in_the_JavaScript_output_that_would_otherwise_be_removed:S(1449,3,"Preserve_unused_imported_values_in_the_JavaScript_output_that_would_otherwise_be_removed_1449","Preserve unused imported values in the JavaScript output that would otherwise be removed."),Dynamic_imports_can_only_accept_a_module_specifier_and_an_optional_set_of_attributes_as_arguments:S(1450,3,"Dynamic_imports_can_only_accept_a_module_specifier_and_an_optional_set_of_attributes_as_arguments_1450","Dynamic imports can only accept a module specifier and an optional set of attributes as arguments"),Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member_declaration_property_access_or_on_the_left_hand_side_of_an_in_expression:S(1451,1,"Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member__1451","Private identifiers are only allowed in class bodies and may only be used as part of a class member declaration, property access, or on the left-hand-side of an 'in' expression"),resolution_mode_should_be_either_require_or_import:S(1453,1,"resolution_mode_should_be_either_require_or_import_1453","`resolution-mode` should be either `require` or `import`."),resolution_mode_can_only_be_set_for_type_only_imports:S(1454,1,"resolution_mode_can_only_be_set_for_type_only_imports_1454","`resolution-mode` can only be set for type-only imports."),resolution_mode_is_the_only_valid_key_for_type_import_assertions:S(1455,1,"resolution_mode_is_the_only_valid_key_for_type_import_assertions_1455","`resolution-mode` is the only valid key for type import assertions."),Type_import_assertions_should_have_exactly_one_key_resolution_mode_with_value_import_or_require:S(1456,1,"Type_import_assertions_should_have_exactly_one_key_resolution_mode_with_value_import_or_require_1456","Type import assertions should have exactly one key - `resolution-mode` - with value `import` or `require`."),Matched_by_default_include_pattern_Asterisk_Asterisk_Slash_Asterisk:S(1457,3,"Matched_by_default_include_pattern_Asterisk_Asterisk_Slash_Asterisk_1457","Matched by default include pattern '**/*'"),File_is_ECMAScript_module_because_0_has_field_type_with_value_module:S(1458,3,"File_is_ECMAScript_module_because_0_has_field_type_with_value_module_1458",`File is ECMAScript module because '{0}' has field "type" with value "module"`),File_is_CommonJS_module_because_0_has_field_type_whose_value_is_not_module:S(1459,3,"File_is_CommonJS_module_because_0_has_field_type_whose_value_is_not_module_1459",`File is CommonJS module because '{0}' has field "type" whose value is not "module"`),File_is_CommonJS_module_because_0_does_not_have_field_type:S(1460,3,"File_is_CommonJS_module_because_0_does_not_have_field_type_1460",`File is CommonJS module because '{0}' does not have field "type"`),File_is_CommonJS_module_because_package_json_was_not_found:S(1461,3,"File_is_CommonJS_module_because_package_json_was_not_found_1461","File is CommonJS module because 'package.json' was not found"),resolution_mode_is_the_only_valid_key_for_type_import_attributes:S(1463,1,"resolution_mode_is_the_only_valid_key_for_type_import_attributes_1463","'resolution-mode' is the only valid key for type import attributes."),Type_import_attributes_should_have_exactly_one_key_resolution_mode_with_value_import_or_require:S(1464,1,"Type_import_attributes_should_have_exactly_one_key_resolution_mode_with_value_import_or_require_1464","Type import attributes should have exactly one key - 'resolution-mode' - with value 'import' or 'require'."),The_import_meta_meta_property_is_not_allowed_in_files_which_will_build_into_CommonJS_output:S(1470,1,"The_import_meta_meta_property_is_not_allowed_in_files_which_will_build_into_CommonJS_output_1470","The 'import.meta' meta-property is not allowed in files which will build into CommonJS output."),Module_0_cannot_be_imported_using_this_construct_The_specifier_only_resolves_to_an_ES_module_which_cannot_be_imported_with_require_Use_an_ECMAScript_import_instead:S(1471,1,"Module_0_cannot_be_imported_using_this_construct_The_specifier_only_resolves_to_an_ES_module_which_c_1471","Module '{0}' cannot be imported using this construct. The specifier only resolves to an ES module, which cannot be imported with 'require'. Use an ECMAScript import instead."),catch_or_finally_expected:S(1472,1,"catch_or_finally_expected_1472","'catch' or 'finally' expected."),An_import_declaration_can_only_be_used_at_the_top_level_of_a_module:S(1473,1,"An_import_declaration_can_only_be_used_at_the_top_level_of_a_module_1473","An import declaration can only be used at the top level of a module."),An_export_declaration_can_only_be_used_at_the_top_level_of_a_module:S(1474,1,"An_export_declaration_can_only_be_used_at_the_top_level_of_a_module_1474","An export declaration can only be used at the top level of a module."),Control_what_method_is_used_to_detect_module_format_JS_files:S(1475,3,"Control_what_method_is_used_to_detect_module_format_JS_files_1475","Control what method is used to detect module-format JS files."),auto_Colon_Treat_files_with_imports_exports_import_meta_jsx_with_jsx_Colon_react_jsx_or_esm_format_with_module_Colon_node16_as_modules:S(1476,3,"auto_Colon_Treat_files_with_imports_exports_import_meta_jsx_with_jsx_Colon_react_jsx_or_esm_format_w_1476",'"auto": Treat files with imports, exports, import.meta, jsx (with jsx: react-jsx), or esm format (with module: node16+) as modules.'),An_instantiation_expression_cannot_be_followed_by_a_property_access:S(1477,1,"An_instantiation_expression_cannot_be_followed_by_a_property_access_1477","An instantiation expression cannot be followed by a property access."),Identifier_or_string_literal_expected:S(1478,1,"Identifier_or_string_literal_expected_1478","Identifier or string literal expected."),The_current_file_is_a_CommonJS_module_whose_imports_will_produce_require_calls_however_the_referenced_file_is_an_ECMAScript_module_and_cannot_be_imported_with_require_Consider_writing_a_dynamic_import_0_call_instead:S(1479,1,"The_current_file_is_a_CommonJS_module_whose_imports_will_produce_require_calls_however_the_reference_1479",`The current file is a CommonJS module whose imports will produce 'require' calls; however, the referenced file is an ECMAScript module and cannot be imported with 'require'. Consider writing a dynamic 'import("{0}")' call instead.`),To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_create_a_local_package_json_file_with_type_Colon_module:S(1480,3,"To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_create_a_local_packag_1480",'To convert this file to an ECMAScript module, change its file extension to \'{0}\' or create a local package.json file with `{ "type": "module" }`.'),To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_add_the_field_type_Colon_module_to_1:S(1481,3,"To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_add_the_field_type_Co_1481",`To convert this file to an ECMAScript module, change its file extension to '{0}', or add the field \`"type": "module"\` to '{1}'.`),To_convert_this_file_to_an_ECMAScript_module_add_the_field_type_Colon_module_to_0:S(1482,3,"To_convert_this_file_to_an_ECMAScript_module_add_the_field_type_Colon_module_to_0_1482",'To convert this file to an ECMAScript module, add the field `"type": "module"` to \'{0}\'.'),To_convert_this_file_to_an_ECMAScript_module_create_a_local_package_json_file_with_type_Colon_module:S(1483,3,"To_convert_this_file_to_an_ECMAScript_module_create_a_local_package_json_file_with_type_Colon_module_1483",'To convert this file to an ECMAScript module, create a local package.json file with `{ "type": "module" }`.'),_0_is_a_type_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled:S(1484,1,"_0_is_a_type_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled_1484","'{0}' is a type and must be imported using a type-only import when 'verbatimModuleSyntax' is enabled."),_0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled:S(1485,1,"_0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_verbatimMo_1485","'{0}' resolves to a type-only declaration and must be imported using a type-only import when 'verbatimModuleSyntax' is enabled."),Decorator_used_before_export_here:S(1486,1,"Decorator_used_before_export_here_1486","Decorator used before 'export' here."),Octal_escape_sequences_are_not_allowed_Use_the_syntax_0:S(1487,1,"Octal_escape_sequences_are_not_allowed_Use_the_syntax_0_1487","Octal escape sequences are not allowed. Use the syntax '{0}'."),Escape_sequence_0_is_not_allowed:S(1488,1,"Escape_sequence_0_is_not_allowed_1488","Escape sequence '{0}' is not allowed."),Decimals_with_leading_zeros_are_not_allowed:S(1489,1,"Decimals_with_leading_zeros_are_not_allowed_1489","Decimals with leading zeros are not allowed."),File_appears_to_be_binary:S(1490,1,"File_appears_to_be_binary_1490","File appears to be binary."),_0_modifier_cannot_appear_on_a_using_declaration:S(1491,1,"_0_modifier_cannot_appear_on_a_using_declaration_1491","'{0}' modifier cannot appear on a 'using' declaration."),_0_declarations_may_not_have_binding_patterns:S(1492,1,"_0_declarations_may_not_have_binding_patterns_1492","'{0}' declarations may not have binding patterns."),The_left_hand_side_of_a_for_in_statement_cannot_be_a_using_declaration:S(1493,1,"The_left_hand_side_of_a_for_in_statement_cannot_be_a_using_declaration_1493","The left-hand side of a 'for...in' statement cannot be a 'using' declaration."),The_left_hand_side_of_a_for_in_statement_cannot_be_an_await_using_declaration:S(1494,1,"The_left_hand_side_of_a_for_in_statement_cannot_be_an_await_using_declaration_1494","The left-hand side of a 'for...in' statement cannot be an 'await using' declaration."),_0_modifier_cannot_appear_on_an_await_using_declaration:S(1495,1,"_0_modifier_cannot_appear_on_an_await_using_declaration_1495","'{0}' modifier cannot appear on an 'await using' declaration."),Identifier_string_literal_or_number_literal_expected:S(1496,1,"Identifier_string_literal_or_number_literal_expected_1496","Identifier, string literal, or number literal expected."),The_types_of_0_are_incompatible_between_these_types:S(2200,1,"The_types_of_0_are_incompatible_between_these_types_2200","The types of '{0}' are incompatible between these types."),The_types_returned_by_0_are_incompatible_between_these_types:S(2201,1,"The_types_returned_by_0_are_incompatible_between_these_types_2201","The types returned by '{0}' are incompatible between these types."),Call_signature_return_types_0_and_1_are_incompatible:S(2202,1,"Call_signature_return_types_0_and_1_are_incompatible_2202","Call signature return types '{0}' and '{1}' are incompatible.",void 0,!0),Construct_signature_return_types_0_and_1_are_incompatible:S(2203,1,"Construct_signature_return_types_0_and_1_are_incompatible_2203","Construct signature return types '{0}' and '{1}' are incompatible.",void 0,!0),Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1:S(2204,1,"Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1_2204","Call signatures with no arguments have incompatible return types '{0}' and '{1}'.",void 0,!0),Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1:S(2205,1,"Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1_2205","Construct signatures with no arguments have incompatible return types '{0}' and '{1}'.",void 0,!0),The_type_modifier_cannot_be_used_on_a_named_import_when_import_type_is_used_on_its_import_statement:S(2206,1,"The_type_modifier_cannot_be_used_on_a_named_import_when_import_type_is_used_on_its_import_statement_2206","The 'type' modifier cannot be used on a named import when 'import type' is used on its import statement."),The_type_modifier_cannot_be_used_on_a_named_export_when_export_type_is_used_on_its_export_statement:S(2207,1,"The_type_modifier_cannot_be_used_on_a_named_export_when_export_type_is_used_on_its_export_statement_2207","The 'type' modifier cannot be used on a named export when 'export type' is used on its export statement."),This_type_parameter_might_need_an_extends_0_constraint:S(2208,1,"This_type_parameter_might_need_an_extends_0_constraint_2208","This type parameter might need an `extends {0}` constraint."),The_project_root_is_ambiguous_but_is_required_to_resolve_export_map_entry_0_in_file_1_Supply_the_rootDir_compiler_option_to_disambiguate:S(2209,1,"The_project_root_is_ambiguous_but_is_required_to_resolve_export_map_entry_0_in_file_1_Supply_the_roo_2209","The project root is ambiguous, but is required to resolve export map entry '{0}' in file '{1}'. Supply the `rootDir` compiler option to disambiguate."),The_project_root_is_ambiguous_but_is_required_to_resolve_import_map_entry_0_in_file_1_Supply_the_rootDir_compiler_option_to_disambiguate:S(2210,1,"The_project_root_is_ambiguous_but_is_required_to_resolve_import_map_entry_0_in_file_1_Supply_the_roo_2210","The project root is ambiguous, but is required to resolve import map entry '{0}' in file '{1}'. Supply the `rootDir` compiler option to disambiguate."),Add_extends_constraint:S(2211,3,"Add_extends_constraint_2211","Add `extends` constraint."),Add_extends_constraint_to_all_type_parameters:S(2212,3,"Add_extends_constraint_to_all_type_parameters_2212","Add `extends` constraint to all type parameters"),Duplicate_identifier_0:S(2300,1,"Duplicate_identifier_0_2300","Duplicate identifier '{0}'."),Initializer_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor:S(2301,1,"Initializer_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor_2301","Initializer of instance member variable '{0}' cannot reference identifier '{1}' declared in the constructor."),Static_members_cannot_reference_class_type_parameters:S(2302,1,"Static_members_cannot_reference_class_type_parameters_2302","Static members cannot reference class type parameters."),Circular_definition_of_import_alias_0:S(2303,1,"Circular_definition_of_import_alias_0_2303","Circular definition of import alias '{0}'."),Cannot_find_name_0:S(2304,1,"Cannot_find_name_0_2304","Cannot find name '{0}'."),Module_0_has_no_exported_member_1:S(2305,1,"Module_0_has_no_exported_member_1_2305","Module '{0}' has no exported member '{1}'."),File_0_is_not_a_module:S(2306,1,"File_0_is_not_a_module_2306","File '{0}' is not a module."),Cannot_find_module_0_or_its_corresponding_type_declarations:S(2307,1,"Cannot_find_module_0_or_its_corresponding_type_declarations_2307","Cannot find module '{0}' or its corresponding type declarations."),Module_0_has_already_exported_a_member_named_1_Consider_explicitly_re_exporting_to_resolve_the_ambiguity:S(2308,1,"Module_0_has_already_exported_a_member_named_1_Consider_explicitly_re_exporting_to_resolve_the_ambig_2308","Module {0} has already exported a member named '{1}'. Consider explicitly re-exporting to resolve the ambiguity."),An_export_assignment_cannot_be_used_in_a_module_with_other_exported_elements:S(2309,1,"An_export_assignment_cannot_be_used_in_a_module_with_other_exported_elements_2309","An export assignment cannot be used in a module with other exported elements."),Type_0_recursively_references_itself_as_a_base_type:S(2310,1,"Type_0_recursively_references_itself_as_a_base_type_2310","Type '{0}' recursively references itself as a base type."),Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function:S(2311,1,"Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function_2311","Cannot find name '{0}'. Did you mean to write this in an async function?"),An_interface_can_only_extend_an_object_type_or_intersection_of_object_types_with_statically_known_members:S(2312,1,"An_interface_can_only_extend_an_object_type_or_intersection_of_object_types_with_statically_known_me_2312","An interface can only extend an object type or intersection of object types with statically known members."),Type_parameter_0_has_a_circular_constraint:S(2313,1,"Type_parameter_0_has_a_circular_constraint_2313","Type parameter '{0}' has a circular constraint."),Generic_type_0_requires_1_type_argument_s:S(2314,1,"Generic_type_0_requires_1_type_argument_s_2314","Generic type '{0}' requires {1} type argument(s)."),Type_0_is_not_generic:S(2315,1,"Type_0_is_not_generic_2315","Type '{0}' is not generic."),Global_type_0_must_be_a_class_or_interface_type:S(2316,1,"Global_type_0_must_be_a_class_or_interface_type_2316","Global type '{0}' must be a class or interface type."),Global_type_0_must_have_1_type_parameter_s:S(2317,1,"Global_type_0_must_have_1_type_parameter_s_2317","Global type '{0}' must have {1} type parameter(s)."),Cannot_find_global_type_0:S(2318,1,"Cannot_find_global_type_0_2318","Cannot find global type '{0}'."),Named_property_0_of_types_1_and_2_are_not_identical:S(2319,1,"Named_property_0_of_types_1_and_2_are_not_identical_2319","Named property '{0}' of types '{1}' and '{2}' are not identical."),Interface_0_cannot_simultaneously_extend_types_1_and_2:S(2320,1,"Interface_0_cannot_simultaneously_extend_types_1_and_2_2320","Interface '{0}' cannot simultaneously extend types '{1}' and '{2}'."),Excessive_stack_depth_comparing_types_0_and_1:S(2321,1,"Excessive_stack_depth_comparing_types_0_and_1_2321","Excessive stack depth comparing types '{0}' and '{1}'."),Type_0_is_not_assignable_to_type_1:S(2322,1,"Type_0_is_not_assignable_to_type_1_2322","Type '{0}' is not assignable to type '{1}'."),Cannot_redeclare_exported_variable_0:S(2323,1,"Cannot_redeclare_exported_variable_0_2323","Cannot redeclare exported variable '{0}'."),Property_0_is_missing_in_type_1:S(2324,1,"Property_0_is_missing_in_type_1_2324","Property '{0}' is missing in type '{1}'."),Property_0_is_private_in_type_1_but_not_in_type_2:S(2325,1,"Property_0_is_private_in_type_1_but_not_in_type_2_2325","Property '{0}' is private in type '{1}' but not in type '{2}'."),Types_of_property_0_are_incompatible:S(2326,1,"Types_of_property_0_are_incompatible_2326","Types of property '{0}' are incompatible."),Property_0_is_optional_in_type_1_but_required_in_type_2:S(2327,1,"Property_0_is_optional_in_type_1_but_required_in_type_2_2327","Property '{0}' is optional in type '{1}' but required in type '{2}'."),Types_of_parameters_0_and_1_are_incompatible:S(2328,1,"Types_of_parameters_0_and_1_are_incompatible_2328","Types of parameters '{0}' and '{1}' are incompatible."),Index_signature_for_type_0_is_missing_in_type_1:S(2329,1,"Index_signature_for_type_0_is_missing_in_type_1_2329","Index signature for type '{0}' is missing in type '{1}'."),_0_and_1_index_signatures_are_incompatible:S(2330,1,"_0_and_1_index_signatures_are_incompatible_2330","'{0}' and '{1}' index signatures are incompatible."),this_cannot_be_referenced_in_a_module_or_namespace_body:S(2331,1,"this_cannot_be_referenced_in_a_module_or_namespace_body_2331","'this' cannot be referenced in a module or namespace body."),this_cannot_be_referenced_in_current_location:S(2332,1,"this_cannot_be_referenced_in_current_location_2332","'this' cannot be referenced in current location."),this_cannot_be_referenced_in_constructor_arguments:S(2333,1,"this_cannot_be_referenced_in_constructor_arguments_2333","'this' cannot be referenced in constructor arguments."),this_cannot_be_referenced_in_a_static_property_initializer:S(2334,1,"this_cannot_be_referenced_in_a_static_property_initializer_2334","'this' cannot be referenced in a static property initializer."),super_can_only_be_referenced_in_a_derived_class:S(2335,1,"super_can_only_be_referenced_in_a_derived_class_2335","'super' can only be referenced in a derived class."),super_cannot_be_referenced_in_constructor_arguments:S(2336,1,"super_cannot_be_referenced_in_constructor_arguments_2336","'super' cannot be referenced in constructor arguments."),Super_calls_are_not_permitted_outside_constructors_or_in_nested_functions_inside_constructors:S(2337,1,"Super_calls_are_not_permitted_outside_constructors_or_in_nested_functions_inside_constructors_2337","Super calls are not permitted outside constructors or in nested functions inside constructors."),super_property_access_is_permitted_only_in_a_constructor_member_function_or_member_accessor_of_a_derived_class:S(2338,1,"super_property_access_is_permitted_only_in_a_constructor_member_function_or_member_accessor_of_a_der_2338","'super' property access is permitted only in a constructor, member function, or member accessor of a derived class."),Property_0_does_not_exist_on_type_1:S(2339,1,"Property_0_does_not_exist_on_type_1_2339","Property '{0}' does not exist on type '{1}'."),Only_public_and_protected_methods_of_the_base_class_are_accessible_via_the_super_keyword:S(2340,1,"Only_public_and_protected_methods_of_the_base_class_are_accessible_via_the_super_keyword_2340","Only public and protected methods of the base class are accessible via the 'super' keyword."),Property_0_is_private_and_only_accessible_within_class_1:S(2341,1,"Property_0_is_private_and_only_accessible_within_class_1_2341","Property '{0}' is private and only accessible within class '{1}'."),This_syntax_requires_an_imported_helper_named_1_which_does_not_exist_in_0_Consider_upgrading_your_version_of_0:S(2343,1,"This_syntax_requires_an_imported_helper_named_1_which_does_not_exist_in_0_Consider_upgrading_your_ve_2343","This syntax requires an imported helper named '{1}' which does not exist in '{0}'. Consider upgrading your version of '{0}'."),Type_0_does_not_satisfy_the_constraint_1:S(2344,1,"Type_0_does_not_satisfy_the_constraint_1_2344","Type '{0}' does not satisfy the constraint '{1}'."),Argument_of_type_0_is_not_assignable_to_parameter_of_type_1:S(2345,1,"Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_2345","Argument of type '{0}' is not assignable to parameter of type '{1}'."),Untyped_function_calls_may_not_accept_type_arguments:S(2347,1,"Untyped_function_calls_may_not_accept_type_arguments_2347","Untyped function calls may not accept type arguments."),Value_of_type_0_is_not_callable_Did_you_mean_to_include_new:S(2348,1,"Value_of_type_0_is_not_callable_Did_you_mean_to_include_new_2348","Value of type '{0}' is not callable. Did you mean to include 'new'?"),This_expression_is_not_callable:S(2349,1,"This_expression_is_not_callable_2349","This expression is not callable."),Only_a_void_function_can_be_called_with_the_new_keyword:S(2350,1,"Only_a_void_function_can_be_called_with_the_new_keyword_2350","Only a void function can be called with the 'new' keyword."),This_expression_is_not_constructable:S(2351,1,"This_expression_is_not_constructable_2351","This expression is not constructable."),Conversion_of_type_0_to_type_1_may_be_a_mistake_because_neither_type_sufficiently_overlaps_with_the_other_If_this_was_intentional_convert_the_expression_to_unknown_first:S(2352,1,"Conversion_of_type_0_to_type_1_may_be_a_mistake_because_neither_type_sufficiently_overlaps_with_the__2352","Conversion of type '{0}' to type '{1}' may be a mistake because neither type sufficiently overlaps with the other. If this was intentional, convert the expression to 'unknown' first."),Object_literal_may_only_specify_known_properties_and_0_does_not_exist_in_type_1:S(2353,1,"Object_literal_may_only_specify_known_properties_and_0_does_not_exist_in_type_1_2353","Object literal may only specify known properties, and '{0}' does not exist in type '{1}'."),This_syntax_requires_an_imported_helper_but_module_0_cannot_be_found:S(2354,1,"This_syntax_requires_an_imported_helper_but_module_0_cannot_be_found_2354","This syntax requires an imported helper but module '{0}' cannot be found."),A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value:S(2355,1,"A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value_2355","A function whose declared type is neither 'undefined', 'void', nor 'any' must return a value."),An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type:S(2356,1,"An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type_2356","An arithmetic operand must be of type 'any', 'number', 'bigint' or an enum type."),The_operand_of_an_increment_or_decrement_operator_must_be_a_variable_or_a_property_access:S(2357,1,"The_operand_of_an_increment_or_decrement_operator_must_be_a_variable_or_a_property_access_2357","The operand of an increment or decrement operator must be a variable or a property access."),The_left_hand_side_of_an_instanceof_expression_must_be_of_type_any_an_object_type_or_a_type_parameter:S(2358,1,"The_left_hand_side_of_an_instanceof_expression_must_be_of_type_any_an_object_type_or_a_type_paramete_2358","The left-hand side of an 'instanceof' expression must be of type 'any', an object type or a type parameter."),The_right_hand_side_of_an_instanceof_expression_must_be_either_of_type_any_a_class_function_or_other_type_assignable_to_the_Function_interface_type_or_an_object_type_with_a_Symbol_hasInstance_method:S(2359,1,"The_right_hand_side_of_an_instanceof_expression_must_be_either_of_type_any_a_class_function_or_other_2359","The right-hand side of an 'instanceof' expression must be either of type 'any', a class, function, or other type assignable to the 'Function' interface type, or an object type with a 'Symbol.hasInstance' method."),The_left_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type:S(2362,1,"The_left_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type_2362","The left-hand side of an arithmetic operation must be of type 'any', 'number', 'bigint' or an enum type."),The_right_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type:S(2363,1,"The_right_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type_2363","The right-hand side of an arithmetic operation must be of type 'any', 'number', 'bigint' or an enum type."),The_left_hand_side_of_an_assignment_expression_must_be_a_variable_or_a_property_access:S(2364,1,"The_left_hand_side_of_an_assignment_expression_must_be_a_variable_or_a_property_access_2364","The left-hand side of an assignment expression must be a variable or a property access."),Operator_0_cannot_be_applied_to_types_1_and_2:S(2365,1,"Operator_0_cannot_be_applied_to_types_1_and_2_2365","Operator '{0}' cannot be applied to types '{1}' and '{2}'."),Function_lacks_ending_return_statement_and_return_type_does_not_include_undefined:S(2366,1,"Function_lacks_ending_return_statement_and_return_type_does_not_include_undefined_2366","Function lacks ending return statement and return type does not include 'undefined'."),This_comparison_appears_to_be_unintentional_because_the_types_0_and_1_have_no_overlap:S(2367,1,"This_comparison_appears_to_be_unintentional_because_the_types_0_and_1_have_no_overlap_2367","This comparison appears to be unintentional because the types '{0}' and '{1}' have no overlap."),Type_parameter_name_cannot_be_0:S(2368,1,"Type_parameter_name_cannot_be_0_2368","Type parameter name cannot be '{0}'."),A_parameter_property_is_only_allowed_in_a_constructor_implementation:S(2369,1,"A_parameter_property_is_only_allowed_in_a_constructor_implementation_2369","A parameter property is only allowed in a constructor implementation."),A_rest_parameter_must_be_of_an_array_type:S(2370,1,"A_rest_parameter_must_be_of_an_array_type_2370","A rest parameter must be of an array type."),A_parameter_initializer_is_only_allowed_in_a_function_or_constructor_implementation:S(2371,1,"A_parameter_initializer_is_only_allowed_in_a_function_or_constructor_implementation_2371","A parameter initializer is only allowed in a function or constructor implementation."),Parameter_0_cannot_reference_itself:S(2372,1,"Parameter_0_cannot_reference_itself_2372","Parameter '{0}' cannot reference itself."),Parameter_0_cannot_reference_identifier_1_declared_after_it:S(2373,1,"Parameter_0_cannot_reference_identifier_1_declared_after_it_2373","Parameter '{0}' cannot reference identifier '{1}' declared after it."),Duplicate_index_signature_for_type_0:S(2374,1,"Duplicate_index_signature_for_type_0_2374","Duplicate index signature for type '{0}'."),Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties:S(2375,1,"Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefi_2375","Type '{0}' is not assignable to type '{1}' with 'exactOptionalPropertyTypes: true'. Consider adding 'undefined' to the types of the target's properties."),A_super_call_must_be_the_first_statement_in_the_constructor_to_refer_to_super_or_this_when_a_derived_class_contains_initialized_properties_parameter_properties_or_private_identifiers:S(2376,1,"A_super_call_must_be_the_first_statement_in_the_constructor_to_refer_to_super_or_this_when_a_derived_2376","A 'super' call must be the first statement in the constructor to refer to 'super' or 'this' when a derived class contains initialized properties, parameter properties, or private identifiers."),Constructors_for_derived_classes_must_contain_a_super_call:S(2377,1,"Constructors_for_derived_classes_must_contain_a_super_call_2377","Constructors for derived classes must contain a 'super' call."),A_get_accessor_must_return_a_value:S(2378,1,"A_get_accessor_must_return_a_value_2378","A 'get' accessor must return a value."),Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties:S(2379,1,"Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_tr_2379","Argument of type '{0}' is not assignable to parameter of type '{1}' with 'exactOptionalPropertyTypes: true'. Consider adding 'undefined' to the types of the target's properties."),Overload_signatures_must_all_be_exported_or_non_exported:S(2383,1,"Overload_signatures_must_all_be_exported_or_non_exported_2383","Overload signatures must all be exported or non-exported."),Overload_signatures_must_all_be_ambient_or_non_ambient:S(2384,1,"Overload_signatures_must_all_be_ambient_or_non_ambient_2384","Overload signatures must all be ambient or non-ambient."),Overload_signatures_must_all_be_public_private_or_protected:S(2385,1,"Overload_signatures_must_all_be_public_private_or_protected_2385","Overload signatures must all be public, private or protected."),Overload_signatures_must_all_be_optional_or_required:S(2386,1,"Overload_signatures_must_all_be_optional_or_required_2386","Overload signatures must all be optional or required."),Function_overload_must_be_static:S(2387,1,"Function_overload_must_be_static_2387","Function overload must be static."),Function_overload_must_not_be_static:S(2388,1,"Function_overload_must_not_be_static_2388","Function overload must not be static."),Function_implementation_name_must_be_0:S(2389,1,"Function_implementation_name_must_be_0_2389","Function implementation name must be '{0}'."),Constructor_implementation_is_missing:S(2390,1,"Constructor_implementation_is_missing_2390","Constructor implementation is missing."),Function_implementation_is_missing_or_not_immediately_following_the_declaration:S(2391,1,"Function_implementation_is_missing_or_not_immediately_following_the_declaration_2391","Function implementation is missing or not immediately following the declaration."),Multiple_constructor_implementations_are_not_allowed:S(2392,1,"Multiple_constructor_implementations_are_not_allowed_2392","Multiple constructor implementations are not allowed."),Duplicate_function_implementation:S(2393,1,"Duplicate_function_implementation_2393","Duplicate function implementation."),This_overload_signature_is_not_compatible_with_its_implementation_signature:S(2394,1,"This_overload_signature_is_not_compatible_with_its_implementation_signature_2394","This overload signature is not compatible with its implementation signature."),Individual_declarations_in_merged_declaration_0_must_be_all_exported_or_all_local:S(2395,1,"Individual_declarations_in_merged_declaration_0_must_be_all_exported_or_all_local_2395","Individual declarations in merged declaration '{0}' must be all exported or all local."),Duplicate_identifier_arguments_Compiler_uses_arguments_to_initialize_rest_parameters:S(2396,1,"Duplicate_identifier_arguments_Compiler_uses_arguments_to_initialize_rest_parameters_2396","Duplicate identifier 'arguments'. Compiler uses 'arguments' to initialize rest parameters."),Declaration_name_conflicts_with_built_in_global_identifier_0:S(2397,1,"Declaration_name_conflicts_with_built_in_global_identifier_0_2397","Declaration name conflicts with built-in global identifier '{0}'."),constructor_cannot_be_used_as_a_parameter_property_name:S(2398,1,"constructor_cannot_be_used_as_a_parameter_property_name_2398","'constructor' cannot be used as a parameter property name."),Duplicate_identifier_this_Compiler_uses_variable_declaration_this_to_capture_this_reference:S(2399,1,"Duplicate_identifier_this_Compiler_uses_variable_declaration_this_to_capture_this_reference_2399","Duplicate identifier '_this'. Compiler uses variable declaration '_this' to capture 'this' reference."),Expression_resolves_to_variable_declaration_this_that_compiler_uses_to_capture_this_reference:S(2400,1,"Expression_resolves_to_variable_declaration_this_that_compiler_uses_to_capture_this_reference_2400","Expression resolves to variable declaration '_this' that compiler uses to capture 'this' reference."),A_super_call_must_be_a_root_level_statement_within_a_constructor_of_a_derived_class_that_contains_initialized_properties_parameter_properties_or_private_identifiers:S(2401,1,"A_super_call_must_be_a_root_level_statement_within_a_constructor_of_a_derived_class_that_contains_in_2401","A 'super' call must be a root-level statement within a constructor of a derived class that contains initialized properties, parameter properties, or private identifiers."),Expression_resolves_to_super_that_compiler_uses_to_capture_base_class_reference:S(2402,1,"Expression_resolves_to_super_that_compiler_uses_to_capture_base_class_reference_2402","Expression resolves to '_super' that compiler uses to capture base class reference."),Subsequent_variable_declarations_must_have_the_same_type_Variable_0_must_be_of_type_1_but_here_has_type_2:S(2403,1,"Subsequent_variable_declarations_must_have_the_same_type_Variable_0_must_be_of_type_1_but_here_has_t_2403","Subsequent variable declarations must have the same type. Variable '{0}' must be of type '{1}', but here has type '{2}'."),The_left_hand_side_of_a_for_in_statement_cannot_use_a_type_annotation:S(2404,1,"The_left_hand_side_of_a_for_in_statement_cannot_use_a_type_annotation_2404","The left-hand side of a 'for...in' statement cannot use a type annotation."),The_left_hand_side_of_a_for_in_statement_must_be_of_type_string_or_any:S(2405,1,"The_left_hand_side_of_a_for_in_statement_must_be_of_type_string_or_any_2405","The left-hand side of a 'for...in' statement must be of type 'string' or 'any'."),The_left_hand_side_of_a_for_in_statement_must_be_a_variable_or_a_property_access:S(2406,1,"The_left_hand_side_of_a_for_in_statement_must_be_a_variable_or_a_property_access_2406","The left-hand side of a 'for...in' statement must be a variable or a property access."),The_right_hand_side_of_a_for_in_statement_must_be_of_type_any_an_object_type_or_a_type_parameter_but_here_has_type_0:S(2407,1,"The_right_hand_side_of_a_for_in_statement_must_be_of_type_any_an_object_type_or_a_type_parameter_but_2407","The right-hand side of a 'for...in' statement must be of type 'any', an object type or a type parameter, but here has type '{0}'."),Setters_cannot_return_a_value:S(2408,1,"Setters_cannot_return_a_value_2408","Setters cannot return a value."),Return_type_of_constructor_signature_must_be_assignable_to_the_instance_type_of_the_class:S(2409,1,"Return_type_of_constructor_signature_must_be_assignable_to_the_instance_type_of_the_class_2409","Return type of constructor signature must be assignable to the instance type of the class."),The_with_statement_is_not_supported_All_symbols_in_a_with_block_will_have_type_any:S(2410,1,"The_with_statement_is_not_supported_All_symbols_in_a_with_block_will_have_type_any_2410","The 'with' statement is not supported. All symbols in a 'with' block will have type 'any'."),Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target:S(2412,1,"Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefi_2412","Type '{0}' is not assignable to type '{1}' with 'exactOptionalPropertyTypes: true'. Consider adding 'undefined' to the type of the target."),Property_0_of_type_1_is_not_assignable_to_2_index_type_3:S(2411,1,"Property_0_of_type_1_is_not_assignable_to_2_index_type_3_2411","Property '{0}' of type '{1}' is not assignable to '{2}' index type '{3}'."),_0_index_type_1_is_not_assignable_to_2_index_type_3:S(2413,1,"_0_index_type_1_is_not_assignable_to_2_index_type_3_2413","'{0}' index type '{1}' is not assignable to '{2}' index type '{3}'."),Class_name_cannot_be_0:S(2414,1,"Class_name_cannot_be_0_2414","Class name cannot be '{0}'."),Class_0_incorrectly_extends_base_class_1:S(2415,1,"Class_0_incorrectly_extends_base_class_1_2415","Class '{0}' incorrectly extends base class '{1}'."),Property_0_in_type_1_is_not_assignable_to_the_same_property_in_base_type_2:S(2416,1,"Property_0_in_type_1_is_not_assignable_to_the_same_property_in_base_type_2_2416","Property '{0}' in type '{1}' is not assignable to the same property in base type '{2}'."),Class_static_side_0_incorrectly_extends_base_class_static_side_1:S(2417,1,"Class_static_side_0_incorrectly_extends_base_class_static_side_1_2417","Class static side '{0}' incorrectly extends base class static side '{1}'."),Type_of_computed_property_s_value_is_0_which_is_not_assignable_to_type_1:S(2418,1,"Type_of_computed_property_s_value_is_0_which_is_not_assignable_to_type_1_2418","Type of computed property's value is '{0}', which is not assignable to type '{1}'."),Types_of_construct_signatures_are_incompatible:S(2419,1,"Types_of_construct_signatures_are_incompatible_2419","Types of construct signatures are incompatible."),Class_0_incorrectly_implements_interface_1:S(2420,1,"Class_0_incorrectly_implements_interface_1_2420","Class '{0}' incorrectly implements interface '{1}'."),A_class_can_only_implement_an_object_type_or_intersection_of_object_types_with_statically_known_members:S(2422,1,"A_class_can_only_implement_an_object_type_or_intersection_of_object_types_with_statically_known_memb_2422","A class can only implement an object type or intersection of object types with statically known members."),Class_0_defines_instance_member_function_1_but_extended_class_2_defines_it_as_instance_member_accessor:S(2423,1,"Class_0_defines_instance_member_function_1_but_extended_class_2_defines_it_as_instance_member_access_2423","Class '{0}' defines instance member function '{1}', but extended class '{2}' defines it as instance member accessor."),Class_0_defines_instance_member_property_1_but_extended_class_2_defines_it_as_instance_member_function:S(2425,1,"Class_0_defines_instance_member_property_1_but_extended_class_2_defines_it_as_instance_member_functi_2425","Class '{0}' defines instance member property '{1}', but extended class '{2}' defines it as instance member function."),Class_0_defines_instance_member_accessor_1_but_extended_class_2_defines_it_as_instance_member_function:S(2426,1,"Class_0_defines_instance_member_accessor_1_but_extended_class_2_defines_it_as_instance_member_functi_2426","Class '{0}' defines instance member accessor '{1}', but extended class '{2}' defines it as instance member function."),Interface_name_cannot_be_0:S(2427,1,"Interface_name_cannot_be_0_2427","Interface name cannot be '{0}'."),All_declarations_of_0_must_have_identical_type_parameters:S(2428,1,"All_declarations_of_0_must_have_identical_type_parameters_2428","All declarations of '{0}' must have identical type parameters."),Interface_0_incorrectly_extends_interface_1:S(2430,1,"Interface_0_incorrectly_extends_interface_1_2430","Interface '{0}' incorrectly extends interface '{1}'."),Enum_name_cannot_be_0:S(2431,1,"Enum_name_cannot_be_0_2431","Enum name cannot be '{0}'."),In_an_enum_with_multiple_declarations_only_one_declaration_can_omit_an_initializer_for_its_first_enum_element:S(2432,1,"In_an_enum_with_multiple_declarations_only_one_declaration_can_omit_an_initializer_for_its_first_enu_2432","In an enum with multiple declarations, only one declaration can omit an initializer for its first enum element."),A_namespace_declaration_cannot_be_in_a_different_file_from_a_class_or_function_with_which_it_is_merged:S(2433,1,"A_namespace_declaration_cannot_be_in_a_different_file_from_a_class_or_function_with_which_it_is_merg_2433","A namespace declaration cannot be in a different file from a class or function with which it is merged."),A_namespace_declaration_cannot_be_located_prior_to_a_class_or_function_with_which_it_is_merged:S(2434,1,"A_namespace_declaration_cannot_be_located_prior_to_a_class_or_function_with_which_it_is_merged_2434","A namespace declaration cannot be located prior to a class or function with which it is merged."),Ambient_modules_cannot_be_nested_in_other_modules_or_namespaces:S(2435,1,"Ambient_modules_cannot_be_nested_in_other_modules_or_namespaces_2435","Ambient modules cannot be nested in other modules or namespaces."),Ambient_module_declaration_cannot_specify_relative_module_name:S(2436,1,"Ambient_module_declaration_cannot_specify_relative_module_name_2436","Ambient module declaration cannot specify relative module name."),Module_0_is_hidden_by_a_local_declaration_with_the_same_name:S(2437,1,"Module_0_is_hidden_by_a_local_declaration_with_the_same_name_2437","Module '{0}' is hidden by a local declaration with the same name."),Import_name_cannot_be_0:S(2438,1,"Import_name_cannot_be_0_2438","Import name cannot be '{0}'."),Import_or_export_declaration_in_an_ambient_module_declaration_cannot_reference_module_through_relative_module_name:S(2439,1,"Import_or_export_declaration_in_an_ambient_module_declaration_cannot_reference_module_through_relati_2439","Import or export declaration in an ambient module declaration cannot reference module through relative module name."),Import_declaration_conflicts_with_local_declaration_of_0:S(2440,1,"Import_declaration_conflicts_with_local_declaration_of_0_2440","Import declaration conflicts with local declaration of '{0}'."),Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module:S(2441,1,"Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module_2441","Duplicate identifier '{0}'. Compiler reserves name '{1}' in top level scope of a module."),Types_have_separate_declarations_of_a_private_property_0:S(2442,1,"Types_have_separate_declarations_of_a_private_property_0_2442","Types have separate declarations of a private property '{0}'."),Property_0_is_protected_but_type_1_is_not_a_class_derived_from_2:S(2443,1,"Property_0_is_protected_but_type_1_is_not_a_class_derived_from_2_2443","Property '{0}' is protected but type '{1}' is not a class derived from '{2}'."),Property_0_is_protected_in_type_1_but_public_in_type_2:S(2444,1,"Property_0_is_protected_in_type_1_but_public_in_type_2_2444","Property '{0}' is protected in type '{1}' but public in type '{2}'."),Property_0_is_protected_and_only_accessible_within_class_1_and_its_subclasses:S(2445,1,"Property_0_is_protected_and_only_accessible_within_class_1_and_its_subclasses_2445","Property '{0}' is protected and only accessible within class '{1}' and its subclasses."),Property_0_is_protected_and_only_accessible_through_an_instance_of_class_1_This_is_an_instance_of_class_2:S(2446,1,"Property_0_is_protected_and_only_accessible_through_an_instance_of_class_1_This_is_an_instance_of_cl_2446","Property '{0}' is protected and only accessible through an instance of class '{1}'. This is an instance of class '{2}'."),The_0_operator_is_not_allowed_for_boolean_types_Consider_using_1_instead:S(2447,1,"The_0_operator_is_not_allowed_for_boolean_types_Consider_using_1_instead_2447","The '{0}' operator is not allowed for boolean types. Consider using '{1}' instead."),Block_scoped_variable_0_used_before_its_declaration:S(2448,1,"Block_scoped_variable_0_used_before_its_declaration_2448","Block-scoped variable '{0}' used before its declaration."),Class_0_used_before_its_declaration:S(2449,1,"Class_0_used_before_its_declaration_2449","Class '{0}' used before its declaration."),Enum_0_used_before_its_declaration:S(2450,1,"Enum_0_used_before_its_declaration_2450","Enum '{0}' used before its declaration."),Cannot_redeclare_block_scoped_variable_0:S(2451,1,"Cannot_redeclare_block_scoped_variable_0_2451","Cannot redeclare block-scoped variable '{0}'."),An_enum_member_cannot_have_a_numeric_name:S(2452,1,"An_enum_member_cannot_have_a_numeric_name_2452","An enum member cannot have a numeric name."),Variable_0_is_used_before_being_assigned:S(2454,1,"Variable_0_is_used_before_being_assigned_2454","Variable '{0}' is used before being assigned."),Type_alias_0_circularly_references_itself:S(2456,1,"Type_alias_0_circularly_references_itself_2456","Type alias '{0}' circularly references itself."),Type_alias_name_cannot_be_0:S(2457,1,"Type_alias_name_cannot_be_0_2457","Type alias name cannot be '{0}'."),An_AMD_module_cannot_have_multiple_name_assignments:S(2458,1,"An_AMD_module_cannot_have_multiple_name_assignments_2458","An AMD module cannot have multiple name assignments."),Module_0_declares_1_locally_but_it_is_not_exported:S(2459,1,"Module_0_declares_1_locally_but_it_is_not_exported_2459","Module '{0}' declares '{1}' locally, but it is not exported."),Module_0_declares_1_locally_but_it_is_exported_as_2:S(2460,1,"Module_0_declares_1_locally_but_it_is_exported_as_2_2460","Module '{0}' declares '{1}' locally, but it is exported as '{2}'."),Type_0_is_not_an_array_type:S(2461,1,"Type_0_is_not_an_array_type_2461","Type '{0}' is not an array type."),A_rest_element_must_be_last_in_a_destructuring_pattern:S(2462,1,"A_rest_element_must_be_last_in_a_destructuring_pattern_2462","A rest element must be last in a destructuring pattern."),A_binding_pattern_parameter_cannot_be_optional_in_an_implementation_signature:S(2463,1,"A_binding_pattern_parameter_cannot_be_optional_in_an_implementation_signature_2463","A binding pattern parameter cannot be optional in an implementation signature."),A_computed_property_name_must_be_of_type_string_number_symbol_or_any:S(2464,1,"A_computed_property_name_must_be_of_type_string_number_symbol_or_any_2464","A computed property name must be of type 'string', 'number', 'symbol', or 'any'."),this_cannot_be_referenced_in_a_computed_property_name:S(2465,1,"this_cannot_be_referenced_in_a_computed_property_name_2465","'this' cannot be referenced in a computed property name."),super_cannot_be_referenced_in_a_computed_property_name:S(2466,1,"super_cannot_be_referenced_in_a_computed_property_name_2466","'super' cannot be referenced in a computed property name."),A_computed_property_name_cannot_reference_a_type_parameter_from_its_containing_type:S(2467,1,"A_computed_property_name_cannot_reference_a_type_parameter_from_its_containing_type_2467","A computed property name cannot reference a type parameter from its containing type."),Cannot_find_global_value_0:S(2468,1,"Cannot_find_global_value_0_2468","Cannot find global value '{0}'."),The_0_operator_cannot_be_applied_to_type_symbol:S(2469,1,"The_0_operator_cannot_be_applied_to_type_symbol_2469","The '{0}' operator cannot be applied to type 'symbol'."),Spread_operator_in_new_expressions_is_only_available_when_targeting_ECMAScript_5_and_higher:S(2472,1,"Spread_operator_in_new_expressions_is_only_available_when_targeting_ECMAScript_5_and_higher_2472","Spread operator in 'new' expressions is only available when targeting ECMAScript 5 and higher."),Enum_declarations_must_all_be_const_or_non_const:S(2473,1,"Enum_declarations_must_all_be_const_or_non_const_2473","Enum declarations must all be const or non-const."),const_enum_member_initializers_must_be_constant_expressions:S(2474,1,"const_enum_member_initializers_must_be_constant_expressions_2474","const enum member initializers must be constant expressions."),const_enums_can_only_be_used_in_property_or_index_access_expressions_or_the_right_hand_side_of_an_import_declaration_or_export_assignment_or_type_query:S(2475,1,"const_enums_can_only_be_used_in_property_or_index_access_expressions_or_the_right_hand_side_of_an_im_2475","'const' enums can only be used in property or index access expressions or the right hand side of an import declaration or export assignment or type query."),A_const_enum_member_can_only_be_accessed_using_a_string_literal:S(2476,1,"A_const_enum_member_can_only_be_accessed_using_a_string_literal_2476","A const enum member can only be accessed using a string literal."),const_enum_member_initializer_was_evaluated_to_a_non_finite_value:S(2477,1,"const_enum_member_initializer_was_evaluated_to_a_non_finite_value_2477","'const' enum member initializer was evaluated to a non-finite value."),const_enum_member_initializer_was_evaluated_to_disallowed_value_NaN:S(2478,1,"const_enum_member_initializer_was_evaluated_to_disallowed_value_NaN_2478","'const' enum member initializer was evaluated to disallowed value 'NaN'."),let_is_not_allowed_to_be_used_as_a_name_in_let_or_const_declarations:S(2480,1,"let_is_not_allowed_to_be_used_as_a_name_in_let_or_const_declarations_2480","'let' is not allowed to be used as a name in 'let' or 'const' declarations."),Cannot_initialize_outer_scoped_variable_0_in_the_same_scope_as_block_scoped_declaration_1:S(2481,1,"Cannot_initialize_outer_scoped_variable_0_in_the_same_scope_as_block_scoped_declaration_1_2481","Cannot initialize outer scoped variable '{0}' in the same scope as block scoped declaration '{1}'."),The_left_hand_side_of_a_for_of_statement_cannot_use_a_type_annotation:S(2483,1,"The_left_hand_side_of_a_for_of_statement_cannot_use_a_type_annotation_2483","The left-hand side of a 'for...of' statement cannot use a type annotation."),Export_declaration_conflicts_with_exported_declaration_of_0:S(2484,1,"Export_declaration_conflicts_with_exported_declaration_of_0_2484","Export declaration conflicts with exported declaration of '{0}'."),The_left_hand_side_of_a_for_of_statement_must_be_a_variable_or_a_property_access:S(2487,1,"The_left_hand_side_of_a_for_of_statement_must_be_a_variable_or_a_property_access_2487","The left-hand side of a 'for...of' statement must be a variable or a property access."),Type_0_must_have_a_Symbol_iterator_method_that_returns_an_iterator:S(2488,1,"Type_0_must_have_a_Symbol_iterator_method_that_returns_an_iterator_2488","Type '{0}' must have a '[Symbol.iterator]()' method that returns an iterator."),An_iterator_must_have_a_next_method:S(2489,1,"An_iterator_must_have_a_next_method_2489","An iterator must have a 'next()' method."),The_type_returned_by_the_0_method_of_an_iterator_must_have_a_value_property:S(2490,1,"The_type_returned_by_the_0_method_of_an_iterator_must_have_a_value_property_2490","The type returned by the '{0}()' method of an iterator must have a 'value' property."),The_left_hand_side_of_a_for_in_statement_cannot_be_a_destructuring_pattern:S(2491,1,"The_left_hand_side_of_a_for_in_statement_cannot_be_a_destructuring_pattern_2491","The left-hand side of a 'for...in' statement cannot be a destructuring pattern."),Cannot_redeclare_identifier_0_in_catch_clause:S(2492,1,"Cannot_redeclare_identifier_0_in_catch_clause_2492","Cannot redeclare identifier '{0}' in catch clause."),Tuple_type_0_of_length_1_has_no_element_at_index_2:S(2493,1,"Tuple_type_0_of_length_1_has_no_element_at_index_2_2493","Tuple type '{0}' of length '{1}' has no element at index '{2}'."),Using_a_string_in_a_for_of_statement_is_only_supported_in_ECMAScript_5_and_higher:S(2494,1,"Using_a_string_in_a_for_of_statement_is_only_supported_in_ECMAScript_5_and_higher_2494","Using a string in a 'for...of' statement is only supported in ECMAScript 5 and higher."),Type_0_is_not_an_array_type_or_a_string_type:S(2495,1,"Type_0_is_not_an_array_type_or_a_string_type_2495","Type '{0}' is not an array type or a string type."),The_arguments_object_cannot_be_referenced_in_an_arrow_function_in_ES3_and_ES5_Consider_using_a_standard_function_expression:S(2496,1,"The_arguments_object_cannot_be_referenced_in_an_arrow_function_in_ES3_and_ES5_Consider_using_a_stand_2496","The 'arguments' object cannot be referenced in an arrow function in ES3 and ES5. Consider using a standard function expression."),This_module_can_only_be_referenced_with_ECMAScript_imports_Slashexports_by_turning_on_the_0_flag_and_referencing_its_default_export:S(2497,1,"This_module_can_only_be_referenced_with_ECMAScript_imports_Slashexports_by_turning_on_the_0_flag_and_2497","This module can only be referenced with ECMAScript imports/exports by turning on the '{0}' flag and referencing its default export."),Module_0_uses_export_and_cannot_be_used_with_export_Asterisk:S(2498,1,"Module_0_uses_export_and_cannot_be_used_with_export_Asterisk_2498","Module '{0}' uses 'export =' and cannot be used with 'export *'."),An_interface_can_only_extend_an_identifier_Slashqualified_name_with_optional_type_arguments:S(2499,1,"An_interface_can_only_extend_an_identifier_Slashqualified_name_with_optional_type_arguments_2499","An interface can only extend an identifier/qualified-name with optional type arguments."),A_class_can_only_implement_an_identifier_Slashqualified_name_with_optional_type_arguments:S(2500,1,"A_class_can_only_implement_an_identifier_Slashqualified_name_with_optional_type_arguments_2500","A class can only implement an identifier/qualified-name with optional type arguments."),A_rest_element_cannot_contain_a_binding_pattern:S(2501,1,"A_rest_element_cannot_contain_a_binding_pattern_2501","A rest element cannot contain a binding pattern."),_0_is_referenced_directly_or_indirectly_in_its_own_type_annotation:S(2502,1,"_0_is_referenced_directly_or_indirectly_in_its_own_type_annotation_2502","'{0}' is referenced directly or indirectly in its own type annotation."),Cannot_find_namespace_0:S(2503,1,"Cannot_find_namespace_0_2503","Cannot find namespace '{0}'."),Type_0_must_have_a_Symbol_asyncIterator_method_that_returns_an_async_iterator:S(2504,1,"Type_0_must_have_a_Symbol_asyncIterator_method_that_returns_an_async_iterator_2504","Type '{0}' must have a '[Symbol.asyncIterator]()' method that returns an async iterator."),A_generator_cannot_have_a_void_type_annotation:S(2505,1,"A_generator_cannot_have_a_void_type_annotation_2505","A generator cannot have a 'void' type annotation."),_0_is_referenced_directly_or_indirectly_in_its_own_base_expression:S(2506,1,"_0_is_referenced_directly_or_indirectly_in_its_own_base_expression_2506","'{0}' is referenced directly or indirectly in its own base expression."),Type_0_is_not_a_constructor_function_type:S(2507,1,"Type_0_is_not_a_constructor_function_type_2507","Type '{0}' is not a constructor function type."),No_base_constructor_has_the_specified_number_of_type_arguments:S(2508,1,"No_base_constructor_has_the_specified_number_of_type_arguments_2508","No base constructor has the specified number of type arguments."),Base_constructor_return_type_0_is_not_an_object_type_or_intersection_of_object_types_with_statically_known_members:S(2509,1,"Base_constructor_return_type_0_is_not_an_object_type_or_intersection_of_object_types_with_statically_2509","Base constructor return type '{0}' is not an object type or intersection of object types with statically known members."),Base_constructors_must_all_have_the_same_return_type:S(2510,1,"Base_constructors_must_all_have_the_same_return_type_2510","Base constructors must all have the same return type."),Cannot_create_an_instance_of_an_abstract_class:S(2511,1,"Cannot_create_an_instance_of_an_abstract_class_2511","Cannot create an instance of an abstract class."),Overload_signatures_must_all_be_abstract_or_non_abstract:S(2512,1,"Overload_signatures_must_all_be_abstract_or_non_abstract_2512","Overload signatures must all be abstract or non-abstract."),Abstract_method_0_in_class_1_cannot_be_accessed_via_super_expression:S(2513,1,"Abstract_method_0_in_class_1_cannot_be_accessed_via_super_expression_2513","Abstract method '{0}' in class '{1}' cannot be accessed via super expression."),A_tuple_type_cannot_be_indexed_with_a_negative_value:S(2514,1,"A_tuple_type_cannot_be_indexed_with_a_negative_value_2514","A tuple type cannot be indexed with a negative value."),Non_abstract_class_0_does_not_implement_inherited_abstract_member_1_from_class_2:S(2515,1,"Non_abstract_class_0_does_not_implement_inherited_abstract_member_1_from_class_2_2515","Non-abstract class '{0}' does not implement inherited abstract member '{1}' from class '{2}'."),All_declarations_of_an_abstract_method_must_be_consecutive:S(2516,1,"All_declarations_of_an_abstract_method_must_be_consecutive_2516","All declarations of an abstract method must be consecutive."),Cannot_assign_an_abstract_constructor_type_to_a_non_abstract_constructor_type:S(2517,1,"Cannot_assign_an_abstract_constructor_type_to_a_non_abstract_constructor_type_2517","Cannot assign an abstract constructor type to a non-abstract constructor type."),A_this_based_type_guard_is_not_compatible_with_a_parameter_based_type_guard:S(2518,1,"A_this_based_type_guard_is_not_compatible_with_a_parameter_based_type_guard_2518","A 'this'-based type guard is not compatible with a parameter-based type guard."),An_async_iterator_must_have_a_next_method:S(2519,1,"An_async_iterator_must_have_a_next_method_2519","An async iterator must have a 'next()' method."),Duplicate_identifier_0_Compiler_uses_declaration_1_to_support_async_functions:S(2520,1,"Duplicate_identifier_0_Compiler_uses_declaration_1_to_support_async_functions_2520","Duplicate identifier '{0}'. Compiler uses declaration '{1}' to support async functions."),The_arguments_object_cannot_be_referenced_in_an_async_function_or_method_in_ES3_and_ES5_Consider_using_a_standard_function_or_method:S(2522,1,"The_arguments_object_cannot_be_referenced_in_an_async_function_or_method_in_ES3_and_ES5_Consider_usi_2522","The 'arguments' object cannot be referenced in an async function or method in ES3 and ES5. Consider using a standard function or method."),yield_expressions_cannot_be_used_in_a_parameter_initializer:S(2523,1,"yield_expressions_cannot_be_used_in_a_parameter_initializer_2523","'yield' expressions cannot be used in a parameter initializer."),await_expressions_cannot_be_used_in_a_parameter_initializer:S(2524,1,"await_expressions_cannot_be_used_in_a_parameter_initializer_2524","'await' expressions cannot be used in a parameter initializer."),Initializer_provides_no_value_for_this_binding_element_and_the_binding_element_has_no_default_value:S(2525,1,"Initializer_provides_no_value_for_this_binding_element_and_the_binding_element_has_no_default_value_2525","Initializer provides no value for this binding element and the binding element has no default value."),A_this_type_is_available_only_in_a_non_static_member_of_a_class_or_interface:S(2526,1,"A_this_type_is_available_only_in_a_non_static_member_of_a_class_or_interface_2526","A 'this' type is available only in a non-static member of a class or interface."),The_inferred_type_of_0_references_an_inaccessible_1_type_A_type_annotation_is_necessary:S(2527,1,"The_inferred_type_of_0_references_an_inaccessible_1_type_A_type_annotation_is_necessary_2527","The inferred type of '{0}' references an inaccessible '{1}' type. A type annotation is necessary."),A_module_cannot_have_multiple_default_exports:S(2528,1,"A_module_cannot_have_multiple_default_exports_2528","A module cannot have multiple default exports."),Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module_containing_async_functions:S(2529,1,"Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module_containing_async_func_2529","Duplicate identifier '{0}'. Compiler reserves name '{1}' in top level scope of a module containing async functions."),Property_0_is_incompatible_with_index_signature:S(2530,1,"Property_0_is_incompatible_with_index_signature_2530","Property '{0}' is incompatible with index signature."),Object_is_possibly_null:S(2531,1,"Object_is_possibly_null_2531","Object is possibly 'null'."),Object_is_possibly_undefined:S(2532,1,"Object_is_possibly_undefined_2532","Object is possibly 'undefined'."),Object_is_possibly_null_or_undefined:S(2533,1,"Object_is_possibly_null_or_undefined_2533","Object is possibly 'null' or 'undefined'."),A_function_returning_never_cannot_have_a_reachable_end_point:S(2534,1,"A_function_returning_never_cannot_have_a_reachable_end_point_2534","A function returning 'never' cannot have a reachable end point."),Type_0_cannot_be_used_to_index_type_1:S(2536,1,"Type_0_cannot_be_used_to_index_type_1_2536","Type '{0}' cannot be used to index type '{1}'."),Type_0_has_no_matching_index_signature_for_type_1:S(2537,1,"Type_0_has_no_matching_index_signature_for_type_1_2537","Type '{0}' has no matching index signature for type '{1}'."),Type_0_cannot_be_used_as_an_index_type:S(2538,1,"Type_0_cannot_be_used_as_an_index_type_2538","Type '{0}' cannot be used as an index type."),Cannot_assign_to_0_because_it_is_not_a_variable:S(2539,1,"Cannot_assign_to_0_because_it_is_not_a_variable_2539","Cannot assign to '{0}' because it is not a variable."),Cannot_assign_to_0_because_it_is_a_read_only_property:S(2540,1,"Cannot_assign_to_0_because_it_is_a_read_only_property_2540","Cannot assign to '{0}' because it is a read-only property."),Index_signature_in_type_0_only_permits_reading:S(2542,1,"Index_signature_in_type_0_only_permits_reading_2542","Index signature in type '{0}' only permits reading."),Duplicate_identifier_newTarget_Compiler_uses_variable_declaration_newTarget_to_capture_new_target_meta_property_reference:S(2543,1,"Duplicate_identifier_newTarget_Compiler_uses_variable_declaration_newTarget_to_capture_new_target_me_2543","Duplicate identifier '_newTarget'. Compiler uses variable declaration '_newTarget' to capture 'new.target' meta-property reference."),Expression_resolves_to_variable_declaration_newTarget_that_compiler_uses_to_capture_new_target_meta_property_reference:S(2544,1,"Expression_resolves_to_variable_declaration_newTarget_that_compiler_uses_to_capture_new_target_meta__2544","Expression resolves to variable declaration '_newTarget' that compiler uses to capture 'new.target' meta-property reference."),A_mixin_class_must_have_a_constructor_with_a_single_rest_parameter_of_type_any:S(2545,1,"A_mixin_class_must_have_a_constructor_with_a_single_rest_parameter_of_type_any_2545","A mixin class must have a constructor with a single rest parameter of type 'any[]'."),The_type_returned_by_the_0_method_of_an_async_iterator_must_be_a_promise_for_a_type_with_a_value_property:S(2547,1,"The_type_returned_by_the_0_method_of_an_async_iterator_must_be_a_promise_for_a_type_with_a_value_pro_2547","The type returned by the '{0}()' method of an async iterator must be a promise for a type with a 'value' property."),Type_0_is_not_an_array_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator:S(2548,1,"Type_0_is_not_an_array_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator_2548","Type '{0}' is not an array type or does not have a '[Symbol.iterator]()' method that returns an iterator."),Type_0_is_not_an_array_type_or_a_string_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator:S(2549,1,"Type_0_is_not_an_array_type_or_a_string_type_or_does_not_have_a_Symbol_iterator_method_that_returns__2549","Type '{0}' is not an array type or a string type or does not have a '[Symbol.iterator]()' method that returns an iterator."),Property_0_does_not_exist_on_type_1_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_2_or_later:S(2550,1,"Property_0_does_not_exist_on_type_1_Do_you_need_to_change_your_target_library_Try_changing_the_lib_c_2550","Property '{0}' does not exist on type '{1}'. Do you need to change your target library? Try changing the 'lib' compiler option to '{2}' or later."),Property_0_does_not_exist_on_type_1_Did_you_mean_2:S(2551,1,"Property_0_does_not_exist_on_type_1_Did_you_mean_2_2551","Property '{0}' does not exist on type '{1}'. Did you mean '{2}'?"),Cannot_find_name_0_Did_you_mean_1:S(2552,1,"Cannot_find_name_0_Did_you_mean_1_2552","Cannot find name '{0}'. Did you mean '{1}'?"),Computed_values_are_not_permitted_in_an_enum_with_string_valued_members:S(2553,1,"Computed_values_are_not_permitted_in_an_enum_with_string_valued_members_2553","Computed values are not permitted in an enum with string valued members."),Expected_0_arguments_but_got_1:S(2554,1,"Expected_0_arguments_but_got_1_2554","Expected {0} arguments, but got {1}."),Expected_at_least_0_arguments_but_got_1:S(2555,1,"Expected_at_least_0_arguments_but_got_1_2555","Expected at least {0} arguments, but got {1}."),A_spread_argument_must_either_have_a_tuple_type_or_be_passed_to_a_rest_parameter:S(2556,1,"A_spread_argument_must_either_have_a_tuple_type_or_be_passed_to_a_rest_parameter_2556","A spread argument must either have a tuple type or be passed to a rest parameter."),Expected_0_type_arguments_but_got_1:S(2558,1,"Expected_0_type_arguments_but_got_1_2558","Expected {0} type arguments, but got {1}."),Type_0_has_no_properties_in_common_with_type_1:S(2559,1,"Type_0_has_no_properties_in_common_with_type_1_2559","Type '{0}' has no properties in common with type '{1}'."),Value_of_type_0_has_no_properties_in_common_with_type_1_Did_you_mean_to_call_it:S(2560,1,"Value_of_type_0_has_no_properties_in_common_with_type_1_Did_you_mean_to_call_it_2560","Value of type '{0}' has no properties in common with type '{1}'. Did you mean to call it?"),Object_literal_may_only_specify_known_properties_but_0_does_not_exist_in_type_1_Did_you_mean_to_write_2:S(2561,1,"Object_literal_may_only_specify_known_properties_but_0_does_not_exist_in_type_1_Did_you_mean_to_writ_2561","Object literal may only specify known properties, but '{0}' does not exist in type '{1}'. Did you mean to write '{2}'?"),Base_class_expressions_cannot_reference_class_type_parameters:S(2562,1,"Base_class_expressions_cannot_reference_class_type_parameters_2562","Base class expressions cannot reference class type parameters."),The_containing_function_or_module_body_is_too_large_for_control_flow_analysis:S(2563,1,"The_containing_function_or_module_body_is_too_large_for_control_flow_analysis_2563","The containing function or module body is too large for control flow analysis."),Property_0_has_no_initializer_and_is_not_definitely_assigned_in_the_constructor:S(2564,1,"Property_0_has_no_initializer_and_is_not_definitely_assigned_in_the_constructor_2564","Property '{0}' has no initializer and is not definitely assigned in the constructor."),Property_0_is_used_before_being_assigned:S(2565,1,"Property_0_is_used_before_being_assigned_2565","Property '{0}' is used before being assigned."),A_rest_element_cannot_have_a_property_name:S(2566,1,"A_rest_element_cannot_have_a_property_name_2566","A rest element cannot have a property name."),Enum_declarations_can_only_merge_with_namespace_or_other_enum_declarations:S(2567,1,"Enum_declarations_can_only_merge_with_namespace_or_other_enum_declarations_2567","Enum declarations can only merge with namespace or other enum declarations."),Property_0_may_not_exist_on_type_1_Did_you_mean_2:S(2568,1,"Property_0_may_not_exist_on_type_1_Did_you_mean_2_2568","Property '{0}' may not exist on type '{1}'. Did you mean '{2}'?"),Could_not_find_name_0_Did_you_mean_1:S(2570,1,"Could_not_find_name_0_Did_you_mean_1_2570","Could not find name '{0}'. Did you mean '{1}'?"),Object_is_of_type_unknown:S(2571,1,"Object_is_of_type_unknown_2571","Object is of type 'unknown'."),A_rest_element_type_must_be_an_array_type:S(2574,1,"A_rest_element_type_must_be_an_array_type_2574","A rest element type must be an array type."),No_overload_expects_0_arguments_but_overloads_do_exist_that_expect_either_1_or_2_arguments:S(2575,1,"No_overload_expects_0_arguments_but_overloads_do_exist_that_expect_either_1_or_2_arguments_2575","No overload expects {0} arguments, but overloads do exist that expect either {1} or {2} arguments."),Property_0_does_not_exist_on_type_1_Did_you_mean_to_access_the_static_member_2_instead:S(2576,1,"Property_0_does_not_exist_on_type_1_Did_you_mean_to_access_the_static_member_2_instead_2576","Property '{0}' does not exist on type '{1}'. Did you mean to access the static member '{2}' instead?"),Return_type_annotation_circularly_references_itself:S(2577,1,"Return_type_annotation_circularly_references_itself_2577","Return type annotation circularly references itself."),Unused_ts_expect_error_directive:S(2578,1,"Unused_ts_expect_error_directive_2578","Unused '@ts-expect-error' directive."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode:S(2580,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashno_2580","Cannot find name '{0}'. Do you need to install type definitions for node? Try `npm i --save-dev @types/node`."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery:S(2581,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slash_2581","Cannot find name '{0}'. Do you need to install type definitions for jQuery? Try `npm i --save-dev @types/jquery`."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha:S(2582,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_type_2582","Cannot find name '{0}'. Do you need to install type definitions for a test runner? Try `npm i --save-dev @types/jest` or `npm i --save-dev @types/mocha`."),Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_1_or_later:S(2583,1,"Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_2583","Cannot find name '{0}'. Do you need to change your target library? Try changing the 'lib' compiler option to '{1}' or later."),Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_include_dom:S(2584,1,"Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_2584","Cannot find name '{0}'. Do you need to change your target library? Try changing the 'lib' compiler option to include 'dom'."),_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_es2015_or_later:S(2585,1,"_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Do_you_need_to_change_your_target_library_2585","'{0}' only refers to a type, but is being used as a value here. Do you need to change your target library? Try changing the 'lib' compiler option to es2015 or later."),Cannot_assign_to_0_because_it_is_a_constant:S(2588,1,"Cannot_assign_to_0_because_it_is_a_constant_2588","Cannot assign to '{0}' because it is a constant."),Type_instantiation_is_excessively_deep_and_possibly_infinite:S(2589,1,"Type_instantiation_is_excessively_deep_and_possibly_infinite_2589","Type instantiation is excessively deep and possibly infinite."),Expression_produces_a_union_type_that_is_too_complex_to_represent:S(2590,1,"Expression_produces_a_union_type_that_is_too_complex_to_represent_2590","Expression produces a union type that is too complex to represent."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode_and_then_add_node_to_the_types_field_in_your_tsconfig:S(2591,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashno_2591","Cannot find name '{0}'. Do you need to install type definitions for node? Try `npm i --save-dev @types/node` and then add 'node' to the types field in your tsconfig."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery_and_then_add_jquery_to_the_types_field_in_your_tsconfig:S(2592,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slash_2592","Cannot find name '{0}'. Do you need to install type definitions for jQuery? Try `npm i --save-dev @types/jquery` and then add 'jquery' to the types field in your tsconfig."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha_and_then_add_jest_or_mocha_to_the_types_field_in_your_tsconfig:S(2593,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_type_2593","Cannot find name '{0}'. Do you need to install type definitions for a test runner? Try `npm i --save-dev @types/jest` or `npm i --save-dev @types/mocha` and then add 'jest' or 'mocha' to the types field in your tsconfig."),This_module_is_declared_with_export_and_can_only_be_used_with_a_default_import_when_using_the_0_flag:S(2594,1,"This_module_is_declared_with_export_and_can_only_be_used_with_a_default_import_when_using_the_0_flag_2594","This module is declared with 'export =', and can only be used with a default import when using the '{0}' flag."),_0_can_only_be_imported_by_using_a_default_import:S(2595,1,"_0_can_only_be_imported_by_using_a_default_import_2595","'{0}' can only be imported by using a default import."),_0_can_only_be_imported_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import:S(2596,1,"_0_can_only_be_imported_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import_2596","'{0}' can only be imported by turning on the 'esModuleInterop' flag and using a default import."),_0_can_only_be_imported_by_using_a_require_call_or_by_using_a_default_import:S(2597,1,"_0_can_only_be_imported_by_using_a_require_call_or_by_using_a_default_import_2597","'{0}' can only be imported by using a 'require' call or by using a default import."),_0_can_only_be_imported_by_using_a_require_call_or_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import:S(2598,1,"_0_can_only_be_imported_by_using_a_require_call_or_by_turning_on_the_esModuleInterop_flag_and_using__2598","'{0}' can only be imported by using a 'require' call or by turning on the 'esModuleInterop' flag and using a default import."),JSX_element_implicitly_has_type_any_because_the_global_type_JSX_Element_does_not_exist:S(2602,1,"JSX_element_implicitly_has_type_any_because_the_global_type_JSX_Element_does_not_exist_2602","JSX element implicitly has type 'any' because the global type 'JSX.Element' does not exist."),Property_0_in_type_1_is_not_assignable_to_type_2:S(2603,1,"Property_0_in_type_1_is_not_assignable_to_type_2_2603","Property '{0}' in type '{1}' is not assignable to type '{2}'."),JSX_element_type_0_does_not_have_any_construct_or_call_signatures:S(2604,1,"JSX_element_type_0_does_not_have_any_construct_or_call_signatures_2604","JSX element type '{0}' does not have any construct or call signatures."),Property_0_of_JSX_spread_attribute_is_not_assignable_to_target_property:S(2606,1,"Property_0_of_JSX_spread_attribute_is_not_assignable_to_target_property_2606","Property '{0}' of JSX spread attribute is not assignable to target property."),JSX_element_class_does_not_support_attributes_because_it_does_not_have_a_0_property:S(2607,1,"JSX_element_class_does_not_support_attributes_because_it_does_not_have_a_0_property_2607","JSX element class does not support attributes because it does not have a '{0}' property."),The_global_type_JSX_0_may_not_have_more_than_one_property:S(2608,1,"The_global_type_JSX_0_may_not_have_more_than_one_property_2608","The global type 'JSX.{0}' may not have more than one property."),JSX_spread_child_must_be_an_array_type:S(2609,1,"JSX_spread_child_must_be_an_array_type_2609","JSX spread child must be an array type."),_0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property:S(2610,1,"_0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property_2610","'{0}' is defined as an accessor in class '{1}', but is overridden here in '{2}' as an instance property."),_0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor:S(2611,1,"_0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor_2611","'{0}' is defined as a property in class '{1}', but is overridden here in '{2}' as an accessor."),Property_0_will_overwrite_the_base_property_in_1_If_this_is_intentional_add_an_initializer_Otherwise_add_a_declare_modifier_or_remove_the_redundant_declaration:S(2612,1,"Property_0_will_overwrite_the_base_property_in_1_If_this_is_intentional_add_an_initializer_Otherwise_2612","Property '{0}' will overwrite the base property in '{1}'. If this is intentional, add an initializer. Otherwise, add a 'declare' modifier or remove the redundant declaration."),Module_0_has_no_default_export_Did_you_mean_to_use_import_1_from_0_instead:S(2613,1,"Module_0_has_no_default_export_Did_you_mean_to_use_import_1_from_0_instead_2613","Module '{0}' has no default export. Did you mean to use 'import { {1} } from {0}' instead?"),Module_0_has_no_exported_member_1_Did_you_mean_to_use_import_1_from_0_instead:S(2614,1,"Module_0_has_no_exported_member_1_Did_you_mean_to_use_import_1_from_0_instead_2614","Module '{0}' has no exported member '{1}'. Did you mean to use 'import {1} from {0}' instead?"),Type_of_property_0_circularly_references_itself_in_mapped_type_1:S(2615,1,"Type_of_property_0_circularly_references_itself_in_mapped_type_1_2615","Type of property '{0}' circularly references itself in mapped type '{1}'."),_0_can_only_be_imported_by_using_import_1_require_2_or_a_default_import:S(2616,1,"_0_can_only_be_imported_by_using_import_1_require_2_or_a_default_import_2616","'{0}' can only be imported by using 'import {1} = require({2})' or a default import."),_0_can_only_be_imported_by_using_import_1_require_2_or_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import:S(2617,1,"_0_can_only_be_imported_by_using_import_1_require_2_or_by_turning_on_the_esModuleInterop_flag_and_us_2617","'{0}' can only be imported by using 'import {1} = require({2})' or by turning on the 'esModuleInterop' flag and using a default import."),Source_has_0_element_s_but_target_requires_1:S(2618,1,"Source_has_0_element_s_but_target_requires_1_2618","Source has {0} element(s) but target requires {1}."),Source_has_0_element_s_but_target_allows_only_1:S(2619,1,"Source_has_0_element_s_but_target_allows_only_1_2619","Source has {0} element(s) but target allows only {1}."),Target_requires_0_element_s_but_source_may_have_fewer:S(2620,1,"Target_requires_0_element_s_but_source_may_have_fewer_2620","Target requires {0} element(s) but source may have fewer."),Target_allows_only_0_element_s_but_source_may_have_more:S(2621,1,"Target_allows_only_0_element_s_but_source_may_have_more_2621","Target allows only {0} element(s) but source may have more."),Source_provides_no_match_for_required_element_at_position_0_in_target:S(2623,1,"Source_provides_no_match_for_required_element_at_position_0_in_target_2623","Source provides no match for required element at position {0} in target."),Source_provides_no_match_for_variadic_element_at_position_0_in_target:S(2624,1,"Source_provides_no_match_for_variadic_element_at_position_0_in_target_2624","Source provides no match for variadic element at position {0} in target."),Variadic_element_at_position_0_in_source_does_not_match_element_at_position_1_in_target:S(2625,1,"Variadic_element_at_position_0_in_source_does_not_match_element_at_position_1_in_target_2625","Variadic element at position {0} in source does not match element at position {1} in target."),Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target:S(2626,1,"Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target_2626","Type at position {0} in source is not compatible with type at position {1} in target."),Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target:S(2627,1,"Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target_2627","Type at positions {0} through {1} in source is not compatible with type at position {2} in target."),Cannot_assign_to_0_because_it_is_an_enum:S(2628,1,"Cannot_assign_to_0_because_it_is_an_enum_2628","Cannot assign to '{0}' because it is an enum."),Cannot_assign_to_0_because_it_is_a_class:S(2629,1,"Cannot_assign_to_0_because_it_is_a_class_2629","Cannot assign to '{0}' because it is a class."),Cannot_assign_to_0_because_it_is_a_function:S(2630,1,"Cannot_assign_to_0_because_it_is_a_function_2630","Cannot assign to '{0}' because it is a function."),Cannot_assign_to_0_because_it_is_a_namespace:S(2631,1,"Cannot_assign_to_0_because_it_is_a_namespace_2631","Cannot assign to '{0}' because it is a namespace."),Cannot_assign_to_0_because_it_is_an_import:S(2632,1,"Cannot_assign_to_0_because_it_is_an_import_2632","Cannot assign to '{0}' because it is an import."),JSX_property_access_expressions_cannot_include_JSX_namespace_names:S(2633,1,"JSX_property_access_expressions_cannot_include_JSX_namespace_names_2633","JSX property access expressions cannot include JSX namespace names"),_0_index_signatures_are_incompatible:S(2634,1,"_0_index_signatures_are_incompatible_2634","'{0}' index signatures are incompatible."),Type_0_has_no_signatures_for_which_the_type_argument_list_is_applicable:S(2635,1,"Type_0_has_no_signatures_for_which_the_type_argument_list_is_applicable_2635","Type '{0}' has no signatures for which the type argument list is applicable."),Type_0_is_not_assignable_to_type_1_as_implied_by_variance_annotation:S(2636,1,"Type_0_is_not_assignable_to_type_1_as_implied_by_variance_annotation_2636","Type '{0}' is not assignable to type '{1}' as implied by variance annotation."),Variance_annotations_are_only_supported_in_type_aliases_for_object_function_constructor_and_mapped_types:S(2637,1,"Variance_annotations_are_only_supported_in_type_aliases_for_object_function_constructor_and_mapped_t_2637","Variance annotations are only supported in type aliases for object, function, constructor, and mapped types."),Type_0_may_represent_a_primitive_value_which_is_not_permitted_as_the_right_operand_of_the_in_operator:S(2638,1,"Type_0_may_represent_a_primitive_value_which_is_not_permitted_as_the_right_operand_of_the_in_operato_2638","Type '{0}' may represent a primitive value, which is not permitted as the right operand of the 'in' operator."),React_components_cannot_include_JSX_namespace_names:S(2639,1,"React_components_cannot_include_JSX_namespace_names_2639","React components cannot include JSX namespace names"),Cannot_augment_module_0_with_value_exports_because_it_resolves_to_a_non_module_entity:S(2649,1,"Cannot_augment_module_0_with_value_exports_because_it_resolves_to_a_non_module_entity_2649","Cannot augment module '{0}' with value exports because it resolves to a non-module entity."),A_member_initializer_in_a_enum_declaration_cannot_reference_members_declared_after_it_including_members_defined_in_other_enums:S(2651,1,"A_member_initializer_in_a_enum_declaration_cannot_reference_members_declared_after_it_including_memb_2651","A member initializer in a enum declaration cannot reference members declared after it, including members defined in other enums."),Merged_declaration_0_cannot_include_a_default_export_declaration_Consider_adding_a_separate_export_default_0_declaration_instead:S(2652,1,"Merged_declaration_0_cannot_include_a_default_export_declaration_Consider_adding_a_separate_export_d_2652","Merged declaration '{0}' cannot include a default export declaration. Consider adding a separate 'export default {0}' declaration instead."),Non_abstract_class_expression_does_not_implement_inherited_abstract_member_0_from_class_1:S(2653,1,"Non_abstract_class_expression_does_not_implement_inherited_abstract_member_0_from_class_1_2653","Non-abstract class expression does not implement inherited abstract member '{0}' from class '{1}'."),JSX_expressions_must_have_one_parent_element:S(2657,1,"JSX_expressions_must_have_one_parent_element_2657","JSX expressions must have one parent element."),Type_0_provides_no_match_for_the_signature_1:S(2658,1,"Type_0_provides_no_match_for_the_signature_1_2658","Type '{0}' provides no match for the signature '{1}'."),super_is_only_allowed_in_members_of_object_literal_expressions_when_option_target_is_ES2015_or_higher:S(2659,1,"super_is_only_allowed_in_members_of_object_literal_expressions_when_option_target_is_ES2015_or_highe_2659","'super' is only allowed in members of object literal expressions when option 'target' is 'ES2015' or higher."),super_can_only_be_referenced_in_members_of_derived_classes_or_object_literal_expressions:S(2660,1,"super_can_only_be_referenced_in_members_of_derived_classes_or_object_literal_expressions_2660","'super' can only be referenced in members of derived classes or object literal expressions."),Cannot_export_0_Only_local_declarations_can_be_exported_from_a_module:S(2661,1,"Cannot_export_0_Only_local_declarations_can_be_exported_from_a_module_2661","Cannot export '{0}'. Only local declarations can be exported from a module."),Cannot_find_name_0_Did_you_mean_the_static_member_1_0:S(2662,1,"Cannot_find_name_0_Did_you_mean_the_static_member_1_0_2662","Cannot find name '{0}'. Did you mean the static member '{1}.{0}'?"),Cannot_find_name_0_Did_you_mean_the_instance_member_this_0:S(2663,1,"Cannot_find_name_0_Did_you_mean_the_instance_member_this_0_2663","Cannot find name '{0}'. Did you mean the instance member 'this.{0}'?"),Invalid_module_name_in_augmentation_module_0_cannot_be_found:S(2664,1,"Invalid_module_name_in_augmentation_module_0_cannot_be_found_2664","Invalid module name in augmentation, module '{0}' cannot be found."),Invalid_module_name_in_augmentation_Module_0_resolves_to_an_untyped_module_at_1_which_cannot_be_augmented:S(2665,1,"Invalid_module_name_in_augmentation_Module_0_resolves_to_an_untyped_module_at_1_which_cannot_be_augm_2665","Invalid module name in augmentation. Module '{0}' resolves to an untyped module at '{1}', which cannot be augmented."),Exports_and_export_assignments_are_not_permitted_in_module_augmentations:S(2666,1,"Exports_and_export_assignments_are_not_permitted_in_module_augmentations_2666","Exports and export assignments are not permitted in module augmentations."),Imports_are_not_permitted_in_module_augmentations_Consider_moving_them_to_the_enclosing_external_module:S(2667,1,"Imports_are_not_permitted_in_module_augmentations_Consider_moving_them_to_the_enclosing_external_mod_2667","Imports are not permitted in module augmentations. Consider moving them to the enclosing external module."),export_modifier_cannot_be_applied_to_ambient_modules_and_module_augmentations_since_they_are_always_visible:S(2668,1,"export_modifier_cannot_be_applied_to_ambient_modules_and_module_augmentations_since_they_are_always__2668","'export' modifier cannot be applied to ambient modules and module augmentations since they are always visible."),Augmentations_for_the_global_scope_can_only_be_directly_nested_in_external_modules_or_ambient_module_declarations:S(2669,1,"Augmentations_for_the_global_scope_can_only_be_directly_nested_in_external_modules_or_ambient_module_2669","Augmentations for the global scope can only be directly nested in external modules or ambient module declarations."),Augmentations_for_the_global_scope_should_have_declare_modifier_unless_they_appear_in_already_ambient_context:S(2670,1,"Augmentations_for_the_global_scope_should_have_declare_modifier_unless_they_appear_in_already_ambien_2670","Augmentations for the global scope should have 'declare' modifier unless they appear in already ambient context."),Cannot_augment_module_0_because_it_resolves_to_a_non_module_entity:S(2671,1,"Cannot_augment_module_0_because_it_resolves_to_a_non_module_entity_2671","Cannot augment module '{0}' because it resolves to a non-module entity."),Cannot_assign_a_0_constructor_type_to_a_1_constructor_type:S(2672,1,"Cannot_assign_a_0_constructor_type_to_a_1_constructor_type_2672","Cannot assign a '{0}' constructor type to a '{1}' constructor type."),Constructor_of_class_0_is_private_and_only_accessible_within_the_class_declaration:S(2673,1,"Constructor_of_class_0_is_private_and_only_accessible_within_the_class_declaration_2673","Constructor of class '{0}' is private and only accessible within the class declaration."),Constructor_of_class_0_is_protected_and_only_accessible_within_the_class_declaration:S(2674,1,"Constructor_of_class_0_is_protected_and_only_accessible_within_the_class_declaration_2674","Constructor of class '{0}' is protected and only accessible within the class declaration."),Cannot_extend_a_class_0_Class_constructor_is_marked_as_private:S(2675,1,"Cannot_extend_a_class_0_Class_constructor_is_marked_as_private_2675","Cannot extend a class '{0}'. Class constructor is marked as private."),Accessors_must_both_be_abstract_or_non_abstract:S(2676,1,"Accessors_must_both_be_abstract_or_non_abstract_2676","Accessors must both be abstract or non-abstract."),A_type_predicate_s_type_must_be_assignable_to_its_parameter_s_type:S(2677,1,"A_type_predicate_s_type_must_be_assignable_to_its_parameter_s_type_2677","A type predicate's type must be assignable to its parameter's type."),Type_0_is_not_comparable_to_type_1:S(2678,1,"Type_0_is_not_comparable_to_type_1_2678","Type '{0}' is not comparable to type '{1}'."),A_function_that_is_called_with_the_new_keyword_cannot_have_a_this_type_that_is_void:S(2679,1,"A_function_that_is_called_with_the_new_keyword_cannot_have_a_this_type_that_is_void_2679","A function that is called with the 'new' keyword cannot have a 'this' type that is 'void'."),A_0_parameter_must_be_the_first_parameter:S(2680,1,"A_0_parameter_must_be_the_first_parameter_2680","A '{0}' parameter must be the first parameter."),A_constructor_cannot_have_a_this_parameter:S(2681,1,"A_constructor_cannot_have_a_this_parameter_2681","A constructor cannot have a 'this' parameter."),this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation:S(2683,1,"this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation_2683","'this' implicitly has type 'any' because it does not have a type annotation."),The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1:S(2684,1,"The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1_2684","The 'this' context of type '{0}' is not assignable to method's 'this' of type '{1}'."),The_this_types_of_each_signature_are_incompatible:S(2685,1,"The_this_types_of_each_signature_are_incompatible_2685","The 'this' types of each signature are incompatible."),_0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead:S(2686,1,"_0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead_2686","'{0}' refers to a UMD global, but the current file is a module. Consider adding an import instead."),All_declarations_of_0_must_have_identical_modifiers:S(2687,1,"All_declarations_of_0_must_have_identical_modifiers_2687","All declarations of '{0}' must have identical modifiers."),Cannot_find_type_definition_file_for_0:S(2688,1,"Cannot_find_type_definition_file_for_0_2688","Cannot find type definition file for '{0}'."),Cannot_extend_an_interface_0_Did_you_mean_implements:S(2689,1,"Cannot_extend_an_interface_0_Did_you_mean_implements_2689","Cannot extend an interface '{0}'. Did you mean 'implements'?"),_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Did_you_mean_to_use_1_in_0:S(2690,1,"_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Did_you_mean_to_use_1_in_0_2690","'{0}' only refers to a type, but is being used as a value here. Did you mean to use '{1} in {0}'?"),_0_is_a_primitive_but_1_is_a_wrapper_object_Prefer_using_0_when_possible:S(2692,1,"_0_is_a_primitive_but_1_is_a_wrapper_object_Prefer_using_0_when_possible_2692","'{0}' is a primitive, but '{1}' is a wrapper object. Prefer using '{0}' when possible."),_0_only_refers_to_a_type_but_is_being_used_as_a_value_here:S(2693,1,"_0_only_refers_to_a_type_but_is_being_used_as_a_value_here_2693","'{0}' only refers to a type, but is being used as a value here."),Namespace_0_has_no_exported_member_1:S(2694,1,"Namespace_0_has_no_exported_member_1_2694","Namespace '{0}' has no exported member '{1}'."),Left_side_of_comma_operator_is_unused_and_has_no_side_effects:S(2695,1,"Left_side_of_comma_operator_is_unused_and_has_no_side_effects_2695","Left side of comma operator is unused and has no side effects.",!0),The_Object_type_is_assignable_to_very_few_other_types_Did_you_mean_to_use_the_any_type_instead:S(2696,1,"The_Object_type_is_assignable_to_very_few_other_types_Did_you_mean_to_use_the_any_type_instead_2696","The 'Object' type is assignable to very few other types. Did you mean to use the 'any' type instead?"),An_async_function_or_method_must_return_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES2015_in_your_lib_option:S(2697,1,"An_async_function_or_method_must_return_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_in_2697","An async function or method must return a 'Promise'. Make sure you have a declaration for 'Promise' or include 'ES2015' in your '--lib' option."),Spread_types_may_only_be_created_from_object_types:S(2698,1,"Spread_types_may_only_be_created_from_object_types_2698","Spread types may only be created from object types."),Static_property_0_conflicts_with_built_in_property_Function_0_of_constructor_function_1:S(2699,1,"Static_property_0_conflicts_with_built_in_property_Function_0_of_constructor_function_1_2699","Static property '{0}' conflicts with built-in property 'Function.{0}' of constructor function '{1}'."),Rest_types_may_only_be_created_from_object_types:S(2700,1,"Rest_types_may_only_be_created_from_object_types_2700","Rest types may only be created from object types."),The_target_of_an_object_rest_assignment_must_be_a_variable_or_a_property_access:S(2701,1,"The_target_of_an_object_rest_assignment_must_be_a_variable_or_a_property_access_2701","The target of an object rest assignment must be a variable or a property access."),_0_only_refers_to_a_type_but_is_being_used_as_a_namespace_here:S(2702,1,"_0_only_refers_to_a_type_but_is_being_used_as_a_namespace_here_2702","'{0}' only refers to a type, but is being used as a namespace here."),The_operand_of_a_delete_operator_must_be_a_property_reference:S(2703,1,"The_operand_of_a_delete_operator_must_be_a_property_reference_2703","The operand of a 'delete' operator must be a property reference."),The_operand_of_a_delete_operator_cannot_be_a_read_only_property:S(2704,1,"The_operand_of_a_delete_operator_cannot_be_a_read_only_property_2704","The operand of a 'delete' operator cannot be a read-only property."),An_async_function_or_method_in_ES5_SlashES3_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option:S(2705,1,"An_async_function_or_method_in_ES5_SlashES3_requires_the_Promise_constructor_Make_sure_you_have_a_de_2705","An async function or method in ES5/ES3 requires the 'Promise' constructor. Make sure you have a declaration for the 'Promise' constructor or include 'ES2015' in your '--lib' option."),Required_type_parameters_may_not_follow_optional_type_parameters:S(2706,1,"Required_type_parameters_may_not_follow_optional_type_parameters_2706","Required type parameters may not follow optional type parameters."),Generic_type_0_requires_between_1_and_2_type_arguments:S(2707,1,"Generic_type_0_requires_between_1_and_2_type_arguments_2707","Generic type '{0}' requires between {1} and {2} type arguments."),Cannot_use_namespace_0_as_a_value:S(2708,1,"Cannot_use_namespace_0_as_a_value_2708","Cannot use namespace '{0}' as a value."),Cannot_use_namespace_0_as_a_type:S(2709,1,"Cannot_use_namespace_0_as_a_type_2709","Cannot use namespace '{0}' as a type."),_0_are_specified_twice_The_attribute_named_0_will_be_overwritten:S(2710,1,"_0_are_specified_twice_The_attribute_named_0_will_be_overwritten_2710","'{0}' are specified twice. The attribute named '{0}' will be overwritten."),A_dynamic_import_call_returns_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES2015_in_your_lib_option:S(2711,1,"A_dynamic_import_call_returns_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES20_2711","A dynamic import call returns a 'Promise'. Make sure you have a declaration for 'Promise' or include 'ES2015' in your '--lib' option."),A_dynamic_import_call_in_ES5_SlashES3_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option:S(2712,1,"A_dynamic_import_call_in_ES5_SlashES3_requires_the_Promise_constructor_Make_sure_you_have_a_declarat_2712","A dynamic import call in ES5/ES3 requires the 'Promise' constructor. Make sure you have a declaration for the 'Promise' constructor or include 'ES2015' in your '--lib' option."),Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_property_1_in_0_with_0_1:S(2713,1,"Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_p_2713",`Cannot access '{0}.{1}' because '{0}' is a type, but not a namespace. Did you mean to retrieve the type of the property '{1}' in '{0}' with '{0}["{1}"]'?`),The_expression_of_an_export_assignment_must_be_an_identifier_or_qualified_name_in_an_ambient_context:S(2714,1,"The_expression_of_an_export_assignment_must_be_an_identifier_or_qualified_name_in_an_ambient_context_2714","The expression of an export assignment must be an identifier or qualified name in an ambient context."),Abstract_property_0_in_class_1_cannot_be_accessed_in_the_constructor:S(2715,1,"Abstract_property_0_in_class_1_cannot_be_accessed_in_the_constructor_2715","Abstract property '{0}' in class '{1}' cannot be accessed in the constructor."),Type_parameter_0_has_a_circular_default:S(2716,1,"Type_parameter_0_has_a_circular_default_2716","Type parameter '{0}' has a circular default."),Subsequent_property_declarations_must_have_the_same_type_Property_0_must_be_of_type_1_but_here_has_type_2:S(2717,1,"Subsequent_property_declarations_must_have_the_same_type_Property_0_must_be_of_type_1_but_here_has_t_2717","Subsequent property declarations must have the same type. Property '{0}' must be of type '{1}', but here has type '{2}'."),Duplicate_property_0:S(2718,1,"Duplicate_property_0_2718","Duplicate property '{0}'."),Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated:S(2719,1,"Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated_2719","Type '{0}' is not assignable to type '{1}'. Two different types with this name exist, but they are unrelated."),Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclass:S(2720,1,"Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclas_2720","Class '{0}' incorrectly implements class '{1}'. Did you mean to extend '{1}' and inherit its members as a subclass?"),Cannot_invoke_an_object_which_is_possibly_null:S(2721,1,"Cannot_invoke_an_object_which_is_possibly_null_2721","Cannot invoke an object which is possibly 'null'."),Cannot_invoke_an_object_which_is_possibly_undefined:S(2722,1,"Cannot_invoke_an_object_which_is_possibly_undefined_2722","Cannot invoke an object which is possibly 'undefined'."),Cannot_invoke_an_object_which_is_possibly_null_or_undefined:S(2723,1,"Cannot_invoke_an_object_which_is_possibly_null_or_undefined_2723","Cannot invoke an object which is possibly 'null' or 'undefined'."),_0_has_no_exported_member_named_1_Did_you_mean_2:S(2724,1,"_0_has_no_exported_member_named_1_Did_you_mean_2_2724","'{0}' has no exported member named '{1}'. Did you mean '{2}'?"),Class_name_cannot_be_Object_when_targeting_ES5_with_module_0:S(2725,1,"Class_name_cannot_be_Object_when_targeting_ES5_with_module_0_2725","Class name cannot be 'Object' when targeting ES5 with module {0}."),Cannot_find_lib_definition_for_0:S(2726,1,"Cannot_find_lib_definition_for_0_2726","Cannot find lib definition for '{0}'."),Cannot_find_lib_definition_for_0_Did_you_mean_1:S(2727,1,"Cannot_find_lib_definition_for_0_Did_you_mean_1_2727","Cannot find lib definition for '{0}'. Did you mean '{1}'?"),_0_is_declared_here:S(2728,3,"_0_is_declared_here_2728","'{0}' is declared here."),Property_0_is_used_before_its_initialization:S(2729,1,"Property_0_is_used_before_its_initialization_2729","Property '{0}' is used before its initialization."),An_arrow_function_cannot_have_a_this_parameter:S(2730,1,"An_arrow_function_cannot_have_a_this_parameter_2730","An arrow function cannot have a 'this' parameter."),Implicit_conversion_of_a_symbol_to_a_string_will_fail_at_runtime_Consider_wrapping_this_expression_in_String:S(2731,1,"Implicit_conversion_of_a_symbol_to_a_string_will_fail_at_runtime_Consider_wrapping_this_expression_i_2731","Implicit conversion of a 'symbol' to a 'string' will fail at runtime. Consider wrapping this expression in 'String(...)'."),Cannot_find_module_0_Consider_using_resolveJsonModule_to_import_module_with_json_extension:S(2732,1,"Cannot_find_module_0_Consider_using_resolveJsonModule_to_import_module_with_json_extension_2732","Cannot find module '{0}'. Consider using '--resolveJsonModule' to import module with '.json' extension."),Property_0_was_also_declared_here:S(2733,1,"Property_0_was_also_declared_here_2733","Property '{0}' was also declared here."),Are_you_missing_a_semicolon:S(2734,1,"Are_you_missing_a_semicolon_2734","Are you missing a semicolon?"),Did_you_mean_for_0_to_be_constrained_to_type_new_args_Colon_any_1:S(2735,1,"Did_you_mean_for_0_to_be_constrained_to_type_new_args_Colon_any_1_2735","Did you mean for '{0}' to be constrained to type 'new (...args: any[]) => {1}'?"),Operator_0_cannot_be_applied_to_type_1:S(2736,1,"Operator_0_cannot_be_applied_to_type_1_2736","Operator '{0}' cannot be applied to type '{1}'."),BigInt_literals_are_not_available_when_targeting_lower_than_ES2020:S(2737,1,"BigInt_literals_are_not_available_when_targeting_lower_than_ES2020_2737","BigInt literals are not available when targeting lower than ES2020."),An_outer_value_of_this_is_shadowed_by_this_container:S(2738,3,"An_outer_value_of_this_is_shadowed_by_this_container_2738","An outer value of 'this' is shadowed by this container."),Type_0_is_missing_the_following_properties_from_type_1_Colon_2:S(2739,1,"Type_0_is_missing_the_following_properties_from_type_1_Colon_2_2739","Type '{0}' is missing the following properties from type '{1}': {2}"),Type_0_is_missing_the_following_properties_from_type_1_Colon_2_and_3_more:S(2740,1,"Type_0_is_missing_the_following_properties_from_type_1_Colon_2_and_3_more_2740","Type '{0}' is missing the following properties from type '{1}': {2}, and {3} more."),Property_0_is_missing_in_type_1_but_required_in_type_2:S(2741,1,"Property_0_is_missing_in_type_1_but_required_in_type_2_2741","Property '{0}' is missing in type '{1}' but required in type '{2}'."),The_inferred_type_of_0_cannot_be_named_without_a_reference_to_1_This_is_likely_not_portable_A_type_annotation_is_necessary:S(2742,1,"The_inferred_type_of_0_cannot_be_named_without_a_reference_to_1_This_is_likely_not_portable_A_type_a_2742","The inferred type of '{0}' cannot be named without a reference to '{1}'. This is likely not portable. A type annotation is necessary."),No_overload_expects_0_type_arguments_but_overloads_do_exist_that_expect_either_1_or_2_type_arguments:S(2743,1,"No_overload_expects_0_type_arguments_but_overloads_do_exist_that_expect_either_1_or_2_type_arguments_2743","No overload expects {0} type arguments, but overloads do exist that expect either {1} or {2} type arguments."),Type_parameter_defaults_can_only_reference_previously_declared_type_parameters:S(2744,1,"Type_parameter_defaults_can_only_reference_previously_declared_type_parameters_2744","Type parameter defaults can only reference previously declared type parameters."),This_JSX_tag_s_0_prop_expects_type_1_which_requires_multiple_children_but_only_a_single_child_was_provided:S(2745,1,"This_JSX_tag_s_0_prop_expects_type_1_which_requires_multiple_children_but_only_a_single_child_was_pr_2745","This JSX tag's '{0}' prop expects type '{1}' which requires multiple children, but only a single child was provided."),This_JSX_tag_s_0_prop_expects_a_single_child_of_type_1_but_multiple_children_were_provided:S(2746,1,"This_JSX_tag_s_0_prop_expects_a_single_child_of_type_1_but_multiple_children_were_provided_2746","This JSX tag's '{0}' prop expects a single child of type '{1}', but multiple children were provided."),_0_components_don_t_accept_text_as_child_elements_Text_in_JSX_has_the_type_string_but_the_expected_type_of_1_is_2:S(2747,1,"_0_components_don_t_accept_text_as_child_elements_Text_in_JSX_has_the_type_string_but_the_expected_t_2747","'{0}' components don't accept text as child elements. Text in JSX has the type 'string', but the expected type of '{1}' is '{2}'."),Cannot_access_ambient_const_enums_when_0_is_enabled:S(2748,1,"Cannot_access_ambient_const_enums_when_0_is_enabled_2748","Cannot access ambient const enums when '{0}' is enabled."),_0_refers_to_a_value_but_is_being_used_as_a_type_here_Did_you_mean_typeof_0:S(2749,1,"_0_refers_to_a_value_but_is_being_used_as_a_type_here_Did_you_mean_typeof_0_2749","'{0}' refers to a value, but is being used as a type here. Did you mean 'typeof {0}'?"),The_implementation_signature_is_declared_here:S(2750,1,"The_implementation_signature_is_declared_here_2750","The implementation signature is declared here."),Circularity_originates_in_type_at_this_location:S(2751,1,"Circularity_originates_in_type_at_this_location_2751","Circularity originates in type at this location."),The_first_export_default_is_here:S(2752,1,"The_first_export_default_is_here_2752","The first export default is here."),Another_export_default_is_here:S(2753,1,"Another_export_default_is_here_2753","Another export default is here."),super_may_not_use_type_arguments:S(2754,1,"super_may_not_use_type_arguments_2754","'super' may not use type arguments."),No_constituent_of_type_0_is_callable:S(2755,1,"No_constituent_of_type_0_is_callable_2755","No constituent of type '{0}' is callable."),Not_all_constituents_of_type_0_are_callable:S(2756,1,"Not_all_constituents_of_type_0_are_callable_2756","Not all constituents of type '{0}' are callable."),Type_0_has_no_call_signatures:S(2757,1,"Type_0_has_no_call_signatures_2757","Type '{0}' has no call signatures."),Each_member_of_the_union_type_0_has_signatures_but_none_of_those_signatures_are_compatible_with_each_other:S(2758,1,"Each_member_of_the_union_type_0_has_signatures_but_none_of_those_signatures_are_compatible_with_each_2758","Each member of the union type '{0}' has signatures, but none of those signatures are compatible with each other."),No_constituent_of_type_0_is_constructable:S(2759,1,"No_constituent_of_type_0_is_constructable_2759","No constituent of type '{0}' is constructable."),Not_all_constituents_of_type_0_are_constructable:S(2760,1,"Not_all_constituents_of_type_0_are_constructable_2760","Not all constituents of type '{0}' are constructable."),Type_0_has_no_construct_signatures:S(2761,1,"Type_0_has_no_construct_signatures_2761","Type '{0}' has no construct signatures."),Each_member_of_the_union_type_0_has_construct_signatures_but_none_of_those_signatures_are_compatible_with_each_other:S(2762,1,"Each_member_of_the_union_type_0_has_construct_signatures_but_none_of_those_signatures_are_compatible_2762","Each member of the union type '{0}' has construct signatures, but none of those signatures are compatible with each other."),Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_for_of_will_always_send_0:S(2763,1,"Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_for_of_will_always_s_2763","Cannot iterate value because the 'next' method of its iterator expects type '{1}', but for-of will always send '{0}'."),Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_spread_will_always_send_0:S(2764,1,"Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_spread_will_al_2764","Cannot iterate value because the 'next' method of its iterator expects type '{1}', but array spread will always send '{0}'."),Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_destructuring_will_always_send_0:S(2765,1,"Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_destructuring__2765","Cannot iterate value because the 'next' method of its iterator expects type '{1}', but array destructuring will always send '{0}'."),Cannot_delegate_iteration_to_value_because_the_next_method_of_its_iterator_expects_type_1_but_the_containing_generator_will_always_send_0:S(2766,1,"Cannot_delegate_iteration_to_value_because_the_next_method_of_its_iterator_expects_type_1_but_the_co_2766","Cannot delegate iteration to value because the 'next' method of its iterator expects type '{1}', but the containing generator will always send '{0}'."),The_0_property_of_an_iterator_must_be_a_method:S(2767,1,"The_0_property_of_an_iterator_must_be_a_method_2767","The '{0}' property of an iterator must be a method."),The_0_property_of_an_async_iterator_must_be_a_method:S(2768,1,"The_0_property_of_an_async_iterator_must_be_a_method_2768","The '{0}' property of an async iterator must be a method."),No_overload_matches_this_call:S(2769,1,"No_overload_matches_this_call_2769","No overload matches this call."),The_last_overload_gave_the_following_error:S(2770,1,"The_last_overload_gave_the_following_error_2770","The last overload gave the following error."),The_last_overload_is_declared_here:S(2771,1,"The_last_overload_is_declared_here_2771","The last overload is declared here."),Overload_0_of_1_2_gave_the_following_error:S(2772,1,"Overload_0_of_1_2_gave_the_following_error_2772","Overload {0} of {1}, '{2}', gave the following error."),Did_you_forget_to_use_await:S(2773,1,"Did_you_forget_to_use_await_2773","Did you forget to use 'await'?"),This_condition_will_always_return_true_since_this_function_is_always_defined_Did_you_mean_to_call_it_instead:S(2774,1,"This_condition_will_always_return_true_since_this_function_is_always_defined_Did_you_mean_to_call_it_2774","This condition will always return true since this function is always defined. Did you mean to call it instead?"),Assertions_require_every_name_in_the_call_target_to_be_declared_with_an_explicit_type_annotation:S(2775,1,"Assertions_require_every_name_in_the_call_target_to_be_declared_with_an_explicit_type_annotation_2775","Assertions require every name in the call target to be declared with an explicit type annotation."),Assertions_require_the_call_target_to_be_an_identifier_or_qualified_name:S(2776,1,"Assertions_require_the_call_target_to_be_an_identifier_or_qualified_name_2776","Assertions require the call target to be an identifier or qualified name."),The_operand_of_an_increment_or_decrement_operator_may_not_be_an_optional_property_access:S(2777,1,"The_operand_of_an_increment_or_decrement_operator_may_not_be_an_optional_property_access_2777","The operand of an increment or decrement operator may not be an optional property access."),The_target_of_an_object_rest_assignment_may_not_be_an_optional_property_access:S(2778,1,"The_target_of_an_object_rest_assignment_may_not_be_an_optional_property_access_2778","The target of an object rest assignment may not be an optional property access."),The_left_hand_side_of_an_assignment_expression_may_not_be_an_optional_property_access:S(2779,1,"The_left_hand_side_of_an_assignment_expression_may_not_be_an_optional_property_access_2779","The left-hand side of an assignment expression may not be an optional property access."),The_left_hand_side_of_a_for_in_statement_may_not_be_an_optional_property_access:S(2780,1,"The_left_hand_side_of_a_for_in_statement_may_not_be_an_optional_property_access_2780","The left-hand side of a 'for...in' statement may not be an optional property access."),The_left_hand_side_of_a_for_of_statement_may_not_be_an_optional_property_access:S(2781,1,"The_left_hand_side_of_a_for_of_statement_may_not_be_an_optional_property_access_2781","The left-hand side of a 'for...of' statement may not be an optional property access."),_0_needs_an_explicit_type_annotation:S(2782,3,"_0_needs_an_explicit_type_annotation_2782","'{0}' needs an explicit type annotation."),_0_is_specified_more_than_once_so_this_usage_will_be_overwritten:S(2783,1,"_0_is_specified_more_than_once_so_this_usage_will_be_overwritten_2783","'{0}' is specified more than once, so this usage will be overwritten."),get_and_set_accessors_cannot_declare_this_parameters:S(2784,1,"get_and_set_accessors_cannot_declare_this_parameters_2784","'get' and 'set' accessors cannot declare 'this' parameters."),This_spread_always_overwrites_this_property:S(2785,1,"This_spread_always_overwrites_this_property_2785","This spread always overwrites this property."),_0_cannot_be_used_as_a_JSX_component:S(2786,1,"_0_cannot_be_used_as_a_JSX_component_2786","'{0}' cannot be used as a JSX component."),Its_return_type_0_is_not_a_valid_JSX_element:S(2787,1,"Its_return_type_0_is_not_a_valid_JSX_element_2787","Its return type '{0}' is not a valid JSX element."),Its_instance_type_0_is_not_a_valid_JSX_element:S(2788,1,"Its_instance_type_0_is_not_a_valid_JSX_element_2788","Its instance type '{0}' is not a valid JSX element."),Its_element_type_0_is_not_a_valid_JSX_element:S(2789,1,"Its_element_type_0_is_not_a_valid_JSX_element_2789","Its element type '{0}' is not a valid JSX element."),The_operand_of_a_delete_operator_must_be_optional:S(2790,1,"The_operand_of_a_delete_operator_must_be_optional_2790","The operand of a 'delete' operator must be optional."),Exponentiation_cannot_be_performed_on_bigint_values_unless_the_target_option_is_set_to_es2016_or_later:S(2791,1,"Exponentiation_cannot_be_performed_on_bigint_values_unless_the_target_option_is_set_to_es2016_or_lat_2791","Exponentiation cannot be performed on 'bigint' values unless the 'target' option is set to 'es2016' or later."),Cannot_find_module_0_Did_you_mean_to_set_the_moduleResolution_option_to_nodenext_or_to_add_aliases_to_the_paths_option:S(2792,1,"Cannot_find_module_0_Did_you_mean_to_set_the_moduleResolution_option_to_nodenext_or_to_add_aliases_t_2792","Cannot find module '{0}'. Did you mean to set the 'moduleResolution' option to 'nodenext', or to add aliases to the 'paths' option?"),The_call_would_have_succeeded_against_this_implementation_but_implementation_signatures_of_overloads_are_not_externally_visible:S(2793,1,"The_call_would_have_succeeded_against_this_implementation_but_implementation_signatures_of_overloads_2793","The call would have succeeded against this implementation, but implementation signatures of overloads are not externally visible."),Expected_0_arguments_but_got_1_Did_you_forget_to_include_void_in_your_type_argument_to_Promise:S(2794,1,"Expected_0_arguments_but_got_1_Did_you_forget_to_include_void_in_your_type_argument_to_Promise_2794","Expected {0} arguments, but got {1}. Did you forget to include 'void' in your type argument to 'Promise'?"),The_intrinsic_keyword_can_only_be_used_to_declare_compiler_provided_intrinsic_types:S(2795,1,"The_intrinsic_keyword_can_only_be_used_to_declare_compiler_provided_intrinsic_types_2795","The 'intrinsic' keyword can only be used to declare compiler provided intrinsic types."),It_is_likely_that_you_are_missing_a_comma_to_separate_these_two_template_expressions_They_form_a_tagged_template_expression_which_cannot_be_invoked:S(2796,1,"It_is_likely_that_you_are_missing_a_comma_to_separate_these_two_template_expressions_They_form_a_tag_2796","It is likely that you are missing a comma to separate these two template expressions. They form a tagged template expression which cannot be invoked."),A_mixin_class_that_extends_from_a_type_variable_containing_an_abstract_construct_signature_must_also_be_declared_abstract:S(2797,1,"A_mixin_class_that_extends_from_a_type_variable_containing_an_abstract_construct_signature_must_also_2797","A mixin class that extends from a type variable containing an abstract construct signature must also be declared 'abstract'."),The_declaration_was_marked_as_deprecated_here:S(2798,1,"The_declaration_was_marked_as_deprecated_here_2798","The declaration was marked as deprecated here."),Type_produces_a_tuple_type_that_is_too_large_to_represent:S(2799,1,"Type_produces_a_tuple_type_that_is_too_large_to_represent_2799","Type produces a tuple type that is too large to represent."),Expression_produces_a_tuple_type_that_is_too_large_to_represent:S(2800,1,"Expression_produces_a_tuple_type_that_is_too_large_to_represent_2800","Expression produces a tuple type that is too large to represent."),This_condition_will_always_return_true_since_this_0_is_always_defined:S(2801,1,"This_condition_will_always_return_true_since_this_0_is_always_defined_2801","This condition will always return true since this '{0}' is always defined."),Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es2015_or_higher:S(2802,1,"Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es201_2802","Type '{0}' can only be iterated through when using the '--downlevelIteration' flag or with a '--target' of 'es2015' or higher."),Cannot_assign_to_private_method_0_Private_methods_are_not_writable:S(2803,1,"Cannot_assign_to_private_method_0_Private_methods_are_not_writable_2803","Cannot assign to private method '{0}'. Private methods are not writable."),Duplicate_identifier_0_Static_and_instance_elements_cannot_share_the_same_private_name:S(2804,1,"Duplicate_identifier_0_Static_and_instance_elements_cannot_share_the_same_private_name_2804","Duplicate identifier '{0}'. Static and instance elements cannot share the same private name."),Private_accessor_was_defined_without_a_getter:S(2806,1,"Private_accessor_was_defined_without_a_getter_2806","Private accessor was defined without a getter."),This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_one_in_0_Consider_upgrading_your_version_of_0:S(2807,1,"This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_o_2807","This syntax requires an imported helper named '{1}' with {2} parameters, which is not compatible with the one in '{0}'. Consider upgrading your version of '{0}'."),A_get_accessor_must_be_at_least_as_accessible_as_the_setter:S(2808,1,"A_get_accessor_must_be_at_least_as_accessible_as_the_setter_2808","A get accessor must be at least as accessible as the setter"),Declaration_or_statement_expected_This_follows_a_block_of_statements_so_if_you_intended_to_write_a_destructuring_assignment_you_might_need_to_wrap_the_whole_assignment_in_parentheses:S(2809,1,"Declaration_or_statement_expected_This_follows_a_block_of_statements_so_if_you_intended_to_write_a_d_2809","Declaration or statement expected. This '=' follows a block of statements, so if you intended to write a destructuring assignment, you might need to wrap the whole assignment in parentheses."),Expected_1_argument_but_got_0_new_Promise_needs_a_JSDoc_hint_to_produce_a_resolve_that_can_be_called_without_arguments:S(2810,1,"Expected_1_argument_but_got_0_new_Promise_needs_a_JSDoc_hint_to_produce_a_resolve_that_can_be_called_2810","Expected 1 argument, but got 0. 'new Promise()' needs a JSDoc hint to produce a 'resolve' that can be called without arguments."),Initializer_for_property_0:S(2811,1,"Initializer_for_property_0_2811","Initializer for property '{0}'"),Property_0_does_not_exist_on_type_1_Try_changing_the_lib_compiler_option_to_include_dom:S(2812,1,"Property_0_does_not_exist_on_type_1_Try_changing_the_lib_compiler_option_to_include_dom_2812","Property '{0}' does not exist on type '{1}'. Try changing the 'lib' compiler option to include 'dom'."),Class_declaration_cannot_implement_overload_list_for_0:S(2813,1,"Class_declaration_cannot_implement_overload_list_for_0_2813","Class declaration cannot implement overload list for '{0}'."),Function_with_bodies_can_only_merge_with_classes_that_are_ambient:S(2814,1,"Function_with_bodies_can_only_merge_with_classes_that_are_ambient_2814","Function with bodies can only merge with classes that are ambient."),arguments_cannot_be_referenced_in_property_initializers:S(2815,1,"arguments_cannot_be_referenced_in_property_initializers_2815","'arguments' cannot be referenced in property initializers."),Cannot_use_this_in_a_static_property_initializer_of_a_decorated_class:S(2816,1,"Cannot_use_this_in_a_static_property_initializer_of_a_decorated_class_2816","Cannot use 'this' in a static property initializer of a decorated class."),Property_0_has_no_initializer_and_is_not_definitely_assigned_in_a_class_static_block:S(2817,1,"Property_0_has_no_initializer_and_is_not_definitely_assigned_in_a_class_static_block_2817","Property '{0}' has no initializer and is not definitely assigned in a class static block."),Duplicate_identifier_0_Compiler_reserves_name_1_when_emitting_super_references_in_static_initializers:S(2818,1,"Duplicate_identifier_0_Compiler_reserves_name_1_when_emitting_super_references_in_static_initializer_2818","Duplicate identifier '{0}'. Compiler reserves name '{1}' when emitting 'super' references in static initializers."),Namespace_name_cannot_be_0:S(2819,1,"Namespace_name_cannot_be_0_2819","Namespace name cannot be '{0}'."),Type_0_is_not_assignable_to_type_1_Did_you_mean_2:S(2820,1,"Type_0_is_not_assignable_to_type_1_Did_you_mean_2_2820","Type '{0}' is not assignable to type '{1}'. Did you mean '{2}'?"),Import_assertions_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve:S(2821,1,"Import_assertions_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve_2821","Import assertions are only supported when the '--module' option is set to 'esnext', 'nodenext', or 'preserve'."),Import_assertions_cannot_be_used_with_type_only_imports_or_exports:S(2822,1,"Import_assertions_cannot_be_used_with_type_only_imports_or_exports_2822","Import assertions cannot be used with type-only imports or exports."),Import_attributes_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve:S(2823,1,"Import_attributes_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve_2823","Import attributes are only supported when the '--module' option is set to 'esnext', 'nodenext', or 'preserve'."),Cannot_find_namespace_0_Did_you_mean_1:S(2833,1,"Cannot_find_namespace_0_Did_you_mean_1_2833","Cannot find namespace '{0}'. Did you mean '{1}'?"),Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_node16_or_nodenext_Consider_adding_an_extension_to_the_import_path:S(2834,1,"Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_n_2834","Relative import paths need explicit file extensions in ECMAScript imports when '--moduleResolution' is 'node16' or 'nodenext'. Consider adding an extension to the import path."),Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_node16_or_nodenext_Did_you_mean_0:S(2835,1,"Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_n_2835","Relative import paths need explicit file extensions in ECMAScript imports when '--moduleResolution' is 'node16' or 'nodenext'. Did you mean '{0}'?"),Import_assertions_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls:S(2836,1,"Import_assertions_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls_2836","Import assertions are not allowed on statements that compile to CommonJS 'require' calls."),Import_assertion_values_must_be_string_literal_expressions:S(2837,1,"Import_assertion_values_must_be_string_literal_expressions_2837","Import assertion values must be string literal expressions."),All_declarations_of_0_must_have_identical_constraints:S(2838,1,"All_declarations_of_0_must_have_identical_constraints_2838","All declarations of '{0}' must have identical constraints."),This_condition_will_always_return_0_since_JavaScript_compares_objects_by_reference_not_value:S(2839,1,"This_condition_will_always_return_0_since_JavaScript_compares_objects_by_reference_not_value_2839","This condition will always return '{0}' since JavaScript compares objects by reference, not value."),An_interface_cannot_extend_a_primitive_type_like_0_It_can_only_extend_other_named_object_types:S(2840,1,"An_interface_cannot_extend_a_primitive_type_like_0_It_can_only_extend_other_named_object_types_2840","An interface cannot extend a primitive type like '{0}'. It can only extend other named object types."),_0_is_an_unused_renaming_of_1_Did_you_intend_to_use_it_as_a_type_annotation:S(2842,1,"_0_is_an_unused_renaming_of_1_Did_you_intend_to_use_it_as_a_type_annotation_2842","'{0}' is an unused renaming of '{1}'. Did you intend to use it as a type annotation?"),We_can_only_write_a_type_for_0_by_adding_a_type_for_the_entire_parameter_here:S(2843,1,"We_can_only_write_a_type_for_0_by_adding_a_type_for_the_entire_parameter_here_2843","We can only write a type for '{0}' by adding a type for the entire parameter here."),Type_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor:S(2844,1,"Type_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor_2844","Type of instance member variable '{0}' cannot reference identifier '{1}' declared in the constructor."),This_condition_will_always_return_0:S(2845,1,"This_condition_will_always_return_0_2845","This condition will always return '{0}'."),A_declaration_file_cannot_be_imported_without_import_type_Did_you_mean_to_import_an_implementation_file_0_instead:S(2846,1,"A_declaration_file_cannot_be_imported_without_import_type_Did_you_mean_to_import_an_implementation_f_2846","A declaration file cannot be imported without 'import type'. Did you mean to import an implementation file '{0}' instead?"),The_right_hand_side_of_an_instanceof_expression_must_not_be_an_instantiation_expression:S(2848,1,"The_right_hand_side_of_an_instanceof_expression_must_not_be_an_instantiation_expression_2848","The right-hand side of an 'instanceof' expression must not be an instantiation expression."),Target_signature_provides_too_few_arguments_Expected_0_or_more_but_got_1:S(2849,1,"Target_signature_provides_too_few_arguments_Expected_0_or_more_but_got_1_2849","Target signature provides too few arguments. Expected {0} or more, but got {1}."),The_initializer_of_a_using_declaration_must_be_either_an_object_with_a_Symbol_dispose_method_or_be_null_or_undefined:S(2850,1,"The_initializer_of_a_using_declaration_must_be_either_an_object_with_a_Symbol_dispose_method_or_be_n_2850","The initializer of a 'using' declaration must be either an object with a '[Symbol.dispose]()' method, or be 'null' or 'undefined'."),The_initializer_of_an_await_using_declaration_must_be_either_an_object_with_a_Symbol_asyncDispose_or_Symbol_dispose_method_or_be_null_or_undefined:S(2851,1,"The_initializer_of_an_await_using_declaration_must_be_either_an_object_with_a_Symbol_asyncDispose_or_2851","The initializer of an 'await using' declaration must be either an object with a '[Symbol.asyncDispose]()' or '[Symbol.dispose]()' method, or be 'null' or 'undefined'."),await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules:S(2852,1,"await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules_2852","'await using' statements are only allowed within async functions and at the top levels of modules."),await_using_statements_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module:S(2853,1,"await_using_statements_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_th_2853","'await using' statements are only allowed at the top level of a file when that file is a module, but this file has no imports or exports. Consider adding an empty 'export {}' to make this file a module."),Top_level_await_using_statements_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher:S(2854,1,"Top_level_await_using_statements_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_sys_2854","Top-level 'await using' statements are only allowed when the 'module' option is set to 'es2022', 'esnext', 'system', 'node16', 'nodenext', or 'preserve', and the 'target' option is set to 'es2017' or higher."),Class_field_0_defined_by_the_parent_class_is_not_accessible_in_the_child_class_via_super:S(2855,1,"Class_field_0_defined_by_the_parent_class_is_not_accessible_in_the_child_class_via_super_2855","Class field '{0}' defined by the parent class is not accessible in the child class via super."),Import_attributes_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls:S(2856,1,"Import_attributes_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls_2856","Import attributes are not allowed on statements that compile to CommonJS 'require' calls."),Import_attributes_cannot_be_used_with_type_only_imports_or_exports:S(2857,1,"Import_attributes_cannot_be_used_with_type_only_imports_or_exports_2857","Import attributes cannot be used with type-only imports or exports."),Import_attribute_values_must_be_string_literal_expressions:S(2858,1,"Import_attribute_values_must_be_string_literal_expressions_2858","Import attribute values must be string literal expressions."),Excessive_complexity_comparing_types_0_and_1:S(2859,1,"Excessive_complexity_comparing_types_0_and_1_2859","Excessive complexity comparing types '{0}' and '{1}'."),The_left_hand_side_of_an_instanceof_expression_must_be_assignable_to_the_first_argument_of_the_right_hand_side_s_Symbol_hasInstance_method:S(2860,1,"The_left_hand_side_of_an_instanceof_expression_must_be_assignable_to_the_first_argument_of_the_right_2860","The left-hand side of an 'instanceof' expression must be assignable to the first argument of the right-hand side's '[Symbol.hasInstance]' method."),An_object_s_Symbol_hasInstance_method_must_return_a_boolean_value_for_it_to_be_used_on_the_right_hand_side_of_an_instanceof_expression:S(2861,1,"An_object_s_Symbol_hasInstance_method_must_return_a_boolean_value_for_it_to_be_used_on_the_right_han_2861","An object's '[Symbol.hasInstance]' method must return a boolean value for it to be used on the right-hand side of an 'instanceof' expression."),Type_0_is_generic_and_can_only_be_indexed_for_reading:S(2862,1,"Type_0_is_generic_and_can_only_be_indexed_for_reading_2862","Type '{0}' is generic and can only be indexed for reading."),A_class_cannot_extend_a_primitive_type_like_0_Classes_can_only_extend_constructable_values:S(2863,1,"A_class_cannot_extend_a_primitive_type_like_0_Classes_can_only_extend_constructable_values_2863","A class cannot extend a primitive type like '{0}'. Classes can only extend constructable values."),A_class_cannot_implement_a_primitive_type_like_0_It_can_only_implement_other_named_object_types:S(2864,1,"A_class_cannot_implement_a_primitive_type_like_0_It_can_only_implement_other_named_object_types_2864","A class cannot implement a primitive type like '{0}'. It can only implement other named object types."),Import_0_conflicts_with_local_value_so_must_be_declared_with_a_type_only_import_when_isolatedModules_is_enabled:S(2865,1,"Import_0_conflicts_with_local_value_so_must_be_declared_with_a_type_only_import_when_isolatedModules_2865","Import '{0}' conflicts with local value, so must be declared with a type-only import when 'isolatedModules' is enabled."),Import_0_conflicts_with_global_value_used_in_this_file_so_must_be_declared_with_a_type_only_import_when_isolatedModules_is_enabled:S(2866,1,"Import_0_conflicts_with_global_value_used_in_this_file_so_must_be_declared_with_a_type_only_import_w_2866","Import '{0}' conflicts with global value used in this file, so must be declared with a type-only import when 'isolatedModules' is enabled."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun:S(2867,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun_2867","Cannot find name '{0}'. Do you need to install type definitions for Bun? Try `npm i --save-dev @types/bun`."),Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun_and_then_add_bun_to_the_types_field_in_your_tsconfig:S(2868,1,"Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun_2868","Cannot find name '{0}'. Do you need to install type definitions for Bun? Try `npm i --save-dev @types/bun` and then add 'bun' to the types field in your tsconfig."),Import_declaration_0_is_using_private_name_1:S(4e3,1,"Import_declaration_0_is_using_private_name_1_4000","Import declaration '{0}' is using private name '{1}'."),Type_parameter_0_of_exported_class_has_or_is_using_private_name_1:S(4002,1,"Type_parameter_0_of_exported_class_has_or_is_using_private_name_1_4002","Type parameter '{0}' of exported class has or is using private name '{1}'."),Type_parameter_0_of_exported_interface_has_or_is_using_private_name_1:S(4004,1,"Type_parameter_0_of_exported_interface_has_or_is_using_private_name_1_4004","Type parameter '{0}' of exported interface has or is using private name '{1}'."),Type_parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1:S(4006,1,"Type_parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1_4006","Type parameter '{0}' of constructor signature from exported interface has or is using private name '{1}'."),Type_parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1:S(4008,1,"Type_parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1_4008","Type parameter '{0}' of call signature from exported interface has or is using private name '{1}'."),Type_parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1:S(4010,1,"Type_parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1_4010","Type parameter '{0}' of public static method from exported class has or is using private name '{1}'."),Type_parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1:S(4012,1,"Type_parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1_4012","Type parameter '{0}' of public method from exported class has or is using private name '{1}'."),Type_parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1:S(4014,1,"Type_parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1_4014","Type parameter '{0}' of method from exported interface has or is using private name '{1}'."),Type_parameter_0_of_exported_function_has_or_is_using_private_name_1:S(4016,1,"Type_parameter_0_of_exported_function_has_or_is_using_private_name_1_4016","Type parameter '{0}' of exported function has or is using private name '{1}'."),Implements_clause_of_exported_class_0_has_or_is_using_private_name_1:S(4019,1,"Implements_clause_of_exported_class_0_has_or_is_using_private_name_1_4019","Implements clause of exported class '{0}' has or is using private name '{1}'."),extends_clause_of_exported_class_0_has_or_is_using_private_name_1:S(4020,1,"extends_clause_of_exported_class_0_has_or_is_using_private_name_1_4020","'extends' clause of exported class '{0}' has or is using private name '{1}'."),extends_clause_of_exported_class_has_or_is_using_private_name_0:S(4021,1,"extends_clause_of_exported_class_has_or_is_using_private_name_0_4021","'extends' clause of exported class has or is using private name '{0}'."),extends_clause_of_exported_interface_0_has_or_is_using_private_name_1:S(4022,1,"extends_clause_of_exported_interface_0_has_or_is_using_private_name_1_4022","'extends' clause of exported interface '{0}' has or is using private name '{1}'."),Exported_variable_0_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4023,1,"Exported_variable_0_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named_4023","Exported variable '{0}' has or is using name '{1}' from external module {2} but cannot be named."),Exported_variable_0_has_or_is_using_name_1_from_private_module_2:S(4024,1,"Exported_variable_0_has_or_is_using_name_1_from_private_module_2_4024","Exported variable '{0}' has or is using name '{1}' from private module '{2}'."),Exported_variable_0_has_or_is_using_private_name_1:S(4025,1,"Exported_variable_0_has_or_is_using_private_name_1_4025","Exported variable '{0}' has or is using private name '{1}'."),Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4026,1,"Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot__4026","Public static property '{0}' of exported class has or is using name '{1}' from external module {2} but cannot be named."),Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:S(4027,1,"Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2_4027","Public static property '{0}' of exported class has or is using name '{1}' from private module '{2}'."),Public_static_property_0_of_exported_class_has_or_is_using_private_name_1:S(4028,1,"Public_static_property_0_of_exported_class_has_or_is_using_private_name_1_4028","Public static property '{0}' of exported class has or is using private name '{1}'."),Public_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4029,1,"Public_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_name_4029","Public property '{0}' of exported class has or is using name '{1}' from external module {2} but cannot be named."),Public_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:S(4030,1,"Public_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2_4030","Public property '{0}' of exported class has or is using name '{1}' from private module '{2}'."),Public_property_0_of_exported_class_has_or_is_using_private_name_1:S(4031,1,"Public_property_0_of_exported_class_has_or_is_using_private_name_1_4031","Public property '{0}' of exported class has or is using private name '{1}'."),Property_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:S(4032,1,"Property_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2_4032","Property '{0}' of exported interface has or is using name '{1}' from private module '{2}'."),Property_0_of_exported_interface_has_or_is_using_private_name_1:S(4033,1,"Property_0_of_exported_interface_has_or_is_using_private_name_1_4033","Property '{0}' of exported interface has or is using private name '{1}'."),Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:S(4034,1,"Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_name_1_from_private_mod_4034","Parameter type of public static setter '{0}' from exported class has or is using name '{1}' from private module '{2}'."),Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_private_name_1:S(4035,1,"Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_private_name_1_4035","Parameter type of public static setter '{0}' from exported class has or is using private name '{1}'."),Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:S(4036,1,"Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2_4036","Parameter type of public setter '{0}' from exported class has or is using name '{1}' from private module '{2}'."),Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_private_name_1:S(4037,1,"Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_private_name_1_4037","Parameter type of public setter '{0}' from exported class has or is using private name '{1}'."),Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4038,1,"Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_external_modul_4038","Return type of public static getter '{0}' from exported class has or is using name '{1}' from external module {2} but cannot be named."),Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:S(4039,1,"Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_4039","Return type of public static getter '{0}' from exported class has or is using name '{1}' from private module '{2}'."),Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_private_name_1:S(4040,1,"Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_private_name_1_4040","Return type of public static getter '{0}' from exported class has or is using private name '{1}'."),Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4041,1,"Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_4041","Return type of public getter '{0}' from exported class has or is using name '{1}' from external module {2} but cannot be named."),Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:S(4042,1,"Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2_4042","Return type of public getter '{0}' from exported class has or is using name '{1}' from private module '{2}'."),Return_type_of_public_getter_0_from_exported_class_has_or_is_using_private_name_1:S(4043,1,"Return_type_of_public_getter_0_from_exported_class_has_or_is_using_private_name_1_4043","Return type of public getter '{0}' from exported class has or is using private name '{1}'."),Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:S(4044,1,"Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_name_0_from_private_mod_4044","Return type of constructor signature from exported interface has or is using name '{0}' from private module '{1}'."),Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_0:S(4045,1,"Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_0_4045","Return type of constructor signature from exported interface has or is using private name '{0}'."),Return_type_of_call_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:S(4046,1,"Return_type_of_call_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1_4046","Return type of call signature from exported interface has or is using name '{0}' from private module '{1}'."),Return_type_of_call_signature_from_exported_interface_has_or_is_using_private_name_0:S(4047,1,"Return_type_of_call_signature_from_exported_interface_has_or_is_using_private_name_0_4047","Return type of call signature from exported interface has or is using private name '{0}'."),Return_type_of_index_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:S(4048,1,"Return_type_of_index_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1_4048","Return type of index signature from exported interface has or is using name '{0}' from private module '{1}'."),Return_type_of_index_signature_from_exported_interface_has_or_is_using_private_name_0:S(4049,1,"Return_type_of_index_signature_from_exported_interface_has_or_is_using_private_name_0_4049","Return type of index signature from exported interface has or is using private name '{0}'."),Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:S(4050,1,"Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_external_module__4050","Return type of public static method from exported class has or is using name '{0}' from external module {1} but cannot be named."),Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_private_module_1:S(4051,1,"Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_private_module_1_4051","Return type of public static method from exported class has or is using name '{0}' from private module '{1}'."),Return_type_of_public_static_method_from_exported_class_has_or_is_using_private_name_0:S(4052,1,"Return_type_of_public_static_method_from_exported_class_has_or_is_using_private_name_0_4052","Return type of public static method from exported class has or is using private name '{0}'."),Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:S(4053,1,"Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_c_4053","Return type of public method from exported class has or is using name '{0}' from external module {1} but cannot be named."),Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_private_module_1:S(4054,1,"Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_private_module_1_4054","Return type of public method from exported class has or is using name '{0}' from private module '{1}'."),Return_type_of_public_method_from_exported_class_has_or_is_using_private_name_0:S(4055,1,"Return_type_of_public_method_from_exported_class_has_or_is_using_private_name_0_4055","Return type of public method from exported class has or is using private name '{0}'."),Return_type_of_method_from_exported_interface_has_or_is_using_name_0_from_private_module_1:S(4056,1,"Return_type_of_method_from_exported_interface_has_or_is_using_name_0_from_private_module_1_4056","Return type of method from exported interface has or is using name '{0}' from private module '{1}'."),Return_type_of_method_from_exported_interface_has_or_is_using_private_name_0:S(4057,1,"Return_type_of_method_from_exported_interface_has_or_is_using_private_name_0_4057","Return type of method from exported interface has or is using private name '{0}'."),Return_type_of_exported_function_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:S(4058,1,"Return_type_of_exported_function_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named_4058","Return type of exported function has or is using name '{0}' from external module {1} but cannot be named."),Return_type_of_exported_function_has_or_is_using_name_0_from_private_module_1:S(4059,1,"Return_type_of_exported_function_has_or_is_using_name_0_from_private_module_1_4059","Return type of exported function has or is using name '{0}' from private module '{1}'."),Return_type_of_exported_function_has_or_is_using_private_name_0:S(4060,1,"Return_type_of_exported_function_has_or_is_using_private_name_0_4060","Return type of exported function has or is using private name '{0}'."),Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4061,1,"Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_can_4061","Parameter '{0}' of constructor from exported class has or is using name '{1}' from external module {2} but cannot be named."),Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_private_module_2:S(4062,1,"Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_private_module_2_4062","Parameter '{0}' of constructor from exported class has or is using name '{1}' from private module '{2}'."),Parameter_0_of_constructor_from_exported_class_has_or_is_using_private_name_1:S(4063,1,"Parameter_0_of_constructor_from_exported_class_has_or_is_using_private_name_1_4063","Parameter '{0}' of constructor from exported class has or is using private name '{1}'."),Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:S(4064,1,"Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_name_1_from_private_mod_4064","Parameter '{0}' of constructor signature from exported interface has or is using name '{1}' from private module '{2}'."),Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1:S(4065,1,"Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1_4065","Parameter '{0}' of constructor signature from exported interface has or is using private name '{1}'."),Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:S(4066,1,"Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2_4066","Parameter '{0}' of call signature from exported interface has or is using name '{1}' from private module '{2}'."),Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1:S(4067,1,"Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1_4067","Parameter '{0}' of call signature from exported interface has or is using private name '{1}'."),Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4068,1,"Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_external_module__4068","Parameter '{0}' of public static method from exported class has or is using name '{1}' from external module {2} but cannot be named."),Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_private_module_2:S(4069,1,"Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_private_module_2_4069","Parameter '{0}' of public static method from exported class has or is using name '{1}' from private module '{2}'."),Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1:S(4070,1,"Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1_4070","Parameter '{0}' of public static method from exported class has or is using private name '{1}'."),Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4071,1,"Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_c_4071","Parameter '{0}' of public method from exported class has or is using name '{1}' from external module {2} but cannot be named."),Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_private_module_2:S(4072,1,"Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_private_module_2_4072","Parameter '{0}' of public method from exported class has or is using name '{1}' from private module '{2}'."),Parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1:S(4073,1,"Parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1_4073","Parameter '{0}' of public method from exported class has or is using private name '{1}'."),Parameter_0_of_method_from_exported_interface_has_or_is_using_name_1_from_private_module_2:S(4074,1,"Parameter_0_of_method_from_exported_interface_has_or_is_using_name_1_from_private_module_2_4074","Parameter '{0}' of method from exported interface has or is using name '{1}' from private module '{2}'."),Parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1:S(4075,1,"Parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1_4075","Parameter '{0}' of method from exported interface has or is using private name '{1}'."),Parameter_0_of_exported_function_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4076,1,"Parameter_0_of_exported_function_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named_4076","Parameter '{0}' of exported function has or is using name '{1}' from external module {2} but cannot be named."),Parameter_0_of_exported_function_has_or_is_using_name_1_from_private_module_2:S(4077,1,"Parameter_0_of_exported_function_has_or_is_using_name_1_from_private_module_2_4077","Parameter '{0}' of exported function has or is using name '{1}' from private module '{2}'."),Parameter_0_of_exported_function_has_or_is_using_private_name_1:S(4078,1,"Parameter_0_of_exported_function_has_or_is_using_private_name_1_4078","Parameter '{0}' of exported function has or is using private name '{1}'."),Exported_type_alias_0_has_or_is_using_private_name_1:S(4081,1,"Exported_type_alias_0_has_or_is_using_private_name_1_4081","Exported type alias '{0}' has or is using private name '{1}'."),Default_export_of_the_module_has_or_is_using_private_name_0:S(4082,1,"Default_export_of_the_module_has_or_is_using_private_name_0_4082","Default export of the module has or is using private name '{0}'."),Type_parameter_0_of_exported_type_alias_has_or_is_using_private_name_1:S(4083,1,"Type_parameter_0_of_exported_type_alias_has_or_is_using_private_name_1_4083","Type parameter '{0}' of exported type alias has or is using private name '{1}'."),Exported_type_alias_0_has_or_is_using_private_name_1_from_module_2:S(4084,1,"Exported_type_alias_0_has_or_is_using_private_name_1_from_module_2_4084","Exported type alias '{0}' has or is using private name '{1}' from module {2}."),Extends_clause_for_inferred_type_0_has_or_is_using_private_name_1:S(4085,1,"Extends_clause_for_inferred_type_0_has_or_is_using_private_name_1_4085","Extends clause for inferred type '{0}' has or is using private name '{1}'."),Conflicting_definitions_for_0_found_at_1_and_2_Consider_installing_a_specific_version_of_this_library_to_resolve_the_conflict:S(4090,1,"Conflicting_definitions_for_0_found_at_1_and_2_Consider_installing_a_specific_version_of_this_librar_4090","Conflicting definitions for '{0}' found at '{1}' and '{2}'. Consider installing a specific version of this library to resolve the conflict."),Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:S(4091,1,"Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2_4091","Parameter '{0}' of index signature from exported interface has or is using name '{1}' from private module '{2}'."),Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_private_name_1:S(4092,1,"Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_private_name_1_4092","Parameter '{0}' of index signature from exported interface has or is using private name '{1}'."),Property_0_of_exported_class_expression_may_not_be_private_or_protected:S(4094,1,"Property_0_of_exported_class_expression_may_not_be_private_or_protected_4094","Property '{0}' of exported class expression may not be private or protected."),Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4095,1,"Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_4095","Public static method '{0}' of exported class has or is using name '{1}' from external module {2} but cannot be named."),Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:S(4096,1,"Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2_4096","Public static method '{0}' of exported class has or is using name '{1}' from private module '{2}'."),Public_static_method_0_of_exported_class_has_or_is_using_private_name_1:S(4097,1,"Public_static_method_0_of_exported_class_has_or_is_using_private_name_1_4097","Public static method '{0}' of exported class has or is using private name '{1}'."),Public_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4098,1,"Public_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named_4098","Public method '{0}' of exported class has or is using name '{1}' from external module {2} but cannot be named."),Public_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:S(4099,1,"Public_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2_4099","Public method '{0}' of exported class has or is using name '{1}' from private module '{2}'."),Public_method_0_of_exported_class_has_or_is_using_private_name_1:S(4100,1,"Public_method_0_of_exported_class_has_or_is_using_private_name_1_4100","Public method '{0}' of exported class has or is using private name '{1}'."),Method_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:S(4101,1,"Method_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2_4101","Method '{0}' of exported interface has or is using name '{1}' from private module '{2}'."),Method_0_of_exported_interface_has_or_is_using_private_name_1:S(4102,1,"Method_0_of_exported_interface_has_or_is_using_private_name_1_4102","Method '{0}' of exported interface has or is using private name '{1}'."),Type_parameter_0_of_exported_mapped_object_type_is_using_private_name_1:S(4103,1,"Type_parameter_0_of_exported_mapped_object_type_is_using_private_name_1_4103","Type parameter '{0}' of exported mapped object type is using private name '{1}'."),The_type_0_is_readonly_and_cannot_be_assigned_to_the_mutable_type_1:S(4104,1,"The_type_0_is_readonly_and_cannot_be_assigned_to_the_mutable_type_1_4104","The type '{0}' is 'readonly' and cannot be assigned to the mutable type '{1}'."),Private_or_protected_member_0_cannot_be_accessed_on_a_type_parameter:S(4105,1,"Private_or_protected_member_0_cannot_be_accessed_on_a_type_parameter_4105","Private or protected member '{0}' cannot be accessed on a type parameter."),Parameter_0_of_accessor_has_or_is_using_private_name_1:S(4106,1,"Parameter_0_of_accessor_has_or_is_using_private_name_1_4106","Parameter '{0}' of accessor has or is using private name '{1}'."),Parameter_0_of_accessor_has_or_is_using_name_1_from_private_module_2:S(4107,1,"Parameter_0_of_accessor_has_or_is_using_name_1_from_private_module_2_4107","Parameter '{0}' of accessor has or is using name '{1}' from private module '{2}'."),Parameter_0_of_accessor_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:S(4108,1,"Parameter_0_of_accessor_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named_4108","Parameter '{0}' of accessor has or is using name '{1}' from external module '{2}' but cannot be named."),Type_arguments_for_0_circularly_reference_themselves:S(4109,1,"Type_arguments_for_0_circularly_reference_themselves_4109","Type arguments for '{0}' circularly reference themselves."),Tuple_type_arguments_circularly_reference_themselves:S(4110,1,"Tuple_type_arguments_circularly_reference_themselves_4110","Tuple type arguments circularly reference themselves."),Property_0_comes_from_an_index_signature_so_it_must_be_accessed_with_0:S(4111,1,"Property_0_comes_from_an_index_signature_so_it_must_be_accessed_with_0_4111","Property '{0}' comes from an index signature, so it must be accessed with ['{0}']."),This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class:S(4112,1,"This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another__4112","This member cannot have an 'override' modifier because its containing class '{0}' does not extend another class."),This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0:S(4113,1,"This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_4113","This member cannot have an 'override' modifier because it is not declared in the base class '{0}'."),This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0:S(4114,1,"This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0_4114","This member must have an 'override' modifier because it overrides a member in the base class '{0}'."),This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0:S(4115,1,"This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0_4115","This parameter property must have an 'override' modifier because it overrides a member in base class '{0}'."),This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0:S(4116,1,"This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared__4116","This member must have an 'override' modifier because it overrides an abstract method that is declared in the base class '{0}'."),This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1:S(4117,1,"This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_Did_you__4117","This member cannot have an 'override' modifier because it is not declared in the base class '{0}'. Did you mean '{1}'?"),The_type_of_this_node_cannot_be_serialized_because_its_property_0_cannot_be_serialized:S(4118,1,"The_type_of_this_node_cannot_be_serialized_because_its_property_0_cannot_be_serialized_4118","The type of this node cannot be serialized because its property '{0}' cannot be serialized."),This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0:S(4119,1,"This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_4119","This member must have a JSDoc comment with an '@override' tag because it overrides a member in the base class '{0}'."),This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0:S(4120,1,"This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_4120","This parameter property must have a JSDoc comment with an '@override' tag because it overrides a member in the base class '{0}'."),This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class:S(4121,1,"This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_4121","This member cannot have a JSDoc comment with an '@override' tag because its containing class '{0}' does not extend another class."),This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0:S(4122,1,"This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base__4122","This member cannot have a JSDoc comment with an '@override' tag because it is not declared in the base class '{0}'."),This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1:S(4123,1,"This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base__4123","This member cannot have a JSDoc comment with an 'override' tag because it is not declared in the base class '{0}'. Did you mean '{1}'?"),Compiler_option_0_of_value_1_is_unstable_Use_nightly_TypeScript_to_silence_this_error_Try_updating_with_npm_install_D_typescript_next:S(4124,1,"Compiler_option_0_of_value_1_is_unstable_Use_nightly_TypeScript_to_silence_this_error_Try_updating_w_4124","Compiler option '{0}' of value '{1}' is unstable. Use nightly TypeScript to silence this error. Try updating with 'npm install -D typescript@next'."),Each_declaration_of_0_1_differs_in_its_value_where_2_was_expected_but_3_was_given:S(4125,1,"Each_declaration_of_0_1_differs_in_its_value_where_2_was_expected_but_3_was_given_4125","Each declaration of '{0}.{1}' differs in its value, where '{2}' was expected but '{3}' was given."),One_value_of_0_1_is_the_string_2_and_the_other_is_assumed_to_be_an_unknown_numeric_value:S(4126,1,"One_value_of_0_1_is_the_string_2_and_the_other_is_assumed_to_be_an_unknown_numeric_value_4126","One value of '{0}.{1}' is the string '{2}', and the other is assumed to be an unknown numeric value."),The_current_host_does_not_support_the_0_option:S(5001,1,"The_current_host_does_not_support_the_0_option_5001","The current host does not support the '{0}' option."),Cannot_find_the_common_subdirectory_path_for_the_input_files:S(5009,1,"Cannot_find_the_common_subdirectory_path_for_the_input_files_5009","Cannot find the common subdirectory path for the input files."),File_specification_cannot_end_in_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0:S(5010,1,"File_specification_cannot_end_in_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0_5010","File specification cannot end in a recursive directory wildcard ('**'): '{0}'."),Cannot_read_file_0_Colon_1:S(5012,1,"Cannot_read_file_0_Colon_1_5012","Cannot read file '{0}': {1}."),Failed_to_parse_file_0_Colon_1:S(5014,1,"Failed_to_parse_file_0_Colon_1_5014","Failed to parse file '{0}': {1}."),Unknown_compiler_option_0:S(5023,1,"Unknown_compiler_option_0_5023","Unknown compiler option '{0}'."),Compiler_option_0_requires_a_value_of_type_1:S(5024,1,"Compiler_option_0_requires_a_value_of_type_1_5024","Compiler option '{0}' requires a value of type {1}."),Unknown_compiler_option_0_Did_you_mean_1:S(5025,1,"Unknown_compiler_option_0_Did_you_mean_1_5025","Unknown compiler option '{0}'. Did you mean '{1}'?"),Could_not_write_file_0_Colon_1:S(5033,1,"Could_not_write_file_0_Colon_1_5033","Could not write file '{0}': {1}."),Option_project_cannot_be_mixed_with_source_files_on_a_command_line:S(5042,1,"Option_project_cannot_be_mixed_with_source_files_on_a_command_line_5042","Option 'project' cannot be mixed with source files on a command line."),Option_isolatedModules_can_only_be_used_when_either_option_module_is_provided_or_option_target_is_ES2015_or_higher:S(5047,1,"Option_isolatedModules_can_only_be_used_when_either_option_module_is_provided_or_option_target_is_ES_5047","Option 'isolatedModules' can only be used when either option '--module' is provided or option 'target' is 'ES2015' or higher."),Option_0_cannot_be_specified_when_option_target_is_ES3:S(5048,1,"Option_0_cannot_be_specified_when_option_target_is_ES3_5048","Option '{0}' cannot be specified when option 'target' is 'ES3'."),Option_0_can_only_be_used_when_either_option_inlineSourceMap_or_option_sourceMap_is_provided:S(5051,1,"Option_0_can_only_be_used_when_either_option_inlineSourceMap_or_option_sourceMap_is_provided_5051","Option '{0} can only be used when either option '--inlineSourceMap' or option '--sourceMap' is provided."),Option_0_cannot_be_specified_without_specifying_option_1:S(5052,1,"Option_0_cannot_be_specified_without_specifying_option_1_5052","Option '{0}' cannot be specified without specifying option '{1}'."),Option_0_cannot_be_specified_with_option_1:S(5053,1,"Option_0_cannot_be_specified_with_option_1_5053","Option '{0}' cannot be specified with option '{1}'."),A_tsconfig_json_file_is_already_defined_at_Colon_0:S(5054,1,"A_tsconfig_json_file_is_already_defined_at_Colon_0_5054","A 'tsconfig.json' file is already defined at: '{0}'."),Cannot_write_file_0_because_it_would_overwrite_input_file:S(5055,1,"Cannot_write_file_0_because_it_would_overwrite_input_file_5055","Cannot write file '{0}' because it would overwrite input file."),Cannot_write_file_0_because_it_would_be_overwritten_by_multiple_input_files:S(5056,1,"Cannot_write_file_0_because_it_would_be_overwritten_by_multiple_input_files_5056","Cannot write file '{0}' because it would be overwritten by multiple input files."),Cannot_find_a_tsconfig_json_file_at_the_specified_directory_Colon_0:S(5057,1,"Cannot_find_a_tsconfig_json_file_at_the_specified_directory_Colon_0_5057","Cannot find a tsconfig.json file at the specified directory: '{0}'."),The_specified_path_does_not_exist_Colon_0:S(5058,1,"The_specified_path_does_not_exist_Colon_0_5058","The specified path does not exist: '{0}'."),Invalid_value_for_reactNamespace_0_is_not_a_valid_identifier:S(5059,1,"Invalid_value_for_reactNamespace_0_is_not_a_valid_identifier_5059","Invalid value for '--reactNamespace'. '{0}' is not a valid identifier."),Pattern_0_can_have_at_most_one_Asterisk_character:S(5061,1,"Pattern_0_can_have_at_most_one_Asterisk_character_5061","Pattern '{0}' can have at most one '*' character."),Substitution_0_in_pattern_1_can_have_at_most_one_Asterisk_character:S(5062,1,"Substitution_0_in_pattern_1_can_have_at_most_one_Asterisk_character_5062","Substitution '{0}' in pattern '{1}' can have at most one '*' character."),Substitutions_for_pattern_0_should_be_an_array:S(5063,1,"Substitutions_for_pattern_0_should_be_an_array_5063","Substitutions for pattern '{0}' should be an array."),Substitution_0_for_pattern_1_has_incorrect_type_expected_string_got_2:S(5064,1,"Substitution_0_for_pattern_1_has_incorrect_type_expected_string_got_2_5064","Substitution '{0}' for pattern '{1}' has incorrect type, expected 'string', got '{2}'."),File_specification_cannot_contain_a_parent_directory_that_appears_after_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0:S(5065,1,"File_specification_cannot_contain_a_parent_directory_that_appears_after_a_recursive_directory_wildca_5065","File specification cannot contain a parent directory ('..') that appears after a recursive directory wildcard ('**'): '{0}'."),Substitutions_for_pattern_0_shouldn_t_be_an_empty_array:S(5066,1,"Substitutions_for_pattern_0_shouldn_t_be_an_empty_array_5066","Substitutions for pattern '{0}' shouldn't be an empty array."),Invalid_value_for_jsxFactory_0_is_not_a_valid_identifier_or_qualified_name:S(5067,1,"Invalid_value_for_jsxFactory_0_is_not_a_valid_identifier_or_qualified_name_5067","Invalid value for 'jsxFactory'. '{0}' is not a valid identifier or qualified-name."),Adding_a_tsconfig_json_file_will_help_organize_projects_that_contain_both_TypeScript_and_JavaScript_files_Learn_more_at_https_Colon_Slash_Slashaka_ms_Slashtsconfig:S(5068,1,"Adding_a_tsconfig_json_file_will_help_organize_projects_that_contain_both_TypeScript_and_JavaScript__5068","Adding a tsconfig.json file will help organize projects that contain both TypeScript and JavaScript files. Learn more at https://aka.ms/tsconfig."),Option_0_cannot_be_specified_without_specifying_option_1_or_option_2:S(5069,1,"Option_0_cannot_be_specified_without_specifying_option_1_or_option_2_5069","Option '{0}' cannot be specified without specifying option '{1}' or option '{2}'."),Option_resolveJsonModule_cannot_be_specified_when_moduleResolution_is_set_to_classic:S(5070,1,"Option_resolveJsonModule_cannot_be_specified_when_moduleResolution_is_set_to_classic_5070","Option '--resolveJsonModule' cannot be specified when 'moduleResolution' is set to 'classic'."),Option_resolveJsonModule_cannot_be_specified_when_module_is_set_to_none_system_or_umd:S(5071,1,"Option_resolveJsonModule_cannot_be_specified_when_module_is_set_to_none_system_or_umd_5071","Option '--resolveJsonModule' cannot be specified when 'module' is set to 'none', 'system', or 'umd'."),Unknown_build_option_0:S(5072,1,"Unknown_build_option_0_5072","Unknown build option '{0}'."),Build_option_0_requires_a_value_of_type_1:S(5073,1,"Build_option_0_requires_a_value_of_type_1_5073","Build option '{0}' requires a value of type {1}."),Option_incremental_can_only_be_specified_using_tsconfig_emitting_to_single_file_or_when_option_tsBuildInfoFile_is_specified:S(5074,1,"Option_incremental_can_only_be_specified_using_tsconfig_emitting_to_single_file_or_when_option_tsBui_5074","Option '--incremental' can only be specified using tsconfig, emitting to single file or when option '--tsBuildInfoFile' is specified."),_0_is_assignable_to_the_constraint_of_type_1_but_1_could_be_instantiated_with_a_different_subtype_of_constraint_2:S(5075,1,"_0_is_assignable_to_the_constraint_of_type_1_but_1_could_be_instantiated_with_a_different_subtype_of_5075","'{0}' is assignable to the constraint of type '{1}', but '{1}' could be instantiated with a different subtype of constraint '{2}'."),_0_and_1_operations_cannot_be_mixed_without_parentheses:S(5076,1,"_0_and_1_operations_cannot_be_mixed_without_parentheses_5076","'{0}' and '{1}' operations cannot be mixed without parentheses."),Unknown_build_option_0_Did_you_mean_1:S(5077,1,"Unknown_build_option_0_Did_you_mean_1_5077","Unknown build option '{0}'. Did you mean '{1}'?"),Unknown_watch_option_0:S(5078,1,"Unknown_watch_option_0_5078","Unknown watch option '{0}'."),Unknown_watch_option_0_Did_you_mean_1:S(5079,1,"Unknown_watch_option_0_Did_you_mean_1_5079","Unknown watch option '{0}'. Did you mean '{1}'?"),Watch_option_0_requires_a_value_of_type_1:S(5080,1,"Watch_option_0_requires_a_value_of_type_1_5080","Watch option '{0}' requires a value of type {1}."),Cannot_find_a_tsconfig_json_file_at_the_current_directory_Colon_0:S(5081,1,"Cannot_find_a_tsconfig_json_file_at_the_current_directory_Colon_0_5081","Cannot find a tsconfig.json file at the current directory: {0}."),_0_could_be_instantiated_with_an_arbitrary_type_which_could_be_unrelated_to_1:S(5082,1,"_0_could_be_instantiated_with_an_arbitrary_type_which_could_be_unrelated_to_1_5082","'{0}' could be instantiated with an arbitrary type which could be unrelated to '{1}'."),Cannot_read_file_0:S(5083,1,"Cannot_read_file_0_5083","Cannot read file '{0}'."),A_tuple_member_cannot_be_both_optional_and_rest:S(5085,1,"A_tuple_member_cannot_be_both_optional_and_rest_5085","A tuple member cannot be both optional and rest."),A_labeled_tuple_element_is_declared_as_optional_with_a_question_mark_after_the_name_and_before_the_colon_rather_than_after_the_type:S(5086,1,"A_labeled_tuple_element_is_declared_as_optional_with_a_question_mark_after_the_name_and_before_the_c_5086","A labeled tuple element is declared as optional with a question mark after the name and before the colon, rather than after the type."),A_labeled_tuple_element_is_declared_as_rest_with_a_before_the_name_rather_than_before_the_type:S(5087,1,"A_labeled_tuple_element_is_declared_as_rest_with_a_before_the_name_rather_than_before_the_type_5087","A labeled tuple element is declared as rest with a '...' before the name, rather than before the type."),The_inferred_type_of_0_references_a_type_with_a_cyclic_structure_which_cannot_be_trivially_serialized_A_type_annotation_is_necessary:S(5088,1,"The_inferred_type_of_0_references_a_type_with_a_cyclic_structure_which_cannot_be_trivially_serialize_5088","The inferred type of '{0}' references a type with a cyclic structure which cannot be trivially serialized. A type annotation is necessary."),Option_0_cannot_be_specified_when_option_jsx_is_1:S(5089,1,"Option_0_cannot_be_specified_when_option_jsx_is_1_5089","Option '{0}' cannot be specified when option 'jsx' is '{1}'."),Non_relative_paths_are_not_allowed_when_baseUrl_is_not_set_Did_you_forget_a_leading_Slash:S(5090,1,"Non_relative_paths_are_not_allowed_when_baseUrl_is_not_set_Did_you_forget_a_leading_Slash_5090","Non-relative paths are not allowed when 'baseUrl' is not set. Did you forget a leading './'?"),Option_preserveConstEnums_cannot_be_disabled_when_0_is_enabled:S(5091,1,"Option_preserveConstEnums_cannot_be_disabled_when_0_is_enabled_5091","Option 'preserveConstEnums' cannot be disabled when '{0}' is enabled."),The_root_value_of_a_0_file_must_be_an_object:S(5092,1,"The_root_value_of_a_0_file_must_be_an_object_5092","The root value of a '{0}' file must be an object."),Compiler_option_0_may_only_be_used_with_build:S(5093,1,"Compiler_option_0_may_only_be_used_with_build_5093","Compiler option '--{0}' may only be used with '--build'."),Compiler_option_0_may_not_be_used_with_build:S(5094,1,"Compiler_option_0_may_not_be_used_with_build_5094","Compiler option '--{0}' may not be used with '--build'."),Option_0_can_only_be_used_when_module_is_set_to_preserve_or_to_es2015_or_later:S(5095,1,"Option_0_can_only_be_used_when_module_is_set_to_preserve_or_to_es2015_or_later_5095","Option '{0}' can only be used when 'module' is set to 'preserve' or to 'es2015' or later."),Option_allowImportingTsExtensions_can_only_be_used_when_either_noEmit_or_emitDeclarationOnly_is_set:S(5096,1,"Option_allowImportingTsExtensions_can_only_be_used_when_either_noEmit_or_emitDeclarationOnly_is_set_5096","Option 'allowImportingTsExtensions' can only be used when either 'noEmit' or 'emitDeclarationOnly' is set."),An_import_path_can_only_end_with_a_0_extension_when_allowImportingTsExtensions_is_enabled:S(5097,1,"An_import_path_can_only_end_with_a_0_extension_when_allowImportingTsExtensions_is_enabled_5097","An import path can only end with a '{0}' extension when 'allowImportingTsExtensions' is enabled."),Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler:S(5098,1,"Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler_5098","Option '{0}' can only be used when 'moduleResolution' is set to 'node16', 'nodenext', or 'bundler'."),Option_0_is_deprecated_and_will_stop_functioning_in_TypeScript_1_Specify_compilerOption_ignoreDeprecations_Colon_2_to_silence_this_error:S(5101,1,"Option_0_is_deprecated_and_will_stop_functioning_in_TypeScript_1_Specify_compilerOption_ignoreDeprec_5101",`Option '{0}' is deprecated and will stop functioning in TypeScript {1}. Specify compilerOption '"ignoreDeprecations": "{2}"' to silence this error.`),Option_0_has_been_removed_Please_remove_it_from_your_configuration:S(5102,1,"Option_0_has_been_removed_Please_remove_it_from_your_configuration_5102","Option '{0}' has been removed. Please remove it from your configuration."),Invalid_value_for_ignoreDeprecations:S(5103,1,"Invalid_value_for_ignoreDeprecations_5103","Invalid value for '--ignoreDeprecations'."),Option_0_is_redundant_and_cannot_be_specified_with_option_1:S(5104,1,"Option_0_is_redundant_and_cannot_be_specified_with_option_1_5104","Option '{0}' is redundant and cannot be specified with option '{1}'."),Option_verbatimModuleSyntax_cannot_be_used_when_module_is_set_to_UMD_AMD_or_System:S(5105,1,"Option_verbatimModuleSyntax_cannot_be_used_when_module_is_set_to_UMD_AMD_or_System_5105","Option 'verbatimModuleSyntax' cannot be used when 'module' is set to 'UMD', 'AMD', or 'System'."),Use_0_instead:S(5106,3,"Use_0_instead_5106","Use '{0}' instead."),Option_0_1_is_deprecated_and_will_stop_functioning_in_TypeScript_2_Specify_compilerOption_ignoreDeprecations_Colon_3_to_silence_this_error:S(5107,1,"Option_0_1_is_deprecated_and_will_stop_functioning_in_TypeScript_2_Specify_compilerOption_ignoreDepr_5107",`Option '{0}={1}' is deprecated and will stop functioning in TypeScript {2}. Specify compilerOption '"ignoreDeprecations": "{3}"' to silence this error.`),Option_0_1_has_been_removed_Please_remove_it_from_your_configuration:S(5108,1,"Option_0_1_has_been_removed_Please_remove_it_from_your_configuration_5108","Option '{0}={1}' has been removed. Please remove it from your configuration."),Option_moduleResolution_must_be_set_to_0_or_left_unspecified_when_option_module_is_set_to_1:S(5109,1,"Option_moduleResolution_must_be_set_to_0_or_left_unspecified_when_option_module_is_set_to_1_5109","Option 'moduleResolution' must be set to '{0}' (or left unspecified) when option 'module' is set to '{1}'."),Option_module_must_be_set_to_0_when_option_moduleResolution_is_set_to_1:S(5110,1,"Option_module_must_be_set_to_0_when_option_moduleResolution_is_set_to_1_5110","Option 'module' must be set to '{0}' when option 'moduleResolution' is set to '{1}'."),Generates_a_sourcemap_for_each_corresponding_d_ts_file:S(6e3,3,"Generates_a_sourcemap_for_each_corresponding_d_ts_file_6000","Generates a sourcemap for each corresponding '.d.ts' file."),Concatenate_and_emit_output_to_single_file:S(6001,3,"Concatenate_and_emit_output_to_single_file_6001","Concatenate and emit output to single file."),Generates_corresponding_d_ts_file:S(6002,3,"Generates_corresponding_d_ts_file_6002","Generates corresponding '.d.ts' file."),Specify_the_location_where_debugger_should_locate_TypeScript_files_instead_of_source_locations:S(6004,3,"Specify_the_location_where_debugger_should_locate_TypeScript_files_instead_of_source_locations_6004","Specify the location where debugger should locate TypeScript files instead of source locations."),Watch_input_files:S(6005,3,"Watch_input_files_6005","Watch input files."),Redirect_output_structure_to_the_directory:S(6006,3,"Redirect_output_structure_to_the_directory_6006","Redirect output structure to the directory."),Do_not_erase_const_enum_declarations_in_generated_code:S(6007,3,"Do_not_erase_const_enum_declarations_in_generated_code_6007","Do not erase const enum declarations in generated code."),Do_not_emit_outputs_if_any_errors_were_reported:S(6008,3,"Do_not_emit_outputs_if_any_errors_were_reported_6008","Do not emit outputs if any errors were reported."),Do_not_emit_comments_to_output:S(6009,3,"Do_not_emit_comments_to_output_6009","Do not emit comments to output."),Do_not_emit_outputs:S(6010,3,"Do_not_emit_outputs_6010","Do not emit outputs."),Allow_default_imports_from_modules_with_no_default_export_This_does_not_affect_code_emit_just_typechecking:S(6011,3,"Allow_default_imports_from_modules_with_no_default_export_This_does_not_affect_code_emit_just_typech_6011","Allow default imports from modules with no default export. This does not affect code emit, just typechecking."),Skip_type_checking_of_declaration_files:S(6012,3,"Skip_type_checking_of_declaration_files_6012","Skip type checking of declaration files."),Do_not_resolve_the_real_path_of_symlinks:S(6013,3,"Do_not_resolve_the_real_path_of_symlinks_6013","Do not resolve the real path of symlinks."),Only_emit_d_ts_declaration_files:S(6014,3,"Only_emit_d_ts_declaration_files_6014","Only emit '.d.ts' declaration files."),Specify_ECMAScript_target_version:S(6015,3,"Specify_ECMAScript_target_version_6015","Specify ECMAScript target version."),Specify_module_code_generation:S(6016,3,"Specify_module_code_generation_6016","Specify module code generation."),Print_this_message:S(6017,3,"Print_this_message_6017","Print this message."),Print_the_compiler_s_version:S(6019,3,"Print_the_compiler_s_version_6019","Print the compiler's version."),Compile_the_project_given_the_path_to_its_configuration_file_or_to_a_folder_with_a_tsconfig_json:S(6020,3,"Compile_the_project_given_the_path_to_its_configuration_file_or_to_a_folder_with_a_tsconfig_json_6020","Compile the project given the path to its configuration file, or to a folder with a 'tsconfig.json'."),Syntax_Colon_0:S(6023,3,"Syntax_Colon_0_6023","Syntax: {0}"),options:S(6024,3,"options_6024","options"),file:S(6025,3,"file_6025","file"),Examples_Colon_0:S(6026,3,"Examples_Colon_0_6026","Examples: {0}"),Options_Colon:S(6027,3,"Options_Colon_6027","Options:"),Version_0:S(6029,3,"Version_0_6029","Version {0}"),Insert_command_line_options_and_files_from_a_file:S(6030,3,"Insert_command_line_options_and_files_from_a_file_6030","Insert command line options and files from a file."),Starting_compilation_in_watch_mode:S(6031,3,"Starting_compilation_in_watch_mode_6031","Starting compilation in watch mode..."),File_change_detected_Starting_incremental_compilation:S(6032,3,"File_change_detected_Starting_incremental_compilation_6032","File change detected. Starting incremental compilation..."),KIND:S(6034,3,"KIND_6034","KIND"),FILE:S(6035,3,"FILE_6035","FILE"),VERSION:S(6036,3,"VERSION_6036","VERSION"),LOCATION:S(6037,3,"LOCATION_6037","LOCATION"),DIRECTORY:S(6038,3,"DIRECTORY_6038","DIRECTORY"),STRATEGY:S(6039,3,"STRATEGY_6039","STRATEGY"),FILE_OR_DIRECTORY:S(6040,3,"FILE_OR_DIRECTORY_6040","FILE OR DIRECTORY"),Errors_Files:S(6041,3,"Errors_Files_6041","Errors Files"),Generates_corresponding_map_file:S(6043,3,"Generates_corresponding_map_file_6043","Generates corresponding '.map' file."),Compiler_option_0_expects_an_argument:S(6044,1,"Compiler_option_0_expects_an_argument_6044","Compiler option '{0}' expects an argument."),Unterminated_quoted_string_in_response_file_0:S(6045,1,"Unterminated_quoted_string_in_response_file_0_6045","Unterminated quoted string in response file '{0}'."),Argument_for_0_option_must_be_Colon_1:S(6046,1,"Argument_for_0_option_must_be_Colon_1_6046","Argument for '{0}' option must be: {1}."),Locale_must_be_of_the_form_language_or_language_territory_For_example_0_or_1:S(6048,1,"Locale_must_be_of_the_form_language_or_language_territory_For_example_0_or_1_6048","Locale must be of the form <language> or <language>-<territory>. For example '{0}' or '{1}'."),Unable_to_open_file_0:S(6050,1,"Unable_to_open_file_0_6050","Unable to open file '{0}'."),Corrupted_locale_file_0:S(6051,1,"Corrupted_locale_file_0_6051","Corrupted locale file {0}."),Raise_error_on_expressions_and_declarations_with_an_implied_any_type:S(6052,3,"Raise_error_on_expressions_and_declarations_with_an_implied_any_type_6052","Raise error on expressions and declarations with an implied 'any' type."),File_0_not_found:S(6053,1,"File_0_not_found_6053","File '{0}' not found."),File_0_has_an_unsupported_extension_The_only_supported_extensions_are_1:S(6054,1,"File_0_has_an_unsupported_extension_The_only_supported_extensions_are_1_6054","File '{0}' has an unsupported extension. The only supported extensions are {1}."),Suppress_noImplicitAny_errors_for_indexing_objects_lacking_index_signatures:S(6055,3,"Suppress_noImplicitAny_errors_for_indexing_objects_lacking_index_signatures_6055","Suppress noImplicitAny errors for indexing objects lacking index signatures."),Do_not_emit_declarations_for_code_that_has_an_internal_annotation:S(6056,3,"Do_not_emit_declarations_for_code_that_has_an_internal_annotation_6056","Do not emit declarations for code that has an '@internal' annotation."),Specify_the_root_directory_of_input_files_Use_to_control_the_output_directory_structure_with_outDir:S(6058,3,"Specify_the_root_directory_of_input_files_Use_to_control_the_output_directory_structure_with_outDir_6058","Specify the root directory of input files. Use to control the output directory structure with --outDir."),File_0_is_not_under_rootDir_1_rootDir_is_expected_to_contain_all_source_files:S(6059,1,"File_0_is_not_under_rootDir_1_rootDir_is_expected_to_contain_all_source_files_6059","File '{0}' is not under 'rootDir' '{1}'. 'rootDir' is expected to contain all source files."),Specify_the_end_of_line_sequence_to_be_used_when_emitting_files_Colon_CRLF_dos_or_LF_unix:S(6060,3,"Specify_the_end_of_line_sequence_to_be_used_when_emitting_files_Colon_CRLF_dos_or_LF_unix_6060","Specify the end of line sequence to be used when emitting files: 'CRLF' (dos) or 'LF' (unix)."),NEWLINE:S(6061,3,"NEWLINE_6061","NEWLINE"),Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_null_on_command_line:S(6064,1,"Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_null_on_command_line_6064","Option '{0}' can only be specified in 'tsconfig.json' file or set to 'null' on command line."),Enables_experimental_support_for_ES7_decorators:S(6065,3,"Enables_experimental_support_for_ES7_decorators_6065","Enables experimental support for ES7 decorators."),Enables_experimental_support_for_emitting_type_metadata_for_decorators:S(6066,3,"Enables_experimental_support_for_emitting_type_metadata_for_decorators_6066","Enables experimental support for emitting type metadata for decorators."),Initializes_a_TypeScript_project_and_creates_a_tsconfig_json_file:S(6070,3,"Initializes_a_TypeScript_project_and_creates_a_tsconfig_json_file_6070","Initializes a TypeScript project and creates a tsconfig.json file."),Successfully_created_a_tsconfig_json_file:S(6071,3,"Successfully_created_a_tsconfig_json_file_6071","Successfully created a tsconfig.json file."),Suppress_excess_property_checks_for_object_literals:S(6072,3,"Suppress_excess_property_checks_for_object_literals_6072","Suppress excess property checks for object literals."),Stylize_errors_and_messages_using_color_and_context_experimental:S(6073,3,"Stylize_errors_and_messages_using_color_and_context_experimental_6073","Stylize errors and messages using color and context (experimental)."),Do_not_report_errors_on_unused_labels:S(6074,3,"Do_not_report_errors_on_unused_labels_6074","Do not report errors on unused labels."),Report_error_when_not_all_code_paths_in_function_return_a_value:S(6075,3,"Report_error_when_not_all_code_paths_in_function_return_a_value_6075","Report error when not all code paths in function return a value."),Report_errors_for_fallthrough_cases_in_switch_statement:S(6076,3,"Report_errors_for_fallthrough_cases_in_switch_statement_6076","Report errors for fallthrough cases in switch statement."),Do_not_report_errors_on_unreachable_code:S(6077,3,"Do_not_report_errors_on_unreachable_code_6077","Do not report errors on unreachable code."),Disallow_inconsistently_cased_references_to_the_same_file:S(6078,3,"Disallow_inconsistently_cased_references_to_the_same_file_6078","Disallow inconsistently-cased references to the same file."),Specify_library_files_to_be_included_in_the_compilation:S(6079,3,"Specify_library_files_to_be_included_in_the_compilation_6079","Specify library files to be included in the compilation."),Specify_JSX_code_generation:S(6080,3,"Specify_JSX_code_generation_6080","Specify JSX code generation."),Only_amd_and_system_modules_are_supported_alongside_0:S(6082,1,"Only_amd_and_system_modules_are_supported_alongside_0_6082","Only 'amd' and 'system' modules are supported alongside --{0}."),Base_directory_to_resolve_non_absolute_module_names:S(6083,3,"Base_directory_to_resolve_non_absolute_module_names_6083","Base directory to resolve non-absolute module names."),Deprecated_Use_jsxFactory_instead_Specify_the_object_invoked_for_createElement_when_targeting_react_JSX_emit:S(6084,3,"Deprecated_Use_jsxFactory_instead_Specify_the_object_invoked_for_createElement_when_targeting_react__6084","[Deprecated] Use '--jsxFactory' instead. Specify the object invoked for createElement when targeting 'react' JSX emit"),Enable_tracing_of_the_name_resolution_process:S(6085,3,"Enable_tracing_of_the_name_resolution_process_6085","Enable tracing of the name resolution process."),Resolving_module_0_from_1:S(6086,3,"Resolving_module_0_from_1_6086","======== Resolving module '{0}' from '{1}'. ========"),Explicitly_specified_module_resolution_kind_Colon_0:S(6087,3,"Explicitly_specified_module_resolution_kind_Colon_0_6087","Explicitly specified module resolution kind: '{0}'."),Module_resolution_kind_is_not_specified_using_0:S(6088,3,"Module_resolution_kind_is_not_specified_using_0_6088","Module resolution kind is not specified, using '{0}'."),Module_name_0_was_successfully_resolved_to_1:S(6089,3,"Module_name_0_was_successfully_resolved_to_1_6089","======== Module name '{0}' was successfully resolved to '{1}'. ========"),Module_name_0_was_not_resolved:S(6090,3,"Module_name_0_was_not_resolved_6090","======== Module name '{0}' was not resolved. ========"),paths_option_is_specified_looking_for_a_pattern_to_match_module_name_0:S(6091,3,"paths_option_is_specified_looking_for_a_pattern_to_match_module_name_0_6091","'paths' option is specified, looking for a pattern to match module name '{0}'."),Module_name_0_matched_pattern_1:S(6092,3,"Module_name_0_matched_pattern_1_6092","Module name '{0}', matched pattern '{1}'."),Trying_substitution_0_candidate_module_location_Colon_1:S(6093,3,"Trying_substitution_0_candidate_module_location_Colon_1_6093","Trying substitution '{0}', candidate module location: '{1}'."),Resolving_module_name_0_relative_to_base_url_1_2:S(6094,3,"Resolving_module_name_0_relative_to_base_url_1_2_6094","Resolving module name '{0}' relative to base url '{1}' - '{2}'."),Loading_module_as_file_Slash_folder_candidate_module_location_0_target_file_types_Colon_1:S(6095,3,"Loading_module_as_file_Slash_folder_candidate_module_location_0_target_file_types_Colon_1_6095","Loading module as file / folder, candidate module location '{0}', target file types: {1}."),File_0_does_not_exist:S(6096,3,"File_0_does_not_exist_6096","File '{0}' does not exist."),File_0_exists_use_it_as_a_name_resolution_result:S(6097,3,"File_0_exists_use_it_as_a_name_resolution_result_6097","File '{0}' exists - use it as a name resolution result."),Loading_module_0_from_node_modules_folder_target_file_types_Colon_1:S(6098,3,"Loading_module_0_from_node_modules_folder_target_file_types_Colon_1_6098","Loading module '{0}' from 'node_modules' folder, target file types: {1}."),Found_package_json_at_0:S(6099,3,"Found_package_json_at_0_6099","Found 'package.json' at '{0}'."),package_json_does_not_have_a_0_field:S(6100,3,"package_json_does_not_have_a_0_field_6100","'package.json' does not have a '{0}' field."),package_json_has_0_field_1_that_references_2:S(6101,3,"package_json_has_0_field_1_that_references_2_6101","'package.json' has '{0}' field '{1}' that references '{2}'."),Allow_javascript_files_to_be_compiled:S(6102,3,"Allow_javascript_files_to_be_compiled_6102","Allow javascript files to be compiled."),Checking_if_0_is_the_longest_matching_prefix_for_1_2:S(6104,3,"Checking_if_0_is_the_longest_matching_prefix_for_1_2_6104","Checking if '{0}' is the longest matching prefix for '{1}' - '{2}'."),Expected_type_of_0_field_in_package_json_to_be_1_got_2:S(6105,3,"Expected_type_of_0_field_in_package_json_to_be_1_got_2_6105","Expected type of '{0}' field in 'package.json' to be '{1}', got '{2}'."),baseUrl_option_is_set_to_0_using_this_value_to_resolve_non_relative_module_name_1:S(6106,3,"baseUrl_option_is_set_to_0_using_this_value_to_resolve_non_relative_module_name_1_6106","'baseUrl' option is set to '{0}', using this value to resolve non-relative module name '{1}'."),rootDirs_option_is_set_using_it_to_resolve_relative_module_name_0:S(6107,3,"rootDirs_option_is_set_using_it_to_resolve_relative_module_name_0_6107","'rootDirs' option is set, using it to resolve relative module name '{0}'."),Longest_matching_prefix_for_0_is_1:S(6108,3,"Longest_matching_prefix_for_0_is_1_6108","Longest matching prefix for '{0}' is '{1}'."),Loading_0_from_the_root_dir_1_candidate_location_2:S(6109,3,"Loading_0_from_the_root_dir_1_candidate_location_2_6109","Loading '{0}' from the root dir '{1}', candidate location '{2}'."),Trying_other_entries_in_rootDirs:S(6110,3,"Trying_other_entries_in_rootDirs_6110","Trying other entries in 'rootDirs'."),Module_resolution_using_rootDirs_has_failed:S(6111,3,"Module_resolution_using_rootDirs_has_failed_6111","Module resolution using 'rootDirs' has failed."),Do_not_emit_use_strict_directives_in_module_output:S(6112,3,"Do_not_emit_use_strict_directives_in_module_output_6112","Do not emit 'use strict' directives in module output."),Enable_strict_null_checks:S(6113,3,"Enable_strict_null_checks_6113","Enable strict null checks."),Unknown_option_excludes_Did_you_mean_exclude:S(6114,1,"Unknown_option_excludes_Did_you_mean_exclude_6114","Unknown option 'excludes'. Did you mean 'exclude'?"),Raise_error_on_this_expressions_with_an_implied_any_type:S(6115,3,"Raise_error_on_this_expressions_with_an_implied_any_type_6115","Raise error on 'this' expressions with an implied 'any' type."),Resolving_type_reference_directive_0_containing_file_1_root_directory_2:S(6116,3,"Resolving_type_reference_directive_0_containing_file_1_root_directory_2_6116","======== Resolving type reference directive '{0}', containing file '{1}', root directory '{2}'. ========"),Type_reference_directive_0_was_successfully_resolved_to_1_primary_Colon_2:S(6119,3,"Type_reference_directive_0_was_successfully_resolved_to_1_primary_Colon_2_6119","======== Type reference directive '{0}' was successfully resolved to '{1}', primary: {2}. ========"),Type_reference_directive_0_was_not_resolved:S(6120,3,"Type_reference_directive_0_was_not_resolved_6120","======== Type reference directive '{0}' was not resolved. ========"),Resolving_with_primary_search_path_0:S(6121,3,"Resolving_with_primary_search_path_0_6121","Resolving with primary search path '{0}'."),Root_directory_cannot_be_determined_skipping_primary_search_paths:S(6122,3,"Root_directory_cannot_be_determined_skipping_primary_search_paths_6122","Root directory cannot be determined, skipping primary search paths."),Resolving_type_reference_directive_0_containing_file_1_root_directory_not_set:S(6123,3,"Resolving_type_reference_directive_0_containing_file_1_root_directory_not_set_6123","======== Resolving type reference directive '{0}', containing file '{1}', root directory not set. ========"),Type_declaration_files_to_be_included_in_compilation:S(6124,3,"Type_declaration_files_to_be_included_in_compilation_6124","Type declaration files to be included in compilation."),Looking_up_in_node_modules_folder_initial_location_0:S(6125,3,"Looking_up_in_node_modules_folder_initial_location_0_6125","Looking up in 'node_modules' folder, initial location '{0}'."),Containing_file_is_not_specified_and_root_directory_cannot_be_determined_skipping_lookup_in_node_modules_folder:S(6126,3,"Containing_file_is_not_specified_and_root_directory_cannot_be_determined_skipping_lookup_in_node_mod_6126","Containing file is not specified and root directory cannot be determined, skipping lookup in 'node_modules' folder."),Resolving_type_reference_directive_0_containing_file_not_set_root_directory_1:S(6127,3,"Resolving_type_reference_directive_0_containing_file_not_set_root_directory_1_6127","======== Resolving type reference directive '{0}', containing file not set, root directory '{1}'. ========"),Resolving_type_reference_directive_0_containing_file_not_set_root_directory_not_set:S(6128,3,"Resolving_type_reference_directive_0_containing_file_not_set_root_directory_not_set_6128","======== Resolving type reference directive '{0}', containing file not set, root directory not set. ========"),Resolving_real_path_for_0_result_1:S(6130,3,"Resolving_real_path_for_0_result_1_6130","Resolving real path for '{0}', result '{1}'."),Cannot_compile_modules_using_option_0_unless_the_module_flag_is_amd_or_system:S(6131,1,"Cannot_compile_modules_using_option_0_unless_the_module_flag_is_amd_or_system_6131","Cannot compile modules using option '{0}' unless the '--module' flag is 'amd' or 'system'."),File_name_0_has_a_1_extension_stripping_it:S(6132,3,"File_name_0_has_a_1_extension_stripping_it_6132","File name '{0}' has a '{1}' extension - stripping it."),_0_is_declared_but_its_value_is_never_read:S(6133,1,"_0_is_declared_but_its_value_is_never_read_6133","'{0}' is declared but its value is never read.",!0),Report_errors_on_unused_locals:S(6134,3,"Report_errors_on_unused_locals_6134","Report errors on unused locals."),Report_errors_on_unused_parameters:S(6135,3,"Report_errors_on_unused_parameters_6135","Report errors on unused parameters."),The_maximum_dependency_depth_to_search_under_node_modules_and_load_JavaScript_files:S(6136,3,"The_maximum_dependency_depth_to_search_under_node_modules_and_load_JavaScript_files_6136","The maximum dependency depth to search under node_modules and load JavaScript files."),Cannot_import_type_declaration_files_Consider_importing_0_instead_of_1:S(6137,1,"Cannot_import_type_declaration_files_Consider_importing_0_instead_of_1_6137","Cannot import type declaration files. Consider importing '{0}' instead of '{1}'."),Property_0_is_declared_but_its_value_is_never_read:S(6138,1,"Property_0_is_declared_but_its_value_is_never_read_6138","Property '{0}' is declared but its value is never read.",!0),Import_emit_helpers_from_tslib:S(6139,3,"Import_emit_helpers_from_tslib_6139","Import emit helpers from 'tslib'."),Auto_discovery_for_typings_is_enabled_in_project_0_Running_extra_resolution_pass_for_module_1_using_cache_location_2:S(6140,1,"Auto_discovery_for_typings_is_enabled_in_project_0_Running_extra_resolution_pass_for_module_1_using__6140","Auto discovery for typings is enabled in project '{0}'. Running extra resolution pass for module '{1}' using cache location '{2}'."),Parse_in_strict_mode_and_emit_use_strict_for_each_source_file:S(6141,3,"Parse_in_strict_mode_and_emit_use_strict_for_each_source_file_6141",'Parse in strict mode and emit "use strict" for each source file.'),Module_0_was_resolved_to_1_but_jsx_is_not_set:S(6142,1,"Module_0_was_resolved_to_1_but_jsx_is_not_set_6142","Module '{0}' was resolved to '{1}', but '--jsx' is not set."),Module_0_was_resolved_as_locally_declared_ambient_module_in_file_1:S(6144,3,"Module_0_was_resolved_as_locally_declared_ambient_module_in_file_1_6144","Module '{0}' was resolved as locally declared ambient module in file '{1}'."),Module_0_was_resolved_as_ambient_module_declared_in_1_since_this_file_was_not_modified:S(6145,3,"Module_0_was_resolved_as_ambient_module_declared_in_1_since_this_file_was_not_modified_6145","Module '{0}' was resolved as ambient module declared in '{1}' since this file was not modified."),Specify_the_JSX_factory_function_to_use_when_targeting_react_JSX_emit_e_g_React_createElement_or_h:S(6146,3,"Specify_the_JSX_factory_function_to_use_when_targeting_react_JSX_emit_e_g_React_createElement_or_h_6146","Specify the JSX factory function to use when targeting 'react' JSX emit, e.g. 'React.createElement' or 'h'."),Resolution_for_module_0_was_found_in_cache_from_location_1:S(6147,3,"Resolution_for_module_0_was_found_in_cache_from_location_1_6147","Resolution for module '{0}' was found in cache from location '{1}'."),Directory_0_does_not_exist_skipping_all_lookups_in_it:S(6148,3,"Directory_0_does_not_exist_skipping_all_lookups_in_it_6148","Directory '{0}' does not exist, skipping all lookups in it."),Show_diagnostic_information:S(6149,3,"Show_diagnostic_information_6149","Show diagnostic information."),Show_verbose_diagnostic_information:S(6150,3,"Show_verbose_diagnostic_information_6150","Show verbose diagnostic information."),Emit_a_single_file_with_source_maps_instead_of_having_a_separate_file:S(6151,3,"Emit_a_single_file_with_source_maps_instead_of_having_a_separate_file_6151","Emit a single file with source maps instead of having a separate file."),Emit_the_source_alongside_the_sourcemaps_within_a_single_file_requires_inlineSourceMap_or_sourceMap_to_be_set:S(6152,3,"Emit_the_source_alongside_the_sourcemaps_within_a_single_file_requires_inlineSourceMap_or_sourceMap__6152","Emit the source alongside the sourcemaps within a single file; requires '--inlineSourceMap' or '--sourceMap' to be set."),Transpile_each_file_as_a_separate_module_similar_to_ts_transpileModule:S(6153,3,"Transpile_each_file_as_a_separate_module_similar_to_ts_transpileModule_6153","Transpile each file as a separate module (similar to 'ts.transpileModule')."),Print_names_of_generated_files_part_of_the_compilation:S(6154,3,"Print_names_of_generated_files_part_of_the_compilation_6154","Print names of generated files part of the compilation."),Print_names_of_files_part_of_the_compilation:S(6155,3,"Print_names_of_files_part_of_the_compilation_6155","Print names of files part of the compilation."),The_locale_used_when_displaying_messages_to_the_user_e_g_en_us:S(6156,3,"The_locale_used_when_displaying_messages_to_the_user_e_g_en_us_6156","The locale used when displaying messages to the user (e.g. 'en-us')"),Do_not_generate_custom_helper_functions_like_extends_in_compiled_output:S(6157,3,"Do_not_generate_custom_helper_functions_like_extends_in_compiled_output_6157","Do not generate custom helper functions like '__extends' in compiled output."),Do_not_include_the_default_library_file_lib_d_ts:S(6158,3,"Do_not_include_the_default_library_file_lib_d_ts_6158","Do not include the default library file (lib.d.ts)."),Do_not_add_triple_slash_references_or_imported_modules_to_the_list_of_compiled_files:S(6159,3,"Do_not_add_triple_slash_references_or_imported_modules_to_the_list_of_compiled_files_6159","Do not add triple-slash references or imported modules to the list of compiled files."),Deprecated_Use_skipLibCheck_instead_Skip_type_checking_of_default_library_declaration_files:S(6160,3,"Deprecated_Use_skipLibCheck_instead_Skip_type_checking_of_default_library_declaration_files_6160","[Deprecated] Use '--skipLibCheck' instead. Skip type checking of default library declaration files."),List_of_folders_to_include_type_definitions_from:S(6161,3,"List_of_folders_to_include_type_definitions_from_6161","List of folders to include type definitions from."),Disable_size_limitations_on_JavaScript_projects:S(6162,3,"Disable_size_limitations_on_JavaScript_projects_6162","Disable size limitations on JavaScript projects."),The_character_set_of_the_input_files:S(6163,3,"The_character_set_of_the_input_files_6163","The character set of the input files."),Skipping_module_0_that_looks_like_an_absolute_URI_target_file_types_Colon_1:S(6164,3,"Skipping_module_0_that_looks_like_an_absolute_URI_target_file_types_Colon_1_6164","Skipping module '{0}' that looks like an absolute URI, target file types: {1}."),Do_not_truncate_error_messages:S(6165,3,"Do_not_truncate_error_messages_6165","Do not truncate error messages."),Output_directory_for_generated_declaration_files:S(6166,3,"Output_directory_for_generated_declaration_files_6166","Output directory for generated declaration files."),A_series_of_entries_which_re_map_imports_to_lookup_locations_relative_to_the_baseUrl:S(6167,3,"A_series_of_entries_which_re_map_imports_to_lookup_locations_relative_to_the_baseUrl_6167","A series of entries which re-map imports to lookup locations relative to the 'baseUrl'."),List_of_root_folders_whose_combined_content_represents_the_structure_of_the_project_at_runtime:S(6168,3,"List_of_root_folders_whose_combined_content_represents_the_structure_of_the_project_at_runtime_6168","List of root folders whose combined content represents the structure of the project at runtime."),Show_all_compiler_options:S(6169,3,"Show_all_compiler_options_6169","Show all compiler options."),Deprecated_Use_outFile_instead_Concatenate_and_emit_output_to_single_file:S(6170,3,"Deprecated_Use_outFile_instead_Concatenate_and_emit_output_to_single_file_6170","[Deprecated] Use '--outFile' instead. Concatenate and emit output to single file"),Command_line_Options:S(6171,3,"Command_line_Options_6171","Command-line Options"),Provide_full_support_for_iterables_in_for_of_spread_and_destructuring_when_targeting_ES5_or_ES3:S(6179,3,"Provide_full_support_for_iterables_in_for_of_spread_and_destructuring_when_targeting_ES5_or_ES3_6179","Provide full support for iterables in 'for-of', spread, and destructuring when targeting 'ES5' or 'ES3'."),Enable_all_strict_type_checking_options:S(6180,3,"Enable_all_strict_type_checking_options_6180","Enable all strict type-checking options."),Scoped_package_detected_looking_in_0:S(6182,3,"Scoped_package_detected_looking_in_0_6182","Scoped package detected, looking in '{0}'"),Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:S(6183,3,"Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_6183","Reusing resolution of module '{0}' from '{1}' of old program, it was successfully resolved to '{2}'."),Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:S(6184,3,"Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package__6184","Reusing resolution of module '{0}' from '{1}' of old program, it was successfully resolved to '{2}' with Package ID '{3}'."),Enable_strict_checking_of_function_types:S(6186,3,"Enable_strict_checking_of_function_types_6186","Enable strict checking of function types."),Enable_strict_checking_of_property_initialization_in_classes:S(6187,3,"Enable_strict_checking_of_property_initialization_in_classes_6187","Enable strict checking of property initialization in classes."),Numeric_separators_are_not_allowed_here:S(6188,1,"Numeric_separators_are_not_allowed_here_6188","Numeric separators are not allowed here."),Multiple_consecutive_numeric_separators_are_not_permitted:S(6189,1,"Multiple_consecutive_numeric_separators_are_not_permitted_6189","Multiple consecutive numeric separators are not permitted."),Whether_to_keep_outdated_console_output_in_watch_mode_instead_of_clearing_the_screen:S(6191,3,"Whether_to_keep_outdated_console_output_in_watch_mode_instead_of_clearing_the_screen_6191","Whether to keep outdated console output in watch mode instead of clearing the screen."),All_imports_in_import_declaration_are_unused:S(6192,1,"All_imports_in_import_declaration_are_unused_6192","All imports in import declaration are unused.",!0),Found_1_error_Watching_for_file_changes:S(6193,3,"Found_1_error_Watching_for_file_changes_6193","Found 1 error. Watching for file changes."),Found_0_errors_Watching_for_file_changes:S(6194,3,"Found_0_errors_Watching_for_file_changes_6194","Found {0} errors. Watching for file changes."),Resolve_keyof_to_string_valued_property_names_only_no_numbers_or_symbols:S(6195,3,"Resolve_keyof_to_string_valued_property_names_only_no_numbers_or_symbols_6195","Resolve 'keyof' to string valued property names only (no numbers or symbols)."),_0_is_declared_but_never_used:S(6196,1,"_0_is_declared_but_never_used_6196","'{0}' is declared but never used.",!0),Include_modules_imported_with_json_extension:S(6197,3,"Include_modules_imported_with_json_extension_6197","Include modules imported with '.json' extension"),All_destructured_elements_are_unused:S(6198,1,"All_destructured_elements_are_unused_6198","All destructured elements are unused.",!0),All_variables_are_unused:S(6199,1,"All_variables_are_unused_6199","All variables are unused.",!0),Definitions_of_the_following_identifiers_conflict_with_those_in_another_file_Colon_0:S(6200,1,"Definitions_of_the_following_identifiers_conflict_with_those_in_another_file_Colon_0_6200","Definitions of the following identifiers conflict with those in another file: {0}"),Conflicts_are_in_this_file:S(6201,3,"Conflicts_are_in_this_file_6201","Conflicts are in this file."),Project_references_may_not_form_a_circular_graph_Cycle_detected_Colon_0:S(6202,1,"Project_references_may_not_form_a_circular_graph_Cycle_detected_Colon_0_6202","Project references may not form a circular graph. Cycle detected: {0}"),_0_was_also_declared_here:S(6203,3,"_0_was_also_declared_here_6203","'{0}' was also declared here."),and_here:S(6204,3,"and_here_6204","and here."),All_type_parameters_are_unused:S(6205,1,"All_type_parameters_are_unused_6205","All type parameters are unused."),package_json_has_a_typesVersions_field_with_version_specific_path_mappings:S(6206,3,"package_json_has_a_typesVersions_field_with_version_specific_path_mappings_6206","'package.json' has a 'typesVersions' field with version-specific path mappings."),package_json_does_not_have_a_typesVersions_entry_that_matches_version_0:S(6207,3,"package_json_does_not_have_a_typesVersions_entry_that_matches_version_0_6207","'package.json' does not have a 'typesVersions' entry that matches version '{0}'."),package_json_has_a_typesVersions_entry_0_that_matches_compiler_version_1_looking_for_a_pattern_to_match_module_name_2:S(6208,3,"package_json_has_a_typesVersions_entry_0_that_matches_compiler_version_1_looking_for_a_pattern_to_ma_6208","'package.json' has a 'typesVersions' entry '{0}' that matches compiler version '{1}', looking for a pattern to match module name '{2}'."),package_json_has_a_typesVersions_entry_0_that_is_not_a_valid_semver_range:S(6209,3,"package_json_has_a_typesVersions_entry_0_that_is_not_a_valid_semver_range_6209","'package.json' has a 'typesVersions' entry '{0}' that is not a valid semver range."),An_argument_for_0_was_not_provided:S(6210,3,"An_argument_for_0_was_not_provided_6210","An argument for '{0}' was not provided."),An_argument_matching_this_binding_pattern_was_not_provided:S(6211,3,"An_argument_matching_this_binding_pattern_was_not_provided_6211","An argument matching this binding pattern was not provided."),Did_you_mean_to_call_this_expression:S(6212,3,"Did_you_mean_to_call_this_expression_6212","Did you mean to call this expression?"),Did_you_mean_to_use_new_with_this_expression:S(6213,3,"Did_you_mean_to_use_new_with_this_expression_6213","Did you mean to use 'new' with this expression?"),Enable_strict_bind_call_and_apply_methods_on_functions:S(6214,3,"Enable_strict_bind_call_and_apply_methods_on_functions_6214","Enable strict 'bind', 'call', and 'apply' methods on functions."),Using_compiler_options_of_project_reference_redirect_0:S(6215,3,"Using_compiler_options_of_project_reference_redirect_0_6215","Using compiler options of project reference redirect '{0}'."),Found_1_error:S(6216,3,"Found_1_error_6216","Found 1 error."),Found_0_errors:S(6217,3,"Found_0_errors_6217","Found {0} errors."),Module_name_0_was_successfully_resolved_to_1_with_Package_ID_2:S(6218,3,"Module_name_0_was_successfully_resolved_to_1_with_Package_ID_2_6218","======== Module name '{0}' was successfully resolved to '{1}' with Package ID '{2}'. ========"),Type_reference_directive_0_was_successfully_resolved_to_1_with_Package_ID_2_primary_Colon_3:S(6219,3,"Type_reference_directive_0_was_successfully_resolved_to_1_with_Package_ID_2_primary_Colon_3_6219","======== Type reference directive '{0}' was successfully resolved to '{1}' with Package ID '{2}', primary: {3}. ========"),package_json_had_a_falsy_0_field:S(6220,3,"package_json_had_a_falsy_0_field_6220","'package.json' had a falsy '{0}' field."),Disable_use_of_source_files_instead_of_declaration_files_from_referenced_projects:S(6221,3,"Disable_use_of_source_files_instead_of_declaration_files_from_referenced_projects_6221","Disable use of source files instead of declaration files from referenced projects."),Emit_class_fields_with_Define_instead_of_Set:S(6222,3,"Emit_class_fields_with_Define_instead_of_Set_6222","Emit class fields with Define instead of Set."),Generates_a_CPU_profile:S(6223,3,"Generates_a_CPU_profile_6223","Generates a CPU profile."),Disable_solution_searching_for_this_project:S(6224,3,"Disable_solution_searching_for_this_project_6224","Disable solution searching for this project."),Specify_strategy_for_watching_file_Colon_FixedPollingInterval_default_PriorityPollingInterval_DynamicPriorityPolling_FixedChunkSizePolling_UseFsEvents_UseFsEventsOnParentDirectory:S(6225,3,"Specify_strategy_for_watching_file_Colon_FixedPollingInterval_default_PriorityPollingInterval_Dynami_6225","Specify strategy for watching file: 'FixedPollingInterval' (default), 'PriorityPollingInterval', 'DynamicPriorityPolling', 'FixedChunkSizePolling', 'UseFsEvents', 'UseFsEventsOnParentDirectory'."),Specify_strategy_for_watching_directory_on_platforms_that_don_t_support_recursive_watching_natively_Colon_UseFsEvents_default_FixedPollingInterval_DynamicPriorityPolling_FixedChunkSizePolling:S(6226,3,"Specify_strategy_for_watching_directory_on_platforms_that_don_t_support_recursive_watching_natively__6226","Specify strategy for watching directory on platforms that don't support recursive watching natively: 'UseFsEvents' (default), 'FixedPollingInterval', 'DynamicPriorityPolling', 'FixedChunkSizePolling'."),Specify_strategy_for_creating_a_polling_watch_when_it_fails_to_create_using_file_system_events_Colon_FixedInterval_default_PriorityInterval_DynamicPriority_FixedChunkSize:S(6227,3,"Specify_strategy_for_creating_a_polling_watch_when_it_fails_to_create_using_file_system_events_Colon_6227","Specify strategy for creating a polling watch when it fails to create using file system events: 'FixedInterval' (default), 'PriorityInterval', 'DynamicPriority', 'FixedChunkSize'."),Tag_0_expects_at_least_1_arguments_but_the_JSX_factory_2_provides_at_most_3:S(6229,1,"Tag_0_expects_at_least_1_arguments_but_the_JSX_factory_2_provides_at_most_3_6229","Tag '{0}' expects at least '{1}' arguments, but the JSX factory '{2}' provides at most '{3}'."),Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_false_or_null_on_command_line:S(6230,1,"Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_false_or_null_on_command_line_6230","Option '{0}' can only be specified in 'tsconfig.json' file or set to 'false' or 'null' on command line."),Could_not_resolve_the_path_0_with_the_extensions_Colon_1:S(6231,1,"Could_not_resolve_the_path_0_with_the_extensions_Colon_1_6231","Could not resolve the path '{0}' with the extensions: {1}."),Declaration_augments_declaration_in_another_file_This_cannot_be_serialized:S(6232,1,"Declaration_augments_declaration_in_another_file_This_cannot_be_serialized_6232","Declaration augments declaration in another file. This cannot be serialized."),This_is_the_declaration_being_augmented_Consider_moving_the_augmenting_declaration_into_the_same_file:S(6233,1,"This_is_the_declaration_being_augmented_Consider_moving_the_augmenting_declaration_into_the_same_fil_6233","This is the declaration being augmented. Consider moving the augmenting declaration into the same file."),This_expression_is_not_callable_because_it_is_a_get_accessor_Did_you_mean_to_use_it_without:S(6234,1,"This_expression_is_not_callable_because_it_is_a_get_accessor_Did_you_mean_to_use_it_without_6234","This expression is not callable because it is a 'get' accessor. Did you mean to use it without '()'?"),Disable_loading_referenced_projects:S(6235,3,"Disable_loading_referenced_projects_6235","Disable loading referenced projects."),Arguments_for_the_rest_parameter_0_were_not_provided:S(6236,1,"Arguments_for_the_rest_parameter_0_were_not_provided_6236","Arguments for the rest parameter '{0}' were not provided."),Generates_an_event_trace_and_a_list_of_types:S(6237,3,"Generates_an_event_trace_and_a_list_of_types_6237","Generates an event trace and a list of types."),Specify_the_module_specifier_to_be_used_to_import_the_jsx_and_jsxs_factory_functions_from_eg_react:S(6238,1,"Specify_the_module_specifier_to_be_used_to_import_the_jsx_and_jsxs_factory_functions_from_eg_react_6238","Specify the module specifier to be used to import the 'jsx' and 'jsxs' factory functions from. eg, react"),File_0_exists_according_to_earlier_cached_lookups:S(6239,3,"File_0_exists_according_to_earlier_cached_lookups_6239","File '{0}' exists according to earlier cached lookups."),File_0_does_not_exist_according_to_earlier_cached_lookups:S(6240,3,"File_0_does_not_exist_according_to_earlier_cached_lookups_6240","File '{0}' does not exist according to earlier cached lookups."),Resolution_for_type_reference_directive_0_was_found_in_cache_from_location_1:S(6241,3,"Resolution_for_type_reference_directive_0_was_found_in_cache_from_location_1_6241","Resolution for type reference directive '{0}' was found in cache from location '{1}'."),Resolving_type_reference_directive_0_containing_file_1:S(6242,3,"Resolving_type_reference_directive_0_containing_file_1_6242","======== Resolving type reference directive '{0}', containing file '{1}'. ========"),Interpret_optional_property_types_as_written_rather_than_adding_undefined:S(6243,3,"Interpret_optional_property_types_as_written_rather_than_adding_undefined_6243","Interpret optional property types as written, rather than adding 'undefined'."),Modules:S(6244,3,"Modules_6244","Modules"),File_Management:S(6245,3,"File_Management_6245","File Management"),Emit:S(6246,3,"Emit_6246","Emit"),JavaScript_Support:S(6247,3,"JavaScript_Support_6247","JavaScript Support"),Type_Checking:S(6248,3,"Type_Checking_6248","Type Checking"),Editor_Support:S(6249,3,"Editor_Support_6249","Editor Support"),Watch_and_Build_Modes:S(6250,3,"Watch_and_Build_Modes_6250","Watch and Build Modes"),Compiler_Diagnostics:S(6251,3,"Compiler_Diagnostics_6251","Compiler Diagnostics"),Interop_Constraints:S(6252,3,"Interop_Constraints_6252","Interop Constraints"),Backwards_Compatibility:S(6253,3,"Backwards_Compatibility_6253","Backwards Compatibility"),Language_and_Environment:S(6254,3,"Language_and_Environment_6254","Language and Environment"),Projects:S(6255,3,"Projects_6255","Projects"),Output_Formatting:S(6256,3,"Output_Formatting_6256","Output Formatting"),Completeness:S(6257,3,"Completeness_6257","Completeness"),_0_should_be_set_inside_the_compilerOptions_object_of_the_config_json_file:S(6258,1,"_0_should_be_set_inside_the_compilerOptions_object_of_the_config_json_file_6258","'{0}' should be set inside the 'compilerOptions' object of the config json file"),Found_1_error_in_0:S(6259,3,"Found_1_error_in_0_6259","Found 1 error in {0}"),Found_0_errors_in_the_same_file_starting_at_Colon_1:S(6260,3,"Found_0_errors_in_the_same_file_starting_at_Colon_1_6260","Found {0} errors in the same file, starting at: {1}"),Found_0_errors_in_1_files:S(6261,3,"Found_0_errors_in_1_files_6261","Found {0} errors in {1} files."),File_name_0_has_a_1_extension_looking_up_2_instead:S(6262,3,"File_name_0_has_a_1_extension_looking_up_2_instead_6262","File name '{0}' has a '{1}' extension - looking up '{2}' instead."),Module_0_was_resolved_to_1_but_allowArbitraryExtensions_is_not_set:S(6263,1,"Module_0_was_resolved_to_1_but_allowArbitraryExtensions_is_not_set_6263","Module '{0}' was resolved to '{1}', but '--allowArbitraryExtensions' is not set."),Enable_importing_files_with_any_extension_provided_a_declaration_file_is_present:S(6264,3,"Enable_importing_files_with_any_extension_provided_a_declaration_file_is_present_6264","Enable importing files with any extension, provided a declaration file is present."),Resolving_type_reference_directive_for_program_that_specifies_custom_typeRoots_skipping_lookup_in_node_modules_folder:S(6265,3,"Resolving_type_reference_directive_for_program_that_specifies_custom_typeRoots_skipping_lookup_in_no_6265","Resolving type reference directive for program that specifies custom typeRoots, skipping lookup in 'node_modules' folder."),Option_0_can_only_be_specified_on_command_line:S(6266,1,"Option_0_can_only_be_specified_on_command_line_6266","Option '{0}' can only be specified on command line."),Directory_0_has_no_containing_package_json_scope_Imports_will_not_resolve:S(6270,3,"Directory_0_has_no_containing_package_json_scope_Imports_will_not_resolve_6270","Directory '{0}' has no containing package.json scope. Imports will not resolve."),Import_specifier_0_does_not_exist_in_package_json_scope_at_path_1:S(6271,3,"Import_specifier_0_does_not_exist_in_package_json_scope_at_path_1_6271","Import specifier '{0}' does not exist in package.json scope at path '{1}'."),Invalid_import_specifier_0_has_no_possible_resolutions:S(6272,3,"Invalid_import_specifier_0_has_no_possible_resolutions_6272","Invalid import specifier '{0}' has no possible resolutions."),package_json_scope_0_has_no_imports_defined:S(6273,3,"package_json_scope_0_has_no_imports_defined_6273","package.json scope '{0}' has no imports defined."),package_json_scope_0_explicitly_maps_specifier_1_to_null:S(6274,3,"package_json_scope_0_explicitly_maps_specifier_1_to_null_6274","package.json scope '{0}' explicitly maps specifier '{1}' to null."),package_json_scope_0_has_invalid_type_for_target_of_specifier_1:S(6275,3,"package_json_scope_0_has_invalid_type_for_target_of_specifier_1_6275","package.json scope '{0}' has invalid type for target of specifier '{1}'"),Export_specifier_0_does_not_exist_in_package_json_scope_at_path_1:S(6276,3,"Export_specifier_0_does_not_exist_in_package_json_scope_at_path_1_6276","Export specifier '{0}' does not exist in package.json scope at path '{1}'."),Resolution_of_non_relative_name_failed_trying_with_modern_Node_resolution_features_disabled_to_see_if_npm_library_needs_configuration_update:S(6277,3,"Resolution_of_non_relative_name_failed_trying_with_modern_Node_resolution_features_disabled_to_see_i_6277","Resolution of non-relative name failed; trying with modern Node resolution features disabled to see if npm library needs configuration update."),There_are_types_at_0_but_this_result_could_not_be_resolved_when_respecting_package_json_exports_The_1_library_may_need_to_update_its_package_json_or_typings:S(6278,3,"There_are_types_at_0_but_this_result_could_not_be_resolved_when_respecting_package_json_exports_The__6278",`There are types at '{0}', but this result could not be resolved when respecting package.json "exports". The '{1}' library may need to update its package.json or typings.`),Resolution_of_non_relative_name_failed_trying_with_moduleResolution_bundler_to_see_if_project_may_need_configuration_update:S(6279,3,"Resolution_of_non_relative_name_failed_trying_with_moduleResolution_bundler_to_see_if_project_may_ne_6279","Resolution of non-relative name failed; trying with '--moduleResolution bundler' to see if project may need configuration update."),There_are_types_at_0_but_this_result_could_not_be_resolved_under_your_current_moduleResolution_setting_Consider_updating_to_node16_nodenext_or_bundler:S(6280,3,"There_are_types_at_0_but_this_result_could_not_be_resolved_under_your_current_moduleResolution_setti_6280","There are types at '{0}', but this result could not be resolved under your current 'moduleResolution' setting. Consider updating to 'node16', 'nodenext', or 'bundler'."),Enable_project_compilation:S(6302,3,"Enable_project_compilation_6302","Enable project compilation"),Composite_projects_may_not_disable_declaration_emit:S(6304,1,"Composite_projects_may_not_disable_declaration_emit_6304","Composite projects may not disable declaration emit."),Output_file_0_has_not_been_built_from_source_file_1:S(6305,1,"Output_file_0_has_not_been_built_from_source_file_1_6305","Output file '{0}' has not been built from source file '{1}'."),Referenced_project_0_must_have_setting_composite_Colon_true:S(6306,1,"Referenced_project_0_must_have_setting_composite_Colon_true_6306",`Referenced project '{0}' must have setting "composite": true.`),File_0_is_not_listed_within_the_file_list_of_project_1_Projects_must_list_all_files_or_use_an_include_pattern:S(6307,1,"File_0_is_not_listed_within_the_file_list_of_project_1_Projects_must_list_all_files_or_use_an_includ_6307","File '{0}' is not listed within the file list of project '{1}'. Projects must list all files or use an 'include' pattern."),Cannot_prepend_project_0_because_it_does_not_have_outFile_set:S(6308,1,"Cannot_prepend_project_0_because_it_does_not_have_outFile_set_6308","Cannot prepend project '{0}' because it does not have 'outFile' set"),Output_file_0_from_project_1_does_not_exist:S(6309,1,"Output_file_0_from_project_1_does_not_exist_6309","Output file '{0}' from project '{1}' does not exist"),Referenced_project_0_may_not_disable_emit:S(6310,1,"Referenced_project_0_may_not_disable_emit_6310","Referenced project '{0}' may not disable emit."),Project_0_is_out_of_date_because_output_1_is_older_than_input_2:S(6350,3,"Project_0_is_out_of_date_because_output_1_is_older_than_input_2_6350","Project '{0}' is out of date because output '{1}' is older than input '{2}'"),Project_0_is_up_to_date_because_newest_input_1_is_older_than_output_2:S(6351,3,"Project_0_is_up_to_date_because_newest_input_1_is_older_than_output_2_6351","Project '{0}' is up to date because newest input '{1}' is older than output '{2}'"),Project_0_is_out_of_date_because_output_file_1_does_not_exist:S(6352,3,"Project_0_is_out_of_date_because_output_file_1_does_not_exist_6352","Project '{0}' is out of date because output file '{1}' does not exist"),Project_0_is_out_of_date_because_its_dependency_1_is_out_of_date:S(6353,3,"Project_0_is_out_of_date_because_its_dependency_1_is_out_of_date_6353","Project '{0}' is out of date because its dependency '{1}' is out of date"),Project_0_is_up_to_date_with_d_ts_files_from_its_dependencies:S(6354,3,"Project_0_is_up_to_date_with_d_ts_files_from_its_dependencies_6354","Project '{0}' is up to date with .d.ts files from its dependencies"),Projects_in_this_build_Colon_0:S(6355,3,"Projects_in_this_build_Colon_0_6355","Projects in this build: {0}"),A_non_dry_build_would_delete_the_following_files_Colon_0:S(6356,3,"A_non_dry_build_would_delete_the_following_files_Colon_0_6356","A non-dry build would delete the following files: {0}"),A_non_dry_build_would_build_project_0:S(6357,3,"A_non_dry_build_would_build_project_0_6357","A non-dry build would build project '{0}'"),Building_project_0:S(6358,3,"Building_project_0_6358","Building project '{0}'..."),Updating_output_timestamps_of_project_0:S(6359,3,"Updating_output_timestamps_of_project_0_6359","Updating output timestamps of project '{0}'..."),Project_0_is_up_to_date:S(6361,3,"Project_0_is_up_to_date_6361","Project '{0}' is up to date"),Skipping_build_of_project_0_because_its_dependency_1_has_errors:S(6362,3,"Skipping_build_of_project_0_because_its_dependency_1_has_errors_6362","Skipping build of project '{0}' because its dependency '{1}' has errors"),Project_0_can_t_be_built_because_its_dependency_1_has_errors:S(6363,3,"Project_0_can_t_be_built_because_its_dependency_1_has_errors_6363","Project '{0}' can't be built because its dependency '{1}' has errors"),Build_one_or_more_projects_and_their_dependencies_if_out_of_date:S(6364,3,"Build_one_or_more_projects_and_their_dependencies_if_out_of_date_6364","Build one or more projects and their dependencies, if out of date"),Delete_the_outputs_of_all_projects:S(6365,3,"Delete_the_outputs_of_all_projects_6365","Delete the outputs of all projects."),Show_what_would_be_built_or_deleted_if_specified_with_clean:S(6367,3,"Show_what_would_be_built_or_deleted_if_specified_with_clean_6367","Show what would be built (or deleted, if specified with '--clean')"),Option_build_must_be_the_first_command_line_argument:S(6369,1,"Option_build_must_be_the_first_command_line_argument_6369","Option '--build' must be the first command line argument."),Options_0_and_1_cannot_be_combined:S(6370,1,"Options_0_and_1_cannot_be_combined_6370","Options '{0}' and '{1}' cannot be combined."),Updating_unchanged_output_timestamps_of_project_0:S(6371,3,"Updating_unchanged_output_timestamps_of_project_0_6371","Updating unchanged output timestamps of project '{0}'..."),Project_0_is_out_of_date_because_output_of_its_dependency_1_has_changed:S(6372,3,"Project_0_is_out_of_date_because_output_of_its_dependency_1_has_changed_6372","Project '{0}' is out of date because output of its dependency '{1}' has changed"),Updating_output_of_project_0:S(6373,3,"Updating_output_of_project_0_6373","Updating output of project '{0}'..."),A_non_dry_build_would_update_timestamps_for_output_of_project_0:S(6374,3,"A_non_dry_build_would_update_timestamps_for_output_of_project_0_6374","A non-dry build would update timestamps for output of project '{0}'"),A_non_dry_build_would_update_output_of_project_0:S(6375,3,"A_non_dry_build_would_update_output_of_project_0_6375","A non-dry build would update output of project '{0}'"),Cannot_update_output_of_project_0_because_there_was_error_reading_file_1:S(6376,3,"Cannot_update_output_of_project_0_because_there_was_error_reading_file_1_6376","Cannot update output of project '{0}' because there was error reading file '{1}'"),Cannot_write_file_0_because_it_will_overwrite_tsbuildinfo_file_generated_by_referenced_project_1:S(6377,1,"Cannot_write_file_0_because_it_will_overwrite_tsbuildinfo_file_generated_by_referenced_project_1_6377","Cannot write file '{0}' because it will overwrite '.tsbuildinfo' file generated by referenced project '{1}'"),Composite_projects_may_not_disable_incremental_compilation:S(6379,1,"Composite_projects_may_not_disable_incremental_compilation_6379","Composite projects may not disable incremental compilation."),Specify_file_to_store_incremental_compilation_information:S(6380,3,"Specify_file_to_store_incremental_compilation_information_6380","Specify file to store incremental compilation information"),Project_0_is_out_of_date_because_output_for_it_was_generated_with_version_1_that_differs_with_current_version_2:S(6381,3,"Project_0_is_out_of_date_because_output_for_it_was_generated_with_version_1_that_differs_with_curren_6381","Project '{0}' is out of date because output for it was generated with version '{1}' that differs with current version '{2}'"),Skipping_build_of_project_0_because_its_dependency_1_was_not_built:S(6382,3,"Skipping_build_of_project_0_because_its_dependency_1_was_not_built_6382","Skipping build of project '{0}' because its dependency '{1}' was not built"),Project_0_can_t_be_built_because_its_dependency_1_was_not_built:S(6383,3,"Project_0_can_t_be_built_because_its_dependency_1_was_not_built_6383","Project '{0}' can't be built because its dependency '{1}' was not built"),Have_recompiles_in_incremental_and_watch_assume_that_changes_within_a_file_will_only_affect_files_directly_depending_on_it:S(6384,3,"Have_recompiles_in_incremental_and_watch_assume_that_changes_within_a_file_will_only_affect_files_di_6384","Have recompiles in '--incremental' and '--watch' assume that changes within a file will only affect files directly depending on it."),_0_is_deprecated:S(6385,2,"_0_is_deprecated_6385","'{0}' is deprecated.",void 0,void 0,!0),Performance_timings_for_diagnostics_or_extendedDiagnostics_are_not_available_in_this_session_A_native_implementation_of_the_Web_Performance_API_could_not_be_found:S(6386,3,"Performance_timings_for_diagnostics_or_extendedDiagnostics_are_not_available_in_this_session_A_nativ_6386","Performance timings for '--diagnostics' or '--extendedDiagnostics' are not available in this session. A native implementation of the Web Performance API could not be found."),The_signature_0_of_1_is_deprecated:S(6387,2,"The_signature_0_of_1_is_deprecated_6387","The signature '{0}' of '{1}' is deprecated.",void 0,void 0,!0),Project_0_is_being_forcibly_rebuilt:S(6388,3,"Project_0_is_being_forcibly_rebuilt_6388","Project '{0}' is being forcibly rebuilt"),Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved:S(6389,3,"Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved_6389","Reusing resolution of module '{0}' from '{1}' of old program, it was not resolved."),Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2:S(6390,3,"Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved__6390","Reusing resolution of type reference directive '{0}' from '{1}' of old program, it was successfully resolved to '{2}'."),Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:S(6391,3,"Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved__6391","Reusing resolution of type reference directive '{0}' from '{1}' of old program, it was successfully resolved to '{2}' with Package ID '{3}'."),Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_not_resolved:S(6392,3,"Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_not_resolved_6392","Reusing resolution of type reference directive '{0}' from '{1}' of old program, it was not resolved."),Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_3:S(6393,3,"Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_6393","Reusing resolution of module '{0}' from '{1}' found in cache from location '{2}', it was successfully resolved to '{3}'."),Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_3_with_Package_ID_4:S(6394,3,"Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_6394","Reusing resolution of module '{0}' from '{1}' found in cache from location '{2}', it was successfully resolved to '{3}' with Package ID '{4}'."),Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_not_resolved:S(6395,3,"Reusing_resolution_of_module_0_from_1_found_in_cache_from_location_2_it_was_not_resolved_6395","Reusing resolution of module '{0}' from '{1}' found in cache from location '{2}', it was not resolved."),Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_3:S(6396,3,"Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_succes_6396","Reusing resolution of type reference directive '{0}' from '{1}' found in cache from location '{2}', it was successfully resolved to '{3}'."),Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_successfully_resolved_to_3_with_Package_ID_4:S(6397,3,"Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_succes_6397","Reusing resolution of type reference directive '{0}' from '{1}' found in cache from location '{2}', it was successfully resolved to '{3}' with Package ID '{4}'."),Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_not_resolved:S(6398,3,"Reusing_resolution_of_type_reference_directive_0_from_1_found_in_cache_from_location_2_it_was_not_re_6398","Reusing resolution of type reference directive '{0}' from '{1}' found in cache from location '{2}', it was not resolved."),Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_some_of_the_changes_were_not_emitted:S(6399,3,"Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_some_of_the_changes_were_not_emitte_6399","Project '{0}' is out of date because buildinfo file '{1}' indicates that some of the changes were not emitted"),Project_0_is_up_to_date_but_needs_to_update_timestamps_of_output_files_that_are_older_than_input_files:S(6400,3,"Project_0_is_up_to_date_but_needs_to_update_timestamps_of_output_files_that_are_older_than_input_fil_6400","Project '{0}' is up to date but needs to update timestamps of output files that are older than input files"),Project_0_is_out_of_date_because_there_was_error_reading_file_1:S(6401,3,"Project_0_is_out_of_date_because_there_was_error_reading_file_1_6401","Project '{0}' is out of date because there was error reading file '{1}'"),Resolving_in_0_mode_with_conditions_1:S(6402,3,"Resolving_in_0_mode_with_conditions_1_6402","Resolving in {0} mode with conditions {1}."),Matched_0_condition_1:S(6403,3,"Matched_0_condition_1_6403","Matched '{0}' condition '{1}'."),Using_0_subpath_1_with_target_2:S(6404,3,"Using_0_subpath_1_with_target_2_6404","Using '{0}' subpath '{1}' with target '{2}'."),Saw_non_matching_condition_0:S(6405,3,"Saw_non_matching_condition_0_6405","Saw non-matching condition '{0}'."),Project_0_is_out_of_date_because_buildinfo_file_1_indicates_there_is_change_in_compilerOptions:S(6406,3,"Project_0_is_out_of_date_because_buildinfo_file_1_indicates_there_is_change_in_compilerOptions_6406","Project '{0}' is out of date because buildinfo file '{1}' indicates there is change in compilerOptions"),Allow_imports_to_include_TypeScript_file_extensions_Requires_moduleResolution_bundler_and_either_noEmit_or_emitDeclarationOnly_to_be_set:S(6407,3,"Allow_imports_to_include_TypeScript_file_extensions_Requires_moduleResolution_bundler_and_either_noE_6407","Allow imports to include TypeScript file extensions. Requires '--moduleResolution bundler' and either '--noEmit' or '--emitDeclarationOnly' to be set."),Use_the_package_json_exports_field_when_resolving_package_imports:S(6408,3,"Use_the_package_json_exports_field_when_resolving_package_imports_6408","Use the package.json 'exports' field when resolving package imports."),Use_the_package_json_imports_field_when_resolving_imports:S(6409,3,"Use_the_package_json_imports_field_when_resolving_imports_6409","Use the package.json 'imports' field when resolving imports."),Conditions_to_set_in_addition_to_the_resolver_specific_defaults_when_resolving_imports:S(6410,3,"Conditions_to_set_in_addition_to_the_resolver_specific_defaults_when_resolving_imports_6410","Conditions to set in addition to the resolver-specific defaults when resolving imports."),true_when_moduleResolution_is_node16_nodenext_or_bundler_otherwise_false:S(6411,3,"true_when_moduleResolution_is_node16_nodenext_or_bundler_otherwise_false_6411","`true` when 'moduleResolution' is 'node16', 'nodenext', or 'bundler'; otherwise `false`."),Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_file_2_was_root_file_of_compilation_but_not_any_more:S(6412,3,"Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_file_2_was_root_file_of_compilation_6412","Project '{0}' is out of date because buildinfo file '{1}' indicates that file '{2}' was root file of compilation but not any more."),Entering_conditional_exports:S(6413,3,"Entering_conditional_exports_6413","Entering conditional exports."),Resolved_under_condition_0:S(6414,3,"Resolved_under_condition_0_6414","Resolved under condition '{0}'."),Failed_to_resolve_under_condition_0:S(6415,3,"Failed_to_resolve_under_condition_0_6415","Failed to resolve under condition '{0}'."),Exiting_conditional_exports:S(6416,3,"Exiting_conditional_exports_6416","Exiting conditional exports."),Searching_all_ancestor_node_modules_directories_for_preferred_extensions_Colon_0:S(6417,3,"Searching_all_ancestor_node_modules_directories_for_preferred_extensions_Colon_0_6417","Searching all ancestor node_modules directories for preferred extensions: {0}."),Searching_all_ancestor_node_modules_directories_for_fallback_extensions_Colon_0:S(6418,3,"Searching_all_ancestor_node_modules_directories_for_fallback_extensions_Colon_0_6418","Searching all ancestor node_modules directories for fallback extensions: {0}."),The_expected_type_comes_from_property_0_which_is_declared_here_on_type_1:S(6500,3,"The_expected_type_comes_from_property_0_which_is_declared_here_on_type_1_6500","The expected type comes from property '{0}' which is declared here on type '{1}'"),The_expected_type_comes_from_this_index_signature:S(6501,3,"The_expected_type_comes_from_this_index_signature_6501","The expected type comes from this index signature."),The_expected_type_comes_from_the_return_type_of_this_signature:S(6502,3,"The_expected_type_comes_from_the_return_type_of_this_signature_6502","The expected type comes from the return type of this signature."),Print_names_of_files_that_are_part_of_the_compilation_and_then_stop_processing:S(6503,3,"Print_names_of_files_that_are_part_of_the_compilation_and_then_stop_processing_6503","Print names of files that are part of the compilation and then stop processing."),File_0_is_a_JavaScript_file_Did_you_mean_to_enable_the_allowJs_option:S(6504,1,"File_0_is_a_JavaScript_file_Did_you_mean_to_enable_the_allowJs_option_6504","File '{0}' is a JavaScript file. Did you mean to enable the 'allowJs' option?"),Print_names_of_files_and_the_reason_they_are_part_of_the_compilation:S(6505,3,"Print_names_of_files_and_the_reason_they_are_part_of_the_compilation_6505","Print names of files and the reason they are part of the compilation."),Consider_adding_a_declare_modifier_to_this_class:S(6506,3,"Consider_adding_a_declare_modifier_to_this_class_6506","Consider adding a 'declare' modifier to this class."),Allow_JavaScript_files_to_be_a_part_of_your_program_Use_the_checkJS_option_to_get_errors_from_these_files:S(6600,3,"Allow_JavaScript_files_to_be_a_part_of_your_program_Use_the_checkJS_option_to_get_errors_from_these__6600","Allow JavaScript files to be a part of your program. Use the 'checkJS' option to get errors from these files."),Allow_import_x_from_y_when_a_module_doesn_t_have_a_default_export:S(6601,3,"Allow_import_x_from_y_when_a_module_doesn_t_have_a_default_export_6601","Allow 'import x from y' when a module doesn't have a default export."),Allow_accessing_UMD_globals_from_modules:S(6602,3,"Allow_accessing_UMD_globals_from_modules_6602","Allow accessing UMD globals from modules."),Disable_error_reporting_for_unreachable_code:S(6603,3,"Disable_error_reporting_for_unreachable_code_6603","Disable error reporting for unreachable code."),Disable_error_reporting_for_unused_labels:S(6604,3,"Disable_error_reporting_for_unused_labels_6604","Disable error reporting for unused labels."),Ensure_use_strict_is_always_emitted:S(6605,3,"Ensure_use_strict_is_always_emitted_6605","Ensure 'use strict' is always emitted."),Have_recompiles_in_projects_that_use_incremental_and_watch_mode_assume_that_changes_within_a_file_will_only_affect_files_directly_depending_on_it:S(6606,3,"Have_recompiles_in_projects_that_use_incremental_and_watch_mode_assume_that_changes_within_a_file_wi_6606","Have recompiles in projects that use 'incremental' and 'watch' mode assume that changes within a file will only affect files directly depending on it."),Specify_the_base_directory_to_resolve_non_relative_module_names:S(6607,3,"Specify_the_base_directory_to_resolve_non_relative_module_names_6607","Specify the base directory to resolve non-relative module names."),No_longer_supported_In_early_versions_manually_set_the_text_encoding_for_reading_files:S(6608,3,"No_longer_supported_In_early_versions_manually_set_the_text_encoding_for_reading_files_6608","No longer supported. In early versions, manually set the text encoding for reading files."),Enable_error_reporting_in_type_checked_JavaScript_files:S(6609,3,"Enable_error_reporting_in_type_checked_JavaScript_files_6609","Enable error reporting in type-checked JavaScript files."),Enable_constraints_that_allow_a_TypeScript_project_to_be_used_with_project_references:S(6611,3,"Enable_constraints_that_allow_a_TypeScript_project_to_be_used_with_project_references_6611","Enable constraints that allow a TypeScript project to be used with project references."),Generate_d_ts_files_from_TypeScript_and_JavaScript_files_in_your_project:S(6612,3,"Generate_d_ts_files_from_TypeScript_and_JavaScript_files_in_your_project_6612","Generate .d.ts files from TypeScript and JavaScript files in your project."),Specify_the_output_directory_for_generated_declaration_files:S(6613,3,"Specify_the_output_directory_for_generated_declaration_files_6613","Specify the output directory for generated declaration files."),Create_sourcemaps_for_d_ts_files:S(6614,3,"Create_sourcemaps_for_d_ts_files_6614","Create sourcemaps for d.ts files."),Output_compiler_performance_information_after_building:S(6615,3,"Output_compiler_performance_information_after_building_6615","Output compiler performance information after building."),Disables_inference_for_type_acquisition_by_looking_at_filenames_in_a_project:S(6616,3,"Disables_inference_for_type_acquisition_by_looking_at_filenames_in_a_project_6616","Disables inference for type acquisition by looking at filenames in a project."),Reduce_the_number_of_projects_loaded_automatically_by_TypeScript:S(6617,3,"Reduce_the_number_of_projects_loaded_automatically_by_TypeScript_6617","Reduce the number of projects loaded automatically by TypeScript."),Remove_the_20mb_cap_on_total_source_code_size_for_JavaScript_files_in_the_TypeScript_language_server:S(6618,3,"Remove_the_20mb_cap_on_total_source_code_size_for_JavaScript_files_in_the_TypeScript_language_server_6618","Remove the 20mb cap on total source code size for JavaScript files in the TypeScript language server."),Opt_a_project_out_of_multi_project_reference_checking_when_editing:S(6619,3,"Opt_a_project_out_of_multi_project_reference_checking_when_editing_6619","Opt a project out of multi-project reference checking when editing."),Disable_preferring_source_files_instead_of_declaration_files_when_referencing_composite_projects:S(6620,3,"Disable_preferring_source_files_instead_of_declaration_files_when_referencing_composite_projects_6620","Disable preferring source files instead of declaration files when referencing composite projects."),Emit_more_compliant_but_verbose_and_less_performant_JavaScript_for_iteration:S(6621,3,"Emit_more_compliant_but_verbose_and_less_performant_JavaScript_for_iteration_6621","Emit more compliant, but verbose and less performant JavaScript for iteration."),Emit_a_UTF_8_Byte_Order_Mark_BOM_in_the_beginning_of_output_files:S(6622,3,"Emit_a_UTF_8_Byte_Order_Mark_BOM_in_the_beginning_of_output_files_6622","Emit a UTF-8 Byte Order Mark (BOM) in the beginning of output files."),Only_output_d_ts_files_and_not_JavaScript_files:S(6623,3,"Only_output_d_ts_files_and_not_JavaScript_files_6623","Only output d.ts files and not JavaScript files."),Emit_design_type_metadata_for_decorated_declarations_in_source_files:S(6624,3,"Emit_design_type_metadata_for_decorated_declarations_in_source_files_6624","Emit design-type metadata for decorated declarations in source files."),Disable_the_type_acquisition_for_JavaScript_projects:S(6625,3,"Disable_the_type_acquisition_for_JavaScript_projects_6625","Disable the type acquisition for JavaScript projects"),Emit_additional_JavaScript_to_ease_support_for_importing_CommonJS_modules_This_enables_allowSyntheticDefaultImports_for_type_compatibility:S(6626,3,"Emit_additional_JavaScript_to_ease_support_for_importing_CommonJS_modules_This_enables_allowSyntheti_6626","Emit additional JavaScript to ease support for importing CommonJS modules. This enables 'allowSyntheticDefaultImports' for type compatibility."),Filters_results_from_the_include_option:S(6627,3,"Filters_results_from_the_include_option_6627","Filters results from the `include` option."),Remove_a_list_of_directories_from_the_watch_process:S(6628,3,"Remove_a_list_of_directories_from_the_watch_process_6628","Remove a list of directories from the watch process."),Remove_a_list_of_files_from_the_watch_mode_s_processing:S(6629,3,"Remove_a_list_of_files_from_the_watch_mode_s_processing_6629","Remove a list of files from the watch mode's processing."),Enable_experimental_support_for_legacy_experimental_decorators:S(6630,3,"Enable_experimental_support_for_legacy_experimental_decorators_6630","Enable experimental support for legacy experimental decorators."),Print_files_read_during_the_compilation_including_why_it_was_included:S(6631,3,"Print_files_read_during_the_compilation_including_why_it_was_included_6631","Print files read during the compilation including why it was included."),Output_more_detailed_compiler_performance_information_after_building:S(6632,3,"Output_more_detailed_compiler_performance_information_after_building_6632","Output more detailed compiler performance information after building."),Specify_one_or_more_path_or_node_module_references_to_base_configuration_files_from_which_settings_are_inherited:S(6633,3,"Specify_one_or_more_path_or_node_module_references_to_base_configuration_files_from_which_settings_a_6633","Specify one or more path or node module references to base configuration files from which settings are inherited."),Specify_what_approach_the_watcher_should_use_if_the_system_runs_out_of_native_file_watchers:S(6634,3,"Specify_what_approach_the_watcher_should_use_if_the_system_runs_out_of_native_file_watchers_6634","Specify what approach the watcher should use if the system runs out of native file watchers."),Include_a_list_of_files_This_does_not_support_glob_patterns_as_opposed_to_include:S(6635,3,"Include_a_list_of_files_This_does_not_support_glob_patterns_as_opposed_to_include_6635","Include a list of files. This does not support glob patterns, as opposed to `include`."),Build_all_projects_including_those_that_appear_to_be_up_to_date:S(6636,3,"Build_all_projects_including_those_that_appear_to_be_up_to_date_6636","Build all projects, including those that appear to be up to date."),Ensure_that_casing_is_correct_in_imports:S(6637,3,"Ensure_that_casing_is_correct_in_imports_6637","Ensure that casing is correct in imports."),Emit_a_v8_CPU_profile_of_the_compiler_run_for_debugging:S(6638,3,"Emit_a_v8_CPU_profile_of_the_compiler_run_for_debugging_6638","Emit a v8 CPU profile of the compiler run for debugging."),Allow_importing_helper_functions_from_tslib_once_per_project_instead_of_including_them_per_file:S(6639,3,"Allow_importing_helper_functions_from_tslib_once_per_project_instead_of_including_them_per_file_6639","Allow importing helper functions from tslib once per project, instead of including them per-file."),Specify_a_list_of_glob_patterns_that_match_files_to_be_included_in_compilation:S(6641,3,"Specify_a_list_of_glob_patterns_that_match_files_to_be_included_in_compilation_6641","Specify a list of glob patterns that match files to be included in compilation."),Save_tsbuildinfo_files_to_allow_for_incremental_compilation_of_projects:S(6642,3,"Save_tsbuildinfo_files_to_allow_for_incremental_compilation_of_projects_6642","Save .tsbuildinfo files to allow for incremental compilation of projects."),Include_sourcemap_files_inside_the_emitted_JavaScript:S(6643,3,"Include_sourcemap_files_inside_the_emitted_JavaScript_6643","Include sourcemap files inside the emitted JavaScript."),Include_source_code_in_the_sourcemaps_inside_the_emitted_JavaScript:S(6644,3,"Include_source_code_in_the_sourcemaps_inside_the_emitted_JavaScript_6644","Include source code in the sourcemaps inside the emitted JavaScript."),Ensure_that_each_file_can_be_safely_transpiled_without_relying_on_other_imports:S(6645,3,"Ensure_that_each_file_can_be_safely_transpiled_without_relying_on_other_imports_6645","Ensure that each file can be safely transpiled without relying on other imports."),Specify_what_JSX_code_is_generated:S(6646,3,"Specify_what_JSX_code_is_generated_6646","Specify what JSX code is generated."),Specify_the_JSX_factory_function_used_when_targeting_React_JSX_emit_e_g_React_createElement_or_h:S(6647,3,"Specify_the_JSX_factory_function_used_when_targeting_React_JSX_emit_e_g_React_createElement_or_h_6647","Specify the JSX factory function used when targeting React JSX emit, e.g. 'React.createElement' or 'h'."),Specify_the_JSX_Fragment_reference_used_for_fragments_when_targeting_React_JSX_emit_e_g_React_Fragment_or_Fragment:S(6648,3,"Specify_the_JSX_Fragment_reference_used_for_fragments_when_targeting_React_JSX_emit_e_g_React_Fragme_6648","Specify the JSX Fragment reference used for fragments when targeting React JSX emit e.g. 'React.Fragment' or 'Fragment'."),Specify_module_specifier_used_to_import_the_JSX_factory_functions_when_using_jsx_Colon_react_jsx_Asterisk:S(6649,3,"Specify_module_specifier_used_to_import_the_JSX_factory_functions_when_using_jsx_Colon_react_jsx_Ast_6649","Specify module specifier used to import the JSX factory functions when using 'jsx: react-jsx*'."),Make_keyof_only_return_strings_instead_of_string_numbers_or_symbols_Legacy_option:S(6650,3,"Make_keyof_only_return_strings_instead_of_string_numbers_or_symbols_Legacy_option_6650","Make keyof only return strings instead of string, numbers or symbols. Legacy option."),Specify_a_set_of_bundled_library_declaration_files_that_describe_the_target_runtime_environment:S(6651,3,"Specify_a_set_of_bundled_library_declaration_files_that_describe_the_target_runtime_environment_6651","Specify a set of bundled library declaration files that describe the target runtime environment."),Print_the_names_of_emitted_files_after_a_compilation:S(6652,3,"Print_the_names_of_emitted_files_after_a_compilation_6652","Print the names of emitted files after a compilation."),Print_all_of_the_files_read_during_the_compilation:S(6653,3,"Print_all_of_the_files_read_during_the_compilation_6653","Print all of the files read during the compilation."),Set_the_language_of_the_messaging_from_TypeScript_This_does_not_affect_emit:S(6654,3,"Set_the_language_of_the_messaging_from_TypeScript_This_does_not_affect_emit_6654","Set the language of the messaging from TypeScript. This does not affect emit."),Specify_the_location_where_debugger_should_locate_map_files_instead_of_generated_locations:S(6655,3,"Specify_the_location_where_debugger_should_locate_map_files_instead_of_generated_locations_6655","Specify the location where debugger should locate map files instead of generated locations."),Specify_the_maximum_folder_depth_used_for_checking_JavaScript_files_from_node_modules_Only_applicable_with_allowJs:S(6656,3,"Specify_the_maximum_folder_depth_used_for_checking_JavaScript_files_from_node_modules_Only_applicabl_6656","Specify the maximum folder depth used for checking JavaScript files from 'node_modules'. Only applicable with 'allowJs'."),Specify_what_module_code_is_generated:S(6657,3,"Specify_what_module_code_is_generated_6657","Specify what module code is generated."),Specify_how_TypeScript_looks_up_a_file_from_a_given_module_specifier:S(6658,3,"Specify_how_TypeScript_looks_up_a_file_from_a_given_module_specifier_6658","Specify how TypeScript looks up a file from a given module specifier."),Set_the_newline_character_for_emitting_files:S(6659,3,"Set_the_newline_character_for_emitting_files_6659","Set the newline character for emitting files."),Disable_emitting_files_from_a_compilation:S(6660,3,"Disable_emitting_files_from_a_compilation_6660","Disable emitting files from a compilation."),Disable_generating_custom_helper_functions_like_extends_in_compiled_output:S(6661,3,"Disable_generating_custom_helper_functions_like_extends_in_compiled_output_6661","Disable generating custom helper functions like '__extends' in compiled output."),Disable_emitting_files_if_any_type_checking_errors_are_reported:S(6662,3,"Disable_emitting_files_if_any_type_checking_errors_are_reported_6662","Disable emitting files if any type checking errors are reported."),Disable_truncating_types_in_error_messages:S(6663,3,"Disable_truncating_types_in_error_messages_6663","Disable truncating types in error messages."),Enable_error_reporting_for_fallthrough_cases_in_switch_statements:S(6664,3,"Enable_error_reporting_for_fallthrough_cases_in_switch_statements_6664","Enable error reporting for fallthrough cases in switch statements."),Enable_error_reporting_for_expressions_and_declarations_with_an_implied_any_type:S(6665,3,"Enable_error_reporting_for_expressions_and_declarations_with_an_implied_any_type_6665","Enable error reporting for expressions and declarations with an implied 'any' type."),Ensure_overriding_members_in_derived_classes_are_marked_with_an_override_modifier:S(6666,3,"Ensure_overriding_members_in_derived_classes_are_marked_with_an_override_modifier_6666","Ensure overriding members in derived classes are marked with an override modifier."),Enable_error_reporting_for_codepaths_that_do_not_explicitly_return_in_a_function:S(6667,3,"Enable_error_reporting_for_codepaths_that_do_not_explicitly_return_in_a_function_6667","Enable error reporting for codepaths that do not explicitly return in a function."),Enable_error_reporting_when_this_is_given_the_type_any:S(6668,3,"Enable_error_reporting_when_this_is_given_the_type_any_6668","Enable error reporting when 'this' is given the type 'any'."),Disable_adding_use_strict_directives_in_emitted_JavaScript_files:S(6669,3,"Disable_adding_use_strict_directives_in_emitted_JavaScript_files_6669","Disable adding 'use strict' directives in emitted JavaScript files."),Disable_including_any_library_files_including_the_default_lib_d_ts:S(6670,3,"Disable_including_any_library_files_including_the_default_lib_d_ts_6670","Disable including any library files, including the default lib.d.ts."),Enforces_using_indexed_accessors_for_keys_declared_using_an_indexed_type:S(6671,3,"Enforces_using_indexed_accessors_for_keys_declared_using_an_indexed_type_6671","Enforces using indexed accessors for keys declared using an indexed type."),Disallow_import_s_require_s_or_reference_s_from_expanding_the_number_of_files_TypeScript_should_add_to_a_project:S(6672,3,"Disallow_import_s_require_s_or_reference_s_from_expanding_the_number_of_files_TypeScript_should_add__6672","Disallow 'import's, 'require's or '<reference>'s from expanding the number of files TypeScript should add to a project."),Disable_strict_checking_of_generic_signatures_in_function_types:S(6673,3,"Disable_strict_checking_of_generic_signatures_in_function_types_6673","Disable strict checking of generic signatures in function types."),Add_undefined_to_a_type_when_accessed_using_an_index:S(6674,3,"Add_undefined_to_a_type_when_accessed_using_an_index_6674","Add 'undefined' to a type when accessed using an index."),Enable_error_reporting_when_local_variables_aren_t_read:S(6675,3,"Enable_error_reporting_when_local_variables_aren_t_read_6675","Enable error reporting when local variables aren't read."),Raise_an_error_when_a_function_parameter_isn_t_read:S(6676,3,"Raise_an_error_when_a_function_parameter_isn_t_read_6676","Raise an error when a function parameter isn't read."),Deprecated_setting_Use_outFile_instead:S(6677,3,"Deprecated_setting_Use_outFile_instead_6677","Deprecated setting. Use 'outFile' instead."),Specify_an_output_folder_for_all_emitted_files:S(6678,3,"Specify_an_output_folder_for_all_emitted_files_6678","Specify an output folder for all emitted files."),Specify_a_file_that_bundles_all_outputs_into_one_JavaScript_file_If_declaration_is_true_also_designates_a_file_that_bundles_all_d_ts_output:S(6679,3,"Specify_a_file_that_bundles_all_outputs_into_one_JavaScript_file_If_declaration_is_true_also_designa_6679","Specify a file that bundles all outputs into one JavaScript file. If 'declaration' is true, also designates a file that bundles all .d.ts output."),Specify_a_set_of_entries_that_re_map_imports_to_additional_lookup_locations:S(6680,3,"Specify_a_set_of_entries_that_re_map_imports_to_additional_lookup_locations_6680","Specify a set of entries that re-map imports to additional lookup locations."),Specify_a_list_of_language_service_plugins_to_include:S(6681,3,"Specify_a_list_of_language_service_plugins_to_include_6681","Specify a list of language service plugins to include."),Disable_erasing_const_enum_declarations_in_generated_code:S(6682,3,"Disable_erasing_const_enum_declarations_in_generated_code_6682","Disable erasing 'const enum' declarations in generated code."),Disable_resolving_symlinks_to_their_realpath_This_correlates_to_the_same_flag_in_node:S(6683,3,"Disable_resolving_symlinks_to_their_realpath_This_correlates_to_the_same_flag_in_node_6683","Disable resolving symlinks to their realpath. This correlates to the same flag in node."),Disable_wiping_the_console_in_watch_mode:S(6684,3,"Disable_wiping_the_console_in_watch_mode_6684","Disable wiping the console in watch mode."),Enable_color_and_formatting_in_TypeScript_s_output_to_make_compiler_errors_easier_to_read:S(6685,3,"Enable_color_and_formatting_in_TypeScript_s_output_to_make_compiler_errors_easier_to_read_6685","Enable color and formatting in TypeScript's output to make compiler errors easier to read."),Specify_the_object_invoked_for_createElement_This_only_applies_when_targeting_react_JSX_emit:S(6686,3,"Specify_the_object_invoked_for_createElement_This_only_applies_when_targeting_react_JSX_emit_6686","Specify the object invoked for 'createElement'. This only applies when targeting 'react' JSX emit."),Specify_an_array_of_objects_that_specify_paths_for_projects_Used_in_project_references:S(6687,3,"Specify_an_array_of_objects_that_specify_paths_for_projects_Used_in_project_references_6687","Specify an array of objects that specify paths for projects. Used in project references."),Disable_emitting_comments:S(6688,3,"Disable_emitting_comments_6688","Disable emitting comments."),Enable_importing_json_files:S(6689,3,"Enable_importing_json_files_6689","Enable importing .json files."),Specify_the_root_folder_within_your_source_files:S(6690,3,"Specify_the_root_folder_within_your_source_files_6690","Specify the root folder within your source files."),Allow_multiple_folders_to_be_treated_as_one_when_resolving_modules:S(6691,3,"Allow_multiple_folders_to_be_treated_as_one_when_resolving_modules_6691","Allow multiple folders to be treated as one when resolving modules."),Skip_type_checking_d_ts_files_that_are_included_with_TypeScript:S(6692,3,"Skip_type_checking_d_ts_files_that_are_included_with_TypeScript_6692","Skip type checking .d.ts files that are included with TypeScript."),Skip_type_checking_all_d_ts_files:S(6693,3,"Skip_type_checking_all_d_ts_files_6693","Skip type checking all .d.ts files."),Create_source_map_files_for_emitted_JavaScript_files:S(6694,3,"Create_source_map_files_for_emitted_JavaScript_files_6694","Create source map files for emitted JavaScript files."),Specify_the_root_path_for_debuggers_to_find_the_reference_source_code:S(6695,3,"Specify_the_root_path_for_debuggers_to_find_the_reference_source_code_6695","Specify the root path for debuggers to find the reference source code."),Check_that_the_arguments_for_bind_call_and_apply_methods_match_the_original_function:S(6697,3,"Check_that_the_arguments_for_bind_call_and_apply_methods_match_the_original_function_6697","Check that the arguments for 'bind', 'call', and 'apply' methods match the original function."),When_assigning_functions_check_to_ensure_parameters_and_the_return_values_are_subtype_compatible:S(6698,3,"When_assigning_functions_check_to_ensure_parameters_and_the_return_values_are_subtype_compatible_6698","When assigning functions, check to ensure parameters and the return values are subtype-compatible."),When_type_checking_take_into_account_null_and_undefined:S(6699,3,"When_type_checking_take_into_account_null_and_undefined_6699","When type checking, take into account 'null' and 'undefined'."),Check_for_class_properties_that_are_declared_but_not_set_in_the_constructor:S(6700,3,"Check_for_class_properties_that_are_declared_but_not_set_in_the_constructor_6700","Check for class properties that are declared but not set in the constructor."),Disable_emitting_declarations_that_have_internal_in_their_JSDoc_comments:S(6701,3,"Disable_emitting_declarations_that_have_internal_in_their_JSDoc_comments_6701","Disable emitting declarations that have '@internal' in their JSDoc comments."),Disable_reporting_of_excess_property_errors_during_the_creation_of_object_literals:S(6702,3,"Disable_reporting_of_excess_property_errors_during_the_creation_of_object_literals_6702","Disable reporting of excess property errors during the creation of object literals."),Suppress_noImplicitAny_errors_when_indexing_objects_that_lack_index_signatures:S(6703,3,"Suppress_noImplicitAny_errors_when_indexing_objects_that_lack_index_signatures_6703","Suppress 'noImplicitAny' errors when indexing objects that lack index signatures."),Synchronously_call_callbacks_and_update_the_state_of_directory_watchers_on_platforms_that_don_t_support_recursive_watching_natively:S(6704,3,"Synchronously_call_callbacks_and_update_the_state_of_directory_watchers_on_platforms_that_don_t_supp_6704","Synchronously call callbacks and update the state of directory watchers on platforms that don`t support recursive watching natively."),Set_the_JavaScript_language_version_for_emitted_JavaScript_and_include_compatible_library_declarations:S(6705,3,"Set_the_JavaScript_language_version_for_emitted_JavaScript_and_include_compatible_library_declaratio_6705","Set the JavaScript language version for emitted JavaScript and include compatible library declarations."),Log_paths_used_during_the_moduleResolution_process:S(6706,3,"Log_paths_used_during_the_moduleResolution_process_6706","Log paths used during the 'moduleResolution' process."),Specify_the_path_to_tsbuildinfo_incremental_compilation_file:S(6707,3,"Specify_the_path_to_tsbuildinfo_incremental_compilation_file_6707","Specify the path to .tsbuildinfo incremental compilation file."),Specify_options_for_automatic_acquisition_of_declaration_files:S(6709,3,"Specify_options_for_automatic_acquisition_of_declaration_files_6709","Specify options for automatic acquisition of declaration files."),Specify_multiple_folders_that_act_like_Slashnode_modules_Slash_types:S(6710,3,"Specify_multiple_folders_that_act_like_Slashnode_modules_Slash_types_6710","Specify multiple folders that act like './node_modules/@types'."),Specify_type_package_names_to_be_included_without_being_referenced_in_a_source_file:S(6711,3,"Specify_type_package_names_to_be_included_without_being_referenced_in_a_source_file_6711","Specify type package names to be included without being referenced in a source file."),Emit_ECMAScript_standard_compliant_class_fields:S(6712,3,"Emit_ECMAScript_standard_compliant_class_fields_6712","Emit ECMAScript-standard-compliant class fields."),Enable_verbose_logging:S(6713,3,"Enable_verbose_logging_6713","Enable verbose logging."),Specify_how_directories_are_watched_on_systems_that_lack_recursive_file_watching_functionality:S(6714,3,"Specify_how_directories_are_watched_on_systems_that_lack_recursive_file_watching_functionality_6714","Specify how directories are watched on systems that lack recursive file-watching functionality."),Specify_how_the_TypeScript_watch_mode_works:S(6715,3,"Specify_how_the_TypeScript_watch_mode_works_6715","Specify how the TypeScript watch mode works."),Require_undeclared_properties_from_index_signatures_to_use_element_accesses:S(6717,3,"Require_undeclared_properties_from_index_signatures_to_use_element_accesses_6717","Require undeclared properties from index signatures to use element accesses."),Specify_emit_Slashchecking_behavior_for_imports_that_are_only_used_for_types:S(6718,3,"Specify_emit_Slashchecking_behavior_for_imports_that_are_only_used_for_types_6718","Specify emit/checking behavior for imports that are only used for types."),Default_catch_clause_variables_as_unknown_instead_of_any:S(6803,3,"Default_catch_clause_variables_as_unknown_instead_of_any_6803","Default catch clause variables as 'unknown' instead of 'any'."),Do_not_transform_or_elide_any_imports_or_exports_not_marked_as_type_only_ensuring_they_are_written_in_the_output_file_s_format_based_on_the_module_setting:S(6804,3,"Do_not_transform_or_elide_any_imports_or_exports_not_marked_as_type_only_ensuring_they_are_written_i_6804","Do not transform or elide any imports or exports not marked as type-only, ensuring they are written in the output file's format based on the 'module' setting."),one_of_Colon:S(6900,3,"one_of_Colon_6900","one of:"),one_or_more_Colon:S(6901,3,"one_or_more_Colon_6901","one or more:"),type_Colon:S(6902,3,"type_Colon_6902","type:"),default_Colon:S(6903,3,"default_Colon_6903","default:"),module_system_or_esModuleInterop:S(6904,3,"module_system_or_esModuleInterop_6904",'module === "system" or esModuleInterop'),false_unless_strict_is_set:S(6905,3,"false_unless_strict_is_set_6905","`false`, unless `strict` is set"),false_unless_composite_is_set:S(6906,3,"false_unless_composite_is_set_6906","`false`, unless `composite` is set"),node_modules_bower_components_jspm_packages_plus_the_value_of_outDir_if_one_is_specified:S(6907,3,"node_modules_bower_components_jspm_packages_plus_the_value_of_outDir_if_one_is_specified_6907",'`["node_modules", "bower_components", "jspm_packages"]`, plus the value of `outDir` if one is specified.'),if_files_is_specified_otherwise_Asterisk_Asterisk_Slash_Asterisk:S(6908,3,"if_files_is_specified_otherwise_Asterisk_Asterisk_Slash_Asterisk_6908",'`[]` if `files` is specified, otherwise `["**/*"]`'),true_if_composite_false_otherwise:S(6909,3,"true_if_composite_false_otherwise_6909","`true` if `composite`, `false` otherwise"),module_AMD_or_UMD_or_System_or_ES6_then_Classic_Otherwise_Node:S(69010,3,"module_AMD_or_UMD_or_System_or_ES6_then_Classic_Otherwise_Node_69010","module === `AMD` or `UMD` or `System` or `ES6`, then `Classic`, Otherwise `Node`"),Computed_from_the_list_of_input_files:S(6911,3,"Computed_from_the_list_of_input_files_6911","Computed from the list of input files"),Platform_specific:S(6912,3,"Platform_specific_6912","Platform specific"),You_can_learn_about_all_of_the_compiler_options_at_0:S(6913,3,"You_can_learn_about_all_of_the_compiler_options_at_0_6913","You can learn about all of the compiler options at {0}"),Including_watch_w_will_start_watching_the_current_project_for_the_file_changes_Once_set_you_can_config_watch_mode_with_Colon:S(6914,3,"Including_watch_w_will_start_watching_the_current_project_for_the_file_changes_Once_set_you_can_conf_6914","Including --watch, -w will start watching the current project for the file changes. Once set, you can config watch mode with:"),Using_build_b_will_make_tsc_behave_more_like_a_build_orchestrator_than_a_compiler_This_is_used_to_trigger_building_composite_projects_which_you_can_learn_more_about_at_0:S(6915,3,"Using_build_b_will_make_tsc_behave_more_like_a_build_orchestrator_than_a_compiler_This_is_used_to_tr_6915","Using --build, -b will make tsc behave more like a build orchestrator than a compiler. This is used to trigger building composite projects which you can learn more about at {0}"),COMMON_COMMANDS:S(6916,3,"COMMON_COMMANDS_6916","COMMON COMMANDS"),ALL_COMPILER_OPTIONS:S(6917,3,"ALL_COMPILER_OPTIONS_6917","ALL COMPILER OPTIONS"),WATCH_OPTIONS:S(6918,3,"WATCH_OPTIONS_6918","WATCH OPTIONS"),BUILD_OPTIONS:S(6919,3,"BUILD_OPTIONS_6919","BUILD OPTIONS"),COMMON_COMPILER_OPTIONS:S(6920,3,"COMMON_COMPILER_OPTIONS_6920","COMMON COMPILER OPTIONS"),COMMAND_LINE_FLAGS:S(6921,3,"COMMAND_LINE_FLAGS_6921","COMMAND LINE FLAGS"),tsc_Colon_The_TypeScript_Compiler:S(6922,3,"tsc_Colon_The_TypeScript_Compiler_6922","tsc: The TypeScript Compiler"),Compiles_the_current_project_tsconfig_json_in_the_working_directory:S(6923,3,"Compiles_the_current_project_tsconfig_json_in_the_working_directory_6923","Compiles the current project (tsconfig.json in the working directory.)"),Ignoring_tsconfig_json_compiles_the_specified_files_with_default_compiler_options:S(6924,3,"Ignoring_tsconfig_json_compiles_the_specified_files_with_default_compiler_options_6924","Ignoring tsconfig.json, compiles the specified files with default compiler options."),Build_a_composite_project_in_the_working_directory:S(6925,3,"Build_a_composite_project_in_the_working_directory_6925","Build a composite project in the working directory."),Creates_a_tsconfig_json_with_the_recommended_settings_in_the_working_directory:S(6926,3,"Creates_a_tsconfig_json_with_the_recommended_settings_in_the_working_directory_6926","Creates a tsconfig.json with the recommended settings in the working directory."),Compiles_the_TypeScript_project_located_at_the_specified_path:S(6927,3,"Compiles_the_TypeScript_project_located_at_the_specified_path_6927","Compiles the TypeScript project located at the specified path."),An_expanded_version_of_this_information_showing_all_possible_compiler_options:S(6928,3,"An_expanded_version_of_this_information_showing_all_possible_compiler_options_6928","An expanded version of this information, showing all possible compiler options"),Compiles_the_current_project_with_additional_settings:S(6929,3,"Compiles_the_current_project_with_additional_settings_6929","Compiles the current project, with additional settings."),true_for_ES2022_and_above_including_ESNext:S(6930,3,"true_for_ES2022_and_above_including_ESNext_6930","`true` for ES2022 and above, including ESNext."),List_of_file_name_suffixes_to_search_when_resolving_a_module:S(6931,1,"List_of_file_name_suffixes_to_search_when_resolving_a_module_6931","List of file name suffixes to search when resolving a module."),Variable_0_implicitly_has_an_1_type:S(7005,1,"Variable_0_implicitly_has_an_1_type_7005","Variable '{0}' implicitly has an '{1}' type."),Parameter_0_implicitly_has_an_1_type:S(7006,1,"Parameter_0_implicitly_has_an_1_type_7006","Parameter '{0}' implicitly has an '{1}' type."),Member_0_implicitly_has_an_1_type:S(7008,1,"Member_0_implicitly_has_an_1_type_7008","Member '{0}' implicitly has an '{1}' type."),new_expression_whose_target_lacks_a_construct_signature_implicitly_has_an_any_type:S(7009,1,"new_expression_whose_target_lacks_a_construct_signature_implicitly_has_an_any_type_7009","'new' expression, whose target lacks a construct signature, implicitly has an 'any' type."),_0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type:S(7010,1,"_0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type_7010","'{0}', which lacks return-type annotation, implicitly has an '{1}' return type."),Function_expression_which_lacks_return_type_annotation_implicitly_has_an_0_return_type:S(7011,1,"Function_expression_which_lacks_return_type_annotation_implicitly_has_an_0_return_type_7011","Function expression, which lacks return-type annotation, implicitly has an '{0}' return type."),This_overload_implicitly_returns_the_type_0_because_it_lacks_a_return_type_annotation:S(7012,1,"This_overload_implicitly_returns_the_type_0_because_it_lacks_a_return_type_annotation_7012","This overload implicitly returns the type '{0}' because it lacks a return type annotation."),Construct_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type:S(7013,1,"Construct_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type_7013","Construct signature, which lacks return-type annotation, implicitly has an 'any' return type."),Function_type_which_lacks_return_type_annotation_implicitly_has_an_0_return_type:S(7014,1,"Function_type_which_lacks_return_type_annotation_implicitly_has_an_0_return_type_7014","Function type, which lacks return-type annotation, implicitly has an '{0}' return type."),Element_implicitly_has_an_any_type_because_index_expression_is_not_of_type_number:S(7015,1,"Element_implicitly_has_an_any_type_because_index_expression_is_not_of_type_number_7015","Element implicitly has an 'any' type because index expression is not of type 'number'."),Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type:S(7016,1,"Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type_7016","Could not find a declaration file for module '{0}'. '{1}' implicitly has an 'any' type."),Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature:S(7017,1,"Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature_7017","Element implicitly has an 'any' type because type '{0}' has no index signature."),Object_literal_s_property_0_implicitly_has_an_1_type:S(7018,1,"Object_literal_s_property_0_implicitly_has_an_1_type_7018","Object literal's property '{0}' implicitly has an '{1}' type."),Rest_parameter_0_implicitly_has_an_any_type:S(7019,1,"Rest_parameter_0_implicitly_has_an_any_type_7019","Rest parameter '{0}' implicitly has an 'any[]' type."),Call_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type:S(7020,1,"Call_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type_7020","Call signature, which lacks return-type annotation, implicitly has an 'any' return type."),_0_implicitly_has_type_any_because_it_does_not_have_a_type_annotation_and_is_referenced_directly_or_indirectly_in_its_own_initializer:S(7022,1,"_0_implicitly_has_type_any_because_it_does_not_have_a_type_annotation_and_is_referenced_directly_or__7022","'{0}' implicitly has type 'any' because it does not have a type annotation and is referenced directly or indirectly in its own initializer."),_0_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions:S(7023,1,"_0_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_reference_7023","'{0}' implicitly has return type 'any' because it does not have a return type annotation and is referenced directly or indirectly in one of its return expressions."),Function_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions:S(7024,1,"Function_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_ref_7024","Function implicitly has return type 'any' because it does not have a return type annotation and is referenced directly or indirectly in one of its return expressions."),Generator_implicitly_has_yield_type_0_because_it_does_not_yield_any_values_Consider_supplying_a_return_type_annotation:S(7025,1,"Generator_implicitly_has_yield_type_0_because_it_does_not_yield_any_values_Consider_supplying_a_retu_7025","Generator implicitly has yield type '{0}' because it does not yield any values. Consider supplying a return type annotation."),JSX_element_implicitly_has_type_any_because_no_interface_JSX_0_exists:S(7026,1,"JSX_element_implicitly_has_type_any_because_no_interface_JSX_0_exists_7026","JSX element implicitly has type 'any' because no interface 'JSX.{0}' exists."),Unreachable_code_detected:S(7027,1,"Unreachable_code_detected_7027","Unreachable code detected.",!0),Unused_label:S(7028,1,"Unused_label_7028","Unused label.",!0),Fallthrough_case_in_switch:S(7029,1,"Fallthrough_case_in_switch_7029","Fallthrough case in switch."),Not_all_code_paths_return_a_value:S(7030,1,"Not_all_code_paths_return_a_value_7030","Not all code paths return a value."),Binding_element_0_implicitly_has_an_1_type:S(7031,1,"Binding_element_0_implicitly_has_an_1_type_7031","Binding element '{0}' implicitly has an '{1}' type."),Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation:S(7032,1,"Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation_7032","Property '{0}' implicitly has type 'any', because its set accessor lacks a parameter type annotation."),Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation:S(7033,1,"Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation_7033","Property '{0}' implicitly has type 'any', because its get accessor lacks a return type annotation."),Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined:S(7034,1,"Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined_7034","Variable '{0}' implicitly has type '{1}' in some locations where its type cannot be determined."),Try_npm_i_save_dev_types_Slash_1_if_it_exists_or_add_a_new_declaration_d_ts_file_containing_declare_module_0:S(7035,1,"Try_npm_i_save_dev_types_Slash_1_if_it_exists_or_add_a_new_declaration_d_ts_file_containing_declare__7035","Try `npm i --save-dev @types/{1}` if it exists or add a new declaration (.d.ts) file containing `declare module '{0}';`"),Dynamic_import_s_specifier_must_be_of_type_string_but_here_has_type_0:S(7036,1,"Dynamic_import_s_specifier_must_be_of_type_string_but_here_has_type_0_7036","Dynamic import's specifier must be of type 'string', but here has type '{0}'."),Enables_emit_interoperability_between_CommonJS_and_ES_Modules_via_creation_of_namespace_objects_for_all_imports_Implies_allowSyntheticDefaultImports:S(7037,3,"Enables_emit_interoperability_between_CommonJS_and_ES_Modules_via_creation_of_namespace_objects_for__7037","Enables emit interoperability between CommonJS and ES Modules via creation of namespace objects for all imports. Implies 'allowSyntheticDefaultImports'."),Type_originates_at_this_import_A_namespace_style_import_cannot_be_called_or_constructed_and_will_cause_a_failure_at_runtime_Consider_using_a_default_import_or_import_require_here_instead:S(7038,3,"Type_originates_at_this_import_A_namespace_style_import_cannot_be_called_or_constructed_and_will_cau_7038","Type originates at this import. A namespace-style import cannot be called or constructed, and will cause a failure at runtime. Consider using a default import or import require here instead."),Mapped_object_type_implicitly_has_an_any_template_type:S(7039,1,"Mapped_object_type_implicitly_has_an_any_template_type_7039","Mapped object type implicitly has an 'any' template type."),If_the_0_package_actually_exposes_this_module_consider_sending_a_pull_request_to_amend_https_Colon_Slash_Slashgithub_com_SlashDefinitelyTyped_SlashDefinitelyTyped_Slashtree_Slashmaster_Slashtypes_Slash_1:S(7040,1,"If_the_0_package_actually_exposes_this_module_consider_sending_a_pull_request_to_amend_https_Colon_S_7040","If the '{0}' package actually exposes this module, consider sending a pull request to amend 'https://github.com/DefinitelyTyped/DefinitelyTyped/tree/master/types/{1}'"),The_containing_arrow_function_captures_the_global_value_of_this:S(7041,1,"The_containing_arrow_function_captures_the_global_value_of_this_7041","The containing arrow function captures the global value of 'this'."),Module_0_was_resolved_to_1_but_resolveJsonModule_is_not_used:S(7042,1,"Module_0_was_resolved_to_1_but_resolveJsonModule_is_not_used_7042","Module '{0}' was resolved to '{1}', but '--resolveJsonModule' is not used."),Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage:S(7043,2,"Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage_7043","Variable '{0}' implicitly has an '{1}' type, but a better type may be inferred from usage."),Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage:S(7044,2,"Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage_7044","Parameter '{0}' implicitly has an '{1}' type, but a better type may be inferred from usage."),Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage:S(7045,2,"Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage_7045","Member '{0}' implicitly has an '{1}' type, but a better type may be inferred from usage."),Variable_0_implicitly_has_type_1_in_some_locations_but_a_better_type_may_be_inferred_from_usage:S(7046,2,"Variable_0_implicitly_has_type_1_in_some_locations_but_a_better_type_may_be_inferred_from_usage_7046","Variable '{0}' implicitly has type '{1}' in some locations, but a better type may be inferred from usage."),Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage:S(7047,2,"Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage_7047","Rest parameter '{0}' implicitly has an 'any[]' type, but a better type may be inferred from usage."),Property_0_implicitly_has_type_any_but_a_better_type_for_its_get_accessor_may_be_inferred_from_usage:S(7048,2,"Property_0_implicitly_has_type_any_but_a_better_type_for_its_get_accessor_may_be_inferred_from_usage_7048","Property '{0}' implicitly has type 'any', but a better type for its get accessor may be inferred from usage."),Property_0_implicitly_has_type_any_but_a_better_type_for_its_set_accessor_may_be_inferred_from_usage:S(7049,2,"Property_0_implicitly_has_type_any_but_a_better_type_for_its_set_accessor_may_be_inferred_from_usage_7049","Property '{0}' implicitly has type 'any', but a better type for its set accessor may be inferred from usage."),_0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage:S(7050,2,"_0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage_7050","'{0}' implicitly has an '{1}' return type, but a better type may be inferred from usage."),Parameter_has_a_name_but_no_type_Did_you_mean_0_Colon_1:S(7051,1,"Parameter_has_a_name_but_no_type_Did_you_mean_0_Colon_1_7051","Parameter has a name but no type. Did you mean '{0}: {1}'?"),Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature_Did_you_mean_to_call_1:S(7052,1,"Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature_Did_you_mean_to_call_1_7052","Element implicitly has an 'any' type because type '{0}' has no index signature. Did you mean to call '{1}'?"),Element_implicitly_has_an_any_type_because_expression_of_type_0_can_t_be_used_to_index_type_1:S(7053,1,"Element_implicitly_has_an_any_type_because_expression_of_type_0_can_t_be_used_to_index_type_1_7053","Element implicitly has an 'any' type because expression of type '{0}' can't be used to index type '{1}'."),No_index_signature_with_a_parameter_of_type_0_was_found_on_type_1:S(7054,1,"No_index_signature_with_a_parameter_of_type_0_was_found_on_type_1_7054","No index signature with a parameter of type '{0}' was found on type '{1}'."),_0_which_lacks_return_type_annotation_implicitly_has_an_1_yield_type:S(7055,1,"_0_which_lacks_return_type_annotation_implicitly_has_an_1_yield_type_7055","'{0}', which lacks return-type annotation, implicitly has an '{1}' yield type."),The_inferred_type_of_this_node_exceeds_the_maximum_length_the_compiler_will_serialize_An_explicit_type_annotation_is_needed:S(7056,1,"The_inferred_type_of_this_node_exceeds_the_maximum_length_the_compiler_will_serialize_An_explicit_ty_7056","The inferred type of this node exceeds the maximum length the compiler will serialize. An explicit type annotation is needed."),yield_expression_implicitly_results_in_an_any_type_because_its_containing_generator_lacks_a_return_type_annotation:S(7057,1,"yield_expression_implicitly_results_in_an_any_type_because_its_containing_generator_lacks_a_return_t_7057","'yield' expression implicitly results in an 'any' type because its containing generator lacks a return-type annotation."),If_the_0_package_actually_exposes_this_module_try_adding_a_new_declaration_d_ts_file_containing_declare_module_1:S(7058,1,"If_the_0_package_actually_exposes_this_module_try_adding_a_new_declaration_d_ts_file_containing_decl_7058","If the '{0}' package actually exposes this module, try adding a new declaration (.d.ts) file containing `declare module '{1}';`"),This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Use_an_as_expression_instead:S(7059,1,"This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Use_an_as_expression_instead_7059","This syntax is reserved in files with the .mts or .cts extension. Use an `as` expression instead."),This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Add_a_trailing_comma_or_explicit_constraint:S(7060,1,"This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Add_a_trailing_comma_or_explicit_cons_7060","This syntax is reserved in files with the .mts or .cts extension. Add a trailing comma or explicit constraint."),A_mapped_type_may_not_declare_properties_or_methods:S(7061,1,"A_mapped_type_may_not_declare_properties_or_methods_7061","A mapped type may not declare properties or methods."),You_cannot_rename_this_element:S(8e3,1,"You_cannot_rename_this_element_8000","You cannot rename this element."),You_cannot_rename_elements_that_are_defined_in_the_standard_TypeScript_library:S(8001,1,"You_cannot_rename_elements_that_are_defined_in_the_standard_TypeScript_library_8001","You cannot rename elements that are defined in the standard TypeScript library."),import_can_only_be_used_in_TypeScript_files:S(8002,1,"import_can_only_be_used_in_TypeScript_files_8002","'import ... =' can only be used in TypeScript files."),export_can_only_be_used_in_TypeScript_files:S(8003,1,"export_can_only_be_used_in_TypeScript_files_8003","'export =' can only be used in TypeScript files."),Type_parameter_declarations_can_only_be_used_in_TypeScript_files:S(8004,1,"Type_parameter_declarations_can_only_be_used_in_TypeScript_files_8004","Type parameter declarations can only be used in TypeScript files."),implements_clauses_can_only_be_used_in_TypeScript_files:S(8005,1,"implements_clauses_can_only_be_used_in_TypeScript_files_8005","'implements' clauses can only be used in TypeScript files."),_0_declarations_can_only_be_used_in_TypeScript_files:S(8006,1,"_0_declarations_can_only_be_used_in_TypeScript_files_8006","'{0}' declarations can only be used in TypeScript files."),Type_aliases_can_only_be_used_in_TypeScript_files:S(8008,1,"Type_aliases_can_only_be_used_in_TypeScript_files_8008","Type aliases can only be used in TypeScript files."),The_0_modifier_can_only_be_used_in_TypeScript_files:S(8009,1,"The_0_modifier_can_only_be_used_in_TypeScript_files_8009","The '{0}' modifier can only be used in TypeScript files."),Type_annotations_can_only_be_used_in_TypeScript_files:S(8010,1,"Type_annotations_can_only_be_used_in_TypeScript_files_8010","Type annotations can only be used in TypeScript files."),Type_arguments_can_only_be_used_in_TypeScript_files:S(8011,1,"Type_arguments_can_only_be_used_in_TypeScript_files_8011","Type arguments can only be used in TypeScript files."),Parameter_modifiers_can_only_be_used_in_TypeScript_files:S(8012,1,"Parameter_modifiers_can_only_be_used_in_TypeScript_files_8012","Parameter modifiers can only be used in TypeScript files."),Non_null_assertions_can_only_be_used_in_TypeScript_files:S(8013,1,"Non_null_assertions_can_only_be_used_in_TypeScript_files_8013","Non-null assertions can only be used in TypeScript files."),Type_assertion_expressions_can_only_be_used_in_TypeScript_files:S(8016,1,"Type_assertion_expressions_can_only_be_used_in_TypeScript_files_8016","Type assertion expressions can only be used in TypeScript files."),Signature_declarations_can_only_be_used_in_TypeScript_files:S(8017,1,"Signature_declarations_can_only_be_used_in_TypeScript_files_8017","Signature declarations can only be used in TypeScript files."),Report_errors_in_js_files:S(8019,3,"Report_errors_in_js_files_8019","Report errors in .js files."),JSDoc_types_can_only_be_used_inside_documentation_comments:S(8020,1,"JSDoc_types_can_only_be_used_inside_documentation_comments_8020","JSDoc types can only be used inside documentation comments."),JSDoc_typedef_tag_should_either_have_a_type_annotation_or_be_followed_by_property_or_member_tags:S(8021,1,"JSDoc_typedef_tag_should_either_have_a_type_annotation_or_be_followed_by_property_or_member_tags_8021","JSDoc '@typedef' tag should either have a type annotation or be followed by '@property' or '@member' tags."),JSDoc_0_is_not_attached_to_a_class:S(8022,1,"JSDoc_0_is_not_attached_to_a_class_8022","JSDoc '@{0}' is not attached to a class."),JSDoc_0_1_does_not_match_the_extends_2_clause:S(8023,1,"JSDoc_0_1_does_not_match_the_extends_2_clause_8023","JSDoc '@{0} {1}' does not match the 'extends {2}' clause."),JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name:S(8024,1,"JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name_8024","JSDoc '@param' tag has name '{0}', but there is no parameter with that name."),Class_declarations_cannot_have_more_than_one_augments_or_extends_tag:S(8025,1,"Class_declarations_cannot_have_more_than_one_augments_or_extends_tag_8025","Class declarations cannot have more than one '@augments' or '@extends' tag."),Expected_0_type_arguments_provide_these_with_an_extends_tag:S(8026,1,"Expected_0_type_arguments_provide_these_with_an_extends_tag_8026","Expected {0} type arguments; provide these with an '@extends' tag."),Expected_0_1_type_arguments_provide_these_with_an_extends_tag:S(8027,1,"Expected_0_1_type_arguments_provide_these_with_an_extends_tag_8027","Expected {0}-{1} type arguments; provide these with an '@extends' tag."),JSDoc_may_only_appear_in_the_last_parameter_of_a_signature:S(8028,1,"JSDoc_may_only_appear_in_the_last_parameter_of_a_signature_8028","JSDoc '...' may only appear in the last parameter of a signature."),JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name_It_would_match_arguments_if_it_had_an_array_type:S(8029,1,"JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name_It_would_match_arguments_if_it_h_8029","JSDoc '@param' tag has name '{0}', but there is no parameter with that name. It would match 'arguments' if it had an array type."),The_type_of_a_function_declaration_must_match_the_function_s_signature:S(8030,1,"The_type_of_a_function_declaration_must_match_the_function_s_signature_8030","The type of a function declaration must match the function's signature."),You_cannot_rename_a_module_via_a_global_import:S(8031,1,"You_cannot_rename_a_module_via_a_global_import_8031","You cannot rename a module via a global import."),Qualified_name_0_is_not_allowed_without_a_leading_param_object_1:S(8032,1,"Qualified_name_0_is_not_allowed_without_a_leading_param_object_1_8032","Qualified name '{0}' is not allowed without a leading '@param {object} {1}'."),A_JSDoc_typedef_comment_may_not_contain_multiple_type_tags:S(8033,1,"A_JSDoc_typedef_comment_may_not_contain_multiple_type_tags_8033","A JSDoc '@typedef' comment may not contain multiple '@type' tags."),The_tag_was_first_specified_here:S(8034,1,"The_tag_was_first_specified_here_8034","The tag was first specified here."),You_cannot_rename_elements_that_are_defined_in_a_node_modules_folder:S(8035,1,"You_cannot_rename_elements_that_are_defined_in_a_node_modules_folder_8035","You cannot rename elements that are defined in a 'node_modules' folder."),You_cannot_rename_elements_that_are_defined_in_another_node_modules_folder:S(8036,1,"You_cannot_rename_elements_that_are_defined_in_another_node_modules_folder_8036","You cannot rename elements that are defined in another 'node_modules' folder."),Type_satisfaction_expressions_can_only_be_used_in_TypeScript_files:S(8037,1,"Type_satisfaction_expressions_can_only_be_used_in_TypeScript_files_8037","Type satisfaction expressions can only be used in TypeScript files."),Decorators_may_not_appear_after_export_or_export_default_if_they_also_appear_before_export:S(8038,1,"Decorators_may_not_appear_after_export_or_export_default_if_they_also_appear_before_export_8038","Decorators may not appear after 'export' or 'export default' if they also appear before 'export'."),A_JSDoc_template_tag_may_not_follow_a_typedef_callback_or_overload_tag:S(8039,1,"A_JSDoc_template_tag_may_not_follow_a_typedef_callback_or_overload_tag_8039","A JSDoc '@template' tag may not follow a '@typedef', '@callback', or '@overload' tag"),Declaration_emit_for_this_file_requires_using_private_name_0_An_explicit_type_annotation_may_unblock_declaration_emit:S(9005,1,"Declaration_emit_for_this_file_requires_using_private_name_0_An_explicit_type_annotation_may_unblock_9005","Declaration emit for this file requires using private name '{0}'. An explicit type annotation may unblock declaration emit."),Declaration_emit_for_this_file_requires_using_private_name_0_from_module_1_An_explicit_type_annotation_may_unblock_declaration_emit:S(9006,1,"Declaration_emit_for_this_file_requires_using_private_name_0_from_module_1_An_explicit_type_annotati_9006","Declaration emit for this file requires using private name '{0}' from module '{1}'. An explicit type annotation may unblock declaration emit."),JSX_attributes_must_only_be_assigned_a_non_empty_expression:S(17e3,1,"JSX_attributes_must_only_be_assigned_a_non_empty_expression_17000","JSX attributes must only be assigned a non-empty 'expression'."),JSX_elements_cannot_have_multiple_attributes_with_the_same_name:S(17001,1,"JSX_elements_cannot_have_multiple_attributes_with_the_same_name_17001","JSX elements cannot have multiple attributes with the same name."),Expected_corresponding_JSX_closing_tag_for_0:S(17002,1,"Expected_corresponding_JSX_closing_tag_for_0_17002","Expected corresponding JSX closing tag for '{0}'."),Cannot_use_JSX_unless_the_jsx_flag_is_provided:S(17004,1,"Cannot_use_JSX_unless_the_jsx_flag_is_provided_17004","Cannot use JSX unless the '--jsx' flag is provided."),A_constructor_cannot_contain_a_super_call_when_its_class_extends_null:S(17005,1,"A_constructor_cannot_contain_a_super_call_when_its_class_extends_null_17005","A constructor cannot contain a 'super' call when its class extends 'null'."),An_unary_expression_with_the_0_operator_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Consider_enclosing_the_expression_in_parentheses:S(17006,1,"An_unary_expression_with_the_0_operator_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_ex_17006","An unary expression with the '{0}' operator is not allowed in the left-hand side of an exponentiation expression. Consider enclosing the expression in parentheses."),A_type_assertion_expression_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Consider_enclosing_the_expression_in_parentheses:S(17007,1,"A_type_assertion_expression_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Con_17007","A type assertion expression is not allowed in the left-hand side of an exponentiation expression. Consider enclosing the expression in parentheses."),JSX_element_0_has_no_corresponding_closing_tag:S(17008,1,"JSX_element_0_has_no_corresponding_closing_tag_17008","JSX element '{0}' has no corresponding closing tag."),super_must_be_called_before_accessing_this_in_the_constructor_of_a_derived_class:S(17009,1,"super_must_be_called_before_accessing_this_in_the_constructor_of_a_derived_class_17009","'super' must be called before accessing 'this' in the constructor of a derived class."),Unknown_type_acquisition_option_0:S(17010,1,"Unknown_type_acquisition_option_0_17010","Unknown type acquisition option '{0}'."),super_must_be_called_before_accessing_a_property_of_super_in_the_constructor_of_a_derived_class:S(17011,1,"super_must_be_called_before_accessing_a_property_of_super_in_the_constructor_of_a_derived_class_17011","'super' must be called before accessing a property of 'super' in the constructor of a derived class."),_0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2:S(17012,1,"_0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2_17012","'{0}' is not a valid meta-property for keyword '{1}'. Did you mean '{2}'?"),Meta_property_0_is_only_allowed_in_the_body_of_a_function_declaration_function_expression_or_constructor:S(17013,1,"Meta_property_0_is_only_allowed_in_the_body_of_a_function_declaration_function_expression_or_constru_17013","Meta-property '{0}' is only allowed in the body of a function declaration, function expression, or constructor."),JSX_fragment_has_no_corresponding_closing_tag:S(17014,1,"JSX_fragment_has_no_corresponding_closing_tag_17014","JSX fragment has no corresponding closing tag."),Expected_corresponding_closing_tag_for_JSX_fragment:S(17015,1,"Expected_corresponding_closing_tag_for_JSX_fragment_17015","Expected corresponding closing tag for JSX fragment."),The_jsxFragmentFactory_compiler_option_must_be_provided_to_use_JSX_fragments_with_the_jsxFactory_compiler_option:S(17016,1,"The_jsxFragmentFactory_compiler_option_must_be_provided_to_use_JSX_fragments_with_the_jsxFactory_com_17016","The 'jsxFragmentFactory' compiler option must be provided to use JSX fragments with the 'jsxFactory' compiler option."),An_jsxFrag_pragma_is_required_when_using_an_jsx_pragma_with_JSX_fragments:S(17017,1,"An_jsxFrag_pragma_is_required_when_using_an_jsx_pragma_with_JSX_fragments_17017","An @jsxFrag pragma is required when using an @jsx pragma with JSX fragments."),Unknown_type_acquisition_option_0_Did_you_mean_1:S(17018,1,"Unknown_type_acquisition_option_0_Did_you_mean_1_17018","Unknown type acquisition option '{0}'. Did you mean '{1}'?"),_0_at_the_end_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1:S(17019,1,"_0_at_the_end_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1_17019","'{0}' at the end of a type is not valid TypeScript syntax. Did you mean to write '{1}'?"),_0_at_the_start_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1:S(17020,1,"_0_at_the_start_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1_17020","'{0}' at the start of a type is not valid TypeScript syntax. Did you mean to write '{1}'?"),Unicode_escape_sequence_cannot_appear_here:S(17021,1,"Unicode_escape_sequence_cannot_appear_here_17021","Unicode escape sequence cannot appear here."),Circularity_detected_while_resolving_configuration_Colon_0:S(18e3,1,"Circularity_detected_while_resolving_configuration_Colon_0_18000","Circularity detected while resolving configuration: {0}"),The_files_list_in_config_file_0_is_empty:S(18002,1,"The_files_list_in_config_file_0_is_empty_18002","The 'files' list in config file '{0}' is empty."),No_inputs_were_found_in_config_file_0_Specified_include_paths_were_1_and_exclude_paths_were_2:S(18003,1,"No_inputs_were_found_in_config_file_0_Specified_include_paths_were_1_and_exclude_paths_were_2_18003","No inputs were found in config file '{0}'. Specified 'include' paths were '{1}' and 'exclude' paths were '{2}'."),File_is_a_CommonJS_module_it_may_be_converted_to_an_ES_module:S(80001,2,"File_is_a_CommonJS_module_it_may_be_converted_to_an_ES_module_80001","File is a CommonJS module; it may be converted to an ES module."),This_constructor_function_may_be_converted_to_a_class_declaration:S(80002,2,"This_constructor_function_may_be_converted_to_a_class_declaration_80002","This constructor function may be converted to a class declaration."),Import_may_be_converted_to_a_default_import:S(80003,2,"Import_may_be_converted_to_a_default_import_80003","Import may be converted to a default import."),JSDoc_types_may_be_moved_to_TypeScript_types:S(80004,2,"JSDoc_types_may_be_moved_to_TypeScript_types_80004","JSDoc types may be moved to TypeScript types."),require_call_may_be_converted_to_an_import:S(80005,2,"require_call_may_be_converted_to_an_import_80005","'require' call may be converted to an import."),This_may_be_converted_to_an_async_function:S(80006,2,"This_may_be_converted_to_an_async_function_80006","This may be converted to an async function."),await_has_no_effect_on_the_type_of_this_expression:S(80007,2,"await_has_no_effect_on_the_type_of_this_expression_80007","'await' has no effect on the type of this expression."),Numeric_literals_with_absolute_values_equal_to_2_53_or_greater_are_too_large_to_be_represented_accurately_as_integers:S(80008,2,"Numeric_literals_with_absolute_values_equal_to_2_53_or_greater_are_too_large_to_be_represented_accur_80008","Numeric literals with absolute values equal to 2^53 or greater are too large to be represented accurately as integers."),JSDoc_typedef_may_be_converted_to_TypeScript_type:S(80009,2,"JSDoc_typedef_may_be_converted_to_TypeScript_type_80009","JSDoc typedef may be converted to TypeScript type."),JSDoc_typedefs_may_be_converted_to_TypeScript_types:S(80010,2,"JSDoc_typedefs_may_be_converted_to_TypeScript_types_80010","JSDoc typedefs may be converted to TypeScript types."),Add_missing_super_call:S(90001,3,"Add_missing_super_call_90001","Add missing 'super()' call"),Make_super_call_the_first_statement_in_the_constructor:S(90002,3,"Make_super_call_the_first_statement_in_the_constructor_90002","Make 'super()' call the first statement in the constructor"),Change_extends_to_implements:S(90003,3,"Change_extends_to_implements_90003","Change 'extends' to 'implements'"),Remove_unused_declaration_for_Colon_0:S(90004,3,"Remove_unused_declaration_for_Colon_0_90004","Remove unused declaration for: '{0}'"),Remove_import_from_0:S(90005,3,"Remove_import_from_0_90005","Remove import from '{0}'"),Implement_interface_0:S(90006,3,"Implement_interface_0_90006","Implement interface '{0}'"),Implement_inherited_abstract_class:S(90007,3,"Implement_inherited_abstract_class_90007","Implement inherited abstract class"),Add_0_to_unresolved_variable:S(90008,3,"Add_0_to_unresolved_variable_90008","Add '{0}.' to unresolved variable"),Remove_variable_statement:S(90010,3,"Remove_variable_statement_90010","Remove variable statement"),Remove_template_tag:S(90011,3,"Remove_template_tag_90011","Remove template tag"),Remove_type_parameters:S(90012,3,"Remove_type_parameters_90012","Remove type parameters"),Import_0_from_1:S(90013,3,"Import_0_from_1_90013",`Import '{0}' from "{1}"`),Change_0_to_1:S(90014,3,"Change_0_to_1_90014","Change '{0}' to '{1}'"),Declare_property_0:S(90016,3,"Declare_property_0_90016","Declare property '{0}'"),Add_index_signature_for_property_0:S(90017,3,"Add_index_signature_for_property_0_90017","Add index signature for property '{0}'"),Disable_checking_for_this_file:S(90018,3,"Disable_checking_for_this_file_90018","Disable checking for this file"),Ignore_this_error_message:S(90019,3,"Ignore_this_error_message_90019","Ignore this error message"),Initialize_property_0_in_the_constructor:S(90020,3,"Initialize_property_0_in_the_constructor_90020","Initialize property '{0}' in the constructor"),Initialize_static_property_0:S(90021,3,"Initialize_static_property_0_90021","Initialize static property '{0}'"),Change_spelling_to_0:S(90022,3,"Change_spelling_to_0_90022","Change spelling to '{0}'"),Declare_method_0:S(90023,3,"Declare_method_0_90023","Declare method '{0}'"),Declare_static_method_0:S(90024,3,"Declare_static_method_0_90024","Declare static method '{0}'"),Prefix_0_with_an_underscore:S(90025,3,"Prefix_0_with_an_underscore_90025","Prefix '{0}' with an underscore"),Rewrite_as_the_indexed_access_type_0:S(90026,3,"Rewrite_as_the_indexed_access_type_0_90026","Rewrite as the indexed access type '{0}'"),Declare_static_property_0:S(90027,3,"Declare_static_property_0_90027","Declare static property '{0}'"),Call_decorator_expression:S(90028,3,"Call_decorator_expression_90028","Call decorator expression"),Add_async_modifier_to_containing_function:S(90029,3,"Add_async_modifier_to_containing_function_90029","Add async modifier to containing function"),Replace_infer_0_with_unknown:S(90030,3,"Replace_infer_0_with_unknown_90030","Replace 'infer {0}' with 'unknown'"),Replace_all_unused_infer_with_unknown:S(90031,3,"Replace_all_unused_infer_with_unknown_90031","Replace all unused 'infer' with 'unknown'"),Add_parameter_name:S(90034,3,"Add_parameter_name_90034","Add parameter name"),Declare_private_property_0:S(90035,3,"Declare_private_property_0_90035","Declare private property '{0}'"),Replace_0_with_Promise_1:S(90036,3,"Replace_0_with_Promise_1_90036","Replace '{0}' with 'Promise<{1}>'"),Fix_all_incorrect_return_type_of_an_async_functions:S(90037,3,"Fix_all_incorrect_return_type_of_an_async_functions_90037","Fix all incorrect return type of an async functions"),Declare_private_method_0:S(90038,3,"Declare_private_method_0_90038","Declare private method '{0}'"),Remove_unused_destructuring_declaration:S(90039,3,"Remove_unused_destructuring_declaration_90039","Remove unused destructuring declaration"),Remove_unused_declarations_for_Colon_0:S(90041,3,"Remove_unused_declarations_for_Colon_0_90041","Remove unused declarations for: '{0}'"),Declare_a_private_field_named_0:S(90053,3,"Declare_a_private_field_named_0_90053","Declare a private field named '{0}'."),Includes_imports_of_types_referenced_by_0:S(90054,3,"Includes_imports_of_types_referenced_by_0_90054","Includes imports of types referenced by '{0}'"),Remove_type_from_import_declaration_from_0:S(90055,3,"Remove_type_from_import_declaration_from_0_90055",`Remove 'type' from import declaration from "{0}"`),Remove_type_from_import_of_0_from_1:S(90056,3,"Remove_type_from_import_of_0_from_1_90056",`Remove 'type' from import of '{0}' from "{1}"`),Add_import_from_0:S(90057,3,"Add_import_from_0_90057",'Add import from "{0}"'),Update_import_from_0:S(90058,3,"Update_import_from_0_90058",'Update import from "{0}"'),Export_0_from_module_1:S(90059,3,"Export_0_from_module_1_90059","Export '{0}' from module '{1}'"),Export_all_referenced_locals:S(90060,3,"Export_all_referenced_locals_90060","Export all referenced locals"),Convert_function_to_an_ES2015_class:S(95001,3,"Convert_function_to_an_ES2015_class_95001","Convert function to an ES2015 class"),Convert_0_to_1_in_0:S(95003,3,"Convert_0_to_1_in_0_95003","Convert '{0}' to '{1} in {0}'"),Extract_to_0_in_1:S(95004,3,"Extract_to_0_in_1_95004","Extract to {0} in {1}"),Extract_function:S(95005,3,"Extract_function_95005","Extract function"),Extract_constant:S(95006,3,"Extract_constant_95006","Extract constant"),Extract_to_0_in_enclosing_scope:S(95007,3,"Extract_to_0_in_enclosing_scope_95007","Extract to {0} in enclosing scope"),Extract_to_0_in_1_scope:S(95008,3,"Extract_to_0_in_1_scope_95008","Extract to {0} in {1} scope"),Annotate_with_type_from_JSDoc:S(95009,3,"Annotate_with_type_from_JSDoc_95009","Annotate with type from JSDoc"),Infer_type_of_0_from_usage:S(95011,3,"Infer_type_of_0_from_usage_95011","Infer type of '{0}' from usage"),Infer_parameter_types_from_usage:S(95012,3,"Infer_parameter_types_from_usage_95012","Infer parameter types from usage"),Convert_to_default_import:S(95013,3,"Convert_to_default_import_95013","Convert to default import"),Install_0:S(95014,3,"Install_0_95014","Install '{0}'"),Replace_import_with_0:S(95015,3,"Replace_import_with_0_95015","Replace import with '{0}'."),Use_synthetic_default_member:S(95016,3,"Use_synthetic_default_member_95016","Use synthetic 'default' member."),Convert_to_ES_module:S(95017,3,"Convert_to_ES_module_95017","Convert to ES module"),Add_undefined_type_to_property_0:S(95018,3,"Add_undefined_type_to_property_0_95018","Add 'undefined' type to property '{0}'"),Add_initializer_to_property_0:S(95019,3,"Add_initializer_to_property_0_95019","Add initializer to property '{0}'"),Add_definite_assignment_assertion_to_property_0:S(95020,3,"Add_definite_assignment_assertion_to_property_0_95020","Add definite assignment assertion to property '{0}'"),Convert_all_type_literals_to_mapped_type:S(95021,3,"Convert_all_type_literals_to_mapped_type_95021","Convert all type literals to mapped type"),Add_all_missing_members:S(95022,3,"Add_all_missing_members_95022","Add all missing members"),Infer_all_types_from_usage:S(95023,3,"Infer_all_types_from_usage_95023","Infer all types from usage"),Delete_all_unused_declarations:S(95024,3,"Delete_all_unused_declarations_95024","Delete all unused declarations"),Prefix_all_unused_declarations_with_where_possible:S(95025,3,"Prefix_all_unused_declarations_with_where_possible_95025","Prefix all unused declarations with '_' where possible"),Fix_all_detected_spelling_errors:S(95026,3,"Fix_all_detected_spelling_errors_95026","Fix all detected spelling errors"),Add_initializers_to_all_uninitialized_properties:S(95027,3,"Add_initializers_to_all_uninitialized_properties_95027","Add initializers to all uninitialized properties"),Add_definite_assignment_assertions_to_all_uninitialized_properties:S(95028,3,"Add_definite_assignment_assertions_to_all_uninitialized_properties_95028","Add definite assignment assertions to all uninitialized properties"),Add_undefined_type_to_all_uninitialized_properties:S(95029,3,"Add_undefined_type_to_all_uninitialized_properties_95029","Add undefined type to all uninitialized properties"),Change_all_jsdoc_style_types_to_TypeScript:S(95030,3,"Change_all_jsdoc_style_types_to_TypeScript_95030","Change all jsdoc-style types to TypeScript"),Change_all_jsdoc_style_types_to_TypeScript_and_add_undefined_to_nullable_types:S(95031,3,"Change_all_jsdoc_style_types_to_TypeScript_and_add_undefined_to_nullable_types_95031","Change all jsdoc-style types to TypeScript (and add '| undefined' to nullable types)"),Implement_all_unimplemented_interfaces:S(95032,3,"Implement_all_unimplemented_interfaces_95032","Implement all unimplemented interfaces"),Install_all_missing_types_packages:S(95033,3,"Install_all_missing_types_packages_95033","Install all missing types packages"),Rewrite_all_as_indexed_access_types:S(95034,3,"Rewrite_all_as_indexed_access_types_95034","Rewrite all as indexed access types"),Convert_all_to_default_imports:S(95035,3,"Convert_all_to_default_imports_95035","Convert all to default imports"),Make_all_super_calls_the_first_statement_in_their_constructor:S(95036,3,"Make_all_super_calls_the_first_statement_in_their_constructor_95036","Make all 'super()' calls the first statement in their constructor"),Add_qualifier_to_all_unresolved_variables_matching_a_member_name:S(95037,3,"Add_qualifier_to_all_unresolved_variables_matching_a_member_name_95037","Add qualifier to all unresolved variables matching a member name"),Change_all_extended_interfaces_to_implements:S(95038,3,"Change_all_extended_interfaces_to_implements_95038","Change all extended interfaces to 'implements'"),Add_all_missing_super_calls:S(95039,3,"Add_all_missing_super_calls_95039","Add all missing super calls"),Implement_all_inherited_abstract_classes:S(95040,3,"Implement_all_inherited_abstract_classes_95040","Implement all inherited abstract classes"),Add_all_missing_async_modifiers:S(95041,3,"Add_all_missing_async_modifiers_95041","Add all missing 'async' modifiers"),Add_ts_ignore_to_all_error_messages:S(95042,3,"Add_ts_ignore_to_all_error_messages_95042","Add '@ts-ignore' to all error messages"),Annotate_everything_with_types_from_JSDoc:S(95043,3,"Annotate_everything_with_types_from_JSDoc_95043","Annotate everything with types from JSDoc"),Add_to_all_uncalled_decorators:S(95044,3,"Add_to_all_uncalled_decorators_95044","Add '()' to all uncalled decorators"),Convert_all_constructor_functions_to_classes:S(95045,3,"Convert_all_constructor_functions_to_classes_95045","Convert all constructor functions to classes"),Generate_get_and_set_accessors:S(95046,3,"Generate_get_and_set_accessors_95046","Generate 'get' and 'set' accessors"),Convert_require_to_import:S(95047,3,"Convert_require_to_import_95047","Convert 'require' to 'import'"),Convert_all_require_to_import:S(95048,3,"Convert_all_require_to_import_95048","Convert all 'require' to 'import'"),Move_to_a_new_file:S(95049,3,"Move_to_a_new_file_95049","Move to a new file"),Remove_unreachable_code:S(95050,3,"Remove_unreachable_code_95050","Remove unreachable code"),Remove_all_unreachable_code:S(95051,3,"Remove_all_unreachable_code_95051","Remove all unreachable code"),Add_missing_typeof:S(95052,3,"Add_missing_typeof_95052","Add missing 'typeof'"),Remove_unused_label:S(95053,3,"Remove_unused_label_95053","Remove unused label"),Remove_all_unused_labels:S(95054,3,"Remove_all_unused_labels_95054","Remove all unused labels"),Convert_0_to_mapped_object_type:S(95055,3,"Convert_0_to_mapped_object_type_95055","Convert '{0}' to mapped object type"),Convert_namespace_import_to_named_imports:S(95056,3,"Convert_namespace_import_to_named_imports_95056","Convert namespace import to named imports"),Convert_named_imports_to_namespace_import:S(95057,3,"Convert_named_imports_to_namespace_import_95057","Convert named imports to namespace import"),Add_or_remove_braces_in_an_arrow_function:S(95058,3,"Add_or_remove_braces_in_an_arrow_function_95058","Add or remove braces in an arrow function"),Add_braces_to_arrow_function:S(95059,3,"Add_braces_to_arrow_function_95059","Add braces to arrow function"),Remove_braces_from_arrow_function:S(95060,3,"Remove_braces_from_arrow_function_95060","Remove braces from arrow function"),Convert_default_export_to_named_export:S(95061,3,"Convert_default_export_to_named_export_95061","Convert default export to named export"),Convert_named_export_to_default_export:S(95062,3,"Convert_named_export_to_default_export_95062","Convert named export to default export"),Add_missing_enum_member_0:S(95063,3,"Add_missing_enum_member_0_95063","Add missing enum member '{0}'"),Add_all_missing_imports:S(95064,3,"Add_all_missing_imports_95064","Add all missing imports"),Convert_to_async_function:S(95065,3,"Convert_to_async_function_95065","Convert to async function"),Convert_all_to_async_functions:S(95066,3,"Convert_all_to_async_functions_95066","Convert all to async functions"),Add_missing_call_parentheses:S(95067,3,"Add_missing_call_parentheses_95067","Add missing call parentheses"),Add_all_missing_call_parentheses:S(95068,3,"Add_all_missing_call_parentheses_95068","Add all missing call parentheses"),Add_unknown_conversion_for_non_overlapping_types:S(95069,3,"Add_unknown_conversion_for_non_overlapping_types_95069","Add 'unknown' conversion for non-overlapping types"),Add_unknown_to_all_conversions_of_non_overlapping_types:S(95070,3,"Add_unknown_to_all_conversions_of_non_overlapping_types_95070","Add 'unknown' to all conversions of non-overlapping types"),Add_missing_new_operator_to_call:S(95071,3,"Add_missing_new_operator_to_call_95071","Add missing 'new' operator to call"),Add_missing_new_operator_to_all_calls:S(95072,3,"Add_missing_new_operator_to_all_calls_95072","Add missing 'new' operator to all calls"),Add_names_to_all_parameters_without_names:S(95073,3,"Add_names_to_all_parameters_without_names_95073","Add names to all parameters without names"),Enable_the_experimentalDecorators_option_in_your_configuration_file:S(95074,3,"Enable_the_experimentalDecorators_option_in_your_configuration_file_95074","Enable the 'experimentalDecorators' option in your configuration file"),Convert_parameters_to_destructured_object:S(95075,3,"Convert_parameters_to_destructured_object_95075","Convert parameters to destructured object"),Extract_type:S(95077,3,"Extract_type_95077","Extract type"),Extract_to_type_alias:S(95078,3,"Extract_to_type_alias_95078","Extract to type alias"),Extract_to_typedef:S(95079,3,"Extract_to_typedef_95079","Extract to typedef"),Infer_this_type_of_0_from_usage:S(95080,3,"Infer_this_type_of_0_from_usage_95080","Infer 'this' type of '{0}' from usage"),Add_const_to_unresolved_variable:S(95081,3,"Add_const_to_unresolved_variable_95081","Add 'const' to unresolved variable"),Add_const_to_all_unresolved_variables:S(95082,3,"Add_const_to_all_unresolved_variables_95082","Add 'const' to all unresolved variables"),Add_await:S(95083,3,"Add_await_95083","Add 'await'"),Add_await_to_initializer_for_0:S(95084,3,"Add_await_to_initializer_for_0_95084","Add 'await' to initializer for '{0}'"),Fix_all_expressions_possibly_missing_await:S(95085,3,"Fix_all_expressions_possibly_missing_await_95085","Fix all expressions possibly missing 'await'"),Remove_unnecessary_await:S(95086,3,"Remove_unnecessary_await_95086","Remove unnecessary 'await'"),Remove_all_unnecessary_uses_of_await:S(95087,3,"Remove_all_unnecessary_uses_of_await_95087","Remove all unnecessary uses of 'await'"),Enable_the_jsx_flag_in_your_configuration_file:S(95088,3,"Enable_the_jsx_flag_in_your_configuration_file_95088","Enable the '--jsx' flag in your configuration file"),Add_await_to_initializers:S(95089,3,"Add_await_to_initializers_95089","Add 'await' to initializers"),Extract_to_interface:S(95090,3,"Extract_to_interface_95090","Extract to interface"),Convert_to_a_bigint_numeric_literal:S(95091,3,"Convert_to_a_bigint_numeric_literal_95091","Convert to a bigint numeric literal"),Convert_all_to_bigint_numeric_literals:S(95092,3,"Convert_all_to_bigint_numeric_literals_95092","Convert all to bigint numeric literals"),Convert_const_to_let:S(95093,3,"Convert_const_to_let_95093","Convert 'const' to 'let'"),Prefix_with_declare:S(95094,3,"Prefix_with_declare_95094","Prefix with 'declare'"),Prefix_all_incorrect_property_declarations_with_declare:S(95095,3,"Prefix_all_incorrect_property_declarations_with_declare_95095","Prefix all incorrect property declarations with 'declare'"),Convert_to_template_string:S(95096,3,"Convert_to_template_string_95096","Convert to template string"),Add_export_to_make_this_file_into_a_module:S(95097,3,"Add_export_to_make_this_file_into_a_module_95097","Add 'export {}' to make this file into a module"),Set_the_target_option_in_your_configuration_file_to_0:S(95098,3,"Set_the_target_option_in_your_configuration_file_to_0_95098","Set the 'target' option in your configuration file to '{0}'"),Set_the_module_option_in_your_configuration_file_to_0:S(95099,3,"Set_the_module_option_in_your_configuration_file_to_0_95099","Set the 'module' option in your configuration file to '{0}'"),Convert_invalid_character_to_its_html_entity_code:S(95100,3,"Convert_invalid_character_to_its_html_entity_code_95100","Convert invalid character to its html entity code"),Convert_all_invalid_characters_to_HTML_entity_code:S(95101,3,"Convert_all_invalid_characters_to_HTML_entity_code_95101","Convert all invalid characters to HTML entity code"),Convert_all_const_to_let:S(95102,3,"Convert_all_const_to_let_95102","Convert all 'const' to 'let'"),Convert_function_expression_0_to_arrow_function:S(95105,3,"Convert_function_expression_0_to_arrow_function_95105","Convert function expression '{0}' to arrow function"),Convert_function_declaration_0_to_arrow_function:S(95106,3,"Convert_function_declaration_0_to_arrow_function_95106","Convert function declaration '{0}' to arrow function"),Fix_all_implicit_this_errors:S(95107,3,"Fix_all_implicit_this_errors_95107","Fix all implicit-'this' errors"),Wrap_invalid_character_in_an_expression_container:S(95108,3,"Wrap_invalid_character_in_an_expression_container_95108","Wrap invalid character in an expression container"),Wrap_all_invalid_characters_in_an_expression_container:S(95109,3,"Wrap_all_invalid_characters_in_an_expression_container_95109","Wrap all invalid characters in an expression container"),Visit_https_Colon_Slash_Slashaka_ms_Slashtsconfig_to_read_more_about_this_file:S(95110,3,"Visit_https_Colon_Slash_Slashaka_ms_Slashtsconfig_to_read_more_about_this_file_95110","Visit https://aka.ms/tsconfig to read more about this file"),Add_a_return_statement:S(95111,3,"Add_a_return_statement_95111","Add a return statement"),Remove_braces_from_arrow_function_body:S(95112,3,"Remove_braces_from_arrow_function_body_95112","Remove braces from arrow function body"),Wrap_the_following_body_with_parentheses_which_should_be_an_object_literal:S(95113,3,"Wrap_the_following_body_with_parentheses_which_should_be_an_object_literal_95113","Wrap the following body with parentheses which should be an object literal"),Add_all_missing_return_statement:S(95114,3,"Add_all_missing_return_statement_95114","Add all missing return statement"),Remove_braces_from_all_arrow_function_bodies_with_relevant_issues:S(95115,3,"Remove_braces_from_all_arrow_function_bodies_with_relevant_issues_95115","Remove braces from all arrow function bodies with relevant issues"),Wrap_all_object_literal_with_parentheses:S(95116,3,"Wrap_all_object_literal_with_parentheses_95116","Wrap all object literal with parentheses"),Move_labeled_tuple_element_modifiers_to_labels:S(95117,3,"Move_labeled_tuple_element_modifiers_to_labels_95117","Move labeled tuple element modifiers to labels"),Convert_overload_list_to_single_signature:S(95118,3,"Convert_overload_list_to_single_signature_95118","Convert overload list to single signature"),Generate_get_and_set_accessors_for_all_overriding_properties:S(95119,3,"Generate_get_and_set_accessors_for_all_overriding_properties_95119","Generate 'get' and 'set' accessors for all overriding properties"),Wrap_in_JSX_fragment:S(95120,3,"Wrap_in_JSX_fragment_95120","Wrap in JSX fragment"),Wrap_all_unparented_JSX_in_JSX_fragment:S(95121,3,"Wrap_all_unparented_JSX_in_JSX_fragment_95121","Wrap all unparented JSX in JSX fragment"),Convert_arrow_function_or_function_expression:S(95122,3,"Convert_arrow_function_or_function_expression_95122","Convert arrow function or function expression"),Convert_to_anonymous_function:S(95123,3,"Convert_to_anonymous_function_95123","Convert to anonymous function"),Convert_to_named_function:S(95124,3,"Convert_to_named_function_95124","Convert to named function"),Convert_to_arrow_function:S(95125,3,"Convert_to_arrow_function_95125","Convert to arrow function"),Remove_parentheses:S(95126,3,"Remove_parentheses_95126","Remove parentheses"),Could_not_find_a_containing_arrow_function:S(95127,3,"Could_not_find_a_containing_arrow_function_95127","Could not find a containing arrow function"),Containing_function_is_not_an_arrow_function:S(95128,3,"Containing_function_is_not_an_arrow_function_95128","Containing function is not an arrow function"),Could_not_find_export_statement:S(95129,3,"Could_not_find_export_statement_95129","Could not find export statement"),This_file_already_has_a_default_export:S(95130,3,"This_file_already_has_a_default_export_95130","This file already has a default export"),Could_not_find_import_clause:S(95131,3,"Could_not_find_import_clause_95131","Could not find import clause"),Could_not_find_namespace_import_or_named_imports:S(95132,3,"Could_not_find_namespace_import_or_named_imports_95132","Could not find namespace import or named imports"),Selection_is_not_a_valid_type_node:S(95133,3,"Selection_is_not_a_valid_type_node_95133","Selection is not a valid type node"),No_type_could_be_extracted_from_this_type_node:S(95134,3,"No_type_could_be_extracted_from_this_type_node_95134","No type could be extracted from this type node"),Could_not_find_property_for_which_to_generate_accessor:S(95135,3,"Could_not_find_property_for_which_to_generate_accessor_95135","Could not find property for which to generate accessor"),Name_is_not_valid:S(95136,3,"Name_is_not_valid_95136","Name is not valid"),Can_only_convert_property_with_modifier:S(95137,3,"Can_only_convert_property_with_modifier_95137","Can only convert property with modifier"),Switch_each_misused_0_to_1:S(95138,3,"Switch_each_misused_0_to_1_95138","Switch each misused '{0}' to '{1}'"),Convert_to_optional_chain_expression:S(95139,3,"Convert_to_optional_chain_expression_95139","Convert to optional chain expression"),Could_not_find_convertible_access_expression:S(95140,3,"Could_not_find_convertible_access_expression_95140","Could not find convertible access expression"),Could_not_find_matching_access_expressions:S(95141,3,"Could_not_find_matching_access_expressions_95141","Could not find matching access expressions"),Can_only_convert_logical_AND_access_chains:S(95142,3,"Can_only_convert_logical_AND_access_chains_95142","Can only convert logical AND access chains"),Add_void_to_Promise_resolved_without_a_value:S(95143,3,"Add_void_to_Promise_resolved_without_a_value_95143","Add 'void' to Promise resolved without a value"),Add_void_to_all_Promises_resolved_without_a_value:S(95144,3,"Add_void_to_all_Promises_resolved_without_a_value_95144","Add 'void' to all Promises resolved without a value"),Use_element_access_for_0:S(95145,3,"Use_element_access_for_0_95145","Use element access for '{0}'"),Use_element_access_for_all_undeclared_properties:S(95146,3,"Use_element_access_for_all_undeclared_properties_95146","Use element access for all undeclared properties."),Delete_all_unused_imports:S(95147,3,"Delete_all_unused_imports_95147","Delete all unused imports"),Infer_function_return_type:S(95148,3,"Infer_function_return_type_95148","Infer function return type"),Return_type_must_be_inferred_from_a_function:S(95149,3,"Return_type_must_be_inferred_from_a_function_95149","Return type must be inferred from a function"),Could_not_determine_function_return_type:S(95150,3,"Could_not_determine_function_return_type_95150","Could not determine function return type"),Could_not_convert_to_arrow_function:S(95151,3,"Could_not_convert_to_arrow_function_95151","Could not convert to arrow function"),Could_not_convert_to_named_function:S(95152,3,"Could_not_convert_to_named_function_95152","Could not convert to named function"),Could_not_convert_to_anonymous_function:S(95153,3,"Could_not_convert_to_anonymous_function_95153","Could not convert to anonymous function"),Can_only_convert_string_concatenations_and_string_literals:S(95154,3,"Can_only_convert_string_concatenations_and_string_literals_95154","Can only convert string concatenations and string literals"),Selection_is_not_a_valid_statement_or_statements:S(95155,3,"Selection_is_not_a_valid_statement_or_statements_95155","Selection is not a valid statement or statements"),Add_missing_function_declaration_0:S(95156,3,"Add_missing_function_declaration_0_95156","Add missing function declaration '{0}'"),Add_all_missing_function_declarations:S(95157,3,"Add_all_missing_function_declarations_95157","Add all missing function declarations"),Method_not_implemented:S(95158,3,"Method_not_implemented_95158","Method not implemented."),Function_not_implemented:S(95159,3,"Function_not_implemented_95159","Function not implemented."),Add_override_modifier:S(95160,3,"Add_override_modifier_95160","Add 'override' modifier"),Remove_override_modifier:S(95161,3,"Remove_override_modifier_95161","Remove 'override' modifier"),Add_all_missing_override_modifiers:S(95162,3,"Add_all_missing_override_modifiers_95162","Add all missing 'override' modifiers"),Remove_all_unnecessary_override_modifiers:S(95163,3,"Remove_all_unnecessary_override_modifiers_95163","Remove all unnecessary 'override' modifiers"),Can_only_convert_named_export:S(95164,3,"Can_only_convert_named_export_95164","Can only convert named export"),Add_missing_properties:S(95165,3,"Add_missing_properties_95165","Add missing properties"),Add_all_missing_properties:S(95166,3,"Add_all_missing_properties_95166","Add all missing properties"),Add_missing_attributes:S(95167,3,"Add_missing_attributes_95167","Add missing attributes"),Add_all_missing_attributes:S(95168,3,"Add_all_missing_attributes_95168","Add all missing attributes"),Add_undefined_to_optional_property_type:S(95169,3,"Add_undefined_to_optional_property_type_95169","Add 'undefined' to optional property type"),Convert_named_imports_to_default_import:S(95170,3,"Convert_named_imports_to_default_import_95170","Convert named imports to default import"),Delete_unused_param_tag_0:S(95171,3,"Delete_unused_param_tag_0_95171","Delete unused '@param' tag '{0}'"),Delete_all_unused_param_tags:S(95172,3,"Delete_all_unused_param_tags_95172","Delete all unused '@param' tags"),Rename_param_tag_name_0_to_1:S(95173,3,"Rename_param_tag_name_0_to_1_95173","Rename '@param' tag name '{0}' to '{1}'"),Use_0:S(95174,3,"Use_0_95174","Use `{0}`."),Use_Number_isNaN_in_all_conditions:S(95175,3,"Use_Number_isNaN_in_all_conditions_95175","Use `Number.isNaN` in all conditions."),Convert_typedef_to_TypeScript_type:S(95176,3,"Convert_typedef_to_TypeScript_type_95176","Convert typedef to TypeScript type."),Convert_all_typedef_to_TypeScript_types:S(95177,3,"Convert_all_typedef_to_TypeScript_types_95177","Convert all typedef to TypeScript types."),Move_to_file:S(95178,3,"Move_to_file_95178","Move to file"),Cannot_move_to_file_selected_file_is_invalid:S(95179,3,"Cannot_move_to_file_selected_file_is_invalid_95179","Cannot move to file, selected file is invalid"),Use_import_type:S(95180,3,"Use_import_type_95180","Use 'import type'"),Use_type_0:S(95181,3,"Use_type_0_95181","Use 'type {0}'"),Fix_all_with_type_only_imports:S(95182,3,"Fix_all_with_type_only_imports_95182","Fix all with type-only imports"),Cannot_move_statements_to_the_selected_file:S(95183,3,"Cannot_move_statements_to_the_selected_file_95183","Cannot move statements to the selected file"),Inline_variable:S(95184,3,"Inline_variable_95184","Inline variable"),Could_not_find_variable_to_inline:S(95185,3,"Could_not_find_variable_to_inline_95185","Could not find variable to inline."),Variables_with_multiple_declarations_cannot_be_inlined:S(95186,3,"Variables_with_multiple_declarations_cannot_be_inlined_95186","Variables with multiple declarations cannot be inlined."),Add_missing_comma_for_object_member_completion_0:S(95187,3,"Add_missing_comma_for_object_member_completion_0_95187","Add missing comma for object member completion '{0}'."),Add_missing_parameter_to_0:S(95188,3,"Add_missing_parameter_to_0_95188","Add missing parameter to '{0}'"),Add_missing_parameters_to_0:S(95189,3,"Add_missing_parameters_to_0_95189","Add missing parameters to '{0}'"),Add_all_missing_parameters:S(95190,3,"Add_all_missing_parameters_95190","Add all missing parameters"),Add_optional_parameter_to_0:S(95191,3,"Add_optional_parameter_to_0_95191","Add optional parameter to '{0}'"),Add_optional_parameters_to_0:S(95192,3,"Add_optional_parameters_to_0_95192","Add optional parameters to '{0}'"),Add_all_optional_parameters:S(95193,3,"Add_all_optional_parameters_95193","Add all optional parameters"),No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer:S(18004,1,"No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer_18004","No value exists in scope for the shorthand property '{0}'. Either declare one or provide an initializer."),Classes_may_not_have_a_field_named_constructor:S(18006,1,"Classes_may_not_have_a_field_named_constructor_18006","Classes may not have a field named 'constructor'."),JSX_expressions_may_not_use_the_comma_operator_Did_you_mean_to_write_an_array:S(18007,1,"JSX_expressions_may_not_use_the_comma_operator_Did_you_mean_to_write_an_array_18007","JSX expressions may not use the comma operator. Did you mean to write an array?"),Private_identifiers_cannot_be_used_as_parameters:S(18009,1,"Private_identifiers_cannot_be_used_as_parameters_18009","Private identifiers cannot be used as parameters."),An_accessibility_modifier_cannot_be_used_with_a_private_identifier:S(18010,1,"An_accessibility_modifier_cannot_be_used_with_a_private_identifier_18010","An accessibility modifier cannot be used with a private identifier."),The_operand_of_a_delete_operator_cannot_be_a_private_identifier:S(18011,1,"The_operand_of_a_delete_operator_cannot_be_a_private_identifier_18011","The operand of a 'delete' operator cannot be a private identifier."),constructor_is_a_reserved_word:S(18012,1,"constructor_is_a_reserved_word_18012","'#constructor' is a reserved word."),Property_0_is_not_accessible_outside_class_1_because_it_has_a_private_identifier:S(18013,1,"Property_0_is_not_accessible_outside_class_1_because_it_has_a_private_identifier_18013","Property '{0}' is not accessible outside class '{1}' because it has a private identifier."),The_property_0_cannot_be_accessed_on_type_1_within_this_class_because_it_is_shadowed_by_another_private_identifier_with_the_same_spelling:S(18014,1,"The_property_0_cannot_be_accessed_on_type_1_within_this_class_because_it_is_shadowed_by_another_priv_18014","The property '{0}' cannot be accessed on type '{1}' within this class because it is shadowed by another private identifier with the same spelling."),Property_0_in_type_1_refers_to_a_different_member_that_cannot_be_accessed_from_within_type_2:S(18015,1,"Property_0_in_type_1_refers_to_a_different_member_that_cannot_be_accessed_from_within_type_2_18015","Property '{0}' in type '{1}' refers to a different member that cannot be accessed from within type '{2}'."),Private_identifiers_are_not_allowed_outside_class_bodies:S(18016,1,"Private_identifiers_are_not_allowed_outside_class_bodies_18016","Private identifiers are not allowed outside class bodies."),The_shadowing_declaration_of_0_is_defined_here:S(18017,1,"The_shadowing_declaration_of_0_is_defined_here_18017","The shadowing declaration of '{0}' is defined here"),The_declaration_of_0_that_you_probably_intended_to_use_is_defined_here:S(18018,1,"The_declaration_of_0_that_you_probably_intended_to_use_is_defined_here_18018","The declaration of '{0}' that you probably intended to use is defined here"),_0_modifier_cannot_be_used_with_a_private_identifier:S(18019,1,"_0_modifier_cannot_be_used_with_a_private_identifier_18019","'{0}' modifier cannot be used with a private identifier."),An_enum_member_cannot_be_named_with_a_private_identifier:S(18024,1,"An_enum_member_cannot_be_named_with_a_private_identifier_18024","An enum member cannot be named with a private identifier."),can_only_be_used_at_the_start_of_a_file:S(18026,1,"can_only_be_used_at_the_start_of_a_file_18026","'#!' can only be used at the start of a file."),Compiler_reserves_name_0_when_emitting_private_identifier_downlevel:S(18027,1,"Compiler_reserves_name_0_when_emitting_private_identifier_downlevel_18027","Compiler reserves name '{0}' when emitting private identifier downlevel."),Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher:S(18028,1,"Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher_18028","Private identifiers are only available when targeting ECMAScript 2015 and higher."),Private_identifiers_are_not_allowed_in_variable_declarations:S(18029,1,"Private_identifiers_are_not_allowed_in_variable_declarations_18029","Private identifiers are not allowed in variable declarations."),An_optional_chain_cannot_contain_private_identifiers:S(18030,1,"An_optional_chain_cannot_contain_private_identifiers_18030","An optional chain cannot contain private identifiers."),The_intersection_0_was_reduced_to_never_because_property_1_has_conflicting_types_in_some_constituents:S(18031,1,"The_intersection_0_was_reduced_to_never_because_property_1_has_conflicting_types_in_some_constituent_18031","The intersection '{0}' was reduced to 'never' because property '{1}' has conflicting types in some constituents."),The_intersection_0_was_reduced_to_never_because_property_1_exists_in_multiple_constituents_and_is_private_in_some:S(18032,1,"The_intersection_0_was_reduced_to_never_because_property_1_exists_in_multiple_constituents_and_is_pr_18032","The intersection '{0}' was reduced to 'never' because property '{1}' exists in multiple constituents and is private in some."),Type_0_is_not_assignable_to_type_1_as_required_for_computed_enum_member_values:S(18033,1,"Type_0_is_not_assignable_to_type_1_as_required_for_computed_enum_member_values_18033","Type '{0}' is not assignable to type '{1}' as required for computed enum member values."),Specify_the_JSX_fragment_factory_function_to_use_when_targeting_react_JSX_emit_with_jsxFactory_compiler_option_is_specified_e_g_Fragment:S(18034,3,"Specify_the_JSX_fragment_factory_function_to_use_when_targeting_react_JSX_emit_with_jsxFactory_compi_18034","Specify the JSX fragment factory function to use when targeting 'react' JSX emit with 'jsxFactory' compiler option is specified, e.g. 'Fragment'."),Invalid_value_for_jsxFragmentFactory_0_is_not_a_valid_identifier_or_qualified_name:S(18035,1,"Invalid_value_for_jsxFragmentFactory_0_is_not_a_valid_identifier_or_qualified_name_18035","Invalid value for 'jsxFragmentFactory'. '{0}' is not a valid identifier or qualified-name."),Class_decorators_can_t_be_used_with_static_private_identifier_Consider_removing_the_experimental_decorator:S(18036,1,"Class_decorators_can_t_be_used_with_static_private_identifier_Consider_removing_the_experimental_dec_18036","Class decorators can't be used with static private identifier. Consider removing the experimental decorator."),await_expression_cannot_be_used_inside_a_class_static_block:S(18037,1,"await_expression_cannot_be_used_inside_a_class_static_block_18037","'await' expression cannot be used inside a class static block."),for_await_loops_cannot_be_used_inside_a_class_static_block:S(18038,1,"for_await_loops_cannot_be_used_inside_a_class_static_block_18038","'for await' loops cannot be used inside a class static block."),Invalid_use_of_0_It_cannot_be_used_inside_a_class_static_block:S(18039,1,"Invalid_use_of_0_It_cannot_be_used_inside_a_class_static_block_18039","Invalid use of '{0}'. It cannot be used inside a class static block."),A_return_statement_cannot_be_used_inside_a_class_static_block:S(18041,1,"A_return_statement_cannot_be_used_inside_a_class_static_block_18041","A 'return' statement cannot be used inside a class static block."),_0_is_a_type_and_cannot_be_imported_in_JavaScript_files_Use_1_in_a_JSDoc_type_annotation:S(18042,1,"_0_is_a_type_and_cannot_be_imported_in_JavaScript_files_Use_1_in_a_JSDoc_type_annotation_18042","'{0}' is a type and cannot be imported in JavaScript files. Use '{1}' in a JSDoc type annotation."),Types_cannot_appear_in_export_declarations_in_JavaScript_files:S(18043,1,"Types_cannot_appear_in_export_declarations_in_JavaScript_files_18043","Types cannot appear in export declarations in JavaScript files."),_0_is_automatically_exported_here:S(18044,3,"_0_is_automatically_exported_here_18044","'{0}' is automatically exported here."),Properties_with_the_accessor_modifier_are_only_available_when_targeting_ECMAScript_2015_and_higher:S(18045,1,"Properties_with_the_accessor_modifier_are_only_available_when_targeting_ECMAScript_2015_and_higher_18045","Properties with the 'accessor' modifier are only available when targeting ECMAScript 2015 and higher."),_0_is_of_type_unknown:S(18046,1,"_0_is_of_type_unknown_18046","'{0}' is of type 'unknown'."),_0_is_possibly_null:S(18047,1,"_0_is_possibly_null_18047","'{0}' is possibly 'null'."),_0_is_possibly_undefined:S(18048,1,"_0_is_possibly_undefined_18048","'{0}' is possibly 'undefined'."),_0_is_possibly_null_or_undefined:S(18049,1,"_0_is_possibly_null_or_undefined_18049","'{0}' is possibly 'null' or 'undefined'."),The_value_0_cannot_be_used_here:S(18050,1,"The_value_0_cannot_be_used_here_18050","The value '{0}' cannot be used here."),Compiler_option_0_cannot_be_given_an_empty_string:S(18051,1,"Compiler_option_0_cannot_be_given_an_empty_string_18051","Compiler option '{0}' cannot be given an empty string."),Non_abstract_class_0_does_not_implement_all_abstract_members_of_1:S(18052,1,"Non_abstract_class_0_does_not_implement_all_abstract_members_of_1_18052","Non-abstract class '{0}' does not implement all abstract members of '{1}'"),Its_type_0_is_not_a_valid_JSX_element_type:S(18053,1,"Its_type_0_is_not_a_valid_JSX_element_type_18053","Its type '{0}' is not a valid JSX element type."),await_using_statements_cannot_be_used_inside_a_class_static_block:S(18054,1,"await_using_statements_cannot_be_used_inside_a_class_static_block_18054","'await using' statements cannot be used inside a class static block.")}}});function sd(e){return e>=80}function sre(e){return e===32||sd(e)}function eL(e,t){if(e<t[0])return!1;let r=0,i=t.length,o;for(;r+1<i;){if(o=r+(i-r)/2,o-=o%2,t[o]<=e&&e<=t[o+1])return!0;e<t[o]?i=o:r=o+2}return!1}function f4(e,t){return t>=2?eL(e,oSe):t===1?eL(e,rSe):eL(e,tSe)}function SBe(e,t){return t>=2?eL(e,aSe):t===1?eL(e,iSe):eL(e,nSe)}function TBe(e){const t=[];return e.forEach((r,i)=>{t[r]=i}),t}function fa(e){return uSe[e]}function PE(e){return dre.get(e)}function DA(e){const t=[];let r=0,i=0;for(;r<e.length;){const o=e.charCodeAt(r);switch(r++,o){case 13:e.charCodeAt(r)===10&&r++;case 10:t.push(i),i=r;break;default:o>127&&Hu(o)&&(t.push(i),i=r);break}}return t.push(i),t}function tL(e,t,r,i){return e.getPositionOfLineAndCharacter?e.getPositionOfLineAndCharacter(t,r,i):m4(kg(e),t,r,e.text,i)}function m4(e,t,r,i,o){(t<0||t>=e.length)&&(o?t=t<0?0:t>=e.length?e.length-1:t:N.fail(`Bad line number. Line: ${t}, lineStarts.length: ${e.length} , line map is correct? ${i!==void 0?Ca(e,DA(i)):"unknown"}`));const s=e[t]+r;return o?s>e[t+1]?e[t+1]:typeof i=="string"&&s>i.length?i.length:s:(t<e.length-1?N.assert(s<e[t+1]):i!==void 0&&N.assert(s<=i.length),s)}function kg(e){return e.lineMap||(e.lineMap=DA(e.text))}function Kx(e,t){const r=iC(e,t);return{line:r,character:t-e[r]}}function iC(e,t,r){let i=Nv(e,t,nl,rl,r);return i<0&&(i=~i-1,N.assert(i!==-1,"position cannot precede the beginning of the file")),i}function oC(e,t,r){if(t===r)return 0;const i=kg(e),o=Math.min(t,r),s=o===r,l=s?t:r,u=iC(i,o),p=iC(i,l,u);return s?u-p:p-u}function bs(e,t){return Kx(kg(e),t)}function wg(e){return A_(e)||Hu(e)}function A_(e){return e===32||e===9||e===11||e===12||e===160||e===133||e===5760||e>=8192&&e<=8203||e===8239||e===8287||e===12288||e===65279}function Hu(e){return e===10||e===13||e===8232||e===8233}function aC(e){return e>=48&&e<=57}function Q1e(e){return aC(e)||e>=65&&e<=70||e>=97&&e<=102}function ABe(e){return e<=1114111}function _4(e){return e>=48&&e<=55}function lre(e,t){const r=e.charCodeAt(t);switch(r){case 13:case 10:case 9:case 11:case 12:case 32:case 47:case 60:case 124:case 61:case 62:return!0;case 35:return t===0;default:return r>127}}function ka(e,t,r,i,o){if(Zm(t))return t;let s=!1;for(;;){const l=e.charCodeAt(t);switch(l){case 13:e.charCodeAt(t+1)===10&&t++;case 10:if(t++,r)return t;s=!!o;continue;case 9:case 11:case 12:case 32:t++;continue;case 47:if(i)break;if(e.charCodeAt(t+1)===47){for(t+=2;t<e.length&&!Hu(e.charCodeAt(t));)t++;s=!1;continue}if(e.charCodeAt(t+1)===42){for(t+=2;t<e.length;){if(e.charCodeAt(t)===42&&e.charCodeAt(t+1)===47){t+=2;break}t++}s=!1;continue}break;case 60:case 124:case 61:case 62:if(sC(e,t)){t=nL(e,t),s=!1;continue}break;case 35:if(t===0&&GV(e,t)){t=VV(e,t),s=!1;continue}break;case 42:if(s){t++,s=!1;continue}break;default:if(l>127&&wg(l)){t++;continue}break}return t}}function sC(e,t){if(N.assert(t>=0),t===0||Hu(e.charCodeAt(t-1))){const r=e.charCodeAt(t);if(t+g4<e.length){for(let i=0;i<g4;i++)if(e.charCodeAt(t+i)!==r)return!1;return r===61||e.charCodeAt(t+g4)===32}}return!1}function nL(e,t,r){r&&r(f.Merge_conflict_marker_encountered,t,g4);const i=e.charCodeAt(t),o=e.length;if(i===60||i===62)for(;t<o&&!Hu(e.charCodeAt(t));)t++;else for(N.assert(i===124||i===61);t<o;){const s=e.charCodeAt(t);if((s===61||s===62)&&s!==i&&sC(e,t))break;t++}return t}function GV(e,t){return N.assert(t===0),UV.test(e)}function VV(e,t){const r=UV.exec(e)[0];return t=t+r.length,t}function jV(e,t,r,i,o,s,l){let u,p,h,m,b=!1,A=i,I=l;if(r===0){A=!0;const R=h4(t);R&&(r=R.length)}e:for(;r>=0&&r<t.length;){const R=t.charCodeAt(r);switch(R){case 13:t.charCodeAt(r+1)===10&&r++;case 10:if(r++,i)break e;A=!0,b&&(m=!0);continue;case 9:case 11:case 12:case 32:r++;continue;case 47:const M=t.charCodeAt(r+1);let C=!1;if(M===47||M===42){const O=M===47?2:3,U=r;if(r+=2,M===47)for(;r<t.length;){if(Hu(t.charCodeAt(r))){C=!0;break}r++}else for(;r<t.length;){if(t.charCodeAt(r)===42&&t.charCodeAt(r+1)===47){r+=2;break}r++}if(A){if(b&&(I=o(u,p,h,m,s,I),!e&&I))return I;u=U,p=r,h=O,m=C,b=!0}continue}break e;default:if(R>127&&wg(R)){b&&Hu(R)&&(m=!0),r++;continue}break e}}return b&&(I=o(u,p,h,m,s,I)),I}function rL(e,t,r,i){return jV(!1,e,t,!1,r,i)}function iL(e,t,r,i){return jV(!1,e,t,!0,r,i)}function cre(e,t,r,i,o){return jV(!0,e,t,!1,r,i,o)}function ure(e,t,r,i,o){return jV(!0,e,t,!0,r,i,o)}function Z1e(e,t,r,i,o,s=[]){return s.push({kind:r,pos:e,end:t,hasTrailingNewLine:i}),s}function Yh(e,t){return cre(e,t,Z1e,void 0,void 0)}function a0(e,t){return ure(e,t,Z1e,void 0,void 0)}function h4(e){const t=UV.exec(e);if(t)return t[0]}function $h(e,t){return e>=65&&e<=90||e>=97&&e<=122||e===36||e===95||e>127&&f4(e,t)}function s0(e,t,r){return e>=65&&e<=90||e>=97&&e<=122||e>=48&&e<=57||e===36||e===95||(r===1?e===45||e===58:!1)||e>127&&SBe(e,t)}function tf(e,t,r){let i=My(e,0);if(!$h(i,t))return!1;for(let o=l0(i);o<e.length;o+=l0(i))if(!s0(i=My(e,o),t,r))return!1;return!0}function wv(e,t,r=0,i,o,s,l){var u=i,p,h,m,b,A,I,R,M,C=0,O=0,U=0;zt(u,s,l);var K={getTokenFullStart:()=>m,getStartPos:()=>m,getTokenEnd:()=>p,getTextPos:()=>p,getToken:()=>A,getTokenStart:()=>b,getTokenPos:()=>b,getTokenText:()=>u.substring(b,p),getTokenValue:()=>I,hasUnicodeEscape:()=>(R&1024)!==0,hasExtendedUnicodeEscape:()=>(R&8)!==0,hasPrecedingLineBreak:()=>(R&1)!==0,hasPrecedingJSDocComment:()=>(R&2)!==0,isIdentifier:()=>A===80||A>118,isReservedWord:()=>A>=83&&A<=118,isUnterminated:()=>(R&4)!==0,getCommentDirectives:()=>M,getNumericLiteralFlags:()=>R&25584,getTokenFlags:()=>R,reScanGreaterToken:Se,reScanAsteriskEqualsToken:je,reScanSlashToken:at,reScanTemplateToken:$e,reScanTemplateHeadOrNoSubstitutionTemplate:It,scanJsxIdentifier:Qt,scanJsxAttributeValue:$t,reScanJsxAttributeValue:_i,reScanJsxToken:tn,reScanLessThanToken:rn,reScanHashToken:Pn,reScanQuestionToken:Xn,reScanInvalidIdentifier:We,scanJsxToken:hn,scanJsDocToken:Oi,scanJSDocCommentTextToken:ao,scan:De,getText:sn,clearCommentDirectives:tt,setText:zt,setScriptTarget:li,setLanguageVariant:hi,setScriptKind:Qi,setJSDocParsingMode:Io,setOnError:Rn,resetTokenState:ma,setTextPos:ma,setInJSDocType:Wa,tryScan:Ar,lookAhead:Di,scanRange:Mn};return N.isDebugging&&Object.defineProperty(K,"__debugShowCurrentPositionInText",{get:()=>{const Sn=K.getText();return Sn.slice(0,K.getTokenFullStart())+"║"+Sn.slice(K.getTokenFullStart())}}),K;function Z(Sn,kn=p,qe,mt){if(o){const ft=p;p=kn,o(Sn,qe||0,mt),p=ft}}function V(){let Sn=p,kn=!1,qe=!1,mt="";for(;;){const ft=u.charCodeAt(p);if(ft===95){R|=512,kn?(kn=!1,qe=!0,mt+=u.substring(Sn,p)):(R|=16384,Z(qe?f.Multiple_consecutive_numeric_separators_are_not_permitted:f.Numeric_separators_are_not_allowed_here,p,1)),p++,Sn=p;continue}if(aC(ft)){kn=!0,qe=!1,p++;continue}break}return u.charCodeAt(p-1)===95&&(R|=16384,Z(f.Numeric_separators_are_not_allowed_here,p-1,1)),mt+u.substring(Sn,p)}function se(){let Sn=p,kn;if(u.charCodeAt(p)===48)if(p++,u.charCodeAt(p)===95)R|=16896,Z(f.Numeric_separators_are_not_allowed_here,p,1),p--,kn=V();else if(!ne())R|=8192,kn=""+ +I;else if(!I)kn="0";else{I=""+parseInt(I,8),R|=32;const le=A===41,Fe=(le?"-":"")+"0o"+(+I).toString(8);return le&&Sn--,Z(f.Octal_literals_are_not_allowed_Use_the_syntax_0,Sn,p-Sn,Fe),9}else kn=V();let qe,mt;u.charCodeAt(p)===46&&(p++,qe=V());let ft=p;if(u.charCodeAt(p)===69||u.charCodeAt(p)===101){p++,R|=16,(u.charCodeAt(p)===43||u.charCodeAt(p)===45)&&p++;const le=p,Fe=V();Fe?(mt=u.substring(ft,le)+Fe,ft=p):Z(f.Digit_expected)}let Ct;if(R&512?(Ct=kn,qe&&(Ct+="."+qe),mt&&(Ct+=mt)):Ct=u.substring(Sn,ft),R&8192)return Z(f.Decimals_with_leading_zeros_are_not_allowed,Sn,ft-Sn),I=""+ +Ct,9;if(qe!==void 0||R&16)return G(Sn,qe===void 0&&!!(R&16)),I=""+ +Ct,9;{I=Ct;const le=Ee();return G(Sn),le}}function G(Sn,kn){if(!$h(My(u,p),e))return;const qe=p,{length:mt}=ve();mt===1&&u[qe]==="n"?Z(kn?f.A_bigint_literal_cannot_use_exponential_notation:f.A_bigint_literal_must_be_an_integer,Sn,qe-Sn+1):(Z(f.An_identifier_or_keyword_cannot_immediately_follow_a_numeric_literal,qe,mt),p=qe)}function ne(){const Sn=p;let kn=!0;for(;aC(u.charCodeAt(p));)_4(u.charCodeAt(p))||(kn=!1),p++;return I=u.substring(Sn,p),kn}function he(Sn,kn){const qe=$(Sn,!1,kn);return qe?parseInt(qe,16):-1}function ye(Sn,kn){return $(Sn,!0,kn)}function $(Sn,kn,qe){let mt=[],ft=!1,Ct=!1;for(;mt.length<Sn||kn;){let le=u.charCodeAt(p);if(qe&&le===95){R|=512,ft?(ft=!1,Ct=!0):Z(Ct?f.Multiple_consecutive_numeric_separators_are_not_permitted:f.Numeric_separators_are_not_allowed_here,p,1),p++;continue}if(ft=qe,le>=65&&le<=70)le+=32;else if(!(le>=48&&le<=57||le>=97&&le<=102))break;mt.push(le),p++,Ct=!1}return mt.length<Sn&&(mt=[]),u.charCodeAt(p-1)===95&&Z(f.Numeric_separators_are_not_allowed_here,p-1,1),String.fromCharCode(...mt)}function Y(Sn=!1){const kn=u.charCodeAt(p);p++;let qe="",mt=p;for(;;){if(p>=h){qe+=u.substring(mt,p),R|=4,Z(f.Unterminated_string_literal);break}const ft=u.charCodeAt(p);if(ft===kn){qe+=u.substring(mt,p),p++;break}if(ft===92&&!Sn){qe+=u.substring(mt,p),qe+=fe(!0),mt=p;continue}if((ft===10||ft===13)&&!Sn){qe+=u.substring(mt,p),R|=4,Z(f.Unterminated_string_literal);break}p++}return qe}function oe(Sn){const kn=u.charCodeAt(p)===96;p++;let qe=p,mt="",ft;for(;;){if(p>=h){mt+=u.substring(qe,p),R|=4,Z(f.Unterminated_template_literal),ft=kn?15:18;break}const Ct=u.charCodeAt(p);if(Ct===96){mt+=u.substring(qe,p),p++,ft=kn?15:18;break}if(Ct===36&&p+1<h&&u.charCodeAt(p+1)===123){mt+=u.substring(qe,p),p+=2,ft=kn?16:17;break}if(Ct===92){mt+=u.substring(qe,p),mt+=fe(Sn),qe=p;continue}if(Ct===13){mt+=u.substring(qe,p),p++,p<h&&u.charCodeAt(p)===10&&p++,mt+=`
+`,qe=p;continue}p++}return N.assert(ft!==void 0),I=mt,ft}function fe(Sn){const kn=p;if(p++,p>=h)return Z(f.Unexpected_end_of_text),"";const qe=u.charCodeAt(p);switch(p++,qe){case 48:if(p>=h||!aC(u.charCodeAt(p)))return"\0";case 49:case 50:case 51:p<h&&_4(u.charCodeAt(p))&&p++;case 52:case 53:case 54:case 55:if(p<h&&_4(u.charCodeAt(p))&&p++,R|=2048,Sn){const mt=parseInt(u.substring(kn+1,p),8);return Z(f.Octal_escape_sequences_are_not_allowed_Use_the_syntax_0,kn,p-kn,"\\x"+mt.toString(16).padStart(2,"0")),String.fromCharCode(mt)}return u.substring(kn,p);case 56:case 57:return R|=2048,Sn?(Z(f.Escape_sequence_0_is_not_allowed,kn,p-kn,u.substring(kn,p)),String.fromCharCode(qe)):u.substring(kn,p);case 98:return"\b";case 116:return" ";case 110:return`
+`;case 118:return"\v";case 102:return"\f";case 114:return"\r";case 39:return"'";case 34:return'"';case 117:if(p<h&&u.charCodeAt(p)===123){p++;const mt=ye(1,!1),ft=mt?parseInt(mt,16):-1;return ft<0?(R|=2048,Sn&&Z(f.Hexadecimal_digit_expected),u.substring(kn,p)):ABe(ft)?p>=h?(R|=2048,Sn&&Z(f.Unexpected_end_of_text),u.substring(kn,p)):u.charCodeAt(p)!==125?(R|=2048,Sn&&Z(f.Unterminated_Unicode_escape_sequence),u.substring(kn,p)):(p++,R|=8,Xx(ft)):(R|=2048,Sn&&Z(f.An_extended_Unicode_escape_value_must_be_between_0x0_and_0x10FFFF_inclusive),u.substring(kn,p))}for(;p<kn+6;p++)if(!(p<h&&Q1e(u.charCodeAt(p))))return R|=2048,Sn&&Z(f.Hexadecimal_digit_expected),u.substring(kn,p);return R|=1024,String.fromCharCode(parseInt(u.substring(kn+2,p),16));case 120:for(;p<kn+4;p++)if(!(p<h&&Q1e(u.charCodeAt(p))))return R|=2048,Sn&&Z(f.Hexadecimal_digit_expected),u.substring(kn,p);return R|=4096,String.fromCharCode(parseInt(u.substring(kn+2,p),16));case 13:p<h&&u.charCodeAt(p)===10&&p++;case 10:case 8232:case 8233:return"";default:return String.fromCharCode(qe)}}function Ne(){const Sn=ye(1,!1),kn=Sn?parseInt(Sn,16):-1;let qe=!1;return kn<0?(Z(f.Hexadecimal_digit_expected),qe=!0):kn>1114111&&(Z(f.An_extended_Unicode_escape_value_must_be_between_0x0_and_0x10FFFF_inclusive),qe=!0),p>=h?(Z(f.Unexpected_end_of_text),qe=!0):u.charCodeAt(p)===125?p++:(Z(f.Unterminated_Unicode_escape_sequence),qe=!0),qe?"":Xx(kn)}function pe(){if(p+5<h&&u.charCodeAt(p+1)===117){const Sn=p;p+=2;const kn=he(4,!1);return p=Sn,kn}return-1}function ie(){if(My(u,p+1)===117&&My(u,p+2)===123){const Sn=p;p+=3;const kn=ye(1,!1),qe=kn?parseInt(kn,16):-1;return p=Sn,qe}return-1}function ve(){let Sn="",kn=p;for(;p<h;){let qe=My(u,p);if(s0(qe,e))p+=l0(qe);else if(qe===92){if(qe=ie(),qe>=0&&s0(qe,e)){p+=3,R|=8,Sn+=Ne(),kn=p;continue}if(qe=pe(),!(qe>=0&&s0(qe,e)))break;R|=1024,Sn+=u.substring(kn,p),Sn+=Xx(qe),p+=6,kn=p}else break}return Sn+=u.substring(kn,p),Sn}function Le(){const Sn=I.length;if(Sn>=2&&Sn<=12){const kn=I.charCodeAt(0);if(kn>=97&&kn<=122){const qe=eSe.get(I);if(qe!==void 0)return A=qe}}return A=80}function He(Sn){let kn="",qe=!1,mt=!1;for(;;){const ft=u.charCodeAt(p);if(ft===95){R|=512,qe?(qe=!1,mt=!0):Z(mt?f.Multiple_consecutive_numeric_separators_are_not_permitted:f.Numeric_separators_are_not_allowed_here,p,1),p++;continue}if(qe=!0,!aC(ft)||ft-48>=Sn)break;kn+=u[p],p++,mt=!1}return u.charCodeAt(p-1)===95&&Z(f.Numeric_separators_are_not_allowed_here,p-1,1),kn}function Ee(){return u.charCodeAt(p)===110?(I+="n",R&384&&(I=eP(I)+"n"),p++,10):(I=""+(R&128?parseInt(I.slice(2),2):R&256?parseInt(I.slice(2),8):+I),9)}function De(){m=p,R=0;let Sn=!1;for(;;){if(b=p,p>=h)return A=1;const kn=My(u,p);if(p===0){if(u.slice(0,256).includes("�"))return Z(f.File_appears_to_be_binary),p=h,A=8;if(kn===35&&GV(u,p)){if(p=VV(u,p),t)continue;return A=6}}switch(kn){case 10:case 13:if(R|=1,t){p++;continue}else return kn===13&&p+1<h&&u.charCodeAt(p+1)===10?p+=2:p++,A=4;case 9:case 11:case 12:case 32:case 160:case 5760:case 8192:case 8193:case 8194:case 8195:case 8196:case 8197:case 8198:case 8199:case 8200:case 8201:case 8202:case 8203:case 8239:case 8287:case 12288:case 65279:if(t){p++;continue}else{for(;p<h&&A_(u.charCodeAt(p));)p++;return A=5}case 33:return u.charCodeAt(p+1)===61?u.charCodeAt(p+2)===61?(p+=3,A=38):(p+=2,A=36):(p++,A=54);case 34:case 39:return I=Y(),A=11;case 96:return A=oe(!1);case 37:return u.charCodeAt(p+1)===61?(p+=2,A=70):(p++,A=45);case 38:return u.charCodeAt(p+1)===38?u.charCodeAt(p+2)===61?(p+=3,A=77):(p+=2,A=56):u.charCodeAt(p+1)===61?(p+=2,A=74):(p++,A=51);case 40:return p++,A=21;case 41:return p++,A=22;case 42:if(u.charCodeAt(p+1)===61)return p+=2,A=67;if(u.charCodeAt(p+1)===42)return u.charCodeAt(p+2)===61?(p+=3,A=68):(p+=2,A=43);if(p++,C&&!Sn&&R&1){Sn=!0;continue}return A=42;case 43:return u.charCodeAt(p+1)===43?(p+=2,A=46):u.charCodeAt(p+1)===61?(p+=2,A=65):(p++,A=40);case 44:return p++,A=28;case 45:return u.charCodeAt(p+1)===45?(p+=2,A=47):u.charCodeAt(p+1)===61?(p+=2,A=66):(p++,A=41);case 46:return aC(u.charCodeAt(p+1))?(se(),A=9):u.charCodeAt(p+1)===46&&u.charCodeAt(p+2)===46?(p+=3,A=26):(p++,A=25);case 47:if(u.charCodeAt(p+1)===47){for(p+=2;p<h&&!Hu(u.charCodeAt(p));)p++;if(M=Gt(M,u.slice(b,p),sSe,b),t)continue;return A=2}if(u.charCodeAt(p+1)===42){p+=2;const Fe=u.charCodeAt(p)===42&&u.charCodeAt(p+1)!==47;let pt=!1,j=b;for(;p<h;){const ot=u.charCodeAt(p);if(ot===42&&u.charCodeAt(p+1)===47){p+=2,pt=!0;break}p++,Hu(ot)&&(j=p,R|=1)}if(Fe&&Pe()&&(R|=2),M=Gt(M,u.slice(j,p),lSe,j),pt||Z(f.Asterisk_Slash_expected),t)continue;return pt||(R|=4),A=3}return u.charCodeAt(p+1)===61?(p+=2,A=69):(p++,A=44);case 48:if(p+2<h&&(u.charCodeAt(p+1)===88||u.charCodeAt(p+1)===120))return p+=2,I=ye(1,!0),I||(Z(f.Hexadecimal_digit_expected),I="0"),I="0x"+I,R|=64,A=Ee();if(p+2<h&&(u.charCodeAt(p+1)===66||u.charCodeAt(p+1)===98))return p+=2,I=He(2),I||(Z(f.Binary_digit_expected),I="0"),I="0b"+I,R|=128,A=Ee();if(p+2<h&&(u.charCodeAt(p+1)===79||u.charCodeAt(p+1)===111))return p+=2,I=He(8),I||(Z(f.Octal_digit_expected),I="0"),I="0o"+I,R|=256,A=Ee();case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:return A=se();case 58:return p++,A=59;case 59:return p++,A=27;case 60:if(sC(u,p)){if(p=nL(u,p,Z),t)continue;return A=7}return u.charCodeAt(p+1)===60?u.charCodeAt(p+2)===61?(p+=3,A=71):(p+=2,A=48):u.charCodeAt(p+1)===61?(p+=2,A=33):r===1&&u.charCodeAt(p+1)===47&&u.charCodeAt(p+2)!==42?(p+=2,A=31):(p++,A=30);case 61:if(sC(u,p)){if(p=nL(u,p,Z),t)continue;return A=7}return u.charCodeAt(p+1)===61?u.charCodeAt(p+2)===61?(p+=3,A=37):(p+=2,A=35):u.charCodeAt(p+1)===62?(p+=2,A=39):(p++,A=64);case 62:if(sC(u,p)){if(p=nL(u,p,Z),t)continue;return A=7}return p++,A=32;case 63:return u.charCodeAt(p+1)===46&&!aC(u.charCodeAt(p+2))?(p+=2,A=29):u.charCodeAt(p+1)===63?u.charCodeAt(p+2)===61?(p+=3,A=78):(p+=2,A=61):(p++,A=58);case 91:return p++,A=23;case 93:return p++,A=24;case 94:return u.charCodeAt(p+1)===61?(p+=2,A=79):(p++,A=53);case 123:return p++,A=19;case 124:if(sC(u,p)){if(p=nL(u,p,Z),t)continue;return A=7}return u.charCodeAt(p+1)===124?u.charCodeAt(p+2)===61?(p+=3,A=76):(p+=2,A=57):u.charCodeAt(p+1)===61?(p+=2,A=75):(p++,A=52);case 125:return p++,A=20;case 126:return p++,A=55;case 64:return p++,A=60;case 92:const qe=ie();if(qe>=0&&$h(qe,e))return p+=3,R|=8,I=Ne()+ve(),A=Le();const mt=pe();return mt>=0&&$h(mt,e)?(p+=6,R|=1024,I=String.fromCharCode(mt)+ve(),A=Le()):(Z(f.Invalid_character),p++,A=0);case 35:if(p!==0&&u[p+1]==="!")return Z(f.can_only_be_used_at_the_start_of_a_file),p++,A=0;const ft=My(u,p+1);if(ft===92){p++;const Fe=ie();if(Fe>=0&&$h(Fe,e))return p+=3,R|=8,I="#"+Ne()+ve(),A=81;const pt=pe();if(pt>=0&&$h(pt,e))return p+=6,R|=1024,I="#"+String.fromCharCode(pt)+ve(),A=81;p--}return $h(ft,e)?(p++,At(ft,e)):(I="#",Z(f.Invalid_character,p++,l0(kn))),A=81;default:const Ct=At(kn,e);if(Ct)return A=Ct;if(A_(kn)){p+=l0(kn);continue}else if(Hu(kn)){R|=1,p+=l0(kn);continue}const le=l0(kn);return Z(f.Invalid_character,p,le),p+=le,A=0}}}function Pe(){switch(U){case 0:return!0;case 1:return!1}return O!==3&&O!==4?!0:U===3?!1:cSe.test(u.slice(m,p))}function We(){N.assert(A===0,"'reScanInvalidIdentifier' should only be called when the current token is 'SyntaxKind.Unknown'."),p=b=m,R=0;const Sn=My(u,p),kn=At(Sn,99);return kn?A=kn:(p+=l0(Sn),A)}function At(Sn,kn){let qe=Sn;if($h(qe,kn)){for(p+=l0(qe);p<h&&s0(qe=My(u,p),kn);)p+=l0(qe);return I=u.substring(b,p),qe===92&&(I+=ve()),Le()}}function Se(){if(A===32){if(u.charCodeAt(p)===62)return u.charCodeAt(p+1)===62?u.charCodeAt(p+2)===61?(p+=3,A=73):(p+=2,A=50):u.charCodeAt(p+1)===61?(p+=2,A=72):(p++,A=49);if(u.charCodeAt(p)===61)return p++,A=34}return A}function je(){return N.assert(A===67,"'reScanAsteriskEqualsToken' should only be called on a '*='"),p=b+1,A=64}function at(){if(A===44||A===69){let Sn=b+1,kn=!1,qe=!1;for(;;){if(Sn>=h){R|=4,Z(f.Unterminated_regular_expression_literal);break}const mt=u.charCodeAt(Sn);if(Hu(mt)){R|=4,Z(f.Unterminated_regular_expression_literal);break}if(kn)kn=!1;else if(mt===47&&!qe){Sn++;break}else mt===91?qe=!0:mt===92?kn=!0:mt===93&&(qe=!1);Sn++}for(;Sn<h&&s0(u.charCodeAt(Sn),e);)Sn++;p=Sn,I=u.substring(b,p),A=14}return A}function Gt(Sn,kn,qe,mt){const ft=vt(kn.trimStart(),qe);return ft===void 0?Sn:xn(Sn,{range:{pos:mt,end:p},type:ft})}function vt(Sn,kn){const qe=kn.exec(Sn);if(qe)switch(qe[1]){case"ts-expect-error":return 0;case"ts-ignore":return 1}}function $e(Sn){return p=b,A=oe(!Sn)}function It(){return p=b,A=oe(!0)}function tn(Sn=!0){return p=b=m,A=hn(Sn)}function rn(){return A===48?(p=b+1,A=30):A}function Pn(){return A===81?(p=b+1,A=63):A}function Xn(){return N.assert(A===61,"'reScanQuestionToken' should only be called on a '??'"),p=b+1,A=58}function hn(Sn=!0){if(m=b=p,p>=h)return A=1;let kn=u.charCodeAt(p);if(kn===60)return u.charCodeAt(p+1)===47?(p+=2,A=31):(p++,A=30);if(kn===123)return p++,A=19;let qe=0;for(;p<h&&(kn=u.charCodeAt(p),kn!==123);){if(kn===60){if(sC(u,p))return p=nL(u,p,Z),A=7;break}if(kn===62&&Z(f.Unexpected_token_Did_you_mean_or_gt,p,1),kn===125&&Z(f.Unexpected_token_Did_you_mean_or_rbrace,p,1),Hu(kn)&&qe===0)qe=-1;else{if(!Sn&&Hu(kn)&&qe>0)break;wg(kn)||(qe=p)}p++}return I=u.substring(m,p),qe===-1?13:12}function Qt(){if(sd(A)){for(;p<h;){if(u.charCodeAt(p)===45){I+="-",p++;continue}const kn=p;if(I+=ve(),p===kn)break}return Le()}return A}function $t(){switch(m=p,u.charCodeAt(p)){case 34:case 39:return I=Y(!0),A=11;default:return De()}}function _i(){return p=b=m,$t()}function ao(Sn){if(m=b=p,R=0,p>=h)return A=1;for(let kn=u.charCodeAt(p);p<h&&!Hu(kn)&&kn!==96;kn=My(u,++p))if(!Sn){if(kn===123)break;if(kn===64&&p-1>=0&&A_(u.charCodeAt(p-1))&&!(p+1<h&&wg(u.charCodeAt(p+1))))break}return p===b?Oi():(I=u.substring(b,p),A=82)}function Oi(){if(m=b=p,R=0,p>=h)return A=1;const Sn=My(u,p);switch(p+=l0(Sn),Sn){case 9:case 11:case 12:case 32:for(;p<h&&A_(u.charCodeAt(p));)p++;return A=5;case 64:return A=60;case 13:u.charCodeAt(p)===10&&p++;case 10:return R|=1,A=4;case 42:return A=42;case 123:return A=19;case 125:return A=20;case 91:return A=23;case 93:return A=24;case 60:return A=30;case 62:return A=32;case 61:return A=64;case 44:return A=28;case 46:return A=25;case 96:return A=62;case 35:return A=63;case 92:p--;const kn=ie();if(kn>=0&&$h(kn,e))return p+=3,R|=8,I=Ne()+ve(),A=Le();const qe=pe();return qe>=0&&$h(qe,e)?(p+=6,R|=1024,I=String.fromCharCode(qe)+ve(),A=Le()):(p++,A=0)}if($h(Sn,e)){let kn=Sn;for(;p<h&&s0(kn=My(u,p),e)||u.charCodeAt(p)===45;)p+=l0(kn);return I=u.substring(b,p),kn===92&&(I+=ve()),A=Le()}else return A=0}function No(Sn,kn){const qe=p,mt=m,ft=b,Ct=A,le=I,Fe=R,pt=Sn();return(!pt||kn)&&(p=qe,m=mt,b=ft,A=Ct,I=le,R=Fe),pt}function Mn(Sn,kn,qe){const mt=h,ft=p,Ct=m,le=b,Fe=A,pt=I,j=R,ot=M;zt(u,Sn,kn);const Rt=qe();return h=mt,p=ft,m=Ct,b=le,A=Fe,I=pt,R=j,M=ot,Rt}function Di(Sn){return No(Sn,!0)}function Ar(Sn){return No(Sn,!1)}function sn(){return u}function tt(){M=void 0}function zt(Sn,kn,qe){u=Sn||"",h=qe===void 0?u.length:kn+qe,ma(kn||0)}function Rn(Sn){o=Sn}function li(Sn){e=Sn}function hi(Sn){r=Sn}function Qi(Sn){O=Sn}function Io(Sn){U=Sn}function ma(Sn){N.assert(Sn>=0),p=Sn,m=Sn,b=Sn,A=0,I=void 0,R=0}function Wa(Sn){C+=Sn?1:-1}}function My(e,t){return e.codePointAt(t)}function l0(e){return e>=65536?2:1}function IBe(e){if(N.assert(0<=e&&e<=1114111),e<=65535)return String.fromCharCode(e);const t=Math.floor((e-65536)/1024)+55296,r=(e-65536)%1024+56320;return String.fromCharCode(t,r)}function Xx(e){return dSe(e)}var oL,eSe,dre,tSe,nSe,rSe,iSe,oSe,aSe,sSe,lSe,cSe,uSe,g4,UV,dSe,xBe=T({"src/compiler/scanner.ts"(){ra(),oL={abstract:128,accessor:129,any:133,as:130,asserts:131,assert:132,bigint:163,boolean:136,break:83,case:84,catch:85,class:86,continue:88,const:87,constructor:137,debugger:89,declare:138,default:90,delete:91,do:92,else:93,enum:94,export:95,extends:96,false:97,finally:98,for:99,from:161,function:100,get:139,if:101,implements:119,import:102,in:103,infer:140,instanceof:104,interface:120,intrinsic:141,is:142,keyof:143,let:121,module:144,namespace:145,never:146,new:105,null:106,number:150,object:151,package:122,private:123,protected:124,public:125,override:164,out:147,readonly:148,require:149,global:162,return:107,satisfies:152,set:153,static:126,string:154,super:108,switch:109,symbol:155,this:110,throw:111,true:112,try:113,type:156,typeof:114,undefined:157,unique:158,unknown:159,using:160,var:115,void:116,while:117,with:118,yield:127,async:134,await:135,of:165},eSe=new Map(Object.entries(oL)),dre=new Map(Object.entries({...oL,"{":19,"}":20,"(":21,")":22,"[":23,"]":24,".":25,"...":26,";":27,",":28,"<":30,">":32,"<=":33,">=":34,"==":35,"!=":36,"===":37,"!==":38,"=>":39,"+":40,"-":41,"**":43,"*":42,"/":44,"%":45,"++":46,"--":47,"<<":48,"</":31,">>":49,">>>":50,"&":51,"|":52,"^":53,"!":54,"~":55,"&&":56,"||":57,"?":58,"??":61,"?.":29,":":59,"=":64,"+=":65,"-=":66,"*=":67,"**=":68,"/=":69,"%=":70,"<<=":71,">>=":72,">>>=":73,"&=":74,"|=":75,"^=":79,"||=":76,"&&=":77,"??=":78,"@":60,"#":63,"`":62})),tSe=[170,170,181,181,186,186,192,214,216,246,248,543,546,563,592,685,688,696,699,705,720,721,736,740,750,750,890,890,902,902,904,906,908,908,910,929,931,974,976,983,986,1011,1024,1153,1164,1220,1223,1224,1227,1228,1232,1269,1272,1273,1329,1366,1369,1369,1377,1415,1488,1514,1520,1522,1569,1594,1600,1610,1649,1747,1749,1749,1765,1766,1786,1788,1808,1808,1810,1836,1920,1957,2309,2361,2365,2365,2384,2384,2392,2401,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2524,2525,2527,2529,2544,2545,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2649,2652,2654,2654,2674,2676,2693,2699,2701,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2749,2749,2768,2768,2784,2784,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2870,2873,2877,2877,2908,2909,2911,2913,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,2997,2999,3001,3077,3084,3086,3088,3090,3112,3114,3123,3125,3129,3168,3169,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3294,3294,3296,3297,3333,3340,3342,3344,3346,3368,3370,3385,3424,3425,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3585,3632,3634,3635,3648,3654,3713,3714,3716,3716,3719,3720,3722,3722,3725,3725,3732,3735,3737,3743,3745,3747,3749,3749,3751,3751,3754,3755,3757,3760,3762,3763,3773,3773,3776,3780,3782,3782,3804,3805,3840,3840,3904,3911,3913,3946,3976,3979,4096,4129,4131,4135,4137,4138,4176,4181,4256,4293,4304,4342,4352,4441,4447,4514,4520,4601,4608,4614,4616,4678,4680,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4742,4744,4744,4746,4749,4752,4782,4784,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4814,4816,4822,4824,4846,4848,4878,4880,4880,4882,4885,4888,4894,4896,4934,4936,4954,5024,5108,5121,5740,5743,5750,5761,5786,5792,5866,6016,6067,6176,6263,6272,6312,7680,7835,7840,7929,7936,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8319,8319,8450,8450,8455,8455,8458,8467,8469,8469,8473,8477,8484,8484,8486,8486,8488,8488,8490,8493,8495,8497,8499,8505,8544,8579,12293,12295,12321,12329,12337,12341,12344,12346,12353,12436,12445,12446,12449,12538,12540,12542,12549,12588,12593,12686,12704,12727,13312,19893,19968,40869,40960,42124,44032,55203,63744,64045,64256,64262,64275,64279,64285,64285,64287,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65136,65138,65140,65140,65142,65276,65313,65338,65345,65370,65382,65470,65474,65479,65482,65487,65490,65495,65498,65500],nSe=[170,170,181,181,186,186,192,214,216,246,248,543,546,563,592,685,688,696,699,705,720,721,736,740,750,750,768,846,864,866,890,890,902,902,904,906,908,908,910,929,931,974,976,983,986,1011,1024,1153,1155,1158,1164,1220,1223,1224,1227,1228,1232,1269,1272,1273,1329,1366,1369,1369,1377,1415,1425,1441,1443,1465,1467,1469,1471,1471,1473,1474,1476,1476,1488,1514,1520,1522,1569,1594,1600,1621,1632,1641,1648,1747,1749,1756,1759,1768,1770,1773,1776,1788,1808,1836,1840,1866,1920,1968,2305,2307,2309,2361,2364,2381,2384,2388,2392,2403,2406,2415,2433,2435,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2492,2492,2494,2500,2503,2504,2507,2509,2519,2519,2524,2525,2527,2531,2534,2545,2562,2562,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2620,2620,2622,2626,2631,2632,2635,2637,2649,2652,2654,2654,2662,2676,2689,2691,2693,2699,2701,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2748,2757,2759,2761,2763,2765,2768,2768,2784,2784,2790,2799,2817,2819,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2870,2873,2876,2883,2887,2888,2891,2893,2902,2903,2908,2909,2911,2913,2918,2927,2946,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,2997,2999,3001,3006,3010,3014,3016,3018,3021,3031,3031,3047,3055,3073,3075,3077,3084,3086,3088,3090,3112,3114,3123,3125,3129,3134,3140,3142,3144,3146,3149,3157,3158,3168,3169,3174,3183,3202,3203,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3262,3268,3270,3272,3274,3277,3285,3286,3294,3294,3296,3297,3302,3311,3330,3331,3333,3340,3342,3344,3346,3368,3370,3385,3390,3395,3398,3400,3402,3405,3415,3415,3424,3425,3430,3439,3458,3459,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3530,3530,3535,3540,3542,3542,3544,3551,3570,3571,3585,3642,3648,3662,3664,3673,3713,3714,3716,3716,3719,3720,3722,3722,3725,3725,3732,3735,3737,3743,3745,3747,3749,3749,3751,3751,3754,3755,3757,3769,3771,3773,3776,3780,3782,3782,3784,3789,3792,3801,3804,3805,3840,3840,3864,3865,3872,3881,3893,3893,3895,3895,3897,3897,3902,3911,3913,3946,3953,3972,3974,3979,3984,3991,3993,4028,4038,4038,4096,4129,4131,4135,4137,4138,4140,4146,4150,4153,4160,4169,4176,4185,4256,4293,4304,4342,4352,4441,4447,4514,4520,4601,4608,4614,4616,4678,4680,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4742,4744,4744,4746,4749,4752,4782,4784,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4814,4816,4822,4824,4846,4848,4878,4880,4880,4882,4885,4888,4894,4896,4934,4936,4954,4969,4977,5024,5108,5121,5740,5743,5750,5761,5786,5792,5866,6016,6099,6112,6121,6160,6169,6176,6263,6272,6313,7680,7835,7840,7929,7936,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8255,8256,8319,8319,8400,8412,8417,8417,8450,8450,8455,8455,8458,8467,8469,8469,8473,8477,8484,8484,8486,8486,8488,8488,8490,8493,8495,8497,8499,8505,8544,8579,12293,12295,12321,12335,12337,12341,12344,12346,12353,12436,12441,12442,12445,12446,12449,12542,12549,12588,12593,12686,12704,12727,13312,19893,19968,40869,40960,42124,44032,55203,63744,64045,64256,64262,64275,64279,64285,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65056,65059,65075,65076,65101,65103,65136,65138,65140,65140,65142,65276,65296,65305,65313,65338,65343,65343,65345,65370,65381,65470,65474,65479,65482,65487,65490,65495,65498,65500],rSe=[170,170,181,181,186,186,192,214,216,246,248,705,710,721,736,740,748,748,750,750,880,884,886,887,890,893,902,902,904,906,908,908,910,929,931,1013,1015,1153,1162,1319,1329,1366,1369,1369,1377,1415,1488,1514,1520,1522,1568,1610,1646,1647,1649,1747,1749,1749,1765,1766,1774,1775,1786,1788,1791,1791,1808,1808,1810,1839,1869,1957,1969,1969,1994,2026,2036,2037,2042,2042,2048,2069,2074,2074,2084,2084,2088,2088,2112,2136,2208,2208,2210,2220,2308,2361,2365,2365,2384,2384,2392,2401,2417,2423,2425,2431,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2493,2493,2510,2510,2524,2525,2527,2529,2544,2545,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2649,2652,2654,2654,2674,2676,2693,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2749,2749,2768,2768,2784,2785,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2869,2873,2877,2877,2908,2909,2911,2913,2929,2929,2947,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,3001,3024,3024,3077,3084,3086,3088,3090,3112,3114,3123,3125,3129,3133,3133,3160,3161,3168,3169,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3261,3261,3294,3294,3296,3297,3313,3314,3333,3340,3342,3344,3346,3386,3389,3389,3406,3406,3424,3425,3450,3455,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3585,3632,3634,3635,3648,3654,3713,3714,3716,3716,3719,3720,3722,3722,3725,3725,3732,3735,3737,3743,3745,3747,3749,3749,3751,3751,3754,3755,3757,3760,3762,3763,3773,3773,3776,3780,3782,3782,3804,3807,3840,3840,3904,3911,3913,3948,3976,3980,4096,4138,4159,4159,4176,4181,4186,4189,4193,4193,4197,4198,4206,4208,4213,4225,4238,4238,4256,4293,4295,4295,4301,4301,4304,4346,4348,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4744,4746,4749,4752,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4822,4824,4880,4882,4885,4888,4954,4992,5007,5024,5108,5121,5740,5743,5759,5761,5786,5792,5866,5870,5872,5888,5900,5902,5905,5920,5937,5952,5969,5984,5996,5998,6e3,6016,6067,6103,6103,6108,6108,6176,6263,6272,6312,6314,6314,6320,6389,6400,6428,6480,6509,6512,6516,6528,6571,6593,6599,6656,6678,6688,6740,6823,6823,6917,6963,6981,6987,7043,7072,7086,7087,7098,7141,7168,7203,7245,7247,7258,7293,7401,7404,7406,7409,7413,7414,7424,7615,7680,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8305,8305,8319,8319,8336,8348,8450,8450,8455,8455,8458,8467,8469,8469,8473,8477,8484,8484,8486,8486,8488,8488,8490,8493,8495,8505,8508,8511,8517,8521,8526,8526,8544,8584,11264,11310,11312,11358,11360,11492,11499,11502,11506,11507,11520,11557,11559,11559,11565,11565,11568,11623,11631,11631,11648,11670,11680,11686,11688,11694,11696,11702,11704,11710,11712,11718,11720,11726,11728,11734,11736,11742,11823,11823,12293,12295,12321,12329,12337,12341,12344,12348,12353,12438,12445,12447,12449,12538,12540,12543,12549,12589,12593,12686,12704,12730,12784,12799,13312,19893,19968,40908,40960,42124,42192,42237,42240,42508,42512,42527,42538,42539,42560,42606,42623,42647,42656,42735,42775,42783,42786,42888,42891,42894,42896,42899,42912,42922,43e3,43009,43011,43013,43015,43018,43020,43042,43072,43123,43138,43187,43250,43255,43259,43259,43274,43301,43312,43334,43360,43388,43396,43442,43471,43471,43520,43560,43584,43586,43588,43595,43616,43638,43642,43642,43648,43695,43697,43697,43701,43702,43705,43709,43712,43712,43714,43714,43739,43741,43744,43754,43762,43764,43777,43782,43785,43790,43793,43798,43808,43814,43816,43822,43968,44002,44032,55203,55216,55238,55243,55291,63744,64109,64112,64217,64256,64262,64275,64279,64285,64285,64287,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65136,65140,65142,65276,65313,65338,65345,65370,65382,65470,65474,65479,65482,65487,65490,65495,65498,65500],iSe=[170,170,181,181,186,186,192,214,216,246,248,705,710,721,736,740,748,748,750,750,768,884,886,887,890,893,902,902,904,906,908,908,910,929,931,1013,1015,1153,1155,1159,1162,1319,1329,1366,1369,1369,1377,1415,1425,1469,1471,1471,1473,1474,1476,1477,1479,1479,1488,1514,1520,1522,1552,1562,1568,1641,1646,1747,1749,1756,1759,1768,1770,1788,1791,1791,1808,1866,1869,1969,1984,2037,2042,2042,2048,2093,2112,2139,2208,2208,2210,2220,2276,2302,2304,2403,2406,2415,2417,2423,2425,2431,2433,2435,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2492,2500,2503,2504,2507,2510,2519,2519,2524,2525,2527,2531,2534,2545,2561,2563,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2620,2620,2622,2626,2631,2632,2635,2637,2641,2641,2649,2652,2654,2654,2662,2677,2689,2691,2693,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2748,2757,2759,2761,2763,2765,2768,2768,2784,2787,2790,2799,2817,2819,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2869,2873,2876,2884,2887,2888,2891,2893,2902,2903,2908,2909,2911,2915,2918,2927,2929,2929,2946,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,3001,3006,3010,3014,3016,3018,3021,3024,3024,3031,3031,3046,3055,3073,3075,3077,3084,3086,3088,3090,3112,3114,3123,3125,3129,3133,3140,3142,3144,3146,3149,3157,3158,3160,3161,3168,3171,3174,3183,3202,3203,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3260,3268,3270,3272,3274,3277,3285,3286,3294,3294,3296,3299,3302,3311,3313,3314,3330,3331,3333,3340,3342,3344,3346,3386,3389,3396,3398,3400,3402,3406,3415,3415,3424,3427,3430,3439,3450,3455,3458,3459,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3530,3530,3535,3540,3542,3542,3544,3551,3570,3571,3585,3642,3648,3662,3664,3673,3713,3714,3716,3716,3719,3720,3722,3722,3725,3725,3732,3735,3737,3743,3745,3747,3749,3749,3751,3751,3754,3755,3757,3769,3771,3773,3776,3780,3782,3782,3784,3789,3792,3801,3804,3807,3840,3840,3864,3865,3872,3881,3893,3893,3895,3895,3897,3897,3902,3911,3913,3948,3953,3972,3974,3991,3993,4028,4038,4038,4096,4169,4176,4253,4256,4293,4295,4295,4301,4301,4304,4346,4348,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4744,4746,4749,4752,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4822,4824,4880,4882,4885,4888,4954,4957,4959,4992,5007,5024,5108,5121,5740,5743,5759,5761,5786,5792,5866,5870,5872,5888,5900,5902,5908,5920,5940,5952,5971,5984,5996,5998,6e3,6002,6003,6016,6099,6103,6103,6108,6109,6112,6121,6155,6157,6160,6169,6176,6263,6272,6314,6320,6389,6400,6428,6432,6443,6448,6459,6470,6509,6512,6516,6528,6571,6576,6601,6608,6617,6656,6683,6688,6750,6752,6780,6783,6793,6800,6809,6823,6823,6912,6987,6992,7001,7019,7027,7040,7155,7168,7223,7232,7241,7245,7293,7376,7378,7380,7414,7424,7654,7676,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8204,8205,8255,8256,8276,8276,8305,8305,8319,8319,8336,8348,8400,8412,8417,8417,8421,8432,8450,8450,8455,8455,8458,8467,8469,8469,8473,8477,8484,8484,8486,8486,8488,8488,8490,8493,8495,8505,8508,8511,8517,8521,8526,8526,8544,8584,11264,11310,11312,11358,11360,11492,11499,11507,11520,11557,11559,11559,11565,11565,11568,11623,11631,11631,11647,11670,11680,11686,11688,11694,11696,11702,11704,11710,11712,11718,11720,11726,11728,11734,11736,11742,11744,11775,11823,11823,12293,12295,12321,12335,12337,12341,12344,12348,12353,12438,12441,12442,12445,12447,12449,12538,12540,12543,12549,12589,12593,12686,12704,12730,12784,12799,13312,19893,19968,40908,40960,42124,42192,42237,42240,42508,42512,42539,42560,42607,42612,42621,42623,42647,42655,42737,42775,42783,42786,42888,42891,42894,42896,42899,42912,42922,43e3,43047,43072,43123,43136,43204,43216,43225,43232,43255,43259,43259,43264,43309,43312,43347,43360,43388,43392,43456,43471,43481,43520,43574,43584,43597,43600,43609,43616,43638,43642,43643,43648,43714,43739,43741,43744,43759,43762,43766,43777,43782,43785,43790,43793,43798,43808,43814,43816,43822,43968,44010,44012,44013,44016,44025,44032,55203,55216,55238,55243,55291,63744,64109,64112,64217,64256,64262,64275,64279,64285,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65024,65039,65056,65062,65075,65076,65101,65103,65136,65140,65142,65276,65296,65305,65313,65338,65343,65343,65345,65370,65382,65470,65474,65479,65482,65487,65490,65495,65498,65500],oSe=[65,90,97,122,170,170,181,181,186,186,192,214,216,246,248,705,710,721,736,740,748,748,750,750,880,884,886,887,890,893,895,895,902,902,904,906,908,908,910,929,931,1013,1015,1153,1162,1327,1329,1366,1369,1369,1376,1416,1488,1514,1519,1522,1568,1610,1646,1647,1649,1747,1749,1749,1765,1766,1774,1775,1786,1788,1791,1791,1808,1808,1810,1839,1869,1957,1969,1969,1994,2026,2036,2037,2042,2042,2048,2069,2074,2074,2084,2084,2088,2088,2112,2136,2144,2154,2208,2228,2230,2237,2308,2361,2365,2365,2384,2384,2392,2401,2417,2432,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2493,2493,2510,2510,2524,2525,2527,2529,2544,2545,2556,2556,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2649,2652,2654,2654,2674,2676,2693,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2749,2749,2768,2768,2784,2785,2809,2809,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2869,2873,2877,2877,2908,2909,2911,2913,2929,2929,2947,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,3001,3024,3024,3077,3084,3086,3088,3090,3112,3114,3129,3133,3133,3160,3162,3168,3169,3200,3200,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3261,3261,3294,3294,3296,3297,3313,3314,3333,3340,3342,3344,3346,3386,3389,3389,3406,3406,3412,3414,3423,3425,3450,3455,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3585,3632,3634,3635,3648,3654,3713,3714,3716,3716,3718,3722,3724,3747,3749,3749,3751,3760,3762,3763,3773,3773,3776,3780,3782,3782,3804,3807,3840,3840,3904,3911,3913,3948,3976,3980,4096,4138,4159,4159,4176,4181,4186,4189,4193,4193,4197,4198,4206,4208,4213,4225,4238,4238,4256,4293,4295,4295,4301,4301,4304,4346,4348,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4744,4746,4749,4752,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4822,4824,4880,4882,4885,4888,4954,4992,5007,5024,5109,5112,5117,5121,5740,5743,5759,5761,5786,5792,5866,5870,5880,5888,5900,5902,5905,5920,5937,5952,5969,5984,5996,5998,6e3,6016,6067,6103,6103,6108,6108,6176,6264,6272,6312,6314,6314,6320,6389,6400,6430,6480,6509,6512,6516,6528,6571,6576,6601,6656,6678,6688,6740,6823,6823,6917,6963,6981,6987,7043,7072,7086,7087,7098,7141,7168,7203,7245,7247,7258,7293,7296,7304,7312,7354,7357,7359,7401,7404,7406,7411,7413,7414,7418,7418,7424,7615,7680,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8305,8305,8319,8319,8336,8348,8450,8450,8455,8455,8458,8467,8469,8469,8472,8477,8484,8484,8486,8486,8488,8488,8490,8505,8508,8511,8517,8521,8526,8526,8544,8584,11264,11310,11312,11358,11360,11492,11499,11502,11506,11507,11520,11557,11559,11559,11565,11565,11568,11623,11631,11631,11648,11670,11680,11686,11688,11694,11696,11702,11704,11710,11712,11718,11720,11726,11728,11734,11736,11742,12293,12295,12321,12329,12337,12341,12344,12348,12353,12438,12443,12447,12449,12538,12540,12543,12549,12591,12593,12686,12704,12730,12784,12799,13312,19893,19968,40943,40960,42124,42192,42237,42240,42508,42512,42527,42538,42539,42560,42606,42623,42653,42656,42735,42775,42783,42786,42888,42891,42943,42946,42950,42999,43009,43011,43013,43015,43018,43020,43042,43072,43123,43138,43187,43250,43255,43259,43259,43261,43262,43274,43301,43312,43334,43360,43388,43396,43442,43471,43471,43488,43492,43494,43503,43514,43518,43520,43560,43584,43586,43588,43595,43616,43638,43642,43642,43646,43695,43697,43697,43701,43702,43705,43709,43712,43712,43714,43714,43739,43741,43744,43754,43762,43764,43777,43782,43785,43790,43793,43798,43808,43814,43816,43822,43824,43866,43868,43879,43888,44002,44032,55203,55216,55238,55243,55291,63744,64109,64112,64217,64256,64262,64275,64279,64285,64285,64287,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65136,65140,65142,65276,65313,65338,65345,65370,65382,65470,65474,65479,65482,65487,65490,65495,65498,65500,65536,65547,65549,65574,65576,65594,65596,65597,65599,65613,65616,65629,65664,65786,65856,65908,66176,66204,66208,66256,66304,66335,66349,66378,66384,66421,66432,66461,66464,66499,66504,66511,66513,66517,66560,66717,66736,66771,66776,66811,66816,66855,66864,66915,67072,67382,67392,67413,67424,67431,67584,67589,67592,67592,67594,67637,67639,67640,67644,67644,67647,67669,67680,67702,67712,67742,67808,67826,67828,67829,67840,67861,67872,67897,67968,68023,68030,68031,68096,68096,68112,68115,68117,68119,68121,68149,68192,68220,68224,68252,68288,68295,68297,68324,68352,68405,68416,68437,68448,68466,68480,68497,68608,68680,68736,68786,68800,68850,68864,68899,69376,69404,69415,69415,69424,69445,69600,69622,69635,69687,69763,69807,69840,69864,69891,69926,69956,69956,69968,70002,70006,70006,70019,70066,70081,70084,70106,70106,70108,70108,70144,70161,70163,70187,70272,70278,70280,70280,70282,70285,70287,70301,70303,70312,70320,70366,70405,70412,70415,70416,70419,70440,70442,70448,70450,70451,70453,70457,70461,70461,70480,70480,70493,70497,70656,70708,70727,70730,70751,70751,70784,70831,70852,70853,70855,70855,71040,71086,71128,71131,71168,71215,71236,71236,71296,71338,71352,71352,71424,71450,71680,71723,71840,71903,71935,71935,72096,72103,72106,72144,72161,72161,72163,72163,72192,72192,72203,72242,72250,72250,72272,72272,72284,72329,72349,72349,72384,72440,72704,72712,72714,72750,72768,72768,72818,72847,72960,72966,72968,72969,72971,73008,73030,73030,73056,73061,73063,73064,73066,73097,73112,73112,73440,73458,73728,74649,74752,74862,74880,75075,77824,78894,82944,83526,92160,92728,92736,92766,92880,92909,92928,92975,92992,92995,93027,93047,93053,93071,93760,93823,93952,94026,94032,94032,94099,94111,94176,94177,94179,94179,94208,100343,100352,101106,110592,110878,110928,110930,110948,110951,110960,111355,113664,113770,113776,113788,113792,113800,113808,113817,119808,119892,119894,119964,119966,119967,119970,119970,119973,119974,119977,119980,119982,119993,119995,119995,119997,120003,120005,120069,120071,120074,120077,120084,120086,120092,120094,120121,120123,120126,120128,120132,120134,120134,120138,120144,120146,120485,120488,120512,120514,120538,120540,120570,120572,120596,120598,120628,120630,120654,120656,120686,120688,120712,120714,120744,120746,120770,120772,120779,123136,123180,123191,123197,123214,123214,123584,123627,124928,125124,125184,125251,125259,125259,126464,126467,126469,126495,126497,126498,126500,126500,126503,126503,126505,126514,126516,126519,126521,126521,126523,126523,126530,126530,126535,126535,126537,126537,126539,126539,126541,126543,126545,126546,126548,126548,126551,126551,126553,126553,126555,126555,126557,126557,126559,126559,126561,126562,126564,126564,126567,126570,126572,126578,126580,126583,126585,126588,126590,126590,126592,126601,126603,126619,126625,126627,126629,126633,126635,126651,131072,173782,173824,177972,177984,178205,178208,183969,183984,191456,194560,195101],aSe=[48,57,65,90,95,95,97,122,170,170,181,181,183,183,186,186,192,214,216,246,248,705,710,721,736,740,748,748,750,750,768,884,886,887,890,893,895,895,902,906,908,908,910,929,931,1013,1015,1153,1155,1159,1162,1327,1329,1366,1369,1369,1376,1416,1425,1469,1471,1471,1473,1474,1476,1477,1479,1479,1488,1514,1519,1522,1552,1562,1568,1641,1646,1747,1749,1756,1759,1768,1770,1788,1791,1791,1808,1866,1869,1969,1984,2037,2042,2042,2045,2045,2048,2093,2112,2139,2144,2154,2208,2228,2230,2237,2259,2273,2275,2403,2406,2415,2417,2435,2437,2444,2447,2448,2451,2472,2474,2480,2482,2482,2486,2489,2492,2500,2503,2504,2507,2510,2519,2519,2524,2525,2527,2531,2534,2545,2556,2556,2558,2558,2561,2563,2565,2570,2575,2576,2579,2600,2602,2608,2610,2611,2613,2614,2616,2617,2620,2620,2622,2626,2631,2632,2635,2637,2641,2641,2649,2652,2654,2654,2662,2677,2689,2691,2693,2701,2703,2705,2707,2728,2730,2736,2738,2739,2741,2745,2748,2757,2759,2761,2763,2765,2768,2768,2784,2787,2790,2799,2809,2815,2817,2819,2821,2828,2831,2832,2835,2856,2858,2864,2866,2867,2869,2873,2876,2884,2887,2888,2891,2893,2902,2903,2908,2909,2911,2915,2918,2927,2929,2929,2946,2947,2949,2954,2958,2960,2962,2965,2969,2970,2972,2972,2974,2975,2979,2980,2984,2986,2990,3001,3006,3010,3014,3016,3018,3021,3024,3024,3031,3031,3046,3055,3072,3084,3086,3088,3090,3112,3114,3129,3133,3140,3142,3144,3146,3149,3157,3158,3160,3162,3168,3171,3174,3183,3200,3203,3205,3212,3214,3216,3218,3240,3242,3251,3253,3257,3260,3268,3270,3272,3274,3277,3285,3286,3294,3294,3296,3299,3302,3311,3313,3314,3328,3331,3333,3340,3342,3344,3346,3396,3398,3400,3402,3406,3412,3415,3423,3427,3430,3439,3450,3455,3458,3459,3461,3478,3482,3505,3507,3515,3517,3517,3520,3526,3530,3530,3535,3540,3542,3542,3544,3551,3558,3567,3570,3571,3585,3642,3648,3662,3664,3673,3713,3714,3716,3716,3718,3722,3724,3747,3749,3749,3751,3773,3776,3780,3782,3782,3784,3789,3792,3801,3804,3807,3840,3840,3864,3865,3872,3881,3893,3893,3895,3895,3897,3897,3902,3911,3913,3948,3953,3972,3974,3991,3993,4028,4038,4038,4096,4169,4176,4253,4256,4293,4295,4295,4301,4301,4304,4346,4348,4680,4682,4685,4688,4694,4696,4696,4698,4701,4704,4744,4746,4749,4752,4784,4786,4789,4792,4798,4800,4800,4802,4805,4808,4822,4824,4880,4882,4885,4888,4954,4957,4959,4969,4977,4992,5007,5024,5109,5112,5117,5121,5740,5743,5759,5761,5786,5792,5866,5870,5880,5888,5900,5902,5908,5920,5940,5952,5971,5984,5996,5998,6e3,6002,6003,6016,6099,6103,6103,6108,6109,6112,6121,6155,6157,6160,6169,6176,6264,6272,6314,6320,6389,6400,6430,6432,6443,6448,6459,6470,6509,6512,6516,6528,6571,6576,6601,6608,6618,6656,6683,6688,6750,6752,6780,6783,6793,6800,6809,6823,6823,6832,6845,6912,6987,6992,7001,7019,7027,7040,7155,7168,7223,7232,7241,7245,7293,7296,7304,7312,7354,7357,7359,7376,7378,7380,7418,7424,7673,7675,7957,7960,7965,7968,8005,8008,8013,8016,8023,8025,8025,8027,8027,8029,8029,8031,8061,8064,8116,8118,8124,8126,8126,8130,8132,8134,8140,8144,8147,8150,8155,8160,8172,8178,8180,8182,8188,8255,8256,8276,8276,8305,8305,8319,8319,8336,8348,8400,8412,8417,8417,8421,8432,8450,8450,8455,8455,8458,8467,8469,8469,8472,8477,8484,8484,8486,8486,8488,8488,8490,8505,8508,8511,8517,8521,8526,8526,8544,8584,11264,11310,11312,11358,11360,11492,11499,11507,11520,11557,11559,11559,11565,11565,11568,11623,11631,11631,11647,11670,11680,11686,11688,11694,11696,11702,11704,11710,11712,11718,11720,11726,11728,11734,11736,11742,11744,11775,12293,12295,12321,12335,12337,12341,12344,12348,12353,12438,12441,12447,12449,12538,12540,12543,12549,12591,12593,12686,12704,12730,12784,12799,13312,19893,19968,40943,40960,42124,42192,42237,42240,42508,42512,42539,42560,42607,42612,42621,42623,42737,42775,42783,42786,42888,42891,42943,42946,42950,42999,43047,43072,43123,43136,43205,43216,43225,43232,43255,43259,43259,43261,43309,43312,43347,43360,43388,43392,43456,43471,43481,43488,43518,43520,43574,43584,43597,43600,43609,43616,43638,43642,43714,43739,43741,43744,43759,43762,43766,43777,43782,43785,43790,43793,43798,43808,43814,43816,43822,43824,43866,43868,43879,43888,44010,44012,44013,44016,44025,44032,55203,55216,55238,55243,55291,63744,64109,64112,64217,64256,64262,64275,64279,64285,64296,64298,64310,64312,64316,64318,64318,64320,64321,64323,64324,64326,64433,64467,64829,64848,64911,64914,64967,65008,65019,65024,65039,65056,65071,65075,65076,65101,65103,65136,65140,65142,65276,65296,65305,65313,65338,65343,65343,65345,65370,65382,65470,65474,65479,65482,65487,65490,65495,65498,65500,65536,65547,65549,65574,65576,65594,65596,65597,65599,65613,65616,65629,65664,65786,65856,65908,66045,66045,66176,66204,66208,66256,66272,66272,66304,66335,66349,66378,66384,66426,66432,66461,66464,66499,66504,66511,66513,66517,66560,66717,66720,66729,66736,66771,66776,66811,66816,66855,66864,66915,67072,67382,67392,67413,67424,67431,67584,67589,67592,67592,67594,67637,67639,67640,67644,67644,67647,67669,67680,67702,67712,67742,67808,67826,67828,67829,67840,67861,67872,67897,67968,68023,68030,68031,68096,68099,68101,68102,68108,68115,68117,68119,68121,68149,68152,68154,68159,68159,68192,68220,68224,68252,68288,68295,68297,68326,68352,68405,68416,68437,68448,68466,68480,68497,68608,68680,68736,68786,68800,68850,68864,68903,68912,68921,69376,69404,69415,69415,69424,69456,69600,69622,69632,69702,69734,69743,69759,69818,69840,69864,69872,69881,69888,69940,69942,69951,69956,69958,69968,70003,70006,70006,70016,70084,70089,70092,70096,70106,70108,70108,70144,70161,70163,70199,70206,70206,70272,70278,70280,70280,70282,70285,70287,70301,70303,70312,70320,70378,70384,70393,70400,70403,70405,70412,70415,70416,70419,70440,70442,70448,70450,70451,70453,70457,70459,70468,70471,70472,70475,70477,70480,70480,70487,70487,70493,70499,70502,70508,70512,70516,70656,70730,70736,70745,70750,70751,70784,70853,70855,70855,70864,70873,71040,71093,71096,71104,71128,71133,71168,71232,71236,71236,71248,71257,71296,71352,71360,71369,71424,71450,71453,71467,71472,71481,71680,71738,71840,71913,71935,71935,72096,72103,72106,72151,72154,72161,72163,72164,72192,72254,72263,72263,72272,72345,72349,72349,72384,72440,72704,72712,72714,72758,72760,72768,72784,72793,72818,72847,72850,72871,72873,72886,72960,72966,72968,72969,72971,73014,73018,73018,73020,73021,73023,73031,73040,73049,73056,73061,73063,73064,73066,73102,73104,73105,73107,73112,73120,73129,73440,73462,73728,74649,74752,74862,74880,75075,77824,78894,82944,83526,92160,92728,92736,92766,92768,92777,92880,92909,92912,92916,92928,92982,92992,92995,93008,93017,93027,93047,93053,93071,93760,93823,93952,94026,94031,94087,94095,94111,94176,94177,94179,94179,94208,100343,100352,101106,110592,110878,110928,110930,110948,110951,110960,111355,113664,113770,113776,113788,113792,113800,113808,113817,113821,113822,119141,119145,119149,119154,119163,119170,119173,119179,119210,119213,119362,119364,119808,119892,119894,119964,119966,119967,119970,119970,119973,119974,119977,119980,119982,119993,119995,119995,119997,120003,120005,120069,120071,120074,120077,120084,120086,120092,120094,120121,120123,120126,120128,120132,120134,120134,120138,120144,120146,120485,120488,120512,120514,120538,120540,120570,120572,120596,120598,120628,120630,120654,120656,120686,120688,120712,120714,120744,120746,120770,120772,120779,120782,120831,121344,121398,121403,121452,121461,121461,121476,121476,121499,121503,121505,121519,122880,122886,122888,122904,122907,122913,122915,122916,122918,122922,123136,123180,123184,123197,123200,123209,123214,123214,123584,123641,124928,125124,125136,125142,125184,125259,125264,125273,126464,126467,126469,126495,126497,126498,126500,126500,126503,126503,126505,126514,126516,126519,126521,126521,126523,126523,126530,126530,126535,126535,126537,126537,126539,126539,126541,126543,126545,126546,126548,126548,126551,126551,126553,126553,126555,126555,126557,126557,126559,126559,126561,126562,126564,126564,126567,126570,126572,126578,126580,126583,126585,126588,126590,126590,126592,126601,126603,126619,126625,126627,126629,126633,126635,126651,131072,173782,173824,177972,177984,178205,178208,183969,183984,191456,194560,195101,917760,917999],sSe=/^\/\/\/?\s*@(ts-expect-error|ts-ignore)/,lSe=/^(?:\/|\*)*\s*@(ts-expect-error|ts-ignore)/,cSe=/@(?:see|link)/i,uSe=TBe(dre),g4=7,UV=/^#!.*/,dSe=String.fromCodePoint?e=>String.fromCodePoint(e):IBe}});function Yc(e){return Wp(e)||fp(e)}function Yx(e){return KN(e,KC)}function aL(e){switch(os(e)){case 99:return"lib.esnext.full.d.ts";case 9:return"lib.es2022.full.d.ts";case 8:return"lib.es2021.full.d.ts";case 7:return"lib.es2020.full.d.ts";case 6:return"lib.es2019.full.d.ts";case 5:return"lib.es2018.full.d.ts";case 4:return"lib.es2017.full.d.ts";case 3:return"lib.es2016.full.d.ts";case 2:return"lib.es6.d.ts";default:return"lib.d.ts"}}function Kl(e){return e.start+e.length}function pre(e){return e.length===0}function HV(e,t){return t>=e.start&&t<Kl(e)}function sL(e,t){return t>=e.pos&&t<=e.end}function fre(e,t){return t.start>=e.start&&Kl(t)<=Kl(e)}function pSe(e,t){return mre(e,t)!==void 0}function mre(e,t){const r=hre(e,t);return r&&r.length===0?void 0:r}function fSe(e,t){return lL(e.start,e.length,t.start,t.length)}function v4(e,t,r){return lL(e.start,e.length,t,r)}function lL(e,t,r,i){const o=e+t,s=r+i;return r<=o&&s>=e}function _re(e,t){return t<=Kl(e)&&t>=e.start}function hre(e,t){const r=Math.max(e.start,t.start),i=Math.min(Kl(e),Kl(t));return r<=i?pc(r,i):void 0}function vu(e,t){if(e<0)throw new Error("start < 0");if(t<0)throw new Error("length < 0");return{start:e,length:t}}function pc(e,t){return vu(e,t-e)}function lC(e){return vu(e.span.start,e.newLength)}function gre(e){return pre(e.span)&&e.newLength===0}function cL(e,t){if(t<0)throw new Error("newLength < 0");return{span:e,newLength:t}}function vre(e){if(e.length===0)return IL;if(e.length===1)return e[0];const t=e[0];let r=t.span.start,i=Kl(t.span),o=r+t.newLength;for(let s=1;s<e.length;s++){const l=e[s],u=r,p=i,h=o,m=l.span.start,b=Kl(l.span),A=m+l.newLength;r=Math.min(u,m),i=Math.max(p,p+(b-h)),o=Math.max(A,A+(h-b))}return cL(pc(r,i),o-r)}function mSe(e){if(e&&e.kind===168){for(let t=e;t;t=t.parent)if(ea(t)||ui(t)||t.kind===264)return t}}function mp(e,t){return Ao(e)&&Zr(e,31)&&t.kind===176}function yre(e){return ta(e)?Zn(e.elements,bre):!1}function bre(e){return jc(e)?!0:yre(e.name)}function $x(e){let t=e.parent;for(;Qa(t.parent);)t=t.parent.parent;return t.parent}function Ere(e,t){Qa(e)&&(e=$x(e));let r=t(e);return e.kind===260&&(e=e.parent),e&&e.kind===261&&(r|=t(e),e=e.parent),e&&e.kind===243&&(r|=t(e)),r}function c0(e){return Ere(e,pd)}function qV(e){return Ere(e,_oe)}function Ov(e){return Ere(e,RBe)}function RBe(e){return e.flags}function _Se(e,t,r){const i=e.toLowerCase(),o=/^([a-z]+)([_-]([a-z]+))?$/.exec(i);if(!o){r&&r.push(Hl(f.Locale_must_be_of_the_form_language_or_language_territory_For_example_0_or_1,"en","ja-jp"));return}const s=o[1],l=o[3];Nr(hj,i)&&!u(s,l,r)&&u(s,void 0,r),kne(e);function u(p,h,m){const b=ga(t.getExecutingFilePath()),A=ai(b);let I=Qr(A,p);if(h&&(I=I+"-"+h),I=t.resolvePath(Qr(I,"diagnosticMessages.generated.json")),!t.fileExists(I))return!1;let R="";try{R=t.readFile(I)}catch{return m&&m.push(Hl(f.Unable_to_open_file_0,I)),!1}try{Ooe(JSON.parse(R))}catch{return m&&m.push(Hl(f.Corrupted_locale_file_0,I)),!1}return!0}}function Pl(e,t){if(e)for(;e.original!==void 0;)e=e.original;return!e||!t||t(e)?e:void 0}function Gn(e,t){for(;e;){const r=t(e);if(r==="quit")return;if(r)return e;e=e.parent}}function cC(e){return(e.flags&16)===0}function Do(e,t){if(e===void 0||cC(e))return e;for(e=e.original;e;){if(cC(e))return!t||t(e)?e:void 0;e=e.original}}function hl(e){return e.length>=2&&e.charCodeAt(0)===95&&e.charCodeAt(1)===95?"_"+e:e}function Vi(e){const t=e;return t.length>=3&&t.charCodeAt(0)===95&&t.charCodeAt(1)===95&&t.charCodeAt(2)===95?t.substr(1):t}function Sr(e){return Vi(e.escapedText)}function u0(e){const t=PE(e.escapedText);return t?ii(t,Bd):void 0}function Sl(e){return e.valueDeclaration&&cd(e.valueDeclaration)?Sr(e.valueDeclaration.name):Vi(e.escapedName)}function hSe(e){const t=e.parent.parent;if(t){if(Ju(t))return JV(t);switch(t.kind){case 243:if(t.declarationList&&t.declarationList.declarations[0])return JV(t.declarationList.declarations[0]);break;case 244:let r=t.expression;switch(r.kind===226&&r.operatorToken.kind===64&&(r=r.left),r.kind){case 211:return r.name;case 212:const i=r.argumentExpression;if(Ve(i))return i}break;case 217:return JV(t.expression);case 256:{if(Ju(t.statement)||yt(t.statement))return JV(t.statement);break}}}}function JV(e){const t=Mo(e);return t&&Ve(t)?t:void 0}function uL(e,t){return!!(ld(e)&&Ve(e.name)&&Sr(e.name)===Sr(t)||Ll(e)&&bt(e.declarationList.declarations,r=>uL(r,t)))}function Sre(e){return e.name||hSe(e)}function ld(e){return!!e.name}function y4(e){switch(e.kind){case 80:return e;case 355:case 348:{const{name:r}=e;if(r.kind===166)return r.right;break}case 213:case 226:{const r=e;switch(Bl(r)){case 1:case 4:case 5:case 3:return _3(r.left);case 7:case 8:case 9:return r.arguments[1];default:return}}case 353:return Sre(e);case 347:return hSe(e);case 277:{const{expression:r}=e;return Ve(r)?r:void 0}case 212:const t=e;if(m3(t))return t.argumentExpression}return e.name}function Mo(e){if(e!==void 0)return y4(e)||(Os(e)||Gs(e)||Zc(e)?b4(e):void 0)}function b4(e){if(e.parent){if(hc(e.parent)||Qa(e.parent))return e.parent.name;if(mr(e.parent)&&e===e.parent.right){if(Ve(e.parent.left))return e.parent.left;if(ws(e.parent.left))return _3(e.parent.left)}else if(wi(e.parent)&&Ve(e.parent.name))return e.parent.name}else return}function Ly(e){if(If(e))return nr(e.modifiers,Eu)}function ME(e){if(Zr(e,98303))return nr(e.modifiers,xa)}function gSe(e,t){if(e.name)if(Ve(e.name)){const r=e.name.escapedText;return pL(e.parent,t).filter(i=>r_(i)&&Ve(i.name)&&i.name.escapedText===r)}else{const r=e.parent.parameters.indexOf(e);N.assert(r>-1,"Parameters should always be in their parents' parameter list");const i=pL(e.parent,t).filter(r_);if(r<i.length)return[i[r]]}return et}function Qx(e){return gSe(e,!1)}function Tre(e){return gSe(e,!0)}function vSe(e,t){const r=e.name.escapedText;return pL(e.parent,t).filter(i=>sm(i)&&i.typeParameters.some(o=>o.name.escapedText===r))}function Are(e){return vSe(e,!1)}function Ire(e){return vSe(e,!0)}function xre(e){return!!Xf(e,r_)}function Rre(e){return Xf(e,vI)}function Dre(e){return S4(e,dF)}function KV(e){return Xf(e,Zae)}function ySe(e){return Xf(e,zH)}function Nre(e){return Xf(e,zH,!0)}function bSe(e){return Xf(e,BH)}function Cre(e){return Xf(e,BH,!0)}function ESe(e){return Xf(e,GH)}function Pre(e){return Xf(e,GH,!0)}function SSe(e){return Xf(e,VH)}function Mre(e){return Xf(e,VH,!0)}function Lre(e){return Xf(e,cF,!0)}function XV(e){return Xf(e,jH)}function kre(e){return Xf(e,jH,!0)}function YV(e){return Xf(e,ew)}function E4(e){return Xf(e,UH)}function wre(e){return Xf(e,uF)}function TSe(e){return Xf(e,sm)}function $V(e){return Xf(e,pF)}function d0(e){const t=Xf(e,xP);if(t&&t.typeExpression&&t.typeExpression.type)return t}function p0(e){let t=Xf(e,xP);return!t&&Ao(e)&&(t=An(Qx(e),r=>!!r.typeExpression)),t&&t.typeExpression&&t.typeExpression.type}function dL(e){const t=wre(e);if(t&&t.typeExpression)return t.typeExpression.type;const r=d0(e);if(r&&r.typeExpression){const i=r.typeExpression.type;if(Ep(i)){const o=An(i.members,sI);return o&&o.type}if(Eh(i)||QR(i))return i.type}}function pL(e,t){var r;if(!ek(e))return et;let i=(r=e.jsDoc)==null?void 0:r.jsDocCache;if(i===void 0||t){const o=Jj(e,t);N.assert(o.length<2||o[0]!==o[1]),i=Pi(o,s=>n_(s)?s.tags:s),t||(e.jsDoc??(e.jsDoc=[]),e.jsDoc.jsDocCache=i)}return i}function f0(e){return pL(e,!1)}function ASe(e){return pL(e,!0)}function Xf(e,t,r){return An(pL(e,r),t)}function S4(e,t){return f0(e).filter(t)}function ISe(e,t){return f0(e).filter(r=>r.kind===t)}function fL(e){return typeof e=="string"?e:e==null?void 0:e.map(t=>t.kind===328?t.text:DBe(t)).join("")}function DBe(e){const t=e.kind===331?"link":e.kind===332?"linkcode":"linkplain",r=e.name?_p(e.name):"",i=e.name&&e.text.startsWith("://")?"":" ";return`{@${t} ${r}${i}${e.text}}`}function ky(e){if(D0(e)){if(ZR(e.parent)){const t=SR(e.parent);if(t&&Me(t.tags))return Pi(t.tags,r=>sm(r)?r.typeParameters:void 0)}return et}if(Zf(e))return N.assert(e.parent.kind===327),Pi(e.parent.tags,t=>sm(t)?t.typeParameters:void 0);if(e.typeParameters||use(e)&&e.typeParameters)return e.typeParameters;if(lr(e)){const t=N3(e);if(t.length)return t;const r=p0(e);if(r&&Eh(r)&&r.typeParameters)return r.typeParameters}return et}function Zx(e){return e.constraint?e.constraint:sm(e.parent)&&e===e.parent.typeParameters[0]?e.parent.constraint:void 0}function Qh(e){return e.kind===80||e.kind===81}function T4(e){return e.kind===178||e.kind===177}function A4(e){return Fr(e)&&!!(e.flags&64)}function QV(e){return Qs(e)&&!!(e.flags&64)}function hS(e){return la(e)&&!!(e.flags&64)}function qu(e){const t=e.kind;return!!(e.flags&64)&&(t===211||t===212||t===213||t===235)}function uC(e){return qu(e)&&!fI(e)&&!!e.questionDotToken}function I4(e){return uC(e.parent)&&e.parent.expression===e}function dC(e){return!qu(e.parent)||uC(e.parent)||e!==e.parent.expression}function ZV(e){return e.kind===226&&e.operatorToken.kind===61}function Og(e){return Cf(e)&&Ve(e.typeName)&&e.typeName.escapedText==="const"&&!e.typeArguments}function Tm(e){return $l(e,8)}function x4(e){return fI(e)&&!!(e.flags&64)}function pC(e){return e.kind===252||e.kind===251}function ej(e){return e.kind===280||e.kind===279}function Ore(e){switch(e.kind){case 309:case 310:return!0;default:return!1}}function tj(e){return Ore(e)||e.kind===307||e.kind===311}function fC(e){return e.kind===355||e.kind===348}function xSe(e){return mL(e.kind)}function mL(e){return e>=166}function nj(e){return e>=0&&e<=165}function NA(e){return nj(e.kind)}function LE(e){return xs(e,"pos")&&xs(e,"end")}function mC(e){return 9<=e&&e<=15}function kE(e){return mC(e.kind)}function rj(e){switch(e.kind){case 210:case 209:case 14:case 218:case 231:return!0}return!1}function wy(e){return 15<=e&&e<=18}function Wre(e){return wy(e.kind)}function R4(e){const t=e.kind;return t===17||t===18}function CA(e){return np(e)||Ku(e)}function _L(e){switch(e.kind){case 276:return e.isTypeOnly||e.parent.parent.isTypeOnly;case 274:return e.parent.isTypeOnly;case 273:case 271:return e.isTypeOnly}return!1}function Fre(e){switch(e.kind){case 281:return e.isTypeOnly||e.parent.parent.isTypeOnly;case 278:return e.isTypeOnly&&!!e.moduleSpecifier&&!e.exportClause;case 280:return e.parent.isTypeOnly}return!1}function m0(e){return _L(e)||Fre(e)}function ij(e){return e.kind===11||wy(e.kind)}function zre(e){return Ma(e)||Ve(e)}function sl(e){var t;return Ve(e)&&((t=e.emitNode)==null?void 0:t.autoGenerate)!==void 0}function gS(e){var t;return Ji(e)&&((t=e.emitNode)==null?void 0:t.autoGenerate)!==void 0}function hL(e){const t=e.emitNode.autoGenerate.flags;return!!(t&32)&&!!(t&16)&&!!(t&8)}function cd(e){return(Jo(e)||MA(e))&&Ji(e.name)}function eR(e){return Fr(e)&&Ji(e.name)}function Wv(e){switch(e){case 128:case 129:case 134:case 87:case 138:case 90:case 95:case 103:case 125:case 123:case 124:case 148:case 126:case 147:case 164:return!0}return!1}function _C(e){return!!(UA(e)&31)}function oj(e){return _C(e)||e===126||e===164||e===129}function xa(e){return Wv(e.kind)}function Zd(e){const t=e.kind;return t===166||t===80}function oc(e){const t=e.kind;return t===80||t===81||t===11||t===9||t===167}function vS(e){const t=e.kind;return t===80||t===206||t===207}function ea(e){return!!e&&PA(e.kind)}function tR(e){return!!e&&(PA(e.kind)||Rl(e))}function Bs(e){return e&&RSe(e.kind)}function hC(e){return e.kind===112||e.kind===97}function RSe(e){switch(e){case 262:case 174:case 176:case 177:case 178:case 218:case 219:return!0;default:return!1}}function PA(e){switch(e){case 173:case 179:case 330:case 180:case 181:case 184:case 324:case 185:return!0;default:return RSe(e)}}function aj(e){return $i(e)||O_(e)||Xo(e)&&ea(e.parent)}function $c(e){const t=e.kind;return t===176||t===172||t===174||t===177||t===178||t===181||t===175||t===240}function ui(e){return e&&(e.kind===263||e.kind===231)}function Oy(e){return e&&(e.kind===177||e.kind===178)}function Wd(e){return Jo(e)&&P_(e)}function Bre(e){return lr(e)&&lW(e)?(!VE(e)||!Hy(e.expression))&&!NS(e,!0):e.parent&&ui(e.parent)&&Jo(e)&&!P_(e)}function MA(e){switch(e.kind){case 174:case 177:case 178:return!0;default:return!1}}function DSe(e){switch(e.kind){case 174:case 177:case 178:case 172:return!0;default:return!1}}function ll(e){return xa(e)||Eu(e)}function yS(e){const t=e.kind;return t===180||t===179||t===171||t===173||t===181||t===177||t===178}function D4(e){return yS(e)||$c(e)}function Wg(e){const t=e.kind;return t===303||t===304||t===305||t===174||t===177||t===178}function ji(e){return CU(e.kind)}function Gre(e){switch(e.kind){case 184:case 185:return!0}return!1}function ta(e){if(e){const t=e.kind;return t===207||t===206}return!1}function gC(e){const t=e.kind;return t===209||t===210}function N4(e){const t=e.kind;return t===208||t===232}function gL(e){switch(e.kind){case 260:case 169:case 208:return!0}return!1}function Vre(e){return wi(e)||Ao(e)||yL(e)||bL(e)}function vL(e){return sj(e)||lj(e)}function sj(e){switch(e.kind){case 206:case 210:return!0}return!1}function yL(e){switch(e.kind){case 208:case 303:case 304:case 305:return!0}return!1}function lj(e){switch(e.kind){case 207:case 209:return!0}return!1}function bL(e){switch(e.kind){case 208:case 232:case 230:case 209:case 210:case 80:case 211:case 212:return!0}return Lc(e,!0)}function jre(e){const t=e.kind;return t===211||t===166||t===205}function Ure(e){const t=e.kind;return t===211||t===166}function cj(e){return wE(e)||QE(e)}function wE(e){switch(e.kind){case 286:case 285:case 213:case 214:case 215:case 170:return!0;default:return!1}}function I_(e){return e.kind===213||e.kind===214}function LA(e){const t=e.kind;return t===228||t===15}function ep(e){return NSe(Tm(e).kind)}function NSe(e){switch(e){case 211:case 212:case 214:case 213:case 284:case 285:case 288:case 215:case 209:case 217:case 210:case 231:case 218:case 80:case 81:case 14:case 9:case 10:case 11:case 15:case 228:case 97:case 106:case 110:case 112:case 108:case 235:case 233:case 236:case 102:case 282:return!0;default:return!1}}function uj(e){return CSe(Tm(e).kind)}function CSe(e){switch(e){case 224:case 225:case 220:case 221:case 222:case 223:case 216:return!0;default:return NSe(e)}}function Hre(e){switch(e.kind){case 225:return!0;case 224:return e.operator===46||e.operator===47;default:return!1}}function qre(e){switch(e.kind){case 106:case 112:case 97:case 224:return!0;default:return kE(e)}}function yt(e){return NBe(Tm(e).kind)}function NBe(e){switch(e){case 227:case 229:case 219:case 226:case 230:case 234:case 232:case 361:case 360:case 238:return!0;default:return CSe(e)}}function bS(e){const t=e.kind;return t===216||t===234}function PSe(e){return wH(e)||oF(e)}function Wy(e,t){switch(e.kind){case 248:case 249:case 250:case 246:case 247:return!0;case 256:return t&&Wy(e.statement,t)}return!1}function Jre(e){return kl(e)||Yl(e)}function Kre(e){return bt(e,Jre)}function C4(e){return!CL(e)&&!kl(e)&&!Zr(e,32)&&!ku(e)}function EL(e){return CL(e)||kl(e)||Zr(e,32)}function nR(e){return e.kind===249||e.kind===250}function P4(e){return Xo(e)||yt(e)}function dj(e){return Xo(e)}function Af(e){return Uc(e)||yt(e)}function Xre(e){const t=e.kind;return t===268||t===267||t===80}function MSe(e){const t=e.kind;return t===268||t===267}function LSe(e){const t=e.kind;return t===80||t===267}function pj(e){const t=e.kind;return t===275||t===274}function SL(e){return e.kind===267||e.kind===266}function x_(e){switch(e.kind){case 219:case 226:case 208:case 213:case 179:case 263:case 231:case 175:case 176:case 185:case 180:case 212:case 266:case 306:case 277:case 278:case 281:case 262:case 218:case 184:case 177:case 80:case 273:case 271:case 276:case 181:case 264:case 345:case 347:case 324:case 348:case 355:case 330:case 353:case 329:case 291:case 292:case 293:case 200:case 174:case 173:case 267:case 202:case 280:case 270:case 274:case 214:case 15:case 9:case 210:case 169:case 211:case 303:case 172:case 171:case 178:case 304:case 312:case 305:case 11:case 265:case 187:case 168:case 260:return!0;default:return!1}}function fh(e){switch(e.kind){case 219:case 241:case 179:case 269:case 299:case 175:case 194:case 176:case 185:case 180:case 248:case 249:case 250:case 262:case 218:case 184:case 177:case 181:case 345:case 347:case 324:case 330:case 353:case 200:case 174:case 173:case 267:case 178:case 312:case 265:return!0;default:return!1}}function CBe(e){return e===219||e===208||e===263||e===231||e===175||e===176||e===266||e===306||e===281||e===262||e===218||e===177||e===273||e===271||e===276||e===264||e===291||e===174||e===173||e===267||e===270||e===274||e===280||e===169||e===303||e===172||e===171||e===178||e===304||e===265||e===168||e===260||e===353||e===345||e===355}function Yre(e){return e===262||e===282||e===263||e===264||e===265||e===266||e===267||e===272||e===271||e===278||e===277||e===270}function $re(e){return e===252||e===251||e===259||e===246||e===244||e===242||e===249||e===250||e===248||e===245||e===256||e===253||e===255||e===257||e===258||e===243||e===247||e===254||e===359}function Ju(e){return e.kind===168?e.parent&&e.parent.kind!==352||lr(e):CBe(e.kind)}function Qre(e){return Yre(e.kind)}function TL(e){return $re(e.kind)}function qi(e){const t=e.kind;return $re(t)||Yre(t)||PBe(e)}function PBe(e){return e.kind!==241||e.parent!==void 0&&(e.parent.kind===258||e.parent.kind===299)?!1:!BE(e)}function Zre(e){const t=e.kind;return $re(t)||Yre(t)||t===241}function eie(e){const t=e.kind;return t===283||t===166||t===80}function vC(e){const t=e.kind;return t===110||t===80||t===211||t===295}function AL(e){const t=e.kind;return t===284||t===294||t===285||t===12||t===288}function M4(e){const t=e.kind;return t===291||t===293}function tie(e){const t=e.kind;return t===11||t===294}function ud(e){const t=e.kind;return t===286||t===285}function L4(e){const t=e.kind;return t===296||t===297}function rR(e){return e.kind>=316&&e.kind<=357}function k4(e){return e.kind===327||e.kind===326||e.kind===328||kA(e)||iR(e)||XS(e)||D0(e)}function iR(e){return e.kind>=334&&e.kind<=357}function Fv(e){return e.kind===178}function Fy(e){return e.kind===177}function Fp(e){if(!ek(e))return!1;const{jsDoc:t}=e;return!!t&&t.length>0}function w4(e){return!!e.type}function zy(e){return!!e.initializer}function ES(e){switch(e.kind){case 260:case 169:case 208:case 172:case 303:case 306:return!0;default:return!1}}function fj(e){return e.kind===291||e.kind===293||Wg(e)}function O4(e){return e.kind===183||e.kind===233}function nie(e){let t=rie;for(const r of e){if(!r.length)continue;let i=0;for(;i<r.length&&i<t&&wg(r.charCodeAt(i));i++);if(i<t&&(t=i),t===0)return 0}return t===rie?void 0:t}function ls(e){return e.kind===11||e.kind===15}function kA(e){return e.kind===331||e.kind===332||e.kind===333}function mj(e){const t=tl(e.parameters);return!!t&&Zh(t)}function Zh(e){const t=r_(e)?e.typeExpression&&e.typeExpression.type:e.type;return e.dotDotDotToken!==void 0||!!t&&t.kind===325}function kSe(e,t){return t.text.substring(e.pos,e.end).includes("@internal")}function _j(e,t){t??(t=jn(e));const r=Do(e);if(r&&r.kind===169){const o=r.parent.parameters.indexOf(r),s=o>0?r.parent.parameters[o-1]:void 0,l=t.text,u=s?So(a0(l,ka(l,s.end+1,!1,!0)),Yh(l,e.pos)):a0(l,ka(l,e.pos,!1,!0));return bt(u)&&kSe(Ya(u),t)}const i=r&&kj(r,t);return!!Ue(i,o=>kSe(o,t))}var IL,hj,rie,MBe=T({"src/compiler/utilitiesPublic.ts"(){ra(),IL=cL(vu(0,0),0),hj=["cs","de","es","fr","it","ja","ko","pl","pt-br","ru","tr","zh-cn","zh-tw"],rie=1073741823}});function fl(e,t){const r=e.declarations;if(r){for(const i of r)if(i.kind===t)return i}}function iie(e,t){return nr(e.declarations||et,r=>r.kind===t)}function ua(e){const t=new Map;if(e)for(const r of e)t.set(r.escapedName,r);return t}function mh(e){return(e.flags&33554432)!==0}function LBe(){var e="";const t=r=>e+=r;return{getText:()=>e,write:t,rawWrite:t,writeKeyword:t,writeOperator:t,writePunctuation:t,writeSpace:t,writeStringLiteral:t,writeLiteral:t,writeParameter:t,writeProperty:t,writeSymbol:(r,i)=>t(r),writeTrailingSemicolon:t,writeComment:t,getTextPos:()=>e.length,getLine:()=>0,getColumn:()=>0,getIndent:()=>0,isAtStartOfLine:()=>!1,hasTrailingComment:()=>!1,hasTrailingWhitespace:()=>!!e.length&&wg(e.charCodeAt(e.length-1)),writeLine:()=>e+=" ",increaseIndent:$a,decreaseIndent:$a,clear:()=>e=""}}function W4(e,t){return e.configFilePath!==t.configFilePath||oie(e,t)}function oie(e,t){return oR(e,t,NF)}function aie(e,t){return oR(e,t,Iq)}function oR(e,t,r){return e!==t&&r.some(i=>!nW(H3(e,i),H3(t,i)))}function sie(e,t){for(;;){const r=t(e);if(r==="quit")return;if(r!==void 0)return r;if($i(e))return;e=e.parent}}function Gc(e,t){const r=e.entries();for(const[i,o]of r){const s=t(o,i);if(s)return s}}function _h(e,t){const r=e.keys();for(const i of r){const o=t(i);if(o)return o}}function F4(e,t){e.forEach((r,i)=>{t.set(i,r)})}function yC(e){const t=Ck.getText();try{return e(Ck),Ck.getText()}finally{Ck.clear(),Ck.writeKeyword(t)}}function xL(e){return e.end-e.pos}function gj(e,t){return e.path===t.path&&!e.prepend==!t.prepend&&!e.circular==!t.circular}function lie(e,t){return e===t||e.resolvedModule===t.resolvedModule||!!e.resolvedModule&&!!t.resolvedModule&&e.resolvedModule.isExternalLibraryImport===t.resolvedModule.isExternalLibraryImport&&e.resolvedModule.extension===t.resolvedModule.extension&&e.resolvedModule.resolvedFileName===t.resolvedModule.resolvedFileName&&e.resolvedModule.originalPath===t.resolvedModule.originalPath&&kBe(e.resolvedModule.packageId,t.resolvedModule.packageId)&&e.alternateResult===t.alternateResult}function z4(e,t,r,i,o){var s;const l=(s=t.getResolvedModule(e,r,i))==null?void 0:s.alternateResult,u=l&&(md(t.getCompilerOptions())===2?[f.There_are_types_at_0_but_this_result_could_not_be_resolved_under_your_current_moduleResolution_setting_Consider_updating_to_node16_nodenext_or_bundler,[l]]:[f.There_are_types_at_0_but_this_result_could_not_be_resolved_when_respecting_package_json_exports_The_1_library_may_need_to_update_its_package_json_or_typings,[l,l.includes(xh+"@types/")?`@types/${pD(o)}`:o]]),p=u?jo(void 0,u[0],...u[1]):t.typesPackageExists(o)?jo(void 0,f.If_the_0_package_actually_exposes_this_module_consider_sending_a_pull_request_to_amend_https_Colon_Slash_Slashgithub_com_SlashDefinitelyTyped_SlashDefinitelyTyped_Slashtree_Slashmaster_Slashtypes_Slash_1,o,pD(o)):t.packageBundlesTypes(o)?jo(void 0,f.If_the_0_package_actually_exposes_this_module_try_adding_a_new_declaration_d_ts_file_containing_declare_module_1,o,r):jo(void 0,f.Try_npm_i_save_dev_types_Slash_1_if_it_exists_or_add_a_new_declaration_d_ts_file_containing_declare_module_0,r,pD(o));return p&&(p.repopulateInfo=()=>({moduleReference:r,mode:i,packageName:o===r?void 0:o})),p}function kBe(e,t){return e===t||!!e&&!!t&&e.name===t.name&&e.subModuleName===t.subModuleName&&e.version===t.version}function B4({name:e,subModuleName:t}){return t?`${e}/${t}`:e}function By(e){return`${B4(e)}@${e.version}`}function cie(e,t){return e===t||e.resolvedTypeReferenceDirective===t.resolvedTypeReferenceDirective||!!e.resolvedTypeReferenceDirective&&!!t.resolvedTypeReferenceDirective&&e.resolvedTypeReferenceDirective.resolvedFileName===t.resolvedTypeReferenceDirective.resolvedFileName&&!!e.resolvedTypeReferenceDirective.primary==!!t.resolvedTypeReferenceDirective.primary&&e.resolvedTypeReferenceDirective.originalPath===t.resolvedTypeReferenceDirective.originalPath}function vj(e,t,r,i){N.assert(e.length===t.length);for(let o=0;o<e.length;o++){const s=t[o],l=e[o],u=r(l);if(u?!s||!i(u,s):s)return!0}return!1}function aR(e){return wBe(e),(e.flags&1048576)!==0}function wBe(e){e.flags&2097152||((e.flags&262144||Ho(e,aR))&&(e.flags|=1048576),e.flags|=2097152)}function jn(e){for(;e&&e.kind!==312;)e=e.parent;return e}function G4(e){return jn(e.valueDeclaration||xj(e))}function RL(e,t){return!!e&&(e.scriptKind===1||e.scriptKind===2)&&!e.checkJsDirective&&t===void 0}function uie(e){switch(e.kind){case 241:case 269:case 248:case 249:case 250:return!0}return!1}function Gy(e,t){return N.assert(e>=0),kg(t)[e]}function wSe(e){const t=jn(e),r=bs(t,e.pos);return`${t.fileName}(${r.line+1},${r.character+1})`}function DL(e,t){N.assert(e>=0);const r=kg(t),i=e,o=t.text;if(i+1===r.length)return o.length-1;{const s=r[i];let l=r[i+1]-1;for(N.assert(Hu(o.charCodeAt(l)));s<=l&&Hu(o.charCodeAt(l));)l--;return l}}function V4(e,t,r){return!(r&&r(t))&&!e.identifiers.has(t)}function zl(e){return e===void 0?!0:e.pos===e.end&&e.pos>=0&&e.kind!==1}function Yf(e){return!zl(e)}function die(e,t){return gl(e)?t===e.expression:Rl(e)?t===e.modifiers:yp(e)?t===e.initializer:Jo(e)?t===e.questionToken&&Wd(e):hc(e)?t===e.modifiers||t===e.questionToken||t===e.exclamationToken||NL(e.modifiers,t,ll):rp(e)?t===e.equalsToken||t===e.modifiers||t===e.questionToken||t===e.exclamationToken||NL(e.modifiers,t,ll):ql(e)?t===e.exclamationToken:Ml(e)?t===e.typeParameters||t===e.type||NL(e.typeParameters,t,gl):rf(e)?t===e.typeParameters||NL(e.typeParameters,t,gl):bp(e)?t===e.typeParameters||t===e.type||NL(e.typeParameters,t,gl):Zk(e)?t===e.modifiers||NL(e.modifiers,t,ll):!1}function NL(e,t,r){return!e||To(t)||!r(t)?!1:Nr(e,t)}function OSe(e,t,r){if(t===void 0||t.length===0)return e;let i=0;for(;i<e.length&&r(e[i]);++i);return e.splice(i,0,...t),e}function WSe(e,t,r){if(t===void 0)return e;let i=0;for(;i<e.length&&r(e[i]);++i);return e.splice(i,0,t),e}function FSe(e){return Im(e)||!!(ja(e)&2097152)}function eg(e,t){return OSe(e,t,Im)}function yj(e,t){return OSe(e,t,FSe)}function zSe(e,t){return WSe(e,t,Im)}function SS(e,t){return WSe(e,t,FSe)}function bj(e,t,r){if(e.charCodeAt(t+1)===47&&t+2<r&&e.charCodeAt(t+2)===47){const i=e.substring(t,r);return!!(YU.test(i)||$U.test(i)||xTe.test(i)||ATe.test(i)||ITe.test(i)||RTe.test(i))}return!1}function j4(e,t){return e.charCodeAt(t+1)===42&&e.charCodeAt(t+2)===33}function pie(e,t){const r=new Map(t.map(l=>[`${bs(e,l.range.end).line}`,l])),i=new Map;return{getUnusedExpectations:o,markUsed:s};function o(){return zo(r.entries()).filter(([l,u])=>u.type===0&&!i.get(l)).map(([l,u])=>u)}function s(l){return r.has(`${l}`)?(i.set(`${l}`,!0),!0):!1}}function _0(e,t,r){return zl(e)?e.pos:rR(e)||e.kind===12?ka((t||jn(e)).text,e.pos,!1,!0):r&&Fp(e)?_0(e.jsDoc[0],t):e.kind===358&&e._children.length>0?_0(e._children[0],t,r):ka((t||jn(e)).text,e.pos,!1,!1,GL(e))}function Ej(e,t){const r=!zl(e)&&Cm(e)?Oo(e.modifiers,Eu):void 0;return r?ka((t||jn(e)).text,r.end):_0(e,t)}function OE(e,t,r=!1){return bC(e.text,t,r)}function OBe(e){return!!Gn(e,d1)}function U4(e){return!!(Yl(e)&&e.exportClause&&Th(e.exportClause)&&e.exportClause.name.escapedText==="default")}function bC(e,t,r=!1){if(zl(t))return"";let i=e.substring(r?t.pos:ka(e,t.pos),t.end);return OBe(t)&&(i=i.split(/\r\n|\n|\r/).map(o=>o.replace(/^\s*\*/,"").trimStart()).join(`
+`)),i}function fc(e,t=!1){return OE(jn(e),e,t)}function WBe(e){return e.pos}function sR(e,t){return Nv(e,t,WBe,rl)}function ja(e){const t=e.emitNode;return t&&t.flags||0}function Am(e){const t=e.emitNode;return t&&t.internalFlags||0}function fie(e,t,r){if(t&&FBe(e,r))return OE(t,e);switch(e.kind){case 11:{const i=r&2?dU:r&1||ja(e)&16777216?og:dk;return e.singleQuote?"'"+i(e.text,39)+"'":'"'+i(e.text,34)+'"'}case 15:case 16:case 17:case 18:{const i=r&1||ja(e)&16777216?og:dk,o=e.rawText??cU(i(e.text,96));switch(e.kind){case 15:return"`"+o+"`";case 16:return"`"+o+"${";case 17:return"}"+o+"${";case 18:return"}"+o+"`"}break}case 9:case 10:return e.text;case 14:return r&4&&e.isUnterminated?e.text+(e.text.charCodeAt(e.text.length-1)===92?" /":"/"):e.text}return N.fail(`Literal kind '${e.kind}' not accounted for.`)}function FBe(e,t){if($s(e)||!e.parent||t&4&&e.isUnterminated)return!1;if(vp(e)){if(e.numericLiteralFlags&26656)return!1;if(e.numericLiteralFlags&512)return!!(t&8)}return!YW(e)}function mie(e){return Po(e)?'"'+dk(e)+'"':""+e}function _ie(e){return ic(e).replace(/^(\d)/,"_$1").replace(/\W/g,"_")}function Sj(e){return(Ov(e)&7)!==0||Tj(e)}function Tj(e){const t=C_(e);return t.kind===260&&t.parent.kind===299}function ku(e){return Xl(e)&&(e.name.kind===11||R_(e))}function H4(e){return Xl(e)&&e.name.kind===11}function Aj(e){return Xl(e)&&Ma(e.name)}function hie(e){return Xl(e)||Ve(e)}function EC(e){return zBe(e.valueDeclaration)}function zBe(e){return!!e&&e.kind===267&&!e.body}function gie(e){return e.kind===312||e.kind===267||tR(e)}function R_(e){return!!(e.flags&2048)}function WE(e){return ku(e)&&Ij(e)}function Ij(e){switch(e.parent.kind){case 312:return sc(e.parent);case 268:return ku(e.parent.parent)&&$i(e.parent.parent.parent)&&!sc(e.parent.parent.parent)}return!1}function xj(e){var t;return(t=e.declarations)==null?void 0:t.find(r=>!WE(r)&&!(Xl(r)&&R_(r)))}function BBe(e){return e===1||e===100||e===199}function wA(e,t){return sc(e)||BBe(wu(t))&&!!e.commonJsModuleIndicator}function Rj(e,t){switch(e.scriptKind){case 1:case 3:case 2:case 4:break;default:return!1}return e.isDeclarationFile?!1:fd(t,"alwaysStrict")||ase(e.statements)?!0:sc(e)||om(t)?wu(t)>=5?!0:!t.noImplicitUseStrict:!1}function Dj(e){return!!(e.flags&33554432)||Zr(e,128)}function Nj(e,t){switch(e.kind){case 312:case 269:case 299:case 267:case 248:case 249:case 250:case 176:case 174:case 177:case 178:case 262:case 218:case 219:case 172:case 175:return!0;case 241:return!tR(t)}return!1}function Cj(e){switch(N.type(e),e.kind){case 345:case 353:case 330:return!0;default:return Pj(e)}}function Pj(e){switch(N.type(e),e.kind){case 179:case 180:case 173:case 181:case 184:case 185:case 324:case 263:case 231:case 264:case 265:case 352:case 262:case 174:case 176:case 177:case 178:case 218:case 219:return!0;default:return!1}}function TS(e){switch(e.kind){case 272:case 271:return!0;default:return!1}}function vie(e){return TS(e)||GE(e)}function q4(e){switch(e.kind){case 272:case 271:case 243:case 263:case 262:case 267:case 265:case 264:case 266:return!0;default:return!1}}function yie(e){return CL(e)||Xl(e)||ug(e)||Bp(e)}function CL(e){return TS(e)||Yl(e)}function Mj(e){return Gn(e.parent,t=>!!(Uq(t)&1))}function hh(e){return Gn(e.parent,t=>Nj(t,t.parent))}function bie(e,t){let r=hh(e);for(;r;)t(r),r=hh(r)}function Rs(e){return!e||xL(e)===0?"(Missing)":fc(e)}function Eie(e){return e.declaration?Rs(e.declaration.parameters[0].name):void 0}function PL(e){return e.kind===167&&!nf(e.expression)}function SC(e){var t;switch(e.kind){case 80:case 81:return(t=e.emitNode)!=null&&t.autoGenerate?void 0:e.escapedText;case 11:case 9:case 15:return hl(e.text);case 167:return nf(e.expression)?hl(e.expression.text):void 0;case 295:return YA(e);default:return N.assertNever(e)}}function lR(e){return N.checkDefined(SC(e))}function _p(e){switch(e.kind){case 110:return"this";case 81:case 80:return xL(e)===0?Sr(e):fc(e);case 166:return _p(e.left)+"."+_p(e.right);case 211:return Ve(e.name)||Ji(e.name)?_p(e.expression)+"."+_p(e.name):N.assertNever(e.name);case 318:return _p(e.left)+_p(e.right);case 295:return _p(e.namespace)+":"+_p(e.name);default:return N.assertNever(e)}}function wr(e,t,...r){const i=jn(e);return $f(i,e,t,...r)}function cR(e,t,r,...i){const o=ka(e.text,t.pos);return Qc(e,o,t.end-o,r,...i)}function $f(e,t,r,...i){const o=AS(e,t);return Qc(e,o.start,o.length,r,...i)}function Fg(e,t,r,i){const o=AS(e,t);return J4(e,o.start,o.length,r,i)}function ML(e,t,r,i){const o=ka(e.text,t.pos);return J4(e,o,t.end-o,r,i)}function Sie(e,t,r){N.assertGreaterThanOrEqual(t,0),N.assertGreaterThanOrEqual(r,0),N.assertLessThanOrEqual(t,e.length),N.assertLessThanOrEqual(t+r,e.length)}function J4(e,t,r,i,o){return Sie(e.text,t,r),{file:e,start:t,length:r,code:i.code,category:i.category,messageText:i.next?i:i.messageText,relatedInformation:o}}function Lj(e,t,r){return{file:e,start:0,length:0,code:t.code,category:t.category,messageText:t.next?t:t.messageText,relatedInformation:r}}function Tie(e){return typeof e.messageText=="string"?{code:e.code,category:e.category,messageText:e.messageText,next:e.next}:e.messageText}function Aie(e,t,r){return{file:e,start:t.pos,length:t.end-t.pos,code:r.code,category:r.category,messageText:r.message}}function gh(e,t){const r=wv(e.languageVersion,!0,e.languageVariant,e.text,void 0,t);r.scan();const i=r.getTokenStart();return pc(i,r.getTokenEnd())}function Iie(e,t){const r=wv(e.languageVersion,!0,e.languageVariant,e.text,void 0,t);return r.scan(),r.getToken()}function GBe(e,t){const r=ka(e.text,t.pos);if(t.body&&t.body.kind===241){const{line:i}=bs(e,t.body.pos),{line:o}=bs(e,t.body.end);if(i<o)return vu(r,DL(i,e)-r+1)}return pc(r,t.end)}function AS(e,t){let r=t;switch(t.kind){case 312:{const s=ka(e.text,0,!1);return s===e.text.length?vu(0,0):gh(e,s)}case 260:case 208:case 263:case 231:case 264:case 267:case 266:case 306:case 262:case 218:case 174:case 177:case 178:case 265:case 172:case 171:case 274:r=t.name;break;case 219:return GBe(e,t);case 296:case 297:{const s=ka(e.text,t.pos),l=t.statements.length>0?t.statements[0].pos:t.end;return pc(s,l)}case 253:case 229:{const s=ka(e.text,t.pos);return gh(e,s)}case 238:{const s=ka(e.text,t.expression.end);return gh(e,s)}case 357:{const s=ka(e.text,t.tagName.pos);return gh(e,s)}}if(r===void 0)return gh(e,t.pos);N.assert(!n_(r));const i=zl(r),o=i||nI(t)?r.pos:ka(e.text,r.pos);return i?(N.assert(o===r.pos,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809"),N.assert(o===r.end,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809")):(N.assert(o>=r.pos,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809"),N.assert(o<=r.end,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809")),pc(o,r.end)}function zp(e){return(e.externalModuleIndicator||e.commonJsModuleIndicator)!==void 0}function Qf(e){return e.scriptKind===6}function FE(e){return!!(c0(e)&4096)}function K4(e){return!!(c0(e)&8&&!mp(e,e.parent))}function LL(e){return(Ov(e)&7)===6}function kL(e){return(Ov(e)&7)===4}function uR(e){return(Ov(e)&7)===2}function X4(e){return(Ov(e)&7)===1}function IS(e){return e.kind===213&&e.expression.kind===108}function Bp(e){return e.kind===213&&e.expression.kind===102}function dR(e){return vP(e)&&e.keywordToken===102&&e.name.escapedText==="meta"}function Vy(e){return ug(e)&&Zy(e.argument)&&Ma(e.argument.literal)}function Im(e){return e.kind===244&&e.expression.kind===11}function wL(e){return!!(ja(e)&2097152)}function Y4(e){return wL(e)&&Ac(e)}function VBe(e){return Ve(e.name)&&!e.initializer}function $4(e){return wL(e)&&Ll(e)&&Zn(e.declarationList.declarations,VBe)}function kj(e,t){return e.kind!==12?Yh(t.text,e.pos):void 0}function wj(e,t){const r=e.kind===169||e.kind===168||e.kind===218||e.kind===219||e.kind===217||e.kind===260||e.kind===281?So(a0(t,e.pos),Yh(t,e.pos)):Yh(t,e.pos);return nr(r,i=>t.charCodeAt(i.pos+1)===42&&t.charCodeAt(i.pos+2)===42&&t.charCodeAt(i.pos+3)!==47)}function tg(e){if(182<=e.kind&&e.kind<=205)return!0;switch(e.kind){case 133:case 159:case 150:case 163:case 154:case 136:case 155:case 151:case 157:case 106:case 146:return!0;case 116:return e.parent.kind!==222;case 233:return BSe(e);case 168:return e.parent.kind===200||e.parent.kind===195;case 80:(e.parent.kind===166&&e.parent.right===e||e.parent.kind===211&&e.parent.name===e)&&(e=e.parent),N.assert(e.kind===80||e.kind===166||e.kind===211,"'node' was expected to be a qualified name, identifier or property access in 'isPartOfTypeNode'.");case 166:case 211:case 110:{const{parent:t}=e;if(t.kind===186)return!1;if(t.kind===205)return!t.isTypeOf;if(182<=t.kind&&t.kind<=205)return!0;switch(t.kind){case 233:return BSe(t);case 168:return e===t.constraint;case 352:return e===t.constraint;case 172:case 171:case 169:case 260:return e===t.type;case 262:case 218:case 219:case 176:case 174:case 173:case 177:case 178:return e===t.type;case 179:case 180:case 181:return e===t.type;case 216:return e===t.type;case 213:case 214:case 215:return Nr(t.typeArguments,e)}}}return!1}function BSe(e){return dF(e.parent)||vI(e.parent)||of(e.parent)&&!M3(e)}function GSe(e,t){for(;e;){if(e.kind===t)return!0;e=e.parent}return!1}function zE(e,t){return r(e);function r(i){switch(i.kind){case 253:return t(i);case 269:case 241:case 245:case 246:case 247:case 248:case 249:case 250:case 254:case 255:case 296:case 297:case 256:case 258:case 299:return Ho(i,r)}}}function xie(e,t){return r(e);function r(i){switch(i.kind){case 229:t(i);const o=i.expression;o&&r(o);return;case 266:case 264:case 267:case 265:return;default:if(ea(i)){if(i.name&&i.name.kind===167){r(i.name.expression);return}}else tg(i)||Ho(i,r)}}}function Oj(e){return e&&e.kind===188?e.elementType:e&&e.kind===183?sh(e.typeArguments):void 0}function Rie(e){switch(e.kind){case 264:case 263:case 231:case 187:return e.members;case 210:return e.properties}}function pR(e){if(e)switch(e.kind){case 208:case 306:case 169:case 303:case 172:case 171:case 304:case 260:return!0}return!1}function Die(e){return pR(e)||Oy(e)}function TC(e){return e.parent.kind===261&&e.parent.parent.kind===243}function Nie(e){return lr(e)?Oa(e.parent)&&mr(e.parent.parent)&&Bl(e.parent.parent)===2||Q4(e.parent):!1}function Q4(e){return lr(e)?mr(e)&&Bl(e)===1:!1}function Cie(e){return(wi(e)?uR(e)&&Ve(e.name)&&TC(e):Jo(e)?zC(e)&&mc(e):yp(e)&&zC(e))||Q4(e)}function Pie(e){switch(e.kind){case 174:case 173:case 176:case 177:case 178:case 262:case 218:return!0}return!1}function Wj(e,t){for(;;){if(t&&t(e),e.statement.kind!==256)return e.statement;e=e.statement}}function BE(e){return e&&e.kind===241&&ea(e.parent)}function xm(e){return e&&e.kind===174&&e.parent.kind===210}function Z4(e){return(e.kind===174||e.kind===177||e.kind===178)&&(e.parent.kind===210||e.parent.kind===231)}function Mie(e){return e&&e.kind===1}function VSe(e){return e&&e.kind===0}function fR(e,t,r,i){return Ue(e==null?void 0:e.properties,o=>{if(!hc(o))return;const s=SC(o.name);return t===s||i&&i===s?r(o):void 0})}function Lie(e,t,r){return fR(e,t,i=>_d(i.initializer)?An(i.initializer.elements,o=>Ma(o)&&o.text===r):void 0)}function AC(e){if(e&&e.statements.length){const t=e.statements[0].expression;return ii(t,Oa)}}function e3(e,t,r){return OL(e,t,i=>_d(i.initializer)?An(i.initializer.elements,o=>Ma(o)&&o.text===r):void 0)}function OL(e,t,r){return fR(AC(e),t,r)}function Gp(e){return Gn(e.parent,ea)}function kie(e){return Gn(e.parent,Bs)}function su(e){return Gn(e.parent,ui)}function wie(e){return Gn(e.parent,t=>ui(t)||ea(t)?"quit":Rl(t))}function t3(e){return Gn(e.parent,tR)}function n3(e){const t=Gn(e.parent,r=>ui(r)?"quit":Eu(r));return t&&ui(t.parent)?su(t.parent):su(t??e)}function Fd(e,t,r){for(N.assert(e.kind!==312);;){if(e=e.parent,!e)return N.fail();switch(e.kind){case 167:if(r&&ui(e.parent.parent))return e;e=e.parent.parent;break;case 170:e.parent.kind===169&&$c(e.parent.parent)?e=e.parent.parent:$c(e.parent)&&(e=e.parent);break;case 219:if(!t)continue;case 262:case 218:case 267:case 175:case 172:case 171:case 174:case 173:case 176:case 177:case 178:case 179:case 180:case 181:case 266:case 312:return e}}}function Oie(e){switch(e.kind){case 219:case 262:case 218:case 172:return!0;case 241:switch(e.parent.kind){case 176:case 174:case 177:case 178:return!0;default:return!1}default:return!1}}function r3(e){Ve(e)&&(Ic(e.parent)||Ac(e.parent))&&e.parent.name===e&&(e=e.parent);const t=Fd(e,!0,!1);return $i(t)}function Wie(e){const t=Fd(e,!1,!1);if(t)switch(t.kind){case 176:case 262:case 218:return t}}function WL(e,t){for(;;){if(e=e.parent,!e)return;switch(e.kind){case 167:e=e.parent;break;case 262:case 218:case 219:if(!t)continue;case 172:case 171:case 174:case 173:case 176:case 177:case 178:case 175:return e;case 170:e.parent.kind===169&&$c(e.parent.parent)?e=e.parent.parent:$c(e.parent)&&(e=e.parent);break}}}function xS(e){if(e.kind===218||e.kind===219){let t=e,r=e.parent;for(;r.kind===217;)t=r,r=r.parent;if(r.kind===213&&r.expression===t)return r}}function jSe(e){return e.kind===108||zd(e)}function zd(e){const t=e.kind;return(t===211||t===212)&&e.expression.kind===108}function FL(e){const t=e.kind;return(t===211||t===212)&&e.expression.kind===110}function i3(e){var t;return!!e&&wi(e)&&((t=e.initializer)==null?void 0:t.kind)===110}function Fie(e){return!!e&&(rp(e)||hc(e))&&mr(e.parent.parent)&&e.parent.parent.operatorToken.kind===64&&e.parent.parent.right.kind===110}function zL(e){switch(e.kind){case 183:return e.typeName;case 233:return Gl(e.expression)?e.expression:void 0;case 80:case 166:return e}}function o3(e){switch(e.kind){case 215:return e.tag;case 286:case 285:return e.tagName;case 226:return e.right;default:return e.expression}}function a3(e,t,r,i){if(e&&ld(t)&&Ji(t.name))return!1;switch(t.kind){case 263:return!0;case 231:return!e;case 172:return r!==void 0&&(e?Ic(r):ui(r)&&!XE(t)&&!gU(t));case 177:case 178:case 174:return t.body!==void 0&&r!==void 0&&(e?Ic(r):ui(r));case 169:return e?r!==void 0&&r.body!==void 0&&(r.kind===176||r.kind===174||r.kind===178)&&qE(r)!==t&&i!==void 0&&i.kind===263:!1}return!1}function mR(e,t,r,i){return If(t)&&a3(e,t,r,i)}function BL(e,t,r,i){return mR(e,t,r,i)||IC(e,t,r)}function IC(e,t,r){switch(t.kind){case 263:return bt(t.members,i=>BL(e,i,t,r));case 231:return!e&&bt(t.members,i=>BL(e,i,t,r));case 174:case 178:case 176:return bt(t.parameters,i=>mR(e,i,t,r));default:return!1}}function zv(e,t){if(mR(e,t))return!0;const r=ag(t);return!!r&&IC(e,r,t)}function Fj(e,t,r){let i;if(Oy(t)){const{firstAccessor:o,secondAccessor:s,setAccessor:l}=wS(r.members,t),u=If(o)?o:s&&If(s)?s:void 0;if(!u||t!==u)return!1;i=l==null?void 0:l.parameters}else ql(t)&&(i=t.parameters);if(mR(e,t,r))return!0;if(i){for(const o of i)if(!JE(o)&&mR(e,o,t,r))return!0}return!1}function zj(e){if(e.textSourceNode){switch(e.textSourceNode.kind){case 11:return zj(e.textSourceNode);case 15:return e.text===""}return!1}return e.text===""}function _R(e){const{parent:t}=e;return t.kind===286||t.kind===285||t.kind===287?t.tagName===e:!1}function ng(e){switch(e.kind){case 108:case 106:case 112:case 97:case 14:case 209:case 210:case 211:case 212:case 213:case 214:case 215:case 234:case 216:case 238:case 235:case 217:case 218:case 231:case 219:case 222:case 220:case 221:case 224:case 225:case 226:case 227:case 230:case 228:case 232:case 284:case 285:case 288:case 229:case 223:case 236:return!0;case 233:return!of(e.parent)&&!vI(e.parent);case 166:for(;e.parent.kind===166;)e=e.parent;return e.parent.kind===186||kA(e.parent)||IP(e.parent)||R0(e.parent)||_R(e);case 318:for(;R0(e.parent);)e=e.parent;return e.parent.kind===186||kA(e.parent)||IP(e.parent)||R0(e.parent)||_R(e);case 81:return mr(e.parent)&&e.parent.left===e&&e.parent.operatorToken.kind===103;case 80:if(e.parent.kind===186||kA(e.parent)||IP(e.parent)||R0(e.parent)||_R(e))return!0;case 9:case 10:case 11:case 15:case 110:return s3(e);default:return!1}}function s3(e){const{parent:t}=e;switch(t.kind){case 260:case 169:case 172:case 171:case 306:case 303:case 208:return t.initializer===e;case 244:case 245:case 246:case 247:case 253:case 254:case 255:case 296:case 257:return t.expression===e;case 248:const r=t;return r.initializer===e&&r.initializer.kind!==261||r.condition===e||r.incrementor===e;case 249:case 250:const i=t;return i.initializer===e&&i.initializer.kind!==261||i.expression===e;case 216:case 234:return e===t.expression;case 239:return e===t.expression;case 167:return e===t.expression;case 170:case 294:case 293:case 305:return!0;case 233:return t.expression===e&&!tg(t);case 304:return t.objectAssignmentInitializer===e;case 238:return e===t.expression;default:return ng(t)}}function l3(e){for(;e.kind===166||e.kind===80;)e=e.parent;return e.kind===186}function zie(e){return Th(e)&&!!e.parent.moduleSpecifier}function h0(e){return e.kind===271&&e.moduleReference.kind===283}function xC(e){return N.assert(h0(e)),e.moduleReference.expression}function Bj(e){return GE(e)&&kR(e.initializer).arguments[0]}function hR(e){return e.kind===271&&e.moduleReference.kind!==283}function dd(e){return lr(e)}function USe(e){return!lr(e)}function lr(e){return!!e&&!!(e.flags&524288)}function c3(e){return!!e&&!!(e.flags&134217728)}function Gj(e){return!Qf(e)}function GL(e){return!!e&&!!(e.flags&16777216)}function u3(e){return Cf(e)&&Ve(e.typeName)&&e.typeName.escapedText==="Object"&&e.typeArguments&&e.typeArguments.length===2&&(e.typeArguments[0].kind===154||e.typeArguments[0].kind===150)}function Ad(e,t){if(e.kind!==213)return!1;const{expression:r,arguments:i}=e;if(r.kind!==80||r.escapedText!=="require"||i.length!==1)return!1;const o=i[0];return!t||ls(o)}function d3(e){return HSe(e,!1)}function GE(e){return HSe(e,!0)}function Bie(e){return Qa(e)&&GE(e.parent.parent)}function HSe(e,t){return wi(e)&&!!e.initializer&&Ad(t?kR(e.initializer):e.initializer,!0)}function Vj(e){return Ll(e)&&e.declarationList.declarations.length>0&&Zn(e.declarationList.declarations,t=>d3(t))}function VL(e){return e===39||e===34}function p3(e,t){return OE(t,e).charCodeAt(0)===34}function RC(e){return mr(e)||ws(e)||Ve(e)||la(e)}function jL(e){return lr(e)&&e.initializer&&mr(e.initializer)&&(e.initializer.operatorToken.kind===57||e.initializer.operatorToken.kind===61)&&e.name&&Gl(e.name)&&gR(e.name,e.initializer.left)?e.initializer.right:e.initializer}function UL(e){const t=jL(e);return t&&g0(t,Hy(e.name))}function jBe(e,t){return Ue(e.properties,r=>hc(r)&&Ve(r.name)&&r.name.escapedText==="value"&&r.initializer&&g0(r.initializer,t))}function OA(e){if(e&&e.parent&&mr(e.parent)&&e.parent.operatorToken.kind===64){const t=Hy(e.parent.left);return g0(e.parent.right,t)||UBe(e.parent.left,e.parent.right,t)}if(e&&la(e)&&DS(e)){const t=jBe(e.arguments[2],e.arguments[1].text==="prototype");if(t)return t}}function g0(e,t){if(la(e)){const r=hs(e.expression);return r.kind===218||r.kind===219?e:void 0}if(e.kind===218||e.kind===231||e.kind===219||Oa(e)&&(e.properties.length===0||t))return e}function UBe(e,t,r){const i=mr(t)&&(t.operatorToken.kind===57||t.operatorToken.kind===61)&&g0(t.right,r);if(i&&gR(e,t.left))return i}function Gie(e){const t=wi(e.parent)?e.parent.name:mr(e.parent)&&e.parent.operatorToken.kind===64?e.parent.left:void 0;return t&&g0(e.right,Hy(t))&&Gl(t)&&gR(t,e.left)}function jj(e){if(mr(e.parent)){const t=(e.parent.operatorToken.kind===57||e.parent.operatorToken.kind===61)&&mr(e.parent.parent)?e.parent.parent:e.parent;if(t.operatorToken.kind===64&&Ve(t.left))return t.left}else if(wi(e.parent))return e.parent.name}function gR(e,t){return N_(e)&&N_(t)?em(e)===em(t):Qh(e)&&f3(t)&&(t.expression.kind===110||Ve(t.expression)&&(t.expression.escapedText==="window"||t.expression.escapedText==="self"||t.expression.escapedText==="global"))?gR(e,JL(t)):f3(e)&&f3(t)?zg(e)===zg(t)&&gR(e.expression,t.expression):!1}function HL(e){for(;Lc(e,!0);)e=e.right;return e}function RS(e){return Ve(e)&&e.escapedText==="exports"}function Uj(e){return Ve(e)&&e.escapedText==="module"}function rg(e){return(Fr(e)||qL(e))&&Uj(e.expression)&&zg(e)==="exports"}function Bl(e){const t=HBe(e);return t===5||lr(e)?t:0}function DS(e){return Me(e.arguments)===3&&Fr(e.expression)&&Ve(e.expression.expression)&&Sr(e.expression.expression)==="Object"&&Sr(e.expression.name)==="defineProperty"&&nf(e.arguments[1])&&NS(e.arguments[0],!0)}function f3(e){return Fr(e)||qL(e)}function qL(e){return Qs(e)&&nf(e.argumentExpression)}function VE(e,t){return Fr(e)&&(!t&&e.expression.kind===110||Ve(e.name)&&NS(e.expression,!0))||m3(e,t)}function m3(e,t){return qL(e)&&(!t&&e.expression.kind===110||Gl(e.expression)||VE(e.expression,!0))}function NS(e,t){return Gl(e)||VE(e,t)}function JL(e){return Fr(e)?e.name:e.argumentExpression}function HBe(e){if(la(e)){if(!DS(e))return 0;const t=e.arguments[0];return RS(t)||rg(t)?8:VE(t)&&zg(t)==="prototype"?9:7}return e.operatorToken.kind!==64||!ws(e.left)||qBe(HL(e))?0:NS(e.left.expression,!0)&&zg(e.left)==="prototype"&&Oa(Hj(e))?6:KL(e.left)}function qBe(e){return pI(e)&&vp(e.expression)&&e.expression.text==="0"}function _3(e){if(Fr(e))return e.name;const t=hs(e.argumentExpression);return vp(t)||ls(t)?t:e}function zg(e){const t=_3(e);if(t){if(Ve(t))return t.escapedText;if(ls(t)||vp(t))return hl(t.text)}}function KL(e){if(e.expression.kind===110)return 4;if(rg(e))return 2;if(NS(e.expression,!0)){if(Hy(e.expression))return 3;let t=e;for(;!Ve(t.expression);)t=t.expression;const r=t.expression;if((r.escapedText==="exports"||r.escapedText==="module"&&zg(t)==="exports")&&VE(e))return 1;if(NS(e,!0)||Qs(e)&&E3(e))return 5}return 0}function Hj(e){for(;mr(e.right);)e=e.right;return e.right}function XL(e){return mr(e)&&Bl(e)===3}function Vie(e){return lr(e)&&e.parent&&e.parent.kind===244&&(!Qs(e)||qL(e))&&!!d0(e.parent)}function YL(e,t){const{valueDeclaration:r}=e;(!r||!(t.flags&33554432&&!lr(t)&&!(r.flags&33554432))&&RC(r)&&!RC(t)||r.kind!==t.kind&&hie(r))&&(e.valueDeclaration=t)}function jie(e){if(!e||!e.valueDeclaration)return!1;const t=e.valueDeclaration;return t.kind===262||wi(t)&&t.initializer&&ea(t.initializer)}function vR(e){var t,r;switch(e.kind){case 260:case 208:return(t=Gn(e.initializer,i=>Ad(i,!0)))==null?void 0:t.arguments[0];case 272:case 278:return ii(e.moduleSpecifier,ls);case 271:return ii((r=ii(e.moduleReference,Ah))==null?void 0:r.expression,ls);case 273:case 280:return ii(e.parent.moduleSpecifier,ls);case 274:case 281:return ii(e.parent.parent.moduleSpecifier,ls);case 276:return ii(e.parent.parent.parent.moduleSpecifier,ls);case 205:return Vy(e)?e.argument.literal:void 0;default:N.assertNever(e)}}function DC(e){return $L(e)||N.failBadSyntaxKind(e.parent)}function $L(e){switch(e.parent.kind){case 272:case 278:return e.parent;case 283:return e.parent.parent;case 213:return Bp(e.parent)||Ad(e.parent,!1)?e.parent:void 0;case 201:return N.assert(Ma(e)),ii(e.parent.parent,ug);default:return}}function yR(e){switch(e.kind){case 272:case 278:return e.moduleSpecifier;case 271:return e.moduleReference.kind===283?e.moduleReference.expression:void 0;case 205:return Vy(e)?e.argument.literal:void 0;case 213:return e.arguments[0];case 267:return e.name.kind===11?e.name:void 0;default:return N.assertNever(e)}}function bR(e){switch(e.kind){case 272:return e.importClause&&ii(e.importClause.namedBindings,nb);case 271:return e;case 278:return e.exportClause&&ii(e.exportClause,Th);default:return N.assertNever(e)}}function WA(e){return e.kind===272&&!!e.importClause&&!!e.importClause.name}function h3(e,t){if(e.name){const r=t(e);if(r)return r}if(e.namedBindings){const r=nb(e.namedBindings)?t(e.namedBindings):Ue(e.namedBindings.elements,t);if(r)return r}}function FA(e){if(e)switch(e.kind){case 169:case 174:case 173:case 304:case 303:case 172:case 171:return e.questionToken!==void 0}return!1}function ER(e){const t=QR(e)?Xc(e.parameters):void 0,r=ii(t&&t.name,Ve);return!!r&&r.escapedText==="new"}function Zf(e){return e.kind===353||e.kind===345||e.kind===347}function QL(e){return Zf(e)||Nm(e)}function JBe(e){return eu(e)&&mr(e.expression)&&e.expression.operatorToken.kind===64?HL(e.expression):void 0}function qSe(e){return eu(e)&&mr(e.expression)&&Bl(e.expression)!==0&&mr(e.expression.right)&&(e.expression.right.operatorToken.kind===57||e.expression.right.operatorToken.kind===61)?e.expression.right.right:void 0}function qj(e){switch(e.kind){case 243:const t=zA(e);return t&&t.initializer;case 172:return e.initializer;case 303:return e.initializer}}function zA(e){return Ll(e)?Xc(e.declarationList.declarations):void 0}function JSe(e){return Xl(e)&&e.body&&e.body.kind===267?e.body:void 0}function ZL(e){if(e.kind>=243&&e.kind<=259)return!0;switch(e.kind){case 80:case 110:case 108:case 166:case 236:case 212:case 211:case 208:case 218:case 219:case 174:case 177:case 178:return!0;default:return!1}}function ek(e){switch(e.kind){case 219:case 226:case 241:case 252:case 179:case 296:case 263:case 231:case 175:case 176:case 185:case 180:case 251:case 259:case 246:case 212:case 242:case 1:case 266:case 306:case 277:case 278:case 281:case 244:case 249:case 250:case 248:case 262:case 218:case 184:case 177:case 80:case 245:case 272:case 271:case 181:case 264:case 324:case 330:case 256:case 174:case 173:case 267:case 202:case 270:case 210:case 169:case 217:case 211:case 303:case 172:case 171:case 253:case 240:case 178:case 304:case 305:case 255:case 257:case 258:case 265:case 168:case 260:case 243:case 247:case 254:return!0;default:return!1}}function Jj(e,t){let r;pR(e)&&zy(e)&&Fp(e.initializer)&&(r=Jr(r,KSe(e,e.initializer.jsDoc)));let i=e;for(;i&&i.parent;){if(Fp(i)&&(r=Jr(r,KSe(e,i.jsDoc))),i.kind===169){r=Jr(r,(t?Tre:Qx)(i));break}if(i.kind===168){r=Jr(r,(t?Ire:Are)(i));break}i=Kj(i)}return r||et}function KSe(e,t){const r=Ya(t);return Pi(t,i=>{if(i===r){const o=nr(i.tags,s=>KBe(e,s));return i.tags===o?[i]:o}else return nr(i.tags,ZR)})}function KBe(e,t){return!(xP(t)||pF(t))||!t.parent||!n_(t.parent)||!Gd(t.parent.parent)||t.parent.parent===e}function Kj(e){const t=e.parent;if(t.kind===303||t.kind===277||t.kind===172||t.kind===244&&e.kind===211||t.kind===253||JSe(t)||Lc(e))return t;if(t.parent&&(zA(t.parent)===e||Lc(t)))return t.parent;if(t.parent&&t.parent.parent&&(zA(t.parent.parent)||qj(t.parent.parent)===e||qSe(t.parent.parent)))return t.parent.parent}function tk(e){if(e.symbol)return e.symbol;if(!Ve(e.name))return;const t=e.name.escapedText,r=v0(e);if(!r)return;const i=An(r.parameters,o=>o.name.kind===80&&o.name.escapedText===t);return i&&i.symbol}function g3(e){if(n_(e.parent)&&e.parent.tags){const t=An(e.parent.tags,Zf);if(t)return t}return v0(e)}function Xj(e){return S4(e,ZR)}function v0(e){const t=y0(e);if(t)return yp(t)&&t.type&&ea(t.type)?t.type:ea(t)?t:void 0}function y0(e){const t=CS(e);if(t)return qSe(t)||JBe(t)||qj(t)||zA(t)||JSe(t)||t}function CS(e){const t=SR(e);if(!t)return;const r=t.parent;if(r&&r.jsDoc&&t===tl(r.jsDoc))return r}function SR(e){return Gn(e.parent,n_)}function Uie(e){const t=e.name.escapedText,{typeParameters:r}=e.parent.parent.parent;return r&&An(r,i=>i.name.escapedText===t)}function XSe(e){return!!e.typeArguments}function Hie(e){let t=e.parent;for(;;){switch(t.kind){case 226:const r=t,i=r.operatorToken.kind;return Vv(i)&&r.left===e?r:void 0;case 224:case 225:const o=t,s=o.operator;return s===46||s===47?o:void 0;case 249:case 250:const l=t;return l.initializer===e?l:void 0;case 217:case 209:case 230:case 235:e=t;break;case 305:e=t.parent;break;case 304:if(t.name!==e)return;e=t.parent;break;case 303:if(t.name===e)return;e=t.parent;break;default:return}t=e.parent}}function BA(e){const t=Hie(e);if(!t)return 0;switch(t.kind){case 226:const r=t.operatorToken.kind;return r===64||BC(r)?1:2;case 224:case 225:return 2;case 249:case 250:return 1}}function ig(e){return!!Hie(e)}function XBe(e){const t=hs(e.right);return t.kind===226&&eq(t.operatorToken.kind)}function Yj(e){const t=Hie(e);return!!t&&Lc(t,!0)&&XBe(t)}function qie(e){switch(e.kind){case 241:case 243:case 254:case 245:case 255:case 269:case 296:case 297:case 256:case 248:case 249:case 250:case 246:case 247:case 258:case 299:return!0}return!1}function Jie(e){return Os(e)||Gs(e)||MA(e)||Ac(e)||Ml(e)}function YSe(e,t){for(;e&&e.kind===t;)e=e.parent;return e}function nk(e){return YSe(e,196)}function Bv(e){return YSe(e,217)}function Kie(e){let t;for(;e&&e.kind===196;)t=e,e=e.parent;return[t,e]}function rk(e){for(;GS(e);)e=e.type;return e}function hs(e,t){return $l(e,t?17:1)}function $j(e){return e.kind!==211&&e.kind!==212?!1:(e=Bv(e.parent),e&&e.kind===220)}function jE(e,t){for(;e;){if(e===t)return!0;e=e.parent}return!1}function Bg(e){return!$i(e)&&!ta(e)&&Ju(e.parent)&&e.parent.name===e}function NC(e){const t=e.parent;switch(e.kind){case 11:case 15:case 9:if(Za(t))return t.parent;case 80:if(Ju(t))return t.name===e?t:void 0;if(xd(t)){const r=t.parent;return r_(r)&&r.name===t?r:void 0}else{const r=t.parent;return mr(r)&&Bl(r)!==0&&(r.left.symbol||r.symbol)&&Mo(r)===e?r:void 0}case 81:return Ju(t)&&t.name===e?t:void 0;default:return}}function ik(e){return nf(e)&&e.parent.kind===167&&Ju(e.parent.parent)}function Xie(e){const t=e.parent;switch(t.kind){case 172:case 171:case 174:case 173:case 177:case 178:case 306:case 303:case 211:return t.name===e;case 166:return t.right===e;case 208:case 276:return t.propertyName===e;case 281:case 291:case 285:case 286:case 287:return!0}return!1}function $Se(e){return e.kind===271||e.kind===270||e.kind===273&&e.name||e.kind===274||e.kind===280||e.kind===276||e.kind===281||e.kind===277&&TR(e)?!0:lr(e)&&(mr(e)&&Bl(e)===2&&TR(e)||Fr(e)&&mr(e.parent)&&e.parent.left===e&&e.parent.operatorToken.kind===64&&ok(e.parent.right))}function Qj(e){switch(e.parent.kind){case 273:case 276:case 274:case 281:case 277:case 271:case 280:return e.parent;case 166:do e=e.parent;while(e.parent.kind===166);return Qj(e)}}function ok(e){return Gl(e)||Zc(e)}function TR(e){const t=Zj(e);return ok(t)}function Zj(e){return kl(e)?e.expression:e.right}function Yie(e){return e.kind===304?e.name:e.kind===303?e.initializer:e.parent.right}function D_(e){const t=UE(e);if(t&&lr(e)){const r=Rre(e);if(r)return r.class}return t}function UE(e){const t=ak(e.heritageClauses,96);return t&&t.types.length>0?t.types[0]:void 0}function AR(e){if(lr(e))return Dre(e).map(t=>t.class);{const t=ak(e.heritageClauses,119);return t==null?void 0:t.types}}function CC(e){return hd(e)?PC(e)||et:ui(e)&&So(AA(D_(e)),AR(e))||et}function PC(e){const t=ak(e.heritageClauses,96);return t?t.types:void 0}function ak(e,t){if(e){for(const r of e)if(r.token===t)return r}}function b0(e,t){for(;e;){if(e.kind===t)return e;e=e.parent}}function Bd(e){return 83<=e&&e<=165}function eU(e){return 19<=e&&e<=79}function v3(e){return Bd(e)||eU(e)}function y3(e){return 128<=e&&e<=165}function tU(e){return Bd(e)&&!y3(e)}function QSe(e){return 119<=e&&e<=127}function GA(e){const t=PE(e);return t!==void 0&&tU(t)}function ZSe(e){const t=PE(e);return t!==void 0&&Bd(t)}function nU(e){const t=u0(e);return!!t&&!y3(t)}function IR(e){return 2<=e&&e<=7}function Vc(e){if(!e)return 4;let t=0;switch(e.kind){case 262:case 218:case 174:e.asteriskToken&&(t|=1);case 219:Zr(e,1024)&&(t|=2);break}return e.body||(t|=4),t}function MC(e){switch(e.kind){case 262:case 218:case 219:case 174:return e.body!==void 0&&e.asteriskToken===void 0&&Zr(e,1024)}return!1}function nf(e){return ls(e)||vp(e)}function b3(e){return tb(e)&&(e.operator===40||e.operator===41)&&vp(e.operand)}function jy(e){const t=Mo(e);return!!t&&E3(t)}function E3(e){if(!(e.kind===167||e.kind===212))return!1;const t=Qs(e)?hs(e.argumentExpression):e.expression;return!nf(t)&&!b3(t)}function PS(e){switch(e.kind){case 80:case 81:return e.escapedText;case 11:case 15:case 9:return hl(e.text);case 167:const t=e.expression;return nf(t)?hl(t.text):b3(t)?t.operator===41?fa(t.operator)+t.operand.text:t.operand.text:void 0;case 295:return YA(e);default:return N.assertNever(e)}}function N_(e){switch(e.kind){case 80:case 11:case 15:case 9:return!0;default:return!1}}function em(e){return Qh(e)?Sr(e):t_(e)?lP(e):e.text}function LC(e){return Qh(e)?e.escapedText:t_(e)?YA(e):hl(e.text)}function eTe(e){return`__@${Ta(e)}@${e.escapedName}`}function sk(e,t){return`__#${Ta(e)}@${t}`}function lk(e){return uo(e.escapedName,"__@")}function $ie(e){return uo(e.escapedName,"__#")}function tTe(e){return e.kind===80&&e.escapedText==="Symbol"}function Qie(e){return Ve(e)?Sr(e)==="__proto__":Ma(e)&&e.text==="__proto__"}function kC(e,t){switch(e=$l(e),e.kind){case 231:if(pJ(e))return!1;break;case 218:if(e.name)return!1;break;case 219:break;default:return!1}return typeof t=="function"?t(e):!0}function rU(e){switch(e.kind){case 303:return!Qie(e.name);case 304:return!!e.objectAssignmentInitializer;case 260:return Ve(e.name)&&!!e.initializer;case 169:return Ve(e.name)&&!!e.initializer&&!e.dotDotDotToken;case 208:return Ve(e.name)&&!!e.initializer&&!e.dotDotDotToken;case 172:return!!e.initializer;case 226:switch(e.operatorToken.kind){case 64:case 77:case 76:case 78:return Ve(e.left)}break;case 277:return!0}return!1}function hp(e,t){if(!rU(e))return!1;switch(e.kind){case 303:return kC(e.initializer,t);case 304:return kC(e.objectAssignmentInitializer,t);case 260:case 169:case 208:case 172:return kC(e.initializer,t);case 226:return kC(e.right,t);case 277:return kC(e.expression,t)}}function iU(e){return e.escapedText==="push"||e.escapedText==="unshift"}function HE(e){return C_(e).kind===169}function C_(e){for(;e.kind===208;)e=e.parent.parent;return e}function oU(e){const t=e.kind;return t===176||t===218||t===262||t===219||t===174||t===177||t===178||t===267||t===312}function $s(e){return Zm(e.pos)||Zm(e.end)}function nTe(e){return Do(e,$i)||e}function aU(e){const t=lU(e),r=e.kind===214&&e.arguments!==void 0;return sU(e.kind,t,r)}function sU(e,t,r){switch(e){case 214:return r?0:1;case 224:case 221:case 222:case 220:case 223:case 227:case 229:return 1;case 226:switch(t){case 43:case 64:case 65:case 66:case 68:case 67:case 69:case 70:case 71:case 72:case 73:case 74:case 79:case 75:case 76:case 77:case 78:return 1}}return 0}function wC(e){const t=lU(e),r=e.kind===214&&e.arguments!==void 0;return ck(e.kind,t,r)}function lU(e){return e.kind===226?e.operatorToken.kind:e.kind===224||e.kind===225?e.operator:e.kind}function ck(e,t,r){switch(e){case 361:return 0;case 230:return 1;case 229:return 2;case 227:return 4;case 226:switch(t){case 28:return 0;case 64:case 65:case 66:case 68:case 67:case 69:case 70:case 71:case 72:case 73:case 74:case 79:case 75:case 76:case 77:case 78:return 3;default:return uk(t)}case 216:case 235:case 224:case 221:case 222:case 220:case 223:return 16;case 225:return 17;case 213:return 18;case 214:return r?19:18;case 215:case 211:case 212:case 236:return 19;case 234:case 238:return 11;case 110:case 108:case 80:case 81:case 106:case 112:case 97:case 9:case 10:case 11:case 209:case 210:case 218:case 219:case 231:case 14:case 15:case 228:case 217:case 232:case 284:case 285:case 288:return 20;default:return-1}}function uk(e){switch(e){case 61:return 4;case 57:return 5;case 56:return 6;case 52:return 7;case 53:return 8;case 51:return 9;case 35:case 36:case 37:case 38:return 10;case 30:case 32:case 33:case 34:case 104:case 103:case 130:case 152:return 11;case 48:case 49:case 50:return 12;case 40:case 41:return 13;case 42:case 44:case 45:return 14;case 43:return 15}return-1}function xR(e){return nr(e,t=>{switch(t.kind){case 294:return!!t.expression;case 12:return!t.containsOnlyTriviaWhiteSpaces;default:return!0}})}function RR(){let e=[];const t=[],r=new Map;let i=!1;return{add:s,lookup:o,getGlobalDiagnostics:l,getDiagnostics:u};function o(p){let h;if(p.file?h=r.get(p.file.fileName):h=e,!h)return;const m=Nv(h,p,nl,V3);if(m>=0)return h[m]}function s(p){let h;p.file?(h=r.get(p.file.fileName),h||(h=[],r.set(p.file.fileName,h),xy(t,p.file.fileName,Uu))):(i&&(i=!1,e=e.slice()),h=e),xy(h,p,V3)}function l(){return i=!0,e}function u(p){if(p)return r.get(p)||[];const h=rc(t,m=>r.get(m));return e.length&&h.unshift(...e),h}}function cU(e){return e.replace(DTe,"\\${")}function Zie(e){return!!((e.templateFlags||0)&2048)}function uU(e){return e&&!!(rI(e)?Zie(e):Zie(e.head)||bt(e.templateSpans,t=>Zie(t.literal)))}function rTe(e){return"\\u"+("0000"+e.toString(16).toUpperCase()).slice(-4)}function YBe(e,t,r){if(e.charCodeAt(0)===0){const i=r.charCodeAt(t+e.length);return i>=48&&i<=57?"\\x00":"\\0"}return MTe.get(e)||rTe(e.charCodeAt(0))}function og(e,t){const r=t===96?PTe:t===39?CTe:NTe;return e.replace(r,YBe)}function dk(e,t){return e=og(e,t),cae.test(e)?e.replace(cae,r=>rTe(r.charCodeAt(0))):e}function $Be(e){return"&#x"+e.toString(16).toUpperCase()+";"}function QBe(e){return e.charCodeAt(0)===0?"&#0;":wTe.get(e)||$Be(e.charCodeAt(0))}function dU(e,t){const r=t===39?kTe:LTe;return e.replace(r,QBe)}function tm(e){const t=e.length;return t>=2&&e.charCodeAt(0)===e.charCodeAt(t-1)&&ZBe(e.charCodeAt(0))?e.substring(1,t-1):e}function ZBe(e){return e===39||e===34||e===96}function DR(e){const t=e.charCodeAt(0);return t>=97&&t<=122||e.includes("-")}function S3(e){const t=cP[1];for(let r=cP.length;r<=e;r++)cP.push(cP[r-1]+t);return cP[e]}function NR(){return cP[1].length}function pk(e){var t,r,i,o,s,l=!1;function u(C){const O=DA(C);O.length>1?(o=o+O.length-1,s=t.length-C.length+Ya(O),i=s-t.length===0):i=!1}function p(C){C&&C.length&&(i&&(C=S3(r)+C,i=!1),t+=C,u(C))}function h(C){C&&(l=!1),p(C)}function m(C){C&&(l=!0),p(C)}function b(){t="",r=0,i=!0,o=0,s=0,l=!1}function A(C){C!==void 0&&(t+=C,u(C),l=!1)}function I(C){C&&C.length&&h(C)}function R(C){(!i||C)&&(t+=e,o++,s=t.length,i=!0,l=!1)}function M(){return i?t.length:t.length+e.length}return b(),{write:h,rawWrite:A,writeLiteral:I,writeLine:R,increaseIndent:()=>{r++},decreaseIndent:()=>{r--},getIndent:()=>r,getTextPos:()=>t.length,getLine:()=>o,getColumn:()=>i?r*NR():t.length-s,getText:()=>t,isAtStartOfLine:()=>i,hasTrailingComment:()=>l,hasTrailingWhitespace:()=>!!t.length&&wg(t.charCodeAt(t.length-1)),clear:b,writeKeyword:h,writeOperator:h,writeParameter:h,writeProperty:h,writePunctuation:h,writeSpace:h,writeStringLiteral:h,writeSymbol:(C,O)=>h(C),writeTrailingSemicolon:h,writeComment:m,getTextPosWithWriteLine:M}}function pU(e){let t=!1;function r(){t&&(e.writeTrailingSemicolon(";"),t=!1)}return{...e,writeTrailingSemicolon(){t=!0},writeLiteral(i){r(),e.writeLiteral(i)},writeStringLiteral(i){r(),e.writeStringLiteral(i)},writeSymbol(i,o){r(),e.writeSymbol(i,o)},writePunctuation(i){r(),e.writePunctuation(i)},writeKeyword(i){r(),e.writeKeyword(i)},writeOperator(i){r(),e.writeOperator(i)},writeParameter(i){r(),e.writeParameter(i)},writeSpace(i){r(),e.writeSpace(i)},writeProperty(i){r(),e.writeProperty(i)},writeComment(i){r(),e.writeComment(i)},writeLine(){r(),e.writeLine()},increaseIndent(){r(),e.increaseIndent()},decreaseIndent(){r(),e.decreaseIndent()}}}function CR(e){return e.useCaseSensitiveFileNames?e.useCaseSensitiveFileNames():!1}function Gv(e){return Mu(CR(e))}function T3(e,t,r){return t.moduleName||fU(e,t.fileName,r&&r.fileName)}function iTe(e,t){return e.getCanonicalFileName(go(t,e.getCurrentDirectory()))}function eoe(e,t,r){const i=t.getExternalModuleFileFromDeclaration(r);if(!i||i.isDeclarationFile)return;const o=yR(r);if(!(o&&ls(o)&&!Wp(o.text)&&!iTe(e,i.path).includes(iTe(e,Bc(e.getCommonSourceDirectory())))))return T3(e,i)}function fU(e,t,r){const i=p=>e.getCanonicalFileName(p),o=ol(r?ai(r):e.getCommonSourceDirectory(),e.getCurrentDirectory(),i),s=go(t,e.getCurrentDirectory()),l=RA(o,s,o,i,!1),u=Id(l);return r?CE(u):u}function toe(e,t,r){const i=t.getCompilerOptions();let o;return i.outDir?o=Id(R3(e,t,i.outDir)):o=Id(e),o+r}function noe(e,t){return A3(e,t.getCompilerOptions(),t.getCurrentDirectory(),t.getCommonSourceDirectory(),r=>t.getCanonicalFileName(r))}function A3(e,t,r,i,o){const s=t.declarationDir||t.outDir,l=s?D3(e,s,r,i,o):e,u=I3(l);return Id(l)+u}function I3(e){return Tc(e,[".mjs",".mts"])?".d.mts":Tc(e,[".cjs",".cts"])?".d.cts":Tc(e,[".json"])?".d.json.ts":".d.ts"}function roe(e){return Tc(e,[".d.mts",".mjs",".mts"])?[".mts",".mjs"]:Tc(e,[".d.cts",".cjs",".cts"])?[".cts",".cjs"]:Tc(e,[".d.json.ts"])?[".json"]:[".tsx",".ts",".jsx",".js"]}function Ps(e){return e.outFile||e.out}function x3(e,t){var r;if(e.paths)return e.baseUrl??N.checkDefined(e.pathsBasePath||((r=t.getCurrentDirectory)==null?void 0:r.call(t)),"Encountered 'paths' without a 'baseUrl', config file, or host 'getCurrentDirectory'.")}function mU(e,t,r){const i=e.getCompilerOptions();if(Ps(i)){const o=wu(i),s=i.emitDeclarationOnly||o===2||o===4;return nr(e.getSourceFiles(),l=>(s||!sc(l))&&MS(l,e,r))}else{const o=t===void 0?e.getSourceFiles():[t];return nr(o,s=>MS(s,e,r))}}function MS(e,t,r){const i=t.getCompilerOptions();if(i.noEmitForJsFiles&&dd(e)||e.isDeclarationFile||t.isSourceFileFromExternalLibrary(e))return!1;if(r)return!0;if(t.isSourceOfProjectReferenceRedirect(e.fileName))return!1;if(!Qf(e))return!0;if(t.getResolvedProjectReferenceToRedirect(e.fileName))return!1;if(Ps(i))return!0;if(!i.outDir)return!1;if(i.rootDir||i.composite&&i.configFilePath){const o=go($P(i,()=>[],t.getCurrentDirectory(),t.getCanonicalFileName),t.getCurrentDirectory()),s=D3(e.fileName,i.outDir,t.getCurrentDirectory(),o,t.getCanonicalFileName);if(Lg(e.fileName,s,t.getCurrentDirectory(),!t.useCaseSensitiveFileNames())===0)return!1}return!0}function R3(e,t,r){return D3(e,r,t.getCurrentDirectory(),t.getCommonSourceDirectory(),i=>t.getCanonicalFileName(i))}function D3(e,t,r,i,o){let s=go(e,r);return s=o(s).indexOf(o(i))===0?s.substring(i.length):s,Qr(t,s)}function OC(e,t,r,i,o,s,l){e.writeFile(r,i,o,u=>{t.add(Hl(f.Could_not_write_file_0_Colon_1,r,u))},s,l)}function oTe(e,t,r){if(e.length>ph(e)&&!r(e)){const i=ai(e);oTe(i,t,r),t(e)}}function _U(e,t,r,i,o,s){try{i(e,t,r)}catch{oTe(ai(ga(e)),o,s),i(e,t,r)}}function WC(e,t){const r=kg(e);return iC(r,t)}function LS(e,t){return iC(e,t)}function ag(e){return An(e.members,t=>Ml(t)&&Yf(t.body))}function FC(e){if(e&&e.parameters.length>0){const t=e.parameters.length===2&&JE(e.parameters[0]);return e.parameters[t?1:0]}}function ioe(e){const t=FC(e);return t&&t.type}function qE(e){if(e.parameters.length&&!D0(e)){const t=e.parameters[0];if(JE(t))return t}}function JE(e){return KE(e.name)}function KE(e){return!!e&&e.kind===80&&hU(e)}function kS(e){return!!Gn(e,t=>t.kind===186?!0:t.kind===80||t.kind===166?!1:"quit")}function VA(e){if(!KE(e))return!1;for(;xd(e.parent)&&e.parent.left===e;)e=e.parent;return e.parent.kind===186}function hU(e){return e.escapedText==="this"}function wS(e,t){let r,i,o,s;return jy(t)?(r=t,t.kind===177?o=t:t.kind===178?s=t:N.fail("Accessor has wrong kind")):Ue(e,l=>{if(Oy(l)&&sa(l)===sa(t)){const u=PS(l.name),p=PS(t.name);u===p&&(r?i||(i=l):r=l,l.kind===177&&!o&&(o=l),l.kind===178&&!s&&(s=l))}}),{firstAccessor:r,secondAccessor:i,getAccessor:o,setAccessor:s}}function yu(e){if(!lr(e)&&Ac(e))return;const t=e.type;return t||!lr(e)?t:fC(e)?e.typeExpression&&e.typeExpression.type:p0(e)}function ooe(e){return e.type}function nm(e){return D0(e)?e.type&&e.type.typeExpression&&e.type.typeExpression.type:e.type||(lr(e)?dL(e):void 0)}function N3(e){return Pi(f0(e),t=>eGe(t)?t.typeParameters:void 0)}function eGe(e){return sm(e)&&!(e.parent.kind===327&&(e.parent.tags.some(Zf)||e.parent.tags.some(ZR)))}function aoe(e){const t=FC(e);return t&&yu(t)}function soe(e,t,r,i){loe(e,t,r.pos,i)}function loe(e,t,r,i){i&&i.length&&r!==i[0].pos&&LS(e,r)!==LS(e,i[0].pos)&&t.writeLine()}function coe(e,t,r,i){r!==i&&LS(e,r)!==LS(e,i)&&t.writeLine()}function uoe(e,t,r,i,o,s,l,u){if(i&&i.length>0){o&&r.writeSpace(" ");let p=!1;for(const h of i)p&&(r.writeSpace(" "),p=!1),u(e,t,r,h.pos,h.end,l),h.hasTrailingNewLine?r.writeLine():p=!0;p&&s&&r.writeSpace(" ")}}function doe(e,t,r,i,o,s,l){let u,p;if(l?o.pos===0&&(u=nr(Yh(e,o.pos),h)):u=Yh(e,o.pos),u){const m=[];let b;for(const A of u){if(b){const I=LS(t,b.end);if(LS(t,A.pos)>=I+2)break}m.push(A),b=A}if(m.length){const A=LS(t,Ya(m).end);LS(t,ka(e,o.pos))>=A+2&&(soe(t,r,o,u),uoe(e,t,r,m,!1,!0,s,i),p={nodePos:o.pos,detachedCommentEndPos:Ya(m).end})}}return p;function h(m){return j4(e,m.pos)}}function PR(e,t,r,i,o,s){if(e.charCodeAt(i+1)===42){const l=Kx(t,i),u=t.length;let p;for(let h=i,m=l.line;h<o;m++){const b=m+1===u?e.length+1:t[m+1];if(h!==i){p===void 0&&(p=aTe(e,t[l.line],i));const I=r.getIndent()*NR()-p+aTe(e,h,b);if(I>0){let R=I%NR();const M=S3((I-R)/NR());for(r.rawWrite(M);R;)r.rawWrite(" "),R--}else r.rawWrite("")}tGe(e,o,r,s,h,b),h=b}}else r.writeComment(e.substring(i,o))}function tGe(e,t,r,i,o,s){const l=Math.min(t,s-1),u=e.substring(o,l).trim();u?(r.writeComment(u),l!==t&&r.writeLine()):r.rawWrite(i)}function aTe(e,t,r){let i=0;for(;t<r&&A_(e.charCodeAt(t));t++)e.charCodeAt(t)===9?i+=NR()-i%NR():i++;return i}function C3(e){return pd(e)!==0}function poe(e){return Uy(e)!==0}function gp(e,t){return!!jA(e,t)}function Zr(e,t){return!!foe(e,t)}function sa(e){return $c(e)&&mc(e)||Rl(e)}function mc(e){return Zr(e,256)}function P3(e){return gp(e,16)}function XE(e){return Zr(e,64)}function gU(e){return Zr(e,128)}function P_(e){return Zr(e,512)}function zC(e){return gp(e,8)}function If(e){return Zr(e,32768)}function jA(e,t){return pd(e)&t}function foe(e,t){return Uy(e)&t}function moe(e,t,r){return e.kind>=0&&e.kind<=165?0:(e.modifierFlagsCache&536870912||(e.modifierFlagsCache=vU(e)|536870912),r||t&&lr(e)?(!(e.modifierFlagsCache&268435456)&&e.parent&&(e.modifierFlagsCache|=sTe(e)|268435456),lTe(e.modifierFlagsCache)):nGe(e.modifierFlagsCache))}function pd(e){return moe(e,!0)}function _oe(e){return moe(e,!0,!0)}function Uy(e){return moe(e,!1)}function sTe(e){let t=0;return e.parent&&!Ao(e)&&(lr(e)&&(Nre(e)&&(t|=8388608),Cre(e)&&(t|=16777216),Pre(e)&&(t|=33554432),Mre(e)&&(t|=67108864),Lre(e)&&(t|=134217728)),kre(e)&&(t|=65536)),t}function nGe(e){return e&65535}function lTe(e){return e&131071|(e&260046848)>>>23}function rGe(e){return lTe(sTe(e))}function hoe(e){return vU(e)|rGe(e)}function vU(e){let t=Cm(e)?M_(e.modifiers):0;return(e.flags&8||e.kind===80&&e.flags&4096)&&(t|=32),t}function M_(e){let t=0;if(e)for(const r of e)t|=UA(r.kind);return t}function UA(e){switch(e){case 126:return 256;case 125:return 1;case 124:return 4;case 123:return 2;case 128:return 64;case 129:return 512;case 95:return 32;case 138:return 128;case 87:return 4096;case 90:return 2048;case 134:return 1024;case 148:return 8;case 164:return 16;case 103:return 8192;case 147:return 16384;case 170:return 32768}return 0}function cTe(e){return e===57||e===56}function goe(e){return cTe(e)||e===54}function BC(e){return e===76||e===77||e===78}function yU(e){return mr(e)&&BC(e.operatorToken.kind)}function fk(e){return cTe(e)||e===61}function mk(e){return mr(e)&&fk(e.operatorToken.kind)}function Vv(e){return e>=64&&e<=79}function bU(e){const t=EU(e);return t&&!t.isImplements?t.class:void 0}function EU(e){if(Kv(e)){if(of(e.parent)&&ui(e.parent.parent))return{class:e.parent.parent,isImplements:e.parent.token===119};if(vI(e.parent)){const t=y0(e.parent);if(t&&ui(t))return{class:t,isImplements:!1}}}}function Lc(e,t){return mr(e)&&(t?e.operatorToken.kind===64:Vv(e.operatorToken.kind))&&ep(e.left)}function uTe(e){return Lc(e.parent)&&e.parent.left===e}function jv(e){if(Lc(e,!0)){const t=e.left.kind;return t===210||t===209}return!1}function M3(e){return bU(e)!==void 0}function Gl(e){return e.kind===80||_k(e)}function Vp(e){switch(e.kind){case 80:return e;case 166:do e=e.left;while(e.kind!==80);return e;case 211:do e=e.expression;while(e.kind!==80);return e}}function GC(e){return e.kind===80||e.kind===110||e.kind===108||e.kind===236||e.kind===211&&GC(e.expression)||e.kind===217&&GC(e.expression)}function _k(e){return Fr(e)&&Ve(e.name)&&Gl(e.expression)}function hk(e){if(Fr(e)){const t=hk(e.expression);if(t!==void 0)return t+"."+_p(e.name)}else if(Qs(e)){const t=hk(e.expression);if(t!==void 0&&oc(e.argumentExpression))return t+"."+PS(e.argumentExpression)}else{if(Ve(e))return Vi(e.escapedText);if(t_(e))return lP(e)}}function Hy(e){return VE(e)&&zg(e)==="prototype"}function VC(e){return e.parent.kind===166&&e.parent.right===e||e.parent.kind===211&&e.parent.name===e||e.parent.kind===236&&e.parent.name===e}function SU(e){return!!e.parent&&(Fr(e.parent)&&e.parent.name===e||Qs(e.parent)&&e.parent.argumentExpression===e)}function voe(e){return xd(e.parent)&&e.parent.right===e||Fr(e.parent)&&e.parent.name===e||R0(e.parent)&&e.parent.right===e}function L3(e){return mr(e)&&e.operatorToken.kind===104}function yoe(e){return L3(e.parent)&&e===e.parent.right}function TU(e){return e.kind===210&&e.properties.length===0}function boe(e){return e.kind===209&&e.elements.length===0}function MR(e){if(!(!iGe(e)||!e.declarations)){for(const t of e.declarations)if(t.localSymbol)return t.localSymbol}}function iGe(e){return e&&Me(e.declarations)>0&&Zr(e.declarations[0],2048)}function k3(e){return An(BTe,t=>Il(e,t))}function oGe(e){const t=[],r=e.length;for(let i=0;i<r;i++){const o=e.charCodeAt(i);o<128?t.push(o):o<2048?(t.push(o>>6|192),t.push(o&63|128)):o<65536?(t.push(o>>12|224),t.push(o>>6&63|128),t.push(o&63|128)):o<131072?(t.push(o>>18|240),t.push(o>>12&63|128),t.push(o>>6&63|128),t.push(o&63|128)):N.assert(!1,"Unexpected code point")}return t}function Eoe(e){let t="";const r=oGe(e);let i=0;const o=r.length;let s,l,u,p;for(;i<o;)s=r[i]>>2,l=(r[i]&3)<<4|r[i+1]>>4,u=(r[i+1]&15)<<2|r[i+2]>>6,p=r[i+2]&63,i+1>=o?u=p=64:i+2>=o&&(p=64),t+=WS.charAt(s)+WS.charAt(l)+WS.charAt(u)+WS.charAt(p),i+=3;return t}function aGe(e){let t="",r=0;const i=e.length;for(;r<i;){const o=e[r];if(o<128)t+=String.fromCharCode(o),r++;else if((o&192)===192){let s=o&63;r++;let l=e[r];for(;(l&192)===128;)s=s<<6|l&63,r++,l=e[r];t+=String.fromCharCode(s)}else t+=String.fromCharCode(o),r++}return t}function Soe(e,t){return e&&e.base64encode?e.base64encode(t):Eoe(t)}function Toe(e,t){if(e&&e.base64decode)return e.base64decode(t);const r=t.length,i=[];let o=0;for(;o<r&&t.charCodeAt(o)!==WS.charCodeAt(64);){const s=WS.indexOf(t[o]),l=WS.indexOf(t[o+1]),u=WS.indexOf(t[o+2]),p=WS.indexOf(t[o+3]),h=(s&63)<<2|l>>4&3,m=(l&15)<<4|u>>2&15,b=(u&3)<<6|p&63;m===0&&u!==0?i.push(h):b===0&&p!==0?i.push(h,m):i.push(h,m,b),o+=4}return aGe(i)}function AU(e,t){const r=Po(t)?t:t.readFile(e);if(!r)return;const i=fq(e,r);return i.error?void 0:i.config}function jC(e,t){return AU(e,t)||{}}function w3(e){try{return JSON.parse(e)}catch{return}}function $m(e,t){return!t.directoryExists||t.directoryExists(e)}function Uv(e){switch(e.newLine){case 0:return OTe;case 1:case void 0:return WTe}}function xf(e,t=e){return N.assert(t>=e||t===-1),{pos:e,end:t}}function O3(e,t){return xf(e.pos,t)}function E0(e,t){return xf(t,e.end)}function Gg(e){const t=Cm(e)?Oo(e.modifiers,Eu):void 0;return t&&!Zm(t.end)?E0(e,t.end):e}function L_(e){if(Jo(e)||ql(e))return E0(e,e.name.pos);const t=Cm(e)?tl(e.modifiers):void 0;return t&&!Zm(t.end)?E0(e,t.end):Gg(e)}function dTe(e){return e.pos===e.end}function IU(e,t){return xf(e,e+fa(t).length)}function OS(e,t){return Ioe(e,e,t)}function W3(e,t,r){return Rf(UC(e,r,!1),UC(t,r,!1),r)}function Aoe(e,t,r){return Rf(e.end,t.end,r)}function Ioe(e,t,r){return Rf(UC(e,r,!1),t.end,r)}function gk(e,t,r){return Rf(e.end,UC(t,r,!1),r)}function xU(e,t,r,i){const o=UC(t,r,i);return oC(r,e.end,o)}function pTe(e,t,r){return oC(r,e.end,t.end)}function xoe(e,t){return!Rf(e.pos,e.end,t)}function Rf(e,t,r){return oC(r,e,t)===0}function UC(e,t,r){return Zm(e.pos)?-1:ka(t.text,e.pos,!1,r)}function Roe(e,t,r,i){const o=ka(r.text,e,!1,i),s=sGe(o,t,r);return oC(r,s??t,o)}function Doe(e,t,r,i){const o=ka(r.text,e,!1,i);return oC(r,e,Math.min(t,o))}function sGe(e,t=0,r){for(;e-- >t;)if(!wg(r.text.charCodeAt(e)))return e}function RU(e){const t=Do(e);if(t)switch(t.parent.kind){case 266:case 267:return t===t.parent.name}return!1}function HC(e){return nr(e.declarations,vk)}function vk(e){return wi(e)&&e.initializer!==void 0}function fTe(e){return e.watch&&xs(e,"watch")}function Qm(e){e.close()}function xl(e){return e.flags&33554432?e.links.checkFlags:0}function Df(e,t=!1){if(e.valueDeclaration){const r=t&&e.declarations&&An(e.declarations,bp)||e.flags&32768&&An(e.declarations,rf)||e.valueDeclaration,i=c0(r);return e.parent&&e.parent.flags&32?i:i&-8}if(xl(e)&6){const r=e.links.checkFlags,i=r&1024?2:r&256?1:4,o=r&2048?256:0;return i|o}return e.flags&4194304?257:0}function bu(e,t){return e.flags&2097152?t.getAliasedSymbol(e):e}function LR(e){return e.exportSymbol?e.exportSymbol.flags|e.flags:e.flags}function F3(e){return qC(e)===1}function HA(e){return qC(e)!==0}function qC(e){const{parent:t}=e;switch(t==null?void 0:t.kind){case 217:return qC(t);case 225:case 224:const{operator:r}=t;return r===46||r===47?2:0;case 226:const{left:i,operatorToken:o}=t;return i===e&&Vv(o.kind)?o.kind===64?1:2:0;case 211:return t.name!==e?0:qC(t);case 303:{const s=qC(t.parent);return e===t.name?lGe(s):s}case 304:return e===t.objectAssignmentInitializer?0:qC(t.parent);case 209:return qC(t);default:return 0}}function lGe(e){switch(e){case 0:return 1;case 1:return 0;case 2:return 2;default:return N.assertNever(e)}}function DU(e,t){if(!e||!t||Object.keys(e).length!==Object.keys(t).length)return!1;for(const r in e)if(typeof e[r]=="object"){if(!DU(e[r],t[r]))return!1}else if(typeof e[r]!="function"&&e[r]!==t[r])return!1;return!0}function tp(e,t){e.forEach(t),e.clear()}function sg(e,t,r){const{onDeleteValue:i,onExistingValue:o}=r;e.forEach((s,l)=>{var u;t!=null&&t.has(l)?o&&o(s,(u=t.get)==null?void 0:u.call(t,l),l):(e.delete(l),i(s,l))})}function JC(e,t,r){sg(e,t,r);const{createNewValue:i}=r;t==null||t.forEach((o,s)=>{e.has(s)||e.set(s,i(s,o))})}function Noe(e){if(e.flags&32){const t=Vg(e);return!!t&&Zr(t,64)}return!1}function Vg(e){var t;return(t=e.declarations)==null?void 0:t.find(ui)}function Wr(e){return e.flags&3899393?e.objectFlags:0}function mTe(e,t){return!!Sm(e,r=>t(r)?!0:void 0)}function z3(e){return!!e&&!!e.declarations&&!!e.declarations[0]&&Zk(e.declarations[0])}function Coe({moduleSpecifier:e}){return Ma(e)?e.text:fc(e)}function NU(e){let t;return Ho(e,r=>{Yf(r)&&(t=r)},r=>{for(let i=r.length-1;i>=0;i--)if(Yf(r[i])){t=r[i];break}}),t}function Rm(e,t,r=!0){return e.has(t)?!1:(e.set(t,r),!0)}function qA(e){return ui(e)||hd(e)||Ep(e)}function CU(e){return e>=182&&e<=205||e===133||e===159||e===150||e===163||e===151||e===136||e===154||e===155||e===116||e===157||e===146||e===141||e===233||e===319||e===320||e===321||e===322||e===323||e===324||e===325}function ws(e){return e.kind===211||e.kind===212}function PU(e){return e.kind===211?e.name:(N.assert(e.kind===212),e.argumentExpression)}function Poe(e){switch(e.kind){case"text":case"internal":return!0;default:return!1}}function B3(e){return e.kind===275||e.kind===279}function kR(e){for(;ws(e);)e=e.expression;return e}function Moe(e,t){if(ws(e.parent)&&SU(e))return r(e.parent);function r(i){if(i.kind===211){const o=t(i.name);if(o!==void 0)return o}else if(i.kind===212)if(Ve(i.argumentExpression)||ls(i.argumentExpression)){const o=t(i.argumentExpression);if(o!==void 0)return o}else return;if(ws(i.expression))return r(i.expression);if(Ve(i.expression))return t(i.expression)}}function wR(e,t){for(;;){switch(e.kind){case 225:e=e.operand;continue;case 226:e=e.left;continue;case 227:e=e.condition;continue;case 215:e=e.tag;continue;case 213:if(t)return e;case 234:case 212:case 211:case 235:case 360:case 238:e=e.expression;continue}return e}}function cGe(e,t){this.flags=e,this.escapedName=t,this.declarations=void 0,this.valueDeclaration=void 0,this.id=0,this.mergeId=0,this.parent=void 0,this.members=void 0,this.exports=void 0,this.exportSymbol=void 0,this.constEnumOnlyModule=void 0,this.isReferenced=void 0,this.lastAssignmentPos=void 0,this.links=void 0}function uGe(e,t){this.flags=t,(N.isDebugging||sr)&&(this.checker=e)}function dGe(e,t){this.flags=t,N.isDebugging&&(this.checker=e)}function Loe(e,t,r){this.pos=t,this.end=r,this.kind=e,this.id=0,this.flags=0,this.modifierFlagsCache=0,this.transformFlags=0,this.parent=void 0,this.original=void 0,this.emitNode=void 0}function pGe(e,t,r){this.pos=t,this.end=r,this.kind=e,this.id=0,this.flags=0,this.transformFlags=0,this.parent=void 0,this.emitNode=void 0}function fGe(e,t,r){this.pos=t,this.end=r,this.kind=e,this.id=0,this.flags=0,this.transformFlags=0,this.parent=void 0,this.original=void 0,this.emitNode=void 0}function mGe(e,t,r){this.fileName=e,this.text=t,this.skipTrivia=r||(i=>i)}function koe(e){uae.push(e),e(lu)}function woe(e){Object.assign(lu,e),Ue(uae,t=>t(lu))}function lg(e,t){return e.replace(/{(\d+)}/g,(r,i)=>""+N.checkDefined(t[+i]))}function Ooe(e){fW=e}function Woe(e){!fW&&e&&(fW=e())}function Wo(e){return fW&&fW[e.key]||e.message}function OR(e,t,r,i,o,...s){r+i>t.length&&(i=t.length-r),Sie(t,r,i);let l=Wo(o);return bt(s)&&(l=lg(l,s)),{file:void 0,start:r,length:i,messageText:l,category:o.category,code:o.code,reportsUnnecessary:o.reportsUnnecessary,fileName:e}}function _Ge(e){return e.file===void 0&&e.start!==void 0&&e.length!==void 0&&typeof e.fileName=="string"}function _Te(e,t){const r=t.fileName||"",i=t.text.length;N.assertEqual(e.fileName,r),N.assertLessThanOrEqual(e.start,i),N.assertLessThanOrEqual(e.start+e.length,i);const o={file:t,start:e.start,length:e.length,messageText:e.messageText,category:e.category,code:e.code,reportsUnnecessary:e.reportsUnnecessary};if(e.relatedInformation){o.relatedInformation=[];for(const s of e.relatedInformation)_Ge(s)&&s.fileName===r?(N.assertLessThanOrEqual(s.start,i),N.assertLessThanOrEqual(s.start+s.length,i),o.relatedInformation.push(_Te(s,t))):o.relatedInformation.push(s)}return o}function JA(e,t){const r=[];for(const i of e)r.push(_Te(i,t));return r}function Qc(e,t,r,i,...o){Sie(e.text,t,r);let s=Wo(i);return bt(o)&&(s=lg(s,o)),{file:e,start:t,length:r,messageText:s,category:i.category,code:i.code,reportsUnnecessary:i.reportsUnnecessary,reportsDeprecated:i.reportsDeprecated}}function MU(e,...t){let r=Wo(e);return bt(t)&&(r=lg(r,t)),r}function Hl(e,...t){let r=Wo(e);return bt(t)&&(r=lg(r,t)),{file:void 0,start:void 0,length:void 0,messageText:r,category:e.category,code:e.code,reportsUnnecessary:e.reportsUnnecessary,reportsDeprecated:e.reportsDeprecated}}function G3(e,t){return{file:void 0,start:void 0,length:void 0,code:e.code,category:e.category,messageText:e.next?e:e.messageText,relatedInformation:t}}function jo(e,t,...r){let i=Wo(t);return bt(r)&&(i=lg(i,r)),{messageText:i,category:t.category,code:t.code,next:e===void 0||Array.isArray(e)?e:[e]}}function Foe(e,t){let r=e;for(;r.next;)r=r.next[0];r.next=[t]}function hTe(e){return e.file?e.file.path:void 0}function KC(e,t){return V3(e,t)||hGe(e,t)||0}function V3(e,t){return Uu(hTe(e),hTe(t))||rl(e.start,t.start)||rl(e.length,t.length)||rl(e.code,t.code)||gTe(e.messageText,t.messageText)||0}function hGe(e,t){return!e.relatedInformation&&!t.relatedInformation?0:e.relatedInformation&&t.relatedInformation?rl(e.relatedInformation.length,t.relatedInformation.length)||Ue(e.relatedInformation,(r,i)=>{const o=t.relatedInformation[i];return KC(r,o)})||0:e.relatedInformation?-1:1}function gTe(e,t){if(typeof e=="string"&&typeof t=="string")return Uu(e,t);if(typeof e=="string")return-1;if(typeof t=="string")return 1;let r=Uu(e.messageText,t.messageText);if(r)return r;if(!e.next&&!t.next)return 0;if(!e.next)return-1;if(!t.next)return 1;const i=Math.min(e.next.length,t.next.length);for(let o=0;o<i;o++)if(r=gTe(e.next[o],t.next[o]),r)return r;return e.next.length<t.next.length?-1:e.next.length>t.next.length?1:0}function yk(e){return e===4||e===2||e===1||e===6?1:0}function vTe(e){if(e.transformFlags&2)return ud(e)||s1(e)?e:Ho(e,vTe)}function gGe(e){return e.isDeclarationFile?void 0:vTe(e)}function vGe(e){return(e.impliedNodeFormat===99||Tc(e.fileName,[".cjs",".cts",".mjs",".mts"]))&&!e.isDeclarationFile?!0:void 0}function bk(e){switch(nH(e)){case 3:return o=>{o.externalModuleIndicator=uw(o)||!o.isDeclarationFile||void 0};case 1:return o=>{o.externalModuleIndicator=uw(o)};case 2:const t=[uw];(e.jsx===4||e.jsx===5)&&t.push(gGe),t.push(vGe);const r=Ym(...t);return o=>void(o.externalModuleIndicator=r(o))}}function Xbt(e){return e}function j3(e){return e>=5&&e<=99}function U3(e){switch(wu(e)){case 0:case 4:case 3:return!1}return!0}function LU(e){return e.verbatimModuleSyntax||e.isolatedModules&&e.preserveValueImports}function zoe(e){return e.allowUnreachableCode===!1}function Boe(e){return e.allowUnusedLabels===!1}function KA(e){return e>=3&&e<=99||e===100}function fd(e,t){return e[t]===void 0?!!e.strict:!!e[t]}function Goe(e){return e.useDefineForClassFields!==!1&&os(e)>=9}function Voe(e,t){return oR(t,e,Sq)}function joe(e,t){return oR(t,e,Tq)}function Uoe(e,t){return oR(t,e,Aq)}function H3(e,t){return t.strictFlag?fd(e,t.name):t.allowJsFlag?Xy(e):e[t.name]}function q3(e){const t=e.jsx;return t===2||t===4||t===5}function J3(e,t){const r=t==null?void 0:t.pragmas.get("jsximportsource"),i=To(r)?r[r.length-1]:r;return e.jsx===4||e.jsx===5||e.jsxImportSource||i?(i==null?void 0:i.arguments.factory)||e.jsxImportSource||"react":void 0}function K3(e,t){return e?`${e}/${t.jsx===5?"jsx-dev-runtime":"jsx-runtime"}`:void 0}function kU(e){let t=!1;for(let r=0;r<e.length;r++)if(e.charCodeAt(r)===42)if(!t)t=!0;else return!1;return!0}function wU(e,t){let r,i,o,s=!1;return{getSymlinkedFiles:()=>o,getSymlinkedDirectories:()=>r,getSymlinkedDirectoriesByRealpath:()=>i,setSymlinkedFile:(u,p)=>(o||(o=new Map)).set(u,p),setSymlinkedDirectory:(u,p)=>{let h=ol(u,e,t);rP(h)||(h=Bc(h),p!==!1&&!(r!=null&&r.has(h))&&(i||(i=Zp())).add(p.realPath,u),(r||(r=new Map)).set(h,p))},setSymlinksFromResolutions(u,p,h){N.assert(!s),s=!0,u(m=>l(this,m.resolvedModule)),p(m=>l(this,m.resolvedTypeReferenceDirective)),h.forEach(m=>l(this,m.resolvedTypeReferenceDirective))},hasProcessedResolutions:()=>s};function l(u,p){if(!p||!p.originalPath||!p.resolvedFileName)return;const{resolvedFileName:h,originalPath:m}=p;u.setSymlinkedFile(ol(m,e,t),h);const[b,A]=yGe(h,m,e,t)||et;b&&A&&u.setSymlinkedDirectory(A,{real:Bc(b),realPath:Bc(ol(b,e,t))})}}function yGe(e,t,r,i){const o=zc(go(e,r)),s=zc(go(t,r));let l=!1;for(;o.length>=2&&s.length>=2&&!yTe(o[o.length-2],i)&&!yTe(s[s.length-2],i)&&i(o[o.length-1])===i(s[s.length-1]);)o.pop(),s.pop(),l=!0;return l?[Cy(o),Cy(s)]:void 0}function yTe(e,t){return e!==void 0&&(t(e)==="node_modules"||uo(e,"@"))}function bGe(e){return wV(e.charCodeAt(0))?e.slice(1):void 0}function OU(e,t,r){const i=TG(e,t,r);return i===void 0?void 0:bGe(i)}function bTe(e){return e.replace(rH,EGe)}function EGe(e){return"\\"+e}function XC(e,t,r){const i=X3(e,t,r);return!i||!i.length?void 0:`^(${i.map(l=>`(${l})`).join("|")})${r==="exclude"?"($|/)":"$"}`}function X3(e,t,r){if(!(e===void 0||e.length===0))return Pi(e,i=>i&&Y3(i,t,r,aH[r]))}function WU(e){return!/[.*?]/.test(e)}function Hoe(e,t,r){const i=e&&Y3(e,t,r,aH[r]);return i&&`^(${i})${r==="exclude"?"($|/)":"$"}`}function Y3(e,t,r,{singleAsteriskRegexFragment:i,doubleAsteriskRegexFragment:o,replaceWildcardCharacter:s}=aH[r]){let l="",u=!1;const p=YM(e,t),h=Ya(p);if(r!=="exclude"&&h==="**")return;p[0]=o0(p[0]),WU(h)&&p.push("**","*");let m=0;for(let b of p){if(b==="**")l+=o;else if(r==="directories"&&(l+="(",m++),u&&(l+=al),r!=="exclude"){let A="";b.charCodeAt(0)===42?(A+="([^./]"+i+")?",b=b.substr(1)):b.charCodeAt(0)===63&&(A+="[^./]",b=b.substr(1)),A+=b.replace(rH,s),A!==b&&(l+=oH),l+=A}else l+=b.replace(rH,s);u=!0}for(;m>0;)l+=")?",m--;return l}function qoe(e,t){return e==="*"?t:e==="?"?"[^/]":"\\"+e}function $3(e,t,r,i,o){e=ga(e),o=ga(o);const s=Qr(o,e);return{includeFilePatterns:wt(X3(r,s,"files"),l=>`^${l}$`),includeFilePattern:XC(r,s,"files"),includeDirectoryPattern:XC(r,s,"directories"),excludePattern:XC(t,s,"exclude"),basePaths:SGe(e,r,i)}}function qy(e,t){return new RegExp(e,t?"":"i")}function FU(e,t,r,i,o,s,l,u,p){e=ga(e),s=ga(s);const h=$3(e,r,i,o,s),m=h.includeFilePatterns&&h.includeFilePatterns.map(O=>qy(O,o)),b=h.includeDirectoryPattern&&qy(h.includeDirectoryPattern,o),A=h.excludePattern&&qy(h.excludePattern,o),I=m?m.map(()=>[]):[[]],R=new Map,M=Mu(o);for(const O of h.basePaths)C(O,Qr(s,O),l);return ia(I);function C(O,U,K){const Z=M(p(U));if(R.has(Z))return;R.set(Z,!0);const{files:V,directories:se}=u(O);for(const G of uS(V,Uu)){const ne=Qr(O,G),he=Qr(U,G);if(!(t&&!Tc(ne,t))&&!(A&&A.test(he)))if(!m)I[0].push(ne);else{const ye=Gr(m,$=>$.test(he));ye!==-1&&I[ye].push(ne)}}if(!(K!==void 0&&(K--,K===0)))for(const G of uS(se,Uu)){const ne=Qr(O,G),he=Qr(U,G);(!b||b.test(he))&&(!A||!A.test(he))&&C(ne,he,K)}}}function SGe(e,t,r){const i=[e];if(t){const o=[];for(const s of t){const l=fp(s)?s:ga(Qr(e,s));o.push(TGe(l))}o.sort(zx(!r));for(const s of o)Zn(i,l=>!bm(l,s,e,!r))&&i.push(s)}return i}function TGe(e){const t=Sc(e,FTe);return t<0?xA(e)?o0(ai(e)):e:e.substring(0,e.lastIndexOf(al,t))}function Q3(e,t){return t||Z3(e)||3}function Z3(e){switch(e.substr(e.lastIndexOf(".")).toLowerCase()){case".js":case".cjs":case".mjs":return 1;case".jsx":return 2;case".ts":case".cts":case".mts":return 3;case".tsx":return 4;case".json":return 6;default:return 0}}function YC(e,t){const r=e&&Xy(e);if(!t||t.length===0)return r?hW:GR;const i=r?hW:GR,o=ia(i);return[...i,...Hi(t,l=>l.scriptKind===7||r&&AGe(l.scriptKind)&&!o.includes(l.extension)?[l.extension]:void 0)]}function Ek(e,t){return!e||!A0(e)?t:t===hW?GTe:t===GR?zTe:[...t,[".json"]]}function AGe(e){return e===1||e===2}function YE(e){return bt(VR,t=>Il(e,t))}function XA(e){return bt(sH,t=>Il(e,t))}function Joe({imports:e},t=Ym(YE,XA)){return Ut(e,({text:r})=>Wp(r)&&!Tc(r,kk)?t(r):void 0)||!1}function Koe(e,t,r,i){const o=md(r),s=3<=o&&o<=99;if(e==="js"||t===99&&s)return fD(r)&&l()!==2?3:2;if(e==="minimal")return 0;if(e==="index")return 1;if(!fD(r))return Joe(i)?2:0;return l();function l(){let u=!1;const p=i.imports.length?i.imports:dd(i)?IGe(i).map(h=>h.arguments[0]):et;for(const h of p)if(Wp(h.text)){if(s&&t===1&&FJ(i,h,r)===99||Tc(h.text,kk))continue;if(XA(h.text))return 3;YE(h.text)&&(u=!0)}return u?2:0}}function IGe(e){let t=0,r;for(const i of e.statements){if(t>3)break;Vj(i)?r=So(r,i.declarationList.declarations.map(o=>o.initializer)):eu(i)&&Ad(i.expression,!0)?r=xn(r,i.expression):t++}return r||et}function Xoe(e,t,r){if(!e)return!1;const i=YC(t,r);for(const o of ia(Ek(t,i)))if(Il(e,o))return!0;return!1}function ETe(e){const t=e.match(/\//g);return t?t.length:0}function Sk(e,t){return rl(ETe(e),ETe(t))}function Id(e){for(const t of uH){const r=Yoe(e,t);if(r!==void 0)return r}return e}function Yoe(e,t){return Il(e,t)?Tk(e,t):void 0}function Tk(e,t){return e.substring(0,e.length-t.length)}function S0(e,t){return $M(e,t,uH,!1)}function WR(e){const t=e.indexOf("*");return t===-1?e:e.indexOf("*",t+1)!==-1?void 0:{prefix:e.substr(0,t),suffix:e.substr(t+1)}}function eW(e){return Hi(Xh(e),t=>WR(t))}function Zm(e){return!(e>=0)}function tW(e){return e===".ts"||e===".tsx"||e===".d.ts"||e===".cts"||e===".mts"||e===".d.mts"||e===".d.cts"||uo(e,".d.")&&Al(e,".ts")}function $C(e){return tW(e)||e===".json"}function QC(e){const t=jg(e);return t!==void 0?t:N.fail(`File ${e} has unknown extension.`)}function STe(e){return jg(e)!==void 0}function jg(e){return An(uH,t=>Il(e,t))}function Ak(e,t){return e.checkJsDirective?e.checkJsDirective.enabled:t.checkJs}function zU(e,t){const r=[];for(const i of e){if(i===t)return t;Po(i)||r.push(i)}return SG(r,i=>i,t)}function BU(e,t){const r=e.indexOf(t);return N.assert(r!==-1),e.slice(r)}function wa(e,...t){return t.length&&(e.relatedInformation||(e.relatedInformation=[]),N.assert(e.relatedInformation!==et,"Diagnostic had empty array singleton for related info, but is still being constructed!"),e.relatedInformation.push(...t)),e}function $oe(e,t){N.assert(e.length!==0);let r=t(e[0]),i=r;for(let o=1;o<e.length;o++){const s=t(e[o]);s<r?r=s:s>i&&(i=s)}return{min:r,max:i}}function GU(e){return{pos:_0(e),end:e.end}}function VU(e,t){const r=t.pos-1,i=Math.min(e.text.length,ka(e.text,t.end)+1);return{pos:r,end:i}}function ZC(e,t,r){return t.skipLibCheck&&e.isDeclarationFile||t.skipDefaultLibCheck&&e.hasNoDefaultLib||r.isSourceOfProjectReferenceRedirect(e.fileName)}function nW(e,t){return e===t||typeof e=="object"&&e!==null&&typeof t=="object"&&t!==null&&Dne(e,t,nW)}function eP(e){let t;switch(e.charCodeAt(1)){case 98:case 66:t=1;break;case 111:case 79:t=3;break;case 120:case 88:t=4;break;default:const h=e.length-1;let m=0;for(;e.charCodeAt(m)===48;)m++;return e.slice(m,h)||"0"}const r=2,i=e.length-1,o=(i-r)*t,s=new Uint16Array((o>>>4)+(o&15?1:0));for(let h=i-1,m=0;h>=r;h--,m+=t){const b=m>>>4,A=e.charCodeAt(h),R=(A<=57?A-48:10+A-(A<=70?65:97))<<(m&15);s[b]|=R;const M=R>>>16;M&&(s[b+1]|=M)}let l="",u=s.length-1,p=!0;for(;p;){let h=0;p=!1;for(let m=u;m>=0;m--){const b=h<<16|s[m],A=b/10|0;s[m]=A,h=b-A*10,A&&!p&&(u=m,p=!0)}l=h+l}return l}function $E({negative:e,base10Value:t}){return(e&&t!=="0"?"-":"")+t}function Qoe(e){if(rW(e,!1))return jU(e)}function jU(e){const t=e.startsWith("-"),r=eP(`${t?e.slice(1):e}n`);return{negative:t,base10Value:r}}function rW(e,t){if(e==="")return!1;const r=wv(99,!1);let i=!0;r.setOnError(()=>i=!1),r.setText(e+"n");let o=r.scan();const s=o===41;s&&(o=r.scan());const l=r.getTokenFlags();return i&&o===10&&r.getTokenEnd()===e.length+1&&!(l&512)&&(!t||e===$E({negative:s,base10Value:eP(r.getTokenValue())}))}function T0(e){return!!(e.flags&33554432)||l3(e)||DGe(e)||RGe(e)||!(ng(e)||xGe(e))}function xGe(e){return Ve(e)&&rp(e.parent)&&e.parent.name===e}function RGe(e){for(;e.kind===80||e.kind===211;)e=e.parent;if(e.kind!==167)return!1;if(Zr(e.parent,64))return!0;const t=e.parent.parent.kind;return t===264||t===187}function DGe(e){if(e.kind!==80)return!1;const t=Gn(e.parent,r=>{switch(r.kind){case 298:return!0;case 211:case 233:return!1;default:return"quit"}});return(t==null?void 0:t.token)===119||(t==null?void 0:t.parent.kind)===264}function Zoe(e){return Cf(e)&&Ve(e.typeName)}function eae(e,t=Mv){if(e.length<2)return!0;const r=e[0];for(let i=1,o=e.length;i<o;i++){const s=e[i];if(!t(r,s))return!1}return!0}function tP(e,t){return e.pos=t,e}function FR(e,t){return e.end=t,e}function vh(e,t,r){return FR(tP(e,t),r)}function nP(e,t,r){return vh(e,t,t+r)}function tae(e,t){return e&&(e.flags=t),e}function qa(e,t){return e&&t&&(e.parent=t),e}function zR(e,t){if(e)for(const r of e)qa(r,t);return e}function Jy(e,t){if(!e)return e;return CP(e,rR(e)?r:o),e;function r(s,l){if(t&&s.parent===l)return"skip";qa(s,l)}function i(s){if(Fp(s))for(const l of s.jsDoc)r(l,s),CP(l,r)}function o(s,l){return r(s,l)||i(s)}}function NGe(e){return!jc(e)}function UU(e){return _d(e)&&Zn(e.elements,NGe)}function nae(e){for(N.assertIsDefined(e.parent);;){const t=e.parent;if(Gd(t)){e=t;continue}if(eu(t)||pI(t)||HS(t)&&(t.initializer===e||t.incrementor===e))return!0;if(yP(t)){if(e!==Ya(t.elements))return!0;e=t;continue}if(mr(t)&&t.operatorToken.kind===28){if(e===t.left)return!0;e=t;continue}return!1}}function rP(e){return bt(XM,t=>e.includes(t))}function rae(e){if(!e.parent)return;switch(e.kind){case 168:const{parent:r}=e;return r.kind===195?void 0:r.typeParameters;case 169:return e.parent.parameters;case 204:return e.parent.templateSpans;case 239:return e.parent.templateSpans;case 170:{const{parent:i}=e;return QS(i)?i.modifiers:void 0}case 298:return e.parent.heritageClauses}const{parent:t}=e;if(iR(e))return XS(e.parent)?void 0:e.parent.tags;switch(t.kind){case 187:case 264:return yS(e)?t.members:void 0;case 192:case 193:return t.types;case 189:case 209:case 361:case 275:case 279:return t.elements;case 210:case 292:return t.properties;case 213:case 214:return ji(e)?t.typeArguments:t.expression===e?void 0:t.arguments;case 284:case 288:return AL(e)?t.children:void 0;case 286:case 285:return ji(e)?t.typeArguments:void 0;case 241:case 296:case 297:case 268:return t.statements;case 269:return t.clauses;case 263:case 231:return $c(e)?t.members:void 0;case 266:return u1(e)?t.members:void 0;case 312:return t.statements}}function iW(e){if(!e.typeParameters){if(bt(e.parameters,t=>!yu(t)))return!0;if(e.kind!==219){const t=Xc(e.parameters);if(!(t&&JE(t)))return!0}}return!1}function iP(e){return e==="Infinity"||e==="-Infinity"||e==="NaN"}function iae(e){return e.kind===260&&e.parent.kind===299}function QE(e){return e.kind===218||e.kind===219}function ZE(e){return e.replace(/\$/gm,()=>"\\$")}function cg(e){return(+e).toString()===e}function oW(e,t,r,i,o){const s=o&&e==="new";return!s&&tf(e,t)?w.createIdentifier(e):!i&&!s&&cg(e)&&+e>=0?w.createNumericLiteral(+e):w.createStringLiteral(e,!!r)}function oP(e){return!!(e.flags&262144&&e.isThisType)}function aW(e){let t=0,r=0,i=0,o=0,s;(h=>{h[h.BeforeNodeModules=0]="BeforeNodeModules",h[h.NodeModules=1]="NodeModules",h[h.Scope=2]="Scope",h[h.PackageContent=3]="PackageContent"})(s||(s={}));let l=0,u=0,p=0;for(;u>=0;)switch(l=u,u=e.indexOf("/",l+1),p){case 0:e.indexOf(xh,l)===l&&(t=l,r=u,p=1);break;case 1:case 2:p===1&&e.charAt(l+1)==="@"?p=2:(i=u,p=3);break;case 3:e.indexOf(xh,l)===l?p=1:p=3;break}return o=l,p>1?{topLevelNodeModulesIndex:t,topLevelPackageNameIndex:r,packageRootIndex:i,fileNameIndex:o}:void 0}function TTe(e){var t;return e.kind===348?(t=e.typeExpression)==null?void 0:t.type:e.type}function BR(e){switch(e.kind){case 168:case 263:case 264:case 265:case 266:case 353:case 345:case 347:return!0;case 273:return e.isTypeOnly;case 276:case 281:return e.parent.parent.isTypeOnly;default:return!1}}function Ik(e){return x0(e)||Ll(e)||Ac(e)||Ic(e)||hd(e)||BR(e)||Xl(e)&&!WE(e)&&!R_(e)}function xk(e){if(!fC(e))return!1;const{isBracketed:t,typeExpression:r}=e;return t||!!r&&r.type.kind===323}function HU(e,t){if(e.length===0)return!1;const r=e.charCodeAt(0);return r===35?e.length>1&&$h(e.charCodeAt(1),t):$h(r,t)}function oae(e){var t;return((t=yH(e))==null?void 0:t.kind)===0}function Rk(e){return lr(e)&&(e.type&&e.type.kind===323||Qx(e).some(({isBracketed:t,typeExpression:r})=>t||!!r&&r.type.kind===323))}function aP(e){switch(e.kind){case 172:case 171:return!!e.questionToken;case 169:return!!e.questionToken||Rk(e);case 355:case 348:return xk(e);default:return!1}}function aae(e){const t=e.kind;return(t===211||t===212)&&fI(e.expression)}function qU(e){return lr(e)&&Gd(e)&&Fp(e)&&!!$V(e)}function JU(e){return N.checkDefined(sW(e))}function sW(e){const t=$V(e);return t&&t.typeExpression&&t.typeExpression.type}function sP(e){return Ve(e)?e.escapedText:YA(e)}function Dk(e){return Ve(e)?Sr(e):lP(e)}function sae(e){const t=e.kind;return t===80||t===295}function YA(e){return`${e.namespace.escapedText}:${Sr(e.name)}`}function lP(e){return`${Sr(e.namespace)}:${Sr(e.name)}`}function KU(e){return Ve(e)?Sr(e):lP(e)}function rm(e){return!!(e.flags&8576)}function im(e){return e.flags&8192?e.escapedName:e.flags&384?hl(""+e.value):N.fail()}function lW(e){return!!e&&(Fr(e)||Qs(e)||mr(e))}function lae(e){return e===void 0?!1:!!hD(e.attributes)}function $A(e,t){return VTe.call(e,"*",t)}function cW(e){return Ve(e.name)?e.name.escapedText:hl(e.name.text)}var uW,Ky,Nk,dW,Ck,pW,XU,YU,ATe,ITe,$U,xTe,RTe,QU,ZU,eH,tH,DTe,NTe,CTe,PTe,MTe,cae,LTe,kTe,wTe,cP,WS,OTe,WTe,lu,uae,fW,_c,os,wu,md,nH,om,yh,FS,mW,_W,A0,Nf,e1,uP,Pk,Xy,dP,rH,FTe,iH,oH,dae,pae,fae,aH,GR,sH,zTe,BTe,lH,VR,hW,GTe,Mk,Lk,kk,cH,uH,gW,VTe,CGe=T({"src/compiler/utilities.ts"(){ra(),uW=[],Ky="tslib",Nk=160,dW=1e6,Ck=LBe(),pW=Td(()=>new Map(Object.entries({Array:new Map(Object.entries({es2015:["find","findIndex","fill","copyWithin","entries","keys","values"],es2016:["includes"],es2019:["flat","flatMap"],es2022:["at"],es2023:["findLastIndex","findLast"]})),Iterator:new Map(Object.entries({es2015:et})),AsyncIterator:new Map(Object.entries({es2015:et})),Atomics:new Map(Object.entries({es2017:et})),SharedArrayBuffer:new Map(Object.entries({es2017:et})),AsyncIterable:new Map(Object.entries({es2018:et})),AsyncIterableIterator:new Map(Object.entries({es2018:et})),AsyncGenerator:new Map(Object.entries({es2018:et})),AsyncGeneratorFunction:new Map(Object.entries({es2018:et})),RegExp:new Map(Object.entries({es2015:["flags","sticky","unicode"],es2018:["dotAll"]})),Reflect:new Map(Object.entries({es2015:["apply","construct","defineProperty","deleteProperty","get","getOwnPropertyDescriptor","getPrototypeOf","has","isExtensible","ownKeys","preventExtensions","set","setPrototypeOf"]})),ArrayConstructor:new Map(Object.entries({es2015:["from","of"]})),ObjectConstructor:new Map(Object.entries({es2015:["assign","getOwnPropertySymbols","keys","is","setPrototypeOf"],es2017:["values","entries","getOwnPropertyDescriptors"],es2019:["fromEntries"],es2022:["hasOwn"]})),NumberConstructor:new Map(Object.entries({es2015:["isFinite","isInteger","isNaN","isSafeInteger","parseFloat","parseInt"]})),Math:new Map(Object.entries({es2015:["clz32","imul","sign","log10","log2","log1p","expm1","cosh","sinh","tanh","acosh","asinh","atanh","hypot","trunc","fround","cbrt"]})),Map:new Map(Object.entries({es2015:["entries","keys","values"]})),Set:new Map(Object.entries({es2015:["entries","keys","values"]})),PromiseConstructor:new Map(Object.entries({es2015:["all","race","reject","resolve"],es2020:["allSettled"],es2021:["any"]})),Symbol:new Map(Object.entries({es2015:["for","keyFor"],es2019:["description"]})),WeakMap:new Map(Object.entries({es2015:["entries","keys","values"]})),WeakSet:new Map(Object.entries({es2015:["entries","keys","values"]})),String:new Map(Object.entries({es2015:["codePointAt","includes","endsWith","normalize","repeat","startsWith","anchor","big","blink","bold","fixed","fontcolor","fontsize","italics","link","small","strike","sub","sup"],es2017:["padStart","padEnd"],es2019:["trimStart","trimEnd","trimLeft","trimRight"],es2020:["matchAll"],es2021:["replaceAll"],es2022:["at"]})),StringConstructor:new Map(Object.entries({es2015:["fromCodePoint","raw"]})),DateTimeFormat:new Map(Object.entries({es2017:["formatToParts"]})),Promise:new Map(Object.entries({es2015:et,es2018:["finally"]})),RegExpMatchArray:new Map(Object.entries({es2018:["groups"]})),RegExpExecArray:new Map(Object.entries({es2018:["groups"]})),Intl:new Map(Object.entries({es2018:["PluralRules"]})),NumberFormat:new Map(Object.entries({es2018:["formatToParts"]})),SymbolConstructor:new Map(Object.entries({es2020:["matchAll"]})),DataView:new Map(Object.entries({es2020:["setBigInt64","setBigUint64","getBigInt64","getBigUint64"]})),BigInt:new Map(Object.entries({es2020:et})),RelativeTimeFormat:new Map(Object.entries({es2020:["format","formatToParts","resolvedOptions"]})),Int8Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),Uint8Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),Uint8ClampedArray:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),Int16Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),Uint16Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),Int32Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),Uint32Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),Float32Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),Float64Array:new Map(Object.entries({es2022:["at"],es2023:["findLastIndex","findLast"]})),BigInt64Array:new Map(Object.entries({es2020:et,es2022:["at"],es2023:["findLastIndex","findLast"]})),BigUint64Array:new Map(Object.entries({es2020:et,es2022:["at"],es2023:["findLastIndex","findLast"]})),Error:new Map(Object.entries({es2022:["cause"]}))}))),XU=(e=>(e[e.None=0]="None",e[e.NeverAsciiEscape=1]="NeverAsciiEscape",e[e.JsxAttributeEscape=2]="JsxAttributeEscape",e[e.TerminateUnterminatedLiterals=4]="TerminateUnterminatedLiterals",e[e.AllowNumericSeparator=8]="AllowNumericSeparator",e))(XU||{}),YU=/^(\/\/\/\s*<reference\s+path\s*=\s*)(('[^']*')|("[^"]*")).*?\/>/,ATe=/^(\/\/\/\s*<reference\s+types\s*=\s*)(('[^']*')|("[^"]*")).*?\/>/,ITe=/^(\/\/\/\s*<reference\s+lib\s*=\s*)(('[^']*')|("[^"]*")).*?\/>/,$U=/^(\/\/\/\s*<amd-dependency\s+path\s*=\s*)(('[^']*')|("[^"]*")).*?\/>/,xTe=/^\/\/\/\s*<amd-module\s+.*?\/>/,RTe=/^(\/\/\/\s*<reference\s+no-default-lib\s*=\s*)(('[^']*')|("[^"]*"))\s*\/>/,QU=(e=>(e[e.None=0]="None",e[e.Definite=1]="Definite",e[e.Compound=2]="Compound",e))(QU||{}),ZU=(e=>(e[e.Normal=0]="Normal",e[e.Generator=1]="Generator",e[e.Async=2]="Async",e[e.Invalid=4]="Invalid",e[e.AsyncGenerator=3]="AsyncGenerator",e))(ZU||{}),eH=(e=>(e[e.Left=0]="Left",e[e.Right=1]="Right",e))(eH||{}),tH=(e=>(e[e.Comma=0]="Comma",e[e.Spread=1]="Spread",e[e.Yield=2]="Yield",e[e.Assignment=3]="Assignment",e[e.Conditional=4]="Conditional",e[e.Coalesce=4]="Coalesce",e[e.LogicalOR=5]="LogicalOR",e[e.LogicalAND=6]="LogicalAND",e[e.BitwiseOR=7]="BitwiseOR",e[e.BitwiseXOR=8]="BitwiseXOR",e[e.BitwiseAND=9]="BitwiseAND",e[e.Equality=10]="Equality",e[e.Relational=11]="Relational",e[e.Shift=12]="Shift",e[e.Additive=13]="Additive",e[e.Multiplicative=14]="Multiplicative",e[e.Exponentiation=15]="Exponentiation",e[e.Unary=16]="Unary",e[e.Update=17]="Update",e[e.LeftHandSide=18]="LeftHandSide",e[e.Member=19]="Member",e[e.Primary=20]="Primary",e[e.Highest=20]="Highest",e[e.Lowest=0]="Lowest",e[e.Invalid=-1]="Invalid",e))(tH||{}),DTe=/\$\{/g,NTe=/[\\"\u0000-\u001f\t\v\f\b\r\n\u2028\u2029\u0085]/g,CTe=/[\\'\u0000-\u001f\t\v\f\b\r\n\u2028\u2029\u0085]/g,PTe=/\r\n|[\\`\u0000-\u001f\t\v\f\b\r\u2028\u2029\u0085]/g,MTe=new Map(Object.entries({" ":"\\t","\v":"\\v","\f":"\\f","\b":"\\b","\r":"\\r","\n":"\\n","\\":"\\\\",'"':'\\"',"'":"\\'","`":"\\`","\u2028":"\\u2028","\u2029":"\\u2029","…":"\\u0085","\r\n":"\\r\\n"})),cae=/[^\u0000-\u007F]/g,LTe=/["\u0000-\u001f\u2028\u2029\u0085]/g,kTe=/['\u0000-\u001f\u2028\u2029\u0085]/g,wTe=new Map(Object.entries({'"':"&quot;","'":"&apos;"})),cP=[""," "],WS="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=",OTe=`\r
+`,WTe=`
+`,lu={getNodeConstructor:()=>Loe,getTokenConstructor:()=>pGe,getIdentifierConstructor:()=>fGe,getPrivateIdentifierConstructor:()=>Loe,getSourceFileConstructor:()=>Loe,getSymbolConstructor:()=>cGe,getTypeConstructor:()=>uGe,getSignatureConstructor:()=>dGe,getSourceMapSourceConstructor:()=>mGe},uae=[],_c={target:{dependencies:["module"],computeValue:e=>e.target??(e.module===100&&9||e.module===199&&99||1)},module:{dependencies:["target"],computeValue:e=>typeof e.module=="number"?e.module:_c.target.computeValue(e)>=2?5:1},moduleResolution:{dependencies:["module","target"],computeValue:e=>{let t=e.moduleResolution;if(t===void 0)switch(_c.module.computeValue(e)){case 1:t=2;break;case 100:t=3;break;case 199:t=99;break;case 200:t=100;break;default:t=1;break}return t}},moduleDetection:{dependencies:["module","target"],computeValue:e=>e.moduleDetection||(_c.module.computeValue(e)===100||_c.module.computeValue(e)===199?3:2)},isolatedModules:{dependencies:["verbatimModuleSyntax"],computeValue:e=>!!(e.isolatedModules||e.verbatimModuleSyntax)},esModuleInterop:{dependencies:["module","target"],computeValue:e=>{if(e.esModuleInterop!==void 0)return e.esModuleInterop;switch(_c.module.computeValue(e)){case 100:case 199:case 200:return!0}return!1}},allowSyntheticDefaultImports:{dependencies:["module","target","moduleResolution"],computeValue:e=>e.allowSyntheticDefaultImports!==void 0?e.allowSyntheticDefaultImports:_c.esModuleInterop.computeValue(e)||_c.module.computeValue(e)===4||_c.moduleResolution.computeValue(e)===100},resolvePackageJsonExports:{dependencies:["moduleResolution"],computeValue:e=>{const t=_c.moduleResolution.computeValue(e);if(!KA(t))return!1;if(e.resolvePackageJsonExports!==void 0)return e.resolvePackageJsonExports;switch(t){case 3:case 99:case 100:return!0}return!1}},resolvePackageJsonImports:{dependencies:["moduleResolution","resolvePackageJsonExports"],computeValue:e=>{const t=_c.moduleResolution.computeValue(e);if(!KA(t))return!1;if(e.resolvePackageJsonExports!==void 0)return e.resolvePackageJsonExports;switch(t){case 3:case 99:case 100:return!0}return!1}},resolveJsonModule:{dependencies:["moduleResolution","module","target"],computeValue:e=>e.resolveJsonModule!==void 0?e.resolveJsonModule:_c.moduleResolution.computeValue(e)===100},declaration:{dependencies:["composite"],computeValue:e=>!!(e.declaration||e.composite)},preserveConstEnums:{dependencies:["isolatedModules","verbatimModuleSyntax"],computeValue:e=>!!(e.preserveConstEnums||_c.isolatedModules.computeValue(e))},incremental:{dependencies:["composite"],computeValue:e=>!!(e.incremental||e.composite)},declarationMap:{dependencies:["declaration","composite"],computeValue:e=>!!(e.declarationMap&&_c.declaration.computeValue(e))},allowJs:{dependencies:["checkJs"],computeValue:e=>e.allowJs===void 0?!!e.checkJs:e.allowJs},useDefineForClassFields:{dependencies:["target","module"],computeValue:e=>e.useDefineForClassFields===void 0?_c.target.computeValue(e)>=9:e.useDefineForClassFields},noImplicitAny:{dependencies:["strict"],computeValue:e=>fd(e,"noImplicitAny")},noImplicitThis:{dependencies:["strict"],computeValue:e=>fd(e,"noImplicitThis")},strictNullChecks:{dependencies:["strict"],computeValue:e=>fd(e,"strictNullChecks")},strictFunctionTypes:{dependencies:["strict"],computeValue:e=>fd(e,"strictFunctionTypes")},strictBindCallApply:{dependencies:["strict"],computeValue:e=>fd(e,"strictBindCallApply")},strictPropertyInitialization:{dependencies:["strict"],computeValue:e=>fd(e,"strictPropertyInitialization")},alwaysStrict:{dependencies:["strict"],computeValue:e=>fd(e,"alwaysStrict")},useUnknownInCatchVariables:{dependencies:["strict"],computeValue:e=>fd(e,"useUnknownInCatchVariables")}},os=_c.target.computeValue,wu=_c.module.computeValue,md=_c.moduleResolution.computeValue,nH=_c.moduleDetection.computeValue,om=_c.isolatedModules.computeValue,yh=_c.esModuleInterop.computeValue,FS=_c.allowSyntheticDefaultImports.computeValue,mW=_c.resolvePackageJsonExports.computeValue,_W=_c.resolvePackageJsonImports.computeValue,A0=_c.resolveJsonModule.computeValue,Nf=_c.declaration.computeValue,e1=_c.preserveConstEnums.computeValue,uP=_c.incremental.computeValue,Pk=_c.declarationMap.computeValue,Xy=_c.allowJs.computeValue,dP=_c.useDefineForClassFields.computeValue,rH=/[^\w\s/]/g,FTe=[42,63],iH=["node_modules","bower_components","jspm_packages"],oH=`(?!(${iH.join("|")})(/|$))`,dae={singleAsteriskRegexFragment:"([^./]|(\\.(?!min\\.js$))?)*",doubleAsteriskRegexFragment:`(/${oH}[^/.][^/]*)*?`,replaceWildcardCharacter:e=>qoe(e,dae.singleAsteriskRegexFragment)},pae={singleAsteriskRegexFragment:"[^/]*",doubleAsteriskRegexFragment:`(/${oH}[^/.][^/]*)*?`,replaceWildcardCharacter:e=>qoe(e,pae.singleAsteriskRegexFragment)},fae={singleAsteriskRegexFragment:"[^/]*",doubleAsteriskRegexFragment:"(/.+?)?",replaceWildcardCharacter:e=>qoe(e,fae.singleAsteriskRegexFragment)},aH={files:dae,directories:pae,exclude:fae},GR=[[".ts",".tsx",".d.ts"],[".cts",".d.cts"],[".mts",".d.mts"]],sH=ia(GR),zTe=[...GR,[".json"]],BTe=[".d.ts",".d.cts",".d.mts",".cts",".mts",".ts",".tsx"],lH=[[".js",".jsx"],[".mjs"],[".cjs"]],VR=ia(lH),hW=[[".ts",".tsx",".d.ts",".js",".jsx"],[".cts",".d.cts",".cjs"],[".mts",".d.mts",".mjs"]],GTe=[...hW,[".json"]],Mk=[".d.ts",".d.cts",".d.mts"],Lk=[".ts",".cts",".mts",".tsx"],kk=[".mts",".d.mts",".mjs",".cts",".d.cts",".cjs"],cH=(e=>(e[e.Minimal=0]="Minimal",e[e.Index=1]="Index",e[e.JsExtension=2]="JsExtension",e[e.TsExtension=3]="TsExtension",e))(cH||{}),uH=[".d.ts",".d.mts",".d.cts",".mjs",".mts",".cjs",".cts",".ts",".js",".tsx",".jsx",".json"],gW={files:et,directories:et},VTe=String.prototype.replace}});function mae(){let e,t,r,i,o;return{createBaseSourceFileNode:s,createBaseIdentifierNode:l,createBasePrivateIdentifierNode:u,createBaseTokenNode:p,createBaseNode:h};function s(m){return new(o||(o=lu.getSourceFileConstructor()))(m,-1,-1)}function l(m){return new(r||(r=lu.getIdentifierConstructor()))(m,-1,-1)}function u(m){return new(i||(i=lu.getPrivateIdentifierConstructor()))(m,-1,-1)}function p(m){return new(t||(t=lu.getTokenConstructor()))(m,-1,-1)}function h(m){return new(e||(e=lu.getNodeConstructor()))(m,-1,-1)}}var PGe=T({"src/compiler/factory/baseNodeFactory.ts"(){ra()}});function _ae(e){let t,r;return{getParenthesizeLeftSideOfBinaryForOperator:i,getParenthesizeRightSideOfBinaryForOperator:o,parenthesizeLeftSideOfBinary:h,parenthesizeRightSideOfBinary:m,parenthesizeExpressionOfComputedPropertyName:b,parenthesizeConditionOfConditionalExpression:A,parenthesizeBranchOfConditionalExpression:I,parenthesizeExpressionOfExportDefault:R,parenthesizeExpressionOfNew:M,parenthesizeLeftSideOfAccess:C,parenthesizeOperandOfPostfixUnary:O,parenthesizeOperandOfPrefixUnary:U,parenthesizeExpressionsOfCommaDelimitedList:K,parenthesizeExpressionForDisallowedComma:Z,parenthesizeExpressionOfExpressionStatement:V,parenthesizeConciseBodyOfArrowFunction:se,parenthesizeCheckTypeOfConditionalType:G,parenthesizeExtendsTypeOfConditionalType:ne,parenthesizeConstituentTypesOfUnionType:ye,parenthesizeConstituentTypeOfUnionType:he,parenthesizeConstituentTypesOfIntersectionType:Y,parenthesizeConstituentTypeOfIntersectionType:$,parenthesizeOperandOfTypeOperator:oe,parenthesizeOperandOfReadonlyTypeOperator:fe,parenthesizeNonArrayTypeOfPostfixType:Ne,parenthesizeElementTypesOfTupleType:pe,parenthesizeElementTypeOfTupleType:ie,parenthesizeTypeOfOptionalType:Le,parenthesizeTypeArguments:De,parenthesizeLeadingTypeArgument:He};function i(Pe){t||(t=new Map);let We=t.get(Pe);return We||(We=At=>h(Pe,At),t.set(Pe,We)),We}function o(Pe){r||(r=new Map);let We=r.get(Pe);return We||(We=At=>m(Pe,void 0,At),r.set(Pe,We)),We}function s(Pe,We,At,Se){const je=ck(226,Pe),at=sU(226,Pe),Gt=Tm(We);if(!At&&We.kind===219&&je>3)return!0;const vt=wC(Gt);switch(rl(vt,je)){case-1:return!(!At&&at===1&&We.kind===229);case 1:return!1;case 0:if(At)return at===1;if(mr(Gt)&&Gt.operatorToken.kind===Pe){if(l(Pe))return!1;if(Pe===40){const It=Se?u(Se):0;if(mC(It)&&It===u(Gt))return!1}}return aU(Gt)===0}}function l(Pe){return Pe===42||Pe===52||Pe===51||Pe===53||Pe===28}function u(Pe){if(Pe=Tm(Pe),mC(Pe.kind))return Pe.kind;if(Pe.kind===226&&Pe.operatorToken.kind===40){if(Pe.cachedLiteralKind!==void 0)return Pe.cachedLiteralKind;const We=u(Pe.left),At=mC(We)&&We===u(Pe.right)?We:0;return Pe.cachedLiteralKind=At,At}return 0}function p(Pe,We,At,Se){return Tm(We).kind===217?We:s(Pe,We,At,Se)?e.createParenthesizedExpression(We):We}function h(Pe,We){return p(Pe,We,!0)}function m(Pe,We,At){return p(Pe,At,!1,We)}function b(Pe){return RP(Pe)?e.createParenthesizedExpression(Pe):Pe}function A(Pe){const We=ck(227,58),At=Tm(Pe),Se=wC(At);return rl(Se,We)!==1?e.createParenthesizedExpression(Pe):Pe}function I(Pe){const We=Tm(Pe);return RP(We)?e.createParenthesizedExpression(Pe):Pe}function R(Pe){const We=Tm(Pe);let At=RP(We);if(!At)switch(wR(We,!1).kind){case 231:case 218:At=!0}return At?e.createParenthesizedExpression(Pe):Pe}function M(Pe){const We=wR(Pe,!0);switch(We.kind){case 213:return e.createParenthesizedExpression(Pe);case 214:return We.arguments?Pe:e.createParenthesizedExpression(Pe)}return C(Pe)}function C(Pe,We){const At=Tm(Pe);return ep(At)&&(At.kind!==214||At.arguments)&&(We||!qu(At))?Pe:dt(e.createParenthesizedExpression(Pe),Pe)}function O(Pe){return ep(Pe)?Pe:dt(e.createParenthesizedExpression(Pe),Pe)}function U(Pe){return uj(Pe)?Pe:dt(e.createParenthesizedExpression(Pe),Pe)}function K(Pe){const We=eo(Pe,Z);return dt(e.createNodeArray(We,Pe.hasTrailingComma),Pe)}function Z(Pe){const We=Tm(Pe),At=wC(We),Se=ck(226,28);return At>Se?Pe:dt(e.createParenthesizedExpression(Pe),Pe)}function V(Pe){const We=Tm(Pe);if(la(We)){const Se=We.expression,je=Tm(Se).kind;if(je===218||je===219){const at=e.updateCallExpression(We,dt(e.createParenthesizedExpression(Se),Se),We.typeArguments,We.arguments);return e.restoreOuterExpressions(Pe,at,8)}}const At=wR(We,!1).kind;return At===210||At===218?dt(e.createParenthesizedExpression(Pe),Pe):Pe}function se(Pe){return!Xo(Pe)&&(RP(Pe)||wR(Pe,!1).kind===210)?dt(e.createParenthesizedExpression(Pe),Pe):Pe}function G(Pe){switch(Pe.kind){case 184:case 185:case 194:return e.createParenthesizedType(Pe)}return Pe}function ne(Pe){switch(Pe.kind){case 194:return e.createParenthesizedType(Pe)}return Pe}function he(Pe){switch(Pe.kind){case 192:case 193:return e.createParenthesizedType(Pe)}return G(Pe)}function ye(Pe){return e.createNodeArray(eo(Pe,he))}function $(Pe){switch(Pe.kind){case 192:case 193:return e.createParenthesizedType(Pe)}return he(Pe)}function Y(Pe){return e.createNodeArray(eo(Pe,$))}function oe(Pe){switch(Pe.kind){case 193:return e.createParenthesizedType(Pe)}return $(Pe)}function fe(Pe){switch(Pe.kind){case 198:return e.createParenthesizedType(Pe)}return oe(Pe)}function Ne(Pe){switch(Pe.kind){case 195:case 198:case 186:return e.createParenthesizedType(Pe)}return oe(Pe)}function pe(Pe){return e.createNodeArray(eo(Pe,ie))}function ie(Pe){return ve(Pe)?e.createParenthesizedType(Pe):Pe}function ve(Pe){return $R(Pe)?Pe.postfix:qR(Pe)||Eh(Pe)||HR(Pe)||VS(Pe)?ve(Pe.type):dI(Pe)?ve(Pe.falseType):Qy(Pe)||uI(Pe)?ve(Ya(Pe.types)):BS(Pe)?!!Pe.typeParameter.constraint&&ve(Pe.typeParameter.constraint):!1}function Le(Pe){return ve(Pe)?e.createParenthesizedType(Pe):Ne(Pe)}function He(Pe){return Gre(Pe)&&Pe.typeParameters?e.createParenthesizedType(Pe):Pe}function Ee(Pe,We){return We===0?He(Pe):Pe}function De(Pe){if(bt(Pe))return e.createNodeArray(eo(Pe,Ee))}}var dH,MGe=T({"src/compiler/factory/parenthesizerRules.ts"(){ra(),dH={getParenthesizeLeftSideOfBinaryForOperator:e=>nl,getParenthesizeRightSideOfBinaryForOperator:e=>nl,parenthesizeLeftSideOfBinary:(e,t)=>t,parenthesizeRightSideOfBinary:(e,t,r)=>r,parenthesizeExpressionOfComputedPropertyName:nl,parenthesizeConditionOfConditionalExpression:nl,parenthesizeBranchOfConditionalExpression:nl,parenthesizeExpressionOfExportDefault:nl,parenthesizeExpressionOfNew:e=>aa(e,ep),parenthesizeLeftSideOfAccess:e=>aa(e,ep),parenthesizeOperandOfPostfixUnary:e=>aa(e,ep),parenthesizeOperandOfPrefixUnary:e=>aa(e,uj),parenthesizeExpressionsOfCommaDelimitedList:e=>aa(e,LE),parenthesizeExpressionForDisallowedComma:nl,parenthesizeExpressionOfExpressionStatement:nl,parenthesizeConciseBodyOfArrowFunction:nl,parenthesizeCheckTypeOfConditionalType:nl,parenthesizeExtendsTypeOfConditionalType:nl,parenthesizeConstituentTypesOfUnionType:e=>aa(e,LE),parenthesizeConstituentTypeOfUnionType:nl,parenthesizeConstituentTypesOfIntersectionType:e=>aa(e,LE),parenthesizeConstituentTypeOfIntersectionType:nl,parenthesizeOperandOfTypeOperator:nl,parenthesizeOperandOfReadonlyTypeOperator:nl,parenthesizeNonArrayTypeOfPostfixType:nl,parenthesizeElementTypesOfTupleType:e=>aa(e,LE),parenthesizeElementTypeOfTupleType:nl,parenthesizeTypeOfOptionalType:nl,parenthesizeTypeArguments:e=>e&&aa(e,LE),parenthesizeLeadingTypeArgument:nl}}});function hae(e){return{convertToFunctionBlock:t,convertToFunctionExpression:r,convertToClassExpression:i,convertToArrayAssignmentElement:o,convertToObjectAssignmentElement:s,convertToAssignmentPattern:l,convertToObjectAssignmentPattern:u,convertToArrayAssignmentPattern:p,convertToAssignmentElementTarget:h};function t(m,b){if(Xo(m))return m;const A=e.createReturnStatement(m);dt(A,m);const I=e.createBlock([A],b);return dt(I,m),I}function r(m){var b;if(!m.body)return N.fail("Cannot convert a FunctionDeclaration without a body");const A=e.createFunctionExpression((b=ME(m))==null?void 0:b.filter(I=>!oI(I)&&!eF(I)),m.asteriskToken,m.name,m.typeParameters,m.parameters,m.type,m.body);return Cr(A,m),dt(A,m),pP(m)&&bW(A,!0),A}function i(m){var b;const A=e.createClassExpression((b=m.modifiers)==null?void 0:b.filter(I=>!oI(I)&&!eF(I)),m.name,m.typeParameters,m.heritageClauses,m.members);return Cr(A,m),dt(A,m),pP(m)&&bW(A,!0),A}function o(m){if(Qa(m)){if(m.dotDotDotToken)return N.assertNode(m.name,Ve),Cr(dt(e.createSpreadElement(m.name),m),m);const b=h(m.name);return m.initializer?Cr(dt(e.createAssignment(b,m.initializer),m),m):b}return aa(m,yt)}function s(m){if(Qa(m)){if(m.dotDotDotToken)return N.assertNode(m.name,Ve),Cr(dt(e.createSpreadAssignment(m.name),m),m);if(m.propertyName){const b=h(m.name);return Cr(dt(e.createPropertyAssignment(m.propertyName,m.initializer?e.createAssignment(b,m.initializer):b),m),m)}return N.assertNode(m.name,Ve),Cr(dt(e.createShorthandPropertyAssignment(m.name,m.initializer),m),m)}return aa(m,Wg)}function l(m){switch(m.kind){case 207:case 209:return p(m);case 206:case 210:return u(m)}}function u(m){return am(m)?Cr(dt(e.createObjectLiteralExpression(wt(m.elements,s)),m),m):aa(m,Oa)}function p(m){return n1(m)?Cr(dt(e.createArrayLiteralExpression(wt(m.elements,o)),m),m):aa(m,_d)}function h(m){return ta(m)?l(m):aa(m,yt)}}var pH,LGe=T({"src/compiler/factory/nodeConverters.ts"(){ra(),pH={convertToFunctionBlock:Ko,convertToFunctionExpression:Ko,convertToClassExpression:Ko,convertToArrayAssignmentElement:Ko,convertToObjectAssignmentElement:Ko,convertToAssignmentPattern:Ko,convertToObjectAssignmentPattern:Ko,convertToArrayAssignmentPattern:Ko,convertToAssignmentElementTarget:Ko}}});function jTe(e){vae.push(e)}function wk(e,t){const r=e&8?nl:Cr,i=Td(()=>e&1?dH:_ae(O)),o=Td(()=>e&2?pH:hae(O)),s=uh(E=>(P,B)=>Te(P,E,B)),l=uh(E=>P=>ct(E,P)),u=uh(E=>P=>jt(P,E)),p=uh(E=>()=>aN(E)),h=uh(E=>P=>IT(E,P)),m=uh(E=>(P,B)=>L1(E,P,B)),b=uh(E=>(P,B)=>sN(E,P,B)),A=uh(E=>(P,B)=>Zs(E,P,B)),I=uh(E=>(P,B)=>Z0(E,P,B)),R=uh(E=>(P,B,ce)=>Ff(E,P,B,ce)),M=uh(E=>(P,B,ce)=>Sb(E,P,B,ce)),C=uh(E=>(P,B,ce,Qe)=>Tb(E,P,B,ce,Qe)),O={get parenthesizer(){return i()},get converters(){return o()},baseFactory:t,flags:e,createNodeArray:U,createNumericLiteral:se,createBigIntLiteral:G,createStringLiteral:he,createStringLiteralFromNode:ye,createRegularExpressionLiteral:$,createLiteralLikeNode:Y,createIdentifier:Ne,createTempVariable:pe,createLoopVariable:ie,createUniqueName:ve,getGeneratedNameForNode:Le,createPrivateIdentifier:Ee,createUniquePrivateName:Pe,getGeneratedPrivateNameForNode:We,createToken:Se,createSuper:je,createThis:at,createNull:Gt,createTrue:vt,createFalse:$e,createModifier:It,createModifiersFromModifierFlags:tn,createQualifiedName:rn,updateQualifiedName:Pn,createComputedPropertyName:Xn,updateComputedPropertyName:hn,createTypeParameterDeclaration:Qt,updateTypeParameterDeclaration:$t,createParameterDeclaration:_i,updateParameterDeclaration:ao,createDecorator:Oi,updateDecorator:No,createPropertySignature:Mn,updatePropertySignature:Di,createPropertyDeclaration:sn,updatePropertyDeclaration:tt,createMethodSignature:zt,updateMethodSignature:Rn,createMethodDeclaration:li,updateMethodDeclaration:hi,createConstructorDeclaration:Sn,updateConstructorDeclaration:kn,createGetAccessorDeclaration:mt,updateGetAccessorDeclaration:ft,createSetAccessorDeclaration:le,updateSetAccessorDeclaration:Fe,createCallSignature:j,updateCallSignature:ot,createConstructSignature:Rt,updateConstructSignature:Ye,createIndexSignature:_t,updateIndexSignature:Vt,createClassStaticBlockDeclaration:Io,updateClassStaticBlockDeclaration:ma,createTemplateLiteralTypeSpan:vn,updateTemplateLiteralTypeSpan:fn,createKeywordTypeNode:_n,createTypePredicateNode:q,updateTypePredicateNode:Oe,createTypeReferenceNode:Lt,updateTypeReferenceNode:L,createFunctionTypeNode:_e,updateFunctionTypeNode:J,createConstructorTypeNode:Ge,updateConstructorTypeNode:kt,createTypeQueryNode:Bn,updateTypeQueryNode:Hn,createTypeLiteralNode:Ni,updateTypeLiteralNode:Ai,createArrayTypeNode:ir,updateArrayTypeNode:jr,createTupleTypeNode:vo,updateTupleTypeNode:Ci,createNamedTupleMember:Ii,updateNamedTupleMember:Kr,createOptionalTypeNode:xo,updateOptionalTypeNode:Dn,createRestTypeNode:Fs,updateRestTypeNode:Ql,createUnionTypeNode:Vs,updateUnionTypeNode:vl,createIntersectionTypeNode:uu,updateIntersectionTypeNode:ho,createConditionalTypeNode:pa,updateConditionalTypeNode:du,createInferTypeNode:As,updateInferTypeNode:La,createImportTypeNode:lc,updateImportTypeNode:Nl,createParenthesizedType:ul,updateParenthesizedType:ko,createThisTypeNode:nn,createTypeOperatorNode:js,updateTypeOperatorNode:nu,createIndexedAccessTypeNode:pu,updateIndexedAccessTypeNode:Ip,createMappedTypeNode:Ms,updateMappedTypeNode:Rc,createLiteralTypeNode:de,updateLiteralTypeNode:ee,createTemplateLiteralType:_l,updateTemplateLiteralType:wf,createObjectBindingPattern:we,updateObjectBindingPattern:Et,createArrayBindingPattern:un,updateArrayBindingPattern:Er,createBindingElement:vr,updateBindingElement:Ur,createArrayLiteralExpression:xi,updateArrayLiteralExpression:Lr,createObjectLiteralExpression:$o,updateObjectLiteralExpression:Tl,createPropertyAccessExpression:e&4?(E,P)=>pr(Us(E,P),262144):Us,updatePropertyAccessExpression:vc,createPropertyAccessChain:e&4?(E,P,B)=>pr(Ss(E,P,B),262144):Ss,updatePropertyAccessChain:qc,createElementAccessExpression:Wc,updateElementAccessExpression:cm,createElementAccessChain:gd,updateElementAccessChain:Ce,createCallExpression:Jn,updateCallExpression:yo,createCallChain:Qo,updateCallChain:na,createNewExpression:Zl,updateNewExpression:yc,createTaggedTemplateExpression:dl,updateTaggedTemplateExpression:yl,createTypeAssertion:Vl,updateTypeAssertion:ec,createParenthesizedExpression:Jc,updateParenthesizedExpression:ff,createFunctionExpression:jd,updateFunctionExpression:Cd,createArrowFunction:Of,updateArrowFunction:U_,createDeleteExpression:Ch,updateDeleteExpression:$g,createTypeOfExpression:Bu,updateTypeOfExpression:Qg,createVoidExpression:xp,updateVoidExpression:_g,createAwaitExpression:Ud,updateAwaitExpression:te,createPrefixUnaryExpression:ct,updatePrefixUnaryExpression:Ft,createPostfixUnaryExpression:jt,updatePostfixUnaryExpression:F,createBinaryExpression:Te,updateBinaryExpression:Jt,createConditionalExpression:rr,updateConditionalExpression:oi,createTemplateExpression:$r,updateTemplateExpression:io,createTemplateHead:Rp,createTemplateMiddle:Hd,createTemplateTail:pb,createNoSubstitutionTemplateLiteral:us,createTemplateLiteralLikeNode:Dc,createYieldExpression:km,updateYieldExpression:wm,createSpreadElement:Ui,updateSpreadElement:Zg,createClassExpression:ay,updateClassExpression:Om,createOmittedExpression:x1,createExpressionWithTypeArguments:R1,updateExpressionWithTypeArguments:mf,createAsExpression:H_,updateAsExpression:_f,createNonNullExpression:ev,updateNonNullExpression:ze,createSatisfiesExpression:Ze,updateSatisfiesExpression:Mt,createNonNullChain:Ht,updateNonNullChain:yn,createMetaProperty:Yn,updateMetaProperty:gn,createTemplateSpan:$n,updateTemplateSpan:fi,createSemicolonClassElement:cr,createBlock:ri,updateBlock:fr,createVariableStatement:Zo,updateVariableStatement:Ka,createEmptyStatement:Zu,createExpressionStatement:mu,updateExpressionStatement:tv,createIfStatement:j0,updateIfStatement:JI,createDoStatement:U0,updateDoStatement:QD,createWhileStatement:ZD,updateWhileStatement:eN,createForStatement:hT,updateForStatement:D1,createForInStatement:KI,updateForInStatement:tN,createForOfStatement:XI,updateForOfStatement:YI,createContinueStatement:$I,updateContinueStatement:QI,createBreakStatement:gT,updateBreakStatement:ZI,createReturnStatement:N1,updateReturnStatement:C1,createWithStatement:fb,updateWithStatement:mb,createSwitchStatement:hg,updateSwitchStatement:H0,createLabeledStatement:ts,updateLabeledStatement:vT,createThrowStatement:nN,updateThrowStatement:q0,createTryStatement:nv,updateTryStatement:Ph,createDebuggerStatement:Wf,createVariableDeclaration:qd,updateVariableDeclaration:Dp,createVariableDeclarationList:ap,updateVariableDeclarationList:sy,createFunctionDeclaration:yT,updateFunctionDeclaration:rN,createClassDeclaration:_b,updateClassDeclaration:J0,createInterfaceDeclaration:tx,updateInterfaceDeclaration:bc,createTypeAliasDeclaration:ly,updateTypeAliasDeclaration:nx,createEnumDeclaration:a_,updateEnumDeclaration:bT,createModuleDeclaration:Je,updateModuleDeclaration:s_,createModuleBlock:_u,updateModuleBlock:K0,createCaseBlock:rx,updateCaseBlock:hb,createNamespaceExportDeclaration:cy,updateNamespaceExportDeclaration:uy,createImportEqualsDeclaration:Xa,updateImportEqualsDeclaration:l_,createImportDeclaration:ET,updateImportDeclaration:ST,createImportClause:X0,updateImportClause:TT,createAssertClause:um,updateAssertClause:dm,createAssertEntry:rv,updateAssertEntry:ix,createImportTypeAssertionContainer:c_,updateImportTypeAssertionContainer:iN,createImportAttributes:P1,updateImportAttributes:Xi,createImportAttribute:ei,updateImportAttribute:Mh,createNamespaceImport:Jd,updateNamespaceImport:M2,createNamespaceExport:iv,updateNamespaceExport:L2,createNamedImports:gb,updateNamedImports:bl,createImportSpecifier:Up,updateImportSpecifier:gg,createExportAssignment:pm,updateExportAssignment:vb,createExportDeclaration:Wm,updateExportDeclaration:ov,createNamedExports:AT,updateNamedExports:vg,createExportSpecifier:ox,updateExportSpecifier:yg,createMissingDeclaration:q_,createExternalModuleReference:dy,updateExternalModuleReference:oN,get createJSDocAllType(){return p(319)},get createJSDocUnknownType(){return p(320)},get createJSDocNonNullableType(){return b(322)},get updateJSDocNonNullableType(){return A(322)},get createJSDocNullableType(){return b(321)},get updateJSDocNullableType(){return A(321)},get createJSDocOptionalType(){return h(323)},get updateJSDocOptionalType(){return m(323)},get createJSDocVariadicType(){return h(325)},get updateJSDocVariadicType(){return m(325)},get createJSDocNamepathType(){return h(326)},get updateJSDocNamepathType(){return m(326)},createJSDocFunctionType:xT,updateJSDocFunctionType:Fm,createJSDocTypeLiteral:yb,updateJSDocTypeLiteral:lN,createJSDocTypeExpression:RT,updateJSDocTypeExpression:k2,createJSDocSignature:hr,updateJSDocSignature:ru,createJSDocTemplateTag:J_,updateJSDocTemplateTag:ur,createJSDocTypedefTag:DT,updateJSDocTypedefTag:Y0,createJSDocParameterTag:Kc,updateJSDocParameterTag:Lh,createJSDocPropertyTag:zm,updateJSDocPropertyTag:k1,createJSDocCallbackTag:w1,updateJSDocCallbackTag:bb,createJSDocOverloadTag:av,updateJSDocOverloadTag:NT,createJSDocAugmentsTag:Bm,updateJSDocAugmentsTag:$0,createJSDocImplementsTag:bg,updateJSDocImplementsTag:sp,createJSDocSeeTag:Eb,updateJSDocSeeTag:ax,createJSDocNameReference:Q0,updateJSDocNameReference:cN,createJSDocMemberName:O1,updateJSDocMemberName:CT,createJSDocLink:Hp,updateJSDocLink:PT,createJSDocLinkCode:jl,updateJSDocLinkCode:Fc,createJSDocLinkPlain:MT,updateJSDocLinkPlain:Au,get createJSDocTypeTag(){return M(351)},get updateJSDocTypeTag(){return C(351)},get createJSDocReturnTag(){return M(349)},get updateJSDocReturnTag(){return C(349)},get createJSDocThisTag(){return M(350)},get updateJSDocThisTag(){return C(350)},get createJSDocAuthorTag(){return I(337)},get updateJSDocAuthorTag(){return R(337)},get createJSDocClassTag(){return I(339)},get updateJSDocClassTag(){return R(339)},get createJSDocPublicTag(){return I(340)},get updateJSDocPublicTag(){return R(340)},get createJSDocPrivateTag(){return I(341)},get updateJSDocPrivateTag(){return R(341)},get createJSDocProtectedTag(){return I(342)},get updateJSDocProtectedTag(){return R(342)},get createJSDocReadonlyTag(){return I(343)},get updateJSDocReadonlyTag(){return R(343)},get createJSDocOverrideTag(){return I(344)},get updateJSDocOverrideTag(){return R(344)},get createJSDocDeprecatedTag(){return I(338)},get updateJSDocDeprecatedTag(){return R(338)},get createJSDocThrowsTag(){return M(356)},get updateJSDocThrowsTag(){return C(356)},get createJSDocSatisfiesTag(){return M(357)},get updateJSDocSatisfiesTag(){return C(357)},createJSDocEnumTag:qp,updateJSDocEnumTag:LT,createJSDocUnknownTag:iu,updateJSDocUnknownTag:sx,createJSDocText:kT,updateJSDocText:Hs,createJSDocComment:uN,updateJSDocComment:eE,createJsxElement:W1,updateJsxElement:w2,createJsxSelfClosingElement:vd,updateJsxSelfClosingElement:sv,createJsxOpeningElement:K_,updateJsxOpeningElement:lx,createJsxClosingElement:Np,updateJsxClosingElement:cx,createJsxFragment:lp,createJsxText:fy,updateJsxText:wT,createJsxOpeningFragment:dN,createJsxJsxClosingFragment:pN,updateJsxFragment:ux,createJsxAttribute:OT,updateJsxAttribute:fN,createJsxAttributes:Ab,updateJsxAttributes:Cp,createJsxSpreadAttribute:kh,updateJsxSpreadAttribute:mN,createJsxExpression:WT,updateJsxExpression:rs,createJsxNamespacedName:Ir,updateJsxNamespacedName:gf,createCaseClause:Pd,updateCaseClause:F1,createDefaultClause:_N,updateDefaultClause:tE,createHeritageClause:z1,updateHeritageClause:FT,createCatchClause:Eg,updateCatchClause:u_,createPropertyAssignment:vf,updatePropertyAssignment:Gm,createShorthandPropertyAssignment:Sg,updateShorthandPropertyAssignment:Tg,createSpreadAssignment:cc,updateSpreadAssignment:hN,createEnumMember:zf,updateEnumMember:wh,createSourceFile:yf,updateSourceFile:Ls,createRedirectedSourceFile:B1,createBundle:G1,updateBundle:V1,createUnparsedSource:cv,createUnparsedPrologue:rE,createUnparsedPrepend:D,createUnparsedTextLike:ae,createUnparsedSyntheticReference:ht,createInputFiles:on,createSyntheticExpression:Or,createSyntaxList:ki,createNotEmittedStatement:gi,createPartiallyEmittedExpression:no,updatePartiallyEmittedExpression:va,createCommaListExpression:Md,updateCommaListExpression:Bf,createSyntheticReferenceExpression:xb,updateSyntheticReferenceExpression:bi,cloneNode:Db,get createComma(){return s(28)},get createAssignment(){return s(64)},get createLogicalOr(){return s(57)},get createLogicalAnd(){return s(56)},get createBitwiseOr(){return s(52)},get createBitwiseXor(){return s(53)},get createBitwiseAnd(){return s(51)},get createStrictEquality(){return s(37)},get createStrictInequality(){return s(38)},get createEquality(){return s(35)},get createInequality(){return s(36)},get createLessThan(){return s(30)},get createLessThanEquals(){return s(33)},get createGreaterThan(){return s(32)},get createGreaterThanEquals(){return s(34)},get createLeftShift(){return s(48)},get createRightShift(){return s(49)},get createUnsignedRightShift(){return s(50)},get createAdd(){return s(40)},get createSubtract(){return s(41)},get createMultiply(){return s(42)},get createDivide(){return s(44)},get createModulo(){return s(45)},get createExponent(){return s(43)},get createPrefixPlus(){return l(40)},get createPrefixMinus(){return l(41)},get createPrefixIncrement(){return l(46)},get createPrefixDecrement(){return l(47)},get createBitwiseNot(){return l(55)},get createLogicalNot(){return l(54)},get createPostfixIncrement(){return u(46)},get createPostfixDecrement(){return u(47)},createImmediatelyInvokedFunctionExpression:BT,createImmediatelyInvokedArrowFunction:j1,createVoidZero:X_,createExportDefault:Wh,createExternalModuleExport:gN,createTypeCheck:GT,createIsNotTypeCheck:u9,createMethodCall:Nb,createGlobalMethodCall:d_,createFunctionBindCall:S8,createFunctionCallCall:T8,createFunctionApplyCall:iE,createArraySliceCall:O2,createArrayConcatCall:Fh,createObjectDefinePropertyCall:mx,createObjectGetOwnPropertyDescriptorCall:A8,createReflectGetCall:Y_,createReflectSetCall:Q,createPropertyDescriptor:Xe,createCallBinding:Ri,createAssignmentTargetWrapper:mi,inlineExpressions:Yi,getInternalName:Ds,getLocalName:es,getExportName:Gf,getDeclarationName:$_,getNamespaceMemberName:Ag,getExternalModuleOrNamespaceExportName:uv,restoreOuterExpressions:Kt,restoreEnclosingLabel:zr,createUseStrictPrologue:cp,copyPrologue:dv,copyStandardPrologue:VT,copyCustomPrologue:vN,ensureUseStrict:W2,liftToBlock:F2,mergeLexicalEnvironment:pv,replaceModifiers:Pb,replaceDecoratorsAndModifiers:yN,replacePropertyName:Jp};return Ue(vae,E=>E(O)),O;function U(E,P){if(E===void 0||E===et)E=[];else if(LE(E)){if(P===void 0||E.hasTrailingComma===P)return E.transformFlags===void 0&&UTe(E),N.attachNodeArrayDebugInfo(E),E;const Qe=E.slice();return Qe.pos=E.pos,Qe.end=E.end,Qe.hasTrailingComma=P,Qe.transformFlags=E.transformFlags,N.attachNodeArrayDebugInfo(Qe),Qe}const B=E.length,ce=B>=1&&B<=4?E.slice():E;return ce.pos=-1,ce.end=-1,ce.hasTrailingComma=!!P,ce.transformFlags=0,UTe(ce),N.attachNodeArrayDebugInfo(ce),ce}function K(E){return t.createBaseNode(E)}function Z(E){const P=K(E);return P.symbol=void 0,P.localSymbol=void 0,P}function V(E,P){return E!==P&&(E.typeArguments=P.typeArguments),or(E,P)}function se(E,P=0){const B=typeof E=="number"?E+"":E;N.assert(B.charCodeAt(0)!==45,"Negative numbers should be created in combination with createPrefixUnaryExpression");const ce=Z(9);return ce.text=B,ce.numericLiteralFlags=P,P&384&&(ce.transformFlags|=1024),ce}function G(E){const P=At(10);return P.text=typeof E=="string"?E:$E(E)+"n",P.transformFlags|=32,P}function ne(E,P){const B=Z(11);return B.text=E,B.singleQuote=P,B}function he(E,P,B){const ce=ne(E,P);return ce.hasExtendedUnicodeEscape=B,B&&(ce.transformFlags|=1024),ce}function ye(E){const P=ne(em(E),void 0);return P.textSourceNode=E,P}function $(E){const P=At(14);return P.text=E,P}function Y(E,P){switch(E){case 9:return se(P,0);case 10:return G(P);case 11:return he(P,void 0);case 12:return fy(P,!1);case 13:return fy(P,!0);case 14:return $(P);case 15:return Dc(E,P,void 0,0)}}function oe(E){const P=t.createBaseIdentifierNode(80);return P.escapedText=E,P.jsDoc=void 0,P.flowNode=void 0,P.symbol=void 0,P}function fe(E,P,B,ce){const Qe=oe(hl(E));return Gk(Qe,{flags:P,id:yW,prefix:B,suffix:ce}),yW++,Qe}function Ne(E,P,B){P===void 0&&E&&(P=PE(E)),P===80&&(P=void 0);const ce=oe(hl(E));return B&&(ce.flags|=256),ce.escapedText==="await"&&(ce.transformFlags|=67108864),ce.flags&256&&(ce.transformFlags|=1024),ce}function pe(E,P,B,ce){let Qe=1;P&&(Qe|=8);const Xt=fe("",Qe,B,ce);return E&&E(Xt),Xt}function ie(E){let P=2;return E&&(P|=8),fe("",P,void 0,void 0)}function ve(E,P=0,B,ce){return N.assert(!(P&7),"Argument out of range: flags"),N.assert((P&48)!==32,"GeneratedIdentifierFlags.FileLevel cannot be set without also setting GeneratedIdentifierFlags.Optimistic"),fe(E,3|P,B,ce)}function Le(E,P=0,B,ce){N.assert(!(P&7),"Argument out of range: flags");const Qe=E?Qh(E)?N0(!1,B,E,ce,Sr):`generated@${as(E)}`:"";(B||ce)&&(P|=16);const Xt=fe(Qe,4|P,B,ce);return Xt.original=E,Xt}function He(E){const P=t.createBasePrivateIdentifierNode(81);return P.escapedText=E,P.transformFlags|=16777216,P}function Ee(E){return uo(E,"#")||N.fail("First character of private identifier must be #: "+E),He(hl(E))}function De(E,P,B,ce){const Qe=He(hl(E));return Gk(Qe,{flags:P,id:yW,prefix:B,suffix:ce}),yW++,Qe}function Pe(E,P,B){E&&!uo(E,"#")&&N.fail("First character of private identifier must be #: "+E);const ce=8|(E?3:1);return De(E??"",ce,P,B)}function We(E,P,B){const ce=Qh(E)?N0(!0,P,E,B,Sr):`#generated@${as(E)}`,Xt=De(ce,4|(P||B?16:0),P,B);return Xt.original=E,Xt}function At(E){return t.createBaseTokenNode(E)}function Se(E){N.assert(E>=0&&E<=165,"Invalid token"),N.assert(E<=15||E>=18,"Invalid token. Use 'createTemplateLiteralLikeNode' to create template literals."),N.assert(E<=9||E>=15,"Invalid token. Use 'createLiteralLikeNode' to create literals."),N.assert(E!==80,"Invalid token. Use 'createIdentifier' to create identifiers");const P=At(E);let B=0;switch(E){case 134:B=384;break;case 160:B=4;break;case 125:case 123:case 124:case 148:case 128:case 138:case 87:case 133:case 150:case 163:case 146:case 151:case 103:case 147:case 164:case 154:case 136:case 155:case 116:case 159:case 157:B=1;break;case 108:B=134218752,P.flowNode=void 0;break;case 126:B=1024;break;case 129:B=16777216;break;case 110:B=16384,P.flowNode=void 0;break}return B&&(P.transformFlags|=B),P}function je(){return Se(108)}function at(){return Se(110)}function Gt(){return Se(106)}function vt(){return Se(112)}function $e(){return Se(97)}function It(E){return Se(E)}function tn(E){const P=[];return E&32&&P.push(It(95)),E&128&&P.push(It(138)),E&2048&&P.push(It(90)),E&4096&&P.push(It(87)),E&1&&P.push(It(125)),E&2&&P.push(It(123)),E&4&&P.push(It(124)),E&64&&P.push(It(128)),E&256&&P.push(It(126)),E&16&&P.push(It(164)),E&8&&P.push(It(148)),E&512&&P.push(It(129)),E&1024&&P.push(It(134)),E&8192&&P.push(It(103)),E&16384&&P.push(It(147)),P.length?P:void 0}function rn(E,P){const B=K(166);return B.left=E,B.right=uc(P),B.transformFlags|=Tr(B.left)|Ok(B.right),B.flowNode=void 0,B}function Pn(E,P,B){return E.left!==P||E.right!==B?or(rn(P,B),E):E}function Xn(E){const P=K(167);return P.expression=i().parenthesizeExpressionOfComputedPropertyName(E),P.transformFlags|=Tr(P.expression)|1024|131072,P}function hn(E,P){return E.expression!==P?or(Xn(P),E):E}function Qt(E,P,B,ce){const Qe=Z(168);return Qe.modifiers=Ua(E),Qe.name=uc(P),Qe.constraint=B,Qe.default=ce,Qe.transformFlags=1,Qe.expression=void 0,Qe.jsDoc=void 0,Qe}function $t(E,P,B,ce,Qe){return E.modifiers!==P||E.name!==B||E.constraint!==ce||E.default!==Qe?or(Qt(P,B,ce,Qe),E):E}function _i(E,P,B,ce,Qe,Xt){const yr=Z(169);return yr.modifiers=Ua(E),yr.dotDotDotToken=P,yr.name=uc(B),yr.questionToken=ce,yr.type=Qe,yr.initializer=_x(Xt),KE(yr.name)?yr.transformFlags=1:yr.transformFlags=Ja(yr.modifiers)|Tr(yr.dotDotDotToken)|Yy(yr.name)|Tr(yr.questionToken)|Tr(yr.initializer)|(yr.questionToken??yr.type?1:0)|(yr.dotDotDotToken??yr.initializer?1024:0)|(M_(yr.modifiers)&31?8192:0),yr.jsDoc=void 0,yr}function ao(E,P,B,ce,Qe,Xt,yr){return E.modifiers!==P||E.dotDotDotToken!==B||E.name!==ce||E.questionToken!==Qe||E.type!==Xt||E.initializer!==yr?or(_i(P,B,ce,Qe,Xt,yr),E):E}function Oi(E){const P=K(170);return P.expression=i().parenthesizeLeftSideOfAccess(E,!1),P.transformFlags|=Tr(P.expression)|1|8192|33554432,P}function No(E,P){return E.expression!==P?or(Oi(P),E):E}function Mn(E,P,B,ce){const Qe=Z(171);return Qe.modifiers=Ua(E),Qe.name=uc(P),Qe.type=ce,Qe.questionToken=B,Qe.transformFlags=1,Qe.initializer=void 0,Qe.jsDoc=void 0,Qe}function Di(E,P,B,ce,Qe){return E.modifiers!==P||E.name!==B||E.questionToken!==ce||E.type!==Qe?Ar(Mn(P,B,ce,Qe),E):E}function Ar(E,P){return E!==P&&(E.initializer=P.initializer),or(E,P)}function sn(E,P,B,ce,Qe){const Xt=Z(172);Xt.modifiers=Ua(E),Xt.name=uc(P),Xt.questionToken=B&&$y(B)?B:void 0,Xt.exclamationToken=B&&qk(B)?B:void 0,Xt.type=ce,Xt.initializer=_x(Qe);const yr=Xt.flags&33554432||M_(Xt.modifiers)&128;return Xt.transformFlags=Ja(Xt.modifiers)|Yy(Xt.name)|Tr(Xt.initializer)|(yr||Xt.questionToken||Xt.exclamationToken||Xt.type?1:0)|(Za(Xt.name)||M_(Xt.modifiers)&256&&Xt.initializer?8192:0)|16777216,Xt.jsDoc=void 0,Xt}function tt(E,P,B,ce,Qe,Xt){return E.modifiers!==P||E.name!==B||E.questionToken!==(ce!==void 0&&$y(ce)?ce:void 0)||E.exclamationToken!==(ce!==void 0&&qk(ce)?ce:void 0)||E.type!==Qe||E.initializer!==Xt?or(sn(P,B,ce,Qe,Xt),E):E}function zt(E,P,B,ce,Qe,Xt){const yr=Z(173);return yr.modifiers=Ua(E),yr.name=uc(P),yr.questionToken=B,yr.typeParameters=Ua(ce),yr.parameters=Ua(Qe),yr.type=Xt,yr.transformFlags=1,yr.jsDoc=void 0,yr.locals=void 0,yr.nextContainer=void 0,yr.typeArguments=void 0,yr}function Rn(E,P,B,ce,Qe,Xt,yr){return E.modifiers!==P||E.name!==B||E.questionToken!==ce||E.typeParameters!==Qe||E.parameters!==Xt||E.type!==yr?V(zt(P,B,ce,Qe,Xt,yr),E):E}function li(E,P,B,ce,Qe,Xt,yr,ro){const qs=Z(174);if(qs.modifiers=Ua(E),qs.asteriskToken=P,qs.name=uc(B),qs.questionToken=ce,qs.exclamationToken=void 0,qs.typeParameters=Ua(Qe),qs.parameters=U(Xt),qs.type=yr,qs.body=ro,!qs.body)qs.transformFlags=1;else{const p_=M_(qs.modifiers)&1024,Lb=!!qs.asteriskToken,Vm=p_&&Lb;qs.transformFlags=Ja(qs.modifiers)|Tr(qs.asteriskToken)|Yy(qs.name)|Tr(qs.questionToken)|Ja(qs.typeParameters)|Ja(qs.parameters)|Tr(qs.type)|Tr(qs.body)&-67108865|(Vm?128:p_?256:Lb?2048:0)|(qs.questionToken||qs.typeParameters||qs.type?1:0)|1024}return qs.typeArguments=void 0,qs.jsDoc=void 0,qs.locals=void 0,qs.nextContainer=void 0,qs.flowNode=void 0,qs.endFlowNode=void 0,qs.returnFlowNode=void 0,qs}function hi(E,P,B,ce,Qe,Xt,yr,ro,qs){return E.modifiers!==P||E.asteriskToken!==B||E.name!==ce||E.questionToken!==Qe||E.typeParameters!==Xt||E.parameters!==yr||E.type!==ro||E.body!==qs?Qi(li(P,B,ce,Qe,Xt,yr,ro,qs),E):E}function Qi(E,P){return E!==P&&(E.exclamationToken=P.exclamationToken),or(E,P)}function Io(E){const P=Z(175);return P.body=E,P.transformFlags=Tr(E)|16777216,P.modifiers=void 0,P.jsDoc=void 0,P.locals=void 0,P.nextContainer=void 0,P.endFlowNode=void 0,P.returnFlowNode=void 0,P}function ma(E,P){return E.body!==P?Wa(Io(P),E):E}function Wa(E,P){return E!==P&&(E.modifiers=P.modifiers),or(E,P)}function Sn(E,P,B){const ce=Z(176);return ce.modifiers=Ua(E),ce.parameters=U(P),ce.body=B,ce.transformFlags=Ja(ce.modifiers)|Ja(ce.parameters)|Tr(ce.body)&-67108865|1024,ce.typeParameters=void 0,ce.type=void 0,ce.typeArguments=void 0,ce.jsDoc=void 0,ce.locals=void 0,ce.nextContainer=void 0,ce.endFlowNode=void 0,ce.returnFlowNode=void 0,ce}function kn(E,P,B,ce){return E.modifiers!==P||E.parameters!==B||E.body!==ce?qe(Sn(P,B,ce),E):E}function qe(E,P){return E!==P&&(E.typeParameters=P.typeParameters,E.type=P.type),V(E,P)}function mt(E,P,B,ce,Qe){const Xt=Z(177);return Xt.modifiers=Ua(E),Xt.name=uc(P),Xt.parameters=U(B),Xt.type=ce,Xt.body=Qe,Xt.body?Xt.transformFlags=Ja(Xt.modifiers)|Yy(Xt.name)|Ja(Xt.parameters)|Tr(Xt.type)|Tr(Xt.body)&-67108865|(Xt.type?1:0):Xt.transformFlags=1,Xt.typeArguments=void 0,Xt.typeParameters=void 0,Xt.jsDoc=void 0,Xt.locals=void 0,Xt.nextContainer=void 0,Xt.flowNode=void 0,Xt.endFlowNode=void 0,Xt.returnFlowNode=void 0,Xt}function ft(E,P,B,ce,Qe,Xt){return E.modifiers!==P||E.name!==B||E.parameters!==ce||E.type!==Qe||E.body!==Xt?Ct(mt(P,B,ce,Qe,Xt),E):E}function Ct(E,P){return E!==P&&(E.typeParameters=P.typeParameters),V(E,P)}function le(E,P,B,ce){const Qe=Z(178);return Qe.modifiers=Ua(E),Qe.name=uc(P),Qe.parameters=U(B),Qe.body=ce,Qe.body?Qe.transformFlags=Ja(Qe.modifiers)|Yy(Qe.name)|Ja(Qe.parameters)|Tr(Qe.body)&-67108865|(Qe.type?1:0):Qe.transformFlags=1,Qe.typeArguments=void 0,Qe.typeParameters=void 0,Qe.type=void 0,Qe.jsDoc=void 0,Qe.locals=void 0,Qe.nextContainer=void 0,Qe.flowNode=void 0,Qe.endFlowNode=void 0,Qe.returnFlowNode=void 0,Qe}function Fe(E,P,B,ce,Qe){return E.modifiers!==P||E.name!==B||E.parameters!==ce||E.body!==Qe?pt(le(P,B,ce,Qe),E):E}function pt(E,P){return E!==P&&(E.typeParameters=P.typeParameters,E.type=P.type),V(E,P)}function j(E,P,B){const ce=Z(179);return ce.typeParameters=Ua(E),ce.parameters=Ua(P),ce.type=B,ce.transformFlags=1,ce.jsDoc=void 0,ce.locals=void 0,ce.nextContainer=void 0,ce.typeArguments=void 0,ce}function ot(E,P,B,ce){return E.typeParameters!==P||E.parameters!==B||E.type!==ce?V(j(P,B,ce),E):E}function Rt(E,P,B){const ce=Z(180);return ce.typeParameters=Ua(E),ce.parameters=Ua(P),ce.type=B,ce.transformFlags=1,ce.jsDoc=void 0,ce.locals=void 0,ce.nextContainer=void 0,ce.typeArguments=void 0,ce}function Ye(E,P,B,ce){return E.typeParameters!==P||E.parameters!==B||E.type!==ce?V(Rt(P,B,ce),E):E}function _t(E,P,B){const ce=Z(181);return ce.modifiers=Ua(E),ce.parameters=Ua(P),ce.type=B,ce.transformFlags=1,ce.jsDoc=void 0,ce.locals=void 0,ce.nextContainer=void 0,ce.typeArguments=void 0,ce}function Vt(E,P,B,ce){return E.parameters!==B||E.type!==ce||E.modifiers!==P?V(_t(P,B,ce),E):E}function vn(E,P){const B=K(204);return B.type=E,B.literal=P,B.transformFlags=1,B}function fn(E,P,B){return E.type!==P||E.literal!==B?or(vn(P,B),E):E}function _n(E){return Se(E)}function q(E,P,B){const ce=K(182);return ce.assertsModifier=E,ce.parameterName=uc(P),ce.type=B,ce.transformFlags=1,ce}function Oe(E,P,B,ce){return E.assertsModifier!==P||E.parameterName!==B||E.type!==ce?or(q(P,B,ce),E):E}function Lt(E,P){const B=K(183);return B.typeName=uc(E),B.typeArguments=P&&i().parenthesizeTypeArguments(U(P)),B.transformFlags=1,B}function L(E,P,B){return E.typeName!==P||E.typeArguments!==B?or(Lt(P,B),E):E}function _e(E,P,B){const ce=Z(184);return ce.typeParameters=Ua(E),ce.parameters=Ua(P),ce.type=B,ce.transformFlags=1,ce.modifiers=void 0,ce.jsDoc=void 0,ce.locals=void 0,ce.nextContainer=void 0,ce.typeArguments=void 0,ce}function J(E,P,B,ce){return E.typeParameters!==P||E.parameters!==B||E.type!==ce?me(_e(P,B,ce),E):E}function me(E,P){return E!==P&&(E.modifiers=P.modifiers),V(E,P)}function Ge(...E){return E.length===4?ke(...E):E.length===3?Tt(...E):N.fail("Incorrect number of arguments specified.")}function ke(E,P,B,ce){const Qe=Z(185);return Qe.modifiers=Ua(E),Qe.typeParameters=Ua(P),Qe.parameters=Ua(B),Qe.type=ce,Qe.transformFlags=1,Qe.jsDoc=void 0,Qe.locals=void 0,Qe.nextContainer=void 0,Qe.typeArguments=void 0,Qe}function Tt(E,P,B){return ke(void 0,E,P,B)}function kt(...E){return E.length===5?en(...E):E.length===4?bn(...E):N.fail("Incorrect number of arguments specified.")}function en(E,P,B,ce,Qe){return E.modifiers!==P||E.typeParameters!==B||E.parameters!==ce||E.type!==Qe?V(Ge(P,B,ce,Qe),E):E}function bn(E,P,B,ce){return en(E,E.modifiers,P,B,ce)}function Bn(E,P){const B=K(186);return B.exprName=E,B.typeArguments=P&&i().parenthesizeTypeArguments(P),B.transformFlags=1,B}function Hn(E,P,B){return E.exprName!==P||E.typeArguments!==B?or(Bn(P,B),E):E}function Ni(E){const P=Z(187);return P.members=U(E),P.transformFlags=1,P}function Ai(E,P){return E.members!==P?or(Ni(P),E):E}function ir(E){const P=K(188);return P.elementType=i().parenthesizeNonArrayTypeOfPostfixType(E),P.transformFlags=1,P}function jr(E,P){return E.elementType!==P?or(ir(P),E):E}function vo(E){const P=K(189);return P.elements=U(i().parenthesizeElementTypesOfTupleType(E)),P.transformFlags=1,P}function Ci(E,P){return E.elements!==P?or(vo(P),E):E}function Ii(E,P,B,ce){const Qe=Z(202);return Qe.dotDotDotToken=E,Qe.name=P,Qe.questionToken=B,Qe.type=ce,Qe.transformFlags=1,Qe.jsDoc=void 0,Qe}function Kr(E,P,B,ce,Qe){return E.dotDotDotToken!==P||E.name!==B||E.questionToken!==ce||E.type!==Qe?or(Ii(P,B,ce,Qe),E):E}function xo(E){const P=K(190);return P.type=i().parenthesizeTypeOfOptionalType(E),P.transformFlags=1,P}function Dn(E,P){return E.type!==P?or(xo(P),E):E}function Fs(E){const P=K(191);return P.type=E,P.transformFlags=1,P}function Ql(E,P){return E.type!==P?or(Fs(P),E):E}function Lo(E,P,B){const ce=K(E);return ce.types=O.createNodeArray(B(P)),ce.transformFlags=1,ce}function cs(E,P,B){return E.types!==P?or(Lo(E.kind,P,B),E):E}function Vs(E){return Lo(192,E,i().parenthesizeConstituentTypesOfUnionType)}function vl(E,P){return cs(E,P,i().parenthesizeConstituentTypesOfUnionType)}function uu(E){return Lo(193,E,i().parenthesizeConstituentTypesOfIntersectionType)}function ho(E,P){return cs(E,P,i().parenthesizeConstituentTypesOfIntersectionType)}function pa(E,P,B,ce){const Qe=K(194);return Qe.checkType=i().parenthesizeCheckTypeOfConditionalType(E),Qe.extendsType=i().parenthesizeExtendsTypeOfConditionalType(P),Qe.trueType=B,Qe.falseType=ce,Qe.transformFlags=1,Qe.locals=void 0,Qe.nextContainer=void 0,Qe}function du(E,P,B,ce,Qe){return E.checkType!==P||E.extendsType!==B||E.trueType!==ce||E.falseType!==Qe?or(pa(P,B,ce,Qe),E):E}function As(E){const P=K(195);return P.typeParameter=E,P.transformFlags=1,P}function La(E,P){return E.typeParameter!==P?or(As(P),E):E}function _l(E,P){const B=K(203);return B.head=E,B.templateSpans=U(P),B.transformFlags=1,B}function wf(E,P,B){return E.head!==P||E.templateSpans!==B?or(_l(P,B),E):E}function lc(E,P,B,ce,Qe=!1){const Xt=K(205);return Xt.argument=E,Xt.attributes=P,Xt.assertions&&Xt.assertions.assertClause&&Xt.attributes&&(Xt.assertions.assertClause=Xt.attributes),Xt.qualifier=B,Xt.typeArguments=ce&&i().parenthesizeTypeArguments(ce),Xt.isTypeOf=Qe,Xt.transformFlags=1,Xt}function Nl(E,P,B,ce,Qe,Xt=E.isTypeOf){return E.argument!==P||E.attributes!==B||E.qualifier!==ce||E.typeArguments!==Qe||E.isTypeOf!==Xt?or(lc(P,B,ce,Qe,Xt),E):E}function ul(E){const P=K(196);return P.type=E,P.transformFlags=1,P}function ko(E,P){return E.type!==P?or(ul(P),E):E}function nn(){const E=K(197);return E.transformFlags=1,E}function js(E,P){const B=K(198);return B.operator=E,B.type=E===148?i().parenthesizeOperandOfReadonlyTypeOperator(P):i().parenthesizeOperandOfTypeOperator(P),B.transformFlags=1,B}function nu(E,P){return E.type!==P?or(js(E.operator,P),E):E}function pu(E,P){const B=K(199);return B.objectType=i().parenthesizeNonArrayTypeOfPostfixType(E),B.indexType=P,B.transformFlags=1,B}function Ip(E,P,B){return E.objectType!==P||E.indexType!==B?or(pu(P,B),E):E}function Ms(E,P,B,ce,Qe,Xt){const yr=Z(200);return yr.readonlyToken=E,yr.typeParameter=P,yr.nameType=B,yr.questionToken=ce,yr.type=Qe,yr.members=Xt&&U(Xt),yr.transformFlags=1,yr.locals=void 0,yr.nextContainer=void 0,yr}function Rc(E,P,B,ce,Qe,Xt,yr){return E.readonlyToken!==P||E.typeParameter!==B||E.nameType!==ce||E.questionToken!==Qe||E.type!==Xt||E.members!==yr?or(Ms(P,B,ce,Qe,Xt,yr),E):E}function de(E){const P=K(201);return P.literal=E,P.transformFlags=1,P}function ee(E,P){return E.literal!==P?or(de(P),E):E}function we(E){const P=K(206);return P.elements=U(E),P.transformFlags|=Ja(P.elements)|1024|524288,P.transformFlags&32768&&(P.transformFlags|=65664),P}function Et(E,P){return E.elements!==P?or(we(P),E):E}function un(E){const P=K(207);return P.elements=U(E),P.transformFlags|=Ja(P.elements)|1024|524288,P}function Er(E,P){return E.elements!==P?or(un(P),E):E}function vr(E,P,B,ce){const Qe=Z(208);return Qe.dotDotDotToken=E,Qe.propertyName=uc(P),Qe.name=uc(B),Qe.initializer=_x(ce),Qe.transformFlags|=Tr(Qe.dotDotDotToken)|Yy(Qe.propertyName)|Yy(Qe.name)|Tr(Qe.initializer)|(Qe.dotDotDotToken?32768:0)|1024,Qe.flowNode=void 0,Qe}function Ur(E,P,B,ce,Qe){return E.propertyName!==B||E.dotDotDotToken!==P||E.name!==ce||E.initializer!==Qe?or(vr(P,B,ce,Qe),E):E}function xi(E,P){const B=K(209),ce=E&&tl(E),Qe=U(E,ce&&jc(ce)?!0:void 0);return B.elements=i().parenthesizeExpressionsOfCommaDelimitedList(Qe),B.multiLine=P,B.transformFlags|=Ja(B.elements),B}function Lr(E,P){return E.elements!==P?or(xi(P,E.multiLine),E):E}function $o(E,P){const B=Z(210);return B.properties=U(E),B.multiLine=P,B.transformFlags|=Ja(B.properties),B.jsDoc=void 0,B}function Tl(E,P){return E.properties!==P?or($o(P,E.multiLine),E):E}function Hc(E,P,B){const ce=Z(211);return ce.expression=E,ce.questionDotToken=P,ce.name=B,ce.transformFlags=Tr(ce.expression)|Tr(ce.questionDotToken)|(Ve(ce.name)?Ok(ce.name):Tr(ce.name)|536870912),ce.jsDoc=void 0,ce.flowNode=void 0,ce}function Us(E,P){const B=Hc(i().parenthesizeLeftSideOfAccess(E,!1),void 0,uc(P));return hP(E)&&(B.transformFlags|=384),B}function vc(E,P,B){return A4(E)?qc(E,P,E.questionDotToken,aa(B,Ve)):E.expression!==P||E.name!==B?or(Us(P,B),E):E}function Ss(E,P,B){const ce=Hc(i().parenthesizeLeftSideOfAccess(E,!0),P,uc(B));return ce.flags|=64,ce.transformFlags|=32,ce}function qc(E,P,B,ce){return N.assert(!!(E.flags&64),"Cannot update a PropertyAccessExpression using updatePropertyAccessChain. Use updatePropertyAccess instead."),E.expression!==P||E.questionDotToken!==B||E.name!==ce?or(Ss(P,B,ce),E):E}function op(E,P,B){const ce=Z(212);return ce.expression=E,ce.questionDotToken=P,ce.argumentExpression=B,ce.transformFlags|=Tr(ce.expression)|Tr(ce.questionDotToken)|Tr(ce.argumentExpression),ce.jsDoc=void 0,ce.flowNode=void 0,ce}function Wc(E,P){const B=op(i().parenthesizeLeftSideOfAccess(E,!1),void 0,aE(P));return hP(E)&&(B.transformFlags|=384),B}function cm(E,P,B){return QV(E)?Ce(E,P,E.questionDotToken,B):E.expression!==P||E.argumentExpression!==B?or(Wc(P,B),E):E}function gd(E,P,B){const ce=op(i().parenthesizeLeftSideOfAccess(E,!0),P,aE(B));return ce.flags|=64,ce.transformFlags|=32,ce}function Ce(E,P,B,ce){return N.assert(!!(E.flags&64),"Cannot update a ElementAccessExpression using updateElementAccessChain. Use updateElementAccess instead."),E.expression!==P||E.questionDotToken!==B||E.argumentExpression!==ce?or(gd(P,B,ce),E):E}function Wt(E,P,B,ce){const Qe=Z(213);return Qe.expression=E,Qe.questionDotToken=P,Qe.typeArguments=B,Qe.arguments=ce,Qe.transformFlags|=Tr(Qe.expression)|Tr(Qe.questionDotToken)|Ja(Qe.typeArguments)|Ja(Qe.arguments),Qe.typeArguments&&(Qe.transformFlags|=1),zd(Qe.expression)&&(Qe.transformFlags|=16384),Qe}function Jn(E,P,B){const ce=Wt(i().parenthesizeLeftSideOfAccess(E,!1),void 0,Ua(P),i().parenthesizeExpressionsOfCommaDelimitedList(U(B)));return gP(ce.expression)&&(ce.transformFlags|=8388608),ce}function yo(E,P,B,ce){return hS(E)?na(E,P,E.questionDotToken,B,ce):E.expression!==P||E.typeArguments!==B||E.arguments!==ce?or(Jn(P,B,ce),E):E}function Qo(E,P,B,ce){const Qe=Wt(i().parenthesizeLeftSideOfAccess(E,!0),P,Ua(B),i().parenthesizeExpressionsOfCommaDelimitedList(U(ce)));return Qe.flags|=64,Qe.transformFlags|=32,Qe}function na(E,P,B,ce,Qe){return N.assert(!!(E.flags&64),"Cannot update a CallExpression using updateCallChain. Use updateCall instead."),E.expression!==P||E.questionDotToken!==B||E.typeArguments!==ce||E.arguments!==Qe?or(Qo(P,B,ce,Qe),E):E}function Zl(E,P,B){const ce=Z(214);return ce.expression=i().parenthesizeExpressionOfNew(E),ce.typeArguments=Ua(P),ce.arguments=B?i().parenthesizeExpressionsOfCommaDelimitedList(B):void 0,ce.transformFlags|=Tr(ce.expression)|Ja(ce.typeArguments)|Ja(ce.arguments)|32,ce.typeArguments&&(ce.transformFlags|=1),ce}function yc(E,P,B,ce){return E.expression!==P||E.typeArguments!==B||E.arguments!==ce?or(Zl(P,B,ce),E):E}function dl(E,P,B){const ce=K(215);return ce.tag=i().parenthesizeLeftSideOfAccess(E,!1),ce.typeArguments=Ua(P),ce.template=B,ce.transformFlags|=Tr(ce.tag)|Ja(ce.typeArguments)|Tr(ce.template)|1024,ce.typeArguments&&(ce.transformFlags|=1),uU(ce.template)&&(ce.transformFlags|=128),ce}function yl(E,P,B,ce){return E.tag!==P||E.typeArguments!==B||E.template!==ce?or(dl(P,B,ce),E):E}function Vl(E,P){const B=K(216);return B.expression=i().parenthesizeOperandOfPrefixUnary(P),B.type=E,B.transformFlags|=Tr(B.expression)|Tr(B.type)|1,B}function ec(E,P,B){return E.type!==P||E.expression!==B?or(Vl(P,B),E):E}function Jc(E){const P=K(217);return P.expression=E,P.transformFlags=Tr(P.expression),P.jsDoc=void 0,P}function ff(E,P){return E.expression!==P?or(Jc(P),E):E}function jd(E,P,B,ce,Qe,Xt,yr){const ro=Z(218);ro.modifiers=Ua(E),ro.asteriskToken=P,ro.name=uc(B),ro.typeParameters=Ua(ce),ro.parameters=U(Qe),ro.type=Xt,ro.body=yr;const qs=M_(ro.modifiers)&1024,p_=!!ro.asteriskToken,Lb=qs&&p_;return ro.transformFlags=Ja(ro.modifiers)|Tr(ro.asteriskToken)|Yy(ro.name)|Ja(ro.typeParameters)|Ja(ro.parameters)|Tr(ro.type)|Tr(ro.body)&-67108865|(Lb?128:qs?256:p_?2048:0)|(ro.typeParameters||ro.type?1:0)|4194304,ro.typeArguments=void 0,ro.jsDoc=void 0,ro.locals=void 0,ro.nextContainer=void 0,ro.flowNode=void 0,ro.endFlowNode=void 0,ro.returnFlowNode=void 0,ro}function Cd(E,P,B,ce,Qe,Xt,yr,ro){return E.name!==ce||E.modifiers!==P||E.asteriskToken!==B||E.typeParameters!==Qe||E.parameters!==Xt||E.type!==yr||E.body!==ro?V(jd(P,B,ce,Qe,Xt,yr,ro),E):E}function Of(E,P,B,ce,Qe,Xt){const yr=Z(219);yr.modifiers=Ua(E),yr.typeParameters=Ua(P),yr.parameters=U(B),yr.type=ce,yr.equalsGreaterThanToken=Qe??Se(39),yr.body=i().parenthesizeConciseBodyOfArrowFunction(Xt);const ro=M_(yr.modifiers)&1024;return yr.transformFlags=Ja(yr.modifiers)|Ja(yr.typeParameters)|Ja(yr.parameters)|Tr(yr.type)|Tr(yr.equalsGreaterThanToken)|Tr(yr.body)&-67108865|(yr.typeParameters||yr.type?1:0)|(ro?16640:0)|1024,yr.typeArguments=void 0,yr.jsDoc=void 0,yr.locals=void 0,yr.nextContainer=void 0,yr.flowNode=void 0,yr.endFlowNode=void 0,yr.returnFlowNode=void 0,yr}function U_(E,P,B,ce,Qe,Xt,yr){return E.modifiers!==P||E.typeParameters!==B||E.parameters!==ce||E.type!==Qe||E.equalsGreaterThanToken!==Xt||E.body!==yr?V(Of(P,B,ce,Qe,Xt,yr),E):E}function Ch(E){const P=K(220);return P.expression=i().parenthesizeOperandOfPrefixUnary(E),P.transformFlags|=Tr(P.expression),P}function $g(E,P){return E.expression!==P?or(Ch(P),E):E}function Bu(E){const P=K(221);return P.expression=i().parenthesizeOperandOfPrefixUnary(E),P.transformFlags|=Tr(P.expression),P}function Qg(E,P){return E.expression!==P?or(Bu(P),E):E}function xp(E){const P=K(222);return P.expression=i().parenthesizeOperandOfPrefixUnary(E),P.transformFlags|=Tr(P.expression),P}function _g(E,P){return E.expression!==P?or(xp(P),E):E}function Ud(E){const P=K(223);return P.expression=i().parenthesizeOperandOfPrefixUnary(E),P.transformFlags|=Tr(P.expression)|256|128|2097152,P}function te(E,P){return E.expression!==P?or(Ud(P),E):E}function ct(E,P){const B=K(224);return B.operator=E,B.operand=i().parenthesizeOperandOfPrefixUnary(P),B.transformFlags|=Tr(B.operand),(E===46||E===47)&&Ve(B.operand)&&!sl(B.operand)&&!qg(B.operand)&&(B.transformFlags|=268435456),B}function Ft(E,P){return E.operand!==P?or(ct(E.operator,P),E):E}function jt(E,P){const B=K(225);return B.operator=P,B.operand=i().parenthesizeOperandOfPostfixUnary(E),B.transformFlags|=Tr(B.operand),Ve(B.operand)&&!sl(B.operand)&&!qg(B.operand)&&(B.transformFlags|=268435456),B}function F(E,P){return E.operand!==P?or(jt(P,E.operator),E):E}function Te(E,P,B){const ce=Z(226),Qe=z2(P),Xt=Qe.kind;return ce.left=i().parenthesizeLeftSideOfBinary(Xt,E),ce.operatorToken=Qe,ce.right=i().parenthesizeRightSideOfBinary(Xt,ce.left,B),ce.transformFlags|=Tr(ce.left)|Tr(ce.operatorToken)|Tr(ce.right),Xt===61?ce.transformFlags|=32:Xt===64?Oa(ce.left)?ce.transformFlags|=5248|st(ce.left):_d(ce.left)&&(ce.transformFlags|=5120|st(ce.left)):Xt===43||Xt===68?ce.transformFlags|=512:BC(Xt)&&(ce.transformFlags|=16),Xt===103&&Ji(ce.left)&&(ce.transformFlags|=536870912),ce.jsDoc=void 0,ce}function st(E){return cw(E)?65536:0}function Jt(E,P,B,ce){return E.left!==P||E.operatorToken!==B||E.right!==ce?or(Te(P,B,ce),E):E}function rr(E,P,B,ce,Qe){const Xt=K(227);return Xt.condition=i().parenthesizeConditionOfConditionalExpression(E),Xt.questionToken=P??Se(58),Xt.whenTrue=i().parenthesizeBranchOfConditionalExpression(B),Xt.colonToken=ce??Se(59),Xt.whenFalse=i().parenthesizeBranchOfConditionalExpression(Qe),Xt.transformFlags|=Tr(Xt.condition)|Tr(Xt.questionToken)|Tr(Xt.whenTrue)|Tr(Xt.colonToken)|Tr(Xt.whenFalse),Xt}function oi(E,P,B,ce,Qe,Xt){return E.condition!==P||E.questionToken!==B||E.whenTrue!==ce||E.colonToken!==Qe||E.whenFalse!==Xt?or(rr(P,B,ce,Qe,Xt),E):E}function $r(E,P){const B=K(228);return B.head=E,B.templateSpans=U(P),B.transformFlags|=Tr(B.head)|Ja(B.templateSpans)|1024,B}function io(E,P,B){return E.head!==P||E.templateSpans!==B?or($r(P,B),E):E}function Fa(E,P,B,ce=0){N.assert(!(ce&-7177),"Unsupported template flags.");let Qe;if(B!==void 0&&B!==P&&(Qe=kGe(E,B),typeof Qe=="object"))return N.fail("Invalid raw text");if(P===void 0){if(Qe===void 0)return N.fail("Arguments 'text' and 'rawText' may not both be undefined.");P=Qe}else Qe!==void 0&&N.assert(P===Qe,"Expected argument 'text' to be the normalized (i.e. 'cooked') version of argument 'rawText'.");return P}function za(E){let P=1024;return E&&(P|=128),P}function Ol(E,P,B,ce){const Qe=At(E);return Qe.text=P,Qe.rawText=B,Qe.templateFlags=ce&7176,Qe.transformFlags=za(Qe.templateFlags),Qe}function fu(E,P,B,ce){const Qe=Z(E);return Qe.text=P,Qe.rawText=B,Qe.templateFlags=ce&7176,Qe.transformFlags=za(Qe.templateFlags),Qe}function Dc(E,P,B,ce){return E===15?fu(E,P,B,ce):Ol(E,P,B,ce)}function Rp(E,P,B){return E=Fa(16,E,P,B),Dc(16,E,P,B)}function Hd(E,P,B){return E=Fa(16,E,P,B),Dc(17,E,P,B)}function pb(E,P,B){return E=Fa(16,E,P,B),Dc(18,E,P,B)}function us(E,P,B){return E=Fa(16,E,P,B),fu(15,E,P,B)}function km(E,P){N.assert(!E||!!P,"A `YieldExpression` with an asteriskToken must have an expression.");const B=K(229);return B.expression=P&&i().parenthesizeExpressionForDisallowedComma(P),B.asteriskToken=E,B.transformFlags|=Tr(B.expression)|Tr(B.asteriskToken)|1024|128|1048576,B}function wm(E,P,B){return E.expression!==B||E.asteriskToken!==P?or(km(P,B),E):E}function Ui(E){const P=K(230);return P.expression=i().parenthesizeExpressionForDisallowedComma(E),P.transformFlags|=Tr(P.expression)|1024|32768,P}function Zg(E,P){return E.expression!==P?or(Ui(P),E):E}function ay(E,P,B,ce,Qe){const Xt=Z(231);return Xt.modifiers=Ua(E),Xt.name=uc(P),Xt.typeParameters=Ua(B),Xt.heritageClauses=Ua(ce),Xt.members=U(Qe),Xt.transformFlags|=Ja(Xt.modifiers)|Yy(Xt.name)|Ja(Xt.typeParameters)|Ja(Xt.heritageClauses)|Ja(Xt.members)|(Xt.typeParameters?1:0)|1024,Xt.jsDoc=void 0,Xt}function Om(E,P,B,ce,Qe,Xt){return E.modifiers!==P||E.name!==B||E.typeParameters!==ce||E.heritageClauses!==Qe||E.members!==Xt?or(ay(P,B,ce,Qe,Xt),E):E}function x1(){return K(232)}function R1(E,P){const B=K(233);return B.expression=i().parenthesizeLeftSideOfAccess(E,!1),B.typeArguments=P&&i().parenthesizeTypeArguments(P),B.transformFlags|=Tr(B.expression)|Ja(B.typeArguments)|1024,B}function mf(E,P,B){return E.expression!==P||E.typeArguments!==B?or(R1(P,B),E):E}function H_(E,P){const B=K(234);return B.expression=E,B.type=P,B.transformFlags|=Tr(B.expression)|Tr(B.type)|1,B}function _f(E,P,B){return E.expression!==P||E.type!==B?or(H_(P,B),E):E}function ev(E){const P=K(235);return P.expression=i().parenthesizeLeftSideOfAccess(E,!1),P.transformFlags|=Tr(P.expression)|1,P}function ze(E,P){return x4(E)?yn(E,P):E.expression!==P?or(ev(P),E):E}function Ze(E,P){const B=K(238);return B.expression=E,B.type=P,B.transformFlags|=Tr(B.expression)|Tr(B.type)|1,B}function Mt(E,P,B){return E.expression!==P||E.type!==B?or(Ze(P,B),E):E}function Ht(E){const P=K(235);return P.flags|=64,P.expression=i().parenthesizeLeftSideOfAccess(E,!0),P.transformFlags|=Tr(P.expression)|1,P}function yn(E,P){return N.assert(!!(E.flags&64),"Cannot update a NonNullExpression using updateNonNullChain. Use updateNonNullExpression instead."),E.expression!==P?or(Ht(P),E):E}function Yn(E,P){const B=K(236);switch(B.keywordToken=E,B.name=P,B.transformFlags|=Tr(B.name),E){case 105:B.transformFlags|=1024;break;case 102:B.transformFlags|=32;break;default:return N.assertNever(E)}return B.flowNode=void 0,B}function gn(E,P){return E.name!==P?or(Yn(E.keywordToken,P),E):E}function $n(E,P){const B=K(239);return B.expression=E,B.literal=P,B.transformFlags|=Tr(B.expression)|Tr(B.literal)|1024,B}function fi(E,P,B){return E.expression!==P||E.literal!==B?or($n(P,B),E):E}function cr(){const E=K(240);return E.transformFlags|=1024,E}function ri(E,P){const B=K(241);return B.statements=U(E),B.multiLine=P,B.transformFlags|=Ja(B.statements),B.jsDoc=void 0,B.locals=void 0,B.nextContainer=void 0,B}function fr(E,P){return E.statements!==P?or(ri(P,E.multiLine),E):E}function Zo(E,P){const B=K(243);return B.modifiers=Ua(E),B.declarationList=To(P)?ap(P):P,B.transformFlags|=Ja(B.modifiers)|Tr(B.declarationList),M_(B.modifiers)&128&&(B.transformFlags=1),B.jsDoc=void 0,B.flowNode=void 0,B}function Ka(E,P,B){return E.modifiers!==P||E.declarationList!==B?or(Zo(P,B),E):E}function Zu(){const E=K(242);return E.jsDoc=void 0,E}function mu(E){const P=K(244);return P.expression=i().parenthesizeExpressionOfExpressionStatement(E),P.transformFlags|=Tr(P.expression),P.jsDoc=void 0,P.flowNode=void 0,P}function tv(E,P){return E.expression!==P?or(mu(P),E):E}function j0(E,P,B){const ce=K(245);return ce.expression=E,ce.thenStatement=Mb(P),ce.elseStatement=Mb(B),ce.transformFlags|=Tr(ce.expression)|Tr(ce.thenStatement)|Tr(ce.elseStatement),ce.jsDoc=void 0,ce.flowNode=void 0,ce}function JI(E,P,B,ce){return E.expression!==P||E.thenStatement!==B||E.elseStatement!==ce?or(j0(P,B,ce),E):E}function U0(E,P){const B=K(246);return B.statement=Mb(E),B.expression=P,B.transformFlags|=Tr(B.statement)|Tr(B.expression),B.jsDoc=void 0,B.flowNode=void 0,B}function QD(E,P,B){return E.statement!==P||E.expression!==B?or(U0(P,B),E):E}function ZD(E,P){const B=K(247);return B.expression=E,B.statement=Mb(P),B.transformFlags|=Tr(B.expression)|Tr(B.statement),B.jsDoc=void 0,B.flowNode=void 0,B}function eN(E,P,B){return E.expression!==P||E.statement!==B?or(ZD(P,B),E):E}function hT(E,P,B,ce){const Qe=K(248);return Qe.initializer=E,Qe.condition=P,Qe.incrementor=B,Qe.statement=Mb(ce),Qe.transformFlags|=Tr(Qe.initializer)|Tr(Qe.condition)|Tr(Qe.incrementor)|Tr(Qe.statement),Qe.jsDoc=void 0,Qe.locals=void 0,Qe.nextContainer=void 0,Qe.flowNode=void 0,Qe}function D1(E,P,B,ce,Qe){return E.initializer!==P||E.condition!==B||E.incrementor!==ce||E.statement!==Qe?or(hT(P,B,ce,Qe),E):E}function KI(E,P,B){const ce=K(249);return ce.initializer=E,ce.expression=P,ce.statement=Mb(B),ce.transformFlags|=Tr(ce.initializer)|Tr(ce.expression)|Tr(ce.statement),ce.jsDoc=void 0,ce.locals=void 0,ce.nextContainer=void 0,ce.flowNode=void 0,ce}function tN(E,P,B,ce){return E.initializer!==P||E.expression!==B||E.statement!==ce?or(KI(P,B,ce),E):E}function XI(E,P,B,ce){const Qe=K(250);return Qe.awaitModifier=E,Qe.initializer=P,Qe.expression=i().parenthesizeExpressionForDisallowedComma(B),Qe.statement=Mb(ce),Qe.transformFlags|=Tr(Qe.awaitModifier)|Tr(Qe.initializer)|Tr(Qe.expression)|Tr(Qe.statement)|1024,E&&(Qe.transformFlags|=128),Qe.jsDoc=void 0,Qe.locals=void 0,Qe.nextContainer=void 0,Qe.flowNode=void 0,Qe}function YI(E,P,B,ce,Qe){return E.awaitModifier!==P||E.initializer!==B||E.expression!==ce||E.statement!==Qe?or(XI(P,B,ce,Qe),E):E}function $I(E){const P=K(251);return P.label=uc(E),P.transformFlags|=Tr(P.label)|4194304,P.jsDoc=void 0,P.flowNode=void 0,P}function QI(E,P){return E.label!==P?or($I(P),E):E}function gT(E){const P=K(252);return P.label=uc(E),P.transformFlags|=Tr(P.label)|4194304,P.jsDoc=void 0,P.flowNode=void 0,P}function ZI(E,P){return E.label!==P?or(gT(P),E):E}function N1(E){const P=K(253);return P.expression=E,P.transformFlags|=Tr(P.expression)|128|4194304,P.jsDoc=void 0,P.flowNode=void 0,P}function C1(E,P){return E.expression!==P?or(N1(P),E):E}function fb(E,P){const B=K(254);return B.expression=E,B.statement=Mb(P),B.transformFlags|=Tr(B.expression)|Tr(B.statement),B.jsDoc=void 0,B.flowNode=void 0,B}function mb(E,P,B){return E.expression!==P||E.statement!==B?or(fb(P,B),E):E}function hg(E,P){const B=K(255);return B.expression=i().parenthesizeExpressionForDisallowedComma(E),B.caseBlock=P,B.transformFlags|=Tr(B.expression)|Tr(B.caseBlock),B.jsDoc=void 0,B.flowNode=void 0,B.possiblyExhaustive=!1,B}function H0(E,P,B){return E.expression!==P||E.caseBlock!==B?or(hg(P,B),E):E}function ts(E,P){const B=K(256);return B.label=uc(E),B.statement=Mb(P),B.transformFlags|=Tr(B.label)|Tr(B.statement),B.jsDoc=void 0,B.flowNode=void 0,B}function vT(E,P,B){return E.label!==P||E.statement!==B?or(ts(P,B),E):E}function nN(E){const P=K(257);return P.expression=E,P.transformFlags|=Tr(P.expression),P.jsDoc=void 0,P.flowNode=void 0,P}function q0(E,P){return E.expression!==P?or(nN(P),E):E}function nv(E,P,B){const ce=K(258);return ce.tryBlock=E,ce.catchClause=P,ce.finallyBlock=B,ce.transformFlags|=Tr(ce.tryBlock)|Tr(ce.catchClause)|Tr(ce.finallyBlock),ce.jsDoc=void 0,ce.flowNode=void 0,ce}function Ph(E,P,B,ce){return E.tryBlock!==P||E.catchClause!==B||E.finallyBlock!==ce?or(nv(P,B,ce),E):E}function Wf(){const E=K(259);return E.jsDoc=void 0,E.flowNode=void 0,E}function qd(E,P,B,ce){const Qe=Z(260);return Qe.name=uc(E),Qe.exclamationToken=P,Qe.type=B,Qe.initializer=_x(ce),Qe.transformFlags|=Yy(Qe.name)|Tr(Qe.initializer)|(Qe.exclamationToken??Qe.type?1:0),Qe.jsDoc=void 0,Qe}function Dp(E,P,B,ce,Qe){return E.name!==P||E.type!==ce||E.exclamationToken!==B||E.initializer!==Qe?or(qd(P,B,ce,Qe),E):E}function ap(E,P=0){const B=K(261);return B.flags|=P&7,B.declarations=U(E),B.transformFlags|=Ja(B.declarations)|4194304,P&7&&(B.transformFlags|=263168),P&4&&(B.transformFlags|=4),B}function sy(E,P){return E.declarations!==P?or(ap(P,E.flags),E):E}function yT(E,P,B,ce,Qe,Xt,yr){const ro=Z(262);if(ro.modifiers=Ua(E),ro.asteriskToken=P,ro.name=uc(B),ro.typeParameters=Ua(ce),ro.parameters=U(Qe),ro.type=Xt,ro.body=yr,!ro.body||M_(ro.modifiers)&128)ro.transformFlags=1;else{const qs=M_(ro.modifiers)&1024,p_=!!ro.asteriskToken,Lb=qs&&p_;ro.transformFlags=Ja(ro.modifiers)|Tr(ro.asteriskToken)|Yy(ro.name)|Ja(ro.typeParameters)|Ja(ro.parameters)|Tr(ro.type)|Tr(ro.body)&-67108865|(Lb?128:qs?256:p_?2048:0)|(ro.typeParameters||ro.type?1:0)|4194304}return ro.typeArguments=void 0,ro.jsDoc=void 0,ro.locals=void 0,ro.nextContainer=void 0,ro.endFlowNode=void 0,ro.returnFlowNode=void 0,ro}function rN(E,P,B,ce,Qe,Xt,yr,ro){return E.modifiers!==P||E.asteriskToken!==B||E.name!==ce||E.typeParameters!==Qe||E.parameters!==Xt||E.type!==yr||E.body!==ro?ex(yT(P,B,ce,Qe,Xt,yr,ro),E):E}function ex(E,P){return E!==P&&E.modifiers===P.modifiers&&(E.modifiers=P.modifiers),V(E,P)}function _b(E,P,B,ce,Qe){const Xt=Z(263);return Xt.modifiers=Ua(E),Xt.name=uc(P),Xt.typeParameters=Ua(B),Xt.heritageClauses=Ua(ce),Xt.members=U(Qe),M_(Xt.modifiers)&128?Xt.transformFlags=1:(Xt.transformFlags|=Ja(Xt.modifiers)|Yy(Xt.name)|Ja(Xt.typeParameters)|Ja(Xt.heritageClauses)|Ja(Xt.members)|(Xt.typeParameters?1:0)|1024,Xt.transformFlags&8192&&(Xt.transformFlags|=1)),Xt.jsDoc=void 0,Xt}function J0(E,P,B,ce,Qe,Xt){return E.modifiers!==P||E.name!==B||E.typeParameters!==ce||E.heritageClauses!==Qe||E.members!==Xt?or(_b(P,B,ce,Qe,Xt),E):E}function tx(E,P,B,ce,Qe){const Xt=Z(264);return Xt.modifiers=Ua(E),Xt.name=uc(P),Xt.typeParameters=Ua(B),Xt.heritageClauses=Ua(ce),Xt.members=U(Qe),Xt.transformFlags=1,Xt.jsDoc=void 0,Xt}function bc(E,P,B,ce,Qe,Xt){return E.modifiers!==P||E.name!==B||E.typeParameters!==ce||E.heritageClauses!==Qe||E.members!==Xt?or(tx(P,B,ce,Qe,Xt),E):E}function ly(E,P,B,ce){const Qe=Z(265);return Qe.modifiers=Ua(E),Qe.name=uc(P),Qe.typeParameters=Ua(B),Qe.type=ce,Qe.transformFlags=1,Qe.jsDoc=void 0,Qe.locals=void 0,Qe.nextContainer=void 0,Qe}function nx(E,P,B,ce,Qe){return E.modifiers!==P||E.name!==B||E.typeParameters!==ce||E.type!==Qe?or(ly(P,B,ce,Qe),E):E}function a_(E,P,B){const ce=Z(266);return ce.modifiers=Ua(E),ce.name=uc(P),ce.members=U(B),ce.transformFlags|=Ja(ce.modifiers)|Tr(ce.name)|Ja(ce.members)|1,ce.transformFlags&=-67108865,ce.jsDoc=void 0,ce}function bT(E,P,B,ce){return E.modifiers!==P||E.name!==B||E.members!==ce?or(a_(P,B,ce),E):E}function Je(E,P,B,ce=0){const Qe=Z(267);return Qe.modifiers=Ua(E),Qe.flags|=ce&2088,Qe.name=P,Qe.body=B,M_(Qe.modifiers)&128?Qe.transformFlags=1:Qe.transformFlags|=Ja(Qe.modifiers)|Tr(Qe.name)|Tr(Qe.body)|1,Qe.transformFlags&=-67108865,Qe.jsDoc=void 0,Qe.locals=void 0,Qe.nextContainer=void 0,Qe}function s_(E,P,B,ce){return E.modifiers!==P||E.name!==B||E.body!==ce?or(Je(P,B,ce,E.flags),E):E}function _u(E){const P=K(268);return P.statements=U(E),P.transformFlags|=Ja(P.statements),P.jsDoc=void 0,P}function K0(E,P){return E.statements!==P?or(_u(P),E):E}function rx(E){const P=K(269);return P.clauses=U(E),P.transformFlags|=Ja(P.clauses),P.locals=void 0,P.nextContainer=void 0,P}function hb(E,P){return E.clauses!==P?or(rx(P),E):E}function cy(E){const P=Z(270);return P.name=uc(E),P.transformFlags|=Ok(P.name)|1,P.modifiers=void 0,P.jsDoc=void 0,P}function uy(E,P){return E.name!==P?P2(cy(P),E):E}function P2(E,P){return E!==P&&(E.modifiers=P.modifiers),or(E,P)}function Xa(E,P,B,ce){const Qe=Z(271);return Qe.modifiers=Ua(E),Qe.name=uc(B),Qe.isTypeOnly=P,Qe.moduleReference=ce,Qe.transformFlags|=Ja(Qe.modifiers)|Ok(Qe.name)|Tr(Qe.moduleReference),Ah(Qe.moduleReference)||(Qe.transformFlags|=1),Qe.transformFlags&=-67108865,Qe.jsDoc=void 0,Qe}function l_(E,P,B,ce,Qe){return E.modifiers!==P||E.isTypeOnly!==B||E.name!==ce||E.moduleReference!==Qe?or(Xa(P,B,ce,Qe),E):E}function ET(E,P,B,ce){const Qe=K(272);return Qe.modifiers=Ua(E),Qe.importClause=P,Qe.moduleSpecifier=B,Qe.attributes=Qe.assertClause=ce,Qe.transformFlags|=Tr(Qe.importClause)|Tr(Qe.moduleSpecifier),Qe.transformFlags&=-67108865,Qe.jsDoc=void 0,Qe}function ST(E,P,B,ce,Qe){return E.modifiers!==P||E.importClause!==B||E.moduleSpecifier!==ce||E.attributes!==Qe?or(ET(P,B,ce,Qe),E):E}function X0(E,P,B){const ce=Z(273);return ce.isTypeOnly=E,ce.name=P,ce.namedBindings=B,ce.transformFlags|=Tr(ce.name)|Tr(ce.namedBindings),E&&(ce.transformFlags|=1),ce.transformFlags&=-67108865,ce}function TT(E,P,B,ce){return E.isTypeOnly!==P||E.name!==B||E.namedBindings!==ce?or(X0(P,B,ce),E):E}function um(E,P){const B=K(300);return B.elements=U(E),B.multiLine=P,B.token=132,B.transformFlags|=4,B}function dm(E,P,B){return E.elements!==P||E.multiLine!==B?or(um(P,B),E):E}function rv(E,P){const B=K(301);return B.name=E,B.value=P,B.transformFlags|=4,B}function ix(E,P,B){return E.name!==P||E.value!==B?or(rv(P,B),E):E}function c_(E,P){const B=K(302);return B.assertClause=E,B.multiLine=P,B}function iN(E,P,B){return E.assertClause!==P||E.multiLine!==B?or(c_(P,B),E):E}function P1(E,P,B){const ce=K(300);return ce.token=B??118,ce.elements=U(E),ce.multiLine=P,ce.transformFlags|=4,ce}function Xi(E,P,B){return E.elements!==P||E.multiLine!==B?or(P1(P,B,E.token),E):E}function ei(E,P){const B=K(301);return B.name=E,B.value=P,B.transformFlags|=4,B}function Mh(E,P,B){return E.name!==P||E.value!==B?or(ei(P,B),E):E}function Jd(E){const P=Z(274);return P.name=E,P.transformFlags|=Tr(P.name),P.transformFlags&=-67108865,P}function M2(E,P){return E.name!==P?or(Jd(P),E):E}function iv(E){const P=Z(280);return P.name=E,P.transformFlags|=Tr(P.name)|32,P.transformFlags&=-67108865,P}function L2(E,P){return E.name!==P?or(iv(P),E):E}function gb(E){const P=K(275);return P.elements=U(E),P.transformFlags|=Ja(P.elements),P.transformFlags&=-67108865,P}function bl(E,P){return E.elements!==P?or(gb(P),E):E}function Up(E,P,B){const ce=Z(276);return ce.isTypeOnly=E,ce.propertyName=P,ce.name=B,ce.transformFlags|=Tr(ce.propertyName)|Tr(ce.name),ce.transformFlags&=-67108865,ce}function gg(E,P,B,ce){return E.isTypeOnly!==P||E.propertyName!==B||E.name!==ce?or(Up(P,B,ce),E):E}function pm(E,P,B){const ce=Z(277);return ce.modifiers=Ua(E),ce.isExportEquals=P,ce.expression=P?i().parenthesizeRightSideOfBinary(64,void 0,B):i().parenthesizeExpressionOfExportDefault(B),ce.transformFlags|=Ja(ce.modifiers)|Tr(ce.expression),ce.transformFlags&=-67108865,ce.jsDoc=void 0,ce}function vb(E,P,B){return E.modifiers!==P||E.expression!==B?or(pm(P,E.isExportEquals,B),E):E}function Wm(E,P,B,ce,Qe){const Xt=Z(278);return Xt.modifiers=Ua(E),Xt.isTypeOnly=P,Xt.exportClause=B,Xt.moduleSpecifier=ce,Xt.attributes=Xt.assertClause=Qe,Xt.transformFlags|=Ja(Xt.modifiers)|Tr(Xt.exportClause)|Tr(Xt.moduleSpecifier),Xt.transformFlags&=-67108865,Xt.jsDoc=void 0,Xt}function ov(E,P,B,ce,Qe,Xt){return E.modifiers!==P||E.isTypeOnly!==B||E.exportClause!==ce||E.moduleSpecifier!==Qe||E.attributes!==Xt?M1(Wm(P,B,ce,Qe,Xt),E):E}function M1(E,P){return E!==P&&E.modifiers===P.modifiers&&(E.modifiers=P.modifiers),or(E,P)}function AT(E){const P=K(279);return P.elements=U(E),P.transformFlags|=Ja(P.elements),P.transformFlags&=-67108865,P}function vg(E,P){return E.elements!==P?or(AT(P),E):E}function ox(E,P,B){const ce=K(281);return ce.isTypeOnly=E,ce.propertyName=uc(P),ce.name=uc(B),ce.transformFlags|=Tr(ce.propertyName)|Tr(ce.name),ce.transformFlags&=-67108865,ce.jsDoc=void 0,ce}function yg(E,P,B,ce){return E.isTypeOnly!==P||E.propertyName!==B||E.name!==ce?or(ox(P,B,ce),E):E}function q_(){const E=Z(282);return E.jsDoc=void 0,E}function dy(E){const P=K(283);return P.expression=E,P.transformFlags|=Tr(P.expression),P.transformFlags&=-67108865,P}function oN(E,P){return E.expression!==P?or(dy(P),E):E}function aN(E){return K(E)}function sN(E,P,B=!1){const ce=IT(E,B?P&&i().parenthesizeNonArrayTypeOfPostfixType(P):P);return ce.postfix=B,ce}function IT(E,P){const B=K(E);return B.type=P,B}function Zs(E,P,B){return P.type!==B?or(sN(E,B,P.postfix),P):P}function L1(E,P,B){return P.type!==B?or(IT(E,B),P):P}function xT(E,P){const B=Z(324);return B.parameters=Ua(E),B.type=P,B.transformFlags=Ja(B.parameters)|(B.type?1:0),B.jsDoc=void 0,B.locals=void 0,B.nextContainer=void 0,B.typeArguments=void 0,B}function Fm(E,P,B){return E.parameters!==P||E.type!==B?or(xT(P,B),E):E}function yb(E,P=!1){const B=Z(329);return B.jsDocPropertyTags=Ua(E),B.isArrayType=P,B}function lN(E,P,B){return E.jsDocPropertyTags!==P||E.isArrayType!==B?or(yb(P,B),E):E}function RT(E){const P=K(316);return P.type=E,P}function k2(E,P){return E.type!==P?or(RT(P),E):E}function hr(E,P,B){const ce=Z(330);return ce.typeParameters=Ua(E),ce.parameters=U(P),ce.type=B,ce.jsDoc=void 0,ce.locals=void 0,ce.nextContainer=void 0,ce}function ru(E,P,B,ce){return E.typeParameters!==P||E.parameters!==B||E.type!==ce?or(hr(P,B,ce),E):E}function to(E){const P=fH(E.kind);return E.tagName.escapedText===hl(P)?E.tagName:Ne(P)}function hf(E,P,B){const ce=K(E);return ce.tagName=P,ce.comment=B,ce}function py(E,P,B){const ce=Z(E);return ce.tagName=P,ce.comment=B,ce}function J_(E,P,B,ce){const Qe=hf(352,E??Ne("template"),ce);return Qe.constraint=P,Qe.typeParameters=U(B),Qe}function ur(E,P=to(E),B,ce,Qe){return E.tagName!==P||E.constraint!==B||E.typeParameters!==ce||E.comment!==Qe?or(J_(P,B,ce,Qe),E):E}function DT(E,P,B,ce){const Qe=py(353,E??Ne("typedef"),ce);return Qe.typeExpression=P,Qe.fullName=B,Qe.name=QH(B),Qe.locals=void 0,Qe.nextContainer=void 0,Qe}function Y0(E,P=to(E),B,ce,Qe){return E.tagName!==P||E.typeExpression!==B||E.fullName!==ce||E.comment!==Qe?or(DT(P,B,ce,Qe),E):E}function Kc(E,P,B,ce,Qe,Xt){const yr=py(348,E??Ne("param"),Xt);return yr.typeExpression=ce,yr.name=P,yr.isNameFirst=!!Qe,yr.isBracketed=B,yr}function Lh(E,P=to(E),B,ce,Qe,Xt,yr){return E.tagName!==P||E.name!==B||E.isBracketed!==ce||E.typeExpression!==Qe||E.isNameFirst!==Xt||E.comment!==yr?or(Kc(P,B,ce,Qe,Xt,yr),E):E}function zm(E,P,B,ce,Qe,Xt){const yr=py(355,E??Ne("prop"),Xt);return yr.typeExpression=ce,yr.name=P,yr.isNameFirst=!!Qe,yr.isBracketed=B,yr}function k1(E,P=to(E),B,ce,Qe,Xt,yr){return E.tagName!==P||E.name!==B||E.isBracketed!==ce||E.typeExpression!==Qe||E.isNameFirst!==Xt||E.comment!==yr?or(zm(P,B,ce,Qe,Xt,yr),E):E}function w1(E,P,B,ce){const Qe=py(345,E??Ne("callback"),ce);return Qe.typeExpression=P,Qe.fullName=B,Qe.name=QH(B),Qe.locals=void 0,Qe.nextContainer=void 0,Qe}function bb(E,P=to(E),B,ce,Qe){return E.tagName!==P||E.typeExpression!==B||E.fullName!==ce||E.comment!==Qe?or(w1(P,B,ce,Qe),E):E}function av(E,P,B){const ce=hf(346,E??Ne("overload"),B);return ce.typeExpression=P,ce}function NT(E,P=to(E),B,ce){return E.tagName!==P||E.typeExpression!==B||E.comment!==ce?or(av(P,B,ce),E):E}function Bm(E,P,B){const ce=hf(335,E??Ne("augments"),B);return ce.class=P,ce}function $0(E,P=to(E),B,ce){return E.tagName!==P||E.class!==B||E.comment!==ce?or(Bm(P,B,ce),E):E}function bg(E,P,B){const ce=hf(336,E??Ne("implements"),B);return ce.class=P,ce}function Eb(E,P,B){const ce=hf(354,E??Ne("see"),B);return ce.name=P,ce}function ax(E,P,B,ce){return E.tagName!==P||E.name!==B||E.comment!==ce?or(Eb(P,B,ce),E):E}function Q0(E){const P=K(317);return P.name=E,P}function cN(E,P){return E.name!==P?or(Q0(P),E):E}function O1(E,P){const B=K(318);return B.left=E,B.right=P,B.transformFlags|=Tr(B.left)|Tr(B.right),B}function CT(E,P,B){return E.left!==P||E.right!==B?or(O1(P,B),E):E}function Hp(E,P){const B=K(331);return B.name=E,B.text=P,B}function PT(E,P,B){return E.name!==P?or(Hp(P,B),E):E}function jl(E,P){const B=K(332);return B.name=E,B.text=P,B}function Fc(E,P,B){return E.name!==P?or(jl(P,B),E):E}function MT(E,P){const B=K(333);return B.name=E,B.text=P,B}function Au(E,P,B){return E.name!==P?or(MT(P,B),E):E}function sp(E,P=to(E),B,ce){return E.tagName!==P||E.class!==B||E.comment!==ce?or(bg(P,B,ce),E):E}function Z0(E,P,B){return hf(E,P??Ne(fH(E)),B)}function Ff(E,P,B=to(P),ce){return P.tagName!==B||P.comment!==ce?or(Z0(E,B,ce),P):P}function Sb(E,P,B,ce){const Qe=hf(E,P??Ne(fH(E)),ce);return Qe.typeExpression=B,Qe}function Tb(E,P,B=to(P),ce,Qe){return P.tagName!==B||P.typeExpression!==ce||P.comment!==Qe?or(Sb(E,B,ce,Qe),P):P}function iu(E,P){return hf(334,E,P)}function sx(E,P,B){return E.tagName!==P||E.comment!==B?or(iu(P,B),E):E}function qp(E,P,B){const ce=py(347,E??Ne(fH(347)),B);return ce.typeExpression=P,ce.locals=void 0,ce.nextContainer=void 0,ce}function LT(E,P=to(E),B,ce){return E.tagName!==P||E.typeExpression!==B||E.comment!==ce?or(qp(P,B,ce),E):E}function kT(E){const P=K(328);return P.text=E,P}function Hs(E,P){return E.text!==P?or(kT(P),E):E}function uN(E,P){const B=K(327);return B.comment=E,B.tags=Ua(P),B}function eE(E,P,B){return E.comment!==P||E.tags!==B?or(uN(P,B),E):E}function W1(E,P,B){const ce=K(284);return ce.openingElement=E,ce.children=U(P),ce.closingElement=B,ce.transformFlags|=Tr(ce.openingElement)|Ja(ce.children)|Tr(ce.closingElement)|2,ce}function w2(E,P,B,ce){return E.openingElement!==P||E.children!==B||E.closingElement!==ce?or(W1(P,B,ce),E):E}function vd(E,P,B){const ce=K(285);return ce.tagName=E,ce.typeArguments=Ua(P),ce.attributes=B,ce.transformFlags|=Tr(ce.tagName)|Ja(ce.typeArguments)|Tr(ce.attributes)|2,ce.typeArguments&&(ce.transformFlags|=1),ce}function sv(E,P,B,ce){return E.tagName!==P||E.typeArguments!==B||E.attributes!==ce?or(vd(P,B,ce),E):E}function K_(E,P,B){const ce=K(286);return ce.tagName=E,ce.typeArguments=Ua(P),ce.attributes=B,ce.transformFlags|=Tr(ce.tagName)|Ja(ce.typeArguments)|Tr(ce.attributes)|2,P&&(ce.transformFlags|=1),ce}function lx(E,P,B,ce){return E.tagName!==P||E.typeArguments!==B||E.attributes!==ce?or(K_(P,B,ce),E):E}function Np(E){const P=K(287);return P.tagName=E,P.transformFlags|=Tr(P.tagName)|2,P}function cx(E,P){return E.tagName!==P?or(Np(P),E):E}function lp(E,P,B){const ce=K(288);return ce.openingFragment=E,ce.children=U(P),ce.closingFragment=B,ce.transformFlags|=Tr(ce.openingFragment)|Ja(ce.children)|Tr(ce.closingFragment)|2,ce}function ux(E,P,B,ce){return E.openingFragment!==P||E.children!==B||E.closingFragment!==ce?or(lp(P,B,ce),E):E}function fy(E,P){const B=K(12);return B.text=E,B.containsOnlyTriviaWhiteSpaces=!!P,B.transformFlags|=2,B}function wT(E,P,B){return E.text!==P||E.containsOnlyTriviaWhiteSpaces!==B?or(fy(P,B),E):E}function dN(){const E=K(289);return E.transformFlags|=2,E}function pN(){const E=K(290);return E.transformFlags|=2,E}function OT(E,P){const B=Z(291);return B.name=E,B.initializer=P,B.transformFlags|=Tr(B.name)|Tr(B.initializer)|2,B}function fN(E,P,B){return E.name!==P||E.initializer!==B?or(OT(P,B),E):E}function Ab(E){const P=Z(292);return P.properties=U(E),P.transformFlags|=Ja(P.properties)|2,P}function Cp(E,P){return E.properties!==P?or(Ab(P),E):E}function kh(E){const P=K(293);return P.expression=E,P.transformFlags|=Tr(P.expression)|2,P}function mN(E,P){return E.expression!==P?or(kh(P),E):E}function WT(E,P){const B=K(294);return B.dotDotDotToken=E,B.expression=P,B.transformFlags|=Tr(B.dotDotDotToken)|Tr(B.expression)|2,B}function rs(E,P){return E.expression!==P?or(WT(E.dotDotDotToken,P),E):E}function Ir(E,P){const B=K(295);return B.namespace=E,B.name=P,B.transformFlags|=Tr(B.namespace)|Tr(B.name)|2,B}function gf(E,P,B){return E.namespace!==P||E.name!==B?or(Ir(P,B),E):E}function Pd(E,P){const B=K(296);return B.expression=i().parenthesizeExpressionForDisallowedComma(E),B.statements=U(P),B.transformFlags|=Tr(B.expression)|Ja(B.statements),B.jsDoc=void 0,B}function F1(E,P,B){return E.expression!==P||E.statements!==B?or(Pd(P,B),E):E}function _N(E){const P=K(297);return P.statements=U(E),P.transformFlags=Ja(P.statements),P}function tE(E,P){return E.statements!==P?or(_N(P),E):E}function z1(E,P){const B=K(298);switch(B.token=E,B.types=U(P),B.transformFlags|=Ja(B.types),E){case 96:B.transformFlags|=1024;break;case 119:B.transformFlags|=1;break;default:return N.assertNever(E)}return B}function FT(E,P){return E.types!==P?or(z1(E.token,P),E):E}function Eg(E,P){const B=K(299);return B.variableDeclaration=B2(E),B.block=P,B.transformFlags|=Tr(B.variableDeclaration)|Tr(B.block)|(E?0:64),B.locals=void 0,B.nextContainer=void 0,B}function u_(E,P,B){return E.variableDeclaration!==P||E.block!==B?or(Eg(P,B),E):E}function vf(E,P){const B=Z(303);return B.name=uc(E),B.initializer=i().parenthesizeExpressionForDisallowedComma(P),B.transformFlags|=Yy(B.name)|Tr(B.initializer),B.modifiers=void 0,B.questionToken=void 0,B.exclamationToken=void 0,B.jsDoc=void 0,B}function Gm(E,P,B){return E.name!==P||E.initializer!==B?lv(vf(P,B),E):E}function lv(E,P){return E!==P&&(E.modifiers=P.modifiers,E.questionToken=P.questionToken,E.exclamationToken=P.exclamationToken),or(E,P)}function Sg(E,P){const B=Z(304);return B.name=uc(E),B.objectAssignmentInitializer=P&&i().parenthesizeExpressionForDisallowedComma(P),B.transformFlags|=Ok(B.name)|Tr(B.objectAssignmentInitializer)|1024,B.equalsToken=void 0,B.modifiers=void 0,B.questionToken=void 0,B.exclamationToken=void 0,B.jsDoc=void 0,B}function Tg(E,P,B){return E.name!==P||E.objectAssignmentInitializer!==B?dx(Sg(P,B),E):E}function dx(E,P){return E!==P&&(E.modifiers=P.modifiers,E.questionToken=P.questionToken,E.exclamationToken=P.exclamationToken,E.equalsToken=P.equalsToken),or(E,P)}function cc(E){const P=Z(305);return P.expression=i().parenthesizeExpressionForDisallowedComma(E),P.transformFlags|=Tr(P.expression)|128|65536,P.jsDoc=void 0,P}function hN(E,P){return E.expression!==P?or(cc(P),E):E}function zf(E,P){const B=Z(306);return B.name=uc(E),B.initializer=P&&i().parenthesizeExpressionForDisallowedComma(P),B.transformFlags|=Tr(B.name)|Tr(B.initializer)|1,B.jsDoc=void 0,B}function wh(E,P,B){return E.name!==P||E.initializer!==B?or(zf(P,B),E):E}function yf(E,P,B){const ce=t.createBaseSourceFileNode(312);return ce.statements=U(E),ce.endOfFileToken=P,ce.flags|=B,ce.text="",ce.fileName="",ce.path="",ce.resolvedPath="",ce.originalFileName="",ce.languageVersion=0,ce.languageVariant=0,ce.scriptKind=0,ce.isDeclarationFile=!1,ce.hasNoDefaultLib=!1,ce.transformFlags|=Ja(ce.statements)|Tr(ce.endOfFileToken),ce.locals=void 0,ce.nextContainer=void 0,ce.endFlowNode=void 0,ce.nodeCount=0,ce.identifierCount=0,ce.symbolCount=0,ce.parseDiagnostics=void 0,ce.bindDiagnostics=void 0,ce.bindSuggestionDiagnostics=void 0,ce.lineMap=void 0,ce.externalModuleIndicator=void 0,ce.setExternalModuleIndicator=void 0,ce.pragmas=void 0,ce.checkJsDirective=void 0,ce.referencedFiles=void 0,ce.typeReferenceDirectives=void 0,ce.libReferenceDirectives=void 0,ce.amdDependencies=void 0,ce.commentDirectives=void 0,ce.identifiers=void 0,ce.packageJsonLocations=void 0,ce.packageJsonScope=void 0,ce.imports=void 0,ce.moduleAugmentations=void 0,ce.ambientModuleNames=void 0,ce.classifiableNames=void 0,ce.impliedNodeFormat=void 0,ce}function B1(E){const P=Object.create(E.redirectTarget);return Object.defineProperties(P,{id:{get(){return this.redirectInfo.redirectTarget.id},set(B){this.redirectInfo.redirectTarget.id=B}},symbol:{get(){return this.redirectInfo.redirectTarget.symbol},set(B){this.redirectInfo.redirectTarget.symbol=B}}}),P.redirectInfo=E,P}function nE(E){const P=B1(E.redirectInfo);return P.flags|=E.flags&-17,P.fileName=E.fileName,P.path=E.path,P.resolvedPath=E.resolvedPath,P.originalFileName=E.originalFileName,P.packageJsonLocations=E.packageJsonLocations,P.packageJsonScope=E.packageJsonScope,P.emitNode=void 0,P}function px(E){const P=t.createBaseSourceFileNode(312);P.flags|=E.flags&-17;for(const B in E)if(!(xs(P,B)||!xs(E,B))){if(B==="emitNode"){P.emitNode=void 0;continue}P[B]=E[B]}return P}function zT(E){const P=E.redirectInfo?nE(E):px(E);return r(P,E),P}function bf(E,P,B,ce,Qe,Xt,yr){const ro=zT(E);return ro.statements=U(P),ro.isDeclarationFile=B,ro.referencedFiles=ce,ro.typeReferenceDirectives=Qe,ro.hasNoDefaultLib=Xt,ro.libReferenceDirectives=yr,ro.transformFlags=Ja(ro.statements)|Tr(ro.endOfFileToken),ro}function Ls(E,P,B=E.isDeclarationFile,ce=E.referencedFiles,Qe=E.typeReferenceDirectives,Xt=E.hasNoDefaultLib,yr=E.libReferenceDirectives){return E.statements!==P||E.isDeclarationFile!==B||E.referencedFiles!==ce||E.typeReferenceDirectives!==Qe||E.hasNoDefaultLib!==Xt||E.libReferenceDirectives!==yr?or(bf(E,P,B,ce,Qe,Xt,yr),E):E}function G1(E,P=et){const B=K(313);return B.prepends=P,B.sourceFiles=E,B.syntheticFileReferences=void 0,B.syntheticTypeReferences=void 0,B.syntheticLibReferences=void 0,B.hasNoDefaultLib=void 0,B}function V1(E,P,B=et){return E.sourceFiles!==P||E.prepends!==B?or(G1(P,B),E):E}function cv(E,P,B){const ce=K(314);return ce.prologues=E,ce.syntheticReferences=P,ce.texts=B,ce.fileName="",ce.text="",ce.referencedFiles=et,ce.libReferenceDirectives=et,ce.getLineAndCharacterOfPosition=Qe=>bs(ce,Qe),ce}function Ib(E,P){const B=K(E);return B.data=P,B}function rE(E){return Ib(307,E)}function D(E,P){const B=Ib(308,E);return B.texts=P,B}function ae(E,P){return Ib(P?310:309,E)}function ht(E){const P=K(311);return P.data=E.data,P.section=E,P}function on(){const E=K(315);return E.javascriptText="",E.declarationText="",E}function Or(E,P=!1,B){const ce=K(237);return ce.type=E,ce.isSpread=P,ce.tupleNameSource=B,ce}function ki(E){const P=K(358);return P._children=E,P}function gi(E){const P=K(359);return P.original=E,dt(P,E),P}function no(E,P){const B=K(360);return B.expression=E,B.original=P,B.transformFlags|=Tr(B.expression)|1,dt(B,P),B}function va(E,P){return E.expression!==P?or(no(P,E.original),E):E}function ed(E){if($s(E)&&!cC(E)&&!E.original&&!E.emitNode&&!E.id){if(yP(E))return E.elements;if(mr(E)&&Pae(E.operatorToken))return[E.left,E.right]}return E}function Md(E){const P=K(361);return P.elements=U(vm(E,ed)),P.transformFlags|=Ja(P.elements),P}function Bf(E,P){return E.elements!==P?or(Md(P),E):E}function xb(E,P){const B=K(362);return B.expression=E,B.thisArg=P,B.transformFlags|=Tr(B.expression)|Tr(B.thisArg),B}function bi(E,P,B){return E.expression!==P||E.thisArg!==B?or(xb(P,B),E):E}function Oh(E){const P=oe(E.escapedText);return P.flags|=E.flags&-17,P.transformFlags=E.transformFlags,r(P,E),Gk(P,{...E.emitNode.autoGenerate}),P}function Un(E){const P=oe(E.escapedText);P.flags|=E.flags&-17,P.jsDoc=E.jsDoc,P.flowNode=E.flowNode,P.symbol=E.symbol,P.transformFlags=E.transformFlags,r(P,E);const B=zS(E);return B&&Jv(P,B),P}function fx(E){const P=He(E.escapedText);return P.flags|=E.flags&-17,P.transformFlags=E.transformFlags,r(P,E),Gk(P,{...E.emitNode.autoGenerate}),P}function Rb(E){const P=He(E.escapedText);return P.flags|=E.flags&-17,P.transformFlags=E.transformFlags,r(P,E),P}function Db(E){if(E===void 0)return E;if($i(E))return zT(E);if(sl(E))return Oh(E);if(Ve(E))return Un(E);if(gS(E))return fx(E);if(Ji(E))return Rb(E);const P=mL(E.kind)?t.createBaseNode(E.kind):t.createBaseTokenNode(E.kind);P.flags|=E.flags&-17,P.transformFlags=E.transformFlags,r(P,E);for(const B in E)xs(P,B)||!xs(E,B)||(P[B]=E[B]);return P}function BT(E,P,B){return Jn(jd(void 0,void 0,void 0,void 0,P?[P]:[],void 0,ri(E,!0)),void 0,B?[B]:[])}function j1(E,P,B){return Jn(Of(void 0,void 0,P?[P]:[],void 0,void 0,ri(E,!0)),void 0,B?[B]:[])}function X_(){return xp(se("0"))}function Wh(E){return pm(void 0,!1,E)}function gN(E){return Wm(void 0,!1,AT([ox(!1,void 0,E)]))}function GT(E,P){return P==="null"?O.createStrictEquality(E,Gt()):P==="undefined"?O.createStrictEquality(E,X_()):O.createStrictEquality(Bu(E),he(P))}function u9(E,P){return P==="null"?O.createStrictInequality(E,Gt()):P==="undefined"?O.createStrictInequality(E,X_()):O.createStrictInequality(Bu(E),he(P))}function Nb(E,P,B){return hS(E)?Qo(Ss(E,void 0,P),void 0,void 0,B):Jn(Us(E,P),void 0,B)}function S8(E,P,B){return Nb(E,"bind",[P,...B])}function T8(E,P,B){return Nb(E,"call",[P,...B])}function iE(E,P,B){return Nb(E,"apply",[P,B])}function d_(E,P,B){return Nb(Ne(E),P,B)}function O2(E,P){return Nb(E,"slice",P===void 0?[]:[aE(P)])}function Fh(E,P){return Nb(E,"concat",P)}function mx(E,P,B){return d_("Object","defineProperty",[E,aE(P),B])}function A8(E,P){return d_("Object","getOwnPropertyDescriptor",[E,aE(P)])}function Y_(E,P,B){return d_("Reflect","get",B?[E,P,B]:[E,P])}function Q(E,P,B,ce){return d_("Reflect","set",ce?[E,P,B,ce]:[E,P,B])}function xe(E,P,B){return B?(E.push(vf(P,B)),!0):!1}function Xe(E,P){const B=[];xe(B,"enumerable",aE(E.enumerable)),xe(B,"configurable",aE(E.configurable));let ce=xe(B,"writable",aE(E.writable));ce=xe(B,"value",E.value)||ce;let Qe=xe(B,"get",E.get);return Qe=xe(B,"set",E.set)||Qe,N.assert(!(ce&&Qe),"A PropertyDescriptor may not be both an accessor descriptor and a data descriptor."),$o(B,!P)}function xt(E,P){switch(E.kind){case 217:return ff(E,P);case 216:return ec(E,E.type,P);case 234:return _f(E,P,E.type);case 238:return Mt(E,P,E.type);case 235:return ze(E,P);case 360:return va(E,P)}}function Nt(E){return Gd(E)&&$s(E)&&$s(qv(E))&&$s(w_(E))&&!bt(jR(E))&&!bt(Bk(E))}function Kt(E,P,B=15){return E&&hF(E,B)&&!Nt(E)?xt(E,Kt(E.expression,P)):P}function zr(E,P,B){if(!P)return E;const ce=vT(P,P.label,o1(P.statement)?zr(E,P.statement):E);return B&&B(P),ce}function Wi(E,P){const B=hs(E);switch(B.kind){case 80:return P;case 110:case 9:case 10:case 11:return!1;case 209:return B.elements.length!==0;case 210:return B.properties.length>0;default:return!0}}function Ri(E,P,B,ce=!1){const Qe=$l(E,15);let Xt,yr;return zd(Qe)?(Xt=at(),yr=Qe):hP(Qe)?(Xt=at(),yr=B!==void 0&&B<2?dt(Ne("_super"),Qe):Qe):ja(Qe)&8192?(Xt=X_(),yr=i().parenthesizeLeftSideOfAccess(Qe,!1)):Fr(Qe)?Wi(Qe.expression,ce)?(Xt=pe(P),yr=Us(dt(O.createAssignment(Xt,Qe.expression),Qe.expression),Qe.name),dt(yr,Qe)):(Xt=Qe.expression,yr=Qe):Qs(Qe)?Wi(Qe.expression,ce)?(Xt=pe(P),yr=Wc(dt(O.createAssignment(Xt,Qe.expression),Qe.expression),Qe.argumentExpression),dt(yr,Qe)):(Xt=Qe.expression,yr=Qe):(Xt=X_(),yr=i().parenthesizeLeftSideOfAccess(E,!1)),{target:yr,thisArg:Xt}}function mi(E,P){return Us(Jc($o([le(void 0,"value",[_i(void 0,void 0,E,void 0,void 0,void 0)],ri([mu(P)]))])),"value")}function Yi(E){return E.length>10?Md(E):od(E,O.createComma)}function ds(E,P,B,ce=0,Qe){const Xt=Qe?E&&y4(E):Mo(E);if(Xt&&Ve(Xt)&&!sl(Xt)){const yr=qa(dt(Db(Xt),Xt),Xt.parent);return ce|=ja(Xt),B||(ce|=96),P||(ce|=3072),ce&&pr(yr,ce),yr}return Le(E)}function Ds(E,P,B){return ds(E,P,B,98304)}function es(E,P,B,ce){return ds(E,P,B,32768,ce)}function Gf(E,P,B){return ds(E,P,B,16384)}function $_(E,P,B){return ds(E,P,B)}function Ag(E,P,B,ce){const Qe=Us(E,$s(P)?P:Db(P));dt(Qe,P);let Xt=0;return ce||(Xt|=96),B||(Xt|=3072),Xt&&pr(Qe,Xt),Qe}function uv(E,P,B,ce){return E&&Zr(P,32)?Ag(E,ds(P),B,ce):Gf(P,B,ce)}function dv(E,P,B,ce){const Qe=VT(E,P,0,B);return vN(E,P,Qe,ce)}function Cb(E){return Ma(E.expression)&&E.expression.text==="use strict"}function cp(){return Xu(mu(he("use strict")))}function VT(E,P,B=0,ce){N.assert(P.length===0,"Prologue directives should be at the first statement in the target statements array");let Qe=!1;const Xt=E.length;for(;B<Xt;){const yr=E[B];if(Im(yr))Cb(yr)&&(Qe=!0),P.push(yr);else break;B++}return ce&&!Qe&&P.push(cp()),B}function vN(E,P,B,ce,Qe=Pv){const Xt=E.length;for(;B!==void 0&&B<Xt;){const yr=E[B];if(ja(yr)&2097152&&Qe(yr))xn(P,ce?nt(yr,ce,qi):yr);else break;B++}return B}function W2(E){return XH(E)?E:dt(U([cp(),...E]),E)}function F2(E){return N.assert(Zn(E,Zre),"Cannot lift nodes to a Block."),sh(E)||ri(E)}function oE(E,P,B){let ce=B;for(;ce<E.length&&P(E[ce]);)ce++;return ce}function pv(E,P){if(!bt(P))return E;const B=oE(E,Im,0),ce=oE(E,Y4,B),Qe=oE(E,$4,ce),Xt=oE(P,Im,0),yr=oE(P,Y4,Xt),ro=oE(P,$4,yr),qs=oE(P,wL,ro);N.assert(qs===P.length,"Expected declarations to be valid standard or custom prologues");const p_=LE(E)?E.slice():E;if(qs>ro&&p_.splice(Qe,0,...P.slice(ro,qs)),ro>yr&&p_.splice(ce,0,...P.slice(yr,ro)),yr>Xt&&p_.splice(B,0,...P.slice(Xt,yr)),Xt>0)if(B===0)p_.splice(0,0,...P.slice(0,Xt));else{const Lb=new Map;for(let Vm=0;Vm<B;Vm++){const sE=E[Vm];Lb.set(sE.expression.text,!0)}for(let Vm=Xt-1;Vm>=0;Vm--){const sE=P[Vm];Lb.has(sE.expression.text)||p_.unshift(sE)}}return LE(E)?dt(U(p_,E.hasTrailingComma),E):E}function Pb(E,P){let B;return typeof P=="number"?B=tn(P):B=P,gl(E)?$t(E,B,E.name,E.constraint,E.default):Ao(E)?ao(E,B,E.dotDotDotToken,E.name,E.questionToken,E.type,E.initializer):HR(E)?en(E,B,E.typeParameters,E.parameters,E.type):yp(E)?Di(E,B,E.name,E.questionToken,E.type):Jo(E)?tt(E,B,E.name,E.questionToken??E.exclamationToken,E.type,E.initializer):bh(E)?Rn(E,B,E.name,E.questionToken,E.typeParameters,E.parameters,E.type):ql(E)?hi(E,B,E.asteriskToken,E.name,E.questionToken,E.typeParameters,E.parameters,E.type,E.body):Ml(E)?kn(E,B,E.parameters,E.body):rf(E)?ft(E,B,E.name,E.parameters,E.type,E.body):bp(E)?Fe(E,B,E.name,E.parameters,E.body):t1(E)?Vt(E,B,E.parameters,E.type):Os(E)?Cd(E,B,E.asteriskToken,E.name,E.typeParameters,E.parameters,E.type,E.body):Gs(E)?U_(E,B,E.typeParameters,E.parameters,E.type,E.equalsGreaterThanToken,E.body):Zc(E)?Om(E,B,E.name,E.typeParameters,E.heritageClauses,E.members):Ll(E)?Ka(E,B,E.declarationList):Ac(E)?rN(E,B,E.asteriskToken,E.name,E.typeParameters,E.parameters,E.type,E.body):Ic(E)?J0(E,B,E.name,E.typeParameters,E.heritageClauses,E.members):hd(E)?bc(E,B,E.name,E.typeParameters,E.heritageClauses,E.members):Nm(E)?nx(E,B,E.name,E.typeParameters,E.type):x0(E)?bT(E,B,E.name,E.members):Xl(E)?s_(E,B,E.name,E.body):tu(E)?l_(E,B,E.isTypeOnly,E.name,E.moduleReference):kc(E)?ST(E,B,E.importClause,E.moduleSpecifier,E.attributes):kl(E)?vb(E,B,E.expression):Yl(E)?ov(E,B,E.isTypeOnly,E.exportClause,E.moduleSpecifier,E.attributes):N.assertNever(E)}function yN(E,P){return Ao(E)?ao(E,P,E.dotDotDotToken,E.name,E.questionToken,E.type,E.initializer):Jo(E)?tt(E,P,E.name,E.questionToken??E.exclamationToken,E.type,E.initializer):ql(E)?hi(E,P,E.asteriskToken,E.name,E.questionToken,E.typeParameters,E.parameters,E.type,E.body):rf(E)?ft(E,P,E.name,E.parameters,E.type,E.body):bp(E)?Fe(E,P,E.name,E.parameters,E.body):Zc(E)?Om(E,P,E.name,E.typeParameters,E.heritageClauses,E.members):Ic(E)?J0(E,P,E.name,E.typeParameters,E.heritageClauses,E.members):N.assertNever(E)}function Jp(E,P){switch(E.kind){case 177:return ft(E,E.modifiers,P,E.parameters,E.type,E.body);case 178:return Fe(E,E.modifiers,P,E.parameters,E.body);case 174:return hi(E,E.modifiers,E.asteriskToken,P,E.questionToken,E.typeParameters,E.parameters,E.type,E.body);case 173:return Rn(E,E.modifiers,P,E.questionToken,E.typeParameters,E.parameters,E.type);case 172:return tt(E,E.modifiers,P,E.questionToken??E.exclamationToken,E.type,E.initializer);case 171:return Di(E,E.modifiers,P,E.questionToken,E.type);case 303:return Gm(E,P,E.initializer)}}function Ua(E){return E?U(E):void 0}function uc(E){return typeof E=="string"?Ne(E):E}function aE(E){return typeof E=="string"?he(E):typeof E=="number"?se(E):typeof E=="boolean"?E?vt():$e():E}function _x(E){return E&&i().parenthesizeExpressionForDisallowedComma(E)}function z2(E){return typeof E=="number"?Se(E):E}function Mb(E){return E&&wH(E)?dt(r(Zu(),E),E):E}function B2(E){return typeof E=="string"||E&&!wi(E)?qd(E,void 0,void 0,void 0):E}function or(E,P){return E!==P&&(r(E,P),dt(E,P)),E}}function fH(e){switch(e){case 351:return"type";case 349:return"returns";case 350:return"this";case 347:return"enum";case 337:return"author";case 339:return"class";case 340:return"public";case 341:return"private";case 342:return"protected";case 343:return"readonly";case 344:return"override";case 352:return"template";case 353:return"typedef";case 348:return"param";case 355:return"prop";case 345:return"callback";case 346:return"overload";case 335:return"augments";case 336:return"implements";default:return N.fail(`Unsupported kind: ${N.formatSyntaxKind(e)}`)}}function kGe(e,t){switch(Hv||(Hv=wv(99,!1,0)),e){case 15:Hv.setText("`"+t+"`");break;case 16:Hv.setText("`"+t+"${");break;case 17:Hv.setText("}"+t+"${");break;case 18:Hv.setText("}"+t+"`");break}let r=Hv.scan();if(r===20&&(r=Hv.reScanTemplateToken(!1)),Hv.isUnterminated())return Hv.setText(void 0),yae;let i;switch(r){case 15:case 16:case 17:case 18:i=Hv.getTokenValue();break}return i===void 0||Hv.scan()!==1?(Hv.setText(void 0),yae):(Hv.setText(void 0),i)}function Yy(e){return e&&Ve(e)?Ok(e):Tr(e)}function Ok(e){return Tr(e)&-67108865}function wGe(e,t){return t|e.transformFlags&134234112}function Tr(e){if(!e)return 0;const t=e.transformFlags&~gae(e.kind);return ld(e)&&oc(e.name)?wGe(e.name,t):t}function Ja(e){return e?e.transformFlags:0}function UTe(e){let t=0;for(const r of e)t|=Tr(r);e.transformFlags=t}function gae(e){if(e>=182&&e<=205)return-2;switch(e){case 213:case 214:case 209:return-2147450880;case 267:return-1941676032;case 169:return-2147483648;case 219:return-2072174592;case 218:case 262:return-1937940480;case 261:return-2146893824;case 263:case 231:return-2147344384;case 176:return-1937948672;case 172:return-2013249536;case 174:case 177:case 178:return-2005057536;case 133:case 150:case 163:case 146:case 154:case 151:case 136:case 155:case 116:case 168:case 171:case 173:case 179:case 180:case 181:case 264:case 265:return-2;case 210:return-2147278848;case 299:return-2147418112;case 206:case 207:return-2147450880;case 216:case 238:case 234:case 360:case 217:case 108:return-2147483648;case 211:case 212:return-2147483648;default:return-2147483648}}function vW(e){return e.flags|=16,e}function mH(e,t,r){let i,o,s,l,u,p,h,m,b,A;Po(e)?(s="",l=e,u=e.length,p=t,h=r):(N.assert(t==="js"||t==="dts"),s=(t==="js"?e.javascriptPath:e.declarationPath)||"",p=t==="js"?e.javascriptMapPath:e.declarationMapPath,m=()=>t==="js"?e.javascriptText:e.declarationText,b=()=>t==="js"?e.javascriptMapText:e.declarationMapText,u=()=>m().length,e.buildInfo&&e.buildInfo.bundle&&(N.assert(r===void 0||typeof r=="boolean"),i=r,o=t==="js"?e.buildInfo.bundle.js:e.buildInfo.bundle.dts,A=e.oldFileOfCurrentEmit));const I=A?WGe(N.checkDefined(o)):OGe(o,i,u);return I.fileName=s,I.sourceMapPath=p,I.oldFileOfCurrentEmit=A,m&&b?(Object.defineProperty(I,"text",{get:m}),Object.defineProperty(I,"sourceMapText",{get:b})):(N.assert(!A),I.text=l??"",I.sourceMapText=h),I}function OGe(e,t,r){let i,o,s,l,u,p,h,m;for(const A of e?e.sections:et)switch(A.kind){case"prologue":i=xn(i,dt(w.createUnparsedPrologue(A.data),A));break;case"emitHelpers":o=xn(o,TH().get(A.data));break;case"no-default-lib":m=!0;break;case"reference":s=xn(s,{pos:-1,end:-1,fileName:A.data});break;case"type":l=xn(l,{pos:-1,end:-1,fileName:A.data});break;case"type-import":l=xn(l,{pos:-1,end:-1,fileName:A.data,resolutionMode:99});break;case"type-require":l=xn(l,{pos:-1,end:-1,fileName:A.data,resolutionMode:1});break;case"lib":u=xn(u,{pos:-1,end:-1,fileName:A.data});break;case"prepend":let I;for(const R of A.texts)(!t||R.kind!=="internal")&&(I=xn(I,dt(w.createUnparsedTextLike(R.data,R.kind==="internal"),R)));p=Jr(p,I),h=xn(h,w.createUnparsedPrepend(A.data,I??et));break;case"internal":if(t){h||(h=[]);break}case"text":h=xn(h,dt(w.createUnparsedTextLike(A.data,A.kind==="internal"),A));break;default:N.assertNever(A)}if(!h){const A=w.createUnparsedTextLike(void 0,!1);nP(A,0,typeof r=="function"?r():r),h=[A]}const b=Ih.createUnparsedSource(i??et,void 0,h);return zR(i,b),zR(h,b),zR(p,b),b.hasNoDefaultLib=m,b.helpers=o,b.referencedFiles=s||et,b.typeReferenceDirectives=l,b.libReferenceDirectives=u||et,b}function WGe(e){let t,r;for(const o of e.sections)switch(o.kind){case"internal":case"text":t=xn(t,dt(w.createUnparsedTextLike(o.data,o.kind==="internal"),o));break;case"no-default-lib":case"reference":case"type":case"type-import":case"type-require":case"lib":r=xn(r,dt(w.createUnparsedSyntheticReference(o),o));break;case"prologue":case"emitHelpers":case"prepend":break;default:N.assertNever(o)}const i=w.createUnparsedSource(et,r,t??et);return zR(r,i),zR(t,i),i.helpers=wt(e.sources&&e.sources.helpers,o=>TH().get(o)),i}function HTe(e,t,r,i,o,s){return Po(e)?hH(void 0,e,r,i,void 0,t,o,s):_H(e,t,r,i,o,s)}function _H(e,t,r,i,o,s,l,u){const p=Ih.createInputFiles();p.javascriptPath=t,p.javascriptMapPath=r,p.declarationPath=i,p.declarationMapPath=o,p.buildInfoPath=s;const h=new Map,m=R=>{if(R===void 0)return;let M=h.get(R);return M===void 0&&(M=e(R),h.set(R,M!==void 0?M:!1)),M!==!1?M:void 0},b=R=>{const M=m(R);return M!==void 0?M:`/* Input file ${R} was missing */\r
+`};let A;return Object.defineProperties(p,{javascriptText:{get:()=>b(t)},javascriptMapText:{get:()=>m(r)},declarationText:{get:()=>b(N.checkDefined(i))},declarationMapText:{get:()=>m(o)},buildInfo:{get:()=>{if(A===void 0&&s)if(l!=null&&l.getBuildInfo)A=l.getBuildInfo(s,u.configFilePath)??!1;else{const R=m(s);A=R!==void 0?_7(s,R)??!1:!1}return A||void 0}}}),p}function hH(e,t,r,i,o,s,l,u,p,h,m){const b=Ih.createInputFiles();return b.javascriptPath=e,b.javascriptText=t,b.javascriptMapPath=r,b.javascriptMapText=i,b.declarationPath=o,b.declarationText=s,b.declarationMapPath=l,b.declarationMapText=u,b.buildInfoPath=p,b.buildInfo=h,b.oldFileOfCurrentEmit=m,b}function qTe(e,t,r){return new(KTe||(KTe=lu.getSourceMapSourceConstructor()))(e,t,r)}function Cr(e,t){if(e.original!==t&&(e.original=t,t)){const r=t.emitNode;r&&(e.emitNode=FGe(r,e.emitNode))}return e}function FGe(e,t){const{flags:r,internalFlags:i,leadingComments:o,trailingComments:s,commentRange:l,sourceMapRange:u,tokenSourceMapRanges:p,constantValue:h,helpers:m,startsOnNewLine:b,snippetElement:A,classThis:I,assignedName:R}=e;if(t||(t={}),r&&(t.flags=r),i&&(t.internalFlags=i&-9),o&&(t.leadingComments=Jr(o.slice(),t.leadingComments)),s&&(t.trailingComments=Jr(s.slice(),t.trailingComments)),l&&(t.commentRange=l),u&&(t.sourceMapRange=u),p&&(t.tokenSourceMapRanges=zGe(p,t.tokenSourceMapRanges)),h!==void 0&&(t.constantValue=h),m)for(const M of m)t.helpers=Mg(t.helpers,M);return b!==void 0&&(t.startsOnNewLine=b),A!==void 0&&(t.snippetElement=A),I&&(t.classThis=I),R&&(t.assignedName=R),t}function zGe(e,t){t||(t=[]);for(const r in e)t[r]=e[r];return t}var yW,gH,vae,Hv,yae,Wk,JTe,w,KTe,BGe=T({"src/compiler/factory/nodeFactory.ts"(){ra(),yW=0,gH=(e=>(e[e.None=0]="None",e[e.NoParenthesizerRules=1]="NoParenthesizerRules",e[e.NoNodeConverters=2]="NoNodeConverters",e[e.NoIndentationOnFreshPropertyAccess=4]="NoIndentationOnFreshPropertyAccess",e[e.NoOriginalNode=8]="NoOriginalNode",e))(gH||{}),vae=[],yae={},Wk=mae(),JTe={createBaseSourceFileNode:e=>vW(Wk.createBaseSourceFileNode(e)),createBaseIdentifierNode:e=>vW(Wk.createBaseIdentifierNode(e)),createBasePrivateIdentifierNode:e=>vW(Wk.createBasePrivateIdentifierNode(e)),createBaseTokenNode:e=>vW(Wk.createBaseTokenNode(e)),createBaseNode:e=>vW(Wk.createBaseNode(e))},w=wk(4,JTe)}});function Ou(e){if(e.emitNode)N.assert(!(e.emitNode.internalFlags&8),"Invalid attempt to mutate an immutable node.");else{if(cC(e)){if(e.kind===312)return e.emitNode={annotatedNodes:[e]};const t=jn(Do(jn(e)))??N.fail("Could not determine parsed source file.");Ou(t).annotatedNodes.push(e)}e.emitNode={}}return e.emitNode}function vH(e){var t,r;const i=(r=(t=jn(Do(e)))==null?void 0:t.emitNode)==null?void 0:r.annotatedNodes;if(i)for(const o of i)o.emitNode=void 0}function Fk(e){const t=Ou(e);return t.flags|=3072,t.leadingComments=void 0,t.trailingComments=void 0,e}function pr(e,t){return Ou(e).flags=t,e}function k_(e,t){const r=Ou(e);return r.flags=r.flags|t,e}function zk(e,t){return Ou(e).internalFlags=t,e}function QA(e,t){const r=Ou(e);return r.internalFlags=r.internalFlags|t,e}function qv(e){var t;return((t=e.emitNode)==null?void 0:t.sourceMapRange)??e}function Pa(e,t){return Ou(e).sourceMapRange=t,e}function XTe(e,t){var r,i;return(i=(r=e.emitNode)==null?void 0:r.tokenSourceMapRanges)==null?void 0:i[t]}function bae(e,t,r){const i=Ou(e),o=i.tokenSourceMapRanges??(i.tokenSourceMapRanges=[]);return o[t]=r,e}function pP(e){var t;return(t=e.emitNode)==null?void 0:t.startsOnNewLine}function bW(e,t){return Ou(e).startsOnNewLine=t,e}function w_(e){var t;return((t=e.emitNode)==null?void 0:t.commentRange)??e}function ac(e,t){return Ou(e).commentRange=t,e}function jR(e){var t;return(t=e.emitNode)==null?void 0:t.leadingComments}function I0(e,t){return Ou(e).leadingComments=t,e}function fP(e,t,r,i){return I0(e,xn(jR(e),{kind:t,pos:-1,end:-1,hasTrailingNewLine:i,text:r}))}function Bk(e){var t;return(t=e.emitNode)==null?void 0:t.trailingComments}function ZA(e,t){return Ou(e).trailingComments=t,e}function EW(e,t,r,i){return ZA(e,xn(Bk(e),{kind:t,pos:-1,end:-1,hasTrailingNewLine:i,text:r}))}function Eae(e,t){I0(e,jR(t)),ZA(e,Bk(t));const r=Ou(t);return r.leadingComments=void 0,r.trailingComments=void 0,e}function Sae(e){var t;return(t=e.emitNode)==null?void 0:t.constantValue}function Tae(e,t){const r=Ou(e);return r.constantValue=t,e}function eI(e,t){const r=Ou(e);return r.helpers=xn(r.helpers,t),e}function Ug(e,t){if(bt(t)){const r=Ou(e);for(const i of t)r.helpers=Mg(r.helpers,i)}return e}function YTe(e,t){var r;const i=(r=e.emitNode)==null?void 0:r.helpers;return i?Gx(i,t):!1}function SW(e){var t;return(t=e.emitNode)==null?void 0:t.helpers}function Aae(e,t,r){const i=e.emitNode,o=i&&i.helpers;if(!bt(o))return;const s=Ou(t);let l=0;for(let u=0;u<o.length;u++){const p=o[u];r(p)?(l++,s.helpers=Mg(s.helpers,p)):l>0&&(o[u-l]=p)}l>0&&(o.length-=l)}function yH(e){var t;return(t=e.emitNode)==null?void 0:t.snippetElement}function bH(e,t){const r=Ou(e);return r.snippetElement=t,e}function EH(e){return Ou(e).internalFlags|=4,e}function Iae(e,t){const r=Ou(e);return r.typeNode=t,e}function xae(e){var t;return(t=e.emitNode)==null?void 0:t.typeNode}function Jv(e,t){return Ou(e).identifierTypeArguments=t,e}function zS(e){var t;return(t=e.emitNode)==null?void 0:t.identifierTypeArguments}function Gk(e,t){return Ou(e).autoGenerate=t,e}function $Te(e){var t;return(t=e.emitNode)==null?void 0:t.autoGenerate}function Rae(e,t){return Ou(e).generatedImportReference=t,e}function Dae(e){var t;return(t=e.emitNode)==null?void 0:t.generatedImportReference}var GGe=T({"src/compiler/factory/emitNode.ts"(){ra()}});function Nae(e){const t=e.factory,r=Td(()=>zk(t.createTrue(),8)),i=Td(()=>zk(t.createFalse(),8));return{getUnscopedHelperName:o,createDecorateHelper:s,createMetadataHelper:l,createParamHelper:u,createESDecorateHelper:M,createRunInitializersHelper:C,createAssignHelper:O,createAwaitHelper:U,createAsyncGeneratorHelper:K,createAsyncDelegatorHelper:Z,createAsyncValuesHelper:V,createRestHelper:se,createAwaiterHelper:G,createExtendsHelper:ne,createTemplateObjectHelper:he,createSpreadArrayHelper:ye,createPropKeyHelper:$,createSetFunctionNameHelper:Y,createValuesHelper:oe,createReadHelper:fe,createGeneratorHelper:Ne,createCreateBindingHelper:pe,createImportStarHelper:ie,createImportStarCallbackHelper:ve,createImportDefaultHelper:Le,createExportStarHelper:He,createClassPrivateFieldGetHelper:Ee,createClassPrivateFieldSetHelper:De,createClassPrivateFieldInHelper:Pe,createAddDisposableResourceHelper:We,createDisposeResourcesHelper:At};function o(Se){return pr(t.createIdentifier(Se),8196)}function s(Se,je,at,Gt){e.requestEmitHelper(TW);const vt=[];return vt.push(t.createArrayLiteralExpression(Se,!0)),vt.push(je),at&&(vt.push(at),Gt&&vt.push(Gt)),t.createCallExpression(o("__decorate"),void 0,vt)}function l(Se,je){return e.requestEmitHelper(AW),t.createCallExpression(o("__metadata"),void 0,[t.createStringLiteral(Se),je])}function u(Se,je,at){return e.requestEmitHelper(IW),dt(t.createCallExpression(o("__param"),void 0,[t.createNumericLiteral(je+""),Se]),at)}function p(Se){const je=[t.createPropertyAssignment(t.createIdentifier("kind"),t.createStringLiteral("class")),t.createPropertyAssignment(t.createIdentifier("name"),Se.name),t.createPropertyAssignment(t.createIdentifier("metadata"),Se.metadata)];return t.createObjectLiteralExpression(je)}function h(Se){const je=Se.computed?t.createElementAccessExpression(t.createIdentifier("obj"),Se.name):t.createPropertyAccessExpression(t.createIdentifier("obj"),Se.name);return t.createPropertyAssignment("get",t.createArrowFunction(void 0,void 0,[t.createParameterDeclaration(void 0,void 0,t.createIdentifier("obj"))],void 0,void 0,je))}function m(Se){const je=Se.computed?t.createElementAccessExpression(t.createIdentifier("obj"),Se.name):t.createPropertyAccessExpression(t.createIdentifier("obj"),Se.name);return t.createPropertyAssignment("set",t.createArrowFunction(void 0,void 0,[t.createParameterDeclaration(void 0,void 0,t.createIdentifier("obj")),t.createParameterDeclaration(void 0,void 0,t.createIdentifier("value"))],void 0,void 0,t.createBlock([t.createExpressionStatement(t.createAssignment(je,t.createIdentifier("value")))])))}function b(Se){const je=Se.computed?Se.name:Ve(Se.name)?t.createStringLiteralFromNode(Se.name):Se.name;return t.createPropertyAssignment("has",t.createArrowFunction(void 0,void 0,[t.createParameterDeclaration(void 0,void 0,t.createIdentifier("obj"))],void 0,void 0,t.createBinaryExpression(je,103,t.createIdentifier("obj"))))}function A(Se,je){const at=[];return at.push(b(Se)),je.get&&at.push(h(Se)),je.set&&at.push(m(Se)),t.createObjectLiteralExpression(at)}function I(Se){const je=[t.createPropertyAssignment(t.createIdentifier("kind"),t.createStringLiteral(Se.kind)),t.createPropertyAssignment(t.createIdentifier("name"),Se.name.computed?Se.name.name:t.createStringLiteralFromNode(Se.name.name)),t.createPropertyAssignment(t.createIdentifier("static"),Se.static?t.createTrue():t.createFalse()),t.createPropertyAssignment(t.createIdentifier("private"),Se.private?t.createTrue():t.createFalse()),t.createPropertyAssignment(t.createIdentifier("access"),A(Se.name,Se.access)),t.createPropertyAssignment(t.createIdentifier("metadata"),Se.metadata)];return t.createObjectLiteralExpression(je)}function R(Se){return Se.kind==="class"?p(Se):I(Se)}function M(Se,je,at,Gt,vt,$e){return e.requestEmitHelper(xW),t.createCallExpression(o("__esDecorate"),void 0,[Se??t.createNull(),je??t.createNull(),at,R(Gt),vt,$e])}function C(Se,je,at){return e.requestEmitHelper(RW),t.createCallExpression(o("__runInitializers"),void 0,at?[Se,je,at]:[Se,je])}function O(Se){return os(e.getCompilerOptions())>=2?t.createCallExpression(t.createPropertyAccessExpression(t.createIdentifier("Object"),"assign"),void 0,Se):(e.requestEmitHelper(DW),t.createCallExpression(o("__assign"),void 0,Se))}function U(Se){return e.requestEmitHelper(tI),t.createCallExpression(o("__await"),void 0,[Se])}function K(Se,je){return e.requestEmitHelper(tI),e.requestEmitHelper(NW),(Se.emitNode||(Se.emitNode={})).flags|=1572864,t.createCallExpression(o("__asyncGenerator"),void 0,[je?t.createThis():t.createVoidZero(),t.createIdentifier("arguments"),Se])}function Z(Se){return e.requestEmitHelper(tI),e.requestEmitHelper(CW),t.createCallExpression(o("__asyncDelegator"),void 0,[Se])}function V(Se){return e.requestEmitHelper(PW),t.createCallExpression(o("__asyncValues"),void 0,[Se])}function se(Se,je,at,Gt){e.requestEmitHelper(MW);const vt=[];let $e=0;for(let It=0;It<je.length-1;It++){const tn=$H(je[It]);if(tn)if(Za(tn)){N.assertIsDefined(at,"Encountered computed property name but 'computedTempVariables' argument was not provided.");const rn=at[$e];$e++,vt.push(t.createConditionalExpression(t.createTypeCheck(rn,"symbol"),void 0,rn,void 0,t.createAdd(rn,t.createStringLiteral(""))))}else vt.push(t.createStringLiteralFromNode(tn))}return t.createCallExpression(o("__rest"),void 0,[Se,dt(t.createArrayLiteralExpression(vt),Gt)])}function G(Se,je,at,Gt,vt){e.requestEmitHelper(LW);const $e=t.createFunctionExpression(void 0,t.createToken(42),void 0,void 0,Gt??[],void 0,vt);return($e.emitNode||($e.emitNode={})).flags|=1572864,t.createCallExpression(o("__awaiter"),void 0,[Se?t.createThis():t.createVoidZero(),je??t.createVoidZero(),at?nw(t,at):t.createVoidZero(),$e])}function ne(Se){return e.requestEmitHelper(kW),t.createCallExpression(o("__extends"),void 0,[Se,t.createUniqueName("_super",48)])}function he(Se,je){return e.requestEmitHelper(wW),t.createCallExpression(o("__makeTemplateObject"),void 0,[Se,je])}function ye(Se,je,at){return e.requestEmitHelper(WW),t.createCallExpression(o("__spreadArray"),void 0,[Se,je,at?r():i()])}function $(Se){return e.requestEmitHelper(FW),t.createCallExpression(o("__propKey"),void 0,[Se])}function Y(Se,je,at){return e.requestEmitHelper(zW),e.factory.createCallExpression(o("__setFunctionName"),void 0,at?[Se,je,e.factory.createStringLiteral(at)]:[Se,je])}function oe(Se){return e.requestEmitHelper(BW),t.createCallExpression(o("__values"),void 0,[Se])}function fe(Se,je){return e.requestEmitHelper(OW),t.createCallExpression(o("__read"),void 0,je!==void 0?[Se,t.createNumericLiteral(je+"")]:[Se])}function Ne(Se){return e.requestEmitHelper(GW),t.createCallExpression(o("__generator"),void 0,[t.createThis(),Se])}function pe(Se,je,at){return e.requestEmitHelper(UR),t.createCallExpression(o("__createBinding"),void 0,[t.createIdentifier("exports"),Se,je,...at?[at]:[]])}function ie(Se){return e.requestEmitHelper(Vk),t.createCallExpression(o("__importStar"),void 0,[Se])}function ve(){return e.requestEmitHelper(Vk),o("__importStar")}function Le(Se){return e.requestEmitHelper(jW),t.createCallExpression(o("__importDefault"),void 0,[Se])}function He(Se,je=t.createIdentifier("exports")){return e.requestEmitHelper(UW),e.requestEmitHelper(UR),t.createCallExpression(o("__exportStar"),void 0,[Se,je])}function Ee(Se,je,at,Gt){e.requestEmitHelper(HW);let vt;return Gt?vt=[Se,je,t.createStringLiteral(at),Gt]:vt=[Se,je,t.createStringLiteral(at)],t.createCallExpression(o("__classPrivateFieldGet"),void 0,vt)}function De(Se,je,at,Gt,vt){e.requestEmitHelper(qW);let $e;return vt?$e=[Se,je,at,t.createStringLiteral(Gt),vt]:$e=[Se,je,at,t.createStringLiteral(Gt)],t.createCallExpression(o("__classPrivateFieldSet"),void 0,$e)}function Pe(Se,je){return e.requestEmitHelper(JW),t.createCallExpression(o("__classPrivateFieldIn"),void 0,[Se,je])}function We(Se,je,at){return e.requestEmitHelper(KW),t.createCallExpression(o("__addDisposableResource"),void 0,[Se,je,at?t.createTrue():t.createFalse()])}function At(Se){return e.requestEmitHelper(XW),t.createCallExpression(o("__disposeResources"),void 0,[Se])}}function Cae(e,t){return e===t||e.priority===t.priority?0:e.priority===void 0?1:t.priority===void 0?-1:rl(e.priority,t.priority)}function SH(e,...t){return r=>{let i="";for(let o=0;o<t.length;o++)i+=e[o],i+=r(t[o]);return i+=e[e.length-1],i}}function TH(){return QTe||(QTe=NE([TW,AW,IW,xW,RW,DW,tI,NW,CW,PW,MW,LW,kW,wW,WW,BW,OW,FW,zW,GW,Vk,jW,UW,HW,qW,JW,UR,VW,KW,XW],e=>e.name))}function mP(e,t){return la(e)&&Ve(e.expression)&&(ja(e.expression)&8192)!==0&&e.expression.escapedText===t}var AH,TW,AW,IW,xW,RW,DW,tI,NW,CW,PW,MW,LW,kW,wW,OW,WW,FW,zW,BW,GW,UR,VW,Vk,jW,UW,HW,qW,JW,KW,XW,QTe,jk,Uk,VGe=T({"src/compiler/factory/emitHelpers.ts"(){ra(),AH=(e=>(e.Field="f",e.Method="m",e.Accessor="a",e))(AH||{}),TW={name:"typescript:decorate",importName:"__decorate",scoped:!1,priority:2,text:`
+ var __decorate = (this && this.__decorate) || function (decorators, target, key, desc) {
+ var c = arguments.length, r = c < 3 ? target : desc === null ? desc = Object.getOwnPropertyDescriptor(target, key) : desc, d;
+ if (typeof Reflect === "object" && typeof Reflect.decorate === "function") r = Reflect.decorate(decorators, target, key, desc);
+ else for (var i = decorators.length - 1; i >= 0; i--) if (d = decorators[i]) r = (c < 3 ? d(r) : c > 3 ? d(target, key, r) : d(target, key)) || r;
+ return c > 3 && r && Object.defineProperty(target, key, r), r;
+ };`},AW={name:"typescript:metadata",importName:"__metadata",scoped:!1,priority:3,text:`
+ var __metadata = (this && this.__metadata) || function (k, v) {
+ if (typeof Reflect === "object" && typeof Reflect.metadata === "function") return Reflect.metadata(k, v);
+ };`},IW={name:"typescript:param",importName:"__param",scoped:!1,priority:4,text:`
+ var __param = (this && this.__param) || function (paramIndex, decorator) {
+ return function (target, key) { decorator(target, key, paramIndex); }
+ };`},xW={name:"typescript:esDecorate",importName:"__esDecorate",scoped:!1,priority:2,text:`
+ var __esDecorate = (this && this.__esDecorate) || function (ctor, descriptorIn, decorators, contextIn, initializers, extraInitializers) {
+ function accept(f) { if (f !== void 0 && typeof f !== "function") throw new TypeError("Function expected"); return f; }
+ var kind = contextIn.kind, key = kind === "getter" ? "get" : kind === "setter" ? "set" : "value";
+ var target = !descriptorIn && ctor ? contextIn["static"] ? ctor : ctor.prototype : null;
+ var descriptor = descriptorIn || (target ? Object.getOwnPropertyDescriptor(target, contextIn.name) : {});
+ var _, done = false;
+ for (var i = decorators.length - 1; i >= 0; i--) {
+ var context = {};
+ for (var p in contextIn) context[p] = p === "access" ? {} : contextIn[p];
+ for (var p in contextIn.access) context.access[p] = contextIn.access[p];
+ context.addInitializer = function (f) { if (done) throw new TypeError("Cannot add initializers after decoration has completed"); extraInitializers.push(accept(f || null)); };
+ var result = (0, decorators[i])(kind === "accessor" ? { get: descriptor.get, set: descriptor.set } : descriptor[key], context);
+ if (kind === "accessor") {
+ if (result === void 0) continue;
+ if (result === null || typeof result !== "object") throw new TypeError("Object expected");
+ if (_ = accept(result.get)) descriptor.get = _;
+ if (_ = accept(result.set)) descriptor.set = _;
+ if (_ = accept(result.init)) initializers.unshift(_);
+ }
+ else if (_ = accept(result)) {
+ if (kind === "field") initializers.unshift(_);
+ else descriptor[key] = _;
+ }
+ }
+ if (target) Object.defineProperty(target, contextIn.name, descriptor);
+ done = true;
+ };`},RW={name:"typescript:runInitializers",importName:"__runInitializers",scoped:!1,priority:2,text:`
+ var __runInitializers = (this && this.__runInitializers) || function (thisArg, initializers, value) {
+ var useValue = arguments.length > 2;
+ for (var i = 0; i < initializers.length; i++) {
+ value = useValue ? initializers[i].call(thisArg, value) : initializers[i].call(thisArg);
+ }
+ return useValue ? value : void 0;
+ };`},DW={name:"typescript:assign",importName:"__assign",scoped:!1,priority:1,text:`
+ var __assign = (this && this.__assign) || function () {
+ __assign = Object.assign || function(t) {
+ for (var s, i = 1, n = arguments.length; i < n; i++) {
+ s = arguments[i];
+ for (var p in s) if (Object.prototype.hasOwnProperty.call(s, p))
+ t[p] = s[p];
+ }
+ return t;
+ };
+ return __assign.apply(this, arguments);
+ };`},tI={name:"typescript:await",importName:"__await",scoped:!1,text:`
+ var __await = (this && this.__await) || function (v) { return this instanceof __await ? (this.v = v, this) : new __await(v); }`},NW={name:"typescript:asyncGenerator",importName:"__asyncGenerator",scoped:!1,dependencies:[tI],text:`
+ var __asyncGenerator = (this && this.__asyncGenerator) || function (thisArg, _arguments, generator) {
+ if (!Symbol.asyncIterator) throw new TypeError("Symbol.asyncIterator is not defined.");
+ var g = generator.apply(thisArg, _arguments || []), i, q = [];
+ return i = {}, verb("next"), verb("throw"), verb("return", awaitReturn), i[Symbol.asyncIterator] = function () { return this; }, i;
+ function awaitReturn(f) { return function (v) { return Promise.resolve(v).then(f, reject); }; }
+ function verb(n, f) { if (g[n]) { i[n] = function (v) { return new Promise(function (a, b) { q.push([n, v, a, b]) > 1 || resume(n, v); }); }; if (f) i[n] = f(i[n]); } }
+ function resume(n, v) { try { step(g[n](v)); } catch (e) { settle(q[0][3], e); } }
+ function step(r) { r.value instanceof __await ? Promise.resolve(r.value.v).then(fulfill, reject) : settle(q[0][2], r); }
+ function fulfill(value) { resume("next", value); }
+ function reject(value) { resume("throw", value); }
+ function settle(f, v) { if (f(v), q.shift(), q.length) resume(q[0][0], q[0][1]); }
+ };`},CW={name:"typescript:asyncDelegator",importName:"__asyncDelegator",scoped:!1,dependencies:[tI],text:`
+ var __asyncDelegator = (this && this.__asyncDelegator) || function (o) {
+ var i, p;
+ return i = {}, verb("next"), verb("throw", function (e) { throw e; }), verb("return"), i[Symbol.iterator] = function () { return this; }, i;
+ function verb(n, f) { i[n] = o[n] ? function (v) { return (p = !p) ? { value: __await(o[n](v)), done: false } : f ? f(v) : v; } : f; }
+ };`},PW={name:"typescript:asyncValues",importName:"__asyncValues",scoped:!1,text:`
+ var __asyncValues = (this && this.__asyncValues) || function (o) {
+ if (!Symbol.asyncIterator) throw new TypeError("Symbol.asyncIterator is not defined.");
+ var m = o[Symbol.asyncIterator], i;
+ return m ? m.call(o) : (o = typeof __values === "function" ? __values(o) : o[Symbol.iterator](), i = {}, verb("next"), verb("throw"), verb("return"), i[Symbol.asyncIterator] = function () { return this; }, i);
+ function verb(n) { i[n] = o[n] && function (v) { return new Promise(function (resolve, reject) { v = o[n](v), settle(resolve, reject, v.done, v.value); }); }; }
+ function settle(resolve, reject, d, v) { Promise.resolve(v).then(function(v) { resolve({ value: v, done: d }); }, reject); }
+ };`},MW={name:"typescript:rest",importName:"__rest",scoped:!1,text:`
+ var __rest = (this && this.__rest) || function (s, e) {
+ var t = {};
+ for (var p in s) if (Object.prototype.hasOwnProperty.call(s, p) && e.indexOf(p) < 0)
+ t[p] = s[p];
+ if (s != null && typeof Object.getOwnPropertySymbols === "function")
+ for (var i = 0, p = Object.getOwnPropertySymbols(s); i < p.length; i++) {
+ if (e.indexOf(p[i]) < 0 && Object.prototype.propertyIsEnumerable.call(s, p[i]))
+ t[p[i]] = s[p[i]];
+ }
+ return t;
+ };`},LW={name:"typescript:awaiter",importName:"__awaiter",scoped:!1,priority:5,text:`
+ var __awaiter = (this && this.__awaiter) || function (thisArg, _arguments, P, generator) {
+ function adopt(value) { return value instanceof P ? value : new P(function (resolve) { resolve(value); }); }
+ return new (P || (P = Promise))(function (resolve, reject) {
+ function fulfilled(value) { try { step(generator.next(value)); } catch (e) { reject(e); } }
+ function rejected(value) { try { step(generator["throw"](value)); } catch (e) { reject(e); } }
+ function step(result) { result.done ? resolve(result.value) : adopt(result.value).then(fulfilled, rejected); }
+ step((generator = generator.apply(thisArg, _arguments || [])).next());
+ });
+ };`},kW={name:"typescript:extends",importName:"__extends",scoped:!1,priority:0,text:`
+ var __extends = (this && this.__extends) || (function () {
+ var extendStatics = function (d, b) {
+ extendStatics = Object.setPrototypeOf ||
+ ({ __proto__: [] } instanceof Array && function (d, b) { d.__proto__ = b; }) ||
+ function (d, b) { for (var p in b) if (Object.prototype.hasOwnProperty.call(b, p)) d[p] = b[p]; };
+ return extendStatics(d, b);
+ };
+
+ return function (d, b) {
+ if (typeof b !== "function" && b !== null)
+ throw new TypeError("Class extends value " + String(b) + " is not a constructor or null");
+ extendStatics(d, b);
+ function __() { this.constructor = d; }
+ d.prototype = b === null ? Object.create(b) : (__.prototype = b.prototype, new __());
+ };
+ })();`},wW={name:"typescript:makeTemplateObject",importName:"__makeTemplateObject",scoped:!1,priority:0,text:`
+ var __makeTemplateObject = (this && this.__makeTemplateObject) || function (cooked, raw) {
+ if (Object.defineProperty) { Object.defineProperty(cooked, "raw", { value: raw }); } else { cooked.raw = raw; }
+ return cooked;
+ };`},OW={name:"typescript:read",importName:"__read",scoped:!1,text:`
+ var __read = (this && this.__read) || function (o, n) {
+ var m = typeof Symbol === "function" && o[Symbol.iterator];
+ if (!m) return o;
+ var i = m.call(o), r, ar = [], e;
+ try {
+ while ((n === void 0 || n-- > 0) && !(r = i.next()).done) ar.push(r.value);
+ }
+ catch (error) { e = { error: error }; }
+ finally {
+ try {
+ if (r && !r.done && (m = i["return"])) m.call(i);
+ }
+ finally { if (e) throw e.error; }
+ }
+ return ar;
+ };`},WW={name:"typescript:spreadArray",importName:"__spreadArray",scoped:!1,text:`
+ var __spreadArray = (this && this.__spreadArray) || function (to, from, pack) {
+ if (pack || arguments.length === 2) for (var i = 0, l = from.length, ar; i < l; i++) {
+ if (ar || !(i in from)) {
+ if (!ar) ar = Array.prototype.slice.call(from, 0, i);
+ ar[i] = from[i];
+ }
+ }
+ return to.concat(ar || Array.prototype.slice.call(from));
+ };`},FW={name:"typescript:propKey",importName:"__propKey",scoped:!1,text:`
+ var __propKey = (this && this.__propKey) || function (x) {
+ return typeof x === "symbol" ? x : "".concat(x);
+ };`},zW={name:"typescript:setFunctionName",importName:"__setFunctionName",scoped:!1,text:`
+ var __setFunctionName = (this && this.__setFunctionName) || function (f, name, prefix) {
+ if (typeof name === "symbol") name = name.description ? "[".concat(name.description, "]") : "";
+ return Object.defineProperty(f, "name", { configurable: true, value: prefix ? "".concat(prefix, " ", name) : name });
+ };`},BW={name:"typescript:values",importName:"__values",scoped:!1,text:`
+ var __values = (this && this.__values) || function(o) {
+ var s = typeof Symbol === "function" && Symbol.iterator, m = s && o[s], i = 0;
+ if (m) return m.call(o);
+ if (o && typeof o.length === "number") return {
+ next: function () {
+ if (o && i >= o.length) o = void 0;
+ return { value: o && o[i++], done: !o };
+ }
+ };
+ throw new TypeError(s ? "Object is not iterable." : "Symbol.iterator is not defined.");
+ };`},GW={name:"typescript:generator",importName:"__generator",scoped:!1,priority:6,text:`
+ var __generator = (this && this.__generator) || function (thisArg, body) {
+ var _ = { label: 0, sent: function() { if (t[0] & 1) throw t[1]; return t[1]; }, trys: [], ops: [] }, f, y, t, g;
+ return g = { next: verb(0), "throw": verb(1), "return": verb(2) }, typeof Symbol === "function" && (g[Symbol.iterator] = function() { return this; }), g;
+ function verb(n) { return function (v) { return step([n, v]); }; }
+ function step(op) {
+ if (f) throw new TypeError("Generator is already executing.");
+ while (g && (g = 0, op[0] && (_ = 0)), _) try {
+ if (f = 1, y && (t = op[0] & 2 ? y["return"] : op[0] ? y["throw"] || ((t = y["return"]) && t.call(y), 0) : y.next) && !(t = t.call(y, op[1])).done) return t;
+ if (y = 0, t) op = [op[0] & 2, t.value];
+ switch (op[0]) {
+ case 0: case 1: t = op; break;
+ case 4: _.label++; return { value: op[1], done: false };
+ case 5: _.label++; y = op[1]; op = [0]; continue;
+ case 7: op = _.ops.pop(); _.trys.pop(); continue;
+ default:
+ if (!(t = _.trys, t = t.length > 0 && t[t.length - 1]) && (op[0] === 6 || op[0] === 2)) { _ = 0; continue; }
+ if (op[0] === 3 && (!t || (op[1] > t[0] && op[1] < t[3]))) { _.label = op[1]; break; }
+ if (op[0] === 6 && _.label < t[1]) { _.label = t[1]; t = op; break; }
+ if (t && _.label < t[2]) { _.label = t[2]; _.ops.push(op); break; }
+ if (t[2]) _.ops.pop();
+ _.trys.pop(); continue;
+ }
+ op = body.call(thisArg, _);
+ } catch (e) { op = [6, e]; y = 0; } finally { f = t = 0; }
+ if (op[0] & 5) throw op[1]; return { value: op[0] ? op[1] : void 0, done: true };
+ }
+ };`},UR={name:"typescript:commonjscreatebinding",importName:"__createBinding",scoped:!1,priority:1,text:`
+ var __createBinding = (this && this.__createBinding) || (Object.create ? (function(o, m, k, k2) {
+ if (k2 === undefined) k2 = k;
+ var desc = Object.getOwnPropertyDescriptor(m, k);
+ if (!desc || ("get" in desc ? !m.__esModule : desc.writable || desc.configurable)) {
+ desc = { enumerable: true, get: function() { return m[k]; } };
+ }
+ Object.defineProperty(o, k2, desc);
+ }) : (function(o, m, k, k2) {
+ if (k2 === undefined) k2 = k;
+ o[k2] = m[k];
+ }));`},VW={name:"typescript:commonjscreatevalue",importName:"__setModuleDefault",scoped:!1,priority:1,text:`
+ var __setModuleDefault = (this && this.__setModuleDefault) || (Object.create ? (function(o, v) {
+ Object.defineProperty(o, "default", { enumerable: true, value: v });
+ }) : function(o, v) {
+ o["default"] = v;
+ });`},Vk={name:"typescript:commonjsimportstar",importName:"__importStar",scoped:!1,dependencies:[UR,VW],priority:2,text:`
+ var __importStar = (this && this.__importStar) || function (mod) {
+ if (mod && mod.__esModule) return mod;
+ var result = {};
+ if (mod != null) for (var k in mod) if (k !== "default" && Object.prototype.hasOwnProperty.call(mod, k)) __createBinding(result, mod, k);
+ __setModuleDefault(result, mod);
+ return result;
+ };`},jW={name:"typescript:commonjsimportdefault",importName:"__importDefault",scoped:!1,text:`
+ var __importDefault = (this && this.__importDefault) || function (mod) {
+ return (mod && mod.__esModule) ? mod : { "default": mod };
+ };`},UW={name:"typescript:export-star",importName:"__exportStar",scoped:!1,dependencies:[UR],priority:2,text:`
+ var __exportStar = (this && this.__exportStar) || function(m, exports) {
+ for (var p in m) if (p !== "default" && !Object.prototype.hasOwnProperty.call(exports, p)) __createBinding(exports, m, p);
+ };`},HW={name:"typescript:classPrivateFieldGet",importName:"__classPrivateFieldGet",scoped:!1,text:`
+ var __classPrivateFieldGet = (this && this.__classPrivateFieldGet) || function (receiver, state, kind, f) {
+ if (kind === "a" && !f) throw new TypeError("Private accessor was defined without a getter");
+ if (typeof state === "function" ? receiver !== state || !f : !state.has(receiver)) throw new TypeError("Cannot read private member from an object whose class did not declare it");
+ return kind === "m" ? f : kind === "a" ? f.call(receiver) : f ? f.value : state.get(receiver);
+ };`},qW={name:"typescript:classPrivateFieldSet",importName:"__classPrivateFieldSet",scoped:!1,text:`
+ var __classPrivateFieldSet = (this && this.__classPrivateFieldSet) || function (receiver, state, value, kind, f) {
+ if (kind === "m") throw new TypeError("Private method is not writable");
+ if (kind === "a" && !f) throw new TypeError("Private accessor was defined without a setter");
+ if (typeof state === "function" ? receiver !== state || !f : !state.has(receiver)) throw new TypeError("Cannot write private member to an object whose class did not declare it");
+ return (kind === "a" ? f.call(receiver, value) : f ? f.value = value : state.set(receiver, value)), value;
+ };`},JW={name:"typescript:classPrivateFieldIn",importName:"__classPrivateFieldIn",scoped:!1,text:`
+ var __classPrivateFieldIn = (this && this.__classPrivateFieldIn) || function(state, receiver) {
+ if (receiver === null || (typeof receiver !== "object" && typeof receiver !== "function")) throw new TypeError("Cannot use 'in' operator on non-object");
+ return typeof state === "function" ? receiver === state : state.has(receiver);
+ };`},KW={name:"typescript:addDisposableResource",importName:"__addDisposableResource",scoped:!1,text:`
+ var __addDisposableResource = (this && this.__addDisposableResource) || function (env, value, async) {
+ if (value !== null && value !== void 0) {
+ if (typeof value !== "object" && typeof value !== "function") throw new TypeError("Object expected.");
+ var dispose;
+ if (async) {
+ if (!Symbol.asyncDispose) throw new TypeError("Symbol.asyncDispose is not defined.");
+ dispose = value[Symbol.asyncDispose];
+ }
+ if (dispose === void 0) {
+ if (!Symbol.dispose) throw new TypeError("Symbol.dispose is not defined.");
+ dispose = value[Symbol.dispose];
+ }
+ if (typeof dispose !== "function") throw new TypeError("Object not disposable.");
+ env.stack.push({ value: value, dispose: dispose, async: async });
+ }
+ else if (async) {
+ env.stack.push({ async: true });
+ }
+ return value;
+ };`},XW={name:"typescript:disposeResources",importName:"__disposeResources",scoped:!1,text:`
+ var __disposeResources = (this && this.__disposeResources) || (function (SuppressedError) {
+ return function (env) {
+ function fail(e) {
+ env.error = env.hasError ? new SuppressedError(e, env.error, "An error was suppressed during disposal.") : e;
+ env.hasError = true;
+ }
+ function next() {
+ while (env.stack.length) {
+ var rec = env.stack.pop();
+ try {
+ var result = rec.dispose && rec.dispose.call(rec.value);
+ if (rec.async) return Promise.resolve(result).then(next, function(e) { fail(e); return next(); });
+ }
+ catch (e) {
+ fail(e);
+ }
+ }
+ if (env.hasError) throw env.error;
+ }
+ return next();
+ };
+ })(typeof SuppressedError === "function" ? SuppressedError : function (error, suppressed, message) {
+ var e = new Error(message);
+ return e.name = "SuppressedError", e.error = error, e.suppressed = suppressed, e;
+ });`},jk={name:"typescript:async-super",scoped:!0,text:SH`
+ const ${"_superIndex"} = name => super[name];`},Uk={name:"typescript:advanced-async-super",scoped:!0,text:SH`
+ const ${"_superIndex"} = (function (geti, seti) {
+ const cache = Object.create(null);
+ return name => cache[name] || (cache[name] = { get value() { return geti(name); }, set value(v) { seti(name, v); } });
+ })(name => super[name], (name, value) => super[name] = value);`}}});function vp(e){return e.kind===9}function YW(e){return e.kind===10}function Ma(e){return e.kind===11}function nI(e){return e.kind===12}function IH(e){return e.kind===14}function rI(e){return e.kind===15}function iI(e){return e.kind===16}function xH(e){return e.kind===17}function $W(e){return e.kind===18}function QW(e){return e.kind===26}function Pae(e){return e.kind===28}function RH(e){return e.kind===40}function DH(e){return e.kind===41}function Hk(e){return e.kind===42}function qk(e){return e.kind===54}function $y(e){return e.kind===58}function Mae(e){return e.kind===59}function ZW(e){return e.kind===29}function Lae(e){return e.kind===39}function Ve(e){return e.kind===80}function Ji(e){return e.kind===81}function oI(e){return e.kind===95}function eF(e){return e.kind===90}function _P(e){return e.kind===134}function kae(e){return e.kind===131}function NH(e){return e.kind===135}function wae(e){return e.kind===148}function aI(e){return e.kind===126}function Oae(e){return e.kind===128}function Wae(e){return e.kind===164}function Fae(e){return e.kind===129}function hP(e){return e.kind===108}function gP(e){return e.kind===102}function zae(e){return e.kind===84}function xd(e){return e.kind===166}function Za(e){return e.kind===167}function gl(e){return e.kind===168}function Ao(e){return e.kind===169}function Eu(e){return e.kind===170}function yp(e){return e.kind===171}function Jo(e){return e.kind===172}function bh(e){return e.kind===173}function ql(e){return e.kind===174}function Rl(e){return e.kind===175}function Ml(e){return e.kind===176}function rf(e){return e.kind===177}function bp(e){return e.kind===178}function sI(e){return e.kind===179}function Jk(e){return e.kind===180}function t1(e){return e.kind===181}function Kk(e){return e.kind===182}function Cf(e){return e.kind===183}function Eh(e){return e.kind===184}function HR(e){return e.kind===185}function lI(e){return e.kind===186}function Ep(e){return e.kind===187}function Xk(e){return e.kind===188}function cI(e){return e.kind===189}function qR(e){return e.kind===202}function tF(e){return e.kind===190}function nF(e){return e.kind===191}function Qy(e){return e.kind===192}function uI(e){return e.kind===193}function dI(e){return e.kind===194}function BS(e){return e.kind===195}function GS(e){return e.kind===196}function Yk(e){return e.kind===197}function VS(e){return e.kind===198}function jS(e){return e.kind===199}function JR(e){return e.kind===200}function Zy(e){return e.kind===201}function ug(e){return e.kind===205}function CH(e){return e.kind===204}function Bae(e){return e.kind===203}function am(e){return e.kind===206}function n1(e){return e.kind===207}function Qa(e){return e.kind===208}function _d(e){return e.kind===209}function Oa(e){return e.kind===210}function Fr(e){return e.kind===211}function Qs(e){return e.kind===212}function la(e){return e.kind===213}function r1(e){return e.kind===214}function i1(e){return e.kind===215}function Gae(e){return e.kind===216}function Gd(e){return e.kind===217}function Os(e){return e.kind===218}function Gs(e){return e.kind===219}function Vae(e){return e.kind===220}function KR(e){return e.kind===221}function pI(e){return e.kind===222}function eb(e){return e.kind===223}function tb(e){return e.kind===224}function PH(e){return e.kind===225}function mr(e){return e.kind===226}function XR(e){return e.kind===227}function rF(e){return e.kind===228}function iF(e){return e.kind===229}function e_(e){return e.kind===230}function Zc(e){return e.kind===231}function jc(e){return e.kind===232}function Kv(e){return e.kind===233}function $k(e){return e.kind===234}function MH(e){return e.kind===238}function fI(e){return e.kind===235}function vP(e){return e.kind===236}function ZTe(e){return e.kind===237}function oF(e){return e.kind===360}function yP(e){return e.kind===361}function bP(e){return e.kind===239}function jae(e){return e.kind===240}function Xo(e){return e.kind===241}function Ll(e){return e.kind===243}function LH(e){return e.kind===242}function eu(e){return e.kind===244}function US(e){return e.kind===245}function eAe(e){return e.kind===246}function tAe(e){return e.kind===247}function HS(e){return e.kind===248}function aF(e){return e.kind===249}function Qk(e){return e.kind===250}function nAe(e){return e.kind===251}function rAe(e){return e.kind===252}function Dm(e){return e.kind===253}function Uae(e){return e.kind===254}function EP(e){return e.kind===255}function o1(e){return e.kind===256}function kH(e){return e.kind===257}function qS(e){return e.kind===258}function iAe(e){return e.kind===259}function wi(e){return e.kind===260}function Uc(e){return e.kind===261}function Ac(e){return e.kind===262}function Ic(e){return e.kind===263}function hd(e){return e.kind===264}function Nm(e){return e.kind===265}function x0(e){return e.kind===266}function Xl(e){return e.kind===267}function O_(e){return e.kind===268}function SP(e){return e.kind===269}function Zk(e){return e.kind===270}function tu(e){return e.kind===271}function kc(e){return e.kind===272}function Sh(e){return e.kind===273}function oAe(e){return e.kind===302}function Hae(e){return e.kind===300}function aAe(e){return e.kind===301}function mI(e){return e.kind===300}function qae(e){return e.kind===301}function nb(e){return e.kind===274}function Th(e){return e.kind===280}function Hg(e){return e.kind===275}function np(e){return e.kind===276}function kl(e){return e.kind===277}function Yl(e){return e.kind===278}function Pf(e){return e.kind===279}function Ku(e){return e.kind===281}function sAe(e){return e.kind===282}function wH(e){return e.kind===359}function _I(e){return e.kind===362}function Ah(e){return e.kind===283}function dg(e){return e.kind===284}function JS(e){return e.kind===285}function W_(e){return e.kind===286}function a1(e){return e.kind===287}function s1(e){return e.kind===288}function hI(e){return e.kind===289}function Jae(e){return e.kind===290}function F_(e){return e.kind===291}function l1(e){return e.kind===292}function gI(e){return e.kind===293}function TP(e){return e.kind===294}function t_(e){return e.kind===295}function YR(e){return e.kind===296}function AP(e){return e.kind===297}function of(e){return e.kind===298}function c1(e){return e.kind===299}function hc(e){return e.kind===303}function rp(e){return e.kind===304}function Xv(e){return e.kind===305}function u1(e){return e.kind===306}function Kae(e){return e.kind===308}function $i(e){return e.kind===312}function OH(e){return e.kind===313}function KS(e){return e.kind===314}function d1(e){return e.kind===316}function IP(e){return e.kind===317}function R0(e){return e.kind===318}function Xae(e){return e.kind===331}function Yae(e){return e.kind===332}function lAe(e){return e.kind===333}function $ae(e){return e.kind===319}function Qae(e){return e.kind===320}function $R(e){return e.kind===321}function sF(e){return e.kind===322}function WH(e){return e.kind===323}function QR(e){return e.kind===324}function lF(e){return e.kind===325}function cAe(e){return e.kind===326}function n_(e){return e.kind===327}function XS(e){return e.kind===329}function D0(e){return e.kind===330}function vI(e){return e.kind===335}function uAe(e){return e.kind===337}function Zae(e){return e.kind===339}function FH(e){return e.kind===345}function zH(e){return e.kind===340}function BH(e){return e.kind===341}function GH(e){return e.kind===342}function VH(e){return e.kind===343}function cF(e){return e.kind===344}function ZR(e){return e.kind===346}function jH(e){return e.kind===338}function dAe(e){return e.kind===354}function ew(e){return e.kind===347}function r_(e){return e.kind===348}function uF(e){return e.kind===349}function UH(e){return e.kind===350}function xP(e){return e.kind===351}function sm(e){return e.kind===352}function YS(e){return e.kind===353}function pAe(e){return e.kind===334}function ese(e){return e.kind===355}function dF(e){return e.kind===336}function pF(e){return e.kind===357}function fAe(e){return e.kind===356}function eD(e){return e.kind===358}var jGe=T({"src/compiler/factory/nodeTests.ts"(){ra()}});function tw(e){return e.createExportDeclaration(void 0,!1,e.createNamedExports([]),void 0)}function $S(e,t,r,i){if(Za(r))return dt(e.createElementAccessExpression(t,r.expression),i);{const o=dt(Qh(r)?e.createPropertyAccessExpression(t,r):e.createElementAccessExpression(t,r),r);return k_(o,128),o}}function tse(e,t){const r=Ih.createIdentifier(e||"React");return qa(r,Do(t)),r}function nse(e,t,r){if(xd(t)){const i=nse(e,t.left,r),o=e.createIdentifier(Sr(t.right));return o.escapedText=t.right.escapedText,e.createPropertyAccessExpression(i,o)}else return tse(Sr(t),r)}function HH(e,t,r,i){return t?nse(e,t,i):e.createPropertyAccessExpression(tse(r,i),"createElement")}function UGe(e,t,r,i){return t?nse(e,t,i):e.createPropertyAccessExpression(tse(r,i),"Fragment")}function rse(e,t,r,i,o,s){const l=[r];if(i&&l.push(i),o&&o.length>0)if(i||l.push(e.createNull()),o.length>1)for(const u of o)Xu(u),l.push(u);else l.push(o[0]);return dt(e.createCallExpression(t,void 0,l),s)}function ise(e,t,r,i,o,s,l){const p=[UGe(e,r,i,s),e.createNull()];if(o&&o.length>0)if(o.length>1)for(const h of o)Xu(h),p.push(h);else p.push(o[0]);return dt(e.createCallExpression(HH(e,t,i,s),void 0,p),l)}function qH(e,t,r){if(Uc(t)){const i=Ha(t.declarations),o=e.updateVariableDeclaration(i,i.name,void 0,void 0,r);return dt(e.createVariableStatement(void 0,e.updateVariableDeclarationList(t,[o])),t)}else{const i=dt(e.createAssignment(t,r),t);return dt(e.createExpressionStatement(i),t)}}function mAe(e,t,r){return Xo(t)?e.updateBlock(t,dt(e.createNodeArray([r,...t.statements]),t.statements)):e.createBlock(e.createNodeArray([t,r]),!0)}function nw(e,t){if(xd(t)){const r=nw(e,t.left),i=qa(dt(e.cloneNode(t.right),t.right),t.right.parent);return dt(e.createPropertyAccessExpression(r,i),t)}else return qa(dt(e.cloneNode(t),t),t.parent)}function JH(e,t){return Ve(t)?e.createStringLiteralFromNode(t):Za(t)?qa(dt(e.cloneNode(t.expression),t.expression),t.expression.parent):qa(dt(e.cloneNode(t),t),t.parent)}function HGe(e,t,r,i,o){const{firstAccessor:s,getAccessor:l,setAccessor:u}=wS(t,r);if(r===s)return dt(e.createObjectDefinePropertyCall(i,JH(e,r.name),e.createPropertyDescriptor({enumerable:e.createFalse(),configurable:!0,get:l&&dt(Cr(e.createFunctionExpression(ME(l),void 0,void 0,void 0,l.parameters,void 0,l.body),l),l),set:u&&dt(Cr(e.createFunctionExpression(ME(u),void 0,void 0,void 0,u.parameters,void 0,u.body),u),u)},!o)),s)}function qGe(e,t,r){return Cr(dt(e.createAssignment($S(e,r,t.name,t.name),t.initializer),t),t)}function JGe(e,t,r){return Cr(dt(e.createAssignment($S(e,r,t.name,t.name),e.cloneNode(t.name)),t),t)}function KGe(e,t,r){return Cr(dt(e.createAssignment($S(e,r,t.name,t.name),Cr(dt(e.createFunctionExpression(ME(t),t.asteriskToken,void 0,void 0,t.parameters,void 0,t.body),t),t)),t),t)}function ose(e,t,r,i){switch(r.name&&Ji(r.name)&&N.failBadSyntaxKind(r.name,"Private identifiers are not allowed in object literals."),r.kind){case 177:case 178:return HGe(e,t.properties,r,i,!!t.multiLine);case 303:return qGe(e,r,i);case 304:return JGe(e,r,i);case 174:return KGe(e,r,i)}}function fF(e,t,r,i,o){const s=t.operator;N.assert(s===46||s===47,"Expected 'node' to be a pre- or post-increment or pre- or post-decrement expression");const l=e.createTempVariable(i);r=e.createAssignment(l,r),dt(r,t.operand);let u=tb(t)?e.createPrefixUnaryExpression(s,l):e.createPostfixUnaryExpression(l,s);return dt(u,t),o&&(u=e.createAssignment(o,u),dt(u,t)),r=e.createComma(r,u),dt(r,t),PH(t)&&(r=e.createComma(r,l),dt(r,t)),r}function KH(e){return(ja(e)&65536)!==0}function qg(e){return(ja(e)&32768)!==0}function mF(e){return(ja(e)&16384)!==0}function _Ae(e){return Ma(e.expression)&&e.expression.text==="use strict"}function XH(e){for(const t of e)if(Im(t)){if(_Ae(t))return t}else break}function ase(e){const t=Xc(e);return t!==void 0&&Im(t)&&_Ae(t)}function rw(e){return e.kind===226&&e.operatorToken.kind===28}function RP(e){return rw(e)||yP(e)}function tD(e){return Gd(e)&&lr(e)&&!!d0(e)}function _F(e){const t=p0(e);return N.assertIsDefined(t),t}function hF(e,t=15){switch(e.kind){case 217:return t&16&&tD(e)?!1:(t&1)!==0;case 216:case 234:case 233:case 238:return(t&2)!==0;case 235:return(t&4)!==0;case 360:return(t&8)!==0}return!1}function $l(e,t=15){for(;hF(e,t);)e=e.expression;return e}function sse(e,t=15){let r=e.parent;for(;hF(r,t);)r=r.parent,N.assert(r);return r}function hAe(e){return $l(e,6)}function Xu(e){return bW(e,!0)}function iw(e){const t=Pl(e,$i),r=t&&t.emitNode;return r&&r.externalHelpersModuleName}function lse(e){const t=Pl(e,$i),r=t&&t.emitNode;return!!r&&(!!r.externalHelpersModuleName||!!r.externalHelpers)}function YH(e,t,r,i,o,s,l){if(i.importHelpers&&wA(r,i)){let u;const p=wu(i);if(p>=5&&p<=99||r.impliedNodeFormat===99){const h=SW(r);if(h){const m=[];for(const b of h)if(!b.scoped){const A=b.importName;A&&Tf(m,A)}if(bt(m)){m.sort(Uu),u=e.createNamedImports(wt(m,I=>V4(r,I)?e.createImportSpecifier(!1,void 0,e.createIdentifier(I)):e.createImportSpecifier(!1,e.createIdentifier(I),t.getUnscopedHelperName(I))));const b=Pl(r,$i),A=Ou(b);A.externalHelpers=!0}}}else{const h=cse(e,r,i,o,s||l);h&&(u=e.createNamespaceImport(h))}if(u){const h=e.createImportDeclaration(void 0,e.createImportClause(!1,void 0,u),e.createStringLiteral(Ky),void 0);return QA(h,2),h}}}function cse(e,t,r,i,o){if(r.importHelpers&&wA(t,r)){const s=iw(t);if(s)return s;const l=wu(r);let u=(i||yh(r)&&o)&&l!==4&&(l<5||t.impliedNodeFormat===1);if(!u){const p=SW(t);if(p){for(const h of p)if(!h.scoped){u=!0;break}}}if(u){const p=Pl(t,$i),h=Ou(p);return h.externalHelpersModuleName||(h.externalHelpersModuleName=e.createUniqueName(Ky))}}}function nD(e,t,r){const i=bR(t);if(i&&!WA(t)&&!U4(t)){const o=i.name;return sl(o)?o:e.createIdentifier(OE(r,o)||Sr(o))}if(t.kind===272&&t.importClause||t.kind===278&&t.moduleSpecifier)return e.getGeneratedNameForNode(t)}function yI(e,t,r,i,o,s){const l=yR(t);if(l&&Ma(l))return YGe(t,i,e,o,s)||XGe(e,l,r)||e.cloneNode(l)}function XGe(e,t,r){const i=r.renamedDependencies&&r.renamedDependencies.get(t.text);return i?e.createStringLiteral(i):void 0}function ow(e,t,r,i){if(t){if(t.moduleName)return e.createStringLiteral(t.moduleName);if(!t.isDeclarationFile&&Ps(i))return e.createStringLiteral(fU(r,t.fileName))}}function YGe(e,t,r,i,o){return ow(r,i.getExternalModuleFileFromDeclaration(e),t,o)}function aw(e){if(gL(e))return e.initializer;if(hc(e)){const t=e.initializer;return Lc(t,!0)?t.right:void 0}if(rp(e))return e.objectAssignmentInitializer;if(Lc(e,!0))return e.right;if(e_(e))return aw(e.expression)}function rb(e){if(gL(e))return e.name;if(Wg(e)){switch(e.kind){case 303:return rb(e.initializer);case 304:return e.name;case 305:return rb(e.expression)}return}return Lc(e,!0)?rb(e.left):e_(e)?rb(e.expression):e}function gF(e){switch(e.kind){case 169:case 208:return e.dotDotDotToken;case 230:case 305:return e}}function $H(e){const t=vF(e);return N.assert(!!t||Xv(e),"Invalid property name for binding element."),t}function vF(e){switch(e.kind){case 208:if(e.propertyName){const r=e.propertyName;return Ji(r)?N.failBadSyntaxKind(r):Za(r)&&gAe(r.expression)?r.expression:r}break;case 303:if(e.name){const r=e.name;return Ji(r)?N.failBadSyntaxKind(r):Za(r)&&gAe(r.expression)?r.expression:r}break;case 305:return e.name&&Ji(e.name)?N.failBadSyntaxKind(e.name):e.name}const t=rb(e);if(t&&oc(t))return t}function gAe(e){const t=e.kind;return t===11||t===9}function rD(e){switch(e.kind){case 206:case 207:case 209:return e.elements;case 210:return e.properties}}function QH(e){if(e){let t=e;for(;;){if(Ve(t)||!t.body)return Ve(t)?t:t.name;t=t.body}}}function vAe(e){const t=e.kind;return t===176||t===178}function use(e){const t=e.kind;return t===176||t===177||t===178}function ZH(e){const t=e.kind;return t===303||t===304||t===262||t===176||t===181||t===175||t===282||t===243||t===264||t===265||t===266||t===267||t===271||t===272||t===270||t===278||t===277}function dse(e){const t=e.kind;return t===175||t===303||t===304||t===282||t===270}function pse(e){return $y(e)||qk(e)}function fse(e){return Ve(e)||Yk(e)}function mse(e){return wae(e)||RH(e)||DH(e)}function _se(e){return $y(e)||RH(e)||DH(e)}function hse(e){return Ve(e)||Ma(e)}function yAe(e){const t=e.kind;return t===106||t===112||t===97||kE(e)||tb(e)}function $Ge(e){return e===43}function QGe(e){return e===42||e===44||e===45}function ZGe(e){return $Ge(e)||QGe(e)}function eVe(e){return e===40||e===41}function tVe(e){return eVe(e)||ZGe(e)}function nVe(e){return e===48||e===49||e===50}function eq(e){return nVe(e)||tVe(e)}function rVe(e){return e===30||e===33||e===32||e===34||e===104||e===103}function iVe(e){return rVe(e)||eq(e)}function oVe(e){return e===35||e===37||e===36||e===38}function aVe(e){return oVe(e)||iVe(e)}function sVe(e){return e===51||e===52||e===53}function lVe(e){return sVe(e)||aVe(e)}function cVe(e){return e===56||e===57}function uVe(e){return cVe(e)||lVe(e)}function dVe(e){return e===61||uVe(e)||Vv(e)}function pVe(e){return dVe(e)||e===28}function gse(e){return pVe(e.kind)}function yF(e,t,r,i,o,s){const l=new SAe(e,t,r,i,o,s);return u;function u(p,h){const m={value:void 0},b=[nq.enter],A=[p],I=[void 0];let R=0;for(;b[R]!==nq.done;)R=b[R](l,R,b,A,I,m,h);return N.assertEqual(R,0),m.value}}function bAe(e){return e===95||e===90}function sw(e){const t=e.kind;return bAe(t)}function EAe(e){const t=e.kind;return Wv(t)&&!bAe(t)}function vse(e,t){if(t!==void 0)return t.length===0?t:dt(e.createNodeArray([],t.hasTrailingComma),t)}function lw(e){var t;const r=e.emitNode.autoGenerate;if(r.flags&4){const i=r.id;let o=e,s=o.original;for(;s;){o=s;const l=(t=o.emitNode)==null?void 0:t.autoGenerate;if(Qh(o)&&(l===void 0||l.flags&4&&l.id!==i))break;s=o.original}return o}return e}function iD(e,t){return typeof e=="object"?N0(!1,e.prefix,e.node,e.suffix,t):typeof e=="string"?e.length>0&&e.charCodeAt(0)===35?e.slice(1):e:""}function fVe(e,t){return typeof e=="string"?e:mVe(e,N.checkDefined(t))}function mVe(e,t){return gS(e)?t(e).slice(1):sl(e)?t(e):Ji(e)?e.escapedText.slice(1):Sr(e)}function N0(e,t,r,i,o){return t=iD(t,o),i=iD(i,o),r=fVe(r,o),`${e?"#":""}${t}${r}${i}`}function tq(e,t,r,i){return e.updatePropertyDeclaration(t,r,e.getGeneratedPrivateNameForNode(t.name,void 0,"_accessor_storage"),void 0,void 0,i)}function yse(e,t,r,i,o=e.createThis()){return e.createGetAccessorDeclaration(r,i,[],void 0,e.createBlock([e.createReturnStatement(e.createPropertyAccessExpression(o,e.getGeneratedPrivateNameForNode(t.name,void 0,"_accessor_storage")))]))}function bse(e,t,r,i,o=e.createThis()){return e.createSetAccessorDeclaration(r,i,[e.createParameterDeclaration(void 0,void 0,"value")],e.createBlock([e.createExpressionStatement(e.createAssignment(e.createPropertyAccessExpression(o,e.getGeneratedPrivateNameForNode(t.name,void 0,"_accessor_storage")),e.createIdentifier("value")))]))}function bF(e){let t=e.expression;for(;;){if(t=$l(t),yP(t)){t=Ya(t.elements);continue}if(rw(t)){t=t.right;continue}if(Lc(t,!0)&&sl(t.left))return t;break}}function _Ve(e){return Gd(e)&&$s(e)&&!e.emitNode}function EF(e,t){if(_Ve(e))EF(e.expression,t);else if(rw(e))EF(e.left,t),EF(e.right,t);else if(yP(e))for(const r of e.elements)EF(r,t);else t.push(e)}function Ese(e){const t=[];return EF(e,t),t}function cw(e){if(e.transformFlags&65536)return!0;if(e.transformFlags&128)for(const t of rD(e)){const r=rb(t);if(r&&gC(r)&&(r.transformFlags&65536||r.transformFlags&128&&cw(r)))return!0}return!1}var nq,SAe,hVe=T({"src/compiler/factory/utilities.ts"(){ra(),(e=>{function t(m,b,A,I,R,M,C){const O=b>0?R[b-1]:void 0;return N.assertEqual(A[b],t),R[b]=m.onEnter(I[b],O,C),A[b]=u(m,t),b}e.enter=t;function r(m,b,A,I,R,M,C){N.assertEqual(A[b],r),N.assertIsDefined(m.onLeft),A[b]=u(m,r);const O=m.onLeft(I[b].left,R[b],I[b]);return O?(h(b,I,O),p(b,A,I,R,O)):b}e.left=r;function i(m,b,A,I,R,M,C){return N.assertEqual(A[b],i),N.assertIsDefined(m.onOperator),A[b]=u(m,i),m.onOperator(I[b].operatorToken,R[b],I[b]),b}e.operator=i;function o(m,b,A,I,R,M,C){N.assertEqual(A[b],o),N.assertIsDefined(m.onRight),A[b]=u(m,o);const O=m.onRight(I[b].right,R[b],I[b]);return O?(h(b,I,O),p(b,A,I,R,O)):b}e.right=o;function s(m,b,A,I,R,M,C){N.assertEqual(A[b],s),A[b]=u(m,s);const O=m.onExit(I[b],R[b]);if(b>0){if(b--,m.foldState){const U=A[b]===s?"right":"left";R[b]=m.foldState(R[b],O,U)}}else M.value=O;return b}e.exit=s;function l(m,b,A,I,R,M,C){return N.assertEqual(A[b],l),b}e.done=l;function u(m,b){switch(b){case t:if(m.onLeft)return r;case r:if(m.onOperator)return i;case i:if(m.onRight)return o;case o:return s;case s:return l;case l:return l;default:N.fail("Invalid state")}}e.nextState=u;function p(m,b,A,I,R){return m++,b[m]=t,A[m]=R,I[m]=void 0,m}function h(m,b,A){if(N.shouldAssert(2))for(;m>=0;)N.assert(b[m]!==A,"Circular traversal detected."),m--}})(nq||(nq={})),SAe=class{constructor(e,t,r,i,o,s){this.onEnter=e,this.onLeft=t,this.onOperator=r,this.onRight=i,this.onExit=o,this.foldState=s}}}});function dt(e,t){return t?vh(e,t.pos,t.end):e}function Cm(e){const t=e.kind;return t===168||t===169||t===171||t===172||t===173||t===174||t===176||t===177||t===178||t===181||t===185||t===218||t===219||t===231||t===243||t===262||t===263||t===264||t===265||t===266||t===267||t===271||t===272||t===277||t===278}function QS(e){const t=e.kind;return t===169||t===172||t===174||t===177||t===178||t===231||t===263}var gVe=T({"src/compiler/factory/utilitiesPublic.ts"(){ra()}});function Yt(e,t){return t&&e(t)}function Gi(e,t,r){if(r){if(t)return t(r);for(const i of r){const o=e(i);if(o)return o}}}function rq(e,t){return e.charCodeAt(t+1)===42&&e.charCodeAt(t+2)===42&&e.charCodeAt(t+3)!==47}function uw(e){return Ue(e.statements,vVe)||yVe(e)}function vVe(e){return Cm(e)&&bVe(e,95)||tu(e)&&Ah(e.moduleReference)||kc(e)||kl(e)||Yl(e)?e:void 0}function yVe(e){return e.flags&8388608?TAe(e):void 0}function TAe(e){return EVe(e)?e:Ho(e,TAe)}function bVe(e,t){return bt(e.modifiers,r=>r.kind===t)}function EVe(e){return vP(e)&&e.keywordToken===102&&e.name.escapedText==="meta"}function AAe(e,t,r){return Gi(t,r,e.typeParameters)||Gi(t,r,e.parameters)||Yt(t,e.type)}function IAe(e,t,r){return Gi(t,r,e.types)}function xAe(e,t,r){return Yt(t,e.type)}function RAe(e,t,r){return Gi(t,r,e.elements)}function DAe(e,t,r){return Yt(t,e.expression)||Yt(t,e.questionDotToken)||Gi(t,r,e.typeArguments)||Gi(t,r,e.arguments)}function NAe(e,t,r){return Gi(t,r,e.statements)}function CAe(e,t,r){return Yt(t,e.label)}function PAe(e,t,r){return Gi(t,r,e.modifiers)||Yt(t,e.name)||Gi(t,r,e.typeParameters)||Gi(t,r,e.heritageClauses)||Gi(t,r,e.members)}function MAe(e,t,r){return Gi(t,r,e.elements)}function LAe(e,t,r){return Yt(t,e.propertyName)||Yt(t,e.name)}function kAe(e,t,r){return Yt(t,e.tagName)||Gi(t,r,e.typeArguments)||Yt(t,e.attributes)}function DP(e,t,r){return Yt(t,e.type)}function wAe(e,t,r){return Yt(t,e.tagName)||(e.isNameFirst?Yt(t,e.name)||Yt(t,e.typeExpression):Yt(t,e.typeExpression)||Yt(t,e.name))||(typeof e.comment=="string"?void 0:Gi(t,r,e.comment))}function NP(e,t,r){return Yt(t,e.tagName)||Yt(t,e.typeExpression)||(typeof e.comment=="string"?void 0:Gi(t,r,e.comment))}function Sse(e,t,r){return Yt(t,e.name)}function oD(e,t,r){return Yt(t,e.tagName)||(typeof e.comment=="string"?void 0:Gi(t,r,e.comment))}function SVe(e,t,r){return Yt(t,e.expression)}function Ho(e,t,r){if(e===void 0||e.kind<=165)return;const i=HAe[e.kind];return i===void 0?void 0:i(e,t,r)}function CP(e,t,r){const i=OAe(e),o=[];for(;o.length<i.length;)o.push(e);for(;i.length!==0;){const s=i.pop(),l=o.pop();if(To(s)){if(r){const u=r(s,l);if(u){if(u==="skip")continue;return u}}for(let u=s.length-1;u>=0;--u)i.push(s[u]),o.push(l)}else{const u=t(s,l);if(u){if(u==="skip")continue;return u}if(s.kind>=166)for(const p of OAe(s))i.push(p),o.push(s)}}}function OAe(e){const t=[];return Ho(e,r,r),t;function r(i){t.unshift(i)}}function WAe(e){e.externalModuleIndicator=uw(e)}function dw(e,t,r,i=!1,o){var s,l,u,p;(s=sr)==null||s.push(sr.Phase.Parse,"createSourceFile",{path:e},!0),il("beforeParse");let h;(l=ad)==null||l.logStartParseSourceFile(e);const{languageVersion:m,setExternalModuleIndicator:b,impliedNodeFormat:A,jsDocParsingMode:I}=typeof r=="object"?r:{languageVersion:r};if(m===100)h=P0.parseSourceFile(e,t,m,void 0,i,6,$a,I);else{const R=A===void 0?b:M=>(M.impliedNodeFormat=A,(b||WAe)(M));h=P0.parseSourceFile(e,t,m,void 0,i,o,R,I)}return(u=ad)==null||u.logStopParseSourceFile(),il("afterParse"),ef("Parse","beforeParse","afterParse"),(p=sr)==null||p.pop(),h}function bI(e,t){return P0.parseIsolatedEntityName(e,t)}function pw(e,t){return P0.parseJsonText(e,t)}function sc(e){return e.externalModuleIndicator!==void 0}function iq(e,t,r,i=!1){const o=cq.updateSourceFile(e,t,r,i);return o.flags|=e.flags&12582912,o}function Tse(e,t,r){const i=P0.JSDocParser.parseIsolatedJSDocComment(e,t,r);return i&&i.jsDoc&&P0.fixupParentReferences(i.jsDoc),i}function FAe(e,t,r){return P0.JSDocParser.parseJSDocTypeExpressionForTests(e,t,r)}function Su(e){return oq(e)!==void 0}function oq(e){const t=Jx(e,Mk,!1);if(t)return t;if(Il(e,".ts")){const r=ic(e).lastIndexOf(".d.");if(r>=0)return e.substring(r)}}function TVe(e,t,r,i){if(e){if(e==="import")return 99;if(e==="require")return 1;i(t,r-t,f.resolution_mode_should_be_either_require_or_import)}}function aq(e,t){const r=[];for(const i of Yh(t,0)||et){const o=t.substring(i.pos,i.end);IVe(r,i,o)}e.pragmas=new Map;for(const i of r){if(e.pragmas.has(i.name)){const o=e.pragmas.get(i.name);o instanceof Array?o.push(i.args):e.pragmas.set(i.name,[o,i.args]);continue}e.pragmas.set(i.name,i.args)}}function sq(e,t){e.checkJsDirective=void 0,e.referencedFiles=[],e.typeReferenceDirectives=[],e.libReferenceDirectives=[],e.amdDependencies=[],e.hasNoDefaultLib=!1,e.pragmas.forEach((r,i)=>{switch(i){case"reference":{const o=e.referencedFiles,s=e.typeReferenceDirectives,l=e.libReferenceDirectives;Ue(SA(r),u=>{const{types:p,lib:h,path:m,["resolution-mode"]:b}=u.arguments;if(u.arguments["no-default-lib"])e.hasNoDefaultLib=!0;else if(p){const A=TVe(b,p.pos,p.end,t);s.push({pos:p.pos,end:p.end,fileName:p.value,...A?{resolutionMode:A}:{}})}else h?l.push({pos:h.pos,end:h.end,fileName:h.value}):m?o.push({pos:m.pos,end:m.end,fileName:m.value}):t(u.range.pos,u.range.end-u.range.pos,f.Invalid_reference_directive_syntax)});break}case"amd-dependency":{e.amdDependencies=wt(SA(r),o=>({name:o.arguments.name,path:o.arguments.path}));break}case"amd-module":{if(r instanceof Array)for(const o of r)e.moduleName&&t(o.range.pos,o.range.end-o.range.pos,f.An_AMD_module_cannot_have_multiple_name_assignments),e.moduleName=o.arguments.name;else e.moduleName=r.arguments.name;break}case"ts-nocheck":case"ts-check":{Ue(SA(r),o=>{(!e.checkJsDirective||o.range.pos>e.checkJsDirective.pos)&&(e.checkJsDirective={enabled:i==="ts-check",end:o.range.end,pos:o.range.pos})});break}case"jsx":case"jsxfrag":case"jsximportsource":case"jsxruntime":return;default:N.fail("Unhandled pragma kind")}})}function AVe(e){if(uq.has(e))return uq.get(e);const t=new RegExp(`(\\s${e}\\s*=\\s*)(?:(?:'([^']*)')|(?:"([^"]*)"))`,"im");return uq.set(e,t),t}function IVe(e,t,r){const i=t.kind===2&&qAe.exec(r);if(i){const s=i[1].toLowerCase(),l=qM[s];if(!l||!(l.kind&1))return;if(l.args){const u={};for(const p of l.args){const m=AVe(p.name).exec(r);if(!m&&!p.optional)return;if(m){const b=m[2]||m[3];if(p.captureSpan){const A=t.pos+m.index+m[1].length+1;u[p.name]={value:b,pos:A,end:A+b.length}}else u[p.name]=b}}e.push({name:s,args:{arguments:u,range:t}})}else e.push({name:s,args:{arguments:{},range:t}});return}const o=t.kind===2&&JAe.exec(r);if(o)return zAe(e,t,2,o);if(t.kind===3){const s=/@(\S+)(\s+.*)?$/gim;let l;for(;l=s.exec(r);)zAe(e,t,4,l)}}function zAe(e,t,r,i){if(!i)return;const o=i[1].toLowerCase(),s=qM[o];if(!s||!(s.kind&r))return;const l=i[2],u=xVe(s,l);u!=="fail"&&e.push({name:o,args:{arguments:u,range:t}})}function xVe(e,t){if(!t)return{};if(!e.args)return{};const r=t.trim().split(/\s+/),i={};for(let o=0;o<e.args.length;o++){const s=e.args[o];if(!r[o]&&!s.optional)return"fail";if(s.captureSpan)return N.fail("Capture spans not yet implemented for non-xml pragmas");i[s.name]=r[o]}return i}function C0(e,t){return e.kind!==t.kind?!1:e.kind===80?e.escapedText===t.escapedText:e.kind===110?!0:e.kind===295?e.namespace.escapedText===t.namespace.escapedText&&e.name.escapedText===t.name.escapedText:e.name.escapedText===t.name.escapedText&&C0(e.expression,t.expression)}var BAe,GAe,VAe,jAe,UAe,lq,Ih,HAe,P0,cq,uq,qAe,JAe,RVe=T({"src/compiler/parser.ts"(){ra(),fS(),lq={createBaseSourceFileNode:e=>new(UAe||(UAe=lu.getSourceFileConstructor()))(e,-1,-1),createBaseIdentifierNode:e=>new(VAe||(VAe=lu.getIdentifierConstructor()))(e,-1,-1),createBasePrivateIdentifierNode:e=>new(jAe||(jAe=lu.getPrivateIdentifierConstructor()))(e,-1,-1),createBaseTokenNode:e=>new(GAe||(GAe=lu.getTokenConstructor()))(e,-1,-1),createBaseNode:e=>new(BAe||(BAe=lu.getNodeConstructor()))(e,-1,-1)},Ih=wk(1,lq),HAe={166:function(t,r,i){return Yt(r,t.left)||Yt(r,t.right)},168:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Yt(r,t.constraint)||Yt(r,t.default)||Yt(r,t.expression)},304:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Yt(r,t.questionToken)||Yt(r,t.exclamationToken)||Yt(r,t.equalsToken)||Yt(r,t.objectAssignmentInitializer)},305:function(t,r,i){return Yt(r,t.expression)},169:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.dotDotDotToken)||Yt(r,t.name)||Yt(r,t.questionToken)||Yt(r,t.type)||Yt(r,t.initializer)},172:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Yt(r,t.questionToken)||Yt(r,t.exclamationToken)||Yt(r,t.type)||Yt(r,t.initializer)},171:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Yt(r,t.questionToken)||Yt(r,t.type)||Yt(r,t.initializer)},303:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Yt(r,t.questionToken)||Yt(r,t.exclamationToken)||Yt(r,t.initializer)},260:function(t,r,i){return Yt(r,t.name)||Yt(r,t.exclamationToken)||Yt(r,t.type)||Yt(r,t.initializer)},208:function(t,r,i){return Yt(r,t.dotDotDotToken)||Yt(r,t.propertyName)||Yt(r,t.name)||Yt(r,t.initializer)},181:function(t,r,i){return Gi(r,i,t.modifiers)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)},185:function(t,r,i){return Gi(r,i,t.modifiers)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)},184:function(t,r,i){return Gi(r,i,t.modifiers)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)},179:AAe,180:AAe,174:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.asteriskToken)||Yt(r,t.name)||Yt(r,t.questionToken)||Yt(r,t.exclamationToken)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)||Yt(r,t.body)},173:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Yt(r,t.questionToken)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)},176:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)||Yt(r,t.body)},177:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)||Yt(r,t.body)},178:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)||Yt(r,t.body)},262:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.asteriskToken)||Yt(r,t.name)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)||Yt(r,t.body)},218:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.asteriskToken)||Yt(r,t.name)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)||Yt(r,t.body)},219:function(t,r,i){return Gi(r,i,t.modifiers)||Gi(r,i,t.typeParameters)||Gi(r,i,t.parameters)||Yt(r,t.type)||Yt(r,t.equalsGreaterThanToken)||Yt(r,t.body)},175:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.body)},183:function(t,r,i){return Yt(r,t.typeName)||Gi(r,i,t.typeArguments)},182:function(t,r,i){return Yt(r,t.assertsModifier)||Yt(r,t.parameterName)||Yt(r,t.type)},186:function(t,r,i){return Yt(r,t.exprName)||Gi(r,i,t.typeArguments)},187:function(t,r,i){return Gi(r,i,t.members)},188:function(t,r,i){return Yt(r,t.elementType)},189:function(t,r,i){return Gi(r,i,t.elements)},192:IAe,193:IAe,194:function(t,r,i){return Yt(r,t.checkType)||Yt(r,t.extendsType)||Yt(r,t.trueType)||Yt(r,t.falseType)},195:function(t,r,i){return Yt(r,t.typeParameter)},205:function(t,r,i){return Yt(r,t.argument)||Yt(r,t.attributes)||Yt(r,t.qualifier)||Gi(r,i,t.typeArguments)},302:function(t,r,i){return Yt(r,t.assertClause)},196:xAe,198:xAe,199:function(t,r,i){return Yt(r,t.objectType)||Yt(r,t.indexType)},200:function(t,r,i){return Yt(r,t.readonlyToken)||Yt(r,t.typeParameter)||Yt(r,t.nameType)||Yt(r,t.questionToken)||Yt(r,t.type)||Gi(r,i,t.members)},201:function(t,r,i){return Yt(r,t.literal)},202:function(t,r,i){return Yt(r,t.dotDotDotToken)||Yt(r,t.name)||Yt(r,t.questionToken)||Yt(r,t.type)},206:RAe,207:RAe,209:function(t,r,i){return Gi(r,i,t.elements)},210:function(t,r,i){return Gi(r,i,t.properties)},211:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.questionDotToken)||Yt(r,t.name)},212:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.questionDotToken)||Yt(r,t.argumentExpression)},213:DAe,214:DAe,215:function(t,r,i){return Yt(r,t.tag)||Yt(r,t.questionDotToken)||Gi(r,i,t.typeArguments)||Yt(r,t.template)},216:function(t,r,i){return Yt(r,t.type)||Yt(r,t.expression)},217:function(t,r,i){return Yt(r,t.expression)},220:function(t,r,i){return Yt(r,t.expression)},221:function(t,r,i){return Yt(r,t.expression)},222:function(t,r,i){return Yt(r,t.expression)},224:function(t,r,i){return Yt(r,t.operand)},229:function(t,r,i){return Yt(r,t.asteriskToken)||Yt(r,t.expression)},223:function(t,r,i){return Yt(r,t.expression)},225:function(t,r,i){return Yt(r,t.operand)},226:function(t,r,i){return Yt(r,t.left)||Yt(r,t.operatorToken)||Yt(r,t.right)},234:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.type)},235:function(t,r,i){return Yt(r,t.expression)},238:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.type)},236:function(t,r,i){return Yt(r,t.name)},227:function(t,r,i){return Yt(r,t.condition)||Yt(r,t.questionToken)||Yt(r,t.whenTrue)||Yt(r,t.colonToken)||Yt(r,t.whenFalse)},230:function(t,r,i){return Yt(r,t.expression)},241:NAe,268:NAe,312:function(t,r,i){return Gi(r,i,t.statements)||Yt(r,t.endOfFileToken)},243:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.declarationList)},261:function(t,r,i){return Gi(r,i,t.declarations)},244:function(t,r,i){return Yt(r,t.expression)},245:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.thenStatement)||Yt(r,t.elseStatement)},246:function(t,r,i){return Yt(r,t.statement)||Yt(r,t.expression)},247:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.statement)},248:function(t,r,i){return Yt(r,t.initializer)||Yt(r,t.condition)||Yt(r,t.incrementor)||Yt(r,t.statement)},249:function(t,r,i){return Yt(r,t.initializer)||Yt(r,t.expression)||Yt(r,t.statement)},250:function(t,r,i){return Yt(r,t.awaitModifier)||Yt(r,t.initializer)||Yt(r,t.expression)||Yt(r,t.statement)},251:CAe,252:CAe,253:function(t,r,i){return Yt(r,t.expression)},254:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.statement)},255:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.caseBlock)},269:function(t,r,i){return Gi(r,i,t.clauses)},296:function(t,r,i){return Yt(r,t.expression)||Gi(r,i,t.statements)},297:function(t,r,i){return Gi(r,i,t.statements)},256:function(t,r,i){return Yt(r,t.label)||Yt(r,t.statement)},257:function(t,r,i){return Yt(r,t.expression)},258:function(t,r,i){return Yt(r,t.tryBlock)||Yt(r,t.catchClause)||Yt(r,t.finallyBlock)},299:function(t,r,i){return Yt(r,t.variableDeclaration)||Yt(r,t.block)},170:function(t,r,i){return Yt(r,t.expression)},263:PAe,231:PAe,264:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Gi(r,i,t.typeParameters)||Gi(r,i,t.heritageClauses)||Gi(r,i,t.members)},265:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Gi(r,i,t.typeParameters)||Yt(r,t.type)},266:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Gi(r,i,t.members)},306:function(t,r,i){return Yt(r,t.name)||Yt(r,t.initializer)},267:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Yt(r,t.body)},271:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)||Yt(r,t.moduleReference)},272:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.importClause)||Yt(r,t.moduleSpecifier)||Yt(r,t.attributes)},273:function(t,r,i){return Yt(r,t.name)||Yt(r,t.namedBindings)},300:function(t,r,i){return Gi(r,i,t.elements)},301:function(t,r,i){return Yt(r,t.name)||Yt(r,t.value)},270:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.name)},274:function(t,r,i){return Yt(r,t.name)},280:function(t,r,i){return Yt(r,t.name)},275:MAe,279:MAe,278:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.exportClause)||Yt(r,t.moduleSpecifier)||Yt(r,t.attributes)},276:LAe,281:LAe,277:function(t,r,i){return Gi(r,i,t.modifiers)||Yt(r,t.expression)},228:function(t,r,i){return Yt(r,t.head)||Gi(r,i,t.templateSpans)},239:function(t,r,i){return Yt(r,t.expression)||Yt(r,t.literal)},203:function(t,r,i){return Yt(r,t.head)||Gi(r,i,t.templateSpans)},204:function(t,r,i){return Yt(r,t.type)||Yt(r,t.literal)},167:function(t,r,i){return Yt(r,t.expression)},298:function(t,r,i){return Gi(r,i,t.types)},233:function(t,r,i){return Yt(r,t.expression)||Gi(r,i,t.typeArguments)},283:function(t,r,i){return Yt(r,t.expression)},282:function(t,r,i){return Gi(r,i,t.modifiers)},361:function(t,r,i){return Gi(r,i,t.elements)},284:function(t,r,i){return Yt(r,t.openingElement)||Gi(r,i,t.children)||Yt(r,t.closingElement)},288:function(t,r,i){return Yt(r,t.openingFragment)||Gi(r,i,t.children)||Yt(r,t.closingFragment)},285:kAe,286:kAe,292:function(t,r,i){return Gi(r,i,t.properties)},291:function(t,r,i){return Yt(r,t.name)||Yt(r,t.initializer)},293:function(t,r,i){return Yt(r,t.expression)},294:function(t,r,i){return Yt(r,t.dotDotDotToken)||Yt(r,t.expression)},287:function(t,r,i){return Yt(r,t.tagName)},295:function(t,r,i){return Yt(r,t.namespace)||Yt(r,t.name)},190:DP,191:DP,316:DP,322:DP,321:DP,323:DP,325:DP,324:function(t,r,i){return Gi(r,i,t.parameters)||Yt(r,t.type)},327:function(t,r,i){return(typeof t.comment=="string"?void 0:Gi(r,i,t.comment))||Gi(r,i,t.tags)},354:function(t,r,i){return Yt(r,t.tagName)||Yt(r,t.name)||(typeof t.comment=="string"?void 0:Gi(r,i,t.comment))},317:function(t,r,i){return Yt(r,t.name)},318:function(t,r,i){return Yt(r,t.left)||Yt(r,t.right)},348:wAe,355:wAe,337:function(t,r,i){return Yt(r,t.tagName)||(typeof t.comment=="string"?void 0:Gi(r,i,t.comment))},336:function(t,r,i){return Yt(r,t.tagName)||Yt(r,t.class)||(typeof t.comment=="string"?void 0:Gi(r,i,t.comment))},335:function(t,r,i){return Yt(r,t.tagName)||Yt(r,t.class)||(typeof t.comment=="string"?void 0:Gi(r,i,t.comment))},352:function(t,r,i){return Yt(r,t.tagName)||Yt(r,t.constraint)||Gi(r,i,t.typeParameters)||(typeof t.comment=="string"?void 0:Gi(r,i,t.comment))},353:function(t,r,i){return Yt(r,t.tagName)||(t.typeExpression&&t.typeExpression.kind===316?Yt(r,t.typeExpression)||Yt(r,t.fullName)||(typeof t.comment=="string"?void 0:Gi(r,i,t.comment)):Yt(r,t.fullName)||Yt(r,t.typeExpression)||(typeof t.comment=="string"?void 0:Gi(r,i,t.comment)))},345:function(t,r,i){return Yt(r,t.tagName)||Yt(r,t.fullName)||Yt(r,t.typeExpression)||(typeof t.comment=="string"?void 0:Gi(r,i,t.comment))},349:NP,351:NP,350:NP,347:NP,357:NP,356:NP,346:NP,330:function(t,r,i){return Ue(t.typeParameters,r)||Ue(t.parameters,r)||Yt(r,t.type)},331:Sse,332:Sse,333:Sse,329:function(t,r,i){return Ue(t.jsDocPropertyTags,r)},334:oD,339:oD,340:oD,341:oD,342:oD,343:oD,338:oD,344:oD,360:SVe},(e=>{var t=wv(99,!0),r=40960,i,o,s,l,u;function p(Q){return $e++,Q}var h={createBaseSourceFileNode:Q=>p(new u(Q,0,0)),createBaseIdentifierNode:Q=>p(new s(Q,0,0)),createBasePrivateIdentifierNode:Q=>p(new l(Q,0,0)),createBaseTokenNode:Q=>p(new o(Q,0,0)),createBaseNode:Q=>p(new i(Q,0,0))},m=wk(11,h),{createNodeArray:b,createNumericLiteral:A,createStringLiteral:I,createLiteralLikeNode:R,createIdentifier:M,createPrivateIdentifier:C,createToken:O,createArrayLiteralExpression:U,createObjectLiteralExpression:K,createPropertyAccessExpression:Z,createPropertyAccessChain:V,createElementAccessExpression:se,createElementAccessChain:G,createCallExpression:ne,createCallChain:he,createNewExpression:ye,createParenthesizedExpression:$,createBlock:Y,createVariableStatement:oe,createExpressionStatement:fe,createIfStatement:Ne,createWhileStatement:pe,createForStatement:ie,createForOfStatement:ve,createVariableDeclaration:Le,createVariableDeclarationList:He}=m,Ee,De,Pe,We,At,Se,je,at,Gt,vt,$e,It,tn,rn,Pn,Xn,hn=!0,Qt=!1;function $t(Q,xe,Xe,xt,Nt=!1,Kt,zr,Wi=0){var Ri;if(Kt=Q3(Q,Kt),Kt===6){const Yi=ao(Q,xe,Xe,xt,Nt);return _w(Yi,(Ri=Yi.statements[0])==null?void 0:Ri.expression,Yi.parseDiagnostics,!1,void 0),Yi.referencedFiles=et,Yi.typeReferenceDirectives=et,Yi.libReferenceDirectives=et,Yi.amdDependencies=et,Yi.hasNoDefaultLib=!1,Yi.pragmas=U6,Yi}Oi(Q,xe,Xe,xt,Kt,Wi);const mi=Mn(Xe,Nt,Kt,zr||WAe,Wi);return No(),mi}e.parseSourceFile=$t;function _i(Q,xe){Oi("",Q,xe,void 0,1,0),ke();const Xe=te(!0),xt=J()===1&&!je.length;return No(),xt?Xe:void 0}e.parseIsolatedEntityName=_i;function ao(Q,xe,Xe=2,xt,Nt=!1){Oi(Q,xe,Xe,xt,6,0),De=Xn,ke();const Kt=L();let zr,Wi;if(J()===1)zr=ko([],Kt,Kt),Wi=_l();else{let Yi;for(;J()!==1;){let es;switch(J()){case 23:es=w1();break;case 112:case 97:case 106:es=_l();break;case 41:Ci(()=>ke()===9&&ke()!==59)?es=ei():es=av();break;case 9:case 11:if(Ci(()=>ke()!==59)){es=$r();break}default:es=av();break}Yi&&To(Yi)?Yi.push(es):Yi?Yi=[Yi,es]:(Yi=es,J()!==1&&fn(f.Unexpected_token))}const ds=To(Yi)?nn(U(Yi),Kt):N.checkDefined(Yi),Ds=fe(ds);nn(Ds,Kt),zr=ko([Ds],Kt),Wi=As(1,f.Unexpected_token)}const Ri=zt(Q,2,6,!1,zr,Wi,De,$a);Nt&&tt(Ri),Ri.nodeCount=$e,Ri.identifierCount=tn,Ri.identifiers=It,Ri.parseDiagnostics=JA(je,Ri),at&&(Ri.jsDocDiagnostics=JA(at,Ri));const mi=Ri;return No(),mi}e.parseJsonText=ao;function Oi(Q,xe,Xe,xt,Nt,Kt){switch(i=lu.getNodeConstructor(),o=lu.getTokenConstructor(),s=lu.getIdentifierConstructor(),l=lu.getPrivateIdentifierConstructor(),u=lu.getSourceFileConstructor(),Ee=ga(Q),Pe=xe,We=Xe,Gt=xt,At=Nt,Se=yk(Nt),je=[],rn=0,It=new Map,tn=0,$e=0,De=0,hn=!0,At){case 1:case 2:Xn=524288;break;case 6:Xn=134742016;break;default:Xn=0;break}Qt=!1,t.setText(Pe),t.setOnError(Lt),t.setScriptTarget(We),t.setLanguageVariant(Se),t.setScriptKind(At),t.setJSDocParsingMode(Kt)}function No(){t.clearCommentDirectives(),t.setText(""),t.setOnError(void 0),t.setScriptKind(0),t.setJSDocParsingMode(0),Pe=void 0,We=void 0,Gt=void 0,At=void 0,Se=void 0,De=0,je=void 0,at=void 0,rn=0,It=void 0,Pn=void 0,hn=!0}function Mn(Q,xe,Xe,xt,Nt){const Kt=Su(Ee);Kt&&(Xn|=33554432),De=Xn,ke();const zr=na(0,lp);N.assert(J()===1);const Wi=_e(),Ri=Ar(_l(),Wi),mi=zt(Ee,Q,Xe,Kt,zr,Ri,De,xt);return aq(mi,Pe),sq(mi,Yi),mi.commentDirectives=t.getCommentDirectives(),mi.nodeCount=$e,mi.identifierCount=tn,mi.identifiers=It,mi.parseDiagnostics=JA(je,mi),mi.jsDocParsingMode=Nt,at&&(mi.jsDocDiagnostics=JA(at,mi)),xe&&tt(mi),mi;function Yi(ds,Ds,es){je.push(OR(Ee,Pe,ds,Ds,es))}}let Di=!1;function Ar(Q,xe){if(!xe)return Q;N.assert(!Q.jsDoc);const Xe=Hi(wj(Q,Pe),xt=>Y_.parseJSDocComment(Q,xt.pos,xt.end-xt.pos));return Xe.length&&(Q.jsDoc=Xe),Di&&(Di=!1,Q.flags|=536870912),Q}function sn(Q){const xe=Gt,Xe=cq.createSyntaxCursor(Q);Gt={currentNode:Yi};const xt=[],Nt=je;je=[];let Kt=0,zr=Ri(Q.statements,0);for(;zr!==-1;){const ds=Q.statements[Kt],Ds=Q.statements[zr];Jr(xt,Q.statements,Kt,zr),Kt=mi(Q.statements,zr);const es=Gr(Nt,$_=>$_.start>=ds.pos),Gf=es>=0?Gr(Nt,$_=>$_.start>=Ds.pos,es):-1;es>=0&&Jr(je,Nt,es,Gf>=0?Gf:void 0),vo(()=>{const $_=Xn;for(Xn|=65536,t.resetTokenState(Ds.pos),ke();J()!==1;){const Ag=t.getTokenFullStart(),uv=Zl(0,lp);if(xt.push(uv),Ag===t.getTokenFullStart()&&ke(),Kt>=0){const dv=Q.statements[Kt];if(uv.end===dv.pos)break;uv.end>dv.pos&&(Kt=mi(Q.statements,Kt+1))}}Xn=$_},2),zr=Kt>=0?Ri(Q.statements,Kt):-1}if(Kt>=0){const ds=Q.statements[Kt];Jr(xt,Q.statements,Kt);const Ds=Gr(Nt,es=>es.start>=ds.pos);Ds>=0&&Jr(je,Nt,Ds)}return Gt=xe,m.updateSourceFile(Q,dt(b(xt),Q.statements));function Wi(ds){return!(ds.flags&65536)&&!!(ds.transformFlags&67108864)}function Ri(ds,Ds){for(let es=Ds;es<ds.length;es++)if(Wi(ds[es]))return es;return-1}function mi(ds,Ds){for(let es=Ds;es<ds.length;es++)if(!Wi(ds[es]))return es;return-1}function Yi(ds){const Ds=Xe.currentNode(ds);return hn&&Ds&&Wi(Ds)&&(Ds.intersectsChange=!0),Ds}}function tt(Q){Jy(Q,!0)}e.fixupParentReferences=tt;function zt(Q,xe,Xe,xt,Nt,Kt,zr,Wi){let Ri=m.createSourceFile(Nt,Kt,zr);if(nP(Ri,0,Pe.length),mi(Ri),!xt&&sc(Ri)&&Ri.transformFlags&67108864){const Yi=Ri;Ri=sn(Ri),Yi!==Ri&&mi(Ri)}return Ri;function mi(Yi){Yi.text=Pe,Yi.bindDiagnostics=[],Yi.bindSuggestionDiagnostics=void 0,Yi.languageVersion=xe,Yi.fileName=Q,Yi.languageVariant=yk(Xe),Yi.isDeclarationFile=xt,Yi.scriptKind=Xe,Wi(Yi),Yi.setExternalModuleIndicator=Wi}}function Rn(Q,xe){Q?Xn|=xe:Xn&=~xe}function li(Q){Rn(Q,8192)}function hi(Q){Rn(Q,16384)}function Qi(Q){Rn(Q,32768)}function Io(Q){Rn(Q,65536)}function ma(Q,xe){const Xe=Q&Xn;if(Xe){Rn(!1,Xe);const xt=xe();return Rn(!0,Xe),xt}return xe()}function Wa(Q,xe){const Xe=Q&~Xn;if(Xe){Rn(!0,Xe);const xt=xe();return Rn(!1,Xe),xt}return xe()}function Sn(Q){return ma(8192,Q)}function kn(Q){return Wa(8192,Q)}function qe(Q){return ma(131072,Q)}function mt(Q){return Wa(131072,Q)}function ft(Q){return Wa(16384,Q)}function Ct(Q){return Wa(32768,Q)}function le(Q){return Wa(65536,Q)}function Fe(Q){return ma(65536,Q)}function pt(Q){return Wa(81920,Q)}function j(Q){return ma(81920,Q)}function ot(Q){return(Xn&Q)!==0}function Rt(){return ot(16384)}function Ye(){return ot(8192)}function _t(){return ot(131072)}function Vt(){return ot(32768)}function vn(){return ot(65536)}function fn(Q,...xe){return q(t.getTokenStart(),t.getTokenEnd(),Q,...xe)}function _n(Q,xe,Xe,...xt){const Nt=tl(je);let Kt;return(!Nt||Q!==Nt.start)&&(Kt=OR(Ee,Pe,Q,xe,Xe,...xt),je.push(Kt)),Qt=!0,Kt}function q(Q,xe,Xe,...xt){return _n(Q,xe-Q,Xe,...xt)}function Oe(Q,xe,...Xe){q(Q.pos,Q.end,xe,...Xe)}function Lt(Q,xe,Xe){_n(t.getTokenEnd(),xe,Q,Xe)}function L(){return t.getTokenFullStart()}function _e(){return t.hasPrecedingJSDocComment()}function J(){return vt}function me(){return vt=t.scan()}function Ge(Q){return ke(),Q()}function ke(){return Bd(vt)&&(t.hasUnicodeEscape()||t.hasExtendedUnicodeEscape())&&q(t.getTokenStart(),t.getTokenEnd(),f.Keywords_cannot_contain_escape_characters),me()}function Tt(){return vt=t.scanJsDocToken()}function kt(Q){return vt=t.scanJSDocCommentTextToken(Q)}function en(){return vt=t.reScanGreaterToken()}function bn(){return vt=t.reScanSlashToken()}function Bn(Q){return vt=t.reScanTemplateToken(Q)}function Hn(){return vt=t.reScanLessThanToken()}function Ni(){return vt=t.reScanHashToken()}function Ai(){return vt=t.scanJsxIdentifier()}function ir(){return vt=t.scanJsxToken()}function jr(){return vt=t.scanJsxAttributeValue()}function vo(Q,xe){const Xe=vt,xt=je.length,Nt=Qt,Kt=Xn,zr=xe!==0?t.lookAhead(Q):t.tryScan(Q);return N.assert(Kt===Xn),(!zr||xe!==0)&&(vt=Xe,xe!==2&&(je.length=xt),Qt=Nt),zr}function Ci(Q){return vo(Q,1)}function Ii(Q){return vo(Q,0)}function Kr(){return J()===80?!0:J()>118}function xo(){return J()===80?!0:J()===127&&Rt()||J()===135&&vn()?!1:J()>118}function Dn(Q,xe,Xe=!0){return J()===Q?(Xe&&ke(),!0):(xe?fn(xe):fn(f._0_expected,fa(Q)),!1)}const Fs=Object.keys(oL).filter(Q=>Q.length>2);function Ql(Q){if(i1(Q)){q(ka(Pe,Q.template.pos),Q.template.end,f.Module_declaration_names_may_only_use_or_quoted_strings);return}const xe=Ve(Q)?Sr(Q):void 0;if(!xe||!tf(xe,We)){fn(f._0_expected,fa(27));return}const Xe=ka(Pe,Q.pos);switch(xe){case"const":case"let":case"var":q(Xe,Q.end,f.Variable_declaration_not_allowed_at_this_location);return;case"declare":return;case"interface":Lo(f.Interface_name_cannot_be_0,f.Interface_must_be_given_a_name,19);return;case"is":q(Xe,t.getTokenStart(),f.A_type_predicate_is_only_allowed_in_return_type_position_for_functions_and_methods);return;case"module":case"namespace":Lo(f.Namespace_name_cannot_be_0,f.Namespace_must_be_given_a_name,19);return;case"type":Lo(f.Type_alias_name_cannot_be_0,f.Type_alias_must_be_given_a_name,64);return}const xt=$N(xe,Fs,Nt=>Nt)??cs(xe);if(xt){q(Xe,Q.end,f.Unknown_keyword_or_identifier_Did_you_mean_0,xt);return}J()!==0&&q(Xe,Q.end,f.Unexpected_keyword_or_identifier)}function Lo(Q,xe,Xe){J()===Xe?fn(xe):fn(Q,t.getTokenValue())}function cs(Q){for(const xe of Fs)if(Q.length>xe.length+2&&uo(Q,xe))return`${xe} ${Q.slice(xe.length)}`}function Vs(Q,xe,Xe){if(J()===60&&!t.hasPrecedingLineBreak()){fn(f.Decorators_must_precede_the_name_and_all_keywords_of_property_declarations);return}if(J()===21){fn(f.Cannot_start_a_function_call_in_a_type_annotation),ke();return}if(xe&&!lc()){Xe?fn(f._0_expected,fa(27)):fn(f.Expected_for_property_initializer);return}if(!Nl()){if(Xe){fn(f._0_expected,fa(27));return}Ql(Q)}}function vl(Q){return J()===Q?(Tt(),!0):(N.assert(v3(Q)),fn(f._0_expected,fa(Q)),!1)}function uu(Q,xe,Xe,xt){if(J()===xe){ke();return}const Nt=fn(f._0_expected,fa(xe));Xe&&Nt&&wa(Nt,OR(Ee,Pe,xt,1,f.The_parser_expected_to_find_a_1_to_match_the_0_token_here,fa(Q),fa(xe)))}function ho(Q){return J()===Q?(ke(),!0):!1}function pa(Q){if(J()===Q)return _l()}function du(Q){if(J()===Q)return wf()}function As(Q,xe,Xe){return pa(Q)||js(Q,!1,xe||f._0_expected,Xe||fa(Q))}function La(Q){const xe=du(Q);return xe||(N.assert(v3(Q)),js(Q,!1,f._0_expected,fa(Q)))}function _l(){const Q=L(),xe=J();return ke(),nn(O(xe),Q)}function wf(){const Q=L(),xe=J();return Tt(),nn(O(xe),Q)}function lc(){return J()===27?!0:J()===20||J()===1||t.hasPrecedingLineBreak()}function Nl(){return lc()?(J()===27&&ke(),!0):!1}function ul(){return Nl()||Dn(27)}function ko(Q,xe,Xe,xt){const Nt=b(Q,xt);return vh(Nt,xe,Xe??t.getTokenFullStart()),Nt}function nn(Q,xe,Xe){return vh(Q,xe,Xe??t.getTokenFullStart()),Xn&&(Q.flags|=Xn),Qt&&(Qt=!1,Q.flags|=262144),Q}function js(Q,xe,Xe,...xt){xe?_n(t.getTokenFullStart(),0,Xe,...xt):Xe&&fn(Xe,...xt);const Nt=L(),Kt=Q===80?M("",void 0):wy(Q)?m.createTemplateLiteralLikeNode(Q,"","",void 0):Q===9?A("",void 0):Q===11?I("",void 0):Q===282?m.createMissingDeclaration():O(Q);return nn(Kt,Nt)}function nu(Q){let xe=It.get(Q);return xe===void 0&&It.set(Q,xe=Q),xe}function pu(Q,xe,Xe){if(Q){tn++;const Wi=L(),Ri=J(),mi=nu(t.getTokenValue()),Yi=t.hasExtendedUnicodeEscape();return me(),nn(M(mi,Ri,Yi),Wi)}if(J()===81)return fn(Xe||f.Private_identifiers_are_not_allowed_outside_class_bodies),pu(!0);if(J()===0&&t.tryScan(()=>t.reScanInvalidIdentifier()===80))return pu(!0);tn++;const xt=J()===1,Nt=t.isReservedWord(),Kt=t.getTokenText(),zr=Nt?f.Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here:f.Identifier_expected;return js(80,xt,xe||zr,Kt)}function Ip(Q){return pu(Kr(),void 0,Q)}function Ms(Q,xe){return pu(xo(),Q,xe)}function Rc(Q){return pu(sd(J()),Q)}function de(){return(t.hasUnicodeEscape()||t.hasExtendedUnicodeEscape())&&fn(f.Unicode_escape_sequence_cannot_appear_here),pu(sd(J()))}function ee(){return sd(J())||J()===11||J()===9}function we(){return sd(J())||J()===11}function Et(Q){if(J()===11||J()===9){const xe=$r();return xe.text=nu(xe.text),xe}return J()===23?Er():J()===81?vr():Rc()}function un(){return Et()}function Er(){const Q=L();Dn(23);const xe=Sn(Je);return Dn(24),nn(m.createComputedPropertyName(xe),Q)}function vr(){const Q=L(),xe=C(nu(t.getTokenValue()));return ke(),nn(xe,Q)}function Ur(Q){return J()===Q&&Ii(Lr)}function xi(){return ke(),t.hasPrecedingLineBreak()?!1:Us()}function Lr(){switch(J()){case 87:return ke()===94;case 95:return ke(),J()===90?Ci(vc):J()===156?Ci(Tl):$o();case 90:return vc();case 126:case 139:case 153:return ke(),Us();default:return xi()}}function $o(){return J()===60||J()!==42&&J()!==130&&J()!==19&&Us()}function Tl(){return ke(),$o()}function Hc(){return Wv(J())&&Ii(Lr)}function Us(){return J()===23||J()===19||J()===42||J()===26||ee()}function vc(){return ke(),J()===86||J()===100||J()===120||J()===60||J()===128&&Ci(LT)||J()===134&&Ci(kT)}function Ss(Q,xe){if(yc(Q))return!0;switch(Q){case 0:case 1:case 3:return!(J()===27&&xe)&&W1();case 2:return J()===84||J()===90;case 4:return Ci(Ka);case 5:return Ci(Sg)||J()===27&&!xe;case 6:return J()===23||ee();case 12:switch(J()){case 23:case 42:case 26:case 25:return!0;default:return ee()}case 18:return ee();case 9:return J()===23||J()===26||ee();case 24:return we();case 7:return J()===19?Ci(qc):xe?xo()&&!gd():nx()&&!gd();case 8:return rs();case 10:return J()===28||J()===26||rs();case 19:return J()===103||J()===87||xo();case 15:switch(J()){case 28:case 25:return!0}case 11:return J()===26||a_();case 16:return H_(!1);case 17:return H_(!0);case 20:case 21:return J()===28||hg();case 22:return D();case 23:return J()===161&&Ci(pN)?!1:sd(J());case 13:return sd(J())||J()===19;case 14:return!0;case 25:return!0;case 26:return N.fail("ParsingContext.Count used as a context");default:N.assertNever(Q,"Non-exhaustive case in 'isListElement'.")}}function qc(){if(N.assert(J()===19),ke()===20){const Q=ke();return Q===28||Q===19||Q===96||Q===119}return!0}function op(){return ke(),xo()}function Wc(){return ke(),sd(J())}function cm(){return ke(),sre(J())}function gd(){return J()===119||J()===96?Ci(Ce):!1}function Ce(){return ke(),a_()}function Wt(){return ke(),hg()}function Jn(Q){if(J()===1)return!0;switch(Q){case 1:case 2:case 4:case 5:case 6:case 12:case 9:case 23:case 24:return J()===20;case 3:return J()===20||J()===84||J()===90;case 7:return J()===19||J()===96||J()===119;case 8:return yo();case 19:return J()===32||J()===21||J()===19||J()===96||J()===119;case 11:return J()===22||J()===27;case 15:case 21:case 10:return J()===24;case 17:case 16:case 18:return J()===22||J()===24;case 20:return J()!==28;case 22:return J()===19||J()===20;case 13:return J()===32||J()===44;case 14:return J()===30&&Ci(bi);default:return!1}}function yo(){return!!(lc()||rv(J())||J()===39)}function Qo(){N.assert(rn,"Missing parsing context");for(let Q=0;Q<26;Q++)if(rn&1<<Q&&(Ss(Q,!0)||Jn(Q)))return!0;return!1}function na(Q,xe){const Xe=rn;rn|=1<<Q;const xt=[],Nt=L();for(;!Jn(Q);){if(Ss(Q,!1)){xt.push(Zl(Q,xe));continue}if(Ch(Q))break}return rn=Xe,ko(xt,Nt)}function Zl(Q,xe){const Xe=yc(Q);return Xe?dl(Xe):xe()}function yc(Q,xe){var Xe;if(!Gt||!yl(Q)||Qt)return;const xt=Gt.currentNode(xe??t.getTokenFullStart());if(!(zl(xt)||xt.intersectsChange||aR(xt)||(xt.flags&101441536)!==Xn)&&Vl(xt,Q))return ek(xt)&&((Xe=xt.jsDoc)!=null&&Xe.jsDocCache)&&(xt.jsDoc.jsDocCache=void 0),xt}function dl(Q){return t.resetTokenState(Q.end),ke(),Q}function yl(Q){switch(Q){case 5:case 2:case 0:case 1:case 3:case 6:case 4:case 8:case 17:case 16:return!0}return!1}function Vl(Q,xe){switch(xe){case 5:return ec(Q);case 2:return Jc(Q);case 0:case 1:case 3:return ff(Q);case 6:return jd(Q);case 4:return Cd(Q);case 8:return Of(Q);case 17:case 16:return U_(Q)}return!1}function ec(Q){if(Q)switch(Q.kind){case 176:case 181:case 177:case 178:case 172:case 240:return!0;case 174:const xe=Q;return!(xe.name.kind===80&&xe.name.escapedText==="constructor")}return!1}function Jc(Q){if(Q)switch(Q.kind){case 296:case 297:return!0}return!1}function ff(Q){if(Q)switch(Q.kind){case 262:case 243:case 241:case 245:case 244:case 257:case 253:case 255:case 252:case 251:case 249:case 250:case 248:case 247:case 254:case 242:case 258:case 256:case 246:case 259:case 272:case 271:case 278:case 277:case 267:case 263:case 264:case 266:case 265:return!0}return!1}function jd(Q){return Q.kind===306}function Cd(Q){if(Q)switch(Q.kind){case 180:case 173:case 181:case 171:case 179:return!0}return!1}function Of(Q){return Q.kind!==260?!1:Q.initializer===void 0}function U_(Q){return Q.kind!==169?!1:Q.initializer===void 0}function Ch(Q){return $g(Q),Qo()?!0:(ke(),!1)}function $g(Q){switch(Q){case 0:return J()===90?fn(f._0_expected,fa(95)):fn(f.Declaration_or_statement_expected);case 1:return fn(f.Declaration_or_statement_expected);case 2:return fn(f.case_or_default_expected);case 3:return fn(f.Statement_expected);case 18:case 4:return fn(f.Property_or_signature_expected);case 5:return fn(f.Unexpected_token_A_constructor_method_accessor_or_property_was_expected);case 6:return fn(f.Enum_member_expected);case 7:return fn(f.Expression_expected);case 8:return Bd(J())?fn(f._0_is_not_allowed_as_a_variable_declaration_name,fa(J())):fn(f.Variable_declaration_expected);case 9:return fn(f.Property_destructuring_pattern_expected);case 10:return fn(f.Array_element_destructuring_pattern_expected);case 11:return fn(f.Argument_expression_expected);case 12:return fn(f.Property_assignment_expected);case 15:return fn(f.Expression_or_comma_expected);case 17:return fn(f.Parameter_declaration_expected);case 16:return Bd(J())?fn(f._0_is_not_allowed_as_a_parameter_name,fa(J())):fn(f.Parameter_declaration_expected);case 19:return fn(f.Type_parameter_declaration_expected);case 20:return fn(f.Type_argument_expected);case 21:return fn(f.Type_expected);case 22:return fn(f.Unexpected_token_expected);case 23:return J()===161?fn(f._0_expected,"}"):fn(f.Identifier_expected);case 13:return fn(f.Identifier_expected);case 14:return fn(f.Identifier_expected);case 24:return fn(f.Identifier_or_string_literal_expected);case 25:return fn(f.Identifier_expected);case 26:return N.fail("ParsingContext.Count used as a context");default:N.assertNever(Q)}}function Bu(Q,xe,Xe){const xt=rn;rn|=1<<Q;const Nt=[],Kt=L();let zr=-1;for(;;){if(Ss(Q,!1)){const Wi=t.getTokenFullStart(),Ri=Zl(Q,xe);if(!Ri){rn=xt;return}if(Nt.push(Ri),zr=t.getTokenStart(),ho(28))continue;if(zr=-1,Jn(Q))break;Dn(28,Qg(Q)),Xe&&J()===27&&!t.hasPrecedingLineBreak()&&ke(),Wi===t.getTokenFullStart()&&ke();continue}if(Jn(Q)||Ch(Q))break}return rn=xt,ko(Nt,Kt,void 0,zr>=0)}function Qg(Q){return Q===6?f.An_enum_member_name_must_be_followed_by_a_or:void 0}function xp(){const Q=ko([],L());return Q.isMissingList=!0,Q}function _g(Q){return!!Q.isMissingList}function Ud(Q,xe,Xe,xt){if(Dn(Xe)){const Nt=Bu(Q,xe);return Dn(xt),Nt}return xp()}function te(Q,xe){const Xe=L();let xt=Q?Rc(xe):Ms(xe);for(;ho(25)&&J()!==30;)xt=nn(m.createQualifiedName(xt,Ft(Q,!1,!0)),Xe);return xt}function ct(Q,xe){return nn(m.createQualifiedName(Q,xe),Q.pos)}function Ft(Q,xe,Xe){if(t.hasPrecedingLineBreak()&&sd(J())&&Ci(qp))return js(80,!0,f.Identifier_expected);if(J()===81){const xt=vr();return xe?xt:js(80,!0,f.Identifier_expected)}return Q?Xe?Rc():de():Ms()}function jt(Q){const xe=L(),Xe=[];let xt;do xt=oi(Q),Xe.push(xt);while(xt.literal.kind===17);return ko(Xe,xe)}function F(Q){const xe=L();return nn(m.createTemplateExpression(io(Q),jt(Q)),xe)}function Te(){const Q=L();return nn(m.createTemplateLiteralType(io(!1),st()),Q)}function st(){const Q=L(),xe=[];let Xe;do Xe=Jt(),xe.push(Xe);while(Xe.literal.kind===17);return ko(xe,Q)}function Jt(){const Q=L();return nn(m.createTemplateLiteralTypeSpan(bc(),rr(!1)),Q)}function rr(Q){return J()===20?(Bn(Q),Fa()):As(18,f._0_expected,fa(20))}function oi(Q){const xe=L();return nn(m.createTemplateSpan(Sn(Je),rr(Q)),xe)}function $r(){return Ol(J())}function io(Q){!Q&&t.getTokenFlags()&26656&&Bn(!1);const xe=Ol(J());return N.assert(xe.kind===16,"Template head has wrong token kind"),xe}function Fa(){const Q=Ol(J());return N.assert(Q.kind===17||Q.kind===18,"Template fragment has wrong token kind"),Q}function za(Q){const xe=Q===15||Q===18,Xe=t.getTokenText();return Xe.substring(1,Xe.length-(t.isUnterminated()?0:xe?1:2))}function Ol(Q){const xe=L(),Xe=wy(Q)?m.createTemplateLiteralLikeNode(Q,t.getTokenValue(),za(Q),t.getTokenFlags()&7176):Q===9?A(t.getTokenValue(),t.getNumericLiteralFlags()):Q===11?I(t.getTokenValue(),void 0,t.hasExtendedUnicodeEscape()):mC(Q)?R(Q,t.getTokenValue()):N.fail();return t.hasExtendedUnicodeEscape()&&(Xe.hasExtendedUnicodeEscape=!0),t.isUnterminated()&&(Xe.isUnterminated=!0),ke(),nn(Xe,xe)}function fu(){return te(!0,f.Type_expected)}function Dc(){if(!t.hasPrecedingLineBreak()&&Hn()===30)return Ud(20,bc,30,32)}function Rp(){const Q=L();return nn(m.createTypeReferenceNode(fu(),Dc()),Q)}function Hd(Q){switch(Q.kind){case 183:return zl(Q.typeName);case 184:case 185:{const{parameters:xe,type:Xe}=Q;return _g(xe)||Hd(Xe)}case 196:return Hd(Q.type);default:return!1}}function pb(Q){return ke(),nn(m.createTypePredicateNode(void 0,Q,bc()),Q.pos)}function us(){const Q=L();return ke(),nn(m.createThisTypeNode(),Q)}function km(){const Q=L();return ke(),nn(m.createJSDocAllType(),Q)}function wm(){const Q=L();return ke(),nn(m.createJSDocNonNullableType(mb(),!1),Q)}function Ui(){const Q=L();return ke(),J()===28||J()===20||J()===22||J()===32||J()===64||J()===52?nn(m.createJSDocUnknownType(),Q):nn(m.createJSDocNullableType(bc(),!1),Q)}function Zg(){const Q=L(),xe=_e();if(Ii(Bf)){const Xe=gn(36),xt=Ht(59,!1);return Ar(nn(m.createJSDocFunctionType(Xe,xt),Q),xe)}return nn(m.createTypeReferenceNode(Rc(),void 0),Q)}function ay(){const Q=L();let xe;return(J()===110||J()===105)&&(xe=Rc(),Dn(59)),nn(m.createParameterDeclaration(void 0,void 0,xe,void 0,Om(),void 0),Q)}function Om(){t.setInJSDocType(!0);const Q=L();if(ho(144)){const xt=m.createJSDocNamepathType(void 0);e:for(;;)switch(J()){case 20:case 1:case 28:case 5:break e;default:Tt()}return t.setInJSDocType(!1),nn(xt,Q)}const xe=ho(26);let Xe=_b();return t.setInJSDocType(!1),xe&&(Xe=nn(m.createJSDocVariadicType(Xe),Q)),J()===64?(ke(),nn(m.createJSDocOptionalType(Xe),Q)):Xe}function x1(){const Q=L();Dn(114);const xe=te(!0),Xe=t.hasPrecedingLineBreak()?void 0:rE();return nn(m.createTypeQueryNode(xe,Xe),Q)}function R1(){const Q=L(),xe=wh(!1,!0),Xe=Ms();let xt,Nt;ho(96)&&(hg()||!a_()?xt=bc():Nt=gb());const Kt=ho(64)?bc():void 0,zr=m.createTypeParameterDeclaration(xe,Xe,xt,Kt);return zr.expression=Nt,nn(zr,Q)}function mf(){if(J()===30)return Ud(19,R1,30,32)}function H_(Q){return J()===26||rs()||Wv(J())||J()===60||hg(!Q)}function _f(Q){const xe=Ir(f.Private_identifiers_cannot_be_used_as_parameters);return xL(xe)===0&&!bt(Q)&&Wv(J())&&ke(),xe}function ev(){return Kr()||J()===23||J()===19}function ze(Q){return Mt(Q)}function Ze(Q){return Mt(Q,!1)}function Mt(Q,xe=!0){const Xe=L(),xt=_e(),Nt=Q?le(()=>wh(!0)):Fe(()=>wh(!0));if(J()===110){const Ri=m.createParameterDeclaration(Nt,void 0,pu(!0),void 0,ly(),void 0),mi=Xc(Nt);return mi&&Oe(mi,f.Neither_decorators_nor_modifiers_may_be_applied_to_this_parameters),Ar(nn(Ri,Xe),xt)}const Kt=hn;hn=!1;const zr=pa(26);if(!xe&&!ev())return;const Wi=Ar(nn(m.createParameterDeclaration(Nt,zr,_f(Nt),pa(58),ly(),s_()),Xe),xt);return hn=Kt,Wi}function Ht(Q,xe){if(yn(Q,xe))return qe(_b)}function yn(Q,xe){return Q===39?(Dn(Q),!0):ho(59)?!0:xe&&J()===39?(fn(f._0_expected,fa(59)),ke(),!0):!1}function Yn(Q,xe){const Xe=Rt(),xt=vn();hi(!!(Q&1)),Io(!!(Q&2));const Nt=Q&32?Bu(17,ay):Bu(16,()=>xe?ze(xt):Ze(xt));return hi(Xe),Io(xt),Nt}function gn(Q){if(!Dn(21))return xp();const xe=Yn(Q,!0);return Dn(22),xe}function $n(){ho(28)||ul()}function fi(Q){const xe=L(),Xe=_e();Q===180&&Dn(105);const xt=mf(),Nt=gn(4),Kt=Ht(59,!0);$n();const zr=Q===179?m.createCallSignature(xt,Nt,Kt):m.createConstructSignature(xt,Nt,Kt);return Ar(nn(zr,xe),Xe)}function cr(){return J()===23&&Ci(ri)}function ri(){if(ke(),J()===26||J()===24)return!0;if(Wv(J())){if(ke(),xo())return!0}else if(xo())ke();else return!1;return J()===59||J()===28?!0:J()!==58?!1:(ke(),J()===59||J()===28||J()===24)}function fr(Q,xe,Xe){const xt=Ud(16,()=>ze(!1),23,24),Nt=ly();$n();const Kt=m.createIndexSignature(Xe,xt,Nt);return Ar(nn(Kt,Q),xe)}function Zo(Q,xe,Xe){const xt=un(),Nt=pa(58);let Kt;if(J()===21||J()===30){const zr=mf(),Wi=gn(4),Ri=Ht(59,!0);Kt=m.createMethodSignature(Xe,xt,Nt,zr,Wi,Ri)}else{const zr=ly();Kt=m.createPropertySignature(Xe,xt,Nt,zr),J()===64&&(Kt.initializer=s_())}return $n(),Ar(nn(Kt,Q),xe)}function Ka(){if(J()===21||J()===30||J()===139||J()===153)return!0;let Q=!1;for(;Wv(J());)Q=!0,ke();return J()===23?!0:(ee()&&(Q=!0,ke()),Q?J()===21||J()===30||J()===58||J()===59||J()===28||lc():!1)}function Zu(){if(J()===21||J()===30)return fi(179);if(J()===105&&Ci(mu))return fi(180);const Q=L(),xe=_e(),Xe=wh(!1);return Ur(139)?lv(Q,xe,Xe,177,4):Ur(153)?lv(Q,xe,Xe,178,4):cr()?fr(Q,xe,Xe):Zo(Q,xe,Xe)}function mu(){return ke(),J()===21||J()===30}function tv(){return ke()===25}function j0(){switch(ke()){case 21:case 30:case 25:return!0}return!1}function JI(){const Q=L();return nn(m.createTypeLiteralNode(U0()),Q)}function U0(){let Q;return Dn(19)?(Q=na(4,Zu),Dn(20)):Q=xp(),Q}function QD(){return ke(),J()===40||J()===41?ke()===148:(J()===148&&ke(),J()===23&&op()&&ke()===103)}function ZD(){const Q=L(),xe=Rc();Dn(103);const Xe=bc();return nn(m.createTypeParameterDeclaration(void 0,xe,Xe,void 0),Q)}function eN(){const Q=L();Dn(19);let xe;(J()===148||J()===40||J()===41)&&(xe=_l(),xe.kind!==148&&Dn(148)),Dn(23);const Xe=ZD(),xt=ho(130)?bc():void 0;Dn(24);let Nt;(J()===58||J()===40||J()===41)&&(Nt=_l(),Nt.kind!==58&&Dn(58));const Kt=ly();ul();const zr=na(4,Zu);return Dn(20),nn(m.createMappedTypeNode(xe,Xe,xt,Nt,Kt,zr),Q)}function hT(){const Q=L();if(ho(26))return nn(m.createRestTypeNode(bc()),Q);const xe=bc();if($R(xe)&&xe.pos===xe.type.pos){const Xe=m.createOptionalTypeNode(xe.type);return dt(Xe,xe),Xe.flags=xe.flags,Xe}return xe}function D1(){return ke()===59||J()===58&&ke()===59}function KI(){return J()===26?sd(ke())&&D1():sd(J())&&D1()}function tN(){if(Ci(KI)){const Q=L(),xe=_e(),Xe=pa(26),xt=Rc(),Nt=pa(58);Dn(59);const Kt=hT(),zr=m.createNamedTupleMember(Xe,xt,Nt,Kt);return Ar(nn(zr,Q),xe)}return hT()}function XI(){const Q=L();return nn(m.createTupleTypeNode(Ud(21,tN,23,24)),Q)}function YI(){const Q=L();Dn(21);const xe=bc();return Dn(22),nn(m.createParenthesizedType(xe),Q)}function $I(){let Q;if(J()===128){const xe=L();ke();const Xe=nn(O(128),xe);Q=ko([Xe],xe)}return Q}function QI(){const Q=L(),xe=_e(),Xe=$I(),xt=ho(105);N.assert(!Xe||xt,"Per isStartOfFunctionOrConstructorType, a function type cannot have modifiers.");const Nt=mf(),Kt=gn(4),zr=Ht(39,!1),Wi=xt?m.createConstructorTypeNode(Xe,Nt,Kt,zr):m.createFunctionTypeNode(Nt,Kt,zr);return Ar(nn(Wi,Q),xe)}function gT(){const Q=_l();return J()===25?void 0:Q}function ZI(Q){const xe=L();Q&&ke();let Xe=J()===112||J()===97||J()===106?_l():Ol(J());return Q&&(Xe=nn(m.createPrefixUnaryExpression(41,Xe),xe)),nn(m.createLiteralTypeNode(Xe),xe)}function N1(){return ke(),J()===102}function C1(){De|=4194304;const Q=L(),xe=ho(114);Dn(102),Dn(21);const Xe=bc();let xt;if(ho(28)){const zr=t.getTokenStart();Dn(19);const Wi=J();if(Wi===118||Wi===132?ke():fn(f._0_expected,fa(118)),Dn(59),xt=Rb(Wi,!0),!Dn(20)){const Ri=tl(je);Ri&&Ri.code===f._0_expected.code&&wa(Ri,OR(Ee,Pe,zr,1,f.The_parser_expected_to_find_a_1_to_match_the_0_token_here,"{","}"))}}Dn(22);const Nt=ho(25)?fu():void 0,Kt=Dc();return nn(m.createImportTypeNode(Xe,xt,Nt,Kt,xe),Q)}function fb(){return ke(),J()===9||J()===10}function mb(){switch(J()){case 133:case 159:case 154:case 150:case 163:case 155:case 136:case 157:case 146:case 151:return Ii(gT)||Rp();case 67:t.reScanAsteriskEqualsToken();case 42:return km();case 61:t.reScanQuestionToken();case 58:return Ui();case 100:return Zg();case 54:return wm();case 15:case 11:case 9:case 10:case 112:case 97:case 106:return ZI();case 41:return Ci(fb)?ZI(!0):Rp();case 116:return _l();case 110:{const Q=us();return J()===142&&!t.hasPrecedingLineBreak()?pb(Q):Q}case 114:return Ci(N1)?C1():x1();case 19:return Ci(QD)?eN():JI();case 23:return XI();case 21:return YI();case 102:return C1();case 131:return Ci(qp)?tx():Rp();case 16:return Te();default:return Rp()}}function hg(Q){switch(J()){case 133:case 159:case 154:case 150:case 163:case 136:case 148:case 155:case 158:case 116:case 157:case 106:case 110:case 114:case 146:case 19:case 23:case 30:case 52:case 51:case 105:case 11:case 9:case 10:case 112:case 97:case 151:case 42:case 58:case 54:case 26:case 140:case 102:case 131:case 15:case 16:return!0;case 100:return!Q;case 41:return!Q&&Ci(fb);case 21:return!Q&&Ci(H0);default:return xo()}}function H0(){return ke(),J()===22||H_(!1)||hg()}function ts(){const Q=L();let xe=mb();for(;!t.hasPrecedingLineBreak();)switch(J()){case 54:ke(),xe=nn(m.createJSDocNonNullableType(xe,!0),Q);break;case 58:if(Ci(Wt))return xe;ke(),xe=nn(m.createJSDocNullableType(xe,!0),Q);break;case 23:if(Dn(23),hg()){const Xe=bc();Dn(24),xe=nn(m.createIndexedAccessTypeNode(xe,Xe),Q)}else Dn(24),xe=nn(m.createArrayTypeNode(xe),Q);break;default:return xe}return xe}function vT(Q){const xe=L();return Dn(Q),nn(m.createTypeOperatorNode(Q,Ph()),xe)}function nN(){if(ho(96)){const Q=mt(bc);if(_t()||J()!==58)return Q}}function q0(){const Q=L(),xe=Ms(),Xe=Ii(nN),xt=m.createTypeParameterDeclaration(void 0,xe,Xe);return nn(xt,Q)}function nv(){const Q=L();return Dn(140),nn(m.createInferTypeNode(q0()),Q)}function Ph(){const Q=J();switch(Q){case 143:case 158:case 148:return vT(Q);case 140:return nv()}return qe(ts)}function Wf(Q){if(yT()){const xe=QI();let Xe;return Eh(xe)?Xe=Q?f.Function_type_notation_must_be_parenthesized_when_used_in_a_union_type:f.Function_type_notation_must_be_parenthesized_when_used_in_an_intersection_type:Xe=Q?f.Constructor_type_notation_must_be_parenthesized_when_used_in_a_union_type:f.Constructor_type_notation_must_be_parenthesized_when_used_in_an_intersection_type,Oe(xe,Xe),xe}}function qd(Q,xe,Xe){const xt=L(),Nt=Q===52,Kt=ho(Q);let zr=Kt&&Wf(Nt)||xe();if(J()===Q||Kt){const Wi=[zr];for(;ho(Q);)Wi.push(Wf(Nt)||xe());zr=nn(Xe(ko(Wi,xt)),xt)}return zr}function Dp(){return qd(51,Ph,m.createIntersectionTypeNode)}function ap(){return qd(52,Dp,m.createUnionTypeNode)}function sy(){return ke(),J()===105}function yT(){return J()===30||J()===21&&Ci(ex)?!0:J()===105||J()===128&&Ci(sy)}function rN(){if(Wv(J())&&wh(!1),xo()||J()===110)return ke(),!0;if(J()===23||J()===19){const Q=je.length;return Ir(),Q===je.length}return!1}function ex(){return ke(),!!(J()===22||J()===26||rN()&&(J()===59||J()===28||J()===58||J()===64||J()===22&&(ke(),J()===39)))}function _b(){const Q=L(),xe=xo()&&Ii(J0),Xe=bc();return xe?nn(m.createTypePredicateNode(void 0,xe,Xe),Q):Xe}function J0(){const Q=Ms();if(J()===142&&!t.hasPrecedingLineBreak())return ke(),Q}function tx(){const Q=L(),xe=As(131),Xe=J()===110?us():Ms(),xt=ho(142)?bc():void 0;return nn(m.createTypePredicateNode(xe,Xe,xt),Q)}function bc(){if(Xn&81920)return ma(81920,bc);if(yT())return QI();const Q=L(),xe=ap();if(!_t()&&!t.hasPrecedingLineBreak()&&ho(96)){const Xe=mt(bc);Dn(58);const xt=qe(bc);Dn(59);const Nt=qe(bc);return nn(m.createConditionalTypeNode(xe,Xe,xt,Nt),Q)}return xe}function ly(){return ho(59)?bc():void 0}function nx(){switch(J()){case 110:case 108:case 106:case 112:case 97:case 9:case 10:case 11:case 15:case 16:case 21:case 23:case 19:case 100:case 86:case 105:case 44:case 69:case 80:return!0;case 102:return Ci(j0);default:return xo()}}function a_(){if(nx())return!0;switch(J()){case 40:case 41:case 55:case 54:case 91:case 114:case 116:case 46:case 47:case 30:case 135:case 127:case 81:case 60:return!0;default:return c_()?!0:xo()}}function bT(){return J()!==19&&J()!==100&&J()!==86&&J()!==60&&a_()}function Je(){const Q=Vt();Q&&Qi(!1);const xe=L();let Xe=_u(!0),xt;for(;xt=pa(28);)Xe=P1(Xe,xt,_u(!0),xe);return Q&&Qi(!0),Xe}function s_(){return ho(64)?_u(!0):void 0}function _u(Q){if(K0())return hb();const xe=uy(Q)||ET(Q);if(xe)return xe;const Xe=L(),xt=_e(),Nt=dm(0);return Nt.kind===80&&J()===39?cy(Xe,Nt,Q,xt,void 0):ep(Nt)&&Vv(en())?P1(Nt,_l(),_u(Q),Xe):um(Nt,Xe,Q)}function K0(){return J()===127?Rt()?!0:Ci(Hs):!1}function rx(){return ke(),!t.hasPrecedingLineBreak()&&xo()}function hb(){const Q=L();return ke(),!t.hasPrecedingLineBreak()&&(J()===42||a_())?nn(m.createYieldExpression(pa(42),_u(!0)),Q):nn(m.createYieldExpression(void 0,void 0),Q)}function cy(Q,xe,Xe,xt,Nt){N.assert(J()===39,"parseSimpleArrowFunctionExpression should only have been called if we had a =>");const Kt=m.createParameterDeclaration(void 0,void 0,xe,void 0,void 0,void 0);nn(Kt,xe.pos);const zr=ko([Kt],Kt.pos,Kt.end),Wi=As(39),Ri=TT(!!Nt,Xe),mi=m.createArrowFunction(Nt,void 0,zr,void 0,Wi,Ri);return Ar(nn(mi,Q),xt)}function uy(Q){const xe=P2();if(xe!==0)return xe===1?X0(!0,!0):Ii(()=>l_(Q))}function P2(){return J()===21||J()===30||J()===134?Ci(Xa):J()===39?1:0}function Xa(){if(J()===134&&(ke(),t.hasPrecedingLineBreak()||J()!==21&&J()!==30))return 0;const Q=J(),xe=ke();if(Q===21){if(xe===22)switch(ke()){case 39:case 59:case 19:return 1;default:return 0}if(xe===23||xe===19)return 2;if(xe===26)return 1;if(Wv(xe)&&xe!==134&&Ci(op))return ke()===130?0:1;if(!xo()&&xe!==110)return 0;switch(ke()){case 59:return 1;case 58:return ke(),J()===59||J()===28||J()===64||J()===22?1:0;case 28:case 64:case 22:return 2}return 0}else return N.assert(Q===30),!xo()&&J()!==87?0:Se===1?Ci(()=>{ho(87);const xt=ke();if(xt===96)switch(ke()){case 64:case 32:case 44:return!1;default:return!0}else if(xt===28||xt===64)return!0;return!1})?1:0:2}function l_(Q){const xe=t.getTokenStart();if(Pn!=null&&Pn.has(xe))return;const Xe=X0(!1,Q);return Xe||(Pn||(Pn=new Set)).add(xe),Xe}function ET(Q){if(J()===134&&Ci(ST)===1){const xe=L(),Xe=_e(),xt=yf(),Nt=dm(0);return cy(xe,Nt,Q,Xe,xt)}}function ST(){if(J()===134){if(ke(),t.hasPrecedingLineBreak()||J()===39)return 0;const Q=dm(0);if(!t.hasPrecedingLineBreak()&&Q.kind===80&&J()===39)return 1}return 0}function X0(Q,xe){const Xe=L(),xt=_e(),Nt=yf(),Kt=bt(Nt,_P)?2:0,zr=mf();let Wi;if(Dn(21)){if(Q)Wi=Yn(Kt,Q);else{const Ag=Yn(Kt,Q);if(!Ag)return;Wi=Ag}if(!Dn(22)&&!Q)return}else{if(!Q)return;Wi=xp()}const Ri=J()===59,mi=Ht(59,!1);if(mi&&!Q&&Hd(mi))return;let Yi=mi;for(;(Yi==null?void 0:Yi.kind)===196;)Yi=Yi.type;const ds=Yi&&QR(Yi);if(!Q&&J()!==39&&(ds||J()!==19))return;const Ds=J(),es=As(39),Gf=Ds===39||Ds===19?TT(bt(Nt,_P),xe):Ms();if(!xe&&Ri&&J()!==59)return;const $_=m.createArrowFunction(Nt,zr,Wi,mi,es,Gf);return Ar(nn($_,Xe),xt)}function TT(Q,xe){if(J()===19)return Eb(Q?2:0);if(J()!==27&&J()!==100&&J()!==86&&W1()&&!bT())return Eb(16|(Q?2:0));const Xe=hn;hn=!1;const xt=Q?le(()=>_u(xe)):Fe(()=>_u(xe));return hn=Xe,xt}function um(Q,xe,Xe){const xt=pa(58);if(!xt)return Q;let Nt;return nn(m.createConditionalExpression(Q,xt,ma(r,()=>_u(!1)),Nt=As(59),Yf(Nt)?_u(Xe):js(80,!1,f._0_expected,fa(59))),xe)}function dm(Q){const xe=L(),Xe=gb();return ix(Q,Xe,xe)}function rv(Q){return Q===103||Q===165}function ix(Q,xe,Xe){for(;;){en();const xt=uk(J());if(!(J()===43?xt>=Q:xt>Q)||J()===103&&Ye())break;if(J()===130||J()===152){if(t.hasPrecedingLineBreak())break;{const Kt=J();ke(),xe=Kt===152?iN(xe,bc()):Xi(xe,bc())}}else xe=P1(xe,_l(),dm(xt),Xe)}return xe}function c_(){return Ye()&&J()===103?!1:uk(J())>0}function iN(Q,xe){return nn(m.createSatisfiesExpression(Q,xe),Q.pos)}function P1(Q,xe,Xe,xt){return nn(m.createBinaryExpression(Q,xe,Xe),xt)}function Xi(Q,xe){return nn(m.createAsExpression(Q,xe),Q.pos)}function ei(){const Q=L();return nn(m.createPrefixUnaryExpression(J(),Ge(bl)),Q)}function Mh(){const Q=L();return nn(m.createDeleteExpression(Ge(bl)),Q)}function Jd(){const Q=L();return nn(m.createTypeOfExpression(Ge(bl)),Q)}function M2(){const Q=L();return nn(m.createVoidExpression(Ge(bl)),Q)}function iv(){return J()===135?vn()?!0:Ci(Hs):!1}function L2(){const Q=L();return nn(m.createAwaitExpression(Ge(bl)),Q)}function gb(){if(Up()){const Xe=L(),xt=gg();return J()===43?ix(uk(J()),xt,Xe):xt}const Q=J(),xe=bl();if(J()===43){const Xe=ka(Pe,xe.pos),{end:xt}=xe;xe.kind===216?q(Xe,xt,f.A_type_assertion_expression_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Consider_enclosing_the_expression_in_parentheses):(N.assert(v3(Q)),q(Xe,xt,f.An_unary_expression_with_the_0_operator_is_not_allowed_in_the_left_hand_side_of_an_exponentiation_expression_Consider_enclosing_the_expression_in_parentheses,fa(Q)))}return xe}function bl(){switch(J()){case 40:case 41:case 55:case 54:return ei();case 91:return Mh();case 114:return Jd();case 116:return M2();case 30:return Se===1?ov(!0,void 0,void 0,!0):Fm();case 135:if(iv())return L2();default:return gg()}}function Up(){switch(J()){case 40:case 41:case 55:case 54:case 91:case 114:case 116:case 135:return!1;case 30:if(Se!==1)return!1;default:return!0}}function gg(){if(J()===46||J()===47){const xe=L();return nn(m.createPrefixUnaryExpression(J(),Ge(pm)),xe)}else if(Se===1&&J()===30&&Ci(cm))return ov(!0);const Q=pm();if(N.assert(ep(Q)),(J()===46||J()===47)&&!t.hasPrecedingLineBreak()){const xe=J();return ke(),nn(m.createPostfixUnaryExpression(Q,xe),Q.pos)}return Q}function pm(){const Q=L();let xe;return J()===102?Ci(mu)?(De|=4194304,xe=_l()):Ci(tv)?(ke(),ke(),xe=nn(m.createMetaProperty(102,Rc()),Q),De|=8388608):xe=vb():xe=J()===108?Wm():vb(),py(Q,xe)}function vb(){const Q=L(),xe=Y0();return ru(Q,xe,!0)}function Wm(){const Q=L();let xe=_l();if(J()===30){const Xe=L(),xt=Ii(ur);xt!==void 0&&(q(Xe,L(),f.super_may_not_use_type_arguments),to()||(xe=m.createExpressionWithTypeArguments(xe,xt)))}return J()===21||J()===25||J()===23?xe:(As(25,f.super_must_be_followed_by_an_argument_list_or_member_access),nn(Z(xe,Ft(!0,!0,!0)),Q))}function ov(Q,xe,Xe,xt=!1){const Nt=L(),Kt=yg(Q);let zr;if(Kt.kind===286){let Wi=vg(Kt),Ri;const mi=Wi[Wi.length-1];if((mi==null?void 0:mi.kind)===284&&!C0(mi.openingElement.tagName,mi.closingElement.tagName)&&C0(Kt.tagName,mi.closingElement.tagName)){const Yi=mi.children.end,ds=nn(m.createJsxElement(mi.openingElement,mi.children,nn(m.createJsxClosingElement(nn(M(""),Yi,Yi)),Yi,Yi)),mi.openingElement.pos,Yi);Wi=ko([...Wi.slice(0,Wi.length-1),ds],Wi.pos,Yi),Ri=mi.closingElement}else Ri=L1(Kt,Q),C0(Kt.tagName,Ri.tagName)||(Xe&&W_(Xe)&&C0(Ri.tagName,Xe.tagName)?Oe(Kt.tagName,f.JSX_element_0_has_no_corresponding_closing_tag,bC(Pe,Kt.tagName)):Oe(Ri.tagName,f.Expected_corresponding_JSX_closing_tag_for_0,bC(Pe,Kt.tagName)));zr=nn(m.createJsxElement(Kt,Wi,Ri),Nt)}else Kt.kind===289?zr=nn(m.createJsxFragment(Kt,vg(Kt),xT(Q)),Nt):(N.assert(Kt.kind===285),zr=Kt);if(!xt&&Q&&J()===30){const Wi=typeof xe>"u"?zr.pos:xe,Ri=Ii(()=>ov(!0,Wi));if(Ri){const mi=js(28,!1);return nP(mi,Ri.pos,0),q(ka(Pe,Wi),Ri.end,f.JSX_expressions_must_have_one_parent_element),nn(m.createBinaryExpression(zr,mi,Ri),Nt)}}return zr}function M1(){const Q=L(),xe=m.createJsxText(t.getTokenValue(),vt===13);return vt=t.scanJsxToken(),nn(xe,Q)}function AT(Q,xe){switch(xe){case 1:if(hI(Q))Oe(Q,f.JSX_fragment_has_no_corresponding_closing_tag);else{const Xe=Q.tagName,xt=Math.min(ka(Pe,Xe.pos),Xe.end);q(xt,Xe.end,f.JSX_element_0_has_no_corresponding_closing_tag,bC(Pe,Q.tagName))}return;case 31:case 7:return;case 12:case 13:return M1();case 19:return oN(!1);case 30:return ov(!1,void 0,Q);default:return N.assertNever(xe)}}function vg(Q){const xe=[],Xe=L(),xt=rn;for(rn|=16384;;){const Nt=AT(Q,vt=t.reScanJsxToken());if(!Nt||(xe.push(Nt),W_(Q)&&(Nt==null?void 0:Nt.kind)===284&&!C0(Nt.openingElement.tagName,Nt.closingElement.tagName)&&C0(Q.tagName,Nt.closingElement.tagName)))break}return rn=xt,ko(xe,Xe)}function ox(){const Q=L();return nn(m.createJsxAttributes(na(13,aN)),Q)}function yg(Q){const xe=L();if(Dn(30),J()===32)return ir(),nn(m.createJsxOpeningFragment(),xe);const Xe=q_(),xt=Xn&524288?void 0:rE(),Nt=ox();let Kt;return J()===32?(ir(),Kt=m.createJsxOpeningElement(Xe,xt,Nt)):(Dn(44),Dn(32,void 0,!1)&&(Q?ke():ir()),Kt=m.createJsxSelfClosingElement(Xe,xt,Nt)),nn(Kt,xe)}function q_(){const Q=L(),xe=dy();if(t_(xe))return xe;let Xe=xe;for(;ho(25);)Xe=nn(Z(Xe,Ft(!0,!1,!1)),Q);return Xe}function dy(){const Q=L();Ai();const xe=J()===110,Xe=de();return ho(59)?(Ai(),nn(m.createJsxNamespacedName(Xe,de()),Q)):xe?nn(m.createToken(110),Q):Xe}function oN(Q){const xe=L();if(!Dn(19))return;let Xe,xt;return J()!==20&&(Q||(Xe=pa(26)),xt=Je()),Q?Dn(20):Dn(20,void 0,!1)&&ir(),nn(m.createJsxExpression(Xe,xt),xe)}function aN(){if(J()===19)return Zs();const Q=L();return nn(m.createJsxAttribute(IT(),sN()),Q)}function sN(){if(J()===64){if(jr()===11)return $r();if(J()===19)return oN(!0);if(J()===30)return ov(!0);fn(f.or_JSX_element_expected)}}function IT(){const Q=L();Ai();const xe=de();return ho(59)?(Ai(),nn(m.createJsxNamespacedName(xe,de()),Q)):xe}function Zs(){const Q=L();Dn(19),Dn(26);const xe=Je();return Dn(20),nn(m.createJsxSpreadAttribute(xe),Q)}function L1(Q,xe){const Xe=L();Dn(31);const xt=q_();return Dn(32,void 0,!1)&&(xe||!C0(Q.tagName,xt)?ke():ir()),nn(m.createJsxClosingElement(xt),Xe)}function xT(Q){const xe=L();return Dn(31),Dn(32,f.Expected_corresponding_closing_tag_for_JSX_fragment,!1)&&(Q?ke():ir()),nn(m.createJsxJsxClosingFragment(),xe)}function Fm(){N.assert(Se!==1,"Type assertions should never be parsed in JSX; they should be parsed as comparisons or JSX elements/fragments.");const Q=L();Dn(30);const xe=bc();Dn(32);const Xe=bl();return nn(m.createTypeAssertion(xe,Xe),Q)}function yb(){return ke(),sd(J())||J()===23||to()}function lN(){return J()===29&&Ci(yb)}function RT(Q){if(Q.flags&64)return!0;if(fI(Q)){let xe=Q.expression;for(;fI(xe)&&!(xe.flags&64);)xe=xe.expression;if(xe.flags&64){for(;fI(Q);)Q.flags|=64,Q=Q.expression;return!0}}return!1}function k2(Q,xe,Xe){const xt=Ft(!0,!0,!0),Nt=Xe||RT(xe),Kt=Nt?V(xe,Xe,xt):Z(xe,xt);if(Nt&&Ji(Kt.name)&&Oe(Kt.name,f.An_optional_chain_cannot_contain_private_identifiers),Kv(xe)&&xe.typeArguments){const zr=xe.typeArguments.pos-1,Wi=ka(Pe,xe.typeArguments.end)+1;q(zr,Wi,f.An_instantiation_expression_cannot_be_followed_by_a_property_access)}return nn(Kt,Q)}function hr(Q,xe,Xe){let xt;if(J()===24)xt=js(80,!0,f.An_element_access_expression_should_take_an_argument);else{const Kt=Sn(Je);nf(Kt)&&(Kt.text=nu(Kt.text)),xt=Kt}Dn(24);const Nt=Xe||RT(xe)?G(xe,Xe,xt):se(xe,xt);return nn(Nt,Q)}function ru(Q,xe,Xe){for(;;){let xt,Nt=!1;if(Xe&&lN()?(xt=As(29),Nt=sd(J())):Nt=ho(25),Nt){xe=k2(Q,xe,xt);continue}if((xt||!Vt())&&ho(23)){xe=hr(Q,xe,xt);continue}if(to()){xe=!xt&&xe.kind===233?hf(Q,xe.expression,xt,xe.typeArguments):hf(Q,xe,xt,void 0);continue}if(!xt){if(J()===54&&!t.hasPrecedingLineBreak()){ke(),xe=nn(m.createNonNullExpression(xe),Q);continue}const Kt=Ii(ur);if(Kt){xe=nn(m.createExpressionWithTypeArguments(xe,Kt),Q);continue}}return xe}}function to(){return J()===15||J()===16}function hf(Q,xe,Xe,xt){const Nt=m.createTaggedTemplateExpression(xe,xt,J()===15?(Bn(!0),$r()):F(!0));return(Xe||xe.flags&64)&&(Nt.flags|=64),Nt.questionDotToken=Xe,nn(Nt,Q)}function py(Q,xe){for(;;){xe=ru(Q,xe,!0);let Xe;const xt=pa(29);if(xt&&(Xe=Ii(ur),to())){xe=hf(Q,xe,xt,Xe);continue}if(Xe||J()===21){!xt&&xe.kind===233&&(Xe=xe.typeArguments,xe=xe.expression);const Nt=J_(),Kt=xt||RT(xe)?he(xe,xt,Xe,Nt):ne(xe,Xe,Nt);xe=nn(Kt,Q);continue}if(xt){const Nt=js(80,!1,f.Identifier_expected);xe=nn(V(xe,xt,Nt),Q)}break}return xe}function J_(){Dn(21);const Q=Bu(11,k1);return Dn(22),Q}function ur(){if(Xn&524288||Hn()!==30)return;ke();const Q=Bu(20,bc);if(en()===32)return ke(),Q&&DT()?Q:void 0}function DT(){switch(J()){case 21:case 15:case 16:return!0;case 30:case 32:case 40:case 41:return!1}return t.hasPrecedingLineBreak()||c_()||!a_()}function Y0(){switch(J()){case 15:t.getTokenFlags()&26656&&Bn(!1);case 9:case 10:case 11:return $r();case 110:case 108:case 106:case 112:case 97:return _l();case 21:return Kc();case 23:return w1();case 19:return av();case 134:if(!Ci(kT))break;return NT();case 60:return nE();case 86:return px();case 100:return NT();case 105:return $0();case 44:case 69:if(bn()===14)return $r();break;case 16:return F(!1);case 81:return vr()}return Ms(f.Expression_expected)}function Kc(){const Q=L(),xe=_e();Dn(21);const Xe=Sn(Je);return Dn(22),Ar(nn($(Xe),Q),xe)}function Lh(){const Q=L();Dn(26);const xe=_u(!0);return nn(m.createSpreadElement(xe),Q)}function zm(){return J()===26?Lh():J()===28?nn(m.createOmittedExpression(),L()):_u(!0)}function k1(){return ma(r,zm)}function w1(){const Q=L(),xe=t.getTokenStart(),Xe=Dn(23),xt=t.hasPrecedingLineBreak(),Nt=Bu(15,zm);return uu(23,24,Xe,xe),nn(U(Nt,xt),Q)}function bb(){const Q=L(),xe=_e();if(pa(26)){const Yi=_u(!0);return Ar(nn(m.createSpreadAssignment(Yi),Q),xe)}const Xe=wh(!0);if(Ur(139))return lv(Q,xe,Xe,177,0);if(Ur(153))return lv(Q,xe,Xe,178,0);const xt=pa(42),Nt=xo(),Kt=un(),zr=pa(58),Wi=pa(54);if(xt||J()===21||J()===30)return u_(Q,xe,Xe,xt,Kt,zr,Wi);let Ri;if(Nt&&J()!==59){const Yi=pa(64),ds=Yi?Sn(()=>_u(!0)):void 0;Ri=m.createShorthandPropertyAssignment(Kt,ds),Ri.equalsToken=Yi}else{Dn(59);const Yi=Sn(()=>_u(!0));Ri=m.createPropertyAssignment(Kt,Yi)}return Ri.modifiers=Xe,Ri.questionToken=zr,Ri.exclamationToken=Wi,Ar(nn(Ri,Q),xe)}function av(){const Q=L(),xe=t.getTokenStart(),Xe=Dn(19),xt=t.hasPrecedingLineBreak(),Nt=Bu(12,bb,!0);return uu(19,20,Xe,xe),nn(K(Nt,xt),Q)}function NT(){const Q=Vt();Qi(!1);const xe=L(),Xe=_e(),xt=wh(!1);Dn(100);const Nt=pa(42),Kt=Nt?1:0,zr=bt(xt,_P)?2:0,Wi=Kt&&zr?pt(Bm):Kt?ft(Bm):zr?le(Bm):Bm(),Ri=mf(),mi=gn(Kt|zr),Yi=Ht(59,!1),ds=Eb(Kt|zr);Qi(Q);const Ds=m.createFunctionExpression(xt,Nt,Wi,Ri,mi,Yi,ds);return Ar(nn(Ds,xe),Xe)}function Bm(){return Kr()?Ip():void 0}function $0(){const Q=L();if(Dn(105),ho(25)){const Kt=Rc();return nn(m.createMetaProperty(105,Kt),Q)}const xe=L();let Xe=ru(xe,Y0(),!1),xt;Xe.kind===233&&(xt=Xe.typeArguments,Xe=Xe.expression),J()===29&&fn(f.Invalid_optional_chain_from_new_expression_Did_you_mean_to_call_0,bC(Pe,Xe));const Nt=J()===21?J_():void 0;return nn(ye(Xe,xt,Nt),Q)}function bg(Q,xe){const Xe=L(),xt=_e(),Nt=t.getTokenStart(),Kt=Dn(19,xe);if(Kt||Q){const zr=t.hasPrecedingLineBreak(),Wi=na(1,lp);uu(19,20,Kt,Nt);const Ri=Ar(nn(Y(Wi,zr),Xe),xt);return J()===64&&(fn(f.Declaration_or_statement_expected_This_follows_a_block_of_statements_so_if_you_intended_to_write_a_destructuring_assignment_you_might_need_to_wrap_the_whole_assignment_in_parentheses),ke()),Ri}else{const zr=xp();return Ar(nn(Y(zr,void 0),Xe),xt)}}function Eb(Q,xe){const Xe=Rt();hi(!!(Q&1));const xt=vn();Io(!!(Q&2));const Nt=hn;hn=!1;const Kt=Vt();Kt&&Qi(!1);const zr=bg(!!(Q&16),xe);return Kt&&Qi(!0),hn=Nt,hi(Xe),Io(xt),zr}function ax(){const Q=L(),xe=_e();return Dn(27),Ar(nn(m.createEmptyStatement(),Q),xe)}function Q0(){const Q=L(),xe=_e();Dn(101);const Xe=t.getTokenStart(),xt=Dn(21),Nt=Sn(Je);uu(21,22,xt,Xe);const Kt=lp(),zr=ho(93)?lp():void 0;return Ar(nn(Ne(Nt,Kt,zr),Q),xe)}function cN(){const Q=L(),xe=_e();Dn(92);const Xe=lp();Dn(117);const xt=t.getTokenStart(),Nt=Dn(21),Kt=Sn(Je);return uu(21,22,Nt,xt),ho(27),Ar(nn(m.createDoStatement(Xe,Kt),Q),xe)}function O1(){const Q=L(),xe=_e();Dn(117);const Xe=t.getTokenStart(),xt=Dn(21),Nt=Sn(Je);uu(21,22,xt,Xe);const Kt=lp();return Ar(nn(pe(Nt,Kt),Q),xe)}function CT(){const Q=L(),xe=_e();Dn(99);const Xe=pa(135);Dn(21);let xt;J()!==27&&(J()===115||J()===121||J()===87||J()===160&&Ci(sv)||J()===135&&Ci(Np)?xt=F1(!0):xt=kn(Je));let Nt;if(Xe?Dn(165):ho(165)){const Kt=Sn(()=>_u(!0));Dn(22),Nt=ve(Xe,xt,Kt,lp())}else if(ho(103)){const Kt=Sn(Je);Dn(22),Nt=m.createForInStatement(xt,Kt,lp())}else{Dn(27);const Kt=J()!==27&&J()!==22?Sn(Je):void 0;Dn(27);const zr=J()!==22?Sn(Je):void 0;Dn(22),Nt=ie(xt,Kt,zr,lp())}return Ar(nn(Nt,Q),xe)}function Hp(Q){const xe=L(),Xe=_e();Dn(Q===252?83:88);const xt=lc()?void 0:Ms();ul();const Nt=Q===252?m.createBreakStatement(xt):m.createContinueStatement(xt);return Ar(nn(Nt,xe),Xe)}function PT(){const Q=L(),xe=_e();Dn(107);const Xe=lc()?void 0:Sn(Je);return ul(),Ar(nn(m.createReturnStatement(Xe),Q),xe)}function jl(){const Q=L(),xe=_e();Dn(118);const Xe=t.getTokenStart(),xt=Dn(21),Nt=Sn(Je);uu(21,22,xt,Xe);const Kt=Wa(67108864,lp);return Ar(nn(m.createWithStatement(Nt,Kt),Q),xe)}function Fc(){const Q=L(),xe=_e();Dn(84);const Xe=Sn(Je);Dn(59);const xt=na(3,lp);return Ar(nn(m.createCaseClause(Xe,xt),Q),xe)}function MT(){const Q=L();Dn(90),Dn(59);const xe=na(3,lp);return nn(m.createDefaultClause(xe),Q)}function Au(){return J()===84?Fc():MT()}function sp(){const Q=L();Dn(19);const xe=na(2,Au);return Dn(20),nn(m.createCaseBlock(xe),Q)}function Z0(){const Q=L(),xe=_e();Dn(109),Dn(21);const Xe=Sn(Je);Dn(22);const xt=sp();return Ar(nn(m.createSwitchStatement(Xe,xt),Q),xe)}function Ff(){const Q=L(),xe=_e();Dn(111);let Xe=t.hasPrecedingLineBreak()?void 0:Sn(Je);return Xe===void 0&&(tn++,Xe=nn(M(""),L())),Nl()||Ql(Xe),Ar(nn(m.createThrowStatement(Xe),Q),xe)}function Sb(){const Q=L(),xe=_e();Dn(113);const Xe=bg(!1),xt=J()===85?Tb():void 0;let Nt;return(!xt||J()===98)&&(Dn(98,f.catch_or_finally_expected),Nt=bg(!1)),Ar(nn(m.createTryStatement(Xe,xt,Nt),Q),xe)}function Tb(){const Q=L();Dn(85);let xe;ho(21)?(xe=Pd(),Dn(22)):xe=void 0;const Xe=bg(!1);return nn(m.createCatchClause(xe,Xe),Q)}function iu(){const Q=L(),xe=_e();return Dn(89),ul(),Ar(nn(m.createDebuggerStatement(),Q),xe)}function sx(){const Q=L();let xe=_e(),Xe;const xt=J()===21,Nt=Sn(Je);return Ve(Nt)&&ho(59)?Xe=m.createLabeledStatement(Nt,lp()):(Nl()||Ql(Nt),Xe=fe(Nt),xt&&(xe=!1)),Ar(nn(Xe,Q),xe)}function qp(){return ke(),sd(J())&&!t.hasPrecedingLineBreak()}function LT(){return ke(),J()===86&&!t.hasPrecedingLineBreak()}function kT(){return ke(),J()===100&&!t.hasPrecedingLineBreak()}function Hs(){return ke(),(sd(J())||J()===9||J()===10||J()===11)&&!t.hasPrecedingLineBreak()}function uN(){for(;;)switch(J()){case 115:case 121:case 87:case 100:case 86:case 94:return!0;case 160:return lx();case 135:return cx();case 120:case 156:return rx();case 144:case 145:return fN();case 128:case 129:case 134:case 138:case 123:case 124:case 125:case 148:const Q=J();if(ke(),t.hasPrecedingLineBreak())return!1;if(Q===138&&J()===156)return!0;continue;case 162:return ke(),J()===19||J()===80||J()===95;case 102:return ke(),J()===11||J()===42||J()===19||sd(J());case 95:let xe=ke();if(xe===156&&(xe=Ci(ke)),xe===64||xe===42||xe===19||xe===90||xe===130||xe===60)return!0;continue;case 126:ke();continue;default:return!1}}function eE(){return Ci(uN)}function W1(){switch(J()){case 60:case 27:case 19:case 115:case 121:case 160:case 100:case 86:case 94:case 101:case 92:case 117:case 99:case 88:case 83:case 107:case 118:case 109:case 111:case 113:case 89:case 85:case 98:return!0;case 102:return eE()||Ci(j0);case 87:case 95:return eE();case 134:case 138:case 120:case 144:case 145:case 156:case 162:return!0;case 129:case 125:case 123:case 124:case 126:case 148:return eE()||!Ci(qp);default:return a_()}}function w2(){return ke(),Kr()||J()===19||J()===23}function vd(){return Ci(w2)}function sv(){return K_(!0)}function K_(Q){return ke(),Q&&J()===165?!1:(Kr()||J()===19)&&!t.hasPrecedingLineBreak()}function lx(){return Ci(K_)}function Np(Q){return ke()===160?K_(Q):!1}function cx(){return Ci(Np)}function lp(){switch(J()){case 27:return ax();case 19:return bg(!1);case 115:return tE(L(),_e(),void 0);case 121:if(vd())return tE(L(),_e(),void 0);break;case 135:if(cx())return tE(L(),_e(),void 0);break;case 160:if(lx())return tE(L(),_e(),void 0);break;case 100:return z1(L(),_e(),void 0);case 86:return zT(L(),_e(),void 0);case 101:return Q0();case 92:return cN();case 117:return O1();case 99:return CT();case 88:return Hp(251);case 83:return Hp(252);case 107:return PT();case 118:return jl();case 109:return Z0();case 111:return Ff();case 113:case 85:case 98:return Sb();case 89:return iu();case 60:return fy();case 134:case 120:case 156:case 144:case 145:case 138:case 87:case 94:case 95:case 102:case 123:case 124:case 125:case 128:case 129:case 126:case 148:case 162:if(eE())return fy();break}return sx()}function ux(Q){return Q.kind===138}function fy(){const Q=L(),xe=_e(),Xe=wh(!0);if(bt(Xe,ux)){const Nt=wT(Q);if(Nt)return Nt;for(const Kt of Xe)Kt.flags|=33554432;return Wa(33554432,()=>dN(Q,xe,Xe))}else return dN(Q,xe,Xe)}function wT(Q){return Wa(33554432,()=>{const xe=yc(rn,Q);if(xe)return dl(xe)})}function dN(Q,xe,Xe){switch(J()){case 115:case 121:case 87:case 160:case 135:return tE(Q,xe,Xe);case 100:return z1(Q,xe,Xe);case 86:return zT(Q,xe,Xe);case 120:return ht(Q,xe,Xe);case 156:return on(Q,xe,Xe);case 94:return ki(Q,xe,Xe);case 162:case 144:case 145:return ed(Q,xe,Xe);case 102:return Un(Q,xe,Xe);case 95:switch(ke(),J()){case 90:case 64:return Fh(Q,xe,Xe);case 130:return Oh(Q,xe,Xe);default:return O2(Q,xe,Xe)}default:if(Xe){const xt=js(282,!0,f.Declaration_expected);return tP(xt,Q),xt.modifiers=Xe,xt}return}}function pN(){return ke()===11}function OT(){return ke(),J()===161||J()===64}function fN(){return ke(),!t.hasPrecedingLineBreak()&&(xo()||J()===11)}function Ab(Q,xe){if(J()!==19){if(Q&4){$n();return}if(lc()){ul();return}}return Eb(Q,xe)}function Cp(){const Q=L();if(J()===28)return nn(m.createOmittedExpression(),Q);const xe=pa(26),Xe=Ir(),xt=s_();return nn(m.createBindingElement(xe,void 0,Xe,xt),Q)}function kh(){const Q=L(),xe=pa(26),Xe=Kr();let xt=un(),Nt;Xe&&J()!==59?(Nt=xt,xt=void 0):(Dn(59),Nt=Ir());const Kt=s_();return nn(m.createBindingElement(xe,xt,Nt,Kt),Q)}function mN(){const Q=L();Dn(19);const xe=Sn(()=>Bu(9,kh));return Dn(20),nn(m.createObjectBindingPattern(xe),Q)}function WT(){const Q=L();Dn(23);const xe=Sn(()=>Bu(10,Cp));return Dn(24),nn(m.createArrayBindingPattern(xe),Q)}function rs(){return J()===19||J()===23||J()===81||Kr()}function Ir(Q){return J()===23?WT():J()===19?mN():Ip(Q)}function gf(){return Pd(!0)}function Pd(Q){const xe=L(),Xe=_e(),xt=Ir(f.Private_identifiers_are_not_allowed_in_variable_declarations);let Nt;Q&&xt.kind===80&&J()===54&&!t.hasPrecedingLineBreak()&&(Nt=_l());const Kt=ly(),zr=rv(J())?void 0:s_(),Wi=Le(xt,Nt,Kt,zr);return Ar(nn(Wi,xe),Xe)}function F1(Q){const xe=L();let Xe=0;switch(J()){case 115:break;case 121:Xe|=1;break;case 87:Xe|=2;break;case 160:Xe|=4;break;case 135:N.assert(cx()),Xe|=6,ke();break;default:N.fail()}ke();let xt;if(J()===165&&Ci(_N))xt=xp();else{const Nt=Ye();li(Q),xt=Bu(8,Q?Pd:gf),li(Nt)}return nn(He(xt,Xe),xe)}function _N(){return op()&&ke()===22}function tE(Q,xe,Xe){const xt=F1(!1);ul();const Nt=oe(Xe,xt);return Ar(nn(Nt,Q),xe)}function z1(Q,xe,Xe){const xt=vn(),Nt=M_(Xe);Dn(100);const Kt=pa(42),zr=Nt&2048?Bm():Ip(),Wi=Kt?1:0,Ri=Nt&1024?2:0,mi=mf();Nt&32&&Io(!0);const Yi=gn(Wi|Ri),ds=Ht(59,!1),Ds=Ab(Wi|Ri,f.or_expected);Io(xt);const es=m.createFunctionDeclaration(Xe,Kt,zr,mi,Yi,ds,Ds);return Ar(nn(es,Q),xe)}function FT(){if(J()===137)return Dn(137);if(J()===11&&Ci(ke)===21)return Ii(()=>{const Q=$r();return Q.text==="constructor"?Q:void 0})}function Eg(Q,xe,Xe){return Ii(()=>{if(FT()){const xt=mf(),Nt=gn(0),Kt=Ht(59,!1),zr=Ab(0,f.or_expected),Wi=m.createConstructorDeclaration(Xe,Nt,zr);return Wi.typeParameters=xt,Wi.type=Kt,Ar(nn(Wi,Q),xe)}})}function u_(Q,xe,Xe,xt,Nt,Kt,zr,Wi){const Ri=xt?1:0,mi=bt(Xe,_P)?2:0,Yi=mf(),ds=gn(Ri|mi),Ds=Ht(59,!1),es=Ab(Ri|mi,Wi),Gf=m.createMethodDeclaration(Xe,xt,Nt,Kt,Yi,ds,Ds,es);return Gf.exclamationToken=zr,Ar(nn(Gf,Q),xe)}function vf(Q,xe,Xe,xt,Nt){const Kt=!Nt&&!t.hasPrecedingLineBreak()?pa(54):void 0,zr=ly(),Wi=ma(90112,s_);Vs(xt,zr,Wi);const Ri=m.createPropertyDeclaration(Xe,xt,Nt||Kt,zr,Wi);return Ar(nn(Ri,Q),xe)}function Gm(Q,xe,Xe){const xt=pa(42),Nt=un(),Kt=pa(58);return xt||J()===21||J()===30?u_(Q,xe,Xe,xt,Nt,Kt,void 0,f.or_expected):vf(Q,xe,Xe,Nt,Kt)}function lv(Q,xe,Xe,xt,Nt){const Kt=un(),zr=mf(),Wi=gn(0),Ri=Ht(59,!1),mi=Ab(Nt),Yi=xt===177?m.createGetAccessorDeclaration(Xe,Kt,Wi,Ri,mi):m.createSetAccessorDeclaration(Xe,Kt,Wi,mi);return Yi.typeParameters=zr,bp(Yi)&&(Yi.type=Ri),Ar(nn(Yi,Q),xe)}function Sg(){let Q;if(J()===60)return!0;for(;Wv(J());){if(Q=J(),oj(Q))return!0;ke()}if(J()===42||(ee()&&(Q=J(),ke()),J()===23))return!0;if(Q!==void 0){if(!Bd(Q)||Q===153||Q===139)return!0;switch(J()){case 21:case 30:case 54:case 59:case 64:case 58:return!0;default:return lc()}}return!1}function Tg(Q,xe,Xe){As(126);const xt=dx(),Nt=Ar(nn(m.createClassStaticBlockDeclaration(xt),Q),xe);return Nt.modifiers=Xe,Nt}function dx(){const Q=Rt(),xe=vn();hi(!1),Io(!0);const Xe=bg(!1);return hi(Q),Io(xe),Xe}function cc(){if(vn()&&J()===135){const Q=L(),xe=Ms(f.Expression_expected);ke();const Xe=ru(Q,xe,!0);return py(Q,Xe)}return pm()}function hN(){const Q=L();if(!ho(60))return;const xe=Ct(cc);return nn(m.createDecorator(xe),Q)}function zf(Q,xe,Xe){const xt=L(),Nt=J();if(J()===87&&xe){if(!Ii(xi))return}else{if(Xe&&J()===126&&Ci(xb))return;if(Q&&J()===126)return;if(!Hc())return}return nn(O(Nt),xt)}function wh(Q,xe,Xe){const xt=L();let Nt,Kt,zr,Wi=!1,Ri=!1,mi=!1;if(Q&&J()===60)for(;Kt=hN();)Nt=xn(Nt,Kt);for(;zr=zf(Wi,xe,Xe);)zr.kind===126&&(Wi=!0),Nt=xn(Nt,zr),Ri=!0;if(Ri&&Q&&J()===60)for(;Kt=hN();)Nt=xn(Nt,Kt),mi=!0;if(mi)for(;zr=zf(Wi,xe,Xe);)zr.kind===126&&(Wi=!0),Nt=xn(Nt,zr);return Nt&&ko(Nt,xt)}function yf(){let Q;if(J()===134){const xe=L();ke();const Xe=nn(O(134),xe);Q=ko([Xe],xe)}return Q}function B1(){const Q=L(),xe=_e();if(J()===27)return ke(),Ar(nn(m.createSemicolonClassElement(),Q),xe);const Xe=wh(!0,!0,!0);if(J()===126&&Ci(xb))return Tg(Q,xe,Xe);if(Ur(139))return lv(Q,xe,Xe,177,0);if(Ur(153))return lv(Q,xe,Xe,178,0);if(J()===137||J()===11){const xt=Eg(Q,xe,Xe);if(xt)return xt}if(cr())return fr(Q,xe,Xe);if(sd(J())||J()===11||J()===9||J()===42||J()===23)if(bt(Xe,ux)){for(const Nt of Xe)Nt.flags|=33554432;return Wa(33554432,()=>Gm(Q,xe,Xe))}else return Gm(Q,xe,Xe);if(Xe){const xt=js(80,!0,f.Declaration_expected);return vf(Q,xe,Xe,xt,void 0)}return N.fail("Should not have attempted to parse class member declaration.")}function nE(){const Q=L(),xe=_e(),Xe=wh(!0);if(J()===86)return bf(Q,xe,Xe,231);const xt=js(282,!0,f.Expression_expected);return tP(xt,Q),xt.modifiers=Xe,xt}function px(){return bf(L(),_e(),void 0,231)}function zT(Q,xe,Xe){return bf(Q,xe,Xe,263)}function bf(Q,xe,Xe,xt){const Nt=vn();Dn(86);const Kt=Ls(),zr=mf();bt(Xe,oI)&&Io(!0);const Wi=V1();let Ri;Dn(19)?(Ri=ae(),Dn(20)):Ri=xp(),Io(Nt);const mi=xt===263?m.createClassDeclaration(Xe,Kt,zr,Wi,Ri):m.createClassExpression(Xe,Kt,zr,Wi,Ri);return Ar(nn(mi,Q),xe)}function Ls(){return Kr()&&!G1()?pu(Kr()):void 0}function G1(){return J()===119&&Ci(Wc)}function V1(){if(D())return na(22,cv)}function cv(){const Q=L(),xe=J();N.assert(xe===96||xe===119),ke();const Xe=Bu(7,Ib);return nn(m.createHeritageClause(xe,Xe),Q)}function Ib(){const Q=L(),xe=pm();if(xe.kind===233)return xe;const Xe=rE();return nn(m.createExpressionWithTypeArguments(xe,Xe),Q)}function rE(){return J()===30?Ud(20,bc,30,32):void 0}function D(){return J()===96||J()===119}function ae(){return na(5,B1)}function ht(Q,xe,Xe){Dn(120);const xt=Ms(),Nt=mf(),Kt=V1(),zr=U0(),Wi=m.createInterfaceDeclaration(Xe,xt,Nt,Kt,zr);return Ar(nn(Wi,Q),xe)}function on(Q,xe,Xe){Dn(156),t.hasPrecedingLineBreak()&&fn(f.Line_break_not_permitted_here);const xt=Ms(),Nt=mf();Dn(64);const Kt=J()===141&&Ii(gT)||bc();ul();const zr=m.createTypeAliasDeclaration(Xe,xt,Nt,Kt);return Ar(nn(zr,Q),xe)}function Or(){const Q=L(),xe=_e(),Xe=un(),xt=Sn(s_);return Ar(nn(m.createEnumMember(Xe,xt),Q),xe)}function ki(Q,xe,Xe){Dn(94);const xt=Ms();let Nt;Dn(19)?(Nt=j(()=>Bu(6,Or)),Dn(20)):Nt=xp();const Kt=m.createEnumDeclaration(Xe,xt,Nt);return Ar(nn(Kt,Q),xe)}function gi(){const Q=L();let xe;return Dn(19)?(xe=na(1,lp),Dn(20)):xe=xp(),nn(m.createModuleBlock(xe),Q)}function no(Q,xe,Xe,xt){const Nt=xt&32,Kt=xt&8?Rc():Ms(),zr=ho(25)?no(L(),!1,void 0,8|Nt):gi(),Wi=m.createModuleDeclaration(Xe,Kt,zr,xt);return Ar(nn(Wi,Q),xe)}function va(Q,xe,Xe){let xt=0,Nt;J()===162?(Nt=Ms(),xt|=2048):(Nt=$r(),Nt.text=nu(Nt.text));let Kt;J()===19?Kt=gi():ul();const zr=m.createModuleDeclaration(Xe,Nt,Kt,xt);return Ar(nn(zr,Q),xe)}function ed(Q,xe,Xe){let xt=0;if(J()===162)return va(Q,xe,Xe);if(ho(145))xt|=32;else if(Dn(144),J()===11)return va(Q,xe,Xe);return no(Q,xe,Xe,xt)}function Md(){return J()===149&&Ci(Bf)}function Bf(){return ke()===21}function xb(){return ke()===19}function bi(){return ke()===44}function Oh(Q,xe,Xe){Dn(130),Dn(145);const xt=Ms();ul();const Nt=m.createNamespaceExportDeclaration(xt);return Nt.modifiers=Xe,Ar(nn(Nt,Q),xe)}function Un(Q,xe,Xe){Dn(102);const xt=t.getTokenFullStart();let Nt;xo()&&(Nt=Ms());let Kt=!1;if((Nt==null?void 0:Nt.escapedText)==="type"&&(J()!==161||xo()&&Ci(OT))&&(xo()||Db())&&(Kt=!0,Nt=xo()?Ms():void 0),Nt&&!BT())return j1(Q,xe,Xe,Nt,Kt);let zr;(Nt||J()===42||J()===19)&&(zr=X_(Nt,xt,Kt),Dn(161));const Wi=GT(),Ri=J();let mi;(Ri===118||Ri===132)&&!t.hasPrecedingLineBreak()&&(mi=Rb(Ri)),ul();const Yi=m.createImportDeclaration(Xe,zr,Wi,mi);return Ar(nn(Yi,Q),xe)}function fx(){const Q=L(),xe=sd(J())?Rc():Ol(11);Dn(59);const Xe=_u(!0);return nn(m.createImportAttribute(xe,Xe),Q)}function Rb(Q,xe){const Xe=L();xe||Dn(Q);const xt=t.getTokenStart();if(Dn(19)){const Nt=t.hasPrecedingLineBreak(),Kt=Bu(24,fx,!0);if(!Dn(20)){const zr=tl(je);zr&&zr.code===f._0_expected.code&&wa(zr,OR(Ee,Pe,xt,1,f.The_parser_expected_to_find_a_1_to_match_the_0_token_here,"{","}"))}return nn(m.createImportAttributes(Kt,Nt,Q),Xe)}else{const Nt=ko([],L(),void 0,!1);return nn(m.createImportAttributes(Nt,!1,Q),Xe)}}function Db(){return J()===42||J()===19}function BT(){return J()===28||J()===161}function j1(Q,xe,Xe,xt,Nt){Dn(64);const Kt=Wh();ul();const zr=m.createImportEqualsDeclaration(Xe,Nt,xt,Kt);return Ar(nn(zr,Q),xe)}function X_(Q,xe,Xe){let xt;return(!Q||ho(28))&&(xt=J()===42?u9():Nb(275)),nn(m.createImportClause(Xe,Q,xt),xe)}function Wh(){return Md()?gN():te(!1)}function gN(){const Q=L();Dn(149),Dn(21);const xe=GT();return Dn(22),nn(m.createExternalModuleReference(xe),Q)}function GT(){if(J()===11){const Q=$r();return Q.text=nu(Q.text),Q}else return Je()}function u9(){const Q=L();Dn(42),Dn(130);const xe=Ms();return nn(m.createNamespaceImport(xe),Q)}function Nb(Q){const xe=L(),Xe=Q===275?m.createNamedImports(Ud(23,T8,19,20)):m.createNamedExports(Ud(23,S8,19,20));return nn(Xe,xe)}function S8(){const Q=_e();return Ar(iE(281),Q)}function T8(){return iE(276)}function iE(Q){const xe=L();let Xe=Bd(J())&&!xo(),xt=t.getTokenStart(),Nt=t.getTokenEnd(),Kt=!1,zr,Wi=!0,Ri=Rc();if(Ri.escapedText==="type")if(J()===130){const ds=Rc();if(J()===130){const Ds=Rc();sd(J())?(Kt=!0,zr=ds,Ri=Yi(),Wi=!1):(zr=Ri,Ri=Ds,Wi=!1)}else sd(J())?(zr=Ri,Wi=!1,Ri=Yi()):(Kt=!0,Ri=ds)}else sd(J())&&(Kt=!0,Ri=Yi());Wi&&J()===130&&(zr=Ri,Dn(130),Ri=Yi()),Q===276&&Xe&&q(xt,Nt,f.Identifier_expected);const mi=Q===276?m.createImportSpecifier(Kt,zr,Ri):m.createExportSpecifier(Kt,zr,Ri);return nn(mi,xe);function Yi(){return Xe=Bd(J())&&!xo(),xt=t.getTokenStart(),Nt=t.getTokenEnd(),Rc()}}function d_(Q){return nn(m.createNamespaceExport(Rc()),Q)}function O2(Q,xe,Xe){const xt=vn();Io(!0);let Nt,Kt,zr;const Wi=ho(156),Ri=L();ho(42)?(ho(130)&&(Nt=d_(Ri)),Dn(161),Kt=GT()):(Nt=Nb(279),(J()===161||J()===11&&!t.hasPrecedingLineBreak())&&(Dn(161),Kt=GT()));const mi=J();Kt&&(mi===118||mi===132)&&!t.hasPrecedingLineBreak()&&(zr=Rb(mi)),ul(),Io(xt);const Yi=m.createExportDeclaration(Xe,Wi,Nt,Kt,zr);return Ar(nn(Yi,Q),xe)}function Fh(Q,xe,Xe){const xt=vn();Io(!0);let Nt;ho(64)?Nt=!0:Dn(90);const Kt=_u(!0);ul(),Io(xt);const zr=m.createExportAssignment(Xe,Nt,Kt);return Ar(nn(zr,Q),xe)}let mx;(Q=>{Q[Q.SourceElements=0]="SourceElements",Q[Q.BlockStatements=1]="BlockStatements",Q[Q.SwitchClauses=2]="SwitchClauses",Q[Q.SwitchClauseStatements=3]="SwitchClauseStatements",Q[Q.TypeMembers=4]="TypeMembers",Q[Q.ClassMembers=5]="ClassMembers",Q[Q.EnumMembers=6]="EnumMembers",Q[Q.HeritageClauseElement=7]="HeritageClauseElement",Q[Q.VariableDeclarations=8]="VariableDeclarations",Q[Q.ObjectBindingElements=9]="ObjectBindingElements",Q[Q.ArrayBindingElements=10]="ArrayBindingElements",Q[Q.ArgumentExpressions=11]="ArgumentExpressions",Q[Q.ObjectLiteralMembers=12]="ObjectLiteralMembers",Q[Q.JsxAttributes=13]="JsxAttributes",Q[Q.JsxChildren=14]="JsxChildren",Q[Q.ArrayLiteralMembers=15]="ArrayLiteralMembers",Q[Q.Parameters=16]="Parameters",Q[Q.JSDocParameters=17]="JSDocParameters",Q[Q.RestProperties=18]="RestProperties",Q[Q.TypeParameters=19]="TypeParameters",Q[Q.TypeArguments=20]="TypeArguments",Q[Q.TupleElementTypes=21]="TupleElementTypes",Q[Q.HeritageClauses=22]="HeritageClauses",Q[Q.ImportOrExportSpecifiers=23]="ImportOrExportSpecifiers",Q[Q.ImportAttributes=24]="ImportAttributes",Q[Q.JSDocComment=25]="JSDocComment",Q[Q.Count=26]="Count"})(mx||(mx={}));let A8;(Q=>{Q[Q.False=0]="False",Q[Q.True=1]="True",Q[Q.Unknown=2]="Unknown"})(A8||(A8={}));let Y_;(Q=>{function xe(mi,Yi,ds){Oi("file.js",mi,99,void 0,1,0),t.setText(mi,Yi,ds),vt=t.scan();const Ds=Xe(),es=zt("file.js",99,1,!1,[],O(1),0,$a),Gf=JA(je,es);return at&&(es.jsDocDiagnostics=JA(at,es)),No(),Ds?{jsDocTypeExpression:Ds,diagnostics:Gf}:void 0}Q.parseJSDocTypeExpressionForTests=xe;function Xe(mi){const Yi=L(),ds=(mi?ho:Dn)(19),Ds=Wa(16777216,Om);(!mi||ds)&&vl(20);const es=m.createJSDocTypeExpression(Ds);return tt(es),nn(es,Yi)}Q.parseJSDocTypeExpression=Xe;function xt(){const mi=L(),Yi=ho(19),ds=L();let Ds=te(!1);for(;J()===81;)Ni(),Tt(),Ds=nn(m.createJSDocMemberName(Ds,Ms()),ds);Yi&&vl(20);const es=m.createJSDocNameReference(Ds);return tt(es),nn(es,mi)}Q.parseJSDocNameReference=xt;function Nt(mi,Yi,ds){Oi("",mi,99,void 0,1,0);const Ds=Wa(16777216,()=>Ri(Yi,ds)),Gf=JA(je,{languageVariant:0,text:mi});return No(),Ds?{jsDoc:Ds,diagnostics:Gf}:void 0}Q.parseIsolatedJSDocComment=Nt;function Kt(mi,Yi,ds){const Ds=vt,es=je.length,Gf=Qt,$_=Wa(16777216,()=>Ri(Yi,ds));return qa($_,mi),Xn&524288&&(at||(at=[]),Jr(at,je,es)),vt=Ds,je.length=es,Qt=Gf,$_}Q.parseJSDocComment=Kt;let zr;(mi=>{mi[mi.BeginningOfLine=0]="BeginningOfLine",mi[mi.SawAsterisk=1]="SawAsterisk",mi[mi.SavingComments=2]="SavingComments",mi[mi.SavingBackticks=3]="SavingBackticks"})(zr||(zr={}));let Wi;(mi=>{mi[mi.Property=1]="Property",mi[mi.Parameter=2]="Parameter",mi[mi.CallbackParameter=4]="CallbackParameter"})(Wi||(Wi={}));function Ri(mi=0,Yi){const ds=Pe,Ds=Yi===void 0?ds.length:mi+Yi;if(Yi=Ds-mi,N.assert(mi>=0),N.assert(mi<=Ds),N.assert(Ds<=ds.length),!rq(ds,mi))return;let es,Gf,$_,Ag,uv,dv=[];const Cb=[],cp=rn;rn|=1<<25;const VT=t.scanRange(mi+3,Yi-5,vN);return rn=cp,VT;function vN(){let Cn=1,Vr,kr=mi-(ds.lastIndexOf(`
+`,mi)+1)+4;function Fi(Is){Vr||(Vr=kr),dv.push(Is),kr+=Is.length}for(Tt();my(5););my(4)&&(Cn=0,kr=0);e:for(;;){switch(J()){case 60:F2(dv),uv||(uv=L()),B2(yN(kr)),Cn=0,Vr=void 0;break;case 4:dv.push(t.getTokenText()),Cn=0,kr=0;break;case 42:const Is=t.getTokenText();Cn===1?(Cn=2,Fi(Is)):(N.assert(Cn===0),Cn=1,kr+=Is.length);break;case 5:N.assert(Cn!==2,"whitespace shouldn't come from the scanner while saving top-level comment text");const td=t.getTokenText();Vr!==void 0&&kr+td.length>Vr&&dv.push(td.slice(Vr-kr)),kr+=td.length;break;case 1:break e;case 82:Cn=2,Fi(t.getTokenValue());break;case 19:Cn=2;const zh=t.getTokenFullStart(),Pp=t.getTokenEnd()-1,f_=uc(Pp);if(f_){Ag||W2(dv),Cb.push(nn(m.createJSDocText(dv.join("")),Ag??mi,zh)),Cb.push(f_),dv=[],Ag=t.getTokenEnd();break}default:Cn=2,Fi(t.getTokenText());break}Cn===2?kt(!1):Tt()}const Mi=dv.join("").trimEnd();Cb.length&&Mi.length&&Cb.push(nn(m.createJSDocText(Mi),Ag??mi,uv)),Cb.length&&es&&N.assertIsDefined(uv,"having parsed tags implies that the end of the comment span should be set");const is=es&&ko(es,Gf,$_);return nn(m.createJSDocComment(Cb.length?ko(Cb,mi,uv):Mi.length?Mi:void 0,is),mi,Ds)}function W2(Cn){for(;Cn.length&&(Cn[0]===`
+`||Cn[0]==="\r");)Cn.shift()}function F2(Cn){for(;Cn.length;){const Vr=Cn[Cn.length-1].trimEnd();if(Vr==="")Cn.pop();else if(Vr.length<Cn[Cn.length-1].length){Cn[Cn.length-1]=Vr;break}else break}}function oE(){for(;;){if(Tt(),J()===1)return!0;if(!(J()===5||J()===4))return!1}}function pv(){if(!((J()===5||J()===4)&&Ci(oE)))for(;J()===5||J()===4;)Tt()}function Pb(){if((J()===5||J()===4)&&Ci(oE))return"";let Cn=t.hasPrecedingLineBreak(),Vr=!1,kr="";for(;Cn&&J()===42||J()===5||J()===4;)kr+=t.getTokenText(),J()===4?(Cn=!0,Vr=!0,kr=""):J()===42&&(Cn=!1),Tt();return Vr?kr:""}function yN(Cn){N.assert(J()===60);const Vr=t.getTokenStart();Tt();const kr=cE(void 0),Fi=Pb();let Mi;switch(kr.escapedText){case"author":Mi=qs(Vr,kr,Cn,Fi);break;case"implements":Mi=Lb(Vr,kr,Cn,Fi);break;case"augments":case"extends":Mi=Vm(Vr,kr,Cn,Fi);break;case"class":case"constructor":Mi=lE(Vr,m.createJSDocClassTag,kr,Cn,Fi);break;case"public":Mi=lE(Vr,m.createJSDocPublicTag,kr,Cn,Fi);break;case"private":Mi=lE(Vr,m.createJSDocPrivateTag,kr,Cn,Fi);break;case"protected":Mi=lE(Vr,m.createJSDocProtectedTag,kr,Cn,Fi);break;case"readonly":Mi=lE(Vr,m.createJSDocReadonlyTag,kr,Cn,Fi);break;case"override":Mi=lE(Vr,m.createJSDocOverrideTag,kr,Cn,Fi);break;case"deprecated":Di=!0,Mi=lE(Vr,m.createJSDocDeprecatedTag,kr,Cn,Fi);break;case"this":Mi=d9(Vr,kr,Cn,Fi);break;case"enum":Mi=FZ(Vr,kr,Cn,Fi);break;case"arg":case"argument":case"param":return B(Vr,kr,2,Cn);case"return":case"returns":Mi=Qe(Vr,kr,Cn,Fi);break;case"template":Mi=wb(Vr,kr,Cn,Fi);break;case"type":Mi=Xt(Vr,kr,Cn,Fi);break;case"typedef":Mi=G2(Vr,kr,Cn,Fi);break;case"callback":Mi=hge(Vr,kr,Cn,Fi);break;case"overload":Mi=gge(Vr,kr,Cn,Fi);break;case"satisfies":Mi=sE(Vr,kr,Cn,Fi);break;case"see":Mi=yr(Vr,kr,Cn,Fi);break;case"exception":case"throws":Mi=ro(Vr,kr,Cn,Fi);break;default:Mi=Mb(Vr,kr,Cn,Fi);break}return Mi}function Jp(Cn,Vr,kr,Fi){return Fi||(kr+=Vr-Cn),Ua(kr,Fi.slice(kr))}function Ua(Cn,Vr){const kr=L();let Fi=[];const Mi=[];let is,Is=0,td;function zh(fv){td||(td=Cn),Fi.push(fv),Cn+=fv.length}Vr!==void 0&&(Vr!==""&&zh(Vr),Is=1);let Pp=J();e:for(;;){switch(Pp){case 4:Is=0,Fi.push(t.getTokenText()),Cn=0;break;case 60:t.resetTokenState(t.getTokenEnd()-1);break e;case 1:break e;case 5:N.assert(Is!==2&&Is!==3,"whitespace shouldn't come from the scanner while saving comment text");const fv=t.getTokenText();td!==void 0&&Cn+fv.length>td&&(Fi.push(fv.slice(td-Cn)),Is=2),Cn+=fv.length;break;case 19:Is=2;const Mp=t.getTokenFullStart(),SN=t.getTokenEnd()-1,I8=uc(SN);I8?(Mi.push(nn(m.createJSDocText(Fi.join("")),is??kr,Mp)),Mi.push(I8),Fi=[],is=t.getTokenEnd()):zh(t.getTokenText());break;case 62:Is===3?Is=2:Is=3,zh(t.getTokenText());break;case 82:Is!==3&&(Is=2),zh(t.getTokenValue());break;case 42:if(Is===0){Is=1,Cn+=1;break}default:Is!==3&&(Is=2),zh(t.getTokenText());break}Is===2||Is===3?Pp=kt(Is===3):Pp=Tt()}W2(Fi);const f_=Fi.join("").trimEnd();if(Mi.length)return f_.length&&Mi.push(nn(m.createJSDocText(f_),is??kr)),ko(Mi,kr,t.getTokenEnd());if(f_.length)return f_}function uc(Cn){const Vr=Ii(_x);if(!Vr)return;Tt(),pv();const kr=aE(),Fi=[];for(;J()!==20&&J()!==4&&J()!==1;)Fi.push(t.getTokenText()),Tt();const Mi=Vr==="link"?m.createJSDocLink:Vr==="linkcode"?m.createJSDocLinkCode:m.createJSDocLinkPlain;return nn(Mi(kr,Fi.join("")),Cn,t.getTokenEnd())}function aE(){if(sd(J())){const Cn=L();let Vr=Rc();for(;ho(25);)Vr=nn(m.createQualifiedName(Vr,J()===81?js(80,!1):Ms()),Cn);for(;J()===81;)Ni(),Tt(),Vr=nn(m.createJSDocMemberName(Vr,Ms()),Cn);return Vr}}function _x(){if(Pb(),J()===19&&Tt()===60&&sd(Tt())){const Cn=t.getTokenValue();if(z2(Cn))return Cn}}function z2(Cn){return Cn==="link"||Cn==="linkcode"||Cn==="linkplain"}function Mb(Cn,Vr,kr,Fi){return nn(m.createJSDocUnknownTag(Vr,Jp(Cn,L(),kr,Fi)),Cn)}function B2(Cn){Cn&&(es?es.push(Cn):(es=[Cn],Gf=Cn.pos),$_=Cn.end)}function or(){return Pb(),J()===19?Xe():void 0}function E(){const Cn=my(23);Cn&&pv();const Vr=my(62),kr=EN();return Vr&&La(62),Cn&&(pv(),pa(64)&&Je(),Dn(24)),{name:kr,isBracketed:Cn}}function P(Cn){switch(Cn.kind){case 151:return!0;case 188:return P(Cn.elementType);default:return Cf(Cn)&&Ve(Cn.typeName)&&Cn.typeName.escapedText==="Object"&&!Cn.typeArguments}}function B(Cn,Vr,kr,Fi){let Mi=or(),is=!Mi;Pb();const{name:Is,isBracketed:td}=E(),zh=Pb();is&&!Ci(_x)&&(Mi=or());const Pp=Jp(Cn,L(),Fi,zh),f_=ce(Mi,Is,kr,Fi);f_&&(Mi=f_,is=!0);const fv=kr===1?m.createJSDocPropertyTag(Vr,Is,td,Mi,is,Pp):m.createJSDocParameterTag(Vr,Is,td,Mi,is,Pp);return nn(fv,Cn)}function ce(Cn,Vr,kr,Fi){if(Cn&&P(Cn.type)){const Mi=L();let is,Is;for(;is=Ii(()=>f9(kr,Fi,Vr));)is.kind===348||is.kind===355?Is=xn(Is,is):is.kind===352&&Oe(is.tagName,f.A_JSDoc_template_tag_may_not_follow_a_typedef_callback_or_overload_tag);if(Is){const td=nn(m.createJSDocTypeLiteral(Is,Cn.type.kind===188),Mi);return nn(m.createJSDocTypeExpression(td),Mi)}}}function Qe(Cn,Vr,kr,Fi){bt(es,uF)&&q(Vr.pos,t.getTokenStart(),f._0_tag_already_specified,Vi(Vr.escapedText));const Mi=or();return nn(m.createJSDocReturnTag(Vr,Mi,Jp(Cn,L(),kr,Fi)),Cn)}function Xt(Cn,Vr,kr,Fi){bt(es,xP)&&q(Vr.pos,t.getTokenStart(),f._0_tag_already_specified,Vi(Vr.escapedText));const Mi=Xe(!0),is=kr!==void 0&&Fi!==void 0?Jp(Cn,L(),kr,Fi):void 0;return nn(m.createJSDocTypeTag(Vr,Mi,is),Cn)}function yr(Cn,Vr,kr,Fi){const is=J()===23||Ci(()=>Tt()===60&&sd(Tt())&&z2(t.getTokenValue()))?void 0:xt(),Is=kr!==void 0&&Fi!==void 0?Jp(Cn,L(),kr,Fi):void 0;return nn(m.createJSDocSeeTag(Vr,is,Is),Cn)}function ro(Cn,Vr,kr,Fi){const Mi=or(),is=Jp(Cn,L(),kr,Fi);return nn(m.createJSDocThrowsTag(Vr,Mi,is),Cn)}function qs(Cn,Vr,kr,Fi){const Mi=L(),is=p_();let Is=t.getTokenFullStart();const td=Jp(Cn,Is,kr,Fi);td||(Is=t.getTokenFullStart());const zh=typeof td!="string"?ko(So([nn(is,Mi,Is)],td),Mi):is.text+td;return nn(m.createJSDocAuthorTag(Vr,zh),Cn)}function p_(){const Cn=[];let Vr=!1,kr=t.getToken();for(;kr!==1&&kr!==4;){if(kr===30)Vr=!0;else{if(kr===60&&!Vr)break;if(kr===32&&Vr){Cn.push(t.getTokenText()),t.resetTokenState(t.getTokenEnd());break}}Cn.push(t.getTokenText()),kr=Tt()}return m.createJSDocText(Cn.join(""))}function Lb(Cn,Vr,kr,Fi){const Mi=WZ();return nn(m.createJSDocImplementsTag(Vr,Mi,Jp(Cn,L(),kr,Fi)),Cn)}function Vm(Cn,Vr,kr,Fi){const Mi=WZ();return nn(m.createJSDocAugmentsTag(Vr,Mi,Jp(Cn,L(),kr,Fi)),Cn)}function sE(Cn,Vr,kr,Fi){const Mi=Xe(!1),is=kr!==void 0&&Fi!==void 0?Jp(Cn,L(),kr,Fi):void 0;return nn(m.createJSDocSatisfiesTag(Vr,Mi,is),Cn)}function WZ(){const Cn=ho(19),Vr=L(),kr=_ge();t.setInJSDocType(!0);const Fi=rE();t.setInJSDocType(!1);const Mi=m.createExpressionWithTypeArguments(kr,Fi),is=nn(Mi,Vr);return Cn&&Dn(20),is}function _ge(){const Cn=L();let Vr=cE();for(;ho(25);){const kr=cE();Vr=nn(Z(Vr,kr),Cn)}return Vr}function lE(Cn,Vr,kr,Fi,Mi){return nn(Vr(kr,Jp(Cn,L(),Fi,Mi)),Cn)}function d9(Cn,Vr,kr,Fi){const Mi=Xe(!0);return pv(),nn(m.createJSDocThisTag(Vr,Mi,Jp(Cn,L(),kr,Fi)),Cn)}function FZ(Cn,Vr,kr,Fi){const Mi=Xe(!0);return pv(),nn(m.createJSDocEnumTag(Vr,Mi,Jp(Cn,L(),kr,Fi)),Cn)}function G2(Cn,Vr,kr,Fi){let Mi=or();Pb();const is=p9();pv();let Is=Ua(kr),td;if(!Mi||P(Mi.type)){let Pp,f_,fv,Mp=!1;for(;(Pp=Ii(()=>GZ(kr)))&&Pp.kind!==352;)if(Mp=!0,Pp.kind===351)if(f_){const SN=fn(f.A_JSDoc_typedef_comment_may_not_contain_multiple_type_tags);SN&&wa(SN,OR(Ee,Pe,0,0,f.The_tag_was_first_specified_here));break}else f_=Pp;else fv=xn(fv,Pp);if(Mp){const SN=Mi&&Mi.type.kind===188,I8=m.createJSDocTypeLiteral(fv,SN);Mi=f_&&f_.typeExpression&&!P(f_.typeExpression.type)?f_.typeExpression:nn(I8,Cn),td=Mi.end}}td=td||Is!==void 0?L():(is??Mi??Vr).end,Is||(Is=Jp(Cn,td,kr,Fi));const zh=m.createJSDocTypedefTag(Vr,Mi,is,Is);return nn(zh,Cn,td)}function p9(Cn){const Vr=t.getTokenStart();if(!sd(J()))return;const kr=cE();if(ho(25)){const Fi=p9(!0),Mi=m.createModuleDeclaration(void 0,kr,Fi,Cn?8:void 0);return nn(Mi,Vr)}return Cn&&(kr.flags|=4096),kr}function zZ(Cn){const Vr=L();let kr,Fi;for(;kr=Ii(()=>f9(4,Cn));){if(kr.kind===352){Oe(kr.tagName,f.A_JSDoc_template_tag_may_not_follow_a_typedef_callback_or_overload_tag);break}Fi=xn(Fi,kr)}return ko(Fi||[],Vr)}function BZ(Cn,Vr){const kr=zZ(Vr),Fi=Ii(()=>{if(my(60)){const Mi=yN(Vr);if(Mi&&Mi.kind===349)return Mi}});return nn(m.createJSDocSignature(void 0,kr,Fi),Cn)}function hge(Cn,Vr,kr,Fi){const Mi=p9();pv();let is=Ua(kr);const Is=BZ(Cn,kr);is||(is=Jp(Cn,L(),kr,Fi));const td=is!==void 0?L():Is.end;return nn(m.createJSDocCallbackTag(Vr,Is,Mi,is),Cn,td)}function gge(Cn,Vr,kr,Fi){pv();let Mi=Ua(kr);const is=BZ(Cn,kr);Mi||(Mi=Jp(Cn,L(),kr,Fi));const Is=Mi!==void 0?L():is.end;return nn(m.createJSDocOverloadTag(Vr,is,Mi),Cn,Is)}function bN(Cn,Vr){for(;!Ve(Cn)||!Ve(Vr);)if(!Ve(Cn)&&!Ve(Vr)&&Cn.right.escapedText===Vr.right.escapedText)Cn=Cn.left,Vr=Vr.left;else return!1;return Cn.escapedText===Vr.escapedText}function GZ(Cn){return f9(1,Cn)}function f9(Cn,Vr,kr){let Fi=!0,Mi=!1;for(;;)switch(Tt()){case 60:if(Fi){const is=U1(Cn,Vr);return is&&(is.kind===348||is.kind===355)&&kr&&(Ve(is.name)||!bN(kr,is.name.left))?!1:is}Mi=!1;break;case 4:Fi=!0,Mi=!1;break;case 42:Mi&&(Fi=!1),Mi=!0;break;case 80:Fi=!1;break;case 1:return!1}}function U1(Cn,Vr){N.assert(J()===60);const kr=t.getTokenFullStart();Tt();const Fi=cE(),Mi=Pb();let is;switch(Fi.escapedText){case"type":return Cn===1&&Xt(kr,Fi);case"prop":case"property":is=1;break;case"arg":case"argument":case"param":is=6;break;case"template":return wb(kr,Fi,Vr,Mi);case"this":return d9(kr,Fi,Vr,Mi);default:return!1}return Cn&is?B(kr,Fi,Cn,Vr):!1}function dr(){const Cn=L(),Vr=my(23);Vr&&pv();const kr=wh(!1,!0),Fi=cE(f.Unexpected_token_A_type_parameter_name_was_expected_without_curly_braces);let Mi;if(Vr&&(pv(),Dn(64),Mi=Wa(16777216,Om),Dn(24)),!zl(Fi))return nn(m.createTypeParameterDeclaration(kr,Fi,void 0,Mi),Cn)}function kb(){const Cn=L(),Vr=[];do{pv();const kr=dr();kr!==void 0&&Vr.push(kr),Pb()}while(my(28));return ko(Vr,Cn)}function wb(Cn,Vr,kr,Fi){const Mi=J()===19?Xe():void 0,is=kb();return nn(m.createJSDocTemplateTag(Vr,Mi,is,Jp(Cn,L(),kr,Fi)),Cn)}function my(Cn){return J()===Cn?(Tt(),!0):!1}function EN(){let Cn=cE();for(ho(23)&&Dn(24);ho(25);){const Vr=cE();ho(23)&&Dn(24),Cn=ct(Cn,Vr)}return Cn}function cE(Cn){if(!sd(J()))return js(80,!Cn,Cn||f.Identifier_expected);tn++;const Vr=t.getTokenStart(),kr=t.getTokenEnd(),Fi=J(),Mi=nu(t.getTokenValue()),is=nn(M(Mi,Fi),Vr,kr);return Tt(),is}}})(Y_=e.JSDocParser||(e.JSDocParser={}))})(P0||(P0={})),(e=>{function t(I,R,M,C){if(C=C||N.shouldAssert(2),m(I,R,M,C),gre(M))return I;if(I.statements.length===0)return P0.parseSourceFile(I.fileName,R,I.languageVersion,void 0,!0,I.scriptKind,I.setExternalModuleIndicator,I.jsDocParsingMode);const O=I;N.assert(!O.hasBeenIncrementallyParsed),O.hasBeenIncrementallyParsed=!0,P0.fixupParentReferences(O);const U=I.text,K=b(I),Z=p(I,M);m(I,R,Z,C),N.assert(Z.span.start<=M.span.start),N.assert(Kl(Z.span)===Kl(M.span)),N.assert(Kl(lC(Z))===Kl(lC(M)));const V=lC(Z).length-Z.span.length;u(O,Z.span.start,Kl(Z.span),Kl(lC(Z)),V,U,R,C);const se=P0.parseSourceFile(I.fileName,R,I.languageVersion,K,!0,I.scriptKind,I.setExternalModuleIndicator,I.jsDocParsingMode);return se.commentDirectives=r(I.commentDirectives,se.commentDirectives,Z.span.start,Kl(Z.span),V,U,R,C),se.impliedNodeFormat=I.impliedNodeFormat,se}e.updateSourceFile=t;function r(I,R,M,C,O,U,K,Z){if(!I)return R;let V,se=!1;for(const ne of I){const{range:he,type:ye}=ne;if(he.end<M)V=xn(V,ne);else if(he.pos>C){G();const $={range:{pos:he.pos+O,end:he.end+O},type:ye};V=xn(V,$),Z&&N.assert(U.substring(he.pos,he.end)===K.substring($.range.pos,$.range.end))}}return G(),V;function G(){se||(se=!0,V?R&&V.push(...R):V=R)}}function i(I,R,M,C,O,U){R?Z(I):K(I);return;function K(V){let se="";if(U&&o(V)&&(se=C.substring(V.pos,V.end)),V._children&&(V._children=void 0),vh(V,V.pos+M,V.end+M),U&&o(V)&&N.assert(se===O.substring(V.pos,V.end)),Ho(V,K,Z),Fp(V))for(const G of V.jsDoc)K(G);l(V,U)}function Z(V){V._children=void 0,vh(V,V.pos+M,V.end+M);for(const se of V)K(se)}}function o(I){switch(I.kind){case 11:case 9:case 80:return!0}return!1}function s(I,R,M,C,O){N.assert(I.end>=R,"Adjusting an element that was entirely before the change range"),N.assert(I.pos<=M,"Adjusting an element that was entirely after the change range"),N.assert(I.pos<=I.end);const U=Math.min(I.pos,C),K=I.end>=M?I.end+O:Math.min(I.end,C);N.assert(U<=K),I.parent&&(N.assertGreaterThanOrEqual(U,I.parent.pos),N.assertLessThanOrEqual(K,I.parent.end)),vh(I,U,K)}function l(I,R){if(R){let M=I.pos;const C=O=>{N.assert(O.pos>=M),M=O.end};if(Fp(I))for(const O of I.jsDoc)C(O);Ho(I,C),N.assert(M<=I.end)}}function u(I,R,M,C,O,U,K,Z){V(I);return;function V(G){if(N.assert(G.pos<=G.end),G.pos>M){i(G,!1,O,U,K,Z);return}const ne=G.end;if(ne>=R){if(G.intersectsChange=!0,G._children=void 0,s(G,R,M,C,O),Ho(G,V,se),Fp(G))for(const he of G.jsDoc)V(he);l(G,Z);return}N.assert(ne<R)}function se(G){if(N.assert(G.pos<=G.end),G.pos>M){i(G,!0,O,U,K,Z);return}const ne=G.end;if(ne>=R){G.intersectsChange=!0,G._children=void 0,s(G,R,M,C,O);for(const he of G)V(he);return}N.assert(ne<R)}}function p(I,R){let C=R.span.start;for(let K=0;C>0&&K<=1;K++){const Z=h(I,C);N.assert(Z.pos<=C);const V=Z.pos;C=Math.max(0,V-1)}const O=pc(C,Kl(R.span)),U=R.newLength+(R.span.start-C);return cL(O,U)}function h(I,R){let M=I,C;if(Ho(I,U),C){const K=O(C);K.pos>M.pos&&(M=K)}return M;function O(K){for(;;){const Z=NU(K);if(Z)K=Z;else return K}}function U(K){if(!zl(K))if(K.pos<=R){if(K.pos>=M.pos&&(M=K),R<K.end)return Ho(K,U),!0;N.assert(K.end<=R),C=K}else return N.assert(K.pos>R),!0}}function m(I,R,M,C){const O=I.text;if(M&&(N.assert(O.length-M.span.length+M.newLength===R.length),C||N.shouldAssert(3))){const U=O.substr(0,M.span.start),K=R.substr(0,M.span.start);N.assert(U===K);const Z=O.substring(Kl(M.span),O.length),V=R.substring(Kl(lC(M)),R.length);N.assert(Z===V)}}function b(I){let R=I.statements,M=0;N.assert(M<R.length);let C=R[M],O=-1;return{currentNode(K){return K!==O&&(C&&C.end===K&&M<R.length-1&&(M++,C=R[M]),(!C||C.pos!==K)&&U(K)),O=K,N.assert(!C||C.pos===K),C}};function U(K){R=void 0,M=-1,C=void 0,Ho(I,Z,V);return;function Z(se){return K>=se.pos&&K<se.end?(Ho(se,Z,V),!0):!1}function V(se){if(K>=se.pos&&K<se.end)for(let G=0;G<se.length;G++){const ne=se[G];if(ne){if(ne.pos===K)return R=se,M=G,C=ne,!0;if(ne.pos<K&&K<ne.end)return Ho(ne,Z,V),!0}}return!1}}}e.createSyntaxCursor=b;let A;(I=>{I[I.Value=-1]="Value"})(A||(A={}))})(cq||(cq={})),uq=new Map,qAe=/^\/\/\/\s*<(\S+)\s.*?\/>/im,JAe=/^\/\/\/?\s*@([^\s:]+)(.*)\s*$/im}});function SF(e){const t=new Map,r=new Map;return Ue(e,i=>{t.set(i.name.toLowerCase(),i),i.shortName&&r.set(i.shortName,i.name)}),{optionsNameMap:t,shortOptionNames:r}}function aD(){return PIe||(PIe=SF(pg))}function Ase(e){return KAe(e,Hl)}function KAe(e,t){const r=zo(e.type.keys()),i=(e.deprecatedKeys?r.filter(o=>!e.deprecatedKeys.has(o)):r).map(o=>`'${o}'`).join(", ");return t(f.Argument_for_0_option_must_be_Colon_1,`--${e.name}`,i)}function TF(e,t,r){return xIe(e,(t??"").trim(),r)}function Ise(e,t="",r){if(t=t.trim(),uo(t,"-"))return;if(e.type==="listOrElement"&&!t.includes(","))return EI(e,t,r);if(t==="")return[];const i=t.split(",");switch(e.element.type){case"number":return Hi(i,o=>EI(e.element,parseInt(o),r));case"string":return Hi(i,o=>EI(e.element,o||"",r));case"boolean":case"object":return N.fail(`List of ${e.element.type} is not yet supported.`);default:return Hi(i,o=>TF(e.element,o,r))}}function XAe(e){return e.name}function xse(e,t,r,i,o){var s;if((s=t.alternateMode)!=null&&s.getOptionsNameMap().optionsNameMap.has(e.toLowerCase()))return M0(o,i,t.alternateMode.diagnostic,e);const l=$N(e,t.optionDeclarations,XAe);return l?M0(o,i,t.unknownDidYouMeanDiagnostic,r||e,l.name):M0(o,i,t.unknownOptionDiagnostic,r||e)}function dq(e,t,r){const i={};let o;const s=[],l=[];return u(t),{options:i,watchOptions:o,fileNames:s,errors:l};function u(h){let m=0;for(;m<h.length;){const b=h[m];if(m++,b.charCodeAt(0)===64)p(b.slice(1));else if(b.charCodeAt(0)===45){const A=b.slice(b.charCodeAt(1)===45?2:1),I=Rse(e.getOptionsNameMap,A,!0);if(I)m=YAe(h,m,e,I,i,l);else{const R=Rse(LF.getOptionsNameMap,A,!0);R?m=YAe(h,m,LF,R,o||(o={}),l):l.push(xse(A,e,b))}}else s.push(b)}}function p(h){const m=PP(h,r||(I=>gu.readFile(I)));if(!Po(m)){l.push(m);return}const b=[];let A=0;for(;;){for(;A<m.length&&m.charCodeAt(A)<=32;)A++;if(A>=m.length)break;const I=A;if(m.charCodeAt(I)===34){for(A++;A<m.length&&m.charCodeAt(A)!==34;)A++;A<m.length?(b.push(m.substring(I+1,A)),A++):l.push(Hl(f.Unterminated_quoted_string_in_response_file_0,h))}else{for(;m.charCodeAt(A)>32;)A++;b.push(m.substring(I,A))}}u(b)}}function YAe(e,t,r,i,o,s){if(i.isTSConfigOnly){const l=e[t];l==="null"?(o[i.name]=void 0,t++):i.type==="boolean"?l==="false"?(o[i.name]=EI(i,!1,s),t++):(l==="true"&&t++,s.push(Hl(f.Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_false_or_null_on_command_line,i.name))):(s.push(Hl(f.Option_0_can_only_be_specified_in_tsconfig_json_file_or_set_to_null_on_command_line,i.name)),l&&!uo(l,"-")&&t++)}else if(!e[t]&&i.type!=="boolean"&&s.push(Hl(r.optionTypeMismatchDiagnostic,i.name,_q(i))),e[t]!=="null")switch(i.type){case"number":o[i.name]=EI(i,parseInt(e[t]),s),t++;break;case"boolean":const l=e[t];o[i.name]=EI(i,l!=="false",s),(l==="false"||l==="true")&&t++;break;case"string":o[i.name]=EI(i,e[t]||"",s),t++;break;case"list":const u=Ise(i,e[t],s);o[i.name]=u||[],u&&t++;break;case"listOrElement":N.fail("listOrElement not supported here");break;default:o[i.name]=TF(i,e[t],s),t++;break}else o[i.name]=void 0,t++;return t}function $Ae(e,t){return dq(Tw,e,t)}function pq(e,t){return Rse(aD,e,t)}function Rse(e,t,r=!1){t=t.toLowerCase();const{optionsNameMap:i,shortOptionNames:o}=e();if(r){const s=o.get(t);s!==void 0&&(t=s)}return i.get(t)}function QAe(){return LIe||(LIe=SF(PF))}function ZAe(e){const{options:t,watchOptions:r,fileNames:i,errors:o}=dq(wIe,e),s=t;return i.length===0&&i.push("."),s.clean&&s.force&&o.push(Hl(f.Options_0_and_1_cannot_be_combined,"clean","force")),s.clean&&s.verbose&&o.push(Hl(f.Options_0_and_1_cannot_be_combined,"clean","verbose")),s.clean&&s.watch&&o.push(Hl(f.Options_0_and_1_cannot_be_combined,"clean","watch")),s.watch&&s.dry&&o.push(Hl(f.Options_0_and_1_cannot_be_combined,"watch","dry")),{buildOptions:s,watchOptions:r,projects:i,errors:o}}function eIe(e,...t){return aa(Hl(e,...t).messageText,Po)}function fw(e,t,r,i,o,s){const l=PP(e,h=>r.readFile(h));if(!Po(l)){r.onUnRecoverableConfigFileDiagnostic(l);return}const u=pw(e,l),p=r.getCurrentDirectory();return u.path=ol(e,p,Mu(r.useCaseSensitiveFileNames)),u.resolvedPath=u.path,u.originalFileName=u.fileName,hw(u,r,go(ai(e),p),t,go(e,p),void 0,s,i,o)}function mw(e,t){const r=PP(e,t);return Po(r)?fq(e,r):{config:{},error:r}}function fq(e,t){const r=pw(e,t);return{config:oIe(r,r.parseDiagnostics,void 0),error:r.parseDiagnostics.length?r.parseDiagnostics[0]:void 0}}function Dse(e,t){const r=PP(e,t);return Po(r)?pw(e,r):{fileName:e,parseDiagnostics:[r]}}function PP(e,t){let r;try{r=t(e)}catch(i){return Hl(f.Cannot_read_file_0_Colon_1,e,i.message)}return r===void 0?Hl(f.Cannot_read_file_0,e):r}function mq(e){return NE(e,XAe)}function tIe(){return OIe||(OIe=SF(sD))}function nIe(){return WIe||(WIe=mq(pg))}function rIe(){return FIe||(FIe=mq(sD))}function iIe(){return zIe||(zIe=mq(Sw))}function DVe(){return jse===void 0&&(jse={name:void 0,type:"object",elementOptions:mq([Bse,Gse,Vse,Aw,{name:"references",type:"list",element:{name:"references",type:"object"},category:f.Projects},{name:"files",type:"list",element:{name:"files",type:"string"},category:f.File_Management},{name:"include",type:"list",element:{name:"include",type:"string"},category:f.File_Management,defaultValueDescription:f.if_files_is_specified_otherwise_Asterisk_Asterisk_Slash_Asterisk},{name:"exclude",type:"list",element:{name:"exclude",type:"string"},category:f.File_Management,defaultValueDescription:f.node_modules_bower_components_jspm_packages_plus_the_value_of_outDir_if_one_is_specified},vw])}),jse}function oIe(e,t,r){var i;const o=(i=e.statements[0])==null?void 0:i.expression;if(o&&o.kind!==210){if(t.push($f(e,o,f.The_root_value_of_a_0_file_must_be_an_object,ic(e.fileName)==="jsconfig.json"?"jsconfig.json":"tsconfig.json")),_d(o)){const s=An(o.elements,Oa);if(s)return _w(e,s,t,!0,r)}return{}}return _w(e,o,t,!0,r)}function Nse(e,t){var r;return _w(e,(r=e.statements[0])==null?void 0:r.expression,t,!0,void 0)}function _w(e,t,r,i,o){if(!t)return i?{}:void 0;return u(t,o==null?void 0:o.rootOptions);function s(h,m){var b;const A=i?{}:void 0;for(const I of h.properties){if(I.kind!==303){r.push($f(e,I,f.Property_assignment_expected));continue}I.questionToken&&r.push($f(e,I.questionToken,f.The_0_modifier_can_only_be_used_in_TypeScript_files,"?")),p(I.name)||r.push($f(e,I.name,f.String_literal_with_double_quotes_expected));const R=PL(I.name)?void 0:lR(I.name),M=R&&Vi(R),C=M?(b=m==null?void 0:m.elementOptions)==null?void 0:b.get(M):void 0,O=u(I.initializer,C);typeof M<"u"&&(i&&(A[M]=O),o==null||o.onPropertySet(M,O,I,m,C))}return A}function l(h,m){if(!i){h.forEach(b=>u(b,m));return}return nr(h.map(b=>u(b,m)),b=>b!==void 0)}function u(h,m){switch(h.kind){case 112:return!0;case 97:return!1;case 106:return null;case 11:return p(h)||r.push($f(e,h,f.String_literal_with_double_quotes_expected)),h.text;case 9:return Number(h.text);case 224:if(h.operator!==41||h.operand.kind!==9)break;return-Number(h.operand.text);case 210:return s(h,m);case 209:return l(h.elements,m&&m.element)}m?r.push($f(e,h,f.Compiler_option_0_requires_a_value_of_type_1,m.name,_q(m))):r.push($f(e,h,f.Property_value_can_only_be_string_literal_numeric_literal_true_false_null_object_literal_or_array_literal))}function p(h){return Ma(h)&&p3(h,e)}}function _q(e){return e.type==="listOrElement"?`${_q(e.element)} or Array`:e.type==="list"?"Array":Po(e.type)?e.type:"string"}function aIe(e,t){if(e){if(gw(t))return!e.disallowNullOrUndefined;if(e.type==="list")return To(t);if(e.type==="listOrElement")return To(t)||aIe(e.element,t);const r=Po(e.type)?e.type:"string";return typeof t===r}return!1}function sIe(e,t,r){var i,o,s;const l=Mu(r.useCaseSensitiveFileNames),u=wt(nr(e.fileNames,(o=(i=e.options.configFile)==null?void 0:i.configFileSpecs)!=null&&o.validatedIncludeSpecs?CVe(t,e.options.configFile.configFileSpecs.validatedIncludeSpecs,e.options.configFile.configFileSpecs.validatedExcludeSpecs,r):Pv),R=>QM(go(t,r.getCurrentDirectory()),go(R,r.getCurrentDirectory()),l)),p={configFilePath:go(t,r.getCurrentDirectory()),useCaseSensitiveFileNames:r.useCaseSensitiveFileNames},h=IF(e.options,p),m=e.watchOptions&&PVe(e.watchOptions),b={compilerOptions:{...AF(h),showConfig:void 0,configFile:void 0,configFilePath:void 0,help:void 0,init:void 0,listFiles:void 0,listEmittedFiles:void 0,project:void 0,build:void 0,version:void 0},watchOptions:m&&AF(m),references:wt(e.projectReferences,R=>({...R,path:R.originalPath?R.originalPath:"",originalPath:void 0})),files:Me(u)?u:void 0,...(s=e.options.configFile)!=null&&s.configFileSpecs?{include:NVe(e.options.configFile.configFileSpecs.validatedIncludeSpecs),exclude:e.options.configFile.configFileSpecs.validatedExcludeSpecs}:{},compileOnSave:e.compileOnSave?!0:void 0},A=new Set(h.keys()),I={};for(const R in _c)if(!A.has(R)&&bt(_c[R].dependencies,M=>A.has(M))){const M=_c[R].computeValue(e.options),C=_c[R].computeValue({});M!==C&&(I[R]=_c[R].computeValue(e.options))}return Fx(b.compilerOptions,AF(IF(I,p))),b}function AF(e){return{...zo(e.entries()).reduce((t,r)=>({...t,[r[0]]:r[1]}),{})}}function NVe(e){if(Me(e)){if(Me(e)!==1)return e;if(e[0]!==kF)return e}}function CVe(e,t,r,i){if(!t)return Pv;const o=$3(e,r,t,i.useCaseSensitiveFileNames,i.getCurrentDirectory()),s=o.excludePattern&&qy(o.excludePattern,i.useCaseSensitiveFileNames),l=o.includeFilePattern&&qy(o.includeFilePattern,i.useCaseSensitiveFileNames);return l?s?u=>!(l.test(u)&&!s.test(u)):u=>!l.test(u):s?u=>s.test(u):Pv}function lIe(e){switch(e.type){case"string":case"number":case"boolean":case"object":return;case"list":case"listOrElement":return lIe(e.element);default:return e.type}}function hq(e,t){return Gc(t,(r,i)=>{if(r===e)return i})}function IF(e,t){return cIe(e,aD(),t)}function PVe(e){return cIe(e,tIe())}function cIe(e,{optionsNameMap:t},r){const i=new Map,o=r&&Mu(r.useCaseSensitiveFileNames);for(const s in e)if(xs(e,s)){if(t.has(s)&&(t.get(s).category===f.Command_line_Options||t.get(s).category===f.Output_Formatting))continue;const l=e[s],u=t.get(s.toLowerCase());if(u){N.assert(u.type!=="listOrElement");const p=lIe(u);p?u.type==="list"?i.set(s,l.map(h=>hq(h,p))):i.set(s,hq(l,p)):r&&u.isFilePath?i.set(s,QM(r.configFilePath,go(l,ai(r.configFilePath)),o)):i.set(s,l)}}return i}function uIe(e,t){const r=dIe(e);return o();function i(s){return Array(s+1).join(" ")}function o(){const s=[],l=i(2);return Eq.forEach(u=>{if(!r.has(u.name))return;const p=r.get(u.name),h=Ose(u);p!==h?s.push(`${l}${u.name}: ${p}`):xs(MF,u.name)&&s.push(`${l}${u.name}: ${h}`)}),s.join(t)+t}}function dIe(e){const t=O6(e,MF);return IF(t)}function pIe(e,t,r){const i=dIe(e);return l();function o(u){return Array(u+1).join(" ")}function s({category:u,name:p,isCommandLineOnly:h}){const m=[f.Command_line_Options,f.Editor_Support,f.Compiler_Diagnostics,f.Backwards_Compatibility,f.Watch_and_Build_Modes,f.Output_Formatting];return!h&&u!==void 0&&(!m.includes(u)||i.has(p))}function l(){const u=new Map;u.set(f.Projects,[]),u.set(f.Language_and_Environment,[]),u.set(f.Modules,[]),u.set(f.JavaScript_Support,[]),u.set(f.Emit,[]),u.set(f.Interop_Constraints,[]),u.set(f.Type_Checking,[]),u.set(f.Completeness,[]);for(const I of pg)if(s(I)){let R=u.get(I.category);R||u.set(I.category,R=[]),R.push(I)}let p=0,h=0;const m=[];u.forEach((I,R)=>{m.length!==0&&m.push({value:""}),m.push({value:`/* ${Wo(R)} */`});for(const M of I){let C;i.has(M.name)?C=`"${M.name}": ${JSON.stringify(i.get(M.name))}${(h+=1)===i.size?"":","}`:C=`// "${M.name}": ${JSON.stringify(Ose(M))},`,m.push({value:C,description:`/* ${M.description&&Wo(M.description)||M.name} */`}),p=Math.max(C.length,p)}});const b=o(2),A=[];A.push("{"),A.push(`${b}"compilerOptions": {`),A.push(`${b}${b}/* ${Wo(f.Visit_https_Colon_Slash_Slashaka_ms_Slashtsconfig_to_read_more_about_this_file)} */`),A.push("");for(const I of m){const{value:R,description:M=""}=I;A.push(R&&`${b}${b}${R}${M&&o(p-R.length+2)+M}`)}if(t.length){A.push(`${b}},`),A.push(`${b}"files": [`);for(let I=0;I<t.length;I++)A.push(`${b}${b}${JSON.stringify(t[I])}${I===t.length-1?"":","}`);A.push(`${b}]`)}else A.push(`${b}}`);return A.push("}"),A.join(r)+r}}function gq(e,t){const r={},i=aD().optionsNameMap;for(const o in e)xs(e,o)&&(r[o]=MVe(i.get(o.toLowerCase()),e[o],t));return r.configFilePath&&(r.configFilePath=t(r.configFilePath)),r}function MVe(e,t,r){if(e&&!gw(t)){if(e.type==="list"){const i=t;if(e.element.isFilePath&&i.length)return i.map(r)}else if(e.isFilePath)return r(t);N.assert(e.type!=="listOrElement")}return t}function fIe(e,t,r,i,o,s,l,u,p){return _Ie(e,void 0,t,r,i,p,o,s,l,u)}function hw(e,t,r,i,o,s,l,u,p){var h,m;(h=sr)==null||h.push(sr.Phase.Parse,"parseJsonSourceFileConfigFileContent",{path:e.fileName});const b=_Ie(void 0,e,t,r,i,p,o,s,l,u);return(m=sr)==null||m.pop(),b}function vq(e,t){t&&Object.defineProperty(e,"configFile",{enumerable:!1,writable:!1,value:t})}function gw(e){return e==null}function mIe(e,t){return ai(go(e,t))}function _Ie(e,t,r,i,o={},s,l,u=[],p=[],h){N.assert(e===void 0&&t!==void 0||e!==void 0&&t===void 0);const m=[],b=vIe(e,t,r,i,l,u,m,h),{raw:A}=b,I=O6(o,b.options||{}),R=s&&b.watchOptions?O6(s,b.watchOptions):b.watchOptions||s;I.configFilePath=l&&Lu(l);const M=O();t&&(t.configFileSpecs=M),vq(I,t);const C=ga(l?mIe(l,i):i);return{options:I,watchOptions:R,fileNames:U(C),projectReferences:K(C),typeAcquisition:b.typeAcquisition||yq(),raw:A,errors:m,wildcardDirectories:GVe(M,C,r.useCaseSensitiveFileNames),compileOnSave:!!A.compileOnSave};function O(){const ne=se("references",pe=>typeof pe=="object","object"),he=Z(V("files"));if(he){const pe=ne==="no-prop"||To(ne)&&ne.length===0,ie=xs(A,"extends");if(he.length===0&&pe&&!ie)if(t){const ve=l||"tsconfig.json",Le=f.The_files_list_in_config_file_0_is_empty,He=OL(t,"files",De=>De.initializer),Ee=M0(t,He,Le,ve);m.push(Ee)}else G(f.The_files_list_in_config_file_0_is_empty,l||"tsconfig.json")}let ye=Z(V("include"));const $=V("exclude");let Y=!1,oe=Z($);if($==="no-prop"&&A.compilerOptions){const pe=A.compilerOptions.outDir,ie=A.compilerOptions.declarationDir;(pe||ie)&&(oe=[pe,ie].filter(ve=>!!ve))}he===void 0&&ye===void 0&&(ye=[kF],Y=!0);let fe,Ne;return ye&&(fe=CIe(ye,m,!0,t,"include")),oe&&(Ne=CIe(oe,m,!1,t,"exclude")),{filesSpecs:he,includeSpecs:ye,excludeSpecs:oe,validatedFilesSpec:nr(he,Po),validatedIncludeSpecs:fe,validatedExcludeSpecs:Ne,pathPatterns:void 0,isDefaultIncludeSpec:Y}}function U(ne){const he=LP(M,ne,I,r,p);return gIe(he,MP(A),u)&&m.push(hIe(M,l)),he}function K(ne){let he;const ye=se("references",$=>typeof $=="object","object");if(To(ye))for(const $ of ye)typeof $.path!="string"?G(f.Compiler_option_0_requires_a_value_of_type_1,"reference.path","string"):(he||(he=[])).push({path:go($.path,ne),originalPath:$.path,prepend:$.prepend,circular:$.circular});return he}function Z(ne){return To(ne)?ne:void 0}function V(ne){return se(ne,Po,"string")}function se(ne,he,ye){if(xs(A,ne)&&!gw(A[ne]))if(To(A[ne])){const $=A[ne];return!t&&!Zn($,he)&&m.push(Hl(f.Compiler_option_0_requires_a_value_of_type_1,ne,ye)),$}else return G(f.Compiler_option_0_requires_a_value_of_type_1,ne,"Array"),"not-array";return"no-prop"}function G(ne,...he){t||m.push(Hl(ne,...he))}}function LVe(e){return e.code===f.No_inputs_were_found_in_config_file_0_Specified_include_paths_were_1_and_exclude_paths_were_2.code}function hIe({includeSpecs:e,excludeSpecs:t},r){return Hl(f.No_inputs_were_found_in_config_file_0_Specified_include_paths_were_1_and_exclude_paths_were_2,r||"tsconfig.json",JSON.stringify(e||[]),JSON.stringify(t||[]))}function gIe(e,t,r){return e.length===0&&t&&(!r||r.length===0)}function MP(e){return!xs(e,"files")&&!xs(e,"references")}function xF(e,t,r,i,o){const s=i.length;return gIe(e,o)?i.push(hIe(r,t)):Xs(i,l=>!LVe(l)),s!==i.length}function kVe(e){return!!e.options}function vIe(e,t,r,i,o,s,l,u){var p;i=Lu(i);const h=go(o||"",i);if(s.includes(h))return l.push(Hl(f.Circularity_detected_while_resolving_configuration_Colon_0,[...s,h].join(" -> "))),{raw:e||Nse(t,l)};const m=e?wVe(e,r,i,o,l):OVe(t,r,i,o,l);if((p=m.options)!=null&&p.paths&&(m.options.pathsBasePath=i),m.extendedConfigPath){s=s.concat([h]);const A={options:{}};Po(m.extendedConfigPath)?b(A,m.extendedConfigPath):m.extendedConfigPath.forEach(I=>b(A,I)),!m.raw.include&&A.include&&(m.raw.include=A.include),!m.raw.exclude&&A.exclude&&(m.raw.exclude=A.exclude),!m.raw.files&&A.files&&(m.raw.files=A.files),m.raw.compileOnSave===void 0&&A.compileOnSave&&(m.raw.compileOnSave=A.compileOnSave),t&&A.extendedSourceFiles&&(t.extendedSourceFiles=zo(A.extendedSourceFiles.keys())),m.options=Fx(A.options,m.options),m.watchOptions=m.watchOptions&&A.watchOptions?Fx(A.watchOptions,m.watchOptions):m.watchOptions||A.watchOptions}return m;function b(A,I){const R=WVe(t,I,r,s,l,u,A);if(R&&kVe(R)){const M=R.raw;let C;const O=U=>{M[U]&&(A[U]=wt(M[U],K=>fp(K)?K:Qr(C||(C=rC(ai(I),i,Mu(r.useCaseSensitiveFileNames))),K)))};O("include"),O("exclude"),O("files"),M.compileOnSave!==void 0&&(A.compileOnSave=M.compileOnSave),Fx(A.options,R.options),A.watchOptions=A.watchOptions&&R.watchOptions?Fx({},A.watchOptions,R.watchOptions):A.watchOptions||R.watchOptions}}}function wVe(e,t,r,i,o){xs(e,"excludes")&&o.push(Hl(f.Unknown_option_excludes_Did_you_mean_exclude));const s=AIe(e.compilerOptions,r,o,i),l=IIe(e.typeAcquisition,r,o,i),u=zVe(e.watchOptions,r,o);e.compileOnSave=FVe(e,r,o);const p=e.extends||e.extends===""?yIe(e.extends,t,r,i,o):void 0;return{raw:e,options:s,watchOptions:u,typeAcquisition:l,extendedConfigPath:p}}function yIe(e,t,r,i,o,s,l,u){let p;const h=i?mIe(i,r):r;if(Po(e))p=bIe(e,t,h,o,l,u);else if(To(e)){p=[];for(let m=0;m<e.length;m++){const b=e[m];Po(b)?p=xn(p,bIe(b,t,h,o,l==null?void 0:l.elements[m],u)):ZS(Aw.element,e,r,o,s,l==null?void 0:l.elements[m],u)}}else ZS(Aw,e,r,o,s,l,u);return p}function OVe(e,t,r,i,o){const s=TIe(i);let l,u,p,h;const m=DVe(),b=oIe(e,o,{rootOptions:m,onPropertySet:A});return l||(l=yq(i)),h&&b&&b.compilerOptions===void 0&&o.push($f(e,h[0],f._0_should_be_set_inside_the_compilerOptions_object_of_the_config_json_file,lR(h[0]))),{raw:b,options:s,watchOptions:u,typeAcquisition:l,extendedConfigPath:p};function A(I,R,M,C,O){if(O&&O!==Aw&&(R=ZS(O,R,r,o,M,M.initializer,e)),C!=null&&C.name)if(O){let U;C===Bse?U=s:C===Gse?U=u??(u={}):C===Vse?U=l??(l=yq(i)):N.fail("Unknown option"),U[O.name]=R}else I&&(C!=null&&C.extraKeyDiagnostics)&&(C.elementOptions?o.push(xse(I,C.extraKeyDiagnostics,void 0,M.name,e)):o.push($f(e,M.name,C.extraKeyDiagnostics.unknownOptionDiagnostic,I)));else C===m&&(O===Aw?p=yIe(R,t,r,i,o,M,M.initializer,e):O||(I==="excludes"&&o.push($f(e,M.name,f.Unknown_option_excludes_Did_you_mean_exclude)),An(Eq,U=>U.name===I)&&(h=xn(h,M.name))))}}function bIe(e,t,r,i,o,s){if(e=Lu(e),fp(e)||uo(e,"./")||uo(e,"../")){let u=go(e,r);if(!t.fileExists(u)&&!Al(u,".json")&&(u=`${u}.json`,!t.fileExists(u))){i.push(M0(s,o,f.File_0_not_found,e));return}return u}const l=Zse(e,Qr(r,"tsconfig.json"),t);if(l.resolvedModule)return l.resolvedModule.resolvedFileName;e===""?i.push(M0(s,o,f.Compiler_option_0_cannot_be_given_an_empty_string,"extends")):i.push(M0(s,o,f.File_0_not_found,e))}function WVe(e,t,r,i,o,s,l){const u=r.useCaseSensitiveFileNames?t:ch(t);let p,h,m;if(s&&(p=s.get(u))?{extendedResult:h,extendedConfig:m}=p:(h=Dse(t,b=>r.readFile(b)),h.parseDiagnostics.length||(m=vIe(void 0,h,r,ai(t),ic(t),i,o,s)),s&&s.set(u,{extendedResult:h,extendedConfig:m})),e&&((l.extendedSourceFiles??(l.extendedSourceFiles=new Set)).add(h.fileName),h.extendedSourceFiles))for(const b of h.extendedSourceFiles)l.extendedSourceFiles.add(b);if(h.parseDiagnostics.length){o.push(...h.parseDiagnostics);return}return m}function FVe(e,t,r){if(!xs(e,vw.name))return!1;const i=ZS(vw,e.compileOnSave,t,r);return typeof i=="boolean"&&i}function EIe(e,t,r){const i=[];return{options:AIe(e,t,i,r),errors:i}}function SIe(e,t,r){const i=[];return{options:IIe(e,t,i,r),errors:i}}function TIe(e){return e&&ic(e)==="jsconfig.json"?{allowJs:!0,maxNodeModuleJsDepth:2,allowSyntheticDefaultImports:!0,skipLibCheck:!0,noEmit:!0}:{}}function AIe(e,t,r,i){const o=TIe(i);return Cse(nIe(),e,t,o,Tw,r),i&&(o.configFilePath=Lu(i)),o}function yq(e){return{enable:!!e&&ic(e)==="jsconfig.json",include:[],exclude:[]}}function IIe(e,t,r,i){const o=yq(i);return Cse(iIe(),e,t,o,zse,r),o}function zVe(e,t,r){return Cse(rIe(),e,t,void 0,LF,r)}function Cse(e,t,r,i,o,s){if(t){for(const l in t){const u=e.get(l);u?(i||(i={}))[u.name]=ZS(u,t[l],r,s):s.push(xse(l,o))}return i}}function M0(e,t,r,...i){return e&&t?$f(e,t,r,...i):Hl(r,...i)}function ZS(e,t,r,i,o,s,l){if(e.isCommandLineOnly){i.push(M0(l,o==null?void 0:o.name,f.Option_0_can_only_be_specified_on_command_line,e.name));return}if(aIe(e,t)){const u=e.type;if(u==="list"&&To(t))return RIe(e,t,r,i,o,s,l);if(u==="listOrElement")return To(t)?RIe(e,t,r,i,o,s,l):ZS(e.element,t,r,i,o,s,l);if(!Po(e.type))return xIe(e,t,i,s,l);const p=EI(e,t,i,s,l);return gw(p)?p:BVe(e,r,p)}else i.push(M0(l,s,f.Compiler_option_0_requires_a_value_of_type_1,e.name,_q(e)))}function BVe(e,t,r){return e.isFilePath&&(r=go(r,t),r===""&&(r=".")),r}function EI(e,t,r,i,o){var s;if(gw(t))return;const l=(s=e.extraValidation)==null?void 0:s.call(e,t);if(!l)return t;r.push(M0(o,i,...l))}function xIe(e,t,r,i,o){if(gw(t))return;const s=t.toLowerCase(),l=e.type.get(s);if(l!==void 0)return EI(e,l,r,i,o);r.push(KAe(e,(u,...p)=>M0(o,i,u,...p)))}function RIe(e,t,r,i,o,s,l){return nr(wt(t,(u,p)=>ZS(e.element,u,r,i,o,s==null?void 0:s.elements[p],l)),u=>e.listPreserveFalsyValues?!0:!!u)}function LP(e,t,r,i,o=et){t=ga(t);const s=Mu(i.useCaseSensitiveFileNames),l=new Map,u=new Map,p=new Map,{validatedFilesSpec:h,validatedIncludeSpecs:m,validatedExcludeSpecs:b}=e,A=YC(r,o),I=Ek(r,A);if(h)for(const O of h){const U=go(O,t);l.set(s(U),U)}let R;if(m&&m.length>0)for(const O of i.readDirectory(t,ia(I),b,m,void 0)){if(Il(O,".json")){if(!R){const Z=m.filter(se=>Al(se,".json")),V=wt(X3(Z,t,"files"),se=>`^${se}$`);R=V?V.map(se=>qy(se,i.useCaseSensitiveFileNames)):et}if(Gr(R,Z=>Z.test(O))!==-1){const Z=s(O);!l.has(Z)&&!p.has(Z)&&p.set(Z,O)}continue}if(jVe(O,l,u,A,s))continue;UVe(O,u,A,s);const U=s(O);!l.has(U)&&!u.has(U)&&u.set(U,O)}const M=zo(l.values()),C=zo(u.values());return M.concat(C,zo(p.values()))}function Pse(e,t,r,i,o){const{validatedFilesSpec:s,validatedIncludeSpecs:l,validatedExcludeSpecs:u}=t;if(!Me(l)||!Me(u))return!1;r=ga(r);const p=Mu(i);if(s){for(const h of s)if(p(go(h,r))===e)return!1}return NIe(e,u,i,o,r)}function DIe(e){const t=uo(e,"**/")?0:e.indexOf("/**/");return t===-1?!1:(Al(e,"/..")?e.length:e.lastIndexOf("/../"))>t}function RF(e,t,r,i){return NIe(e,nr(t,o=>!DIe(o)),r,i)}function NIe(e,t,r,i,o){const s=XC(t,Qr(ga(i),o),"exclude"),l=s&&qy(s,r);return l?l.test(e)?!0:!xA(e)&&l.test(Bc(e)):!1}function CIe(e,t,r,i,o){return e.filter(l=>{if(!Po(l))return!1;const u=Mse(l,r);return u!==void 0&&t.push(s(...u)),u===void 0});function s(l,u){const p=e3(i,o,u);return M0(i,p,l,u)}}function Mse(e,t){if(N.assert(typeof e=="string"),t&&BIe.test(e))return[f.File_specification_cannot_end_in_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0,e];if(DIe(e))return[f.File_specification_cannot_contain_a_parent_directory_that_appears_after_a_recursive_directory_wildcard_Asterisk_Asterisk_Colon_0,e]}function GVe({validatedIncludeSpecs:e,validatedExcludeSpecs:t},r,i){const o=XC(t,r,"exclude"),s=o&&new RegExp(o,i?"":"i"),l={},u=new Map;if(e!==void 0){const p=[];for(const h of e){const m=ga(Qr(r,h));if(s&&s.test(m))continue;const b=VVe(m,i);if(b){const{key:A,path:I,flags:R}=b,M=u.get(A),C=M!==void 0?l[M]:void 0;(C===void 0||C<R)&&(l[M!==void 0?M:I]=R,M===void 0&&u.set(A,I),R===1&&p.push(A))}}for(const h in l)if(xs(l,h))for(const m of p){const b=Lse(h,i);b!==m&&bm(m,b,r,!i)&&delete l[h]}}return l}function Lse(e,t){return t?e:ch(e)}function VVe(e,t){const r=GIe.exec(e);if(r){const i=e.indexOf("?"),o=e.indexOf("*"),s=e.lastIndexOf(al);return{key:Lse(r[0],t),path:r[0],flags:i!==-1&&i<s||o!==-1&&o<s?1:0}}if(WU(e.substring(e.lastIndexOf(al)+1))){const i=o0(e);return{key:Lse(i,t),path:i,flags:1}}}function jVe(e,t,r,i,o){const s=Ue(i,l=>Tc(e,l)?l:void 0);if(!s)return!1;for(const l of s){if(Il(e,l)&&(l!==".ts"||!Il(e,".d.ts")))return!1;const u=o(S0(e,l));if(t.has(u)||r.has(u)){if(l===".d.ts"&&(Il(e,".js")||Il(e,".jsx")))continue;return!0}}return!1}function UVe(e,t,r,i){const o=Ue(r,s=>Tc(e,s)?s:void 0);if(o)for(let s=o.length-1;s>=0;s--){const l=o[s];if(Il(e,l))return;const u=i(S0(e,l));t.delete(u)}}function kse(e){const t={};for(const r in e)if(xs(e,r)){const i=pq(r);i!==void 0&&(t[r]=wse(e[r],i))}return t}function wse(e,t){if(e===void 0)return e;switch(t.type){case"object":return"";case"string":return"";case"number":return typeof e=="number"?e:"";case"boolean":return typeof e=="boolean"?e:"";case"listOrElement":if(!To(e))return wse(e,t.element);case"list":const r=t.element;return To(e)?Hi(e,i=>wse(i,r)):"";default:return Gc(t.type,(i,o)=>{if(i===e)return o})}}function Ose(e){switch(e.type){case"number":return 1;case"boolean":return!0;case"string":const t=e.defaultValueDescription;return e.isFilePath?`./${t&&typeof t=="string"?t:""}`:"";case"list":return[];case"listOrElement":return Ose(e.element);case"object":return{};default:const r=L6(e.type.keys());return r!==void 0?r:N.fail("Expected 'option.type' to have entries.")}}var vw,Wse,kP,Fse,yw,DF,sD,bw,Ew,bq,Eq,pg,Sq,Tq,Aq,NF,CF,Iq,xq,Rq,PF,Sw,PIe,MIe,MF,Tw,LIe,kIe,wIe,zse,OIe,LF,WIe,FIe,zIe,Aw,Bse,Gse,Vse,jse,kF,BIe,GIe,HVe=T({"src/compiler/commandLineParser.ts"(){ra(),vw={name:"compileOnSave",type:"boolean",defaultValueDescription:!1},Wse=new Map(Object.entries({preserve:1,"react-native":3,react:2,"react-jsx":4,"react-jsxdev":5})),kP=new Map(Ia(Wse.entries(),([e,t])=>[""+t,e])),Fse=[["es5","lib.es5.d.ts"],["es6","lib.es2015.d.ts"],["es2015","lib.es2015.d.ts"],["es7","lib.es2016.d.ts"],["es2016","lib.es2016.d.ts"],["es2017","lib.es2017.d.ts"],["es2018","lib.es2018.d.ts"],["es2019","lib.es2019.d.ts"],["es2020","lib.es2020.d.ts"],["es2021","lib.es2021.d.ts"],["es2022","lib.es2022.d.ts"],["es2023","lib.es2023.d.ts"],["esnext","lib.esnext.d.ts"],["dom","lib.dom.d.ts"],["dom.iterable","lib.dom.iterable.d.ts"],["dom.asynciterable","lib.dom.asynciterable.d.ts"],["webworker","lib.webworker.d.ts"],["webworker.importscripts","lib.webworker.importscripts.d.ts"],["webworker.iterable","lib.webworker.iterable.d.ts"],["webworker.asynciterable","lib.webworker.asynciterable.d.ts"],["scripthost","lib.scripthost.d.ts"],["es2015.core","lib.es2015.core.d.ts"],["es2015.collection","lib.es2015.collection.d.ts"],["es2015.generator","lib.es2015.generator.d.ts"],["es2015.iterable","lib.es2015.iterable.d.ts"],["es2015.promise","lib.es2015.promise.d.ts"],["es2015.proxy","lib.es2015.proxy.d.ts"],["es2015.reflect","lib.es2015.reflect.d.ts"],["es2015.symbol","lib.es2015.symbol.d.ts"],["es2015.symbol.wellknown","lib.es2015.symbol.wellknown.d.ts"],["es2016.array.include","lib.es2016.array.include.d.ts"],["es2016.intl","lib.es2016.intl.d.ts"],["es2017.date","lib.es2017.date.d.ts"],["es2017.object","lib.es2017.object.d.ts"],["es2017.sharedmemory","lib.es2017.sharedmemory.d.ts"],["es2017.string","lib.es2017.string.d.ts"],["es2017.intl","lib.es2017.intl.d.ts"],["es2017.typedarrays","lib.es2017.typedarrays.d.ts"],["es2018.asyncgenerator","lib.es2018.asyncgenerator.d.ts"],["es2018.asynciterable","lib.es2018.asynciterable.d.ts"],["es2018.intl","lib.es2018.intl.d.ts"],["es2018.promise","lib.es2018.promise.d.ts"],["es2018.regexp","lib.es2018.regexp.d.ts"],["es2019.array","lib.es2019.array.d.ts"],["es2019.object","lib.es2019.object.d.ts"],["es2019.string","lib.es2019.string.d.ts"],["es2019.symbol","lib.es2019.symbol.d.ts"],["es2019.intl","lib.es2019.intl.d.ts"],["es2020.bigint","lib.es2020.bigint.d.ts"],["es2020.date","lib.es2020.date.d.ts"],["es2020.promise","lib.es2020.promise.d.ts"],["es2020.sharedmemory","lib.es2020.sharedmemory.d.ts"],["es2020.string","lib.es2020.string.d.ts"],["es2020.symbol.wellknown","lib.es2020.symbol.wellknown.d.ts"],["es2020.intl","lib.es2020.intl.d.ts"],["es2020.number","lib.es2020.number.d.ts"],["es2021.promise","lib.es2021.promise.d.ts"],["es2021.string","lib.es2021.string.d.ts"],["es2021.weakref","lib.es2021.weakref.d.ts"],["es2021.intl","lib.es2021.intl.d.ts"],["es2022.array","lib.es2022.array.d.ts"],["es2022.error","lib.es2022.error.d.ts"],["es2022.intl","lib.es2022.intl.d.ts"],["es2022.object","lib.es2022.object.d.ts"],["es2022.sharedmemory","lib.es2022.sharedmemory.d.ts"],["es2022.string","lib.es2022.string.d.ts"],["es2022.regexp","lib.es2022.regexp.d.ts"],["es2023.array","lib.es2023.array.d.ts"],["es2023.collection","lib.es2023.collection.d.ts"],["esnext.array","lib.es2023.array.d.ts"],["esnext.collection","lib.esnext.collection.d.ts"],["esnext.symbol","lib.es2019.symbol.d.ts"],["esnext.asynciterable","lib.es2018.asynciterable.d.ts"],["esnext.intl","lib.esnext.intl.d.ts"],["esnext.disposable","lib.esnext.disposable.d.ts"],["esnext.bigint","lib.es2020.bigint.d.ts"],["esnext.string","lib.es2022.string.d.ts"],["esnext.promise","lib.esnext.promise.d.ts"],["esnext.weakref","lib.es2021.weakref.d.ts"],["esnext.decorators","lib.esnext.decorators.d.ts"],["esnext.object","lib.esnext.object.d.ts"],["decorators","lib.decorators.d.ts"],["decorators.legacy","lib.decorators.legacy.d.ts"]],yw=Fse.map(e=>e[0]),DF=new Map(Fse),sD=[{name:"watchFile",type:new Map(Object.entries({fixedpollinginterval:0,prioritypollinginterval:1,dynamicprioritypolling:2,fixedchunksizepolling:3,usefsevents:4,usefseventsonparentdirectory:5})),category:f.Watch_and_Build_Modes,description:f.Specify_how_the_TypeScript_watch_mode_works,defaultValueDescription:4},{name:"watchDirectory",type:new Map(Object.entries({usefsevents:0,fixedpollinginterval:1,dynamicprioritypolling:2,fixedchunksizepolling:3})),category:f.Watch_and_Build_Modes,description:f.Specify_how_directories_are_watched_on_systems_that_lack_recursive_file_watching_functionality,defaultValueDescription:0},{name:"fallbackPolling",type:new Map(Object.entries({fixedinterval:0,priorityinterval:1,dynamicpriority:2,fixedchunksize:3})),category:f.Watch_and_Build_Modes,description:f.Specify_what_approach_the_watcher_should_use_if_the_system_runs_out_of_native_file_watchers,defaultValueDescription:1},{name:"synchronousWatchDirectory",type:"boolean",category:f.Watch_and_Build_Modes,description:f.Synchronously_call_callbacks_and_update_the_state_of_directory_watchers_on_platforms_that_don_t_support_recursive_watching_natively,defaultValueDescription:!1},{name:"excludeDirectories",type:"list",element:{name:"excludeDirectory",type:"string",isFilePath:!0,extraValidation:Mse},category:f.Watch_and_Build_Modes,description:f.Remove_a_list_of_directories_from_the_watch_process},{name:"excludeFiles",type:"list",element:{name:"excludeFile",type:"string",isFilePath:!0,extraValidation:Mse},category:f.Watch_and_Build_Modes,description:f.Remove_a_list_of_files_from_the_watch_mode_s_processing}],bw=[{name:"help",shortName:"h",type:"boolean",showInSimplifiedHelpView:!0,isCommandLineOnly:!0,category:f.Command_line_Options,description:f.Print_this_message,defaultValueDescription:!1},{name:"help",shortName:"?",type:"boolean",isCommandLineOnly:!0,category:f.Command_line_Options,defaultValueDescription:!1},{name:"watch",shortName:"w",type:"boolean",showInSimplifiedHelpView:!0,isCommandLineOnly:!0,category:f.Command_line_Options,description:f.Watch_input_files,defaultValueDescription:!1},{name:"preserveWatchOutput",type:"boolean",showInSimplifiedHelpView:!1,category:f.Output_Formatting,description:f.Disable_wiping_the_console_in_watch_mode,defaultValueDescription:!1},{name:"listFiles",type:"boolean",category:f.Compiler_Diagnostics,description:f.Print_all_of_the_files_read_during_the_compilation,defaultValueDescription:!1},{name:"explainFiles",type:"boolean",category:f.Compiler_Diagnostics,description:f.Print_files_read_during_the_compilation_including_why_it_was_included,defaultValueDescription:!1},{name:"listEmittedFiles",type:"boolean",category:f.Compiler_Diagnostics,description:f.Print_the_names_of_emitted_files_after_a_compilation,defaultValueDescription:!1},{name:"pretty",type:"boolean",showInSimplifiedHelpView:!0,category:f.Output_Formatting,description:f.Enable_color_and_formatting_in_TypeScript_s_output_to_make_compiler_errors_easier_to_read,defaultValueDescription:!0},{name:"traceResolution",type:"boolean",category:f.Compiler_Diagnostics,description:f.Log_paths_used_during_the_moduleResolution_process,defaultValueDescription:!1},{name:"diagnostics",type:"boolean",category:f.Compiler_Diagnostics,description:f.Output_compiler_performance_information_after_building,defaultValueDescription:!1},{name:"extendedDiagnostics",type:"boolean",category:f.Compiler_Diagnostics,description:f.Output_more_detailed_compiler_performance_information_after_building,defaultValueDescription:!1},{name:"generateCpuProfile",type:"string",isFilePath:!0,paramType:f.FILE_OR_DIRECTORY,category:f.Compiler_Diagnostics,description:f.Emit_a_v8_CPU_profile_of_the_compiler_run_for_debugging,defaultValueDescription:"profile.cpuprofile"},{name:"generateTrace",type:"string",isFilePath:!0,isCommandLineOnly:!0,paramType:f.DIRECTORY,category:f.Compiler_Diagnostics,description:f.Generates_an_event_trace_and_a_list_of_types},{name:"incremental",shortName:"i",type:"boolean",category:f.Projects,description:f.Save_tsbuildinfo_files_to_allow_for_incremental_compilation_of_projects,transpileOptionValue:void 0,defaultValueDescription:f.false_unless_composite_is_set},{name:"declaration",shortName:"d",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.Emit,transpileOptionValue:void 0,description:f.Generate_d_ts_files_from_TypeScript_and_JavaScript_files_in_your_project,defaultValueDescription:f.false_unless_composite_is_set},{name:"declarationMap",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.Emit,transpileOptionValue:void 0,defaultValueDescription:!1,description:f.Create_sourcemaps_for_d_ts_files},{name:"emitDeclarationOnly",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.Emit,description:f.Only_output_d_ts_files_and_not_JavaScript_files,transpileOptionValue:void 0,defaultValueDescription:!1},{name:"sourceMap",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.Emit,defaultValueDescription:!1,description:f.Create_source_map_files_for_emitted_JavaScript_files},{name:"inlineSourceMap",type:"boolean",affectsBuildInfo:!0,category:f.Emit,description:f.Include_sourcemap_files_inside_the_emitted_JavaScript,defaultValueDescription:!1},{name:"assumeChangesOnlyAffectDirectDependencies",type:"boolean",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,category:f.Watch_and_Build_Modes,description:f.Have_recompiles_in_projects_that_use_incremental_and_watch_mode_assume_that_changes_within_a_file_will_only_affect_files_directly_depending_on_it,defaultValueDescription:!1},{name:"locale",type:"string",category:f.Command_line_Options,isCommandLineOnly:!0,description:f.Set_the_language_of_the_messaging_from_TypeScript_This_does_not_affect_emit,defaultValueDescription:f.Platform_specific}],Ew={name:"target",shortName:"t",type:new Map(Object.entries({es3:0,es5:1,es6:2,es2015:2,es2016:3,es2017:4,es2018:5,es2019:6,es2020:7,es2021:8,es2022:9,esnext:99})),affectsSourceFile:!0,affectsModuleResolution:!0,affectsEmit:!0,affectsBuildInfo:!0,deprecatedKeys:new Set(["es3"]),paramType:f.VERSION,showInSimplifiedHelpView:!0,category:f.Language_and_Environment,description:f.Set_the_JavaScript_language_version_for_emitted_JavaScript_and_include_compatible_library_declarations,defaultValueDescription:1},bq={name:"module",shortName:"m",type:new Map(Object.entries({none:0,commonjs:1,amd:2,system:4,umd:3,es6:5,es2015:5,es2020:6,es2022:7,esnext:99,node16:100,nodenext:199,preserve:200})),affectsSourceFile:!0,affectsModuleResolution:!0,affectsEmit:!0,affectsBuildInfo:!0,paramType:f.KIND,showInSimplifiedHelpView:!0,category:f.Modules,description:f.Specify_what_module_code_is_generated,defaultValueDescription:void 0},Eq=[{name:"all",type:"boolean",showInSimplifiedHelpView:!0,category:f.Command_line_Options,description:f.Show_all_compiler_options,defaultValueDescription:!1},{name:"version",shortName:"v",type:"boolean",showInSimplifiedHelpView:!0,category:f.Command_line_Options,description:f.Print_the_compiler_s_version,defaultValueDescription:!1},{name:"init",type:"boolean",showInSimplifiedHelpView:!0,category:f.Command_line_Options,description:f.Initializes_a_TypeScript_project_and_creates_a_tsconfig_json_file,defaultValueDescription:!1},{name:"project",shortName:"p",type:"string",isFilePath:!0,showInSimplifiedHelpView:!0,category:f.Command_line_Options,paramType:f.FILE_OR_DIRECTORY,description:f.Compile_the_project_given_the_path_to_its_configuration_file_or_to_a_folder_with_a_tsconfig_json},{name:"build",type:"boolean",shortName:"b",showInSimplifiedHelpView:!0,category:f.Command_line_Options,description:f.Build_one_or_more_projects_and_their_dependencies_if_out_of_date,defaultValueDescription:!1},{name:"showConfig",type:"boolean",showInSimplifiedHelpView:!0,category:f.Command_line_Options,isCommandLineOnly:!0,description:f.Print_the_final_configuration_instead_of_building,defaultValueDescription:!1},{name:"listFilesOnly",type:"boolean",category:f.Command_line_Options,isCommandLineOnly:!0,description:f.Print_names_of_files_that_are_part_of_the_compilation_and_then_stop_processing,defaultValueDescription:!1},Ew,bq,{name:"lib",type:"list",element:{name:"lib",type:DF,defaultValueDescription:void 0},affectsProgramStructure:!0,showInSimplifiedHelpView:!0,category:f.Language_and_Environment,description:f.Specify_a_set_of_bundled_library_declaration_files_that_describe_the_target_runtime_environment,transpileOptionValue:void 0},{name:"allowJs",type:"boolean",allowJsFlag:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.JavaScript_Support,description:f.Allow_JavaScript_files_to_be_a_part_of_your_program_Use_the_checkJS_option_to_get_errors_from_these_files,defaultValueDescription:!1},{name:"checkJs",type:"boolean",affectsModuleResolution:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.JavaScript_Support,description:f.Enable_error_reporting_in_type_checked_JavaScript_files,defaultValueDescription:!1},{name:"jsx",type:Wse,affectsSourceFile:!0,affectsEmit:!0,affectsBuildInfo:!0,affectsModuleResolution:!0,affectsSemanticDiagnostics:!0,paramType:f.KIND,showInSimplifiedHelpView:!0,category:f.Language_and_Environment,description:f.Specify_what_JSX_code_is_generated,defaultValueDescription:void 0},{name:"outFile",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!0,paramType:f.FILE,showInSimplifiedHelpView:!0,category:f.Emit,description:f.Specify_a_file_that_bundles_all_outputs_into_one_JavaScript_file_If_declaration_is_true_also_designates_a_file_that_bundles_all_d_ts_output,transpileOptionValue:void 0},{name:"outDir",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!0,paramType:f.DIRECTORY,showInSimplifiedHelpView:!0,category:f.Emit,description:f.Specify_an_output_folder_for_all_emitted_files},{name:"rootDir",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!0,paramType:f.LOCATION,category:f.Modules,description:f.Specify_the_root_folder_within_your_source_files,defaultValueDescription:f.Computed_from_the_list_of_input_files},{name:"composite",type:"boolean",affectsBuildInfo:!0,isTSConfigOnly:!0,category:f.Projects,transpileOptionValue:void 0,defaultValueDescription:!1,description:f.Enable_constraints_that_allow_a_TypeScript_project_to_be_used_with_project_references},{name:"tsBuildInfoFile",type:"string",affectsEmit:!0,affectsBuildInfo:!0,isFilePath:!0,paramType:f.FILE,category:f.Projects,transpileOptionValue:void 0,defaultValueDescription:".tsbuildinfo",description:f.Specify_the_path_to_tsbuildinfo_incremental_compilation_file},{name:"removeComments",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.Emit,defaultValueDescription:!1,description:f.Disable_emitting_comments},{name:"noEmit",type:"boolean",showInSimplifiedHelpView:!0,category:f.Emit,description:f.Disable_emitting_files_from_a_compilation,transpileOptionValue:void 0,defaultValueDescription:!1},{name:"importHelpers",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Allow_importing_helper_functions_from_tslib_once_per_project_instead_of_including_them_per_file,defaultValueDescription:!1},{name:"importsNotUsedAsValues",type:new Map(Object.entries({remove:0,preserve:1,error:2})),affectsEmit:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Specify_emit_Slashchecking_behavior_for_imports_that_are_only_used_for_types,defaultValueDescription:0},{name:"downlevelIteration",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Emit_more_compliant_but_verbose_and_less_performant_JavaScript_for_iteration,defaultValueDescription:!1},{name:"isolatedModules",type:"boolean",category:f.Interop_Constraints,description:f.Ensure_that_each_file_can_be_safely_transpiled_without_relying_on_other_imports,transpileOptionValue:!0,defaultValueDescription:!1},{name:"verbatimModuleSyntax",type:"boolean",category:f.Interop_Constraints,description:f.Do_not_transform_or_elide_any_imports_or_exports_not_marked_as_type_only_ensuring_they_are_written_in_the_output_file_s_format_based_on_the_module_setting,defaultValueDescription:!1},{name:"strict",type:"boolean",affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.Type_Checking,description:f.Enable_all_strict_type_checking_options,defaultValueDescription:!1},{name:"noImplicitAny",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:f.Type_Checking,description:f.Enable_error_reporting_for_expressions_and_declarations_with_an_implied_any_type,defaultValueDescription:f.false_unless_strict_is_set},{name:"strictNullChecks",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:f.Type_Checking,description:f.When_type_checking_take_into_account_null_and_undefined,defaultValueDescription:f.false_unless_strict_is_set},{name:"strictFunctionTypes",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:f.Type_Checking,description:f.When_assigning_functions_check_to_ensure_parameters_and_the_return_values_are_subtype_compatible,defaultValueDescription:f.false_unless_strict_is_set},{name:"strictBindCallApply",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:f.Type_Checking,description:f.Check_that_the_arguments_for_bind_call_and_apply_methods_match_the_original_function,defaultValueDescription:f.false_unless_strict_is_set},{name:"strictPropertyInitialization",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:f.Type_Checking,description:f.Check_for_class_properties_that_are_declared_but_not_set_in_the_constructor,defaultValueDescription:f.false_unless_strict_is_set},{name:"noImplicitThis",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:f.Type_Checking,description:f.Enable_error_reporting_when_this_is_given_the_type_any,defaultValueDescription:f.false_unless_strict_is_set},{name:"useUnknownInCatchVariables",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,strictFlag:!0,category:f.Type_Checking,description:f.Default_catch_clause_variables_as_unknown_instead_of_any,defaultValueDescription:f.false_unless_strict_is_set},{name:"alwaysStrict",type:"boolean",affectsSourceFile:!0,affectsEmit:!0,affectsBuildInfo:!0,strictFlag:!0,category:f.Type_Checking,description:f.Ensure_use_strict_is_always_emitted,defaultValueDescription:f.false_unless_strict_is_set},{name:"noUnusedLocals",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Enable_error_reporting_when_local_variables_aren_t_read,defaultValueDescription:!1},{name:"noUnusedParameters",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Raise_an_error_when_a_function_parameter_isn_t_read,defaultValueDescription:!1},{name:"exactOptionalPropertyTypes",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Interpret_optional_property_types_as_written_rather_than_adding_undefined,defaultValueDescription:!1},{name:"noImplicitReturns",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Enable_error_reporting_for_codepaths_that_do_not_explicitly_return_in_a_function,defaultValueDescription:!1},{name:"noFallthroughCasesInSwitch",type:"boolean",affectsBindDiagnostics:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Enable_error_reporting_for_fallthrough_cases_in_switch_statements,defaultValueDescription:!1},{name:"noUncheckedIndexedAccess",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Add_undefined_to_a_type_when_accessed_using_an_index,defaultValueDescription:!1},{name:"noImplicitOverride",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Ensure_overriding_members_in_derived_classes_are_marked_with_an_override_modifier,defaultValueDescription:!1},{name:"noPropertyAccessFromIndexSignature",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!1,category:f.Type_Checking,description:f.Enforces_using_indexed_accessors_for_keys_declared_using_an_indexed_type,defaultValueDescription:!1},{name:"moduleResolution",type:new Map(Object.entries({node10:2,node:2,classic:1,node16:3,nodenext:99,bundler:100})),deprecatedKeys:new Set(["node"]),affectsSourceFile:!0,affectsModuleResolution:!0,paramType:f.STRATEGY,category:f.Modules,description:f.Specify_how_TypeScript_looks_up_a_file_from_a_given_module_specifier,defaultValueDescription:f.module_AMD_or_UMD_or_System_or_ES6_then_Classic_Otherwise_Node},{name:"baseUrl",type:"string",affectsModuleResolution:!0,isFilePath:!0,category:f.Modules,description:f.Specify_the_base_directory_to_resolve_non_relative_module_names},{name:"paths",type:"object",affectsModuleResolution:!0,isTSConfigOnly:!0,category:f.Modules,description:f.Specify_a_set_of_entries_that_re_map_imports_to_additional_lookup_locations,transpileOptionValue:void 0},{name:"rootDirs",type:"list",isTSConfigOnly:!0,element:{name:"rootDirs",type:"string",isFilePath:!0},affectsModuleResolution:!0,category:f.Modules,description:f.Allow_multiple_folders_to_be_treated_as_one_when_resolving_modules,transpileOptionValue:void 0,defaultValueDescription:f.Computed_from_the_list_of_input_files},{name:"typeRoots",type:"list",element:{name:"typeRoots",type:"string",isFilePath:!0},affectsModuleResolution:!0,category:f.Modules,description:f.Specify_multiple_folders_that_act_like_Slashnode_modules_Slash_types},{name:"types",type:"list",element:{name:"types",type:"string"},affectsProgramStructure:!0,showInSimplifiedHelpView:!0,category:f.Modules,description:f.Specify_type_package_names_to_be_included_without_being_referenced_in_a_source_file,transpileOptionValue:void 0},{name:"allowSyntheticDefaultImports",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Interop_Constraints,description:f.Allow_import_x_from_y_when_a_module_doesn_t_have_a_default_export,defaultValueDescription:f.module_system_or_esModuleInterop},{name:"esModuleInterop",type:"boolean",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,showInSimplifiedHelpView:!0,category:f.Interop_Constraints,description:f.Emit_additional_JavaScript_to_ease_support_for_importing_CommonJS_modules_This_enables_allowSyntheticDefaultImports_for_type_compatibility,defaultValueDescription:!1},{name:"preserveSymlinks",type:"boolean",category:f.Interop_Constraints,description:f.Disable_resolving_symlinks_to_their_realpath_This_correlates_to_the_same_flag_in_node,defaultValueDescription:!1},{name:"allowUmdGlobalAccess",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Modules,description:f.Allow_accessing_UMD_globals_from_modules,defaultValueDescription:!1},{name:"moduleSuffixes",type:"list",element:{name:"suffix",type:"string"},listPreserveFalsyValues:!0,affectsModuleResolution:!0,category:f.Modules,description:f.List_of_file_name_suffixes_to_search_when_resolving_a_module},{name:"allowImportingTsExtensions",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Modules,description:f.Allow_imports_to_include_TypeScript_file_extensions_Requires_moduleResolution_bundler_and_either_noEmit_or_emitDeclarationOnly_to_be_set,defaultValueDescription:!1,transpileOptionValue:void 0},{name:"resolvePackageJsonExports",type:"boolean",affectsModuleResolution:!0,category:f.Modules,description:f.Use_the_package_json_exports_field_when_resolving_package_imports,defaultValueDescription:f.true_when_moduleResolution_is_node16_nodenext_or_bundler_otherwise_false},{name:"resolvePackageJsonImports",type:"boolean",affectsModuleResolution:!0,category:f.Modules,description:f.Use_the_package_json_imports_field_when_resolving_imports,defaultValueDescription:f.true_when_moduleResolution_is_node16_nodenext_or_bundler_otherwise_false},{name:"customConditions",type:"list",element:{name:"condition",type:"string"},affectsModuleResolution:!0,category:f.Modules,description:f.Conditions_to_set_in_addition_to_the_resolver_specific_defaults_when_resolving_imports},{name:"sourceRoot",type:"string",affectsEmit:!0,affectsBuildInfo:!0,paramType:f.LOCATION,category:f.Emit,description:f.Specify_the_root_path_for_debuggers_to_find_the_reference_source_code},{name:"mapRoot",type:"string",affectsEmit:!0,affectsBuildInfo:!0,paramType:f.LOCATION,category:f.Emit,description:f.Specify_the_location_where_debugger_should_locate_map_files_instead_of_generated_locations},{name:"inlineSources",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Include_source_code_in_the_sourcemaps_inside_the_emitted_JavaScript,defaultValueDescription:!1},{name:"experimentalDecorators",type:"boolean",affectsEmit:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Language_and_Environment,description:f.Enable_experimental_support_for_legacy_experimental_decorators,defaultValueDescription:!1},{name:"emitDecoratorMetadata",type:"boolean",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,category:f.Language_and_Environment,description:f.Emit_design_type_metadata_for_decorated_declarations_in_source_files,defaultValueDescription:!1},{name:"jsxFactory",type:"string",category:f.Language_and_Environment,description:f.Specify_the_JSX_factory_function_used_when_targeting_React_JSX_emit_e_g_React_createElement_or_h,defaultValueDescription:"`React.createElement`"},{name:"jsxFragmentFactory",type:"string",category:f.Language_and_Environment,description:f.Specify_the_JSX_Fragment_reference_used_for_fragments_when_targeting_React_JSX_emit_e_g_React_Fragment_or_Fragment,defaultValueDescription:"React.Fragment"},{name:"jsxImportSource",type:"string",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,affectsModuleResolution:!0,category:f.Language_and_Environment,description:f.Specify_module_specifier_used_to_import_the_JSX_factory_functions_when_using_jsx_Colon_react_jsx_Asterisk,defaultValueDescription:"react"},{name:"resolveJsonModule",type:"boolean",affectsModuleResolution:!0,category:f.Modules,description:f.Enable_importing_json_files,defaultValueDescription:!1},{name:"allowArbitraryExtensions",type:"boolean",affectsProgramStructure:!0,category:f.Modules,description:f.Enable_importing_files_with_any_extension_provided_a_declaration_file_is_present,defaultValueDescription:!1},{name:"out",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!1,category:f.Backwards_Compatibility,paramType:f.FILE,transpileOptionValue:void 0,description:f.Deprecated_setting_Use_outFile_instead},{name:"reactNamespace",type:"string",affectsEmit:!0,affectsBuildInfo:!0,category:f.Language_and_Environment,description:f.Specify_the_object_invoked_for_createElement_This_only_applies_when_targeting_react_JSX_emit,defaultValueDescription:"`React`"},{name:"skipDefaultLibCheck",type:"boolean",affectsBuildInfo:!0,category:f.Completeness,description:f.Skip_type_checking_d_ts_files_that_are_included_with_TypeScript,defaultValueDescription:!1},{name:"charset",type:"string",category:f.Backwards_Compatibility,description:f.No_longer_supported_In_early_versions_manually_set_the_text_encoding_for_reading_files,defaultValueDescription:"utf8"},{name:"emitBOM",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Emit_a_UTF_8_Byte_Order_Mark_BOM_in_the_beginning_of_output_files,defaultValueDescription:!1},{name:"newLine",type:new Map(Object.entries({crlf:0,lf:1})),affectsEmit:!0,affectsBuildInfo:!0,paramType:f.NEWLINE,category:f.Emit,description:f.Set_the_newline_character_for_emitting_files,defaultValueDescription:"lf"},{name:"noErrorTruncation",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Output_Formatting,description:f.Disable_truncating_types_in_error_messages,defaultValueDescription:!1},{name:"noLib",type:"boolean",category:f.Language_and_Environment,affectsProgramStructure:!0,description:f.Disable_including_any_library_files_including_the_default_lib_d_ts,transpileOptionValue:!0,defaultValueDescription:!1},{name:"noResolve",type:"boolean",affectsModuleResolution:!0,category:f.Modules,description:f.Disallow_import_s_require_s_or_reference_s_from_expanding_the_number_of_files_TypeScript_should_add_to_a_project,transpileOptionValue:!0,defaultValueDescription:!1},{name:"stripInternal",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Disable_emitting_declarations_that_have_internal_in_their_JSDoc_comments,defaultValueDescription:!1},{name:"disableSizeLimit",type:"boolean",affectsProgramStructure:!0,category:f.Editor_Support,description:f.Remove_the_20mb_cap_on_total_source_code_size_for_JavaScript_files_in_the_TypeScript_language_server,defaultValueDescription:!1},{name:"disableSourceOfProjectReferenceRedirect",type:"boolean",isTSConfigOnly:!0,category:f.Projects,description:f.Disable_preferring_source_files_instead_of_declaration_files_when_referencing_composite_projects,defaultValueDescription:!1},{name:"disableSolutionSearching",type:"boolean",isTSConfigOnly:!0,category:f.Projects,description:f.Opt_a_project_out_of_multi_project_reference_checking_when_editing,defaultValueDescription:!1},{name:"disableReferencedProjectLoad",type:"boolean",isTSConfigOnly:!0,category:f.Projects,description:f.Reduce_the_number_of_projects_loaded_automatically_by_TypeScript,defaultValueDescription:!1},{name:"noImplicitUseStrict",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Backwards_Compatibility,description:f.Disable_adding_use_strict_directives_in_emitted_JavaScript_files,defaultValueDescription:!1},{name:"noEmitHelpers",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Disable_generating_custom_helper_functions_like_extends_in_compiled_output,defaultValueDescription:!1},{name:"noEmitOnError",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,transpileOptionValue:void 0,description:f.Disable_emitting_files_if_any_type_checking_errors_are_reported,defaultValueDescription:!1},{name:"preserveConstEnums",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Disable_erasing_const_enum_declarations_in_generated_code,defaultValueDescription:!1},{name:"declarationDir",type:"string",affectsEmit:!0,affectsBuildInfo:!0,affectsDeclarationPath:!0,isFilePath:!0,paramType:f.DIRECTORY,category:f.Emit,transpileOptionValue:void 0,description:f.Specify_the_output_directory_for_generated_declaration_files},{name:"skipLibCheck",type:"boolean",affectsBuildInfo:!0,category:f.Completeness,description:f.Skip_type_checking_all_d_ts_files,defaultValueDescription:!1},{name:"allowUnusedLabels",type:"boolean",affectsBindDiagnostics:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Disable_error_reporting_for_unused_labels,defaultValueDescription:void 0},{name:"allowUnreachableCode",type:"boolean",affectsBindDiagnostics:!0,affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Type_Checking,description:f.Disable_error_reporting_for_unreachable_code,defaultValueDescription:void 0},{name:"suppressExcessPropertyErrors",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Backwards_Compatibility,description:f.Disable_reporting_of_excess_property_errors_during_the_creation_of_object_literals,defaultValueDescription:!1},{name:"suppressImplicitAnyIndexErrors",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Backwards_Compatibility,description:f.Suppress_noImplicitAny_errors_when_indexing_objects_that_lack_index_signatures,defaultValueDescription:!1},{name:"forceConsistentCasingInFileNames",type:"boolean",affectsModuleResolution:!0,category:f.Interop_Constraints,description:f.Ensure_that_casing_is_correct_in_imports,defaultValueDescription:!0},{name:"maxNodeModuleJsDepth",type:"number",affectsModuleResolution:!0,category:f.JavaScript_Support,description:f.Specify_the_maximum_folder_depth_used_for_checking_JavaScript_files_from_node_modules_Only_applicable_with_allowJs,defaultValueDescription:0},{name:"noStrictGenericChecks",type:"boolean",affectsSemanticDiagnostics:!0,affectsBuildInfo:!0,category:f.Backwards_Compatibility,description:f.Disable_strict_checking_of_generic_signatures_in_function_types,defaultValueDescription:!1},{name:"useDefineForClassFields",type:"boolean",affectsSemanticDiagnostics:!0,affectsEmit:!0,affectsBuildInfo:!0,category:f.Language_and_Environment,description:f.Emit_ECMAScript_standard_compliant_class_fields,defaultValueDescription:f.true_for_ES2022_and_above_including_ESNext},{name:"preserveValueImports",type:"boolean",affectsEmit:!0,affectsBuildInfo:!0,category:f.Emit,description:f.Preserve_unused_imported_values_in_the_JavaScript_output_that_would_otherwise_be_removed,defaultValueDescription:!1},{name:"keyofStringsOnly",type:"boolean",category:f.Backwards_Compatibility,description:f.Make_keyof_only_return_strings_instead_of_string_numbers_or_symbols_Legacy_option,defaultValueDescription:!1},{name:"plugins",type:"list",isTSConfigOnly:!0,element:{name:"plugin",type:"object"},description:f.Specify_a_list_of_language_service_plugins_to_include,category:f.Editor_Support},{name:"moduleDetection",type:new Map(Object.entries({auto:2,legacy:1,force:3})),affectsSourceFile:!0,affectsModuleResolution:!0,description:f.Control_what_method_is_used_to_detect_module_format_JS_files,category:f.Language_and_Environment,defaultValueDescription:f.auto_Colon_Treat_files_with_imports_exports_import_meta_jsx_with_jsx_Colon_react_jsx_or_esm_format_with_module_Colon_node16_as_modules},{name:"ignoreDeprecations",type:"string",defaultValueDescription:void 0}],pg=[...bw,...Eq],Sq=pg.filter(e=>!!e.affectsSemanticDiagnostics),Tq=pg.filter(e=>!!e.affectsEmit),Aq=pg.filter(e=>!!e.affectsDeclarationPath),NF=pg.filter(e=>!!e.affectsModuleResolution),CF=pg.filter(e=>!!e.affectsSourceFile||!!e.affectsBindDiagnostics),Iq=pg.filter(e=>!!e.affectsProgramStructure),xq=pg.filter(e=>xs(e,"transpileOptionValue")),Rq=[{name:"verbose",shortName:"v",category:f.Command_line_Options,description:f.Enable_verbose_logging,type:"boolean",defaultValueDescription:!1},{name:"dry",shortName:"d",category:f.Command_line_Options,description:f.Show_what_would_be_built_or_deleted_if_specified_with_clean,type:"boolean",defaultValueDescription:!1},{name:"force",shortName:"f",category:f.Command_line_Options,description:f.Build_all_projects_including_those_that_appear_to_be_up_to_date,type:"boolean",defaultValueDescription:!1},{name:"clean",category:f.Command_line_Options,description:f.Delete_the_outputs_of_all_projects,type:"boolean",defaultValueDescription:!1}],PF=[...bw,...Rq],Sw=[{name:"enable",type:"boolean",defaultValueDescription:!1},{name:"include",type:"list",element:{name:"include",type:"string"}},{name:"exclude",type:"list",element:{name:"exclude",type:"string"}},{name:"disableFilenameBasedTypeAcquisition",type:"boolean",defaultValueDescription:!1}],MIe={diagnostic:f.Compiler_option_0_may_only_be_used_with_build,getOptionsNameMap:QAe},MF={module:1,target:3,strict:!0,esModuleInterop:!0,forceConsistentCasingInFileNames:!0,skipLibCheck:!0},Tw={alternateMode:MIe,getOptionsNameMap:aD,optionDeclarations:pg,unknownOptionDiagnostic:f.Unknown_compiler_option_0,unknownDidYouMeanDiagnostic:f.Unknown_compiler_option_0_Did_you_mean_1,optionTypeMismatchDiagnostic:f.Compiler_option_0_expects_an_argument},kIe={diagnostic:f.Compiler_option_0_may_not_be_used_with_build,getOptionsNameMap:aD},wIe={alternateMode:kIe,getOptionsNameMap:QAe,optionDeclarations:PF,unknownOptionDiagnostic:f.Unknown_build_option_0,unknownDidYouMeanDiagnostic:f.Unknown_build_option_0_Did_you_mean_1,optionTypeMismatchDiagnostic:f.Build_option_0_requires_a_value_of_type_1},zse={optionDeclarations:Sw,unknownOptionDiagnostic:f.Unknown_type_acquisition_option_0,unknownDidYouMeanDiagnostic:f.Unknown_type_acquisition_option_0_Did_you_mean_1},LF={getOptionsNameMap:tIe,optionDeclarations:sD,unknownOptionDiagnostic:f.Unknown_watch_option_0,unknownDidYouMeanDiagnostic:f.Unknown_watch_option_0_Did_you_mean_1,optionTypeMismatchDiagnostic:f.Watch_option_0_requires_a_value_of_type_1},Aw={name:"extends",type:"listOrElement",element:{name:"extends",type:"string"},category:f.File_Management,disallowNullOrUndefined:!0},Bse={name:"compilerOptions",type:"object",elementOptions:nIe(),extraKeyDiagnostics:Tw},Gse={name:"watchOptions",type:"object",elementOptions:rIe(),extraKeyDiagnostics:LF},Vse={name:"typeAcquisition",type:"object",elementOptions:iIe(),extraKeyDiagnostics:zse},kF="**/*",BIe=/(^|\/)\*\*\/?$/,GIe=/^[^*?]*(?=\/[^/]*[*?])/}});function bo(e,t,...r){e.trace(MU(t,...r))}function Jg(e,t){return!!e.traceResolution&&t.trace!==void 0}function SI(e,t){let r;if(t&&e){const i=e.contents.packageJsonContent;typeof i.name=="string"&&typeof i.version=="string"&&(r={name:i.name,subModuleName:t.path.slice(e.packageDirectory.length+al.length),version:i.version})}return t&&{path:t.path,extension:t.ext,packageId:r,resolvedUsingTsExtension:t.resolvedUsingTsExtension}}function Dq(e){return SI(void 0,e)}function VIe(e){if(e)return N.assert(e.packageId===void 0),{path:e.path,ext:e.extension,resolvedUsingTsExtension:e.resolvedUsingTsExtension}}function wF(e){const t=[];return e&1&&t.push("TypeScript"),e&2&&t.push("JavaScript"),e&4&&t.push("Declaration"),e&8&&t.push("JSON"),t.join(", ")}function qVe(e){const t=[];return e&1&&t.push(...Lk),e&2&&t.push(...VR),e&4&&t.push(...Mk),e&8&&t.push(".json"),t}function Use(e){if(e)return N.assert(tW(e.extension)),{fileName:e.path,packageId:e.packageId}}function jIe(e,t,r,i,o,s,l,u,p){if(!l.resultFromCache&&!l.compilerOptions.preserveSymlinks&&t&&r&&!t.originalPath&&!Yc(e)){const{resolvedFileName:h,originalPath:m}=qIe(t.path,l.host,l.traceEnabled);m&&(t={...t,path:h,originalPath:m})}return UIe(t,r,i,o,s,l.resultFromCache,u,p)}function UIe(e,t,r,i,o,s,l,u){return s?l!=null&&l.isReadonly?{...s,failedLookupLocations:Hse(s.failedLookupLocations,r),affectingLocations:Hse(s.affectingLocations,i),resolutionDiagnostics:Hse(s.resolutionDiagnostics,o)}:(s.failedLookupLocations=lD(s.failedLookupLocations,r),s.affectingLocations=lD(s.affectingLocations,i),s.resolutionDiagnostics=lD(s.resolutionDiagnostics,o),s):{resolvedModule:e&&{resolvedFileName:e.path,originalPath:e.originalPath===!0?void 0:e.originalPath,extension:e.extension,isExternalLibraryImport:t,packageId:e.packageId,resolvedUsingTsExtension:!!e.resolvedUsingTsExtension},failedLookupLocations:wP(r),affectingLocations:wP(i),resolutionDiagnostics:wP(o),alternateResult:u}}function wP(e){return e.length?e:void 0}function lD(e,t){return t!=null&&t.length?e!=null&&e.length?(e.push(...t),e):t:e}function Hse(e,t){return e!=null&&e.length?t.length?[...e,...t]:e.slice():wP(t)}function HIe(e,t,r,i){if(!xs(e,t)){i.traceEnabled&&bo(i.host,f.package_json_does_not_have_a_0_field,t);return}const o=e[t];if(typeof o!==r||o===null){i.traceEnabled&&bo(i.host,f.Expected_type_of_0_field_in_package_json_to_be_1_got_2,t,r,o===null?"null":typeof o);return}return o}function Nq(e,t,r,i){const o=HIe(e,t,"string",i);if(o===void 0)return;if(!o){i.traceEnabled&&bo(i.host,f.package_json_had_a_falsy_0_field,t);return}const s=ga(Qr(r,o));return i.traceEnabled&&bo(i.host,f.package_json_has_0_field_1_that_references_2,t,o,s),s}function JVe(e,t,r){return Nq(e,"typings",t,r)||Nq(e,"types",t,r)}function KVe(e,t,r){return Nq(e,"tsconfig",t,r)}function XVe(e,t,r){return Nq(e,"main",t,r)}function YVe(e,t){const r=HIe(e,"typesVersions","object",t);if(r!==void 0)return t.traceEnabled&&bo(t.host,f.package_json_has_a_typesVersions_field_with_version_specific_path_mappings),r}function $Ve(e,t){const r=YVe(e,t);if(r===void 0)return;if(t.traceEnabled)for(const l in r)xs(r,l)&&!GM.tryParse(l)&&bo(t.host,f.package_json_has_a_typesVersions_entry_0_that_is_not_a_valid_semver_range,l);const i=OF(r);if(!i){t.traceEnabled&&bo(t.host,f.package_json_does_not_have_a_typesVersions_entry_that_matches_version_0,ue);return}const{version:o,paths:s}=i;if(typeof s!="object"){t.traceEnabled&&bo(t.host,f.Expected_type_of_0_field_in_package_json_to_be_1_got_2,`typesVersions['${o}']`,"object",typeof s);return}return i}function OF(e){ole||(ole=new ym(Re));for(const t in e){if(!xs(e,t))continue;const r=GM.tryParse(t);if(r!==void 0&&r.test(ole))return{version:t,paths:e[t]}}}function OP(e,t){if(e.typeRoots)return e.typeRoots;let r;if(e.configFilePath?r=ai(e.configFilePath):t.getCurrentDirectory&&(r=t.getCurrentDirectory()),r!==void 0)return QVe(r)}function QVe(e){let t;return Sm(ga(e),r=>{const i=Qr(r,mxe);(t??(t=[])).push(i)}),t}function ZVe(e,t,r){const i=typeof r.useCaseSensitiveFileNames=="function"?r.useCaseSensitiveFileNames():r.useCaseSensitiveFileNames;return Lg(e,t,!i)===0}function qIe(e,t,r){const i=dje(e,t,r),o=ZVe(e,i,t);return{resolvedFileName:o?e:i,originalPath:o?void 0:e}}function JIe(e,t,r){const i=Al(e,"/node_modules/@types")||Al(e,"/node_modules/@types/")?dxe(t,r):t;return Qr(e,i)}function qse(e,t,r,i,o,s,l){N.assert(typeof e=="string","Non-string value passed to `ts.resolveTypeReferenceDirective`, likely by a wrapping package working with an outdated `resolveTypeReferenceDirectives` signature. This is probably not a problem in TS itself.");const u=Jg(r,i);o&&(r=o.commandLine.options);const p=t?ai(t):void 0;let h=p?s==null?void 0:s.getFromDirectoryCache(e,l,p,o):void 0;if(!h&&p&&!Yc(e)&&(h=s==null?void 0:s.getFromNonRelativeNameCache(e,l,p,o)),h)return u&&(bo(i,f.Resolving_type_reference_directive_0_containing_file_1,e,t),o&&bo(i,f.Using_compiler_options_of_project_reference_redirect_0,o.sourceFile.fileName),bo(i,f.Resolution_for_type_reference_directive_0_was_found_in_cache_from_location_1,e,p),V(h)),h;const m=OP(r,i);u&&(t===void 0?m===void 0?bo(i,f.Resolving_type_reference_directive_0_containing_file_not_set_root_directory_not_set,e):bo(i,f.Resolving_type_reference_directive_0_containing_file_not_set_root_directory_1,e,m):m===void 0?bo(i,f.Resolving_type_reference_directive_0_containing_file_1_root_directory_not_set,e,t):bo(i,f.Resolving_type_reference_directive_0_containing_file_1_root_directory_2,e,t,m),o&&bo(i,f.Using_compiler_options_of_project_reference_redirect_0,o.sourceFile.fileName));const b=[],A=[];let I=Jse(r);l!==void 0&&(I|=30);const R=md(r);l===99&&3<=R&&R<=99&&(I|=32);const M=I&8?ib(r,l):[],C=[],O={compilerOptions:r,host:i,traceEnabled:u,failedLookupLocations:b,affectingLocations:A,packageJsonInfoCache:s,features:I,conditions:M,requestContainingDirectory:p,reportDiagnostic:ne=>void C.push(ne),isConfigLookup:!1,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1};let U=se(),K=!0;U||(U=G(),K=!1);let Z;if(U){const{fileName:ne,packageId:he}=U;let ye=ne,$;r.preserveSymlinks||({resolvedFileName:ye,originalPath:$}=qIe(ne,i,u)),Z={primary:K,resolvedFileName:ye,originalPath:$,packageId:he,isExternalLibraryImport:L0(ne)}}return h={resolvedTypeReferenceDirective:Z,failedLookupLocations:wP(b),affectingLocations:wP(A),resolutionDiagnostics:wP(C)},p&&s&&!s.isReadonly&&(s.getOrCreateCacheForDirectory(p,o).set(e,l,h),Yc(e)||s.getOrCreateCacheForNonRelativeName(e,l,o).set(p,h)),u&&V(h),h;function V(ne){var he;(he=ne.resolvedTypeReferenceDirective)!=null&&he.resolvedFileName?ne.resolvedTypeReferenceDirective.packageId?bo(i,f.Type_reference_directive_0_was_successfully_resolved_to_1_with_Package_ID_2_primary_Colon_3,e,ne.resolvedTypeReferenceDirective.resolvedFileName,By(ne.resolvedTypeReferenceDirective.packageId),ne.resolvedTypeReferenceDirective.primary):bo(i,f.Type_reference_directive_0_was_successfully_resolved_to_1_primary_Colon_2,e,ne.resolvedTypeReferenceDirective.resolvedFileName,ne.resolvedTypeReferenceDirective.primary):bo(i,f.Type_reference_directive_0_was_not_resolved,e)}function se(){if(m&&m.length)return u&&bo(i,f.Resolving_with_primary_search_path_0,m.join(", ")),Ut(m,ne=>{const he=JIe(ne,e,O),ye=$m(ne,i);if(!ye&&u&&bo(i,f.Directory_0_does_not_exist_skipping_all_lookups_in_it,ne),r.typeRoots){const $=dD(4,he,!ye,O);if($){const Y=xw($.path),oe=Y?p1(Y,!1,O):void 0;return Use(SI(oe,$))}}return Use(tle(4,he,!ye,O))});u&&bo(i,f.Root_directory_cannot_be_determined_skipping_primary_search_paths)}function G(){const ne=t&&ai(t);if(ne!==void 0){let he;if(!r.typeRoots||!Al(t,yD))if(u&&bo(i,f.Looking_up_in_node_modules_folder_initial_location_0,ne),Yc(e)){const{path:ye}=ZIe(ne,e);he=kq(4,ye,!1,O,!0)}else{const ye=sxe(4,e,ne,O,void 0,void 0);he=ye&&ye.value}else u&&bo(i,f.Resolving_type_reference_directive_for_program_that_specifies_custom_typeRoots_skipping_lookup_in_node_modules_folder);return Use(he)}else u&&bo(i,f.Containing_file_is_not_specified_and_root_directory_cannot_be_determined_skipping_lookup_in_node_modules_folder)}}function Jse(e){let t=0;switch(md(e)){case 3:t=30;break;case 99:t=30;break;case 100:t=30;break}return e.resolvePackageJsonExports?t|=8:e.resolvePackageJsonExports===!1&&(t&=-9),e.resolvePackageJsonImports?t|=2:e.resolvePackageJsonImports===!1&&(t&=-3),t}function ib(e,t){const r=md(e);if(t===void 0){if(r===100)t=99;else if(r===2)return[]}const i=t===99?["import"]:["require"];return e.noDtsResolution||i.push("types"),r!==100&&i.push("node"),So(i,e.customConditions)}function Cq(e,t,r,i,o){const s=Rw(o==null?void 0:o.getPackageJsonInfoCache(),i,r);return Sm(t,l=>{if(ic(l)!=="node_modules"){const u=Qr(l,"node_modules"),p=Qr(u,e);return p1(p,!1,s)}})}function WF(e,t){if(e.types)return e.types;const r=[];if(t.directoryExists&&t.getDirectories){const i=OP(e,t);if(i){for(const o of i)if(t.directoryExists(o))for(const s of t.getDirectories(o)){const l=ga(s),u=Qr(o,l,"package.json");if(!(t.fileExists(u)&&jC(u,t).typings===null)){const h=ic(l);h.charCodeAt(0)!==46&&r.push(h)}}}}return r}function FF(e){return!!(e!=null&&e.contents)}function Kse(e){return!!e&&!e.contents}function Xse(e){var t;if(e===null||typeof e!="object")return""+e;if(To(e))return`[${(t=e.map(i=>Xse(i)))==null?void 0:t.join(",")}]`;let r="{";for(const i in e)xs(e,i)&&(r+=`${i}: ${Xse(e[i])}`);return r+"}"}function Pq(e,t){return t.map(r=>Xse(H3(e,r))).join("|")+`|${e.pathsBasePath}`}function Mq(e,t){const r=new Map,i=new Map;let o=new Map;return e&&r.set(e,o),{getMapOfCacheRedirects:s,getOrCreateMapOfCacheRedirects:l,update:u,clear:h,getOwnMap:()=>o};function s(b){return b?p(b.commandLine.options,!1):o}function l(b){return b?p(b.commandLine.options,!0):o}function u(b){e!==b&&(e?o=p(b,!0):r.set(b,o),e=b)}function p(b,A){let I=r.get(b);if(I)return I;const R=m(b);if(I=i.get(R),!I){if(e){const M=m(e);M===R?I=o:i.has(M)||i.set(M,o)}A&&(I??(I=new Map)),I&&i.set(R,I)}return I&&r.set(b,I),I}function h(){const b=e&&t.get(e);o.clear(),r.clear(),t.clear(),i.clear(),e&&(b&&t.set(e,b),r.set(e,o))}function m(b){let A=t.get(b);return A||t.set(b,A=Pq(b,NF)),A}}function eje(e,t){let r;return{getPackageJsonInfo:i,setPackageJsonInfo:o,clear:s,getInternalMap:l};function i(u){return r==null?void 0:r.get(ol(u,e,t))}function o(u,p){(r||(r=new Map)).set(ol(u,e,t),p)}function s(){r=void 0}function l(){return r}}function KIe(e,t,r,i){const o=e.getOrCreateMapOfCacheRedirects(t);let s=o.get(r);return s||(s=i(),o.set(r,s)),s}function tje(e,t,r,i){const o=Mq(r,i);return{getFromDirectoryCache:p,getOrCreateCacheForDirectory:u,clear:s,update:l,directoryToModuleNameMap:o};function s(){o.clear()}function l(h){o.update(h)}function u(h,m){const b=ol(h,e,t);return KIe(o,m,b,()=>TI())}function p(h,m,b,A){var I,R;const M=ol(b,e,t);return(R=(I=o.getMapOfCacheRedirects(A))==null?void 0:I.get(M))==null?void 0:R.get(h,m)}}function WP(e,t){return t===void 0?e:`${t}|${e}`}function TI(){const e=new Map,t=new Map,r={get(o,s){return e.get(i(o,s))},set(o,s,l){return e.set(i(o,s),l),r},delete(o,s){return e.delete(i(o,s)),r},has(o,s){return e.has(i(o,s))},forEach(o){return e.forEach((s,l)=>{const[u,p]=t.get(l);return o(s,u,p)})},size(){return e.size}};return r;function i(o,s){const l=WP(o,s);return t.set(l,[o,s]),l}}function nje(e){return e.resolvedModule&&(e.resolvedModule.originalPath||e.resolvedModule.resolvedFileName)}function rje(e){return e.resolvedTypeReferenceDirective&&(e.resolvedTypeReferenceDirective.originalPath||e.resolvedTypeReferenceDirective.resolvedFileName)}function ije(e,t,r,i,o){const s=Mq(r,o);return{getFromNonRelativeNameCache:p,getOrCreateCacheForNonRelativeName:h,clear:l,update:u};function l(){s.clear()}function u(b){s.update(b)}function p(b,A,I,R){var M,C;return N.assert(!Yc(b)),(C=(M=s.getMapOfCacheRedirects(R))==null?void 0:M.get(WP(b,A)))==null?void 0:C.get(I)}function h(b,A,I){return N.assert(!Yc(b)),KIe(s,I,WP(b,A),m)}function m(){const b=new Map;return{get:A,set:I};function A(M){return b.get(ol(M,e,t))}function I(M,C){const O=ol(M,e,t);if(b.has(O))return;b.set(O,C);const U=i(C),K=U&&R(O,U);let Z=O;for(;Z!==K;){const V=ai(Z);if(V===Z||b.has(V))break;b.set(V,C),Z=V}}function R(M,C){const O=ol(ai(C),e,t);let U=0;const K=Math.min(M.length,O.length);for(;U<K&&M.charCodeAt(U)===O.charCodeAt(U);)U++;if(U===M.length&&(O.length===U||O[U]===al))return M;const Z=ph(M);if(U<Z)return;const V=M.lastIndexOf(al,U-1);if(V!==-1)return M.substr(0,Math.max(V,Z))}}}function XIe(e,t,r,i,o,s){s??(s=new Map);const l=tje(e,t,r,s),u=ije(e,t,r,o,s);return i??(i=eje(e,t)),{...i,...l,...u,clear:p,update:m,getPackageJsonInfoCache:()=>i,clearAllExceptPackageJsonInfoCache:h,optionsToRedirectsKey:s};function p(){h(),i.clear()}function h(){l.clear(),u.clear()}function m(b){l.update(b),u.update(b)}}function cD(e,t,r,i,o){const s=XIe(e,t,r,i,nje,o);return s.getOrCreateCacheForModuleName=(l,u,p)=>s.getOrCreateCacheForNonRelativeName(l,u,p),s}function zF(e,t,r,i,o){return XIe(e,t,r,i,rje,o)}function Lq(e){return{moduleResolution:2,traceResolution:e.traceResolution}}function BF(e,t,r,i,o){return uD(e,t,Lq(r),i,o)}function YIe(e,t,r,i){const o=ai(t);return r.getFromDirectoryCache(e,i,o,void 0)}function uD(e,t,r,i,o,s,l){var u,p,h;const m=Jg(r,i);s&&(r=s.commandLine.options),m&&(bo(i,f.Resolving_module_0_from_1,e,t),s&&bo(i,f.Using_compiler_options_of_project_reference_redirect_0,s.sourceFile.fileName));const b=ai(t);let A=o==null?void 0:o.getFromDirectoryCache(e,l,b,s);if(A)m&&bo(i,f.Resolution_for_module_0_was_found_in_cache_from_location_1,e,b);else{let I=r.moduleResolution;switch(I===void 0?(I=md(r),m&&bo(i,f.Module_resolution_kind_is_not_specified_using_0,qx[I])):m&&bo(i,f.Explicitly_specified_module_resolution_kind_Colon_0,qx[I]),(u=ad)==null||u.logStartResolveModule(e),I){case 3:A=lje(e,t,r,i,o,s,l);break;case 99:A=cje(e,t,r,i,o,s,l);break;case 2:A=Qse(e,t,r,i,o,s,l?ib(r,l):void 0);break;case 1:A=rle(e,t,r,i,o,s);break;case 100:A=$se(e,t,r,i,o,s,l?ib(r,l):void 0);break;default:return N.fail(`Unexpected moduleResolution: ${I}`)}A&&A.resolvedModule&&((p=ad)==null||p.logInfoEvent(`Module "${e}" resolved to "${A.resolvedModule.resolvedFileName}"`)),(h=ad)==null||h.logStopResolveModule(A&&A.resolvedModule?""+A.resolvedModule.resolvedFileName:"null"),o&&!o.isReadonly&&(o.getOrCreateCacheForDirectory(b,s).set(e,l,A),Yc(e)||o.getOrCreateCacheForNonRelativeName(e,l,s).set(b,A))}return m&&(A.resolvedModule?A.resolvedModule.packageId?bo(i,f.Module_name_0_was_successfully_resolved_to_1_with_Package_ID_2,e,A.resolvedModule.resolvedFileName,By(A.resolvedModule.packageId)):bo(i,f.Module_name_0_was_successfully_resolved_to_1,e,A.resolvedModule.resolvedFileName):bo(i,f.Module_name_0_was_not_resolved,e)),A}function $Ie(e,t,r,i,o){const s=oje(e,t,i,o);return s?s.value:Yc(t)?aje(e,t,r,i,o):sje(e,t,i,o)}function oje(e,t,r,i){var o;const{baseUrl:s,paths:l,configFile:u}=i.compilerOptions;if(l&&!Wp(t)){i.traceEnabled&&(s&&bo(i.host,f.baseUrl_option_is_set_to_0_using_this_value_to_resolve_non_relative_module_name_1,s,t),bo(i.host,f.paths_option_is_specified_looking_for_a_pattern_to_match_module_name_0,t));const p=x3(i.compilerOptions,i.host),h=u!=null&&u.configFileSpecs?(o=u.configFileSpecs).pathPatterns||(o.pathPatterns=eW(l)):void 0;return nle(e,t,p,l,h,r,!1,i)}}function aje(e,t,r,i,o){if(!o.compilerOptions.rootDirs)return;o.traceEnabled&&bo(o.host,f.rootDirs_option_is_set_using_it_to_resolve_relative_module_name_0,t);const s=ga(Qr(r,t));let l,u;for(const p of o.compilerOptions.rootDirs){let h=ga(p);Al(h,al)||(h+=al);const m=uo(s,h)&&(u===void 0||u.length<h.length);o.traceEnabled&&bo(o.host,f.Checking_if_0_is_the_longest_matching_prefix_for_1_2,h,s,m),m&&(u=h,l=p)}if(u){o.traceEnabled&&bo(o.host,f.Longest_matching_prefix_for_0_is_1,s,u);const p=s.substr(u.length);o.traceEnabled&&bo(o.host,f.Loading_0_from_the_root_dir_1_candidate_location_2,p,u,s);const h=i(e,s,!$m(r,o.host),o);if(h)return h;o.traceEnabled&&bo(o.host,f.Trying_other_entries_in_rootDirs);for(const m of o.compilerOptions.rootDirs){if(m===l)continue;const b=Qr(ga(m),p);o.traceEnabled&&bo(o.host,f.Loading_0_from_the_root_dir_1_candidate_location_2,p,m,b);const A=ai(b),I=i(e,b,!$m(A,o.host),o);if(I)return I}o.traceEnabled&&bo(o.host,f.Module_resolution_using_rootDirs_has_failed)}}function sje(e,t,r,i){const{baseUrl:o}=i.compilerOptions;if(!o)return;i.traceEnabled&&bo(i.host,f.baseUrl_option_is_set_to_0_using_this_value_to_resolve_non_relative_module_name_1,o,t);const s=ga(Qr(o,t));return i.traceEnabled&&bo(i.host,f.Resolving_module_name_0_relative_to_base_url_1_2,t,o,s),r(e,s,!$m(ai(s),i.host),i)}function Yse(e,t,r){const{resolvedModule:i,failedLookupLocations:o}=uje(e,t,r);if(!i)throw new Error(`Could not resolve JS module '${e}' starting at '${t}'. Looked in: ${o==null?void 0:o.join(", ")}`);return i.resolvedFileName}function lje(e,t,r,i,o,s,l){return QIe(30,e,t,r,i,o,s,l)}function cje(e,t,r,i,o,s,l){return QIe(30,e,t,r,i,o,s,l)}function QIe(e,t,r,i,o,s,l,u,p){const h=ai(r),m=u===99?32:0;let b=i.noDtsResolution?3:7;return A0(i)&&(b|=8),Iw(e|m,t,h,i,o,s,b,!1,l,p)}function uje(e,t,r){return Iw(0,e,t,{moduleResolution:2,allowJs:!0},r,void 0,2,!1,void 0,void 0)}function $se(e,t,r,i,o,s,l){const u=ai(t);let p=r.noDtsResolution?3:7;return A0(r)&&(p|=8),Iw(Jse(r),e,u,r,i,o,p,!1,s,l)}function Qse(e,t,r,i,o,s,l,u){let p;return u?p=8:r.noDtsResolution?(p=3,A0(r)&&(p|=8)):p=A0(r)?15:7,Iw(l?30:0,e,ai(t),r,i,o,p,!!u,s,l)}function Zse(e,t,r){return Iw(30,e,ai(t),{moduleResolution:99},r,void 0,8,!0,void 0,void 0)}function Iw(e,t,r,i,o,s,l,u,p,h){var m,b,A,I,R;const M=Jg(i,o),C=[],O=[],U=md(i);h??(h=ib(i,U===100||U===2?void 0:e&32?99:1));const K=[],Z={compilerOptions:i,host:o,traceEnabled:M,failedLookupLocations:C,affectingLocations:O,packageJsonInfoCache:s,features:e,conditions:h??et,requestContainingDirectory:r,reportDiagnostic:ne=>void K.push(ne),isConfigLookup:u,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1};M&&KA(U)&&bo(o,f.Resolving_in_0_mode_with_conditions_1,e&32?"ESM":"CJS",Z.conditions.map(ne=>`'${ne}'`).join(", "));let V;if(U===2){const ne=l&5,he=l&-6;V=ne&&G(ne,Z)||he&&G(he,Z)||void 0}else V=G(l,Z);let se;if(Z.resolvedPackageDirectory&&!u&&!Yc(t)){const ne=(V==null?void 0:V.value)&&l&5&&!ixe(5,V.value.resolved.extension);if((m=V==null?void 0:V.value)!=null&&m.isExternalLibraryImport&&ne&&e&8&&(h!=null&&h.includes("import"))){ob(Z,f.Resolution_of_non_relative_name_failed_trying_with_modern_Node_resolution_features_disabled_to_see_if_npm_library_needs_configuration_update);const he={...Z,features:Z.features&-9,reportDiagnostic:$a},ye=G(l&5,he);(b=ye==null?void 0:ye.value)!=null&&b.isExternalLibraryImport&&(se=ye.value.resolved.path)}else if((!(V!=null&&V.value)||ne)&&U===2){ob(Z,f.Resolution_of_non_relative_name_failed_trying_with_moduleResolution_bundler_to_see_if_project_may_need_configuration_update);const he={...Z.compilerOptions,moduleResolution:100},ye={...Z,compilerOptions:he,features:30,conditions:ib(he),reportDiagnostic:$a},$=G(l&5,ye);(A=$==null?void 0:$.value)!=null&&A.isExternalLibraryImport&&(se=$.value.resolved.path)}}return jIe(t,(I=V==null?void 0:V.value)==null?void 0:I.resolved,(R=V==null?void 0:V.value)==null?void 0:R.isExternalLibraryImport,C,O,K,Z,s,se);function G(ne,he){const $=$Ie(ne,t,r,(Y,oe,fe,Ne)=>kq(Y,oe,fe,Ne,!0),he);if($)return af({resolved:$,isExternalLibraryImport:L0($.path)});if(Yc(t)){const{path:Y,parts:oe}=ZIe(r,t),fe=kq(ne,Y,!1,he,!0);return fe&&af({resolved:fe,isExternalLibraryImport:Nr(oe,"node_modules")})}else{let Y;if(e&2&&uo(t,"#")&&(Y=_je(ne,t,r,he,s,p)),!Y&&e&4&&(Y=mje(ne,t,r,he,s,p)),!Y){if(t.includes(":")){M&&bo(o,f.Skipping_module_0_that_looks_like_an_absolute_URI_target_file_types_Colon_1,t,wF(ne));return}M&&bo(o,f.Loading_module_0_from_node_modules_folder_target_file_types_Colon_1,t,wF(ne)),Y=sxe(ne,t,r,he,s,p)}return ne&4&&(Y??(Y=fxe(t,he))),Y&&{value:Y.value&&{resolved:Y.value,isExternalLibraryImport:!0}}}}}function ZIe(e,t){const r=Qr(e,t),i=zc(r),o=tl(i);return{path:o==="."||o===".."?Bc(ga(r)):ga(r),parts:i}}function dje(e,t,r){if(!t.realpath)return e;const i=ga(t.realpath(e));return r&&bo(t,f.Resolving_real_path_for_0_result_1,e,i),i}function kq(e,t,r,i,o){if(i.traceEnabled&&bo(i.host,f.Loading_module_as_file_Slash_folder_candidate_module_location_0_target_file_types_Colon_1,t,wF(e)),!kv(t)){if(!r){const l=ai(t);$m(l,i.host)||(i.traceEnabled&&bo(i.host,f.Directory_0_does_not_exist_skipping_all_lookups_in_it,l),r=!0)}const s=dD(e,t,r,i);if(s){const l=o?xw(s.path):void 0,u=l?p1(l,!1,i):void 0;return SI(u,s)}}if(r||$m(t,i.host)||(i.traceEnabled&&bo(i.host,f.Directory_0_does_not_exist_skipping_all_lookups_in_it,t),r=!0),!(i.features&32))return tle(e,t,r,i,o)}function L0(e){return e.includes(xh)}function xw(e,t){const r=ga(e),i=r.lastIndexOf(xh);if(i===-1)return;const o=i+xh.length;let s=exe(r,o,t);return r.charCodeAt(o)===64&&(s=exe(r,s,t)),r.slice(0,s)}function exe(e,t,r){const i=e.indexOf(al,t+1);return i===-1?r?e.length:t:i}function ele(e,t,r,i){return Dq(dD(e,t,r,i))}function dD(e,t,r,i){const o=txe(e,t,r,i);if(o)return o;if(!(i.features&32)){const s=nxe(t,e,"",r,i);if(s)return s}}function txe(e,t,r,i){if(!ic(t).includes("."))return;let s=Id(t);s===t&&(s=t.substring(0,t.lastIndexOf(".")));const l=t.substring(s.length);return i.traceEnabled&&bo(i.host,f.File_name_0_has_a_1_extension_stripping_it,t,l),nxe(s,e,l,r,i)}function wq(e,t,r,i){return e&1&&Tc(t,Lk)||e&4&&Tc(t,Mk)?Oq(t,r,i)!==void 0?{path:t,ext:k3(t),resolvedUsingTsExtension:void 0}:void 0:i.isConfigLookup&&e===8&&Il(t,".json")?Oq(t,r,i)!==void 0?{path:t,ext:".json",resolvedUsingTsExtension:void 0}:void 0:txe(e,t,r,i)}function nxe(e,t,r,i,o){if(!i){const l=ai(e);l&&(i=!$m(l,o.host))}switch(r){case".mjs":case".mts":case".d.mts":return t&1&&s(".mts",r===".mts"||r===".d.mts")||t&4&&s(".d.mts",r===".mts"||r===".d.mts")||t&2&&s(".mjs")||void 0;case".cjs":case".cts":case".d.cts":return t&1&&s(".cts",r===".cts"||r===".d.cts")||t&4&&s(".d.cts",r===".cts"||r===".d.cts")||t&2&&s(".cjs")||void 0;case".json":return t&4&&s(".d.json.ts")||t&8&&s(".json")||void 0;case".tsx":case".jsx":return t&1&&(s(".tsx",r===".tsx")||s(".ts",r===".tsx"))||t&4&&s(".d.ts",r===".tsx")||t&2&&(s(".jsx")||s(".js"))||void 0;case".ts":case".d.ts":case".js":case"":return t&1&&(s(".ts",r===".ts"||r===".d.ts")||s(".tsx",r===".ts"||r===".d.ts"))||t&4&&s(".d.ts",r===".ts"||r===".d.ts")||t&2&&(s(".js")||s(".jsx"))||o.isConfigLookup&&s(".json")||void 0;default:return t&4&&!Su(e+r)&&s(`.d${r}.ts`)||void 0}function s(l,u){const p=Oq(e+l,i,o);return p===void 0?void 0:{path:p,ext:l,resolvedUsingTsExtension:!o.candidateIsFromPackageJsonField&&u}}}function Oq(e,t,r){var i;if(!((i=r.compilerOptions.moduleSuffixes)!=null&&i.length))return rxe(e,t,r);const o=jg(e)??"",s=o?Tk(e,o):e;return Ue(r.compilerOptions.moduleSuffixes,l=>rxe(s+l+o,t,r))}function rxe(e,t,r){var i;if(!t){if(r.host.fileExists(e))return r.traceEnabled&&bo(r.host,f.File_0_exists_use_it_as_a_name_resolution_result,e),e;r.traceEnabled&&bo(r.host,f.File_0_does_not_exist,e)}(i=r.failedLookupLocations)==null||i.push(e)}function tle(e,t,r,i,o=!0){const s=o?p1(t,r,i):void 0,l=s&&s.contents.packageJsonContent,u=s&&GF(s,i);return SI(s,Fq(e,t,r,i,l,u))}function Wq(e,t,r,i,o){if(!o&&e.contents.resolvedEntrypoints!==void 0)return e.contents.resolvedEntrypoints;let s;const l=5|(o?2:0),u=Jse(t),p=Rw(i==null?void 0:i.getPackageJsonInfoCache(),r,t);p.conditions=ib(t),p.requestContainingDirectory=e.packageDirectory;const h=Fq(l,e.packageDirectory,!1,p,e.contents.packageJsonContent,GF(e,p));if(s=xn(s,h==null?void 0:h.path),u&8&&e.contents.packageJsonContent.exports){const m=DE([ib(t,99),ib(t,1)],Km);for(const b of m){const A={...p,failedLookupLocations:[],conditions:b,host:r},I=pje(e,e.contents.packageJsonContent.exports,A,l);if(I)for(const R of I)s=Mg(s,R.path)}}return e.contents.resolvedEntrypoints=s||!1}function pje(e,t,r,i){let o;if(To(t))for(const l of t)s(l);else if(typeof t=="object"&&t!==null&&VF(t))for(const l in t)s(t[l]);else s(t);return o;function s(l){var u,p;if(typeof l=="string"&&uo(l,"./"))if(l.includes("*")&&r.host.readDirectory){if(l.indexOf("*")!==l.lastIndexOf("*"))return!1;r.host.readDirectory(e.packageDirectory,qVe(i),void 0,[ire($A(l,"**/*"),".*")]).forEach(h=>{o=Mg(o,{path:h,ext:Jx(h),resolvedUsingTsExtension:void 0})})}else{const h=zc(l).slice(2);if(h.includes("..")||h.includes(".")||h.includes("node_modules"))return!1;const m=Qr(e.packageDirectory,l),b=go(m,(p=(u=r.host).getCurrentDirectory)==null?void 0:p.call(u)),A=wq(i,b,!1,r);if(A)return o=Mg(o,A,(I,R)=>I.path===R.path),!0}else if(Array.isArray(l)){for(const h of l)if(s(h))return!0}else if(typeof l=="object"&&l!==null)return Ue(Xh(l),h=>{if(h==="default"||Nr(r.conditions,h)||Cw(r.conditions,h))return s(l[h]),!0})}}function Rw(e,t,r){return{host:t,compilerOptions:r,traceEnabled:Jg(r,t),failedLookupLocations:void 0,affectingLocations:void 0,packageJsonInfoCache:e,features:0,conditions:et,requestContainingDirectory:void 0,reportDiagnostic:$a,isConfigLookup:!1,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1}}function Dw(e,t){const r=zc(e);for(r.pop();r.length>0;){const i=p1(Cy(r),!1,t);if(i)return i;r.pop()}}function GF(e,t){return e.contents.versionPaths===void 0&&(e.contents.versionPaths=$Ve(e.contents.packageJsonContent,t)||!1),e.contents.versionPaths||void 0}function p1(e,t,r){var i,o,s,l,u,p;const{host:h,traceEnabled:m}=r,b=Qr(e,"package.json");if(t){(i=r.failedLookupLocations)==null||i.push(b);return}const A=(o=r.packageJsonInfoCache)==null?void 0:o.getPackageJsonInfo(b);if(A!==void 0){if(FF(A))return m&&bo(h,f.File_0_exists_according_to_earlier_cached_lookups,b),(s=r.affectingLocations)==null||s.push(b),A.packageDirectory===e?A:{packageDirectory:e,contents:A.contents};A.directoryExists&&m&&bo(h,f.File_0_does_not_exist_according_to_earlier_cached_lookups,b),(l=r.failedLookupLocations)==null||l.push(b);return}const I=$m(e,h);if(I&&h.fileExists(b)){const R=jC(b,h);m&&bo(h,f.Found_package_json_at_0,b);const M={packageDirectory:e,contents:{packageJsonContent:R,versionPaths:void 0,resolvedEntrypoints:void 0}};return r.packageJsonInfoCache&&!r.packageJsonInfoCache.isReadonly&&r.packageJsonInfoCache.setPackageJsonInfo(b,M),(u=r.affectingLocations)==null||u.push(b),M}else I&&m&&bo(h,f.File_0_does_not_exist,b),r.packageJsonInfoCache&&!r.packageJsonInfoCache.isReadonly&&r.packageJsonInfoCache.setPackageJsonInfo(b,{packageDirectory:e,directoryExists:I}),(p=r.failedLookupLocations)==null||p.push(b)}function Fq(e,t,r,i,o,s){let l;o&&(i.isConfigLookup?l=KVe(o,t,i):l=e&4&&JVe(o,t,i)||e&7&&XVe(o,t,i)||void 0);const u=(A,I,R,M)=>{const C=wq(A,I,R,M);if(C)return Dq(C);const O=A===4?5:A,U=M.features,K=M.candidateIsFromPackageJsonField;M.candidateIsFromPackageJsonField=!0,(o==null?void 0:o.type)!=="module"&&(M.features&=-33);const Z=kq(O,I,R,M,!1);return M.features=U,M.candidateIsFromPackageJsonField=K,Z},p=l?!$m(ai(l),i.host):void 0,h=r||!$m(t,i.host),m=Qr(t,i.isConfigLookup?"tsconfig":"index");if(s&&(!l||bm(t,l))){const A=Em(t,l||m,!1);i.traceEnabled&&bo(i.host,f.package_json_has_a_typesVersions_entry_0_that_matches_compiler_version_1_looking_for_a_pattern_to_match_module_name_2,s.version,Re,A);const I=nle(e,A,t,s.paths,void 0,u,p||h,i);if(I)return VIe(I.value)}const b=l&&VIe(u(e,l,p,i));if(b)return b;if(!(i.features&32))return dD(e,m,h,i)}function ixe(e,t){return e&2&&(t===".js"||t===".jsx"||t===".mjs"||t===".cjs")||e&1&&(t===".ts"||t===".tsx"||t===".mts"||t===".cts")||e&4&&(t===".d.ts"||t===".d.mts"||t===".d.cts")||e&8&&t===".json"||!1}function Nw(e){let t=e.indexOf(al);return e[0]==="@"&&(t=e.indexOf(al,t+1)),t===-1?{packageName:e,rest:""}:{packageName:e.slice(0,t),rest:e.slice(t+1)}}function VF(e){return Zn(Xh(e),t=>uo(t,"."))}function fje(e){return!bt(Xh(e),t=>uo(t,"."))}function mje(e,t,r,i,o,s){var l,u;const p=go(Qr(r,"dummy"),(u=(l=i.host).getCurrentDirectory)==null?void 0:u.call(l)),h=Dw(p,i);if(!h||!h.contents.packageJsonContent.exports||typeof h.contents.packageJsonContent.name!="string")return;const m=zc(t),b=zc(h.contents.packageJsonContent.name);if(!Zn(b,(C,O)=>m[O]===C))return;const A=m.slice(b.length),I=Me(A)?`.${al}${A.join(al)}`:".";if(Xy(i.compilerOptions)&&!L0(r))return zq(h,e,I,i,o,s);const R=e&5,M=e&-6;return zq(h,R,I,i,o,s)||zq(h,M,I,i,o,s)}function zq(e,t,r,i,o,s){if(e.contents.packageJsonContent.exports){if(r==="."){let l;if(typeof e.contents.packageJsonContent.exports=="string"||Array.isArray(e.contents.packageJsonContent.exports)||typeof e.contents.packageJsonContent.exports=="object"&&fje(e.contents.packageJsonContent.exports)?l=e.contents.packageJsonContent.exports:xs(e.contents.packageJsonContent.exports,".")&&(l=e.contents.packageJsonContent.exports["."]),l)return axe(t,i,o,s,r,e,!1)(l,"",!1,".")}else if(VF(e.contents.packageJsonContent.exports)){if(typeof e.contents.packageJsonContent.exports!="object")return i.traceEnabled&&bo(i.host,f.Export_specifier_0_does_not_exist_in_package_json_scope_at_path_1,r,e.packageDirectory),af(void 0);const l=oxe(t,i,o,s,r,e.contents.packageJsonContent.exports,e,!1);if(l)return l}return i.traceEnabled&&bo(i.host,f.Export_specifier_0_does_not_exist_in_package_json_scope_at_path_1,r,e.packageDirectory),af(void 0)}}function _je(e,t,r,i,o,s){var l,u;if(t==="#"||uo(t,"#/"))return i.traceEnabled&&bo(i.host,f.Invalid_import_specifier_0_has_no_possible_resolutions,t),af(void 0);const p=go(Qr(r,"dummy"),(u=(l=i.host).getCurrentDirectory)==null?void 0:u.call(l)),h=Dw(p,i);if(!h)return i.traceEnabled&&bo(i.host,f.Directory_0_has_no_containing_package_json_scope_Imports_will_not_resolve,p),af(void 0);if(!h.contents.packageJsonContent.imports)return i.traceEnabled&&bo(i.host,f.package_json_scope_0_has_no_imports_defined,h.packageDirectory),af(void 0);const m=oxe(e,i,o,s,t,h.contents.packageJsonContent.imports,h,!0);return m||(i.traceEnabled&&bo(i.host,f.Import_specifier_0_does_not_exist_in_package_json_scope_at_path_1,t,h.packageDirectory),af(void 0))}function Bq(e,t){const r=e.indexOf("*"),i=t.indexOf("*"),o=r===-1?e.length:r+1,s=i===-1?t.length:i+1;return o>s?-1:s>o||r===-1?1:i===-1||e.length>t.length?-1:t.length>e.length?1:0}function oxe(e,t,r,i,o,s,l,u){const p=axe(e,t,r,i,o,l,u);if(!Al(o,al)&&!o.includes("*")&&xs(s,o)){const b=s[o];return p(b,"",!1,o)}const h=uS(nr(Xh(s),b=>b.includes("*")||Al(b,"/")),Bq);for(const b of h)if(t.features&16&&m(b,o)){const A=s[b],I=b.indexOf("*"),R=o.substring(b.substring(0,I).length,o.length-(b.length-1-I));return p(A,R,!0,b)}else if(Al(b,"*")&&uo(o,b.substring(0,b.length-1))){const A=s[b],I=o.substring(b.length-1);return p(A,I,!0,b)}else if(uo(o,b)){const A=s[b],I=o.substring(b.length);return p(A,I,!1,b)}function m(b,A){if(Al(b,"*"))return!1;const I=b.indexOf("*");return I===-1?!1:uo(A,b.substring(0,I))&&Al(A,b.substring(I+1))}}function axe(e,t,r,i,o,s,l){return u;function u(p,h,m,b){if(typeof p=="string"){if(!m&&h.length>0&&!Al(p,"/"))return t.traceEnabled&&bo(t.host,f.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,s.packageDirectory,o),af(void 0);if(!uo(p,"./")){if(l&&!uo(p,"../")&&!uo(p,"/")&&!fp(p)){const V=m?p.replace(/\*/g,h):p+h;ob(t,f.Using_0_subpath_1_with_target_2,"imports",b,V),ob(t,f.Resolving_module_0_from_1,V,s.packageDirectory+"/");const se=Iw(t.features,V,s.packageDirectory+"/",t.compilerOptions,t.host,r,e,!1,i,t.conditions);return af(se.resolvedModule?{path:se.resolvedModule.resolvedFileName,extension:se.resolvedModule.extension,packageId:se.resolvedModule.packageId,originalPath:se.resolvedModule.originalPath,resolvedUsingTsExtension:se.resolvedModule.resolvedUsingTsExtension}:void 0)}return t.traceEnabled&&bo(t.host,f.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,s.packageDirectory,o),af(void 0)}const C=(Wp(p)?zc(p).slice(1):zc(p)).slice(1);if(C.includes("..")||C.includes(".")||C.includes("node_modules"))return t.traceEnabled&&bo(t.host,f.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,s.packageDirectory,o),af(void 0);const O=Qr(s.packageDirectory,p),U=zc(h);if(U.includes("..")||U.includes(".")||U.includes("node_modules"))return t.traceEnabled&&bo(t.host,f.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,s.packageDirectory,o),af(void 0);t.traceEnabled&&bo(t.host,f.Using_0_subpath_1_with_target_2,l?"imports":"exports",b,m?p.replace(/\*/g,h):p+h);const K=A(m?O.replace(/\*/g,h):O+h),Z=R(K,h,Qr(s.packageDirectory,"package.json"),l);return Z||af(SI(s,wq(e,K,!1,t)))}else if(typeof p=="object"&&p!==null)if(Array.isArray(p)){if(!Me(p))return t.traceEnabled&&bo(t.host,f.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,s.packageDirectory,o),af(void 0);for(const M of p){const C=u(M,h,m,b);if(C)return C}}else{ob(t,f.Entering_conditional_exports);for(const M of Xh(p))if(M==="default"||t.conditions.includes(M)||Cw(t.conditions,M)){ob(t,f.Matched_0_condition_1,l?"imports":"exports",M);const C=p[M],O=u(C,h,m,b);if(O)return ob(t,f.Resolved_under_condition_0,M),ob(t,f.Exiting_conditional_exports),O;ob(t,f.Failed_to_resolve_under_condition_0,M)}else ob(t,f.Saw_non_matching_condition_0,M);ob(t,f.Exiting_conditional_exports);return}else if(p===null)return t.traceEnabled&&bo(t.host,f.package_json_scope_0_explicitly_maps_specifier_1_to_null,s.packageDirectory,o),af(void 0);return t.traceEnabled&&bo(t.host,f.package_json_scope_0_has_invalid_type_for_target_of_specifier_1,s.packageDirectory,o),af(void 0);function A(M){var C,O;return M===void 0?M:go(M,(O=(C=t.host).getCurrentDirectory)==null?void 0:O.call(C))}function I(M,C){return Bc(Qr(M,C))}function R(M,C,O,U){var K,Z,V,se;if(!t.isConfigLookup&&(t.compilerOptions.declarationDir||t.compilerOptions.outDir)&&!M.includes("/node_modules/")&&(!t.compilerOptions.configFile||bm(s.packageDirectory,A(t.compilerOptions.configFile.fileName),!Gq(t)))){const ne=Gv({useCaseSensitiveFileNames:()=>Gq(t)}),he=[];if(t.compilerOptions.rootDir||t.compilerOptions.composite&&t.compilerOptions.configFilePath){const ye=A($P(t.compilerOptions,()=>[],((Z=(K=t.host).getCurrentDirectory)==null?void 0:Z.call(K))||"",ne));he.push(ye)}else if(t.requestContainingDirectory){const ye=A(Qr(t.requestContainingDirectory,"index.ts")),$=A($P(t.compilerOptions,()=>[ye,A(O)],((se=(V=t.host).getCurrentDirectory)==null?void 0:se.call(V))||"",ne));he.push($);let Y=Bc($);for(;Y&&Y.length>1;){const oe=zc(Y);oe.pop();const fe=Cy(oe);he.unshift(fe),Y=Bc(fe)}}he.length>1&&t.reportDiagnostic(Hl(U?f.The_project_root_is_ambiguous_but_is_required_to_resolve_import_map_entry_0_in_file_1_Supply_the_rootDir_compiler_option_to_disambiguate:f.The_project_root_is_ambiguous_but_is_required_to_resolve_export_map_entry_0_in_file_1_Supply_the_rootDir_compiler_option_to_disambiguate,C===""?".":C,O));for(const ye of he){const $=G(ye);for(const Y of $)if(bm(Y,M,!Gq(t))){const oe=M.slice(Y.length+1),fe=Qr(ye,oe),Ne=[".mjs",".cjs",".js",".json",".d.mts",".d.cts",".d.ts"];for(const pe of Ne)if(Il(fe,pe)){const ie=roe(fe);for(const ve of ie){if(!ixe(e,ve))continue;const Le=$M(fe,ve,pe,!Gq(t));if(t.host.fileExists(Le))return af(SI(s,wq(e,Le,!1,t)))}}}}}return;function G(ne){var he,ye;const $=t.compilerOptions.configFile?((ye=(he=t.host).getCurrentDirectory)==null?void 0:ye.call(he))||"":ne,Y=[];return t.compilerOptions.declarationDir&&Y.push(A(I($,t.compilerOptions.declarationDir))),t.compilerOptions.outDir&&t.compilerOptions.outDir!==t.compilerOptions.declarationDir&&Y.push(A(I($,t.compilerOptions.outDir))),Y}}}}function Cw(e,t){if(!e.includes("types")||!uo(t,"types@"))return!1;const r=GM.tryParse(t.substring(6));return r?r.test(Re):!1}function sxe(e,t,r,i,o,s){return lxe(e,t,r,i,!1,o,s)}function hje(e,t,r){return lxe(4,e,t,r,!0,void 0,void 0)}function lxe(e,t,r,i,o,s,l){const u=i.features===0?void 0:i.features&32?99:1,p=e&5,h=e&-6;if(p){ob(i,f.Searching_all_ancestor_node_modules_directories_for_preferred_extensions_Colon_0,wF(p));const b=m(p);if(b)return b}if(h&&!o)return ob(i,f.Searching_all_ancestor_node_modules_directories_for_fallback_extensions_Colon_0,wF(h)),m(h);function m(b){return Sm(Lu(r),A=>{if(ic(A)!=="node_modules"){const I=pxe(s,t,u,A,l,i);return I||af(cxe(b,t,A,i,o,s,l))}})}}function cxe(e,t,r,i,o,s,l){const u=Qr(r,"node_modules"),p=$m(u,i.host);if(!p&&i.traceEnabled&&bo(i.host,f.Directory_0_does_not_exist_skipping_all_lookups_in_it,u),!o){const h=uxe(e,t,u,p,i,s,l);if(h)return h}if(e&4){const h=Qr(u,"@types");let m=p;return p&&!$m(h,i.host)&&(i.traceEnabled&&bo(i.host,f.Directory_0_does_not_exist_skipping_all_lookups_in_it,h),m=!1),uxe(4,dxe(t,i),h,m,i,s,l)}}function uxe(e,t,r,i,o,s,l){var u,p;const h=ga(Qr(r,t)),{packageName:m,rest:b}=Nw(t),A=Qr(r,m);let I,R=p1(h,!i,o);if(b!==""&&R&&(!(o.features&8)||!xs(((u=I=p1(A,!i,o))==null?void 0:u.contents.packageJsonContent)??et,"exports"))){const O=dD(e,h,!i,o);if(O)return Dq(O);const U=Fq(e,h,!i,o,R.contents.packageJsonContent,GF(R,o));return SI(R,U)}const M=(O,U,K,Z)=>{let V=(b||!(Z.features&32))&&dD(O,U,K,Z)||Fq(O,U,K,Z,R&&R.contents.packageJsonContent,R&&GF(R,Z));return!V&&R&&(R.contents.packageJsonContent.exports===void 0||R.contents.packageJsonContent.exports===null)&&Z.features&32&&(V=dD(O,Qr(U,"index.js"),K,Z)),SI(R,V)};if(b!==""&&(R=I??p1(A,!i,o)),R&&(o.resolvedPackageDirectory=!0),R&&R.contents.packageJsonContent.exports&&o.features&8)return(p=zq(R,e,Qr(".",b),o,s,l))==null?void 0:p.value;const C=b!==""&&R?GF(R,o):void 0;if(C){o.traceEnabled&&bo(o.host,f.package_json_has_a_typesVersions_entry_0_that_matches_compiler_version_1_looking_for_a_pattern_to_match_module_name_2,C.version,Re,b);const O=i&&$m(A,o.host),U=nle(e,b,A,C.paths,void 0,M,!O,o);if(U)return U.value}return M(e,h,!i,o)}function nle(e,t,r,i,o,s,l,u){o||(o=eW(i));const p=zU(o,t);if(p){const h=Po(p)?void 0:Fne(p,t),m=Po(p)?p:Wne(p);return u.traceEnabled&&bo(u.host,f.Module_name_0_matched_pattern_1,t,m),{value:Ue(i[m],A=>{const I=h?$A(A,h):A,R=ga(Qr(r,I));u.traceEnabled&&bo(u.host,f.Trying_substitution_0_candidate_module_location_Colon_1,A,I);const M=jg(A);if(M!==void 0){const C=Oq(R,l,u);if(C!==void 0)return Dq({path:C,ext:M,resolvedUsingTsExtension:void 0})}return s(e,R,l||!$m(ai(R),u.host),u)})}}}function dxe(e,t){const r=pD(e);return t.traceEnabled&&r!==e&&bo(t.host,f.Scoped_package_detected_looking_in_0,r),r}function jF(e){return`@types/${pD(e)}`}function pD(e){if(uo(e,"@")){const t=e.replace(al,jq);if(t!==e)return t.slice(1)}return e}function FP(e){const t=QN(e,"@types/");return t!==e?Pw(t):e}function Pw(e){return e.includes(jq)?"@"+e.replace(jq,al):e}function pxe(e,t,r,i,o,s){const l=e&&e.getFromNonRelativeNameCache(t,r,i,o);if(l)return s.traceEnabled&&bo(s.host,f.Resolution_for_module_0_was_found_in_cache_from_location_1,t,i),s.resultFromCache=l,{value:l.resolvedModule&&{path:l.resolvedModule.resolvedFileName,originalPath:l.resolvedModule.originalPath||!0,extension:l.resolvedModule.extension,packageId:l.resolvedModule.packageId,resolvedUsingTsExtension:l.resolvedModule.resolvedUsingTsExtension}}}function rle(e,t,r,i,o,s){const l=Jg(r,i),u=[],p=[],h=ai(t),m=[],b={compilerOptions:r,host:i,traceEnabled:l,failedLookupLocations:u,affectingLocations:p,packageJsonInfoCache:o,features:0,conditions:[],requestContainingDirectory:h,reportDiagnostic:R=>void m.push(R),isConfigLookup:!1,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1},A=I(5)||I(2|(r.resolveJsonModule?8:0));return jIe(e,A&&A.value,(A==null?void 0:A.value)&&L0(A.value.path),u,p,m,b,o);function I(R){const M=$Ie(R,e,h,ele,b);if(M)return{value:M};if(Yc(e)){const C=ga(Qr(h,e));return af(ele(R,C,!1,b))}else{const C=Sm(h,O=>{const U=pxe(o,e,void 0,O,s,b);if(U)return U;const K=ga(Qr(O,e));return af(ele(R,K,!1,b))});if(C)return C;if(R&5){let O=hje(e,h,b);return R&4&&(O??(O=fxe(e,b))),O}}}}function fxe(e,t){if(t.compilerOptions.typeRoots)for(const r of t.compilerOptions.typeRoots){const i=JIe(r,e,t),o=$m(r,t.host);!o&&t.traceEnabled&&bo(t.host,f.Directory_0_does_not_exist_skipping_all_lookups_in_it,r);const s=dD(4,i,!o,t);if(s){const u=xw(s.path),p=u?p1(u,!1,t):void 0;return af(SI(p,s))}const l=tle(4,i,!o,t);if(l)return af(l)}}function fD(e,t){return!!e.allowImportingTsExtensions||t&&Su(t)}function ile(e,t,r,i,o,s){const l=Jg(r,i);l&&bo(i,f.Auto_discovery_for_typings_is_enabled_in_project_0_Running_extra_resolution_pass_for_module_1_using_cache_location_2,t,e,o);const u=[],p=[],h=[],m={compilerOptions:r,host:i,traceEnabled:l,failedLookupLocations:u,affectingLocations:p,packageJsonInfoCache:s,features:0,conditions:[],requestContainingDirectory:void 0,reportDiagnostic:A=>void h.push(A),isConfigLookup:!1,candidateIsFromPackageJsonField:!1,resolvedPackageDirectory:!1},b=cxe(4,e,o,m,!1,void 0,void 0);return UIe(b,!0,u,p,h,m.resultFromCache,void 0)}function af(e){return e!==void 0?{value:e}:void 0}function ob(e,t,...r){e.traceEnabled&&bo(e.host,t,...r)}function Gq(e){return e.host.useCaseSensitiveFileNames?typeof e.host.useCaseSensitiveFileNames=="boolean"?e.host.useCaseSensitiveFileNames:e.host.useCaseSensitiveFileNames():!0}var ole,mxe,Vq,xh,jq,gje=T({"src/compiler/moduleNameResolver.ts"(){ra(),mxe=Qr("node_modules","@types"),Vq=(e=>(e[e.None=0]="None",e[e.Imports=2]="Imports",e[e.SelfName=4]="SelfName",e[e.Exports=8]="Exports",e[e.ExportsPatternTrailers=16]="ExportsPatternTrailers",e[e.AllFeatures=30]="AllFeatures",e[e.Node16Default=30]="Node16Default",e[e.NodeNextDefault=30]="NodeNextDefault",e[e.BundlerDefault=30]="BundlerDefault",e[e.EsmMode=32]="EsmMode",e))(Vq||{}),xh="/node_modules/",jq="__"}});function Kg(e,t){return e.body&&!e.body.parent&&(qa(e.body,e),Jy(e.body,!1)),e.body?ale(e.body,t):1}function ale(e,t=new Map){const r=as(e);if(t.has(r))return t.get(r)||0;t.set(r,void 0);const i=vje(e,t);return t.set(r,i),i}function vje(e,t){switch(e.kind){case 264:case 265:return 0;case 266:if(FE(e))return 2;break;case 272:case 271:if(!Zr(e,32))return 0;break;case 278:const r=e;if(!r.moduleSpecifier&&r.exportClause&&r.exportClause.kind===279){let i=0;for(const o of r.exportClause.elements){const s=yje(o,t);if(s>i&&(i=s),i===1)return i}return i}break;case 268:{let i=0;return Ho(e,o=>{const s=ale(o,t);switch(s){case 0:return;case 2:i=2;return;case 1:return i=1,!0;default:N.assertNever(s)}}),i}case 267:return Kg(e,t);case 80:if(e.flags&4096)return 0}return 1}function yje(e,t){const r=e.propertyName||e.name;let i=e.parent;for(;i;){if(Xo(i)||O_(i)||$i(i)){const o=i.statements;let s;for(const l of o)if(uL(l,r)){l.parent||(qa(l,i),Jy(l,!1));const u=ale(l,t);if((s===void 0||u>s)&&(s=u),s===1)return s;l.kind===271&&(s=1)}if(s!==void 0)return s}i=i.parent}return 1}function AI(e){return N.attachFlowNodeDebugInfo(e),e}function sle(e,t){var r,i;il("beforeBind"),(r=ad)==null||r.logStartBindFile(""+e.fileName),hxe(e,t),(i=ad)==null||i.logStopBindFile(),il("afterBind"),ef("Bind","beforeBind","afterBind")}function bje(){var e,t,r,i,o,s,l,u,p,h,m,b,A,I,R,M,C,O,U,K,Z,V,se=!1,G=0,ne,he,ye={flags:1},$={flags:1},Y=q();return fe;function oe(F,Te,...st){return $f(jn(F)||e,F,Te,...st)}function fe(F,Te){var st,Jt;e=F,t=Te,r=os(t),V=Ne(e,Te),he=new Set,G=0,ne=lu.getSymbolConstructor(),N.attachFlowNodeDebugInfo(ye),N.attachFlowNodeDebugInfo($),e.locals||((st=sr)==null||st.push(sr.Phase.Bind,"bindSourceFile",{path:e.path},!0),we(e),(Jt=sr)==null||Jt.pop(),e.symbolCount=G,e.classifiableNames=he,uu()),e=void 0,t=void 0,r=void 0,i=void 0,o=void 0,s=void 0,l=void 0,u=void 0,p=void 0,h=!1,m=void 0,b=void 0,A=void 0,I=void 0,R=void 0,M=void 0,C=void 0,U=void 0,K=!1,se=!1,Z=0}function Ne(F,Te){return fd(Te,"alwaysStrict")&&!F.isDeclarationFile?!0:!!F.externalModuleIndicator}function pe(F,Te){return G++,new ne(F,Te)}function ie(F,Te,st){F.flags|=st,Te.symbol=F,F.declarations=Mg(F.declarations,Te),st&1955&&!F.exports&&(F.exports=ua()),st&6240&&!F.members&&(F.members=ua()),F.constEnumOnlyModule&&F.flags&304&&(F.constEnumOnlyModule=!1),st&111551&&YL(F,Te)}function ve(F){if(F.kind===277)return F.isExportEquals?"export=":"default";const Te=Mo(F);if(Te){if(ku(F)){const st=em(Te);return R_(F)?"__global":`"${st}"`}if(Te.kind===167){const st=Te.expression;if(nf(st))return hl(st.text);if(b3(st))return fa(st.operator)+st.operand.text;N.fail("Only computed properties with literal names have declaration names")}if(Ji(Te)){const st=su(F);if(!st)return;const Jt=st.symbol;return sk(Jt,Te.escapedText)}return t_(Te)?YA(Te):N_(Te)?LC(Te):void 0}switch(F.kind){case 176:return"__constructor";case 184:case 179:case 330:return"__call";case 185:case 180:return"__new";case 181:return"__index";case 278:return"__export";case 312:return"export=";case 226:if(Bl(F)===2)return"export=";N.fail("Unknown binary declaration kind");break;case 324:return ER(F)?"__new":"__call";case 169:return N.assert(F.parent.kind===324,"Impossible parameter parent kind",()=>`parent is: ${N.formatSyntaxKind(F.parent.kind)}, expected JSDocFunctionType`),"arg"+F.parent.parameters.indexOf(F)}}function Le(F){return ld(F)?Rs(F.name):Vi(N.checkDefined(ve(F)))}function He(F,Te,st,Jt,rr,oi,$r){N.assert($r||!jy(st));const io=Zr(st,2048)||Ku(st)&&st.name.escapedText==="default",Fa=$r?"__computed":io&&Te?"default":ve(st);let za;if(Fa===void 0)za=pe(0,"__missing");else if(za=F.get(Fa),Jt&2885600&&he.add(Fa),!za)F.set(Fa,za=pe(0,Fa)),oi&&(za.isReplaceableByMethod=!0);else{if(oi&&!za.isReplaceableByMethod)return za;if(za.flags&rr){if(za.isReplaceableByMethod)F.set(Fa,za=pe(0,Fa));else if(!(Jt&3&&za.flags&67108864)){ld(st)&&qa(st.name,st);let Ol=za.flags&2?f.Cannot_redeclare_block_scoped_variable_0:f.Duplicate_identifier_0,fu=!0;(za.flags&384||Jt&384)&&(Ol=f.Enum_declarations_can_only_merge_with_namespace_or_other_enum_declarations,fu=!1);let Dc=!1;Me(za.declarations)&&(io||za.declarations&&za.declarations.length&&st.kind===277&&!st.isExportEquals)&&(Ol=f.A_module_cannot_have_multiple_default_exports,fu=!1,Dc=!0);const Rp=[];Nm(st)&&zl(st.type)&&Zr(st,32)&&za.flags&2887656&&Rp.push(oe(st,f.Did_you_mean_0,`export type { ${Vi(st.name.escapedText)} }`));const Hd=Mo(st)||st;Ue(za.declarations,(us,km)=>{const wm=Mo(us)||us,Ui=fu?oe(wm,Ol,Le(us)):oe(wm,Ol);e.bindDiagnostics.push(Dc?wa(Ui,oe(Hd,km===0?f.Another_export_default_is_here:f.and_here)):Ui),Dc&&Rp.push(oe(wm,f.The_first_export_default_is_here))});const pb=fu?oe(Hd,Ol,Le(st)):oe(Hd,Ol);e.bindDiagnostics.push(wa(pb,...Rp)),za=pe(0,Fa)}}}return ie(za,st,Jt),za.parent?N.assert(za.parent===Te,"Existing symbol parent should match new one"):za.parent=Te,za}function Ee(F,Te,st){const Jt=!!(c0(F)&32)||De(F);if(Te&2097152)return F.kind===281||F.kind===271&&Jt?He(o.symbol.exports,o.symbol,F,Te,st):(N.assertNode(o,fh),He(o.locals,void 0,F,Te,st));if(Zf(F)&&N.assert(lr(F)),!ku(F)&&(Jt||o.flags&128)){if(!fh(o)||!o.locals||Zr(F,2048)&&!ve(F))return He(o.symbol.exports,o.symbol,F,Te,st);const rr=Te&111551?1048576:0,oi=He(o.locals,void 0,F,rr,st);return oi.exportSymbol=He(o.symbol.exports,o.symbol,F,Te,st),F.localSymbol=oi,oi}else return N.assertNode(o,fh),He(o.locals,void 0,F,Te,st)}function De(F){if(F.parent&&Xl(F)&&(F=F.parent),!Zf(F))return!1;if(!ew(F)&&F.fullName)return!0;const Te=Mo(F);return Te?!!(_k(Te.parent)&&ec(Te.parent)||Ju(Te.parent)&&c0(Te.parent)&32):!1}function Pe(F,Te){const st=o,Jt=s,rr=l;if(Te&1?(F.kind!==219&&(s=o),o=l=F,Te&32&&(o.locals=ua(),ir(o))):Te&2&&(l=F,Te&32&&(l.locals=void 0)),Te&4){const oi=m,$r=b,io=A,Fa=I,za=C,Ol=U,fu=K,Dc=Te&16&&!Zr(F,1024)&&!F.asteriskToken&&!!xS(F)||F.kind===175;Dc||(m=AI({flags:2}),Te&144&&(m.node=F)),I=Dc||F.kind===176||lr(F)&&(F.kind===262||F.kind===218)?Pn():void 0,C=void 0,b=void 0,A=void 0,U=void 0,K=!1,je(F),F.flags&=-5633,!(m.flags&1)&&Te&8&&Yf(F.body)&&(F.flags|=512,K&&(F.flags|=1024),F.endFlowNode=m),F.kind===312&&(F.flags|=Z,F.endFlowNode=m),I&&($t(I,m),m=Mn(I),(F.kind===176||F.kind===175||lr(F)&&(F.kind===262||F.kind===218))&&(F.returnFlowNode=m)),Dc||(m=oi),b=$r,A=io,I=Fa,C=za,U=Ol,K=fu}else Te&64?(h=!1,je(F),N.assertNotNode(F,Ve),F.flags=h?F.flags|256:F.flags&-257):je(F);o=st,s=Jt,l=rr}function We(F){At(F,Te=>Te.kind===262?we(Te):void 0),At(F,Te=>Te.kind!==262?we(Te):void 0)}function At(F,Te=we){F!==void 0&&Ue(F,Te)}function Se(F){Ho(F,we,At)}function je(F){const Te=se;if(se=!1,jt(F)){Se(F),Et(F),se=Te;return}switch(F.kind>=243&&F.kind<=259&&!t.allowUnreachableCode&&(F.flowNode=m),F.kind){case 247:Qi(F);break;case 246:Io(F);break;case 248:ma(F);break;case 249:case 250:Wa(F);break;case 245:Sn(F);break;case 253:case 257:kn(F);break;case 252:case 251:ft(F);break;case 258:Ct(F);break;case 255:le(F);break;case 269:Fe(F);break;case 296:pt(F);break;case 244:j(F);break;case 256:Rt(F);break;case 224:vn(F);break;case 225:fn(F);break;case 226:if(jv(F)){se=Te,_n(F);return}Y(F);break;case 220:Oe(F);break;case 227:Lt(F);break;case 260:_e(F);break;case 211:case 212:Ni(F);break;case 213:Ai(F);break;case 235:Hn(F);break;case 353:case 345:case 347:ke(F);break;case 312:{We(F.statements),we(F.endOfFileToken);break}case 241:case 268:We(F.statements);break;case 208:J(F);break;case 169:me(F);break;case 210:case 209:case 303:case 230:se=Te;default:Se(F);break}Et(F),se=Te}function at(F){switch(F.kind){case 80:case 81:case 110:case 211:case 212:return vt(F);case 213:return $e(F);case 217:if(tD(F))return!1;case 235:return at(F.expression);case 226:return tn(F);case 224:return F.operator===54&&at(F.operand);case 221:return at(F.expression)}return!1}function Gt(F){return GC(F)||(Fr(F)||fI(F)||Gd(F))&&Gt(F.expression)||mr(F)&&F.operatorToken.kind===28&&Gt(F.right)||Qs(F)&&(nf(F.argumentExpression)||Gl(F.argumentExpression))&&Gt(F.expression)||Lc(F)&&Gt(F.left)}function vt(F){return Gt(F)||qu(F)&&vt(F.expression)}function $e(F){if(F.arguments){for(const Te of F.arguments)if(vt(Te))return!0}return!!(F.expression.kind===211&&vt(F.expression.expression))}function It(F,Te){return KR(F)&&rn(F.expression)&&ls(Te)}function tn(F){switch(F.operatorToken.kind){case 64:case 76:case 77:case 78:return vt(F.left);case 35:case 36:case 37:case 38:return rn(F.left)||rn(F.right)||It(F.right,F.left)||It(F.left,F.right)||hC(F.right)&&at(F.left)||hC(F.left)&&at(F.right);case 104:return rn(F.left);case 103:return at(F.right);case 28:return at(F.right)}return!1}function rn(F){switch(F.kind){case 217:return rn(F.expression);case 226:switch(F.operatorToken.kind){case 64:return rn(F.left);case 28:return rn(F.right)}}return vt(F)}function Pn(){return AI({flags:4,antecedents:void 0})}function Xn(){return AI({flags:8,antecedents:void 0})}function hn(F,Te,st){return AI({flags:1024,target:F,antecedents:Te,antecedent:st})}function Qt(F){F.flags|=F.flags&2048?4096:2048}function $t(F,Te){!(Te.flags&1)&&!Nr(F.antecedents,Te)&&((F.antecedents||(F.antecedents=[])).push(Te),Qt(Te))}function _i(F,Te,st){return Te.flags&1?Te:st?(st.kind===112&&F&64||st.kind===97&&F&32)&&!I4(st)&&!ZV(st.parent)?ye:at(st)?(Qt(Te),AI({flags:F,antecedent:Te,node:st})):Te:F&32?Te:ye}function ao(F,Te,st,Jt){return Qt(F),AI({flags:128,antecedent:F,switchStatement:Te,clauseStart:st,clauseEnd:Jt})}function Oi(F,Te,st){Qt(Te);const Jt=AI({flags:F,antecedent:Te,node:st});return C&&$t(C,Jt),Jt}function No(F,Te){return Qt(F),AI({flags:512,antecedent:F,node:Te})}function Mn(F){const Te=F.antecedents;return Te?Te.length===1?Te[0]:F:ye}function Di(F){const Te=F.parent;switch(Te.kind){case 245:case 247:case 246:return Te.expression===F;case 248:case 227:return Te.condition===F}return!1}function Ar(F){for(;;)if(F.kind===217)F=F.expression;else if(F.kind===224&&F.operator===54)F=F.operand;else return mk(F)}function sn(F){return yU(hs(F))}function tt(F){for(;Gd(F.parent)||tb(F.parent)&&F.parent.operator===54;)F=F.parent;return!Di(F)&&!Ar(F.parent)&&!(qu(F.parent)&&F.parent.expression===F)}function zt(F,Te,st,Jt){const rr=R,oi=M;R=st,M=Jt,F(Te),R=rr,M=oi}function Rn(F,Te,st){zt(we,F,Te,st),(!F||!sn(F)&&!Ar(F)&&!(qu(F)&&dC(F)))&&($t(Te,_i(32,m,F)),$t(st,_i(64,m,F)))}function li(F,Te,st){const Jt=b,rr=A;b=Te,A=st,we(F),b=Jt,A=rr}function hi(F,Te){let st=U;for(;st&&F.parent.kind===256;)st.continueTarget=Te,st=st.next,F=F.parent;return Te}function Qi(F){const Te=hi(F,Xn()),st=Pn(),Jt=Pn();$t(Te,m),m=Te,Rn(F.expression,st,Jt),m=Mn(st),li(F.statement,Jt,Te),$t(Te,m),m=Mn(Jt)}function Io(F){const Te=Xn(),st=hi(F,Pn()),Jt=Pn();$t(Te,m),m=Te,li(F.statement,Jt,st),$t(st,m),m=Mn(st),Rn(F.expression,Te,Jt),m=Mn(Jt)}function ma(F){const Te=hi(F,Xn()),st=Pn(),Jt=Pn();we(F.initializer),$t(Te,m),m=Te,Rn(F.condition,st,Jt),m=Mn(st),li(F.statement,Jt,Te),we(F.incrementor),$t(Te,m),m=Mn(Jt)}function Wa(F){const Te=hi(F,Xn()),st=Pn();we(F.expression),$t(Te,m),m=Te,F.kind===250&&we(F.awaitModifier),$t(st,m),we(F.initializer),F.initializer.kind!==261&&_t(F.initializer),li(F.statement,st,Te),$t(Te,m),m=Mn(st)}function Sn(F){const Te=Pn(),st=Pn(),Jt=Pn();Rn(F.expression,Te,st),m=Mn(Te),we(F.thenStatement),$t(Jt,m),m=Mn(st),we(F.elseStatement),$t(Jt,m),m=Mn(Jt)}function kn(F){we(F.expression),F.kind===253&&(K=!0,I&&$t(I,m)),m=ye}function qe(F){for(let Te=U;Te;Te=Te.next)if(Te.name===F)return Te}function mt(F,Te,st){const Jt=F.kind===252?Te:st;Jt&&($t(Jt,m),m=ye)}function ft(F){if(we(F.label),F.label){const Te=qe(F.label.escapedText);Te&&(Te.referenced=!0,mt(F,Te.breakTarget,Te.continueTarget))}else mt(F,b,A)}function Ct(F){const Te=I,st=C,Jt=Pn(),rr=Pn();let oi=Pn();if(F.finallyBlock&&(I=rr),$t(oi,m),C=oi,we(F.tryBlock),$t(Jt,m),F.catchClause&&(m=Mn(oi),oi=Pn(),$t(oi,m),C=oi,we(F.catchClause),$t(Jt,m)),I=Te,C=st,F.finallyBlock){const $r=Pn();$r.antecedents=So(So(Jt.antecedents,oi.antecedents),rr.antecedents),m=$r,we(F.finallyBlock),m.flags&1?m=ye:(I&&rr.antecedents&&$t(I,hn($r,rr.antecedents,m)),C&&oi.antecedents&&$t(C,hn($r,oi.antecedents,m)),m=Jt.antecedents?hn($r,Jt.antecedents,m):ye)}else m=Mn(Jt)}function le(F){const Te=Pn();we(F.expression);const st=b,Jt=O;b=Te,O=m,we(F.caseBlock),$t(Te,m);const rr=Ue(F.caseBlock.clauses,oi=>oi.kind===297);F.possiblyExhaustive=!rr&&!Te.antecedents,rr||$t(Te,ao(O,F,0,0)),b=st,O=Jt,m=Mn(Te)}function Fe(F){const Te=F.clauses,st=F.parent.expression.kind===112||at(F.parent.expression);let Jt=ye;for(let rr=0;rr<Te.length;rr++){const oi=rr;for(;!Te[rr].statements.length&&rr+1<Te.length;)Jt===ye&&(m=O),we(Te[rr]),rr++;const $r=Pn();$t($r,st?ao(O,F.parent,oi,rr+1):O),$t($r,Jt),m=Mn($r);const io=Te[rr];we(io),Jt=m,!(m.flags&1)&&rr!==Te.length-1&&t.noFallthroughCasesInSwitch&&(io.fallthroughFlowNode=m)}}function pt(F){const Te=m;m=O,we(F.expression),m=Te,At(F.statements)}function j(F){we(F.expression),ot(F.expression)}function ot(F){if(F.kind===213){const Te=F;Te.expression.kind!==108&&GC(Te.expression)&&(m=No(m,Te))}}function Rt(F){const Te=Pn();U={next:U,name:F.label.escapedText,breakTarget:Te,continueTarget:void 0,referenced:!1},we(F.label),we(F.statement),!U.referenced&&!t.allowUnusedLabels&&Rc(Boe(t),F.label,f.Unused_label),U=U.next,$t(Te,m),m=Mn(Te)}function Ye(F){F.kind===226&&F.operatorToken.kind===64?_t(F.left):_t(F)}function _t(F){if(Gt(F))m=Oi(16,m,F);else if(F.kind===209)for(const Te of F.elements)Te.kind===230?_t(Te.expression):Ye(Te);else if(F.kind===210)for(const Te of F.properties)Te.kind===303?Ye(Te.initializer):Te.kind===304?_t(Te.name):Te.kind===305&&_t(Te.expression)}function Vt(F,Te,st){const Jt=Pn();F.operatorToken.kind===56||F.operatorToken.kind===77?Rn(F.left,Jt,st):Rn(F.left,Te,Jt),m=Mn(Jt),we(F.operatorToken),BC(F.operatorToken.kind)?(zt(we,F.right,Te,st),_t(F.left),$t(Te,_i(32,m,F)),$t(st,_i(64,m,F))):Rn(F.right,Te,st)}function vn(F){if(F.operator===54){const Te=R;R=M,M=Te,Se(F),M=R,R=Te}else Se(F),(F.operator===46||F.operator===47)&&_t(F.operand)}function fn(F){Se(F),(F.operator===46||F.operator===47)&&_t(F.operand)}function _n(F){se?(se=!1,we(F.operatorToken),we(F.right),se=!0,we(F.left)):(se=!0,we(F.left),se=!1,we(F.operatorToken),we(F.right)),_t(F.left)}function q(){return yF(F,Te,st,Jt,rr,void 0);function F($r,io){if(io){io.stackIndex++,qa($r,i);const za=V;vr($r);const Ol=i;i=$r,io.skip=!1,io.inStrictModeStack[io.stackIndex]=za,io.parentStack[io.stackIndex]=Ol}else io={stackIndex:0,skip:!1,inStrictModeStack:[void 0],parentStack:[void 0]};const Fa=$r.operatorToken.kind;if(fk(Fa)||BC(Fa)){if(tt($r)){const za=Pn();Vt($r,za,za),m=Mn(za)}else Vt($r,R,M);io.skip=!0}return io}function Te($r,io,Fa){if(!io.skip){const za=oi($r);return Fa.operatorToken.kind===28&&ot($r),za}}function st($r,io,Fa){io.skip||we($r)}function Jt($r,io,Fa){if(!io.skip){const za=oi($r);return Fa.operatorToken.kind===28&&ot($r),za}}function rr($r,io){if(!io.skip){const Ol=$r.operatorToken.kind;if(Vv(Ol)&&!ig($r)&&(_t($r.left),Ol===64&&$r.left.kind===212)){const fu=$r.left;rn(fu.expression)&&(m=Oi(256,m,$r))}}const Fa=io.inStrictModeStack[io.stackIndex],za=io.parentStack[io.stackIndex];Fa!==void 0&&(V=Fa),za!==void 0&&(i=za),io.skip=!1,io.stackIndex--}function oi($r){if($r&&mr($r)&&!jv($r))return $r;we($r)}}function Oe(F){Se(F),F.expression.kind===211&&_t(F.expression)}function Lt(F){const Te=Pn(),st=Pn(),Jt=Pn();Rn(F.condition,Te,st),m=Mn(Te),we(F.questionToken),we(F.whenTrue),$t(Jt,m),m=Mn(st),we(F.colonToken),we(F.whenFalse),$t(Jt,m),m=Mn(Jt)}function L(F){const Te=jc(F)?void 0:F.name;if(ta(Te))for(const st of Te.elements)L(st);else m=Oi(16,m,F)}function _e(F){Se(F),(F.initializer||nR(F.parent.parent))&&L(F)}function J(F){we(F.dotDotDotToken),we(F.propertyName),Ge(F.initializer),we(F.name)}function me(F){At(F.modifiers),we(F.dotDotDotToken),we(F.questionToken),we(F.type),Ge(F.initializer),we(F.name)}function Ge(F){if(!F)return;const Te=m;if(we(F),Te===ye||Te===m)return;const st=Pn();$t(st,Te),$t(st,m),m=Mn(st)}function ke(F){we(F.tagName),F.kind!==347&&F.fullName&&(qa(F.fullName,F),Jy(F.fullName,!1)),typeof F.comment!="string"&&At(F.comment)}function Tt(F){Se(F);const Te=v0(F);Te&&Te.kind!==174&&ie(Te.symbol,Te,32)}function kt(F,Te,st){zt(we,F,Te,st),(!qu(F)||dC(F))&&($t(Te,_i(32,m,F)),$t(st,_i(64,m,F)))}function en(F){switch(F.kind){case 211:we(F.questionDotToken),we(F.name);break;case 212:we(F.questionDotToken),we(F.argumentExpression);break;case 213:we(F.questionDotToken),At(F.typeArguments),At(F.arguments);break}}function bn(F,Te,st){const Jt=uC(F)?Pn():void 0;kt(F.expression,Jt||Te,st),Jt&&(m=Mn(Jt)),zt(en,F,Te,st),dC(F)&&($t(Te,_i(32,m,F)),$t(st,_i(64,m,F)))}function Bn(F){if(tt(F)){const Te=Pn();bn(F,Te,Te),m=Mn(Te)}else bn(F,R,M)}function Hn(F){qu(F)?Bn(F):Se(F)}function Ni(F){qu(F)?Bn(F):Se(F)}function Ai(F){if(qu(F))Bn(F);else{const Te=hs(F.expression);Te.kind===218||Te.kind===219?(At(F.typeArguments),At(F.arguments),we(F.expression)):(Se(F),F.expression.kind===108&&(m=No(m,F)))}if(F.expression.kind===211){const Te=F.expression;Ve(Te.name)&&rn(Te.expression)&&iU(Te.name)&&(m=Oi(256,m,F))}}function ir(F){u&&(u.nextContainer=F),u=F}function jr(F,Te,st){switch(o.kind){case 267:return Ee(F,Te,st);case 312:return Ci(F,Te,st);case 231:case 263:return vo(F,Te,st);case 266:return He(o.symbol.exports,o.symbol,F,Te,st);case 187:case 329:case 210:case 264:case 292:return He(o.symbol.members,o.symbol,F,Te,st);case 184:case 185:case 179:case 180:case 330:case 181:case 174:case 173:case 176:case 177:case 178:case 262:case 218:case 219:case 324:case 175:case 265:case 200:return o.locals&&N.assertNode(o,fh),He(o.locals,void 0,F,Te,st)}}function vo(F,Te,st){return sa(F)?He(o.symbol.exports,o.symbol,F,Te,st):He(o.symbol.members,o.symbol,F,Te,st)}function Ci(F,Te,st){return sc(e)?Ee(F,Te,st):He(e.locals,void 0,F,Te,st)}function Ii(F){const Te=$i(F)?F:ii(F.body,O_);return!!Te&&Te.statements.some(st=>Yl(st)||kl(st))}function Kr(F){F.flags&33554432&&!Ii(F)?F.flags|=128:F.flags&=-129}function xo(F){if(Kr(F),ku(F))if(Zr(F,32)&&Ms(F,f.export_modifier_cannot_be_applied_to_ambient_modules_and_module_augmentations_since_they_are_always_visible),Ij(F))Dn(F);else{let Te;if(F.name.kind===11){const{text:Jt}=F.name;Te=WR(Jt),Te===void 0&&Ms(F.name,f.Pattern_0_can_have_at_most_one_Asterisk_character,Jt)}const st=jr(F,512,110735);e.patternAmbientModules=xn(e.patternAmbientModules,Te&&!Po(Te)?{pattern:Te,symbol:st}:void 0)}else{const Te=Dn(F);if(Te!==0){const{symbol:st}=F;st.constEnumOnlyModule=!(st.flags&304)&&Te===2&&st.constEnumOnlyModule!==!1}}}function Dn(F){const Te=Kg(F),st=Te!==0;return jr(F,st?512:1024,st?110735:0),Te}function Fs(F){const Te=pe(131072,ve(F));ie(Te,F,131072);const st=pe(2048,"__type");ie(st,F,2048),st.members=ua(),st.members.set(Te.escapedName,Te)}function Ql(F){return Vs(F,4096,"__object")}function Lo(F){return Vs(F,4096,"__jsxAttributes")}function cs(F,Te,st){return jr(F,Te,st)}function Vs(F,Te,st){const Jt=pe(Te,st);return Te&106508&&(Jt.parent=o.symbol),ie(Jt,F,Te),Jt}function vl(F,Te,st){switch(l.kind){case 267:Ee(F,Te,st);break;case 312:if(zp(o)){Ee(F,Te,st);break}default:N.assertNode(l,fh),l.locals||(l.locals=ua(),ir(l)),He(l.locals,void 0,F,Te,st)}}function uu(){if(!p)return;const F=o,Te=u,st=l,Jt=i,rr=m;for(const oi of p){const $r=oi.parent.parent;o=Mj($r)||e,l=hh($r)||e,m=AI({flags:2}),i=oi,we(oi.typeExpression);const io=Mo(oi);if((ew(oi)||!oi.fullName)&&io&&_k(io.parent)){const Fa=ec(io.parent);if(Fa){yl(e.symbol,io.parent,Fa,!!Gn(io,Ol=>Fr(Ol)&&Ol.name.escapedText==="prototype"),!1);const za=o;switch(KL(io.parent)){case 1:case 2:zp(e)?o=e:o=void 0;break;case 4:o=io.parent.expression;break;case 3:o=io.parent.expression.name;break;case 5:o=f1(e,io.parent.expression)?e:Fr(io.parent.expression)?io.parent.expression.name:io.parent.expression;break;case 0:return N.fail("Shouldn't have detected typedef or enum on non-assignment declaration")}o&&Ee(oi,524288,788968),o=za}}else ew(oi)||!oi.fullName||oi.fullName.kind===80?(i=oi.parent,vl(oi,524288,788968)):we(oi.fullName)}o=F,u=Te,l=st,i=Jt,m=rr}function ho(F){if(!e.parseDiagnostics.length&&!(F.flags&33554432)&&!(F.flags&16777216)&&!Xie(F)){const Te=u0(F);if(Te===void 0)return;V&&Te>=119&&Te<=127?e.bindDiagnostics.push(oe(F,pa(F),Rs(F))):Te===135?sc(e)&&r3(F)?e.bindDiagnostics.push(oe(F,f.Identifier_expected_0_is_a_reserved_word_at_the_top_level_of_a_module,Rs(F))):F.flags&65536&&e.bindDiagnostics.push(oe(F,f.Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here,Rs(F))):Te===127&&F.flags&16384&&e.bindDiagnostics.push(oe(F,f.Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here,Rs(F)))}}function pa(F){return su(F)?f.Identifier_expected_0_is_a_reserved_word_in_strict_mode_Class_definitions_are_automatically_in_strict_mode:e.externalModuleIndicator?f.Identifier_expected_0_is_a_reserved_word_in_strict_mode_Modules_are_automatically_in_strict_mode:f.Identifier_expected_0_is_a_reserved_word_in_strict_mode}function du(F){F.escapedText==="#constructor"&&(e.parseDiagnostics.length||e.bindDiagnostics.push(oe(F,f.constructor_is_a_reserved_word,Rs(F))))}function As(F){V&&ep(F.left)&&Vv(F.operatorToken.kind)&&lc(F,F.left)}function La(F){V&&F.variableDeclaration&&lc(F,F.variableDeclaration.name)}function _l(F){if(V&&F.expression.kind===80){const Te=AS(e,F.expression);e.bindDiagnostics.push(Qc(e,Te.start,Te.length,f.delete_cannot_be_called_on_an_identifier_in_strict_mode))}}function wf(F){return Ve(F)&&(F.escapedText==="eval"||F.escapedText==="arguments")}function lc(F,Te){if(Te&&Te.kind===80){const st=Te;if(wf(st)){const Jt=AS(e,Te);e.bindDiagnostics.push(Qc(e,Jt.start,Jt.length,Nl(F),Sr(st)))}}}function Nl(F){return su(F)?f.Code_contained_in_a_class_is_evaluated_in_JavaScript_s_strict_mode_which_does_not_allow_this_use_of_0_For_more_information_see_https_Colon_Slash_Slashdeveloper_mozilla_org_Slashen_US_Slashdocs_SlashWeb_SlashJavaScript_SlashReference_SlashStrict_mode:e.externalModuleIndicator?f.Invalid_use_of_0_Modules_are_automatically_in_strict_mode:f.Invalid_use_of_0_in_strict_mode}function ul(F){V&&lc(F,F.name)}function ko(F){return su(F)?f.Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5_Class_definitions_are_automatically_in_strict_mode:e.externalModuleIndicator?f.Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5_Modules_are_automatically_in_strict_mode:f.Function_declarations_are_not_allowed_inside_blocks_in_strict_mode_when_targeting_ES3_or_ES5}function nn(F){if(r<2&&l.kind!==312&&l.kind!==267&&!tR(l)){const Te=AS(e,F);e.bindDiagnostics.push(Qc(e,Te.start,Te.length,ko(F)))}}function js(F){V&&lc(F,F.operand)}function nu(F){V&&(F.operator===46||F.operator===47)&&lc(F,F.operand)}function pu(F){V&&Ms(F,f.with_statements_are_not_allowed_in_strict_mode)}function Ip(F){V&&os(t)>=2&&(Qre(F.statement)||Ll(F.statement))&&Ms(F.label,f.A_label_is_not_allowed_here)}function Ms(F,Te,...st){const Jt=gh(e,F.pos);e.bindDiagnostics.push(Qc(e,Jt.start,Jt.length,Te,...st))}function Rc(F,Te,st){de(F,Te,Te,st)}function de(F,Te,st,Jt){ee(F,{pos:_0(Te,e),end:st.end},Jt)}function ee(F,Te,st){const Jt=Qc(e,Te.pos,Te.end-Te.pos,st);F?e.bindDiagnostics.push(Jt):e.bindSuggestionDiagnostics=xn(e.bindSuggestionDiagnostics,{...Jt,category:2})}function we(F){if(!F)return;qa(F,i),sr&&(F.tracingPath=e.path);const Te=V;if(vr(F),F.kind>165){const st=i;i=F;const Jt=Uq(F);Jt===0?je(F):Pe(F,Jt),i=st}else{const st=i;F.kind===1&&(i=F),Et(F),i=st}V=Te}function Et(F){if(Fp(F))if(lr(F))for(const Te of F.jsDoc)we(Te);else for(const Te of F.jsDoc)qa(Te,F),Jy(Te,!1)}function un(F){if(!V)for(const Te of F){if(!Im(Te))return;if(Er(Te)){V=!0;return}}}function Er(F){const Te=OE(e,F.expression);return Te==='"use strict"'||Te==="'use strict'"}function vr(F){switch(F.kind){case 80:if(F.flags&4096){let $r=F.parent;for(;$r&&!Zf($r);)$r=$r.parent;vl($r,524288,788968);break}case 110:return m&&(yt(F)||i.kind===304)&&(F.flowNode=m),ho(F);case 166:m&&l3(F)&&(F.flowNode=m);break;case 236:case 108:F.flowNode=m;break;case 81:return du(F);case 211:case 212:const Te=F;m&&Gt(Te)&&(Te.flowNode=m),Vie(Te)&&Jn(Te),lr(Te)&&e.commonJsModuleIndicator&&rg(Te)&&!UF(l,"module")&&He(e.locals,void 0,Te.expression,134217729,111550);break;case 226:switch(Bl(F)){case 1:op(F);break;case 2:Wc(F);break;case 3:na(F.left,F);break;case 6:yo(F);break;case 4:gd(F);break;case 5:const $r=F.left.expression;if(lr(F)&&Ve($r)){const io=UF(l,$r.escapedText);if(i3(io==null?void 0:io.valueDeclaration)){gd(F);break}}yc(F);break;case 0:break;default:N.fail("Unknown binary expression special property assignment kind")}return As(F);case 299:return La(F);case 220:return _l(F);case 225:return js(F);case 224:return nu(F);case 254:return pu(F);case 256:return Ip(F);case 197:h=!0;return;case 182:break;case 168:return ct(F);case 169:return Qg(F);case 260:return Bu(F);case 208:return F.flowNode=m,Bu(F);case 172:case 171:return Ur(F);case 303:case 304:return Ud(F,4,0);case 306:return Ud(F,8,900095);case 179:case 180:case 181:return jr(F,131072,0);case 174:case 173:return Ud(F,8192|(F.questionToken?16777216:0),xm(F)?0:103359);case 262:return xp(F);case 176:return jr(F,16384,0);case 177:return Ud(F,32768,46015);case 178:return Ud(F,65536,78783);case 184:case 324:case 330:case 185:return Fs(F);case 187:case 329:case 200:return xi(F);case 339:return Tt(F);case 210:return Ql(F);case 218:case 219:return _g(F);case 213:switch(Bl(F)){case 7:return Zl(F);case 8:return qc(F);case 9:return Qo(F);case 0:break;default:return N.fail("Unknown call expression assignment declaration kind")}lr(F)&&U_(F);break;case 231:case 263:return V=!0,Ch(F);case 264:return vl(F,64,788872);case 265:return vl(F,524288,788968);case 266:return $g(F);case 267:return xo(F);case 292:return Lo(F);case 291:return cs(F,4,0);case 271:case 274:case 276:case 281:return jr(F,2097152,2097152);case 270:return Hc(F);case 273:return vc(F);case 278:return Us(F);case 277:return Tl(F);case 312:return un(F.statements),Lr();case 241:if(!tR(F.parent))return;case 268:return un(F.statements);case 348:if(F.parent.kind===330)return Qg(F);if(F.parent.kind!==329)break;case 355:const rr=F,oi=rr.isBracketed||rr.typeExpression&&rr.typeExpression.type.kind===323?16777220:4;return jr(rr,oi,0);case 353:case 345:case 347:return(p||(p=[])).push(F);case 346:return we(F.typeExpression)}}function Ur(F){const Te=Wd(F),st=Te?98304:4,Jt=Te?13247:0;return Ud(F,st|(F.questionToken?16777216:0),Jt)}function xi(F){return Vs(F,2048,"__type")}function Lr(){if(Kr(e),sc(e))$o();else if(Qf(e)){$o();const F=e.symbol;He(e.symbol.exports,e.symbol,e,4,-1),e.symbol=F}}function $o(){Vs(e,512,`"${Id(e.fileName)}"`)}function Tl(F){if(!o.symbol||!o.symbol.exports)Vs(F,111551,ve(F));else{const Te=TR(F)?2097152:4,st=He(o.symbol.exports,o.symbol,F,Te,-1);F.isExportEquals&&YL(st,F)}}function Hc(F){bt(F.modifiers)&&e.bindDiagnostics.push(oe(F,f.Modifiers_cannot_appear_here));const Te=$i(F.parent)?sc(F.parent)?F.parent.isDeclarationFile?void 0:f.Global_module_exports_may_only_appear_in_declaration_files:f.Global_module_exports_may_only_appear_in_module_files:f.Global_module_exports_may_only_appear_at_top_level;Te?e.bindDiagnostics.push(oe(F,Te)):(e.symbol.globalExports=e.symbol.globalExports||ua(),He(e.symbol.globalExports,e.symbol,F,2097152,2097152))}function Us(F){!o.symbol||!o.symbol.exports?Vs(F,8388608,ve(F)):F.exportClause?Th(F.exportClause)&&(qa(F.exportClause,F),He(o.symbol.exports,o.symbol,F.exportClause,2097152,2097152)):He(o.symbol.exports,o.symbol,F,8388608,0)}function vc(F){F.name&&jr(F,2097152,2097152)}function Ss(F){return e.externalModuleIndicator&&e.externalModuleIndicator!==!0?!1:(e.commonJsModuleIndicator||(e.commonJsModuleIndicator=F,e.externalModuleIndicator||$o()),!0)}function qc(F){if(!Ss(F))return;const Te=Of(F.arguments[0],void 0,(st,Jt)=>(Jt&&ie(Jt,st,67110400),Jt));Te&&He(Te.exports,Te,F,1048580,0)}function op(F){if(!Ss(F))return;const Te=Of(F.left.expression,void 0,(st,Jt)=>(Jt&&ie(Jt,st,67110400),Jt));if(Te){const Jt=ok(F.right)&&(RS(F.left.expression)||rg(F.left.expression))?2097152:1048580;qa(F.left,F),He(Te.exports,Te,F.left,Jt,0)}}function Wc(F){if(!Ss(F))return;const Te=HL(F.right);if(TU(Te)||o===e&&f1(e,Te))return;if(Oa(Te)&&Zn(Te.properties,rp)){Ue(Te.properties,cm);return}const st=TR(F)?2097152:1049092,Jt=He(e.symbol.exports,e.symbol,F,st|67108864,0);YL(Jt,F)}function cm(F){He(e.symbol.exports,e.symbol,F,69206016,0)}function gd(F){if(N.assert(lr(F)),mr(F)&&Fr(F.left)&&Ji(F.left.name)||Fr(F)&&Ji(F.name))return;const st=Fd(F,!1,!1);switch(st.kind){case 262:case 218:let Jt=st.symbol;if(mr(st.parent)&&st.parent.operatorToken.kind===64){const $r=st.parent.left;VE($r)&&Hy($r.expression)&&(Jt=Cd($r.expression.expression,s))}Jt&&Jt.valueDeclaration&&(Jt.members=Jt.members||ua(),jy(F)?Ce(F,Jt,Jt.members):He(Jt.members,Jt,F,67108868,0),ie(Jt,Jt.valueDeclaration,32));break;case 176:case 172:case 174:case 177:case 178:case 175:const rr=st.parent,oi=sa(st)?rr.symbol.exports:rr.symbol.members;jy(F)?Ce(F,rr.symbol,oi):He(oi,rr.symbol,F,67108868,0,!0);break;case 312:if(jy(F))break;st.commonJsModuleIndicator?He(st.symbol.exports,st.symbol,F,1048580,0):jr(F,1,111550);break;case 267:break;default:N.failBadSyntaxKind(st)}}function Ce(F,Te,st){He(st,Te,F,4,0,!0,!0),Wt(F,Te)}function Wt(F,Te){Te&&(Te.assignmentDeclarationMembers||(Te.assignmentDeclarationMembers=new Map)).set(as(F),F)}function Jn(F){F.expression.kind===110?gd(F):VE(F)&&F.parent.parent.kind===312&&(Hy(F.expression)?na(F,F.parent):dl(F))}function yo(F){qa(F.left,F),qa(F.right,F),Jc(F.left.expression,F.left,!1,!0)}function Qo(F){const Te=Cd(F.arguments[0].expression);Te&&Te.valueDeclaration&&ie(Te,Te.valueDeclaration,32),Vl(F,Te,!0)}function na(F,Te){const st=F.expression,Jt=st.expression;qa(Jt,st),qa(st,F),qa(F,Te),Jc(Jt,F,!0,!0)}function Zl(F){let Te=Cd(F.arguments[0]);const st=F.parent.parent.kind===312;Te=yl(Te,F.arguments[0],st,!1,!1),Vl(F,Te,!1)}function yc(F){var Te;const st=Cd(F.left.expression,l)||Cd(F.left.expression,o);if(!lr(F)&&!jie(st))return;const Jt=kR(F.left);if(!(Ve(Jt)&&((Te=UF(o,Jt.escapedText))==null?void 0:Te.flags)&2097152))if(qa(F.left,F),qa(F.right,F),Ve(F.left.expression)&&o===e&&f1(e,F.left.expression))op(F);else if(jy(F)){Vs(F,67108868,"__computed");const rr=yl(st,F.left.expression,ec(F.left),!1,!1);Wt(F,rr)}else dl(aa(F.left,NS))}function dl(F){N.assert(!Ve(F)),qa(F.expression,F),Jc(F.expression,F,!1,!1)}function yl(F,Te,st,Jt,rr){return(F==null?void 0:F.flags)&2097152||(st&&!Jt&&(F=Of(Te,F,(io,Fa,za)=>{if(Fa)return ie(Fa,io,67110400),Fa;{const Ol=za?za.exports:e.jsGlobalAugmentations||(e.jsGlobalAugmentations=ua());return He(Ol,za,io,67110400,110735)}})),rr&&F&&F.valueDeclaration&&ie(F,F.valueDeclaration,32)),F}function Vl(F,Te,st){if(!Te||!ff(Te))return;const Jt=st?Te.members||(Te.members=ua()):Te.exports||(Te.exports=ua());let rr=0,oi=0;Bs(OA(F))?(rr=8192,oi=103359):la(F)&&DS(F)&&(bt(F.arguments[2].properties,$r=>{const io=Mo($r);return!!io&&Ve(io)&&Sr(io)==="set"})&&(rr|=65540,oi|=78783),bt(F.arguments[2].properties,$r=>{const io=Mo($r);return!!io&&Ve(io)&&Sr(io)==="get"})&&(rr|=32772,oi|=46015)),rr===0&&(rr=4,oi=0),He(Jt,Te,F,rr|67108864,oi&-67108865)}function ec(F){return mr(F.parent)?jd(F.parent).parent.kind===312:F.parent.parent.kind===312}function Jc(F,Te,st,Jt){let rr=Cd(F,l)||Cd(F,o);const oi=ec(Te);rr=yl(rr,Te.expression,oi,st,Jt),Vl(Te,rr,st)}function ff(F){if(F.flags&1072)return!0;const Te=F.valueDeclaration;if(Te&&la(Te))return!!OA(Te);let st=Te?wi(Te)?Te.initializer:mr(Te)?Te.right:Fr(Te)&&mr(Te.parent)?Te.parent.right:void 0:void 0;if(st=st&&HL(st),st){const Jt=Hy(wi(Te)?Te.name:mr(Te)?Te.left:Te);return!!g0(mr(st)&&(st.operatorToken.kind===57||st.operatorToken.kind===61)?st.right:st,Jt)}return!1}function jd(F){for(;mr(F.parent);)F=F.parent;return F.parent}function Cd(F,Te=o){if(Ve(F))return UF(Te,F.escapedText);{const st=Cd(F.expression);return st&&st.exports&&st.exports.get(zg(F))}}function Of(F,Te,st){if(f1(e,F))return e.symbol;if(Ve(F))return st(F,Cd(F),Te);{const Jt=Of(F.expression,Te,st),rr=JL(F);return Ji(rr)&&N.fail("unexpected PrivateIdentifier"),st(rr,Jt&&Jt.exports&&Jt.exports.get(zg(F)),Jt)}}function U_(F){!e.commonJsModuleIndicator&&Ad(F,!1)&&Ss(F)}function Ch(F){if(F.kind===263)vl(F,32,899503);else{const rr=F.name?F.name.escapedText:"__class";Vs(F,32,rr),F.name&&he.add(F.name.escapedText)}const{symbol:Te}=F,st=pe(4194308,"prototype"),Jt=Te.exports.get(st.escapedName);Jt&&(F.name&&qa(F.name,F),e.bindDiagnostics.push(oe(Jt.declarations[0],f.Duplicate_identifier_0,Sl(st)))),Te.exports.set(st.escapedName,st),st.parent=Te}function $g(F){return FE(F)?vl(F,128,899967):vl(F,256,899327)}function Bu(F){if(V&&lc(F,F.name),!ta(F.name)){const Te=F.kind===260?F:F.parent.parent;lr(F)&&GE(Te)&&!d0(F)&&!(c0(F)&32)?jr(F,2097152,2097152):Sj(F)?vl(F,2,111551):HE(F)?jr(F,1,111551):jr(F,1,111550)}}function Qg(F){if(!(F.kind===348&&o.kind!==330)&&(V&&!(F.flags&33554432)&&lc(F,F.name),ta(F.name)?Vs(F,1,"__"+F.parent.parameters.indexOf(F)):jr(F,1,111551),mp(F,F.parent))){const Te=F.parent.parent;He(Te.symbol.members,Te.symbol,F,4|(F.questionToken?16777216:0),0)}}function xp(F){!e.isDeclarationFile&&!(F.flags&33554432)&&MC(F)&&(Z|=4096),ul(F),V?(nn(F),vl(F,16,110991)):jr(F,16,110991)}function _g(F){!e.isDeclarationFile&&!(F.flags&33554432)&&MC(F)&&(Z|=4096),m&&(F.flowNode=m),ul(F);const Te=F.name?F.name.escapedText:"__function";return Vs(F,16,Te)}function Ud(F,Te,st){return!e.isDeclarationFile&&!(F.flags&33554432)&&MC(F)&&(Z|=4096),m&&Z4(F)&&(F.flowNode=m),jy(F)?Vs(F,Te,"__computed"):jr(F,Te,st)}function te(F){const Te=Gn(F,st=>st.parent&&dI(st.parent)&&st.parent.extendsType===st);return Te&&Te.parent}function ct(F){if(sm(F.parent)){const Te=g3(F.parent);Te?(N.assertNode(Te,fh),Te.locals??(Te.locals=ua()),He(Te.locals,void 0,F,262144,526824)):jr(F,262144,526824)}else if(F.parent.kind===195){const Te=te(F.parent);Te?(N.assertNode(Te,fh),Te.locals??(Te.locals=ua()),He(Te.locals,void 0,F,262144,526824)):Vs(F,262144,ve(F))}else jr(F,262144,526824)}function Ft(F){const Te=Kg(F);return Te===1||Te===2&&e1(t)}function jt(F){if(!(m.flags&1))return!1;if(m===ye&&(TL(F)&&F.kind!==242||F.kind===263||F.kind===267&&Ft(F))&&(m=$,!t.allowUnreachableCode)){const st=zoe(t)&&!(F.flags&33554432)&&(!Ll(F)||!!(Ov(F.declarationList)&7)||F.declarationList.declarations.some(Jt=>!!Jt.initializer));Eje(F,(Jt,rr)=>de(st,Jt,rr,f.Unreachable_code_detected))}return!0}}function Eje(e,t){if(qi(e)&&_xe(e)&&Xo(e.parent)){const{statements:r}=e.parent,i=BU(r,e);cG(i,_xe,(o,s)=>t(i[o],i[s-1]))}else t(e,e)}function _xe(e){return!Ac(e)&&!Sje(e)&&!x0(e)&&!(Ll(e)&&!(Ov(e)&7)&&e.declarationList.declarations.some(t=>!t.initializer))}function Sje(e){switch(e.kind){case 264:case 265:return!0;case 267:return Kg(e)!==1;case 266:return Zr(e,4096);default:return!1}}function f1(e,t){let r=0;const i=zM();for(i.enqueue(t);!i.isEmpty()&&r<100;){if(r++,t=i.dequeue(),RS(t)||rg(t))return!0;if(Ve(t)){const o=UF(e,t.escapedText);if(o&&o.valueDeclaration&&wi(o.valueDeclaration)&&o.valueDeclaration.initializer){const s=o.valueDeclaration.initializer;i.enqueue(s),Lc(s,!0)&&(i.enqueue(s.left),i.enqueue(s.right))}}}return!1}function Uq(e){switch(e.kind){case 231:case 263:case 266:case 210:case 187:case 329:case 292:return 1;case 264:return 65;case 267:case 265:case 200:case 181:return 33;case 312:return 37;case 177:case 178:case 174:if(Z4(e))return 173;case 176:case 262:case 173:case 179:case 330:case 324:case 184:case 180:case 185:case 175:return 45;case 218:case 219:return 61;case 268:return 4;case 172:return e.initializer?4:0;case 299:case 248:case 249:case 250:case 269:return 34;case 241:return ea(e.parent)||Rl(e.parent)?0:34}return 0}function UF(e,t){var r,i,o,s;const l=(i=(r=ii(e,fh))==null?void 0:r.locals)==null?void 0:i.get(t);if(l)return l.exportSymbol??l;if($i(e)&&e.jsGlobalAugmentations&&e.jsGlobalAugmentations.has(t))return e.jsGlobalAugmentations.get(t);if(x_(e))return(s=(o=e.symbol)==null?void 0:o.exports)==null?void 0:s.get(t)}var Hq,qq,hxe,Tje=T({"src/compiler/binder.ts"(){ra(),fS(),Hq=(e=>(e[e.NonInstantiated=0]="NonInstantiated",e[e.Instantiated=1]="Instantiated",e[e.ConstEnumOnly=2]="ConstEnumOnly",e))(Hq||{}),qq=(e=>(e[e.None=0]="None",e[e.IsContainer=1]="IsContainer",e[e.IsBlockScopedContainer=2]="IsBlockScopedContainer",e[e.IsControlFlowContainer=4]="IsControlFlowContainer",e[e.IsFunctionLike=8]="IsFunctionLike",e[e.IsFunctionExpression=16]="IsFunctionExpression",e[e.HasLocals=32]="HasLocals",e[e.IsInterface=64]="IsInterface",e[e.IsObjectLiteralOrClassExpressionMethodOrAccessor=128]="IsObjectLiteralOrClassExpressionMethodOrAccessor",e))(qq||{}),hxe=bje()}});function lle(e,t,r,i,o,s,l,u,p,h){return m;function m(b=()=>!0){const A=[],I=[];return{walkType:he=>{try{return R(he),{visitedTypes:EA(A),visitedSymbols:EA(I)}}finally{Vo(A),Vo(I)}},walkSymbol:he=>{try{return ne(he),{visitedTypes:EA(A),visitedSymbols:EA(I)}}finally{Vo(A),Vo(I)}}};function R(he){if(!(!he||A[he.id]||(A[he.id]=he,ne(he.symbol)))){if(he.flags&524288){const $=he,Y=$.objectFlags;Y&4&&M(he),Y&32&&Z(he),Y&3&&se(he),Y&24&&G($)}he.flags&262144&&C(he),he.flags&3145728&&O(he),he.flags&4194304&&U(he),he.flags&8388608&&K(he)}}function M(he){R(he.target),Ue(h(he),R)}function C(he){R(u(he))}function O(he){Ue(he.types,R)}function U(he){R(he.type)}function K(he){R(he.objectType),R(he.indexType),R(he.constraint)}function Z(he){R(he.typeParameter),R(he.constraintType),R(he.templateType),R(he.modifiersType)}function V(he){const ye=t(he);ye&&R(ye.type),Ue(he.typeParameters,R);for(const $ of he.parameters)ne($);R(e(he)),R(r(he))}function se(he){G(he),Ue(he.typeParameters,R),Ue(i(he),R),R(he.thisType)}function G(he){const ye=o(he);for(const $ of ye.indexInfos)R($.keyType),R($.type);for(const $ of ye.callSignatures)V($);for(const $ of ye.constructSignatures)V($);for(const $ of ye.properties)ne($)}function ne(he){if(!he)return!1;const ye=Ta(he);if(I[ye])return!1;if(I[ye]=he,!b(he))return!0;const $=s(he);return R($),he.exports&&he.exports.forEach(ne),Ue(he.declarations,Y=>{if(Y.type&&Y.type.kind===186){const oe=Y.type,fe=l(p(oe.exprName));ne(fe)}}),!1}}}var Aje=T({"src/compiler/symbolWalker.ts"(){ra()}});function Mw({importModuleSpecifierPreference:e,importModuleSpecifierEnding:t},r,i,o){const s=l();return{relativePreference:o!==void 0?Yc(o)?0:1:e==="relative"?0:e==="non-relative"?1:e==="project-relative"?3:2,getAllowedEndingsInPreferredOrder:u=>{const p=u!==i.impliedNodeFormat?l(u):s;if((u??i.impliedNodeFormat)===99)return fD(r,i.fileName)?[3,2]:[2];if(md(r)===1)return p===2?[2,1]:[1,2];const h=fD(r,i.fileName);switch(p){case 2:return h?[2,3,0,1]:[2,0,1];case 3:return[3,0,2,1];case 1:return h?[1,0,3,2]:[1,0,2];case 0:return h?[0,1,3,2]:[0,1,2];default:N.assertNever(p)}}};function l(u){if(o!==void 0){if(YE(o))return 2;if(Al(o,"/index"))return 1}return Koe(t,u??i.impliedNodeFormat,r,i)}}function Ije(e,t,r,i,o,s,l={}){const u=gxe(e,t,r,i,o,Mw({},e,t,s),{},l);if(u!==s)return u}function HF(e,t,r,i,o,s={}){return gxe(e,t,r,i,o,Mw({},e,t),{},s)}function xje(e,t,r,i,o,s={}){const l=Jq(t.fileName,i),u=Axe(l,r,i,o,s);return Ut(u,p=>ule(p,l,t,i,e,o,!0,s.overrideImportMode))}function gxe(e,t,r,i,o,s,l,u={}){const p=Jq(r,o),h=Axe(p,i,o,l,u);return Ut(h,m=>ule(m,p,t,o,e,l,void 0,u.overrideImportMode))||Exe(i,p,e,o,u.overrideImportMode||t.impliedNodeFormat,s)}function Rje(e,t,r,i,o={}){return vxe(e,t,r,i,o)[0]}function vxe(e,t,r,i,o={}){var s;const l=G4(e);if(!l)return et;const u=(s=r.getModuleSpecifierCache)==null?void 0:s.call(r),p=u==null?void 0:u.get(t.path,l.path,i,o);return[p==null?void 0:p.moduleSpecifiers,l,p==null?void 0:p.modulePaths,u]}function yxe(e,t,r,i,o,s,l={}){return bxe(e,t,r,i,o,s,l,!1).moduleSpecifiers}function bxe(e,t,r,i,o,s,l={},u){let p=!1;const h=Cje(e,t);if(h)return{moduleSpecifiers:[h],computedWithoutCache:p};let[m,b,A,I]=vxe(e,i,o,s,l);if(m)return{moduleSpecifiers:m,computedWithoutCache:p};if(!b)return{moduleSpecifiers:et,computedWithoutCache:p};p=!0,A||(A=Ixe(Jq(i.fileName,o),b.originalFileName,o));const R=Dje(A,r,i,o,s,l,u);return I==null||I.set(i.path,b.path,s,l,A,R),{moduleSpecifiers:R,computedWithoutCache:p}}function Dje(e,t,r,i,o,s={},l){const u=Jq(r.fileName,i),p=Mw(o,t,r),h=Ue(e,M=>Ue(i.getFileIncludeReasons().get(ol(M.path,i.getCurrentDirectory(),u.getCanonicalFileName)),C=>{if(C.kind!==3||C.file!==r.path||r.impliedNodeFormat&&r.impliedNodeFormat!==bce(r,C.index,t))return;const O=Kw(r,C.index).text;return p.relativePreference!==1||!Wp(O)?O:void 0}));if(h)return[h];const m=bt(e,M=>M.isInNodeModules);let b,A,I,R;for(const M of e){const C=M.isInNodeModules?ule(M,u,r,i,t,o,void 0,s.overrideImportMode):void 0;if(b=xn(b,C),C&&M.isRedirect)return b;if(!C){const O=Exe(M.path,u,t,i,s.overrideImportMode||r.impliedNodeFormat,p,M.isRedirect);if(!O)continue;M.isRedirect?I=xn(I,O):WV(O)?L0(O)?R=xn(R,O):A=xn(A,O):(l||!m||M.isInNodeModules)&&(R=xn(R,O))}}return A!=null&&A.length?A:I!=null&&I.length?I:b!=null&&b.length?b:N.checkDefined(R)}function Jq(e,t){e=go(e,t.getCurrentDirectory());const r=Mu(t.useCaseSensitiveFileNames?t.useCaseSensitiveFileNames():!0),i=ai(e);return{getCanonicalFileName:r,importingSourceFileName:e,sourceDirectory:i,canonicalSourceDirectory:r(i)}}function Exe(e,t,r,i,o,{getAllowedEndingsInPreferredOrder:s,relativePreference:l},u){const{baseUrl:p,paths:h,rootDirs:m}=r;if(u&&!h)return;const{sourceDirectory:b,canonicalSourceDirectory:A,getCanonicalFileName:I}=t,R=s(o),M=m&&Lje(m,e,b,I,R,r)||Lw(CE(Em(b,e,I)),R,r);if(!p&&!h&&!_W(r)||l===0)return u?void 0:M;const C=go(x3(r,i)||p,i.getCurrentDirectory()),O=Nxe(e,C,I);if(!O)return u?void 0:M;const U=u?void 0:Mje(e,b,r,i,o),K=u||U===void 0?h&&xxe(O,h,R,i,r):void 0;if(u)return K;const Z=U??(K===void 0&&p!==void 0?Lw(O,R,r):K);if(!Z)return M;if(l===1&&!Wp(Z))return Z;if(l===3&&!Wp(Z)){const V=r.configFilePath?ol(ai(r.configFilePath),i.getCurrentDirectory(),t.getCanonicalFileName):t.getCanonicalFileName(i.getCurrentDirectory()),se=ol(e,V,I),G=uo(A,V),ne=uo(se,V);if(G&&!ne||!G&&ne)return Z;const he=cle(i,ai(se)),ye=cle(i,b),$=!CR(i);return Nje(he,ye,$)?M:Z}return Cxe(Z)||qF(M)<qF(Z)?M:Z}function Nje(e,t,r){return e===t?!0:e===void 0||t===void 0?!1:Lg(e,t,r)===0}function qF(e){let t=0;for(let r=uo(e,"./")?2:0;r<e.length;r++)e.charCodeAt(r)===47&&t++;return t}function Sxe(e,t){return Ry(t.isRedirect,e.isRedirect)||Sk(e.path,t.path)}function cle(e,t){return e.getNearestAncestorDirectoryWithPackageJson?e.getNearestAncestorDirectoryWithPackageJson(t):Sm(t,r=>e.fileExists(Qr(r,"package.json"))?r:void 0)}function Txe(e,t,r,i,o){var s;const l=Gv(r),u=r.getCurrentDirectory(),p=r.isSourceOfProjectReferenceRedirect(t)?r.getProjectReferenceRedirect(t):void 0,h=ol(t,u,l),m=r.redirectTargetsMap.get(h)||et,A=[...p?[p]:et,t,...m].map(O=>go(O,u));let I=!Zn(A,rP);if(!i){const O=Ue(A,U=>!(I&&rP(U))&&o(U,p===U));if(O)return O}const R=(s=r.getSymlinkCache)==null?void 0:s.call(r).getSymlinkedDirectoriesByRealpath(),M=go(t,u);return R&&Sm(ai(M),O=>{const U=R.get(Bc(ol(O,u,l)));if(U)return zV(e,O,l)?!1:Ue(A,K=>{if(!zV(K,O,l))return;const Z=Em(O,K,l);for(const V of U){const se=Py(V,Z),G=o(se,K===p);if(I=!0,G)return G}})})||(i?Ue(A,O=>I&&rP(O)?void 0:o(O,O===p)):void 0)}function Axe(e,t,r,i,o={}){var s;const l=ol(e.importingSourceFileName,r.getCurrentDirectory(),Gv(r)),u=ol(t,r.getCurrentDirectory(),Gv(r)),p=(s=r.getModuleSpecifierCache)==null?void 0:s.call(r);if(p){const m=p.get(l,u,i,o);if(m!=null&&m.modulePaths)return m.modulePaths}const h=Ixe(e,t,r);return p&&p.setModulePaths(l,u,i,o,h),h}function Ixe(e,t,r){const i=new Map;Txe(e.importingSourceFileName,t,r,!0,(s,l)=>{const u=L0(s);i.set(s,{path:e.getCanonicalFileName(s),isRedirect:l,isInNodeModules:u})});const o=[];for(let s=e.canonicalSourceDirectory;i.size!==0;){const l=Bc(s);let u;i.forEach(({path:h,isRedirect:m,isInNodeModules:b},A)=>{uo(h,l)&&((u||(u=[])).push({path:A,isRedirect:m,isInNodeModules:b}),i.delete(A))}),u&&(u.length>1&&u.sort(Sxe),o.push(...u));const p=ai(s);if(p===s)break;s=p}if(i.size){const s=zo(i.entries(),([l,{isRedirect:u,isInNodeModules:p}])=>({path:l,isRedirect:u,isInNodeModules:p}));s.length>1&&s.sort(Sxe),o.push(...s)}return o}function Cje(e,t){var r;const i=(r=e.declarations)==null?void 0:r.find(l=>Aj(l)&&(!WE(l)||!Yc(em(l.name))));if(i)return i.name.text;const s=Hi(e.declarations,l=>{var u,p,h,m;if(!Xl(l))return;const b=M(l);if(!((u=b==null?void 0:b.parent)!=null&&u.parent&&O_(b.parent)&&ku(b.parent.parent)&&$i(b.parent.parent.parent)))return;const A=(m=(h=(p=b.parent.parent.symbol.exports)==null?void 0:p.get("export="))==null?void 0:h.valueDeclaration)==null?void 0:m.expression;if(!A)return;const I=t.getSymbolAtLocation(A);if(!I)return;if(((I==null?void 0:I.flags)&2097152?t.getAliasedSymbol(I):I)===l.symbol)return b.parent.parent;function M(C){for(;C.flags&8;)C=C.parent;return C}})[0];if(s)return s.name.text}function xxe(e,t,r,i,o){for(const l in t)for(const u of t[l]){const p=ga(u),h=p.indexOf("*"),m=r.map(b=>({ending:b,value:Lw(e,[b],o)}));if(jg(p)&&m.push({ending:void 0,value:e}),h!==-1){const b=p.substring(0,h),A=p.substring(h+1);for(const{ending:I,value:R}of m)if(R.length>=b.length+A.length&&uo(R,b)&&Al(R,A)&&s({ending:I,value:R})){const M=R.substring(b.length,R.length-A.length);if(!Wp(M))return $A(l,M)}}else if(bt(m,b=>b.ending!==0&&p===b.value)||bt(m,b=>b.ending===0&&p===b.value&&s(b)))return l}function s({ending:l,value:u}){return l!==0||u===Lw(e,[l],o,i)}}function JF(e,t,r,i,o,s,l,u,p){if(typeof s=="string"){const h=!CR(t),m=()=>t.getCommonSourceDirectory(),b=p&&TJ(r,e,h,m),A=p&&SJ(r,e,h,m),I=go(Qr(i,s),void 0),R=XA(r)?Id(r)+ple(r,e):void 0;switch(u){case 0:if(R&&Lg(R,I,h)===0||Lg(r,I,h)===0||b&&Lg(b,I,h)===0||A&&Lg(A,I,h)===0)return{moduleFileToTry:o};break;case 1:if(R&&bm(I,R,h)){const U=Em(I,R,!1);return{moduleFileToTry:go(Qr(Qr(o,s),U),void 0)}}if(bm(I,r,h)){const U=Em(I,r,!1);return{moduleFileToTry:go(Qr(Qr(o,s),U),void 0)}}if(b&&bm(I,b,h)){const U=Em(I,b,!1);return{moduleFileToTry:Qr(o,U)}}if(A&&bm(I,A,h)){const U=Em(I,A,!1);return{moduleFileToTry:Qr(o,U)}}break;case 2:const M=I.indexOf("*"),C=I.slice(0,M),O=I.slice(M+1);if(R&&uo(R,C,h)&&Al(R,O,h)){const U=R.slice(C.length,R.length-O.length);return{moduleFileToTry:$A(o,U)}}if(uo(r,C,h)&&Al(r,O,h)){const U=r.slice(C.length,r.length-O.length);return{moduleFileToTry:$A(o,U)}}if(b&&uo(b,C,h)&&Al(b,O,h)){const U=b.slice(C.length,b.length-O.length);return{moduleFileToTry:$A(o,U)}}if(A&&uo(A,C,h)&&Al(A,O,h)){const U=A.slice(C.length,A.length-O.length);return{moduleFileToTry:$A(o,U)}}break}}else{if(Array.isArray(s))return Ue(s,h=>JF(e,t,r,i,o,h,l,u,p));if(typeof s=="object"&&s!==null){for(const h of Xh(s))if(h==="default"||l.indexOf(h)>=0||Cw(l,h)){const m=s[h],b=JF(e,t,r,i,o,m,l,u,p);if(b)return b}}}}function Pje(e,t,r,i,o,s,l){return typeof s=="object"&&s!==null&&!Array.isArray(s)&&VF(s)?Ue(Xh(s),u=>{const p=go(Qr(o,u),void 0),h=Al(u,"/")?1:u.includes("*")?2:0;return JF(e,t,r,i,p,s[u],l,h,!1)}):JF(e,t,r,i,o,s,l,0,!1)}function Mje(e,t,r,i,o){var s,l,u;if(!i.readFile||!_W(r))return;const p=cle(i,t);if(!p)return;const h=Qr(p,"package.json"),m=(l=(s=i.getPackageJsonInfoCache)==null?void 0:s.call(i))==null?void 0:l.getPackageJsonInfo(h);if(Kse(m)||!i.fileExists(h))return;const b=(m==null?void 0:m.contents.packageJsonContent)||w3(i.readFile(h)),A=b==null?void 0:b.imports;if(!A)return;const I=ib(r,o);return(u=Ue(Xh(A),R=>{if(!uo(R,"#")||R==="#"||uo(R,"#/"))return;const M=Al(R,"/")?1:R.includes("*")?2:0;return JF(r,i,e,p,R,A[R],I,M,!0)}))==null?void 0:u.moduleFileToTry}function Lje(e,t,r,i,o,s){const l=Rxe(t,e,i);if(l===void 0)return;const u=Rxe(r,e,i),p=Pi(u,m=>wt(l,b=>CE(Em(m,b,i)))),h=yG(p,Sk);if(h)return Lw(h,o,s)}function ule({path:e,isRedirect:t},{getCanonicalFileName:r,canonicalSourceDirectory:i},o,s,l,u,p,h){if(!s.fileExists||!s.readFile)return;const m=aW(e);if(!m)return;const A=Mw(u,l,o).getAllowedEndingsInPreferredOrder();let I=e,R=!1;if(!p){let Z=m.packageRootIndex,V;for(;;){const{moduleFileToTry:se,packageRootPath:G,blockedByExports:ne,verbatimFromExports:he}=K(Z);if(md(l)!==1){if(ne)return;if(he)return se}if(G){I=G,R=!0;break}if(V||(V=se),Z=e.indexOf(al,Z+1),Z===-1){I=Lw(V,A,l,s);break}}}if(t&&!R)return;const M=s.getGlobalTypingsCacheLocation&&s.getGlobalTypingsCacheLocation(),C=r(I.substring(0,m.topLevelNodeModulesIndex));if(!(uo(i,C)||M&&uo(r(M),C)))return;const O=I.substring(m.topLevelPackageNameIndex+1),U=FP(O);return md(l)===1&&U===O?void 0:U;function K(Z){var V,se;const G=e.substring(0,Z),ne=Qr(G,"package.json");let he=e,ye=!1;const $=(se=(V=s.getPackageJsonInfoCache)==null?void 0:V.call(s))==null?void 0:se.getPackageJsonInfo(ne);if(FF($)||$===void 0&&s.fileExists(ne)){const Y=($==null?void 0:$.contents.packageJsonContent)||w3(s.readFile(ne)),oe=h||o.impliedNodeFormat;if(mW(l)){const pe=G.substring(m.topLevelPackageNameIndex+1),ie=FP(pe),ve=ib(l,oe),Le=Y!=null&&Y.exports?Pje(l,s,e,G,ie,Y.exports,ve):void 0;if(Le)return{...Le,verbatimFromExports:!0};if(Y!=null&&Y.exports)return{moduleFileToTry:e,blockedByExports:!0}}const fe=Y!=null&&Y.typesVersions?OF(Y.typesVersions):void 0;if(fe){const pe=e.slice(G.length+1),ie=xxe(pe,fe.paths,A,s,l);ie===void 0?ye=!0:he=Qr(G,ie)}const Ne=(Y==null?void 0:Y.typings)||(Y==null?void 0:Y.types)||(Y==null?void 0:Y.main)||"index.js";if(Po(Ne)&&!(ye&&zU(eW(fe.paths),Ne))){const pe=ol(Ne,G,r),ie=r(he);if(Id(pe)===Id(ie))return{packageRootPath:G,moduleFileToTry:he};if((Y==null?void 0:Y.type)!=="module"&&!Tc(ie,kk)&&uo(ie,pe)&&ai(ie)===o0(pe)&&Id(ic(ie))==="index")return{packageRootPath:G,moduleFileToTry:he}}}else{const Y=r(he.substring(m.packageRootIndex+1));if(Y==="index.d.ts"||Y==="index.js"||Y==="index.ts"||Y==="index.tsx")return{moduleFileToTry:he,packageRootPath:G}}return{moduleFileToTry:he}}}function kje(e,t){if(!e.fileExists)return;const r=ia(YC({allowJs:!0},[{extension:"node",isMixedContent:!1},{extension:"json",isMixedContent:!1,scriptKind:6}]));for(const i of r){const o=t+i;if(e.fileExists(o))return o}}function Rxe(e,t,r){return Hi(t,i=>{const o=Nxe(e,i,r);return o!==void 0&&Cxe(o)?void 0:o})}function Lw(e,t,r,i){if(Tc(e,[".json",".mjs",".cjs"]))return e;const o=Id(e);if(e===o)return e;const s=t.indexOf(2),l=t.indexOf(3);if(Tc(e,[".mts",".cts"])&&l!==-1&&l<s)return e;if(Tc(e,[".d.mts",".mts",".d.cts",".cts"]))return o+dle(e,r);if(!Tc(e,[".d.ts"])&&Tc(e,[".ts"])&&e.includes(".d."))return Dxe(e);switch(t[0]){case 0:const u=Bx(o,"/index");return i&&u!==o&&kje(i,u)?o:u;case 1:return o;case 2:return o+dle(e,r);case 3:if(Su(e)){const p=t.findIndex(h=>h===0||h===1);return p!==-1&&p<s?o:o+dle(e,r)}return e;default:return N.assertNever(t[0])}}function Dxe(e){const t=ic(e);if(!Al(e,".ts")||!t.includes(".d.")||Tc(t,[".d.ts"]))return;const r=Tk(e,".ts"),i=r.substring(r.lastIndexOf("."));return r.substring(0,r.indexOf(".d."))+i}function dle(e,t){return ple(e,t)??N.fail(`Extension ${QC(e)} is unsupported:: FileName:: ${e}`)}function ple(e,t){const r=jg(e);switch(r){case".ts":case".d.ts":return".js";case".tsx":return t.jsx===1?".jsx":".js";case".js":case".jsx":case".json":return r;case".d.mts":case".mts":case".mjs":return".mjs";case".d.cts":case".cts":case".cjs":return".cjs";default:return}}function Nxe(e,t,r){const i=RA(t,e,t,r,!1);return fp(i)?void 0:i}function Cxe(e){return uo(e,"..")}var fle,mle=T({"src/compiler/moduleSpecifiers.ts"(){ra(),fle=(e=>(e[e.Relative=0]="Relative",e[e.NonRelative=1]="NonRelative",e[e.Shortest=2]="Shortest",e[e.ExternalNonRelative=3]="ExternalNonRelative",e))(fle||{})}}),m1={};X(m1,{RelativePreference:()=>fle,countPathComponents:()=>qF,forEachFileNameOfModule:()=>Txe,getModuleSpecifier:()=>HF,getModuleSpecifierPreferences:()=>Mw,getModuleSpecifiers:()=>yxe,getModuleSpecifiersWithCacheInfo:()=>bxe,getNodeModulesPackageName:()=>xje,tryGetJSExtensionForFile:()=>ple,tryGetModuleSpecifiersFromCache:()=>Rje,tryGetRealFileNameForNonJsDeclarationFileName:()=>Dxe,updateModuleSpecifier:()=>Ije});var _le=T({"src/compiler/_namespaces/ts.moduleSpecifiers.ts"(){mle()}});function wje(){this.flags=0}function as(e){return e.id||(e.id=vle,vle++),e.id}function Ta(e){return e.id||(e.id=gle,gle++),e.id}function Kq(e,t){const r=Kg(e);return r===1||t&&r===2}function hle(e){var t=[],r=n=>{t.push(n)},i,o=new Set,s,l,u=lu.getSymbolConstructor(),p=lu.getTypeConstructor(),h=lu.getSignatureConstructor(),m=0,b=0,A=0,I=0,R=0,M=0,C,O,U=!1,K=ua(),Z=[1],V=e.getCompilerOptions(),se=os(V),G=wu(V),ne=!!V.experimentalDecorators,he=dP(V),ye=Goe(V),$=FS(V),Y=fd(V,"strictNullChecks"),oe=fd(V,"strictFunctionTypes"),fe=fd(V,"strictBindCallApply"),Ne=fd(V,"strictPropertyInitialization"),pe=fd(V,"noImplicitAny"),ie=fd(V,"noImplicitThis"),ve=fd(V,"useUnknownInCatchVariables"),Le=!!V.keyofStringsOnly,He=Le?1:0,Ee=V.suppressExcessPropertyErrors?0:8192,De=V.exactOptionalPropertyTypes,Pe=fht(),We=qyt(),At=X_(),Se=ua(),je=Xa(4,"undefined");je.declarations=[];var at=Xa(1536,"globalThis",8);at.exports=Se,at.declarations=[],Se.set(at.escapedName,at);var Gt=Xa(4,"arguments"),vt=Xa(4,"require"),$e=V.verbatimModuleSyntax?"verbatimModuleSyntax":"isolatedModules",It=!V.verbatimModuleSyntax||!!V.importsNotUsedAsValues,tn,rn,Pn=0,Xn,hn=0;const Qt={getNodeCount:()=>od(e.getSourceFiles(),(n,a)=>n+a.nodeCount,0),getIdentifierCount:()=>od(e.getSourceFiles(),(n,a)=>n+a.identifierCount,0),getSymbolCount:()=>od(e.getSourceFiles(),(n,a)=>n+a.symbolCount,b),getTypeCount:()=>m,getInstantiationCount:()=>A,getRelationCacheSizes:()=>({assignable:qd.size,identity:ap.size,subtype:Ph.size,strictSubtype:Wf.size}),isUndefinedSymbol:n=>n===je,isArgumentsSymbol:n=>n===Gt,isUnknownSymbol:n=>n===ft,getMergedSymbol:rs,getDiagnostics:QFe,getGlobalDiagnostics:lyt,getRecursionIdentity:bee,getUnmatchedProperties:qve,getTypeOfSymbolAtLocation:(n,a)=>{const c=Do(a);return c?tft(n,c):_t},getTypeOfSymbol:dr,getSymbolsOfParameterPropertyDeclaration:(n,a)=>{const c=Do(n,Ao);return c===void 0?N.fail("Cannot get symbols of a synthetic parameter that cannot be resolved to a parse-tree node."):(N.assert(mp(c,c.parent)),M2(c,hl(a)))},getDeclaredTypeOfSymbol:el,getPropertiesOfType:gs,getPropertyOfType:(n,a)=>ya(n,hl(a)),getPrivateIdentifierPropertyOfType:(n,a,c)=>{const d=Do(c);if(!d)return;const _=hl(a),y=$ee(_,d);return y?Pye(n,y):void 0},getTypeOfPropertyOfType:(n,a)=>Xe(n,hl(a)),getIndexInfoOfType:(n,a)=>xg(n,a===0?ke:Tt),getIndexInfosOfType:yd,getIndexInfosOfIndexSymbol:Bge,getSignaturesOfType:Yo,getIndexTypeOfType:(n,a)=>dE(n,a===0?ke:Tt),getIndexType:n=>Q_(n),getBaseTypes:Mp,getBaseTypeOfLiteralType:bv,getWidenedType:Xp,getTypeFromTypeNode:n=>{const a=Do(n,ji);return a?Ei(a):_t},getParameterType:y_,getParameterIdentifierInfoAtPosition:P_t,getPromisedTypeOfPromise:i6,getAwaitedType:n=>dA(n),getReturnTypeOfSignature:ps,isNullableType:iB,getNullableType:V9,getNonNullableType:Ev,getNonOptionalType:Aee,getTypeArguments:Js,typeToTypeNode:At.typeToTypeNode,indexInfoToIndexSignatureDeclaration:At.indexInfoToIndexSignatureDeclaration,signatureToSignatureDeclaration:At.signatureToSignatureDeclaration,symbolToEntityName:At.symbolToEntityName,symbolToExpression:At.symbolToExpression,symbolToNode:At.symbolToNode,symbolToTypeParameterDeclarations:At.symbolToTypeParameterDeclarations,symbolToParameterDeclaration:At.symbolToParameterDeclaration,typeParameterToDeclaration:At.typeParameterToDeclaration,getSymbolsInScope:(n,a)=>{const c=Do(n);return c?cyt(c,a):[]},getSymbolAtLocation:n=>{const a=Do(n);return a?Hm(a,!0):void 0},getIndexInfosAtLocation:n=>{const a=Do(n);return a?gyt(a):void 0},getShorthandAssignmentValueSymbol:n=>{const a=Do(n);return a?vyt(a):void 0},getExportSpecifierLocalTargetSymbol:n=>{const a=Do(n,Ku);return a?yyt(a):void 0},getExportSymbolOfSymbol(n){return rs(n.exportSymbol||n)},getTypeAtLocation:n=>{const a=Do(n);return a?Ix(a):_t},getTypeOfAssignmentPattern:n=>{const a=Do(n,gC);return a&&Rte(a)||_t},getPropertySymbolOfDestructuringAssignment:n=>{const a=Do(n,Ve);return a?byt(a):void 0},signatureToString:(n,a,c,d)=>Oh(n,Do(a),c,d),typeToString:(n,a,c)=>Un(n,Do(a),c),symbolToString:(n,a,c,d)=>bi(n,Do(a),c,d),typePredicateToString:(n,a,c)=>Wh(n,Do(a),c),writeSignature:(n,a,c,d,_)=>Oh(n,Do(a),c,d,_),writeType:(n,a,c,d)=>Un(n,Do(a),c,d),writeSymbol:(n,a,c,d,_)=>bi(n,Do(a),c,d,_),writeTypePredicate:(n,a,c,d)=>Wh(n,Do(a),c,d),getAugmentedPropertiesOfType:Mbe,getRootSymbols:a7e,getSymbolOfExpando:ate,getContextualType:(n,a)=>{const c=Do(n,yt);if(c)return a&4?ao(c,()=>Yd(c,a)):Yd(c,a)},getContextualTypeForObjectLiteralElement:n=>{const a=Do(n,Wg);return a?gye(a,void 0):void 0},getContextualTypeForArgumentAtIndex:(n,a)=>{const c=Do(n,wE);return c&&hye(c,a)},getContextualTypeForJsxAttribute:n=>{const a=Do(n,M4);return a&&b3e(a,void 0)},isContextSensitive:Uf,getTypeOfPropertyOfContextualType:bE,getFullyQualifiedName:qp,getResolvedSignature:(n,a,c)=>Oi(n,a,c,0),getCandidateSignaturesForStringLiteralCompletions:$t,getResolvedSignatureForSignatureHelp:(n,a,c)=>_i(n,()=>Oi(n,a,c,16)),getExpandedParameters:E8e,hasEffectiveRestParameter:Hh,containsArgumentsReference:Wge,getConstantValue:n=>{const a=Do(n,d7e);return a?Lbe(a):void 0},isValidPropertyAccess:(n,a)=>{const c=Do(n,jre);return!!c&&Bmt(c,hl(a))},isValidPropertyAccessForCompletions:(n,a,c)=>{const d=Do(n,Fr);return!!d&&Y3e(d,a,c)},getSignatureFromDeclaration:n=>{const a=Do(n,ea);return a?fm(a):void 0},isImplementationOfOverload:n=>{const a=Do(n,ea);return a?c7e(a):void 0},getImmediateAliasedSymbol:Sye,getAliasedSymbol:Fc,getEmitResolver:ly,getExportsOfModule:wT,getExportsAndPropertiesOfModule:dN,forEachExportAndPropertyOfModule:pN,getSymbolWalker:lle(Tct,jf,ps,Mp,__,dr,jm,Ld,Vp,Js),getAmbientModules:wbt,getJsxIntrinsicTagNamesAt:ymt,isOptionalParameter:n=>{const a=Do(n,Ao);return a?N8(a):!1},tryGetMemberInModuleExports:(n,a)=>OT(hl(n),a),tryGetMemberInModuleExportsAndProperties:(n,a)=>fN(hl(n),a),tryFindAmbientModule:n=>qZ(n,!0),tryFindAmbientModuleWithoutAugmentations:n=>qZ(n,!1),getApparentType:kd,getUnionType:ti,isTypeAssignableTo:Ea,createAnonymousType:Ls,createSignature:Ig,createSymbol:Xa,createIndexInfo:Vh,getAnyType:()=>j,getStringType:()=>ke,getStringLiteralType:Xd,getNumberType:()=>Tt,getNumberLiteralType:g_,getBigIntType:()=>kt,createPromiseType:_B,createArrayType:Vu,getElementTypeOfArrayType:G9,getBooleanType:()=>Ni,getFalseType:n=>n?en:bn,getTrueType:n=>n?Bn:Hn,getVoidType:()=>ir,getUndefinedType:()=>Oe,getNullType:()=>me,getESSymbolType:()=>Ai,getNeverType:()=>jr,getOptionalType:()=>J,getPromiseType:()=>I9(!1),getPromiseLikeType:()=>l6e(!1),getAsyncIterableType:()=>{const n=nee(!1);if(n!==ko)return n},isSymbolAccessible:ki,isArrayType:qf,isTupleType:Ba,isArrayLikeType:by,isEmptyAnonymousObjectType:Uh,isTypeInvalidDueToUnionDiscriminant:ict,getExactOptionalProperties:Pdt,getAllPossiblePropertiesOfTypes:oct,getSuggestedSymbolForNonexistentProperty:kye,getSuggestionForNonexistentProperty:wye,getSuggestedSymbolForNonexistentJSXAttribute:K3e,getSuggestedSymbolForNonexistentSymbol:(n,a,c)=>Oye(n,hl(a),c),getSuggestionForNonexistentSymbol:(n,a,c)=>Omt(n,hl(a),c),getSuggestedSymbolForNonexistentModule:Zee,getSuggestionForNonexistentExport:Wmt,getSuggestedSymbolForNonexistentClassMember:J3e,getBaseConstraintOfType:Gu,getDefaultFromTypeParameter:n=>n&&n.flags&262144?JT(n):void 0,resolveName(n,a,c,d){return bl(a,hl(n),c,void 0,void 0,!1,d)},getJsxNamespace:n=>Vi(J0(n)),getJsxFragmentFactory:n=>{const a=wbe(n);return a&&Vi(Vp(a).escapedText)},getAccessibleSymbolChain:Ib,getTypePredicateOfSignature:jf,resolveExternalModuleName:n=>{const a=Do(n,yt);return a&&vd(a,a,!0)},resolveExternalModuleSymbol:Np,tryGetThisTypeAt:(n,a,c)=>{const d=Do(n);return d&&pye(d,a,c)},getTypeArgumentConstraint:n=>{const a=Do(n,ji);return a&&Hht(a)},getSuggestionDiagnostics:(n,a)=>{const c=Do(n,$i)||N.fail("Could not determine parsed source file.");if(ZC(c,V,e))return et;let d;try{return i=a,Cbe(c),N.assert(!!(ei(c).flags&1)),d=Jr(d,vT.getDiagnostics(c.fileName)),mFe($Fe(c),(_,y,x)=>{!aR(_)&&!YFe(y,!!(_.flags&33554432))&&(d||(d=[])).push({...x,category:2})}),d||et}finally{i=void 0}},runWithCancellationToken:(n,a)=>{try{return i=n,a(Qt)}finally{i=void 0}},getLocalTypeParametersOfClassOrInterfaceOrTypeAlias:kr,isDeclarationVisible:d_,isPropertyAccessible:Fye,getTypeOnlyAliasDeclaration:Ff,getMemberOverrideModifierStatus:Tvt,isTypeParameterPossiblyReferenced:M9,typeHasCallOrConstructSignatures:Dte};function $t(n,a){const c=new Set,d=[];ao(a,()=>Oi(n,d,void 0,0));for(const _ of d)c.add(_);d.length=0,_i(a,()=>Oi(n,d,void 0,0));for(const _ of d)c.add(_);return zo(c)}function _i(n,a){if(n=Gn(n,cj),n){const c=[],d=[];for(;n;){const y=ei(n);if(c.push([y,y.resolvedSignature]),y.resolvedSignature=void 0,QE(n)){const x=Xi(Ir(n)),k=x.type;d.push([x,k]),x.type=void 0}n=Gn(n.parent,cj)}const _=a();for(const[y,x]of c)y.resolvedSignature=x;for(const[y,x]of d)y.type=x;return _}return a()}function ao(n,a){const c=Gn(n,wE);if(c){let _=n;do ei(_).skipDirectInference=!0,_=_.parent;while(_&&_!==c)}U=!0;const d=_i(n,a);if(U=!1,c){let _=n;do ei(_).skipDirectInference=void 0,_=_.parent;while(_&&_!==c)}return d}function Oi(n,a,c,d){const _=Do(n,wE);tn=c;const y=_?WN(_,a,d):void 0;return tn=void 0,y}var No=new Map,Mn=new Map,Di=new Map,Ar=new Map,sn=new Map,tt=new Map,zt=new Map,Rn=new Map,li=new Map,hi=new Map,Qi=new Map,Io=new Map,ma=new Map,Wa=new Map,Sn=new Map,kn=[],qe=new Map,mt=new Set,ft=Xa(4,"unknown"),Ct=Xa(0,"__resolving__"),le=new Map,Fe=new Map,pt=new Set,j=cc(1,"any"),ot=cc(1,"any",262144,"auto"),Rt=cc(1,"any",void 0,"wildcard"),Ye=cc(1,"any",void 0,"blocked string"),_t=cc(1,"error"),Vt=cc(1,"unresolved"),vn=cc(1,"any",65536,"non-inferrable"),fn=cc(1,"intrinsic"),_n=cc(2,"unknown"),q=cc(2,"unknown",void 0,"non-null"),Oe=cc(32768,"undefined"),Lt=Y?Oe:cc(32768,"undefined",65536,"widening"),L=cc(32768,"undefined",void 0,"missing"),_e=De?L:Oe,J=cc(32768,"undefined",void 0,"optional"),me=cc(65536,"null"),Ge=Y?me:cc(65536,"null",65536,"widening"),ke=cc(4,"string"),Tt=cc(8,"number"),kt=cc(64,"bigint"),en=cc(512,"false",void 0,"fresh"),bn=cc(512,"false"),Bn=cc(512,"true",void 0,"fresh"),Hn=cc(512,"true");Bn.regularType=Hn,Bn.freshType=Bn,Hn.regularType=Hn,Hn.freshType=Bn,en.regularType=bn,en.freshType=en,bn.regularType=bn,bn.freshType=en;var Ni=ti([bn,Hn]),Ai=cc(4096,"symbol"),ir=cc(16384,"void"),jr=cc(131072,"never"),vo=cc(131072,"never",262144,"silent"),Ci=cc(131072,"never",void 0,"implicit"),Ii=cc(131072,"never",void 0,"unreachable"),Kr=cc(67108864,"object"),xo=ti([ke,Tt]),Dn=ti([ke,Tt,Ai]),Fs=Le?ke:Dn,Ql=ti([Tt,kt]),Lo=ti([ke,Tt,Ni,kt,me,Oe]),cs=XT(["",""],[Tt]),Vs=P9(n=>n.flags&262144?idt(n):n,()=>"(restrictive mapper)"),vl=P9(n=>n.flags&262144?Rt:n,()=>"(permissive mapper)"),uu=cc(131072,"never",void 0,"unique literal"),ho=P9(n=>n.flags&262144?uu:n,()=>"(unique literal mapper)"),pa,du=P9(n=>(pa&&(n===Ip||n===Ms||n===Rc)&&pa(!0),n),()=>"(unmeasurable reporter)"),As=P9(n=>(pa&&(n===Ip||n===Ms||n===Rc)&&pa(!1),n),()=>"(unreliable reporter)"),La=Ls(void 0,K,et,et,et),_l=Ls(void 0,K,et,et,et);_l.objectFlags|=2048;var wf=Xa(2048,"__type");wf.members=ua();var lc=Ls(wf,K,et,et,et),Nl=Ls(void 0,K,et,et,et),ul=Y?ti([Oe,me,Nl]):_n,ko=Ls(void 0,K,et,et,et);ko.instantiations=new Map;var nn=Ls(void 0,K,et,et,et);nn.objectFlags|=262144;var js=Ls(void 0,K,et,et,et),nu=Ls(void 0,K,et,et,et),pu=Ls(void 0,K,et,et,et),Ip=yf(),Ms=yf();Ms.constraint=Ip;var Rc=yf(),de=yf(),ee=yf();ee.constraint=de;var we=T9(1,"<<unresolved>>",0,j),Et=Ig(void 0,void 0,void 0,et,j,void 0,0,0),un=Ig(void 0,void 0,void 0,et,_t,void 0,0,0),Er=Ig(void 0,void 0,void 0,et,j,void 0,0,0),vr=Ig(void 0,void 0,void 0,et,vo,void 0,0,0),Ur=Vh(Tt,ke,!0),xi=new Map,Lr={get yieldType(){return N.fail("Not supported")},get returnType(){return N.fail("Not supported")},get nextType(){return N.fail("Not supported")}},$o=Ty(j,j,j),Tl=Ty(j,j,_n),Hc=Ty(jr,j,Oe),Us={iterableCacheKey:"iterationTypesOfAsyncIterable",iteratorCacheKey:"iterationTypesOfAsyncIterator",iteratorSymbolName:"asyncIterator",getGlobalIteratorType:Fct,getGlobalIterableType:nee,getGlobalIterableIteratorType:zct,getGlobalGeneratorType:Bct,resolveIterationType:(n,a)=>dA(n,a,f.Type_of_await_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member),mustHaveANextMethodDiagnostic:f.An_async_iterator_must_have_a_next_method,mustBeAMethodDiagnostic:f.The_0_property_of_an_async_iterator_must_be_a_method,mustHaveAValueDiagnostic:f.The_type_returned_by_the_0_method_of_an_async_iterator_must_be_a_promise_for_a_type_with_a_value_property},vc={iterableCacheKey:"iterationTypesOfIterable",iteratorCacheKey:"iterationTypesOfIterator",iteratorSymbolName:"iterator",getGlobalIteratorType:Gct,getGlobalIterableType:Qge,getGlobalIterableIteratorType:Vct,getGlobalGeneratorType:jct,resolveIterationType:(n,a)=>n,mustHaveANextMethodDiagnostic:f.An_iterator_must_have_a_next_method,mustBeAMethodDiagnostic:f.The_0_property_of_an_iterator_must_be_a_method,mustHaveAValueDiagnostic:f.The_type_returned_by_the_0_method_of_an_iterator_must_have_a_value_property},Ss,qc=new Map,op=[],Wc,cm,gd,Ce,Wt,Jn,yo,Qo,na,Zl,yc,dl,yl,Vl,ec,Jc,ff,jd,Cd,Of,U_,Ch,$g,Bu,Qg,xp,_g,Ud,te,ct,Ft,jt,F,Te,st,Jt,rr,oi,$r,io,Fa,za,Ol,fu,Dc,Rp,Hd,pb,us,km,wm,Ui,Zg,ay,Om,x1,R1,mf=new Map,H_=0,_f=0,ev=0,ze=!1,Ze=0,Mt,Ht,yn,Yn=[],gn=[],$n=[],fi=0,cr=[],ri=[],fr=0,Zo=Xd(""),Ka=g_(0),Zu=see({negative:!1,base10Value:"0"}),mu=[],tv=[],j0=[],JI=0,U0=!1,QD=0,ZD=10,eN=[],hT=[],D1=[],KI=[],tN=[],XI=[],YI=[],$I=[],QI=[],gT=[],ZI=[],N1=[],C1=[],fb=[],mb=[],hg=[],H0=[],ts=RR(),vT=RR(),nN=wh(),q0,nv,Ph=new Map,Wf=new Map,qd=new Map,Dp=new Map,ap=new Map,sy=new Map,yT=ua();yT.set(je.escapedName,je);var rN=[[".mts",".mjs"],[".ts",".js"],[".cts",".cjs"],[".mjs",".mjs"],[".js",".js"],[".cjs",".cjs"],[".tsx",V.jsx===1?".jsx":".js"],[".jsx",".jsx"],[".json",".json"]];return Jyt(),Qt;function ex(n){return n?Sn.get(n):void 0}function _b(n,a){return n&&Sn.set(n,a),a}function J0(n){if(n){const a=jn(n);if(a)if(hI(n)){if(a.localJsxFragmentNamespace)return a.localJsxFragmentNamespace;const c=a.pragmas.get("jsxfrag");if(c){const _=To(c)?c[0]:c;if(a.localJsxFragmentFactory=bI(_.arguments.factory,se),nt(a.localJsxFragmentFactory,bc,Zd),a.localJsxFragmentFactory)return a.localJsxFragmentNamespace=Vp(a.localJsxFragmentFactory).escapedText}const d=wbe(n);if(d)return a.localJsxFragmentFactory=d,a.localJsxFragmentNamespace=Vp(d).escapedText}else{const c=tx(a);if(c)return a.localJsxNamespace=c}}return q0||(q0="React",V.jsxFactory?(nv=bI(V.jsxFactory,se),nt(nv,bc),nv&&(q0=Vp(nv).escapedText)):V.reactNamespace&&(q0=hl(V.reactNamespace))),nv||(nv=w.createQualifiedName(w.createIdentifier(Vi(q0)),"createElement")),q0}function tx(n){if(n.localJsxNamespace)return n.localJsxNamespace;const a=n.pragmas.get("jsx");if(a){const c=To(a)?a[0]:a;if(n.localJsxFactory=bI(c.arguments.factory,se),nt(n.localJsxFactory,bc,Zd),n.localJsxFactory)return n.localJsxNamespace=Vp(n.localJsxFactory).escapedText}}function bc(n){return vh(n,-1,-1),In(n,bc,void 0)}function ly(n,a){return QFe(n,a),We}function nx(n,a,...c){const d=n?wr(n,a,...c):Hl(a,...c),_=ts.lookup(d);return _||(ts.add(d),d)}function a_(n,a,c,...d){const _=Je(a,c,...d);return _.skippedOn=n,_}function bT(n,a,...c){return n?wr(n,a,...c):Hl(a,...c)}function Je(n,a,...c){const d=bT(n,a,...c);return ts.add(d),d}function s_(n,a){n?ts.add(a):vT.add({...a,category:2})}function _u(n,a,c,...d){if(a.pos<0||a.end<0){if(!n)return;const _=jn(a);s_(n,"message"in c?Qc(_,0,0,c,...d):Lj(_,c));return}s_(n,"message"in c?wr(a,c,...d):Fg(jn(a),a,c))}function K0(n,a,c,...d){const _=Je(n,c,...d);if(a){const y=wr(n,f.Did_you_forget_to_use_await);wa(_,y)}return _}function rx(n,a){const c=Array.isArray(n)?Ue(n,XV):XV(n);return c&&wa(a,wr(c,f.The_declaration_was_marked_as_deprecated_here)),vT.add(a),a}function hb(n){const a=Pd(n);return a&&Me(n.declarations)>1?a.flags&64?bt(n.declarations,cy):Zn(n.declarations,cy):!!n.valueDeclaration&&cy(n.valueDeclaration)||Me(n.declarations)&&Zn(n.declarations,cy)}function cy(n){return!!(aS(n)&536870912)}function uy(n,a,c){const d=wr(n,f._0_is_deprecated,c);return rx(a,d)}function P2(n,a,c,d){const _=c?wr(n,f.The_signature_0_of_1_is_deprecated,d,c):wr(n,f._0_is_deprecated,d);return rx(a,_)}function Xa(n,a,c){b++;const d=new u(n|33554432,a);return d.links=new Ele,d.links.checkFlags=c||0,d}function l_(n,a){const c=Xa(1,n);return c.links.type=a,c}function ET(n,a){const c=Xa(4,n);return c.links.type=a,c}function ST(n){let a=0;return n&2&&(a|=111551),n&1&&(a|=111550),n&4&&(a|=0),n&8&&(a|=900095),n&16&&(a|=110991),n&32&&(a|=899503),n&64&&(a|=788872),n&256&&(a|=899327),n&128&&(a|=899967),n&512&&(a|=110735),n&8192&&(a|=103359),n&32768&&(a|=46015),n&65536&&(a|=78783),n&262144&&(a|=526824),n&524288&&(a|=788968),n&2097152&&(a|=2097152),a}function X0(n,a){a.mergeId||(a.mergeId=yle,yle++),eN[a.mergeId]=n}function TT(n){const a=Xa(n.flags,n.escapedName);return a.declarations=n.declarations?n.declarations.slice():[],a.parent=n.parent,n.valueDeclaration&&(a.valueDeclaration=n.valueDeclaration),n.constEnumOnlyModule&&(a.constEnumOnlyModule=!0),n.members&&(a.members=new Map(n.members)),n.exports&&(a.exports=new Map(n.exports)),X0(a,n),a}function um(n,a,c=!1){if(!(n.flags&ST(a.flags))||(a.flags|n.flags)&67108864){if(a===n)return n;if(!(n.flags&33554432)){const _=jl(n);if(_===ft)return a;n=TT(_)}a.flags&512&&n.flags&512&&n.constEnumOnlyModule&&!a.constEnumOnlyModule&&(n.constEnumOnlyModule=!1),n.flags|=a.flags,a.valueDeclaration&&YL(n,a.valueDeclaration),Jr(n.declarations,a.declarations),a.members&&(n.members||(n.members=ua()),c_(n.members,a.members,c)),a.exports&&(n.exports||(n.exports=ua()),c_(n.exports,a.exports,c)),c||X0(n,a)}else if(n.flags&1024)n!==at&&Je(a.declarations&&Mo(a.declarations[0]),f.Cannot_augment_module_0_with_value_exports_because_it_resolves_to_a_non_module_entity,bi(n));else{const _=!!(n.flags&384||a.flags&384),y=!!(n.flags&2||a.flags&2),x=_?f.Enum_declarations_can_only_merge_with_namespace_or_other_enum_declarations:y?f.Cannot_redeclare_block_scoped_variable_0:f.Duplicate_identifier_0,k=a.declarations&&jn(a.declarations[0]),z=n.declarations&&jn(n.declarations[0]),H=RL(k,V.checkJs),re=RL(z,V.checkJs),be=bi(a);if(k&&z&&Ss&&!_&&k!==z){const ge=Lg(k.path,z.path)===-1?k:z,Ke=ge===k?z:k,gt=n0(Ss,`${ge.path}|${Ke.path}`,()=>({firstFile:ge,secondFile:Ke,conflictingSymbols:new Map})),Dt=n0(gt.conflictingSymbols,be,()=>({isBlockScoped:y,firstFileLocations:[],secondFileLocations:[]}));H||d(Dt.firstFileLocations,a),re||d(Dt.secondFileLocations,n)}else H||dm(a,x,be,n),re||dm(n,x,be,a)}return n;function d(_,y){if(y.declarations)for(const x of y.declarations)Tf(_,x)}}function dm(n,a,c,d){Ue(n.declarations,_=>{rv(_,a,c,d.declarations)})}function rv(n,a,c,d){const _=(g0(n,!1)?jj(n):Mo(n))||n,y=nx(_,a,c);for(const x of d||et){const k=(g0(x,!1)?jj(x):Mo(x))||x;if(k===_)continue;y.relatedInformation=y.relatedInformation||[];const z=wr(k,f._0_was_also_declared_here,c),H=wr(k,f.and_here);Me(y.relatedInformation)>=5||bt(y.relatedInformation,re=>KC(re,H)===0||KC(re,z)===0)||wa(y,Me(y.relatedInformation)?H:z)}}function ix(n,a){if(!(n!=null&&n.size))return a;if(!(a!=null&&a.size))return n;const c=ua();return c_(c,n),c_(c,a),c}function c_(n,a,c=!1){a.forEach((d,_)=>{const y=n.get(_);n.set(_,y?um(y,d,c):rs(d))})}function iN(n){var a,c,d;const _=n.parent;if(((a=_.symbol.declarations)==null?void 0:a[0])!==_){N.assert(_.symbol.declarations.length>1);return}if(R_(_))c_(Se,_.symbol.exports);else{const y=n.parent.parent.flags&33554432?void 0:f.Invalid_module_name_in_augmentation_module_0_cannot_be_found;let x=sv(n,n,y,!0);if(!x)return;if(x=Np(x),x.flags&1920)if(bt(cm,k=>x===k.symbol)){const k=um(_.symbol,x,!0);gd||(gd=new Map),gd.set(n.text,k)}else{if((c=x.exports)!=null&&c.get("__export")&&((d=_.symbol.exports)!=null&&d.size)){const k=bge(x,"resolvedExports");for(const[z,H]of zo(_.symbol.exports.entries()))k.has(z)&&!x.exports.has(z)&&um(k.get(z),H)}um(x,_.symbol)}else Je(n,f.Cannot_augment_module_0_because_it_resolves_to_a_non_module_entity,n.text)}}function P1(n,a,c){a.forEach((_,y)=>{const x=n.get(y);x?Ue(x.declarations,d(Vi(y),c)):n.set(y,_)});function d(_,y){return x=>ts.add(wr(x,y,_))}}function Xi(n){if(n.flags&33554432)return n.links;const a=Ta(n);return hT[a]??(hT[a]=new Ele)}function ei(n){const a=as(n);return D1[a]||(D1[a]=new wje)}function Mh(n){return n.kind===312&&!zp(n)}function Jd(n,a,c){if(c){const d=rs(n.get(a));if(d&&(N.assert((xl(d)&1)===0,"Should never get an instantiated symbol here."),d.flags&c||d.flags&2097152&&Au(d)&c))return d}}function M2(n,a){const c=n.parent,d=n.parent.parent,_=Jd(c.locals,a,111551),y=Jd(Ob(d.symbol),a,111551);return _&&y?[_,y]:N.fail("There should exist two symbols, one as property declaration and one as parameter declaration")}function iv(n,a){const c=jn(n),d=jn(a),_=hh(n);if(c!==d){if(G&&(c.externalModuleIndicator||d.externalModuleIndicator)||!Ps(V)||kS(a)||n.flags&33554432||x(a,n))return!0;const z=e.getSourceFiles();return z.indexOf(c)<=z.indexOf(d)}if(a.flags&16777216||kS(a)||Zve(a))return!0;if(n.pos<=a.pos&&!(Jo(n)&&FL(a.parent)&&!n.initializer&&!n.exclamationToken)){if(n.kind===208){const z=b0(a,208);return z?Gn(z,Qa)!==Gn(n,Qa)||n.pos<z.pos:iv(b0(n,260),a)}else{if(n.kind===260)return!y(n,a);if(ui(n))return!Gn(a,z=>Za(z)&&z.parent.parent===n);if(Jo(n))return!k(n,a,!1);if(mp(n,n.parent))return!(ye&&su(n)===su(a)&&x(a,n))}return!0}if(a.parent.kind===281||a.parent.kind===277&&a.parent.isExportEquals||a.kind===277&&a.isExportEquals)return!0;if(x(a,n))return ye&&su(n)&&(Jo(n)||mp(n,n.parent))?!k(n,a,!0):!0;return!1;function y(z,H){switch(z.parent.parent.kind){case 243:case 248:case 250:if(L1(H,z,_))return!0;break}const re=z.parent.parent;return nR(re)&&L1(H,re.expression,_)}function x(z,H){return!!Gn(z,re=>{if(re===_)return"quit";if(ea(re))return!0;if(Rl(re))return H.pos<z.pos;const be=ii(re.parent,Jo);if(be&&be.initializer===re){if(sa(re.parent)){if(H.kind===174)return!0;if(Jo(H)&&su(z)===su(H)){const Ke=H.name;if(Ve(Ke)||Ji(Ke)){const gt=dr(Ir(H)),Dt=nr(H.parent.members,Rl);if(Nvt(Ke,gt,Dt,H.parent.pos,re.pos))return!0}}}else if(!(H.kind===172&&!sa(H))||su(z)!==su(H))return!0}return!1})}function k(z,H,re){return H.end>z.end?!1:Gn(H,ge=>{if(ge===z)return"quit";switch(ge.kind){case 219:return!0;case 172:return re&&(Jo(z)&&ge.parent===z.parent||mp(z,z.parent)&&ge.parent===z.parent.parent)?"quit":!0;case 241:switch(ge.parent.kind){case 177:case 174:case 178:return!0;default:return!1}default:return!1}})===void 0}}function L2(n,a,c){const d=os(V),_=a;if(Ao(c)&&_.body&&n.valueDeclaration&&n.valueDeclaration.pos>=_.body.pos&&n.valueDeclaration.end<=_.body.end&&d>=2){const k=ei(_);return k.declarationRequiresScopeChange===void 0&&(k.declarationRequiresScopeChange=Ue(_.parameters,y)||!1),!k.declarationRequiresScopeChange}return!1;function y(k){return x(k.name)||!!k.initializer&&x(k.initializer)}function x(k){switch(k.kind){case 219:case 218:case 262:case 176:return!1;case 174:case 177:case 178:case 303:return x(k.name);case 172:return mc(k)?!ye:x(k.name);default:return ZV(k)||qu(k)?d<7:Qa(k)&&k.dotDotDotToken&&am(k.parent)?d<4:ji(k)?!1:Ho(k,x)||!1}}}function gb(n){return bS(n)&&Og(n.type)||xP(n)&&Og(n.typeExpression)}function bl(n,a,c,d,_,y,x=!1,k=!0){return Up(n,a,c,d,_,y,x,k,Jd)}function Up(n,a,c,d,_,y,x,k,z){var H,re,be;const ge=n;let Ke,gt,Dt,an,cn,ar=!1;const On=n;let ln,zn=!1;e:for(;n;){if(a==="const"&&gb(n))return;if(SL(n)&&gt&&n.name===gt&&(gt=n,n=n.parent),fh(n)&&n.locals&&!Mh(n)&&(Ke=z(n.locals,a,c))){let er=!0;if(ea(n)&&gt&&gt!==n.body?(c&Ke.flags&788968&&gt.kind!==327&&(er=Ke.flags&262144?gt===n.type||gt.kind===169||gt.kind===348||gt.kind===349||gt.kind===168:!1),c&Ke.flags&3&&(L2(Ke,n,gt)?er=!1:Ke.flags&1&&(er=gt.kind===169||gt===n.type&&!!Gn(Ke.valueDeclaration,Ao)))):n.kind===194&&(er=gt===n.trueType),er)break e;Ke=void 0}switch(ar=ar||pm(n,gt),n.kind){case 312:if(!zp(n))break;zn=!0;case 267:const er=((H=Ir(n))==null?void 0:H.exports)||K;if(n.kind===312||Xl(n)&&n.flags&33554432&&!R_(n)){if(Ke=er.get("default")){const Ro=MR(Ke);if(Ro&&Ke.flags&c&&Ro.escapedName===a)break e;Ke=void 0}const tr=er.get(a);if(tr&&tr.flags===2097152&&(fl(tr,281)||fl(tr,280)))break}if(a!=="default"&&(Ke=z(er,a,c&2623475)))if($i(n)&&n.commonJsModuleIndicator&&!((re=Ke.declarations)!=null&&re.some(Zf)))Ke=void 0;else break e;break;case 266:if(Ke=z(((be=Ir(n))==null?void 0:be.exports)||K,a,c&8)){d&&om(V)&&!(n.flags&33554432)&&jn(n)!==jn(Ke.valueDeclaration)&&Je(On,f.Cannot_access_0_from_another_file_without_qualification_when_1_is_enabled_Use_2_instead,Vi(a),$e,`${Vi(gf(n).escapedName)}.${Vi(a)}`);break e}break;case 172:if(!sa(n)){const tr=lv(n.parent);tr&&tr.locals&&z(tr.locals,a,c&111551)&&(N.assertNode(n,Jo),an=n)}break;case 263:case 231:case 264:if(Ke=z(Ir(n).members||K,a,c&788968)){if(!ov(Ke,n)){Ke=void 0;break}if(gt&&sa(gt)){d&&Je(On,f.Static_members_cannot_reference_class_type_parameters);return}break e}if(Zc(n)&&c&32){const tr=n.name;if(tr&&a===tr.escapedText){Ke=n.symbol;break e}}break;case 233:if(gt===n.expression&&n.parent.token===96){const tr=n.parent.parent;if(ui(tr)&&(Ke=z(Ir(tr).members,a,c&788968))){d&&Je(On,f.Base_class_expressions_cannot_reference_class_type_parameters);return}}break;case 167:if(ln=n.parent.parent,(ui(ln)||ln.kind===264)&&(Ke=z(Ir(ln).members,a,c&788968))){d&&Je(On,f.A_computed_property_name_cannot_reference_a_type_parameter_from_its_containing_type);return}break;case 219:if(os(V)>=2)break;case 174:case 176:case 177:case 178:case 262:if(c&3&&a==="arguments"){Ke=Gt;break e}break;case 218:if(c&3&&a==="arguments"){Ke=Gt;break e}if(c&16){const tr=n.name;if(tr&&a===tr.escapedText){Ke=n.symbol;break e}}break;case 170:n.parent&&n.parent.kind===169&&(n=n.parent),n.parent&&($c(n.parent)||n.parent.kind===263)&&(n=n.parent);break;case 353:case 345:case 347:const qn=SR(n);qn&&(n=qn.parent);break;case 169:gt&&(gt===n.initializer||gt===n.name&&ta(gt))&&(cn||(cn=n));break;case 208:gt&&(gt===n.initializer||gt===n.name&&ta(gt))&&HE(n)&&!cn&&(cn=n);break;case 195:if(c&262144){const tr=n.typeParameter.name;if(tr&&a===tr.escapedText){Ke=n.typeParameter.symbol;break e}}break;case 281:gt&&gt===n.propertyName&&n.parent.parent.moduleSpecifier&&(n=n.parent.parent.parent);break}vb(n)&&(Dt=n),gt=n,n=sm(n)?g3(n)||n.parent:(r_(n)||uF(n))&&v0(n)||n.parent}if(y&&Ke&&(!Dt||Ke!==Dt.symbol)&&(Ke.isReferenced|=c),!Ke){if(gt&&(N.assertNode(gt,$i),gt.commonJsModuleIndicator&&a==="exports"&&c&gt.symbol.flags))return gt.symbol;x||(Ke=z(Se,a,c))}if(!Ke&&ge&&lr(ge)&&ge.parent&&Ad(ge.parent,!1))return vt;function Fn(){return an&&!ye?(Je(On,On&&an.type&&sL(an.type,On.pos)?f.Type_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor:f.Initializer_of_instance_member_variable_0_cannot_reference_identifier_1_declared_in_the_constructor,Rs(an.name),Wm(_)),!0):!1}if(Ke){if(d&&Fn())return}else{d&&r(()=>{if(!On||On.parent.kind!==331&&!M1(On,a,_)&&!Fn()&&!AT(On)&&!ox(On,a,c)&&!dy(On,a)&&!IT(On,a,c)&&!oN(On,a,c)&&!yg(On,a,c)){let er,qn;if(_&&(qn=kmt(_),qn&&Je(On,d,Wm(_),qn)),!qn&&k&&QD<ZD&&(er=Oye(ge,a,c),(er==null?void 0:er.valueDeclaration)&&ku(er.valueDeclaration)&&R_(er.valueDeclaration)&&(er=void 0),er)){const Ro=bi(er),Eo=Lye(ge,er,!1),Bo=c===1920||_&&typeof _!="string"&&$s(_)?f.Cannot_find_namespace_0_Did_you_mean_1:Eo?f.Could_not_find_name_0_Did_you_mean_1:f.Cannot_find_name_0_Did_you_mean_1,_o=bT(On,Bo,Wm(_),Ro);s_(!Eo,_o),er.valueDeclaration&&wa(_o,wr(er.valueDeclaration,f._0_is_declared_here,Ro))}!er&&!qn&&_&&Je(On,d,Wm(_)),QD++}});return}return d&&r(()=>{var er;if(On&&(c&2||(c&32||c&384)&&(c&111551)===111551)){const qn=vf(Ke);(qn.flags&2||qn.flags&32||qn.flags&384)&&Zs(qn,On)}if(Ke&&zn&&(c&111551)===111551&&!(ge.flags&16777216)){const qn=rs(Ke);Me(qn.declarations)&&Zn(qn.declarations,tr=>Zk(tr)||$i(tr)&&!!tr.symbol.globalExports)&&_u(!V.allowUmdGlobalAccess,On,f._0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead,Vi(a))}if(Ke&&cn&&!ar&&(c&111551)===111551){const qn=rs(jZ(Ke)),tr=C_(cn);qn===Ir(cn)?Je(On,f.Parameter_0_cannot_reference_itself,Rs(cn.name)):qn.valueDeclaration&&qn.valueDeclaration.pos>cn.pos&&tr.parent.locals&&z(tr.parent.locals,qn.escapedName,c)===qn&&Je(On,f.Parameter_0_cannot_reference_identifier_1_declared_after_it,Rs(cn.name),Rs(On))}if(Ke&&On&&c&111551&&Ke.flags&2097152&&!(Ke.flags&111551)&&!T0(On)){const qn=Ff(Ke,111551);if(qn){const tr=qn.kind===281||qn.kind===278||qn.kind===280?f._0_cannot_be_used_as_a_value_because_it_was_exported_using_export_type:f._0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type,Ro=Vi(a);gg(Je(On,tr,Ro),qn,Ro)}}if(V.isolatedModules&&Ke&&zn&&(c&111551)===111551){const tr=z(Se,a,c)===Ke&&$i(gt)&&gt.locals&&z(gt.locals,a,-111552);if(tr){const Ro=(er=tr.declarations)==null?void 0:er.find(Eo=>Eo.kind===276||Eo.kind===273||Eo.kind===274||Eo.kind===271);Ro&&!_L(Ro)&&Je(Ro,f.Import_0_conflicts_with_global_value_used_in_this_file_so_must_be_declared_with_a_type_only_import_when_isolatedModules_is_enabled,Vi(a))}}}),Ke}function gg(n,a,c){return a?wa(n,wr(a,a.kind===281||a.kind===278||a.kind===280?f._0_was_exported_here:f._0_was_imported_here,c)):n}function pm(n,a){return n.kind!==219&&n.kind!==218?lI(n)||(Bs(n)||n.kind===172&&!sa(n))&&(!a||a!==n.name):a&&a===n.name?!1:n.asteriskToken||Zr(n,1024)?!0:!xS(n)}function vb(n){switch(n.kind){case 262:case 263:case 264:case 266:case 265:case 267:return!0;default:return!1}}function Wm(n){return Po(n)?Vi(n):Rs(n)}function ov(n,a){if(n.declarations){for(const c of n.declarations)if(c.kind===168&&(sm(c.parent)?CS(c.parent):c.parent)===a)return!(sm(c.parent)&&An(c.parent.parent.tags,Zf))}return!1}function M1(n,a,c){if(!Ve(n)||n.escapedText!==a||ZFe(n)||kS(n))return!1;const d=Fd(n,!1,!1);let _=d;for(;_;){if(ui(_.parent)){const y=Ir(_.parent);if(!y)break;const x=dr(y);if(ya(x,a))return Je(n,f.Cannot_find_name_0_Did_you_mean_the_static_member_1_0,Wm(c),bi(y)),!0;if(_===d&&!sa(_)){const k=el(y).thisType;if(ya(k,a))return Je(n,f.Cannot_find_name_0_Did_you_mean_the_instance_member_this_0,Wm(c)),!0}}_=_.parent}return!1}function AT(n){const a=vg(n);return a&&Hs(a,64,!0)?(Je(n,f.Cannot_extend_an_interface_0_Did_you_mean_implements,fc(a)),!0):!1}function vg(n){switch(n.kind){case 80:case 211:return n.parent?vg(n.parent):void 0;case 233:if(Gl(n.expression))return n.expression;default:return}}function ox(n,a,c){const d=1920|(lr(n)?111551:0);if(c===d){const _=jl(bl(n,a,788968&~d,void 0,void 0,!1)),y=n.parent;if(_){if(xd(y)){N.assert(y.left===n,"Should only be resolving left side of qualified name as a namespace");const x=y.right.escapedText;if(ya(el(_),x))return Je(y,f.Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_property_1_in_0_with_0_1,Vi(a),Vi(x)),!0}return Je(n,f._0_only_refers_to_a_type_but_is_being_used_as_a_namespace_here,Vi(a)),!0}}return!1}function yg(n,a,c){if(c&788584){const d=jl(bl(n,a,111127,void 0,void 0,!1));if(d&&!(d.flags&1920))return Je(n,f._0_refers_to_a_value_but_is_being_used_as_a_type_here_Did_you_mean_typeof_0,Vi(a)),!0}return!1}function q_(n){return n==="any"||n==="string"||n==="number"||n==="boolean"||n==="never"||n==="unknown"}function dy(n,a){return q_(a)&&n.parent.kind===281?(Je(n,f.Cannot_export_0_Only_local_declarations_can_be_exported_from_a_module,a),!0):!1}function oN(n,a,c){if(c&111551){if(q_(a)){const y=n.parent.parent;if(y&&y.parent&&of(y)){const x=y.token,k=y.parent.kind;k===264&&x===96?Je(n,f.An_interface_cannot_extend_a_primitive_type_like_0_It_can_only_extend_other_named_object_types,Vi(a)):k===263&&x===96?Je(n,f.A_class_cannot_extend_a_primitive_type_like_0_Classes_can_only_extend_constructable_values,Vi(a)):k===263&&x===119&&Je(n,f.A_class_cannot_implement_a_primitive_type_like_0_It_can_only_implement_other_named_object_types,Vi(a))}else Je(n,f._0_only_refers_to_a_type_but_is_being_used_as_a_value_here,Vi(a));return!0}const d=jl(bl(n,a,788544,void 0,void 0,!1)),_=d&&Au(d);if(d&&_!==void 0&&!(_&111551)){const y=Vi(a);return sN(a)?Je(n,f._0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_es2015_or_later,y):aN(n,d)?Je(n,f._0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Did_you_mean_to_use_1_in_0,y,y==="K"?"P":"K"):Je(n,f._0_only_refers_to_a_type_but_is_being_used_as_a_value_here,y),!0}}return!1}function aN(n,a){const c=Gn(n.parent,d=>Za(d)||yp(d)?!1:Ep(d)||"quit");if(c&&c.members.length===1){const d=el(a);return!!(d.flags&1048576)&&vB(d,384,!0)}return!1}function sN(n){switch(n){case"Promise":case"Symbol":case"Map":case"WeakMap":case"Set":case"WeakSet":return!0}return!1}function IT(n,a,c){if(c&111127){if(jl(bl(n,a,1024,void 0,void 0,!1)))return Je(n,f.Cannot_use_namespace_0_as_a_value,Vi(a)),!0}else if(c&788544&&jl(bl(n,a,1536,void 0,void 0,!1)))return Je(n,f.Cannot_use_namespace_0_as_a_type,Vi(a)),!0;return!1}function Zs(n,a){var c;if(N.assert(!!(n.flags&2||n.flags&32||n.flags&384)),n.flags&67108881&&n.flags&32)return;const d=(c=n.declarations)==null?void 0:c.find(_=>Sj(_)||ui(_)||_.kind===266);if(d===void 0)return N.fail("checkResolvedBlockScopedVariable could not find block-scoped declaration");if(!(d.flags&33554432)&&!iv(d,a)){let _;const y=Rs(Mo(d));n.flags&2?_=Je(a,f.Block_scoped_variable_0_used_before_its_declaration,y):n.flags&32?_=Je(a,f.Class_0_used_before_its_declaration,y):n.flags&256?_=Je(a,f.Enum_0_used_before_its_declaration,y):(N.assert(!!(n.flags&128)),om(V)&&(_=Je(a,f.Enum_0_used_before_its_declaration,y))),_&&wa(_,wr(d,f._0_is_declared_here,y))}}function L1(n,a,c){return!!a&&!!Gn(n,d=>d===a||(d===c||ea(d)&&(!xS(d)||Vc(d)&3)?"quit":!1))}function xT(n){switch(n.kind){case 271:return n;case 273:return n.parent;case 274:return n.parent.parent;case 276:return n.parent.parent.parent;default:return}}function Fm(n){return n.declarations&&Oo(n.declarations,yb)}function yb(n){return n.kind===271||n.kind===270||n.kind===273&&!!n.name||n.kind===274||n.kind===280||n.kind===276||n.kind===281||n.kind===277&&TR(n)||mr(n)&&Bl(n)===2&&TR(n)||ws(n)&&mr(n.parent)&&n.parent.left===n&&n.parent.operatorToken.kind===64&&lN(n.parent.right)||n.kind===304||n.kind===303&&lN(n.initializer)||n.kind===260&&GE(n)||n.kind===208&&GE(n.parent.parent)}function lN(n){return ok(n)||Os(n)&&nh(n)}function RT(n,a){const c=Eb(n);if(c){const _=kR(c.expression).arguments[0];return Ve(c.name)?jl(ya(G8e(_),c.name.escapedText)):void 0}if(wi(n)||n.moduleReference.kind===283){const _=vd(n,Bj(n)||xC(n)),y=Np(_);return sp(n,_,y,!1),y}const d=sx(n.moduleReference,a);return k2(n,d),d}function k2(n,a){if(sp(n,void 0,a,!1)&&!n.isTypeOnly){const c=Ff(Ir(n)),d=c.kind===281||c.kind===278,_=d?f.An_import_alias_cannot_reference_a_declaration_that_was_exported_using_export_type:f.An_import_alias_cannot_reference_a_declaration_that_was_imported_using_import_type,y=d?f._0_was_exported_here:f._0_was_imported_here,x=c.kind===278?"*":Vi(c.name.escapedText);wa(Je(n.moduleReference,_),wr(c,y,x))}}function hr(n,a,c,d){const _=n.exports.get("export="),y=_?ya(dr(_),a,!0):n.exports.get(a),x=jl(y,d);return sp(c,y,x,!1),x}function ru(n){return kl(n)&&!n.isExportEquals||Zr(n,2048)||Ku(n)||Th(n)}function to(n){return ls(n)?e.getModeForUsageLocation(jn(n),n):void 0}function hf(n,a){return n===99&&a===1}function py(n){return to(n)===99&&Al(n.text,".json")}function J_(n,a,c,d){const _=n&&to(d);if(n&&_!==void 0&&100<=G&&G<=199){const y=hf(_,n.impliedNodeFormat);if(_===99||y)return y}if(!$)return!1;if(!n||n.isDeclarationFile){const y=hr(a,"default",void 0,!0);return!(y&&bt(y.declarations,ru)||hr(a,hl("__esModule"),void 0,c))}return dd(n)?typeof n.externalModuleIndicator!="object"&&!hr(a,hl("__esModule"),void 0,c):fy(a)}function ur(n,a){const c=vd(n,n.parent.moduleSpecifier);if(c)return DT(c,n,a)}function DT(n,a,c){var d;let _;EC(n)?_=n:_=hr(n,"default",a,c);const y=(d=n.declarations)==null?void 0:d.find($i),x=Y0(a);if(!x)return _;const k=py(x),z=J_(y,n,c,x);if(!_&&!z&&!k)if(fy(n)&&!$){const H=G>=5?"allowSyntheticDefaultImports":"esModuleInterop",be=n.exports.get("export=").valueDeclaration,ge=Je(a.name,f.Module_0_can_only_be_default_imported_using_the_1_flag,bi(n),H);be&&wa(ge,wr(be,f.This_module_is_declared_with_export_and_can_only_be_used_with_a_default_import_when_using_the_0_flag,H))}else Sh(a)?Kc(n,a):NT(n,n,a,CA(a)&&a.propertyName||a.name);else if(z||k){const H=Np(n,c)||jl(n,c);return sp(a,n,H,!1),H}return sp(a,_,void 0,!1),_}function Y0(n){switch(n.kind){case 273:return n.parent.moduleSpecifier;case 271:return Ah(n.moduleReference)?n.moduleReference.expression:void 0;case 274:return n.parent.parent.moduleSpecifier;case 276:return n.parent.parent.parent.moduleSpecifier;case 281:return n.parent.parent.moduleSpecifier;default:return N.assertNever(n)}}function Kc(n,a){var c,d,_;if((c=n.exports)!=null&&c.has(a.symbol.escapedName))Je(a.name,f.Module_0_has_no_default_export_Did_you_mean_to_use_import_1_from_0_instead,bi(n),bi(a.symbol));else{const y=Je(a.name,f.Module_0_has_no_default_export,bi(n)),x=(d=n.exports)==null?void 0:d.get("__export");if(x){const k=(_=x.declarations)==null?void 0:_.find(z=>{var H,re;return!!(Yl(z)&&z.moduleSpecifier&&((re=(H=vd(z,z.moduleSpecifier))==null?void 0:H.exports)!=null&&re.has("default")))});k&&wa(y,wr(k,f.export_Asterisk_does_not_re_export_a_default))}}}function Lh(n,a){const c=n.parent.parent.moduleSpecifier,d=vd(n,c),_=lp(d,c,a,!1);return sp(n,d,_,!1),_}function zm(n,a){const c=n.parent.moduleSpecifier,d=c&&vd(n,c),_=c&&lp(d,c,a,!1);return sp(n,d,_,!1),_}function k1(n,a){if(n===ft&&a===ft)return ft;if(n.flags&790504)return n;const c=Xa(n.flags|a.flags,n.escapedName);return N.assert(n.declarations||a.declarations),c.declarations=DE(So(n.declarations,a.declarations),Mv),c.parent=n.parent||a.parent,n.valueDeclaration&&(c.valueDeclaration=n.valueDeclaration),a.members&&(c.members=new Map(a.members)),n.exports&&(c.exports=new Map(n.exports)),c}function w1(n,a,c,d){var _;if(n.flags&1536){const y=Cp(n).get(a.escapedText),x=jl(y,d),k=(_=Xi(n).typeOnlyExportStarMap)==null?void 0:_.get(a.escapedText);return sp(c,y,x,!1,k,a.escapedText),x}}function bb(n,a){if(n.flags&3){const c=n.valueDeclaration.type;if(c)return jl(ya(Ei(c),a))}}function av(n,a,c=!1){var d;const _=Bj(n)||n.moduleSpecifier,y=vd(n,_),x=!Fr(a)&&a.propertyName||a.name;if(!Ve(x))return;const k=x.escapedText==="default"&&$,z=lp(y,_,!1,k);if(z&&x.escapedText){if(EC(y))return y;let H;y&&y.exports&&y.exports.get("export=")?H=ya(dr(z),x.escapedText,!0):H=bb(z,x.escapedText),H=jl(H,c);let re=w1(z,x,a,c);if(re===void 0&&x.escapedText==="default"){const ge=(d=y.declarations)==null?void 0:d.find($i);(py(_)||J_(ge,y,c,_))&&(re=Np(y,c)||jl(y,c))}const be=re&&H&&re!==H?k1(H,re):re||H;return be||NT(y,z,n,x),be}}function NT(n,a,c,d){var _;const y=qp(n,c),x=Rs(d),k=Zee(d,a);if(k!==void 0){const z=bi(k),H=Je(d,f._0_has_no_exported_member_named_1_Did_you_mean_2,y,x,z);k.valueDeclaration&&wa(H,wr(k.valueDeclaration,f._0_is_declared_here,z))}else(_=n.exports)!=null&&_.has("default")?Je(d,f.Module_0_has_no_exported_member_1_Did_you_mean_to_use_import_1_from_0_instead,y,x):Bm(c,d,x,n,y)}function Bm(n,a,c,d,_){var y,x;const k=(x=(y=ii(d.valueDeclaration,fh))==null?void 0:y.locals)==null?void 0:x.get(a.escapedText),z=d.exports;if(k){const H=z==null?void 0:z.get("export=");if(H)u_(H,k)?$0(n,a,c,_):Je(a,f.Module_0_has_no_exported_member_1,_,c);else{const re=z?An(Oge(z),ge=>!!u_(ge,k)):void 0,be=re?Je(a,f.Module_0_declares_1_locally_but_it_is_exported_as_2,_,c,bi(re)):Je(a,f.Module_0_declares_1_locally_but_it_is_not_exported,_,c);k.declarations&&wa(be,...wt(k.declarations,(ge,Ke)=>wr(ge,Ke===0?f._0_is_declared_here:f.and_here,c)))}}else Je(a,f.Module_0_has_no_exported_member_1,_,c)}function $0(n,a,c,d){if(G>=5){const _=yh(V)?f._0_can_only_be_imported_by_using_a_default_import:f._0_can_only_be_imported_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import;Je(a,_,c)}else if(lr(n)){const _=yh(V)?f._0_can_only_be_imported_by_using_a_require_call_or_by_using_a_default_import:f._0_can_only_be_imported_by_using_a_require_call_or_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import;Je(a,_,c)}else{const _=yh(V)?f._0_can_only_be_imported_by_using_import_1_require_2_or_a_default_import:f._0_can_only_be_imported_by_using_import_1_require_2_or_by_turning_on_the_esModuleInterop_flag_and_using_a_default_import;Je(a,_,c,c,d)}}function bg(n,a){if(np(n)&&Sr(n.propertyName||n.name)==="default"){const x=Y0(n),k=x&&vd(n,x);if(k)return DT(k,n,a)}const c=Qa(n)?C_(n):n.parent.parent.parent,d=Eb(c),_=av(c,d||n,a),y=n.propertyName||n.name;return d&&_&&Ve(y)?jl(ya(dr(_),y.escapedText),a):(sp(n,void 0,_,!1),_)}function Eb(n){if(wi(n)&&n.initializer&&Fr(n.initializer))return n.initializer}function ax(n,a){if(x_(n.parent)){const c=Np(n.parent.symbol,a);return sp(n,void 0,c,!1),c}}function Q0(n,a,c){if(Sr(n.propertyName||n.name)==="default"){const _=Y0(n),y=_&&vd(n,_);if(y)return DT(y,n,!!c)}const d=n.parent.parent.moduleSpecifier?av(n.parent.parent,n,c):Hs(n.propertyName||n.name,a,!1,c);return sp(n,void 0,d,!1),d}function cN(n,a){const c=kl(n)?n.expression:n.right,d=O1(c,a);return sp(n,void 0,d,!1),d}function O1(n,a){if(Zc(n))return nc(n).symbol;if(!Zd(n)&&!Gl(n))return;const c=Hs(n,901119,!0,a);return c||(nc(n),ei(n).resolvedSymbol)}function CT(n,a){if(mr(n.parent)&&n.parent.left===n&&n.parent.operatorToken.kind===64)return O1(n.parent.right,a)}function Hp(n,a=!1){switch(n.kind){case 271:case 260:return RT(n,a);case 273:return ur(n,a);case 274:return Lh(n,a);case 280:return zm(n,a);case 276:case 208:return bg(n,a);case 281:return Q0(n,901119,a);case 277:case 226:return cN(n,a);case 270:return ax(n,a);case 304:return Hs(n.name,901119,!0,a);case 303:return O1(n.initializer,a);case 212:case 211:return CT(n,a);default:return N.fail()}}function PT(n,a=901119){return n?(n.flags&(2097152|a))===2097152||!!(n.flags&2097152&&n.flags&67108864):!1}function jl(n,a){return!a&&PT(n)?Fc(n):n}function Fc(n){N.assert((n.flags&2097152)!==0,"Should only get Alias here.");const a=Xi(n);if(a.aliasTarget)a.aliasTarget===Ct&&(a.aliasTarget=ft);else{a.aliasTarget=Ct;const c=Fm(n);if(!c)return N.fail();const d=Hp(c);a.aliasTarget===Ct?a.aliasTarget=d||ft:Je(c,f.Circular_definition_of_import_alias_0,bi(n))}return a.aliasTarget}function MT(n){if(Xi(n).aliasTarget!==Ct)return Fc(n)}function Au(n,a,c){const d=a&&Ff(n),_=d&&Yl(d),y=d&&(_?vd(d.moduleSpecifier,d.moduleSpecifier,!0):Fc(d.symbol)),x=_&&y?kh(y):void 0;let k=c?0:n.flags,z;for(;n.flags&2097152;){const H=vf(Fc(n));if(!_&&H===y||(x==null?void 0:x.get(H.escapedName))===H)break;if(H===ft)return-1;if(H===n||z!=null&&z.has(H))break;H.flags&2097152&&(z?z.add(H):z=new Set([n,H])),k|=H.flags,n=H}return k}function sp(n,a,c,d,_,y){if(!n||Fr(n))return!1;const x=Ir(n);if(m0(n)){const z=Xi(x);return z.typeOnlyDeclaration=n,!0}if(_){const z=Xi(x);return z.typeOnlyDeclaration=_,x.escapedName!==y&&(z.typeOnlyExportStarName=y),!0}const k=Xi(x);return Z0(k,a,d)||Z0(k,c,d)}function Z0(n,a,c){var d;if(a&&(n.typeOnlyDeclaration===void 0||c&&n.typeOnlyDeclaration===!1)){const _=((d=a.exports)==null?void 0:d.get("export="))??a,y=_.declarations&&An(_.declarations,m0);n.typeOnlyDeclaration=y??Xi(_).typeOnlyDeclaration??!1}return!!n.typeOnlyDeclaration}function Ff(n,a){if(!(n.flags&2097152))return;const c=Xi(n);if(a===void 0)return c.typeOnlyDeclaration||void 0;if(c.typeOnlyDeclaration){const d=c.typeOnlyDeclaration.kind===278?jl(kh(c.typeOnlyDeclaration.symbol.parent).get(c.typeOnlyExportStarName||n.escapedName)):Fc(c.typeOnlyDeclaration.symbol);return Au(d)&a?c.typeOnlyDeclaration:void 0}}function Sb(n){if(!It)return;const a=Ir(n),c=Fc(a);c&&(c===ft||Au(a,!0)&111551&&!u6(c))&&Tb(a)}function Tb(n){N.assert(It);const a=Xi(n);if(!a.referenced){a.referenced=!0;const c=Fm(n);if(!c)return N.fail();hR(c)&&Au(jl(n))&111551&&nc(c.moduleReference)}}function iu(n){const a=Xi(n);a.constEnumReferenced||(a.constEnumReferenced=!0)}function sx(n,a){return n.kind===80&&VC(n)&&(n=n.parent),n.kind===80||n.parent.kind===166?Hs(n,1920,!1,a):(N.assert(n.parent.kind===271),Hs(n,901119,!1,a))}function qp(n,a){return n.parent?qp(n.parent,a)+"."+bi(n):bi(n,a,void 0,36)}function LT(n){for(;xd(n.parent);)n=n.parent;return n}function kT(n){let a=Vp(n),c=bl(a,a.escapedText,111551,void 0,a,!0);if(c){for(;xd(a.parent);){const d=dr(c);if(c=ya(d,a.parent.right.escapedText),!c)return;a=a.parent}return c}}function Hs(n,a,c,d,_){if(zl(n))return;const y=1920|(lr(n)?a&111551:0);let x;if(n.kind===80){const k=a===y||$s(n)?f.Cannot_find_namespace_0:k4e(Vp(n)),z=lr(n)&&!$s(n)?uN(n,a):void 0;if(x=rs(bl(_||n,n.escapedText,a,c||z?void 0:k,n,!0,!1)),!x)return rs(z)}else if(n.kind===166||n.kind===211){const k=n.kind===166?n.left:n.expression,z=n.kind===166?n.right:n.name;let H=Hs(k,y,c,!1,_);if(!H||zl(z))return;if(H===ft)return H;if(H.valueDeclaration&&lr(H.valueDeclaration)&&md(V)!==100&&wi(H.valueDeclaration)&&H.valueDeclaration.initializer&&vWe(H.valueDeclaration.initializer)){const re=H.valueDeclaration.initializer.arguments[0],be=vd(re,re);if(be){const ge=Np(be);ge&&(H=ge)}}if(x=rs(Jd(Cp(H),z.escapedText,a)),!x&&H.flags&2097152&&(x=rs(Jd(Cp(Fc(H)),z.escapedText,a))),!x){if(!c){const re=qp(H),be=Rs(z),ge=Zee(z,H);if(ge){Je(z,f._0_has_no_exported_member_named_1_Did_you_mean_2,re,be,bi(ge));return}const Ke=xd(n)&&LT(n);if(Ce&&a&788968&&Ke&&!KR(Ke.parent)&&kT(Ke)){Je(Ke,f._0_refers_to_a_value_but_is_being_used_as_a_type_here_Did_you_mean_typeof_0,_p(Ke));return}if(a&1920&&xd(n.parent)){const Dt=rs(Jd(Cp(H),z.escapedText,788968));if(Dt){Je(n.parent.right,f.Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_property_1_in_0_with_0_1,bi(Dt),Vi(n.parent.right.escapedText));return}}Je(z,f.Namespace_0_has_no_exported_member_1,re,be)}return}}else N.assertNever(n,"Unknown entity name kind.");return N.assert((xl(x)&1)===0,"Should never get an instantiated symbol here."),!$s(n)&&Zd(n)&&(x.flags&2097152||n.parent.kind===277)&&sp(Qj(n),x,void 0,!0),x.flags&a||d?x:Fc(x)}function uN(n,a){if(eee(n.parent)){const c=eE(n.parent);if(c)return bl(c,n.escapedText,a,void 0,n,!0)}}function eE(n){if(Gn(n,_=>rR(_)||_.flags&16777216?Zf(_):"quit"))return;const c=CS(n);if(c&&eu(c)&&XL(c.expression)){const _=Ir(c.expression.left);if(_)return W1(_)}if(c&&Os(c)&&XL(c.parent)&&eu(c.parent.parent)){const _=Ir(c.parent.left);if(_)return W1(_)}if(c&&(xm(c)||hc(c))&&mr(c.parent.parent)&&Bl(c.parent.parent)===6){const _=Ir(c.parent.parent.left);if(_)return W1(_)}const d=y0(n);if(d&&ea(d)){const _=Ir(d);return _&&_.valueDeclaration}}function W1(n){const a=n.parent.valueDeclaration;return a?(RC(a)?OA(a):ES(a)?UL(a):void 0)||a:void 0}function w2(n){const a=n.valueDeclaration;if(!a||!lr(a)||n.flags&524288||g0(a,!1))return;const c=wi(a)?UL(a):OA(a);if(c){const d=gf(c);if(d)return Hye(d,n)}}function vd(n,a,c){const _=md(V)===1?f.Cannot_find_module_0_Did_you_mean_to_set_the_moduleResolution_option_to_nodenext_or_to_add_aliases_to_the_paths_option:f.Cannot_find_module_0_or_its_corresponding_type_declarations;return sv(n,a,c?void 0:_)}function sv(n,a,c,d=!1){return ls(a)?K_(n,a.text,c,a,d):void 0}function K_(n,a,c,d,_=!1){var y,x,k,z,H,re,be,ge,Ke,gt,Dt;if(uo(a,"@types/")){const tr=f.Cannot_import_type_declaration_files_Consider_importing_0_instead_of_1,Ro=QN(a,"@types/");Je(d,tr,Ro,a)}const an=qZ(a,!0);if(an)return an;const cn=jn(n),ar=ls(n)?n:((y=Xl(n)?n:n.parent&&Xl(n.parent)&&n.parent.name===n?n.parent:void 0)==null?void 0:y.name)||((x=Vy(n)?n:void 0)==null?void 0:x.argument.literal)||(wi(n)&&n.initializer&&Ad(n.initializer,!0)?n.initializer.arguments[0]:void 0)||((k=Gn(n,Bp))==null?void 0:k.arguments[0])||((z=Gn(n,kc))==null?void 0:z.moduleSpecifier)||((H=Gn(n,h0))==null?void 0:H.moduleReference.expression)||((re=Gn(n,Yl))==null?void 0:re.moduleSpecifier),On=ar&&ls(ar)?e.getModeForUsageLocation(cn,ar):cn.impliedNodeFormat,ln=md(V),zn=(be=e.getResolvedModule(cn,a,On))==null?void 0:be.resolvedModule,Fn=zn&&KJ(V,zn,cn),er=zn&&(!Fn||Fn===f.Module_0_was_resolved_to_1_but_jsx_is_not_set)&&e.getSourceFile(zn.resolvedFileName);if(er){if(Fn&&Je(d,Fn,a,zn.resolvedFileName),zn.resolvedUsingTsExtension&&Su(a)){const tr=((ge=Gn(n,kc))==null?void 0:ge.importClause)||Gn(n,Ym(tu,Yl));(tr&&!tr.isTypeOnly||Gn(n,Bp))&&Je(d,f.A_declaration_file_cannot_be_imported_without_import_type_Did_you_mean_to_import_an_implementation_file_0_instead,qn(N.checkDefined(k3(a))))}else if(zn.resolvedUsingTsExtension&&!fD(V,cn.fileName)){const tr=((Ke=Gn(n,kc))==null?void 0:Ke.importClause)||Gn(n,Ym(tu,Yl));if(!(tr!=null&&tr.isTypeOnly||Gn(n,ug))){const Ro=N.checkDefined(k3(a));Je(d,f.An_import_path_can_only_end_with_a_0_extension_when_allowImportingTsExtensions_is_enabled,Ro)}}if(er.symbol){if(zn.isExternalLibraryImport&&!$C(zn.extension)&&lx(!1,d,cn,On,zn,a),ln===3||ln===99){const tr=cn.impliedNodeFormat===1&&!Gn(n,Bp)||!!Gn(n,tu),Ro=Gn(n,Eo=>ug(Eo)||Yl(Eo)||kc(Eo));if(tr&&er.impliedNodeFormat===99&&!lae(Ro))if(Gn(n,tu))Je(d,f.Module_0_cannot_be_imported_using_this_construct_The_specifier_only_resolves_to_an_ES_module_which_cannot_be_imported_with_require_Use_an_ECMAScript_import_instead,a);else{let Eo;const Bo=jg(cn.fileName);if(Bo===".ts"||Bo===".js"||Bo===".tsx"||Bo===".jsx"){const _o=cn.packageJsonScope,Cc=Bo===".ts"?".mts":Bo===".js"?".mjs":void 0;_o&&!_o.contents.packageJsonContent.type?Cc?Eo=jo(void 0,f.To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_add_the_field_type_Colon_module_to_1,Cc,Qr(_o.packageDirectory,"package.json")):Eo=jo(void 0,f.To_convert_this_file_to_an_ECMAScript_module_add_the_field_type_Colon_module_to_0,Qr(_o.packageDirectory,"package.json")):Cc?Eo=jo(void 0,f.To_convert_this_file_to_an_ECMAScript_module_change_its_file_extension_to_0_or_create_a_local_package_json_file_with_type_Colon_module,Cc):Eo=jo(void 0,f.To_convert_this_file_to_an_ECMAScript_module_create_a_local_package_json_file_with_type_Colon_module)}ts.add(Fg(jn(d),d,jo(Eo,f.The_current_file_is_a_CommonJS_module_whose_imports_will_produce_require_calls_however_the_referenced_file_is_an_ECMAScript_module_and_cannot_be_imported_with_require_Consider_writing_a_dynamic_import_0_call_instead,a)))}}return rs(er.symbol)}c&&Je(d,f.File_0_is_not_a_module,er.fileName);return}if(cm){const tr=SG(cm,Ro=>Ro.pattern,a);if(tr){const Ro=gd&&gd.get(a);return rs(Ro||tr.symbol)}}if(zn&&!$C(zn.extension)&&Fn===void 0||Fn===f.Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type){if(_){const tr=f.Invalid_module_name_in_augmentation_Module_0_resolves_to_an_untyped_module_at_1_which_cannot_be_augmented;Je(d,tr,a,zn.resolvedFileName)}else lx(pe&&!!c,d,cn,On,zn,a);return}if(c){if(zn){const tr=e.getProjectReferenceRedirect(zn.resolvedFileName);if(tr){Je(d,f.Output_file_0_has_not_been_built_from_source_file_1,tr,zn.resolvedFileName);return}}if(Fn)Je(d,Fn,a,zn.resolvedFileName);else{const tr=Wp(a)&&!xA(a),Ro=ln===3||ln===99;if(!A0(V)&&Il(a,".json")&&ln!==1&&U3(V))Je(d,f.Cannot_find_module_0_Consider_using_resolveJsonModule_to_import_module_with_json_extension,a);else if(On===99&&Ro&&tr){const Eo=go(a,ai(cn.path)),Bo=(gt=rN.find(([_o,Cc])=>e.fileExists(Eo+_o)))==null?void 0:gt[1];Bo?Je(d,f.Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_node16_or_nodenext_Did_you_mean_0,a+Bo):Je(d,f.Relative_import_paths_need_explicit_file_extensions_in_ECMAScript_imports_when_moduleResolution_is_node16_or_nodenext_Consider_adding_an_extension_to_the_import_path)}else if((Dt=e.getResolvedModule(cn,a,On))!=null&&Dt.alternateResult){const Eo=z4(cn,e,a,On,a);_u(!0,d,jo(Eo,c,a))}else Je(d,c,a)}}return;function qn(tr){const Ro=Tk(a,tr);if(j3(G)||On===99){const Eo=Su(a)&&fD(V);return Ro+(tr===".mts"||tr===".d.mts"?Eo?".mts":".mjs":tr===".cts"||tr===".d.mts"?Eo?".cts":".cjs":Eo?".ts":".js")}return Ro}}function lx(n,a,c,d,{packageId:_,resolvedFileName:y},x){let k;!Yc(x)&&_&&(k=z4(c,e,x,d,_.name)),_u(n,a,jo(k,f.Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type,x,y))}function Np(n,a){if(n!=null&&n.exports){const c=jl(n.exports.get("export="),a),d=cx(rs(c),rs(n));return rs(d)||n}}function cx(n,a){if(!n||n===ft||n===a||a.exports.size===1||n.flags&2097152)return n;const c=Xi(n);if(c.cjsExportMerged)return c.cjsExportMerged;const d=n.flags&33554432?n:TT(n);return d.flags=d.flags|512,d.exports===void 0&&(d.exports=ua()),a.exports.forEach((_,y)=>{y!=="export="&&d.exports.set(y,d.exports.has(y)?um(d.exports.get(y),_):_)}),d===n&&(Xi(d).resolvedExports=void 0,Xi(d).resolvedMembers=void 0),Xi(d).cjsExportMerged=d,c.cjsExportMerged=d}function lp(n,a,c,d){var _;const y=Np(n,c);if(!c&&y){if(!d&&!(y.flags&1539)&&!fl(y,312)){const k=G>=5?"allowSyntheticDefaultImports":"esModuleInterop";return Je(a,f.This_module_can_only_be_referenced_with_ECMAScript_imports_Slashexports_by_turning_on_the_0_flag_and_referencing_its_default_export,k),y}const x=a.parent;if(kc(x)&&bR(x)||Bp(x)){const k=Bp(x)?x.arguments[0]:x.moduleSpecifier,z=dr(y),H=hWe(z,y,n,k);if(H)return ux(y,H,x);const re=(_=n==null?void 0:n.declarations)==null?void 0:_.find($i),be=re&&hf(to(k),re.impliedNodeFormat);if(yh(V)||be){let ge=S9(z,0);if((!ge||!ge.length)&&(ge=S9(z,1)),ge&&ge.length||ya(z,"default",!0)||be){const Ke=z.flags&3670016?gWe(z,y,n,k):qye(y,y.parent);return ux(y,Ke,x)}}}}return y}function ux(n,a,c){const d=Xa(n.flags,n.escapedName);d.declarations=n.declarations?n.declarations.slice():[],d.parent=n.parent,d.links.target=n,d.links.originatingImport=c,n.valueDeclaration&&(d.valueDeclaration=n.valueDeclaration),n.constEnumOnlyModule&&(d.constEnumOnlyModule=!0),n.members&&(d.members=new Map(n.members)),n.exports&&(d.exports=new Map(n.exports));const _=__(a);return d.links.type=Ls(d,_.members,et,et,_.indexInfos),d}function fy(n){return n.exports.get("export=")!==void 0}function wT(n){return Oge(kh(n))}function dN(n){const a=wT(n),c=Np(n);if(c!==n){const d=dr(c);Ab(d)&&Jr(a,gs(d))}return a}function pN(n,a){kh(n).forEach((_,y)=>{B1(y)||a(_,y)});const d=Np(n);if(d!==n){const _=dr(d);Ab(_)&&rct(_,(y,x)=>{a(y,x)})}}function OT(n,a){const c=kh(a);if(c)return c.get(n)}function fN(n,a){const c=OT(n,a);if(c)return c;const d=Np(a);if(d===a)return;const _=dr(d);return Ab(_)?ya(_,n):void 0}function Ab(n){return!(n.flags&402784252||Wr(n)&1||qf(n)||Ba(n))}function Cp(n){return n.flags&6256?bge(n,"resolvedExports"):n.flags&1536?kh(n):n.exports||K}function kh(n){const a=Xi(n);if(!a.resolvedExports){const{exports:c,typeOnlyExportStarMap:d}=WT(n);a.resolvedExports=c,a.typeOnlyExportStarMap=d}return a.resolvedExports}function mN(n,a,c,d){a&&a.forEach((_,y)=>{if(y==="default")return;const x=n.get(y);if(!x)n.set(y,_),c&&d&&c.set(y,{specifierText:fc(d.moduleSpecifier)});else if(c&&d&&x&&jl(x)!==jl(_)){const k=c.get(y);k.exportsWithDuplicate?k.exportsWithDuplicate.push(d):k.exportsWithDuplicate=[d]}})}function WT(n){const a=[];let c;const d=new Set;n=Np(n);const _=y(n)||K;return c&&d.forEach(x=>c.delete(x)),{exports:_,typeOnlyExportStarMap:c};function y(x,k,z){if(!z&&(x!=null&&x.exports)&&x.exports.forEach((be,ge)=>d.add(ge)),!(x&&x.exports&&Tf(a,x)))return;const H=new Map(x.exports),re=x.exports.get("__export");if(re){const be=ua(),ge=new Map;if(re.declarations)for(const Ke of re.declarations){const gt=vd(Ke,Ke.moduleSpecifier),Dt=y(gt,Ke,z||Ke.isTypeOnly);mN(be,Dt,ge,Ke)}ge.forEach(({exportsWithDuplicate:Ke},gt)=>{if(!(gt==="export="||!(Ke&&Ke.length)||H.has(gt)))for(const Dt of Ke)ts.add(wr(Dt,f.Module_0_has_already_exported_a_member_named_1_Consider_explicitly_re_exporting_to_resolve_the_ambiguity,ge.get(gt).specifierText,Vi(gt)))}),mN(H,be)}return k!=null&&k.isTypeOnly&&(c??(c=new Map),H.forEach((be,ge)=>c.set(ge,k))),H}}function rs(n){let a;return n&&n.mergeId&&(a=eN[n.mergeId])?a:n}function Ir(n){return rs(n.symbol&&jZ(n.symbol))}function gf(n){return x_(n)?Ir(n):void 0}function Pd(n){return rs(n.parent&&jZ(n.parent))}function F1(n){var a,c;return(((a=n.valueDeclaration)==null?void 0:a.kind)===219||((c=n.valueDeclaration)==null?void 0:c.kind)===218)&&gf(n.valueDeclaration.parent)||n}function _N(n,a){const c=jn(a),d=as(c),_=Xi(n);let y;if(_.extendedContainersByFile&&(y=_.extendedContainersByFile.get(d)))return y;if(c&&c.imports){for(const k of c.imports){if($s(k))continue;const z=vd(a,k,!0);!z||!Eg(z,n)||(y=xn(y,z))}if(Me(y))return(_.extendedContainersByFile||(_.extendedContainersByFile=new Map)).set(d,y),y}if(_.extendedContainers)return _.extendedContainers;const x=e.getSourceFiles();for(const k of x){if(!sc(k))continue;const z=Ir(k);Eg(z,n)&&(y=xn(y,z))}return _.extendedContainers=y||et}function tE(n,a,c){const d=Pd(n);if(d&&!(n.flags&262144))return z(d);const _=Hi(n.declarations,re=>{if(!ku(re)&&re.parent){if(ed(re.parent))return Ir(re.parent);if(O_(re.parent)&&re.parent.parent&&Np(Ir(re.parent.parent))===n)return Ir(re.parent.parent)}if(Zc(re)&&mr(re.parent)&&re.parent.operatorToken.kind===64&&ws(re.parent.left)&&Gl(re.parent.left.expression))return rg(re.parent.left)||RS(re.parent.left.expression)?Ir(jn(re)):(nc(re.parent.left.expression),ei(re.parent.left.expression).resolvedSymbol)});if(!Me(_))return;const y=Hi(_,re=>Eg(re,n)?re:void 0);let x=[],k=[];for(const re of y){const[be,...ge]=z(re);x=xn(x,be),k=Jr(k,ge)}return So(x,k);function z(re){const be=Hi(re.declarations,H),ge=a&&_N(n,a),Ke=z1(re,c);if(a&&re.flags&cv(c)&&Ib(re,a,1920,!1))return xn(So(So([re],be),ge),Ke);const gt=!(re.flags&cv(c))&&re.flags&788968&&el(re).flags&524288&&c===111551?V1(a,an=>Gc(an,cn=>{if(cn.flags&cv(c)&&dr(cn)===el(re))return cn})):void 0;let Dt=gt?[gt,...be,re]:[...be,re];return Dt=xn(Dt,Ke),Dt=Jr(Dt,ge),Dt}function H(re){return d&&FT(re,d)}}function z1(n,a){const c=!!Me(n.declarations)&&Ha(n.declarations);if(a&111551&&c&&c.parent&&wi(c.parent)&&(Oa(c)&&c===c.parent.initializer||Ep(c)&&c===c.parent.type))return Ir(c.parent)}function FT(n,a){const c=no(n),d=c&&c.exports&&c.exports.get("export=");return d&&u_(d,a)?c:void 0}function Eg(n,a){if(n===Pd(a))return a;const c=n.exports&&n.exports.get("export=");if(c&&u_(c,a))return n;const d=Cp(n),_=d.get(a.escapedName);return _&&u_(_,a)?_:Gc(d,y=>{if(u_(y,a))return y})}function u_(n,a){if(rs(jl(rs(n)))===rs(jl(rs(a))))return n}function vf(n){return rs(n&&(n.flags&1048576)!==0&&n.exportSymbol||n)}function Gm(n,a){return!!(n.flags&111551||n.flags&2097152&&Au(n,!a)&111551)}function lv(n){const a=n.members;for(const c of a)if(c.kind===176&&Yf(c.body))return c}function Sg(n){var a;const c=new p(Qt,n);return m++,c.id=m,(a=sr)==null||a.recordType(c),c}function Tg(n,a){const c=Sg(n);return c.symbol=a,c}function dx(n){return new p(Qt,n)}function cc(n,a,c=0,d){hN(a,d);const _=Sg(n);return _.intrinsicName=a,_.debugIntrinsicName=d,_.objectFlags=c|524288|2097152|33554432|16777216,_}function hN(n,a){const c=`${n},${a??""}`;pt.has(c)&&N.fail(`Duplicate intrinsic type name ${n}${a?` (${a})`:""}; you may need to pass a name to createIntrinsicType.`),pt.add(c)}function zf(n,a){const c=Tg(524288,a);return c.objectFlags=n,c.members=void 0,c.properties=void 0,c.callSignatures=void 0,c.constructSignatures=void 0,c.indexInfos=void 0,c}function wh(){return ti(zo($q.keys(),Xd))}function yf(n){return Tg(262144,n)}function B1(n){return n.charCodeAt(0)===95&&n.charCodeAt(1)===95&&n.charCodeAt(2)!==95&&n.charCodeAt(2)!==64&&n.charCodeAt(2)!==35}function nE(n){let a;return n.forEach((c,d)=>{px(c,d)&&(a||(a=[])).push(c)}),a||et}function px(n,a){return!B1(a)&&Gm(n)}function zT(n){const a=nE(n),c=XZ(n);return c?So(a,[c]):a}function bf(n,a,c,d,_){const y=n;return y.members=a,y.properties=et,y.callSignatures=c,y.constructSignatures=d,y.indexInfos=_,a!==K&&(y.properties=nE(a)),y}function Ls(n,a,c,d,_){return bf(zf(16,n),a,c,d,_)}function G1(n){if(n.constructSignatures.length===0)return n;if(n.objectTypeWithoutAbstractConstructSignatures)return n.objectTypeWithoutAbstractConstructSignatures;const a=nr(n.constructSignatures,d=>!(d.flags&4));if(n.constructSignatures===a)return n;const c=Ls(n.symbol,n.members,n.callSignatures,bt(a)?a:et,n.indexInfos);return n.objectTypeWithoutAbstractConstructSignatures=c,c.objectTypeWithoutAbstractConstructSignatures=c,c}function V1(n,a){let c;for(let d=n;d;d=d.parent){if(fh(d)&&d.locals&&!Mh(d)&&(c=a(d.locals,void 0,!0,d)))return c;switch(d.kind){case 312:if(!zp(d))break;case 267:const _=Ir(d);if(c=a((_==null?void 0:_.exports)||K,void 0,!0,d))return c;break;case 263:case 231:case 264:let y;if((Ir(d).members||K).forEach((x,k)=>{x.flags&788968&&(y||(y=ua())).set(k,x)}),y&&(c=a(y,void 0,!1,d)))return c;break}}return a(Se,void 0,!0)}function cv(n){return n===111551?111551:1920}function Ib(n,a,c,d,_=new Map){if(!(n&&!D(n)))return;const y=Xi(n),x=y.accessibleChainCache||(y.accessibleChainCache=new Map),k=V1(a,(cn,ar,On,ln)=>ln),z=`${d?0:1}|${k&&as(k)}|${c}`;if(x.has(z))return x.get(z);const H=Ta(n);let re=_.get(H);re||_.set(H,re=[]);const be=V1(a,ge);return x.set(z,be),be;function ge(cn,ar,On){if(!Tf(re,cn))return;const ln=Dt(cn,ar,On);return re.pop(),ln}function Ke(cn,ar){return!rE(cn,a,ar)||!!Ib(cn.parent,a,cv(ar),d,_)}function gt(cn,ar,On){return(n===(ar||cn)||rs(n)===rs(ar||cn))&&!bt(cn.declarations,ed)&&(On||Ke(rs(cn),c))}function Dt(cn,ar,On){return gt(cn.get(n.escapedName),void 0,ar)?[n]:Gc(cn,zn=>{if(zn.flags&2097152&&zn.escapedName!=="export="&&zn.escapedName!=="default"&&!(z3(zn)&&a&&sc(jn(a)))&&(!d||bt(zn.declarations,h0))&&(!On||!bt(zn.declarations,zie))&&(ar||!fl(zn,281))){const Fn=Fc(zn),er=an(zn,Fn,ar);if(er)return er}if(zn.escapedName===n.escapedName&&zn.exportSymbol&&gt(rs(zn.exportSymbol),void 0,ar))return[n]})||(cn===Se?an(at,at,ar):void 0)}function an(cn,ar,On){if(gt(cn,ar,On))return[cn];const ln=Cp(ar),zn=ln&&ge(ln,!0);if(zn&&Ke(cn,cv(c)))return[cn].concat(zn)}}function rE(n,a,c){let d=!1;return V1(a,_=>{let y=rs(_.get(n.escapedName));if(!y)return!1;if(y===n)return!0;const x=y.flags&2097152&&!fl(y,281);return y=x?Fc(y):y,(x?Au(y):y.flags)&c?(d=!0,!0):!1}),d}function D(n){if(n.declarations&&n.declarations.length){for(const a of n.declarations)switch(a.kind){case 172:case 174:case 177:case 178:continue;default:return!1}return!0}return!1}function ae(n,a){return gi(n,a,788968,!1,!0).accessibility===0}function ht(n,a){return gi(n,a,111551,!1,!0).accessibility===0}function on(n,a,c){return gi(n,a,c,!1,!1).accessibility===0}function Or(n,a,c,d,_,y){if(!Me(n))return;let x,k=!1;for(const z of n){const H=Ib(z,a,d,!1);if(H){x=z;const ge=Md(H[0],_);if(ge)return ge}if(y&&bt(z.declarations,ed)){if(_){k=!0;continue}return{accessibility:0}}const re=tE(z,a,d),be=Or(re,a,c,c===z?cv(d):d,_,y);if(be)return be}if(k)return{accessibility:0};if(x)return{accessibility:1,errorSymbolName:bi(c,a,d),errorModuleName:x!==c?bi(x,a,1920):void 0}}function ki(n,a,c,d){return gi(n,a,c,d,!0)}function gi(n,a,c,d,_){if(n&&a){const y=Or([n],a,n,c,d,_);if(y)return y;const x=Ue(n.declarations,no);if(x){const k=no(a);if(x!==k)return{accessibility:2,errorSymbolName:bi(n,a,c),errorModuleName:bi(x),errorNode:lr(a)?a:void 0}}return{accessibility:1,errorSymbolName:bi(n,a,c)}}return{accessibility:0}}function no(n){const a=Gn(n,va);return a&&Ir(a)}function va(n){return ku(n)||n.kind===312&&zp(n)}function ed(n){return H4(n)||n.kind===312&&zp(n)}function Md(n,a){let c;if(!Zn(nr(n.declarations,y=>y.kind!==80),d))return;return{accessibility:0,aliasesToMakeVisible:c};function d(y){var x,k;if(!d_(y)){const z=xT(y);if(z&&!Zr(z,32)&&d_(z.parent))return _(y,z);if(wi(y)&&Ll(y.parent.parent)&&!Zr(y.parent.parent,32)&&d_(y.parent.parent.parent))return _(y,y.parent.parent);if(q4(y)&&!Zr(y,32)&&d_(y.parent))return _(y,y);if(Qa(y)){if(n.flags&2097152&&lr(y)&&((x=y.parent)!=null&&x.parent)&&wi(y.parent.parent)&&((k=y.parent.parent.parent)!=null&&k.parent)&&Ll(y.parent.parent.parent.parent)&&!Zr(y.parent.parent.parent.parent,32)&&y.parent.parent.parent.parent.parent&&d_(y.parent.parent.parent.parent.parent))return _(y,y.parent.parent.parent.parent);if(n.flags&2){const H=Gn(y,Ll);return Zr(H,32)?!0:d_(H.parent)?_(y,H):!1}}return!1}return!0}function _(y,x){return a&&(ei(y).isVisible=!0,c=Mg(c,x)),!0}}function Bf(n){let a;return n.parent.kind===186||n.parent.kind===233&&!tg(n.parent)||n.parent.kind===167?a=1160127:n.kind===166||n.kind===211||n.parent.kind===271||n.parent.kind===166&&n.parent.left===n||n.parent.kind===211&&n.parent.expression===n||n.parent.kind===212&&n.parent.expression===n?a=1920:a=788968,a}function xb(n,a){const c=Bf(n),d=Vp(n),_=bl(a,d.escapedText,c,void 0,void 0,!1);return _&&_.flags&262144&&c&788968?{accessibility:0}:!_&&KE(d)&&ki(Ir(Fd(d,!1,!1)),d,c,!1).accessibility===0?{accessibility:0}:_&&Md(_,!0)||{accessibility:1,errorSymbolName:fc(d),errorNode:d}}function bi(n,a,c,d=4,_){let y=70221824;d&2&&(y|=128),d&1&&(y|=512),d&8&&(y|=16384),d&32&&(y|=134217728),d&16&&(y|=1073741824);const x=d&4?At.symbolToNode:At.symbolToEntityName;return _?k(_).getText():yC(k);function k(z){const H=x(n,c,a,y),re=(a==null?void 0:a.kind)===312?xJ():g1(),be=a&&jn(a);return re.writeNode(4,H,be,z),z}}function Oh(n,a,c=0,d,_){return _?y(_).getText():yC(y);function y(x){let k;c&262144?k=d===1?185:184:k=d===1?180:179;const z=At.signatureToSignatureDeclaration(n,k,a,BT(c)|70221824|512),H=Bw(),re=a&&jn(a);return H.writeNode(4,z,re,pU(x)),x}}function Un(n,a,c=1064960,d=pk("")){const _=V.noErrorTruncation||c&1,y=At.typeToTypeNode(n,a,BT(c)|70221824|(_?1:0));if(y===void 0)return N.fail("should always get typenode");const x=n!==Vt?g1():IJ(),k=a&&jn(a);x.writeNode(4,y,k,d);const z=d.getText(),H=_?dW*2:Nk*2;return H&&z&&z.length>=H?z.substr(0,H-3)+"...":z}function fx(n,a){let c=Db(n.symbol)?Un(n,n.symbol.valueDeclaration):Un(n),d=Db(a.symbol)?Un(a,a.symbol.valueDeclaration):Un(a);return c===d&&(c=Rb(n),d=Rb(a)),[c,d]}function Rb(n){return Un(n,void 0,64)}function Db(n){return n&&!!n.valueDeclaration&&yt(n.valueDeclaration)&&!Uf(n.valueDeclaration)}function BT(n=0){return n&848330095}function j1(n){return!!n.symbol&&!!(n.symbol.flags&32)&&(n===Vf(n.symbol)||!!(n.flags&524288)&&!!(Wr(n)&16777216))}function X_(){return{typeToTypeNode:(ut,Ae,Ln,Mr)=>a(Ae,Ln,Mr,di=>d(ut,di)),indexInfoToIndexSignatureDeclaration:(ut,Ae,Ln,Mr)=>a(Ae,Ln,Mr,di=>re(ut,di,void 0)),signatureToSignatureDeclaration:(ut,Ae,Ln,Mr,di)=>a(Ln,Mr,di,Si=>be(ut,Ae,Si)),symbolToEntityName:(ut,Ae,Ln,Mr,di)=>a(Ln,Mr,di,Si=>_o(ut,Si,Ae,!1)),symbolToExpression:(ut,Ae,Ln,Mr,di)=>a(Ln,Mr,di,Si=>Cc(ut,Si,Ae)),symbolToTypeParameterDeclarations:(ut,Ae,Ln,Mr)=>a(Ae,Ln,Mr,di=>zn(ut,di)),symbolToParameterDeclaration:(ut,Ae,Ln,Mr)=>a(Ae,Ln,Mr,di=>an(ut,di)),typeParameterToDeclaration:(ut,Ae,Ln,Mr)=>a(Ae,Ln,Mr,di=>gt(ut,di)),symbolTableToDeclarationStatements:(ut,Ae,Ln,Mr,di)=>a(Ae,Ln,Mr,Si=>El(ut,Si,di)),symbolToNode:(ut,Ae,Ln,Mr,di)=>a(Ln,Mr,di,Si=>n(ut,Si,Ae))};function n(ut,Ae,Ln){if(Ae.flags&1073741824){if(ut.valueDeclaration){const di=Mo(ut.valueDeclaration);if(di&&Za(di))return di}const Mr=Xi(ut).nameType;if(Mr&&Mr.flags&9216)return Ae.enclosingDeclaration=Mr.symbol.valueDeclaration,w.createComputedPropertyName(Cc(Mr.symbol,Ae,Ln))}return Cc(ut,Ae,Ln)}function a(ut,Ae,Ln,Mr){N.assert(ut===void 0||(ut.flags&16)===0);const di=Ln!=null&&Ln.trackSymbol?Ln.moduleResolverHost:Ae&134217728?Wje(e):void 0,Si={enclosingDeclaration:ut,flags:Ae||0,tracker:void 0,encounteredError:!1,reportedDiagnostic:!1,visitedTypes:void 0,symbolDepth:void 0,inferTypeParameters:void 0,approximateLength:0,trackedSymbols:void 0};Si.tracker=new Qq(Si,Ln,di);const Ti=Mr(Si);return Si.truncating&&Si.flags&1&&Si.tracker.reportTruncationError(),Si.encounteredError?void 0:Ti}function c(ut){return ut.truncating?ut.truncating:ut.truncating=ut.approximateLength>(ut.flags&1?dW:Nk)}function d(ut,Ae){const Ln=Ae.flags,Mr=_(ut,Ae);return Ae.flags=Ln,Mr}function _(ut,Ae){var Ln,Mr;i&&i.throwIfCancellationRequested&&i.throwIfCancellationRequested();const di=Ae.flags&8388608;if(Ae.flags&=-8388609,!ut){if(!(Ae.flags&262144)){Ae.encounteredError=!0;return}return Ae.approximateLength+=3,w.createKeywordTypeNode(133)}if(Ae.flags&536870912||(ut=h_(ut)),ut.flags&1)return ut.aliasSymbol?w.createTypeReferenceNode(tr(ut.aliasSymbol),z(ut.aliasTypeArguments,Ae)):ut===Vt?fP(w.createKeywordTypeNode(133),3,"unresolved"):(Ae.approximateLength+=3,w.createKeywordTypeNode(ut===fn?141:133));if(ut.flags&2)return w.createKeywordTypeNode(159);if(ut.flags&4)return Ae.approximateLength+=6,w.createKeywordTypeNode(154);if(ut.flags&8)return Ae.approximateLength+=6,w.createKeywordTypeNode(150);if(ut.flags&64)return Ae.approximateLength+=6,w.createKeywordTypeNode(163);if(ut.flags&16&&!ut.aliasSymbol)return Ae.approximateLength+=7,w.createKeywordTypeNode(136);if(ut.flags&1056){if(ut.symbol.flags&8){const Nn=Pd(ut.symbol),ni=Ro(Nn,Ae,788968);if(el(Nn)===ut)return ni;const Zi=Sl(ut.symbol);return tf(Zi,0)?Li(ni,w.createTypeReferenceNode(Zi,void 0)):ug(ni)?(ni.isTypeOf=!0,w.createIndexedAccessTypeNode(ni,w.createLiteralTypeNode(w.createStringLiteral(Zi)))):Cf(ni)?w.createIndexedAccessTypeNode(w.createTypeQueryNode(ni.typeName),w.createLiteralTypeNode(w.createStringLiteral(Zi))):N.fail("Unhandled type node kind returned from `symbolToTypeNode`.")}return Ro(ut.symbol,Ae,788968)}if(ut.flags&128)return Ae.approximateLength+=ut.value.length+2,w.createLiteralTypeNode(pr(w.createStringLiteral(ut.value,!!(Ae.flags&268435456)),16777216));if(ut.flags&256){const Nn=ut.value;return Ae.approximateLength+=(""+Nn).length,w.createLiteralTypeNode(Nn<0?w.createPrefixUnaryExpression(41,w.createNumericLiteral(-Nn)):w.createNumericLiteral(Nn))}if(ut.flags&2048)return Ae.approximateLength+=$E(ut.value).length+1,w.createLiteralTypeNode(w.createBigIntLiteral(ut.value));if(ut.flags&512)return Ae.approximateLength+=ut.intrinsicName.length,w.createLiteralTypeNode(ut.intrinsicName==="true"?w.createTrue():w.createFalse());if(ut.flags&8192){if(!(Ae.flags&1048576)){if(ht(ut.symbol,Ae.enclosingDeclaration))return Ae.approximateLength+=6,Ro(ut.symbol,Ae,111551);Ae.tracker.reportInaccessibleUniqueSymbolError&&Ae.tracker.reportInaccessibleUniqueSymbolError()}return Ae.approximateLength+=13,w.createTypeOperatorNode(158,w.createKeywordTypeNode(155))}if(ut.flags&16384)return Ae.approximateLength+=4,w.createKeywordTypeNode(116);if(ut.flags&32768)return Ae.approximateLength+=9,w.createKeywordTypeNode(157);if(ut.flags&65536)return Ae.approximateLength+=4,w.createLiteralTypeNode(w.createNull());if(ut.flags&131072)return Ae.approximateLength+=5,w.createKeywordTypeNode(146);if(ut.flags&4096)return Ae.approximateLength+=6,w.createKeywordTypeNode(155);if(ut.flags&67108864)return Ae.approximateLength+=6,w.createKeywordTypeNode(151);if(oP(ut))return Ae.flags&4194304&&(!Ae.encounteredError&&!(Ae.flags&32768)&&(Ae.encounteredError=!0),(Mr=(Ln=Ae.tracker).reportInaccessibleThisError)==null||Mr.call(Ln)),Ae.approximateLength+=4,w.createThisTypeNode();if(!di&&ut.aliasSymbol&&(Ae.flags&16384||ae(ut.aliasSymbol,Ae.enclosingDeclaration))){const Nn=z(ut.aliasTypeArguments,Ae);return B1(ut.aliasSymbol.escapedName)&&!(ut.aliasSymbol.flags&32)?w.createTypeReferenceNode(w.createIdentifier(""),Nn):Me(Nn)===1&&ut.aliasSymbol===Qo.symbol?w.createArrayTypeNode(Nn[0]):Ro(ut.aliasSymbol,Ae,788968,Nn)}const Si=Wr(ut);if(Si&4)return N.assert(!!(ut.flags&524288)),ut.node?qt(ut,Wn):Wn(ut);if(ut.flags&262144||Si&3){if(ut.flags&262144&&Nr(Ae.inferTypeParameters,ut)){Ae.approximateLength+=Sl(ut.symbol).length+6;let ni;const Zi=Ld(ut);if(Zi){const fo=H8e(ut,!0);fo&&vv(Zi,fo)||(Ae.approximateLength+=9,ni=Zi&&d(Zi,Ae))}return w.createInferTypeNode(Ke(ut,Ae,ni))}if(Ae.flags&4&&ut.flags&262144){const ni=Bo(ut,Ae);return Ae.approximateLength+=Sr(ni).length,w.createTypeReferenceNode(w.createIdentifier(Sr(ni)),void 0)}if(ut.symbol)return Ro(ut.symbol,Ae,788968);const Nn=(ut===de||ut===ee)&&O&&O.symbol?(ut===ee?"sub-":"super-")+Sl(O.symbol):"?";return w.createTypeReferenceNode(w.createIdentifier(Nn),void 0)}if(ut.flags&1048576&&ut.origin&&(ut=ut.origin),ut.flags&3145728){const Nn=ut.flags&1048576?gN(ut.types):ut.types;if(Me(Nn)===1)return d(Nn[0],Ae);const ni=z(Nn,Ae,!0);if(ni&&ni.length>0)return ut.flags&1048576?w.createUnionTypeNode(ni):w.createIntersectionTypeNode(ni);!Ae.encounteredError&&!(Ae.flags&262144)&&(Ae.encounteredError=!0);return}if(Si&48)return N.assert(!!(ut.flags&524288)),pn(ut);if(ut.flags&4194304){const Nn=ut.type;Ae.approximateLength+=6;const ni=d(Nn,Ae);return w.createTypeOperatorNode(143,ni)}if(ut.flags&134217728){const Nn=ut.texts,ni=ut.types,Zi=w.createTemplateHead(Nn[0]),fo=w.createNodeArray(wt(ni,(Sa,Ec)=>w.createTemplateLiteralTypeSpan(d(Sa,Ae),(Ec<ni.length-1?w.createTemplateMiddle:w.createTemplateTail)(Nn[Ec+1]))));return Ae.approximateLength+=2,w.createTemplateLiteralType(Zi,fo)}if(ut.flags&268435456){const Nn=d(ut.type,Ae);return Ro(ut.symbol,Ae,788968,[Nn])}if(ut.flags&8388608){const Nn=d(ut.objectType,Ae),ni=d(ut.indexType,Ae);return Ae.approximateLength+=2,w.createIndexedAccessTypeNode(Nn,ni)}if(ut.flags&16777216)return qt(ut,Nn=>Ti(Nn));if(ut.flags&33554432){const Nn=d(ut.baseType,Ae),ni=H2(ut)&&Xge("NoInfer",!1);return ni?Ro(ni,Ae,788968,[Nn]):Nn}return N.fail("Should be unreachable.");function Ti(Nn){const ni=d(Nn.checkType,Ae);if(Ae.approximateLength+=15,Ae.flags&4&&Nn.root.isDistributive&&!(Nn.checkType.flags&262144)){const ks=yf(Xa(262144,"T")),Ns=Bo(ks,Ae),Du=w.createTypeReferenceNode(Ns);Ae.approximateLength+=37;const Ks=ZT(Nn.root.checkType,ks,Nn.mapper),E_=Ae.inferTypeParameters;Ae.inferTypeParameters=Nn.root.inferTypeParameters;const rt=d(so(Nn.root.extendsType,Ks),Ae);Ae.inferTypeParameters=E_;const St=Hr(so(Ei(Nn.root.node.trueType),Ks)),Zt=Hr(so(Ei(Nn.root.node.falseType),Ks));return w.createConditionalTypeNode(ni,w.createInferTypeNode(w.createTypeParameterDeclaration(void 0,w.cloneNode(Du.typeName))),w.createConditionalTypeNode(w.createTypeReferenceNode(w.cloneNode(Ns)),d(Nn.checkType,Ae),w.createConditionalTypeNode(Du,rt,St,Zt),w.createKeywordTypeNode(146)),w.createKeywordTypeNode(146))}const Zi=Ae.inferTypeParameters;Ae.inferTypeParameters=Nn.root.inferTypeParameters;const fo=d(Nn.extendsType,Ae);Ae.inferTypeParameters=Zi;const Sa=Hr(fE(Nn)),Ec=Hr(mE(Nn));return w.createConditionalTypeNode(ni,fo,Sa,Ec)}function Hr(Nn){var ni,Zi,fo;return Nn.flags&1048576?(ni=Ae.visitedTypes)!=null&&ni.has(bd(Nn))?(Ae.flags&131072||(Ae.encounteredError=!0,(fo=(Zi=Ae.tracker)==null?void 0:Zi.reportCyclicStructureError)==null||fo.call(Zi)),y(Ae)):qt(Nn,Sa=>d(Sa,Ae)):d(Nn,Ae)}function xr(Nn){return!!W8(Nn)}function lt(Nn){return!!Nn.target&&xr(Nn.target)&&!xr(Nn)}function Ot(Nn){var ni;N.assert(!!(Nn.flags&524288));const Zi=Nn.declaration.readonlyToken?w.createToken(Nn.declaration.readonlyToken.kind):void 0,fo=Nn.declaration.questionToken?w.createToken(Nn.declaration.questionToken.kind):void 0;let Sa,Ec;const ks=!AN(Nn)&&!(UT(Nn).flags&2)&&Ae.flags&4&&!(Ef(Nn).flags&262144&&((ni=Ld(Ef(Nn)))==null?void 0:ni.flags)&4194304);if(AN(Nn)){if(lt(Nn)&&Ae.flags&4){const St=yf(Xa(262144,"T")),Zt=Bo(St,Ae);Ec=w.createTypeReferenceNode(Zt)}Sa=w.createTypeOperatorNode(143,Ec||d(UT(Nn),Ae))}else if(ks){const St=yf(Xa(262144,"T")),Zt=Bo(St,Ae);Ec=w.createTypeReferenceNode(Zt),Sa=Ec}else Sa=d(Ef(Nn),Ae);const Ns=Ke(m_(Nn),Ae,Sa),Du=Nn.declaration.nameType?d(_y(Nn),Ae):void 0,Ks=d(Jb(mv(Nn),!!(Bh(Nn)&4)),Ae),E_=w.createMappedTypeNode(Zi,Ns,Du,fo,Ks,void 0);Ae.approximateLength+=10;const rt=pr(E_,1);if(lt(Nn)&&Ae.flags&4){const St=so(Ld(Ei(Nn.declaration.typeParameter.constraint.type))||_n,Nn.mapper);return w.createConditionalTypeNode(d(UT(Nn),Ae),w.createInferTypeNode(w.createTypeParameterDeclaration(void 0,w.cloneNode(Ec.typeName),St.flags&2?void 0:d(St,Ae))),rt,w.createKeywordTypeNode(146))}else if(ks)return w.createConditionalTypeNode(d(Ef(Nn),Ae),w.createInferTypeNode(w.createTypeParameterDeclaration(void 0,w.cloneNode(Ec.typeName),w.createTypeOperatorNode(143,d(UT(Nn),Ae)))),rt,w.createKeywordTypeNode(146));return rt}function pn(Nn){var ni,Zi;const fo=Nn.id,Sa=Nn.symbol;if(Sa){if(!!(Wr(Nn)&8388608)){const Ks=Nn.node;if(lI(Ks)&&Ei(Ks)===Nn){const E_=Wl(Ae,Ks);if(E_)return E_}return(ni=Ae.visitedTypes)!=null&&ni.has(fo)?y(Ae):qt(Nn,mn)}const Ns=j1(Nn)?788968:111551;if(nh(Sa.valueDeclaration))return Ro(Sa,Ae,Ns);if(Sa.flags&32&&!FZ(Sa)&&!(Sa.valueDeclaration&&ui(Sa.valueDeclaration)&&Ae.flags&2048&&(!Ic(Sa.valueDeclaration)||ki(Sa,Ae.enclosingDeclaration,Ns,!1).accessibility!==0))||Sa.flags&896||Ec())return Ro(Sa,Ae,Ns);if((Zi=Ae.visitedTypes)!=null&&Zi.has(fo)){const Du=u9(Nn);return Du?Ro(Du,Ae,788968):y(Ae)}else return qt(Nn,mn)}else return mn(Nn);function Ec(){var ks;const Ns=!!(Sa.flags&8192)&&bt(Sa.declarations,Ks=>sa(Ks)),Du=!!(Sa.flags&16)&&(Sa.parent||Ue(Sa.declarations,Ks=>Ks.parent.kind===312||Ks.parent.kind===268));if(Ns||Du)return(!!(Ae.flags&4096)||((ks=Ae.visitedTypes)==null?void 0:ks.has(fo)))&&(!(Ae.flags&8)||ht(Sa,Ae.enclosingDeclaration))}}function qt(Nn,ni){var Zi,fo,Sa;const Ec=Nn.id,ks=Wr(Nn)&16&&Nn.symbol&&Nn.symbol.flags&32,Ns=Wr(Nn)&4&&Nn.node?"N"+as(Nn.node):Nn.flags&16777216?"N"+as(Nn.root.node):Nn.symbol?(ks?"+":"")+Ta(Nn.symbol):void 0;Ae.visitedTypes||(Ae.visitedTypes=new Set),Ns&&!Ae.symbolDepth&&(Ae.symbolDepth=new Map);const Du=Ae.enclosingDeclaration&&ei(Ae.enclosingDeclaration),Ks=`${bd(Nn)}|${Ae.flags}`;Du&&(Du.serializedTypes||(Du.serializedTypes=new Map));const E_=(Zi=Du==null?void 0:Du.serializedTypes)==null?void 0:Zi.get(Ks);if(E_)return(fo=E_.trackedSymbols)==null||fo.forEach(([qr,zi,Fo])=>Ae.tracker.trackSymbol(qr,zi,Fo)),E_.truncating&&(Ae.truncating=!0),Ae.approximateLength+=E_.addedLength,Tn(E_.node);let rt;if(Ns){if(rt=Ae.symbolDepth.get(Ns)||0,rt>10)return y(Ae);Ae.symbolDepth.set(Ns,rt+1)}Ae.visitedTypes.add(Ec);const St=Ae.trackedSymbols;Ae.trackedSymbols=void 0;const Zt=Ae.approximateLength,Kn=ni(Nn),Rr=Ae.approximateLength-Zt;return!Ae.reportedDiagnostic&&!Ae.encounteredError&&((Sa=Du==null?void 0:Du.serializedTypes)==null||Sa.set(Ks,{node:Kn,truncating:Ae.truncating,addedLength:Rr,trackedSymbols:Ae.trackedSymbols})),Ae.visitedTypes.delete(Ec),Ns&&Ae.symbolDepth.set(Ns,rt),Ae.trackedSymbols=St,Kn;function Tn(qr){return!$s(qr)&&Do(qr)===qr?qr:dt(w.cloneNode(In(qr,Tn,void 0,gr)),qr)}function gr(qr,zi,Fo,_a,ha){return qr&&qr.length===0?dt(w.createNodeArray(void 0,qr.hasTrailingComma),qr):Vn(qr,zi,Fo,_a,ha)}}function mn(Nn){if(Kd(Nn)||Nn.containsError)return Ot(Nn);const ni=__(Nn);if(!ni.properties.length&&!ni.indexInfos.length){if(!ni.callSignatures.length&&!ni.constructSignatures.length)return Ae.approximateLength+=2,pr(w.createTypeLiteralNode(void 0),1);if(ni.callSignatures.length===1&&!ni.constructSignatures.length){const ks=ni.callSignatures[0];return be(ks,184,Ae)}if(ni.constructSignatures.length===1&&!ni.callSignatures.length){const ks=ni.constructSignatures[0];return be(ks,185,Ae)}}const Zi=nr(ni.constructSignatures,ks=>!!(ks.flags&4));if(bt(Zi)){const ks=wt(Zi,KT);return ni.callSignatures.length+(ni.constructSignatures.length-Zi.length)+ni.indexInfos.length+(Ae.flags&2048?au(ni.properties,Du=>!(Du.flags&4194304)):Me(ni.properties))&&ks.push(G1(ni)),d(ba(ks),Ae)}const fo=Ae.flags;Ae.flags|=4194304;const Sa=Go(ni);Ae.flags=fo;const Ec=w.createTypeLiteralNode(Sa);return Ae.approximateLength+=2,pr(Ec,Ae.flags&1024?0:1),Ec}function Wn(Nn){let ni=Js(Nn);if(Nn.target===Qo||Nn.target===na){if(Ae.flags&2){const Sa=d(ni[0],Ae);return w.createTypeReferenceNode(Nn.target===Qo?"Array":"ReadonlyArray",[Sa])}const Zi=d(ni[0],Ae),fo=w.createArrayTypeNode(Zi);return Nn.target===Qo?fo:w.createTypeOperatorNode(148,fo)}else if(Nn.target.objectFlags&8){if(ni=eo(ni,(Zi,fo)=>Jb(Zi,!!(Nn.target.elementFlags[fo]&2))),ni.length>0){const Zi=gy(Nn),fo=z(ni.slice(0,Zi),Ae);if(fo){const{labeledElementDeclarations:Sa}=Nn.target;for(let ks=0;ks<fo.length;ks++){const Ns=Nn.target.elementFlags[ks],Du=Sa==null?void 0:Sa[ks];Du?fo[ks]=w.createNamedTupleMember(Ns&12?w.createToken(26):void 0,w.createIdentifier(Vi(Yye(Du))),Ns&2?w.createToken(58):void 0,Ns&4?w.createArrayTypeNode(fo[ks]):fo[ks]):fo[ks]=Ns&12?w.createRestTypeNode(Ns&4?w.createArrayTypeNode(fo[ks]):fo[ks]):Ns&2?w.createOptionalTypeNode(fo[ks]):fo[ks]}const Ec=pr(w.createTupleTypeNode(fo),1);return Nn.target.readonly?w.createTypeOperatorNode(148,Ec):Ec}}if(Ae.encounteredError||Ae.flags&524288){const Zi=pr(w.createTupleTypeNode([]),1);return Nn.target.readonly?w.createTypeOperatorNode(148,Zi):Zi}Ae.encounteredError=!0;return}else{if(Ae.flags&2048&&Nn.symbol.valueDeclaration&&ui(Nn.symbol.valueDeclaration)&&!ht(Nn.symbol,Ae.enclosingDeclaration))return pn(Nn);{const Zi=Nn.target.outerTypeParameters;let fo=0,Sa;if(Zi){const Du=Zi.length;for(;fo<Du;){const Ks=fo,E_=q8e(Zi[fo]);do fo++;while(fo<Du&&q8e(Zi[fo])===E_);if(!pG(Zi,ni,Ks,fo)){const rt=z(ni.slice(Ks,fo),Ae),St=Ae.flags;Ae.flags|=16;const Zt=Ro(E_,Ae,788968,rt);Ae.flags=St,Sa=Sa?Li(Sa,Zt):Zt}}}let Ec;if(ni.length>0){const Du=(Nn.target.typeParameters||et).length;Ec=z(ni.slice(fo,Du),Ae)}const ks=Ae.flags;Ae.flags|=16;const Ns=Ro(Nn.symbol,Ae,788968,Ec);return Ae.flags=ks,Sa?Li(Sa,Ns):Ns}}}function Li(Nn,ni){if(ug(Nn)){let Zi=Nn.typeArguments,fo=Nn.qualifier;fo&&(Ve(fo)?Zi!==zS(fo)&&(fo=Jv(w.cloneNode(fo),Zi)):Zi!==zS(fo.right)&&(fo=w.updateQualifiedName(fo,fo.left,Jv(w.cloneNode(fo.right),Zi)))),Zi=ni.typeArguments;const Sa=Br(ni);for(const Ec of Sa)fo=fo?w.createQualifiedName(fo,Ec):Ec;return w.updateImportTypeNode(Nn,Nn.argument,Nn.attributes,fo,Zi,Nn.isTypeOf)}else{let Zi=Nn.typeArguments,fo=Nn.typeName;Ve(fo)?Zi!==zS(fo)&&(fo=Jv(w.cloneNode(fo),Zi)):Zi!==zS(fo.right)&&(fo=w.updateQualifiedName(fo,fo.left,Jv(w.cloneNode(fo.right),Zi))),Zi=ni.typeArguments;const Sa=Br(ni);for(const Ec of Sa)fo=w.createQualifiedName(fo,Ec);return w.updateTypeReferenceNode(Nn,fo,Zi)}}function Br(Nn){let ni=Nn.typeName;const Zi=[];for(;!Ve(ni);)Zi.unshift(ni.right),ni=ni.left;return Zi.unshift(ni),Zi}function Go(Nn){if(c(Ae))return[w.createPropertySignature(void 0,"...",void 0,void 0)];const ni=[];for(const Sa of Nn.callSignatures)ni.push(be(Sa,179,Ae));for(const Sa of Nn.constructSignatures)Sa.flags&4||ni.push(be(Sa,180,Ae));for(const Sa of Nn.indexInfos)ni.push(re(Sa,Ae,Nn.objectFlags&1024?y(Ae):void 0));const Zi=Nn.properties;if(!Zi)return ni;let fo=0;for(const Sa of Zi){if(fo++,Ae.flags&2048){if(Sa.flags&4194304)continue;Df(Sa)&6&&Ae.tracker.reportPrivateInBaseOfClassExpression&&Ae.tracker.reportPrivateInBaseOfClassExpression(Vi(Sa.escapedName))}if(c(Ae)&&fo+2<Zi.length-1){ni.push(w.createPropertySignature(void 0,`... ${Zi.length-fo} more ...`,void 0,void 0)),k(Zi[Zi.length-1],Ae,ni);break}k(Sa,Ae,ni)}return ni.length?ni:void 0}}function y(ut){return ut.approximateLength+=3,ut.flags&1?w.createKeywordTypeNode(133):w.createTypeReferenceNode(w.createIdentifier("..."),void 0)}function x(ut,Ae){var Ln;return!!(xl(ut)&8192)&&(Nr(Ae.reverseMappedStack,ut)||((Ln=Ae.reverseMappedStack)==null?void 0:Ln[0])&&!(Wr(Ya(Ae.reverseMappedStack).links.propertyType)&16))}function k(ut,Ae,Ln){var Mr;const di=!!(xl(ut)&8192),Si=x(ut,Ae)?j:kb(ut),Ti=Ae.enclosingDeclaration;if(Ae.enclosingDeclaration=void 0,Ae.tracker.canTrackSymbol&&x8(ut.escapedName))if(ut.declarations){const mn=Ha(ut.declarations);if(h9(mn))if(mr(mn)){const Wn=Mo(mn);Wn&&Qs(Wn)&&_k(Wn.argumentExpression)&&ar(Wn.argumentExpression,Ti,Ae)}else ar(mn.name.expression,Ti,Ae)}else Ae.tracker.reportNonSerializableProperty(bi(ut));Ae.enclosingDeclaration=ut.valueDeclaration||((Mr=ut.declarations)==null?void 0:Mr[0])||Ti;const Hr=wn(ut,Ae);if(Ae.enclosingDeclaration=Ti,Ae.approximateLength+=Sl(ut).length+1,ut.flags&98304){const mn=U1(ut);if(Si!==mn&&!Kt(Si)&&!Kt(mn)){const Wn=fl(ut,177),Li=fm(Wn);Ln.push(ac(be(Li,177,Ae,{name:Hr}),Wn));const Br=fl(ut,178),Go=fm(Br);Ln.push(ac(be(Go,178,Ae,{name:Hr}),Br));return}}const xr=ut.flags&16777216?w.createToken(58):void 0;if(ut.flags&8208&&!Wb(Si).length&&!b_(ut)){const mn=Yo(dc(Si,Wn=>!(Wn.flags&32768)),0);for(const Wn of mn){const Li=be(Wn,173,Ae,{name:Hr,questionToken:xr});Ln.push(qt(Li))}if(mn.length||!xr)return}let lt;x(ut,Ae)?lt=y(Ae):(di&&(Ae.reverseMappedStack||(Ae.reverseMappedStack=[]),Ae.reverseMappedStack.push(ut)),lt=Si?Ts(Ae,Si,ut,Ti):w.createKeywordTypeNode(133),di&&Ae.reverseMappedStack.pop());const Ot=b_(ut)?[w.createToken(148)]:void 0;Ot&&(Ae.approximateLength+=9);const pn=w.createPropertySignature(Ot,Hr,xr,lt);Ln.push(qt(pn));function qt(mn){var Wn;const Li=(Wn=ut.declarations)==null?void 0:Wn.find(Br=>Br.kind===355);if(Li){const Br=fL(Li.comment);Br&&I0(mn,[{kind:3,text:`*
+ * `+Br.replace(/\n/g,`
+ * `)+`
+ `,pos:-1,end:-1,hasTrailingNewLine:!0}])}else ut.valueDeclaration&&ac(mn,ut.valueDeclaration);return mn}}function z(ut,Ae,Ln){if(bt(ut)){if(c(Ae))if(Ln){if(ut.length>2)return[d(ut[0],Ae),w.createTypeReferenceNode(`... ${ut.length-2} more ...`,void 0),d(ut[ut.length-1],Ae)]}else return[w.createTypeReferenceNode("...",void 0)];const di=!(Ae.flags&64)?Zp():void 0,Si=[];let Ti=0;for(const Hr of ut){if(Ti++,c(Ae)&&Ti+2<ut.length-1){Si.push(w.createTypeReferenceNode(`... ${ut.length-Ti} more ...`,void 0));const lt=d(ut[ut.length-1],Ae);lt&&Si.push(lt);break}Ae.approximateLength+=2;const xr=d(Hr,Ae);xr&&(Si.push(xr),di&&Zoe(xr)&&di.add(xr.typeName.escapedText,[Hr,Si.length-1]))}if(di){const Hr=Ae.flags;Ae.flags|=64,di.forEach(xr=>{if(!eae(xr,([lt],[Ot])=>H(lt,Ot)))for(const[lt,Ot]of xr)Si[Ot]=d(lt,Ae)}),Ae.flags=Hr}return Si}}function H(ut,Ae){return ut===Ae||!!ut.symbol&&ut.symbol===Ae.symbol||!!ut.aliasSymbol&&ut.aliasSymbol===Ae.aliasSymbol}function re(ut,Ae,Ln){const Mr=Eie(ut)||"x",di=d(ut.keyType,Ae),Si=w.createParameterDeclaration(void 0,void 0,Mr,void 0,di,void 0);return Ln||(Ln=d(ut.type||j,Ae)),!ut.type&&!(Ae.flags&2097152)&&(Ae.encounteredError=!0),Ae.approximateLength+=Mr.length+4,w.createIndexSignature(ut.isReadonly?[w.createToken(148)]:void 0,[Si],Ln)}function be(ut,Ae,Ln,Mr){var di;const Si=Ln.flags&256;Si&&(Ln.flags&=-257),Ln.approximateLength+=3;let Ti,Hr;Ln.flags&32&&ut.target&&ut.mapper&&ut.target.typeParameters?Hr=ut.target.typeParameters.map(Br=>d(so(Br,ut.mapper),Ln)):Ti=ut.typeParameters&&ut.typeParameters.map(Br=>gt(Br,Ln));const xr=E8e(ut,!0)[0];let lt;if(Ln.enclosingDeclaration&&ut.declaration&&ut.declaration!==Ln.enclosingDeclaration&&!lr(ut.declaration)&&(bt(xr)||bt(ut.typeParameters))){let Br=function(Go,Nn){N.assert(Ln.enclosingDeclaration);let ni;ei(Ln.enclosingDeclaration).fakeScopeForSignatureDeclaration===Go?ni=Ln.enclosingDeclaration:Ln.enclosingDeclaration.parent&&ei(Ln.enclosingDeclaration.parent).fakeScopeForSignatureDeclaration===Go&&(ni=Ln.enclosingDeclaration.parent),N.assertOptionalNode(ni,Xo);const Zi=(ni==null?void 0:ni.locals)??ua();let fo;if(Nn((ks,Ns)=>{Zi.has(ks)||(fo=xn(fo,ks),Zi.set(ks,Ns))}),!fo)return;const Sa=lt;function Ec(){Ue(fo,ks=>Zi.delete(ks)),Sa==null||Sa()}if(ni)lt=Ec;else{const ks=Ih.createBlock(et);ei(ks).fakeScopeForSignatureDeclaration=Go,ks.locals=Zi;const Ns=Ln.enclosingDeclaration;qa(ks,Ns),Ln.enclosingDeclaration=ks,lt=()=>{Ln.enclosingDeclaration=Ns,Ec()}}};Br("params",Go=>{for(const Nn of xr)Go(Nn.escapedName,Nn)}),Ln.flags&4&&Br("typeParams",Go=>{for(const Nn of ut.typeParameters??et){const ni=Bo(Nn,Ln).escapedText;Go(ni,Nn.symbol)}})}const Ot=(bt(xr,Br=>Br!==xr[xr.length-1]&&!!(xl(Br)&32768))?ut.parameters:xr).map(Br=>an(Br,Ln,Ae===176,Mr==null?void 0:Mr.privateSymbolVisitor,Mr==null?void 0:Mr.bundledImports)),pn=Ln.flags&33554432?void 0:ge(ut,Ln);pn&&Ot.unshift(pn);let qt;const mn=jf(ut);if(mn){const Br=mn.kind===2||mn.kind===3?w.createToken(131):void 0,Go=mn.kind===1||mn.kind===3?pr(w.createIdentifier(mn.parameterName),16777216):w.createThisTypeNode(),Nn=mn.type&&d(mn.type,Ln);qt=w.createTypePredicateNode(Br,Go,Nn)}else{const Br=ps(ut);Br&&!(Si&&Nt(Br))?qt=Ga(Ln,Br,ut,Mr==null?void 0:Mr.privateSymbolVisitor,Mr==null?void 0:Mr.bundledImports):Si||(qt=w.createKeywordTypeNode(133))}let Wn=Mr==null?void 0:Mr.modifiers;if(Ae===185&&ut.flags&4){const Br=M_(Wn);Wn=w.createModifiersFromModifierFlags(Br|64)}const Li=Ae===179?w.createCallSignature(Ti,Ot,qt):Ae===180?w.createConstructSignature(Ti,Ot,qt):Ae===173?w.createMethodSignature(Wn,(Mr==null?void 0:Mr.name)??w.createIdentifier(""),Mr==null?void 0:Mr.questionToken,Ti,Ot,qt):Ae===174?w.createMethodDeclaration(Wn,void 0,(Mr==null?void 0:Mr.name)??w.createIdentifier(""),void 0,Ti,Ot,qt,void 0):Ae===176?w.createConstructorDeclaration(Wn,Ot,void 0):Ae===177?w.createGetAccessorDeclaration(Wn,(Mr==null?void 0:Mr.name)??w.createIdentifier(""),Ot,qt,void 0):Ae===178?w.createSetAccessorDeclaration(Wn,(Mr==null?void 0:Mr.name)??w.createIdentifier(""),Ot,void 0):Ae===181?w.createIndexSignature(Wn,Ot,qt):Ae===324?w.createJSDocFunctionType(Ot,qt):Ae===184?w.createFunctionTypeNode(Ti,Ot,qt??w.createTypeReferenceNode(w.createIdentifier(""))):Ae===185?w.createConstructorTypeNode(Wn,Ti,Ot,qt??w.createTypeReferenceNode(w.createIdentifier(""))):Ae===262?w.createFunctionDeclaration(Wn,void 0,Mr!=null&&Mr.name?aa(Mr.name,Ve):w.createIdentifier(""),Ti,Ot,qt,void 0):Ae===218?w.createFunctionExpression(Wn,void 0,Mr!=null&&Mr.name?aa(Mr.name,Ve):w.createIdentifier(""),Ti,Ot,qt,w.createBlock([])):Ae===219?w.createArrowFunction(Wn,Ti,Ot,qt,void 0,w.createBlock([])):N.assertNever(Ae);if(Hr&&(Li.typeArguments=w.createNodeArray(Hr)),((di=ut.declaration)==null?void 0:di.kind)===330&&ut.declaration.parent.kind===346){const Br=fc(ut.declaration.parent.parent,!0).slice(2,-2).split(/\r\n|\n|\r/).map(Go=>Go.replace(/^\s+/," ")).join(`
+`);fP(Li,3,Br,!0)}return lt==null||lt(),Li}function ge(ut,Ae){if(ut.thisParameter)return an(ut.thisParameter,Ae);if(ut.declaration&&lr(ut.declaration)){const Ln=E4(ut.declaration);if(Ln&&Ln.typeExpression)return w.createParameterDeclaration(void 0,void 0,"this",void 0,d(Ei(Ln.typeExpression),Ae))}}function Ke(ut,Ae,Ln){const Mr=Ae.flags;Ae.flags&=-513;const di=w.createModifiersFromModifierFlags(Pve(ut)),Si=Bo(ut,Ae),Ti=JT(ut),Hr=Ti&&d(Ti,Ae);return Ae.flags=Mr,w.createTypeParameterDeclaration(di,Si,Ln,Hr)}function gt(ut,Ae,Ln=Ld(ut)){const Mr=Ln&&d(Ln,Ae);return Ke(ut,Ae,Mr)}function Dt(ut){const Ae=fl(ut,169);if(Ae)return Ae;if(!mh(ut))return fl(ut,348)}function an(ut,Ae,Ln,Mr,di){const Si=Dt(ut);let Ti=dr(ut);Si&&u7e(Si)&&(Ti=qb(Ti));const Hr=Ts(Ae,Ti,ut,Ae.enclosingDeclaration,Mr,di),xr=!(Ae.flags&8192)&&Ln&&Si&&Cm(Si)?wt(ME(Si),w.cloneNode):void 0,Ot=Si&&Zh(Si)||xl(ut)&32768?w.createToken(26):void 0,pn=cn(ut,Si,Ae),mn=Si&&N8(Si)||xl(ut)&16384?w.createToken(58):void 0,Wn=w.createParameterDeclaration(xr,Ot,pn,mn,Hr,void 0);return Ae.approximateLength+=Sl(ut).length+3,Wn}function cn(ut,Ae,Ln){return Ae&&Ae.name?Ae.name.kind===80?pr(w.cloneNode(Ae.name),16777216):Ae.name.kind===166?pr(w.cloneNode(Ae.name.right),16777216):Mr(Ae.name):Sl(ut);function Mr(di){return Si(di);function Si(Ti){Ln.tracker.canTrackSymbol&&Za(Ti)&&yge(Ti)&&ar(Ti.expression,Ln.enclosingDeclaration,Ln);let Hr=In(Ti,Si,void 0,void 0,Si);return Qa(Hr)&&(Hr=w.updateBindingElement(Hr,Hr.dotDotDotToken,Hr.propertyName,Hr.name,void 0)),$s(Hr)||(Hr=w.cloneNode(Hr)),pr(Hr,16777217)}}}function ar(ut,Ae,Ln){if(!Ln.tracker.canTrackSymbol)return;const Mr=Vp(ut),di=bl(Mr,Mr.escapedText,1160127,void 0,void 0,!0);di&&Ln.tracker.trackSymbol(di,Ae,111551)}function On(ut,Ae,Ln,Mr){return Ae.tracker.trackSymbol(ut,Ae.enclosingDeclaration,Ln),ln(ut,Ae,Ln,Mr)}function ln(ut,Ae,Ln,Mr){let di;return!(ut.flags&262144)&&(Ae.enclosingDeclaration||Ae.flags&64)&&!(Ae.flags&134217728)?(di=N.checkDefined(Ti(ut,Ln,!0)),N.assert(di&&di.length>0)):di=[ut],di;function Ti(Hr,xr,lt){let Ot=Ib(Hr,Ae.enclosingDeclaration,xr,!!(Ae.flags&128)),pn;if(!Ot||rE(Ot[0],Ae.enclosingDeclaration,Ot.length===1?xr:cv(xr))){const mn=tE(Ot?Ot[0]:Hr,Ae.enclosingDeclaration,xr);if(Me(mn)){pn=mn.map(Br=>bt(Br.declarations,ed)?qn(Br,Ae):void 0);const Wn=mn.map((Br,Go)=>Go);Wn.sort(qt);const Li=Wn.map(Br=>mn[Br]);for(const Br of Li){const Go=Ti(Br,cv(xr),!1);if(Go){if(Br.exports&&Br.exports.get("export=")&&u_(Br.exports.get("export="),Hr)){Ot=Go;break}Ot=Go.concat(Ot||[Eg(Br,Hr)||Hr]);break}}}}if(Ot)return Ot;if(lt||!(Hr.flags&6144))return!lt&&!Mr&&Ue(Hr.declarations,ed)?void 0:[Hr];function qt(mn,Wn){const Li=pn[mn],Br=pn[Wn];if(Li&&Br){const Go=Wp(Br);return Wp(Li)===Go?qF(Li)-qF(Br):Go?-1:1}return 0}}}function zn(ut,Ae){let Ln;return GN(ut).flags&524384&&(Ln=w.createNodeArray(wt(kr(ut),di=>gt(di,Ae)))),Ln}function Fn(ut,Ae,Ln){var Mr;N.assert(ut&&0<=Ae&&Ae<ut.length);const di=ut[Ae],Si=Ta(di);if((Mr=Ln.typeParameterSymbolList)!=null&&Mr.has(Si))return;(Ln.typeParameterSymbolList||(Ln.typeParameterSymbolList=new Set)).add(Si);let Ti;if(Ln.flags&512&&Ae<ut.length-1){const Hr=di,xr=ut[Ae+1];if(xl(xr)&1){const lt=Fi(Hr.flags&2097152?Fc(Hr):Hr);Ti=z(wt(lt,Ot=>Vb(Ot,xr.links.mapper)),Ln)}else Ti=zn(di,Ln)}return Ti}function er(ut){return jS(ut.objectType)?er(ut.objectType):ut}function qn(ut,Ae,Ln){let Mr=fl(ut,312);if(!Mr){const lt=Ut(ut.declarations,Ot=>FT(Ot,ut));lt&&(Mr=fl(lt,312))}if(Mr&&Mr.moduleName!==void 0)return Mr.moduleName;if(!Mr){if(Ae.tracker.trackReferencedAmbientModule){const lt=nr(ut.declarations,ku);if(Me(lt))for(const Ot of lt)Ae.tracker.trackReferencedAmbientModule(Ot,ut)}if(Yq.test(ut.escapedName))return ut.escapedName.substring(1,ut.escapedName.length-1)}if(!Ae.enclosingDeclaration||!Ae.tracker.moduleResolverHost)return Yq.test(ut.escapedName)?ut.escapedName.substring(1,ut.escapedName.length-1):jn(xj(ut)).fileName;const di=jn(Pl(Ae.enclosingDeclaration)),Si=Ln||(di==null?void 0:di.impliedNodeFormat),Ti=WP(di.path,Si),Hr=Xi(ut);let xr=Hr.specifierCache&&Hr.specifierCache.get(Ti);if(!xr){const lt=!!Ps(V),{moduleResolverHost:Ot}=Ae.tracker,pn=lt?{...V,baseUrl:Ot.getCommonSourceDirectory()}:V;xr=Ha(yxe(ut,Qt,pn,di,Ot,{importModuleSpecifierPreference:lt?"non-relative":"project-relative",importModuleSpecifierEnding:lt?"minimal":Si===99?"js":void 0},{overrideImportMode:Ln})),Hr.specifierCache??(Hr.specifierCache=new Map),Hr.specifierCache.set(Ti,xr)}return xr}function tr(ut){const Ae=w.createIdentifier(Vi(ut.escapedName));return ut.parent?w.createQualifiedName(tr(ut.parent),Ae):Ae}function Ro(ut,Ae,Ln,Mr){const di=On(ut,Ae,Ln,!(Ae.flags&16384)),Si=Ln===111551;if(bt(di[0].declarations,ed)){const xr=di.length>1?Hr(di,di.length-1,1):void 0,lt=Mr||Fn(di,0,Ae),Ot=jn(Pl(Ae.enclosingDeclaration)),pn=G4(di[0]);let qt,mn;if((md(V)===3||md(V)===99)&&(pn==null?void 0:pn.impliedNodeFormat)===99&&pn.impliedNodeFormat!==(Ot==null?void 0:Ot.impliedNodeFormat)&&(qt=qn(di[0],Ae,99),mn=w.createImportAttributes(w.createNodeArray([w.createImportAttribute(w.createStringLiteral("resolution-mode"),w.createStringLiteral("import"))]))),qt||(qt=qn(di[0],Ae)),!(Ae.flags&67108864)&&md(V)!==1&&qt.includes("/node_modules/")){const Li=qt;if(md(V)===3||md(V)===99){const Br=(Ot==null?void 0:Ot.impliedNodeFormat)===99?1:99;qt=qn(di[0],Ae,Br),qt.includes("/node_modules/")?qt=Li:mn=w.createImportAttributes(w.createNodeArray([w.createImportAttribute(w.createStringLiteral("resolution-mode"),w.createStringLiteral(Br===99?"import":"require"))]))}mn||(Ae.encounteredError=!0,Ae.tracker.reportLikelyUnsafeImportRequiredError&&Ae.tracker.reportLikelyUnsafeImportRequiredError(Li))}const Wn=w.createLiteralTypeNode(w.createStringLiteral(qt));if(Ae.tracker.trackExternalModuleSymbolOfImportTypeNode&&Ae.tracker.trackExternalModuleSymbolOfImportTypeNode(di[0]),Ae.approximateLength+=qt.length+10,!xr||Zd(xr)){if(xr){const Li=Ve(xr)?xr:xr.right;Jv(Li,void 0)}return w.createImportTypeNode(Wn,mn,xr,lt,Si)}else{const Li=er(xr),Br=Li.objectType.typeName;return w.createIndexedAccessTypeNode(w.createImportTypeNode(Wn,mn,Br,lt,Si),Li.indexType)}}const Ti=Hr(di,di.length-1,0);if(jS(Ti))return Ti;if(Si)return w.createTypeQueryNode(Ti);{const xr=Ve(Ti)?Ti:Ti.right,lt=zS(xr);return Jv(xr,void 0),w.createTypeReferenceNode(Ti,lt)}function Hr(xr,lt,Ot){const pn=lt===xr.length-1?Mr:Fn(xr,lt,Ae),qt=xr[lt],mn=xr[lt-1];let Wn;if(lt===0)Ae.flags|=16777216,Wn=iE(qt,Ae),Ae.approximateLength+=(Wn?Wn.length:0)+1,Ae.flags^=16777216;else if(mn&&Cp(mn)){const Br=Cp(mn);Gc(Br,(Go,Nn)=>{if(u_(Go,qt)&&!x8(Nn)&&Nn!=="export=")return Wn=Vi(Nn),!0})}if(Wn===void 0){const Br=Ut(qt.declarations,Mo);if(Br&&Za(Br)&&Zd(Br.expression)){const Go=Hr(xr,lt-1,Ot);return Zd(Go)?w.createIndexedAccessTypeNode(w.createParenthesizedType(w.createTypeQueryNode(Go)),w.createTypeQueryNode(Br.expression)):Go}Wn=iE(qt,Ae)}if(Ae.approximateLength+=Wn.length+1,!(Ae.flags&16)&&mn&&Ob(mn)&&Ob(mn).get(qt.escapedName)&&u_(Ob(mn).get(qt.escapedName),qt)){const Br=Hr(xr,lt-1,Ot);return jS(Br)?w.createIndexedAccessTypeNode(Br,w.createLiteralTypeNode(w.createStringLiteral(Wn))):w.createIndexedAccessTypeNode(w.createTypeReferenceNode(Br,pn),w.createLiteralTypeNode(w.createStringLiteral(Wn)))}const Li=pr(w.createIdentifier(Wn),16777216);if(pn&&Jv(Li,w.createNodeArray(pn)),Li.symbol=qt,lt>Ot){const Br=Hr(xr,lt-1,Ot);return Zd(Br)?w.createQualifiedName(Br,Li):N.fail("Impossible construct - an export of an indexed access cannot be reachable")}return Li}}function Eo(ut,Ae,Ln){const Mr=bl(Ae.enclosingDeclaration,ut,788968,void 0,ut,!1);return Mr&&Mr.flags&262144?Mr!==Ln.symbol:!1}function Bo(ut,Ae){var Ln,Mr;if(Ae.flags&4&&Ae.typeParameterNames){const Si=Ae.typeParameterNames.get(bd(ut));if(Si)return Si}let di=_o(ut.symbol,Ae,788968,!0);if(!(di.kind&80))return w.createIdentifier("(Missing type parameter)");if(Ae.flags&4){const Si=di.escapedText;let Ti=((Ln=Ae.typeParameterNamesByTextNextNameCount)==null?void 0:Ln.get(Si))||0,Hr=Si;for(;(Mr=Ae.typeParameterNamesByText)!=null&&Mr.has(Hr)||Eo(Hr,Ae,ut);)Ti++,Hr=`${Si}_${Ti}`;if(Hr!==Si){const xr=zS(di);di=w.createIdentifier(Hr),Jv(di,xr)}(Ae.typeParameterNamesByTextNextNameCount||(Ae.typeParameterNamesByTextNextNameCount=new Map)).set(Si,Ti),(Ae.typeParameterNames||(Ae.typeParameterNames=new Map)).set(bd(ut),di),(Ae.typeParameterNamesByText||(Ae.typeParameterNamesByText=new Set)).add(Hr)}return di}function _o(ut,Ae,Ln,Mr){const di=On(ut,Ae,Ln);return Mr&&di.length!==1&&!Ae.encounteredError&&!(Ae.flags&65536)&&(Ae.encounteredError=!0),Si(di,di.length-1);function Si(Ti,Hr){const xr=Fn(Ti,Hr,Ae),lt=Ti[Hr];Hr===0&&(Ae.flags|=16777216);const Ot=iE(lt,Ae);Hr===0&&(Ae.flags^=16777216);const pn=pr(w.createIdentifier(Ot),16777216);return xr&&Jv(pn,w.createNodeArray(xr)),pn.symbol=lt,Hr>0?w.createQualifiedName(Si(Ti,Hr-1),pn):pn}}function Cc(ut,Ae,Ln){const Mr=On(ut,Ae,Ln);return di(Mr,Mr.length-1);function di(Si,Ti){const Hr=Fn(Si,Ti,Ae),xr=Si[Ti];Ti===0&&(Ae.flags|=16777216);let lt=iE(xr,Ae);Ti===0&&(Ae.flags^=16777216);let Ot=lt.charCodeAt(0);if(VL(Ot)&&bt(xr.declarations,ed))return w.createStringLiteral(qn(xr,Ae));if(Ti===0||HU(lt,se)){const pn=pr(w.createIdentifier(lt),16777216);return Hr&&Jv(pn,w.createNodeArray(Hr)),pn.symbol=xr,Ti>0?w.createPropertyAccessExpression(di(Si,Ti-1),pn):pn}else{Ot===91&&(lt=lt.substring(1,lt.length-1),Ot=lt.charCodeAt(0));let pn;if(VL(Ot)&&!(xr.flags&8)?pn=w.createStringLiteral(tm(lt).replace(/\\./g,qt=>qt.substring(1)),Ot===39):""+ +lt===lt&&(pn=w.createNumericLiteral(+lt)),!pn){const qt=pr(w.createIdentifier(lt),16777216);Hr&&Jv(qt,w.createNodeArray(Hr)),qt.symbol=xr,pn=qt}return w.createElementAccessExpression(di(Si,Ti-1),pn)}}}function Jf(ut){const Ae=Mo(ut);return Ae?Za(Ae)?!!(mo(Ae.expression).flags&402653316):Qs(Ae)?!!(mo(Ae.argumentExpression).flags&402653316):Ma(Ae):!1}function dp(ut){const Ae=Mo(ut);return!!(Ae&&Ma(Ae)&&(Ae.singleQuote||!$s(Ae)&&uo(fc(Ae,!1),"'")))}function wn(ut,Ae){const Ln=!!Me(ut.declarations)&&Zn(ut.declarations,Jf),Mr=!!Me(ut.declarations)&&Zn(ut.declarations,dp),di=!!(ut.flags&8192),Si=Qn(ut,Ae,Mr,Ln,di);if(Si)return Si;const Ti=Vi(ut.escapedName);return oW(Ti,os(V),Mr,Ln,di)}function Qn(ut,Ae,Ln,Mr,di){const Si=Xi(ut).nameType;if(Si){if(Si.flags&384){const Ti=""+Si.value;return!tf(Ti,os(V))&&(Mr||!cg(Ti))?w.createStringLiteral(Ti,!!Ln):cg(Ti)&&uo(Ti,"-")?w.createComputedPropertyName(w.createPrefixUnaryExpression(41,w.createNumericLiteral(-Ti))):oW(Ti,os(V),Ln,Mr,di)}if(Si.flags&8192)return w.createComputedPropertyName(Cc(Si.symbol,Ae,111551))}}function oo(ut){const Ae={...ut};return Ae.typeParameterNames&&(Ae.typeParameterNames=new Map(Ae.typeParameterNames)),Ae.typeParameterNamesByText&&(Ae.typeParameterNamesByText=new Set(Ae.typeParameterNamesByText)),Ae.typeParameterSymbolList&&(Ae.typeParameterSymbolList=new Set(Ae.typeParameterSymbolList)),Ae.tracker=new Qq(Ae,Ae.tracker.inner,Ae.tracker.moduleResolverHost),Ae}function br(ut,Ae){return ut.declarations&&An(ut.declarations,Ln=>!!yu(Ln)&&(!Ae||!!Gn(Ln,Mr=>Mr===Ae)))}function Co(ut,Ae){return!(Wr(Ae)&4)||!Cf(ut)||Me(ut.typeArguments)>=Gh(Ae.target.typeParameters)}function ss(ut){for(;ei(ut).fakeScopeForSignatureDeclaration;)ut=ut.parent;return ut}function Ts(ut,Ae,Ln,Mr,di,Si){if(!Kt(Ae)&&Mr){const xr=br(Ln,ss(Mr));if(xr&&!Bs(xr)&&!rf(xr)){const lt=yu(xr);if(ju(lt,xr,Ae)&&Co(lt,Ae)){const Ot=Wl(ut,lt,di,Si);if(Ot)return Ot}}}const Ti=ut.flags;Ae.flags&8192&&Ae.symbol===Ln&&(!ut.enclosingDeclaration||bt(Ln.declarations,xr=>jn(xr)===jn(ut.enclosingDeclaration)))&&(ut.flags|=1048576);const Hr=d(Ae,ut);return ut.flags=Ti,Hr}function ju(ut,Ae,Ln){const Mr=Ei(ut);return Mr===Ln?!0:Ao(Ae)&&Ae.questionToken?hm(Ln,524288)===Mr:!1}function Ga(ut,Ae,Ln,Mr,di){if(!Kt(Ae)&&ut.enclosingDeclaration){const Si=Ln.declaration&&nm(Ln.declaration),Ti=ss(ut.enclosingDeclaration);if(Gn(Si,Hr=>Hr===Ti)&&Si){const Hr=Ei(Si);if((Hr.flags&262144&&Hr.isThisType?so(Hr,Ln.mapper):Hr)===Ae&&Co(Si,Ae)){const lt=Wl(ut,Si,Mr,di);if(lt)return lt}}}return d(Ae,ut)}function wd(ut,Ae,Ln){let Mr=!1;const di=Vp(ut);if(lr(ut)&&(RS(di)||rg(di.parent)||xd(di.parent)&&Uj(di.parent.left)&&RS(di.parent.right)))return Mr=!0,{introducesError:Mr,node:ut};const Si=Bf(ut),Ti=Hs(di,Si,!0,!0);if(Ti&&(ki(Ti,Ae.enclosingDeclaration,Si,!1).accessibility!==0?Mr=!0:(Ae.tracker.trackSymbol(Ti,Ae.enclosingDeclaration,Si),Ln==null||Ln(Ti)),Ve(ut))){const Hr=el(Ti),xr=Ti.flags&262144?Bo(Hr,Ae):w.cloneNode(ut);return xr.symbol=Ti,{introducesError:Mr,node:pr(Cr(xr,ut),16777216)}}return{introducesError:Mr,node:ut}}function Wl(ut,Ae,Ln,Mr){i&&i.throwIfCancellationRequested&&i.throwIfCancellationRequested();let di=!1;const Si=jn(Ae),Ti=nt(Ae,Hr,ji);if(di)return;return Ti===Ae?dt(w.cloneNode(Ae),Ae):Ti;function Hr(xr){if($ae(xr)||xr.kind===326)return w.createKeywordTypeNode(133);if(Qae(xr))return w.createKeywordTypeNode(159);if($R(xr))return w.createUnionTypeNode([nt(xr.type,Hr,ji),w.createLiteralTypeNode(w.createNull())]);if(WH(xr))return w.createUnionTypeNode([nt(xr.type,Hr,ji),w.createKeywordTypeNode(157)]);if(sF(xr))return nt(xr.type,Hr);if(lF(xr))return w.createArrayTypeNode(nt(xr.type,Hr,ji));if(XS(xr))return w.createTypeLiteralNode(wt(xr.jsDocPropertyTags,qt=>{const mn=Ve(qt.name)?qt.name:qt.name.right,Wn=Xe(Ei(xr),mn.escapedText),Li=Wn&&qt.typeExpression&&Ei(qt.typeExpression.type)!==Wn?d(Wn,ut):void 0;return w.createPropertySignature(void 0,mn,qt.isBracketed||qt.typeExpression&&WH(qt.typeExpression.type)?w.createToken(58):void 0,Li||qt.typeExpression&&nt(qt.typeExpression.type,Hr,ji)||w.createKeywordTypeNode(133))}));if(Cf(xr)&&Ve(xr.typeName)&&xr.typeName.escapedText==="")return Cr(w.createKeywordTypeNode(133),xr);if((Kv(xr)||Cf(xr))&&u3(xr))return w.createTypeLiteralNode([w.createIndexSignature(void 0,[w.createParameterDeclaration(void 0,void 0,"x",void 0,nt(xr.typeArguments[0],Hr,ji))],nt(xr.typeArguments[1],Hr,ji))]);if(QR(xr))if(ER(xr)){let qt;return w.createConstructorTypeNode(void 0,Vn(xr.typeParameters,Hr,gl),Hi(xr.parameters,(mn,Wn)=>mn.name&&Ve(mn.name)&&mn.name.escapedText==="new"?(qt=mn.type,void 0):w.createParameterDeclaration(void 0,lt(mn),Ot(mn,Wn),mn.questionToken,nt(mn.type,Hr,ji),void 0)),nt(qt||xr.type,Hr,ji)||w.createKeywordTypeNode(133))}else return w.createFunctionTypeNode(Vn(xr.typeParameters,Hr,gl),wt(xr.parameters,(qt,mn)=>w.createParameterDeclaration(void 0,lt(qt),Ot(qt,mn),qt.questionToken,nt(qt.type,Hr,ji),void 0)),nt(xr.type,Hr,ji)||w.createKeywordTypeNode(133));if(Cf(xr)&&GL(xr)&&(!Co(xr,Ei(xr))||e6e(xr)||ft===DN(xr,788968,!0)))return Cr(d(Ei(xr),ut),xr);if(Vy(xr)){const qt=ei(xr).resolvedSymbol;return GL(xr)&&qt&&(!xr.isTypeOf&&!(qt.flags&788968)||!(Me(xr.typeArguments)>=Gh(kr(qt))))?Cr(d(Ei(xr),ut),xr):w.updateImportTypeNode(xr,w.updateLiteralTypeNode(xr.argument,pn(xr,xr.argument.literal)),xr.attributes,xr.qualifier,Vn(xr.typeArguments,Hr,ji),xr.isTypeOf)}if(Zd(xr)||Gl(xr)){const{introducesError:qt,node:mn}=wd(xr,ut,Ln);if(di=di||qt,mn!==xr)return mn}return Si&&cI(xr)&&bs(Si,xr.pos).line===bs(Si,xr.end).line&&pr(xr,1),In(xr,Hr,void 0);function lt(qt){return qt.dotDotDotToken||(qt.type&&lF(qt.type)?w.createToken(26):void 0)}function Ot(qt,mn){return qt.name&&Ve(qt.name)&&qt.name.escapedText==="this"?"this":lt(qt)?"args":`arg${mn}`}function pn(qt,mn){if(Mr){if(ut.tracker&&ut.tracker.moduleResolverHost){const Wn=Obe(qt);if(Wn){const Br={getCanonicalFileName:Mu(!!e.useCaseSensitiveFileNames),getCurrentDirectory:()=>ut.tracker.moduleResolverHost.getCurrentDirectory(),getCommonSourceDirectory:()=>ut.tracker.moduleResolverHost.getCommonSourceDirectory()},Go=T3(Br,Wn);return w.createStringLiteral(Go)}}}else if(ut.tracker&&ut.tracker.trackExternalModuleSymbolOfImportTypeNode){const Wn=sv(mn,mn,void 0);Wn&&ut.tracker.trackExternalModuleSymbolOfImportTypeNode(Wn)}return mn}}}function El(ut,Ae,Ln){var Mr;const di=fs(w.createPropertyDeclaration,174,!0),Si=fs((Pt,Dr,pi,Xr)=>w.createPropertySignature(Pt,Dr,pi,Xr),173,!1),Ti=Ae.enclosingDeclaration;let Hr=[];const xr=new Set,lt=[],Ot=Ae;Ae={...Ot,usedSymbolNames:new Set(Ot.usedSymbolNames),remappedSymbolNames:new Map,remappedSymbolReferences:new Map((Mr=Ot.remappedSymbolReferences)==null?void 0:Mr.entries()),tracker:void 0};const pn={...Ot.tracker.inner,trackSymbol:(Pt,Dr,pi)=>{var Xr,ci;if((Xr=Ae.remappedSymbolNames)!=null&&Xr.has(Ta(Pt)))return!1;if(ki(Pt,Dr,pi,!1).accessibility===0){const da=ln(Pt,Ae,pi);if(!(Pt.flags&4)){const qo=da[0],zs=jn(Ot.enclosingDeclaration);bt(qo.declarations,ms=>jn(ms)===zs)&&Ns(qo)}}else if((ci=Ot.tracker.inner)!=null&&ci.trackSymbol)return Ot.tracker.inner.trackSymbol(Pt,Dr,pi);return!1}};Ae.tracker=new Qq(Ae,pn,Ot.tracker.moduleResolverHost),Gc(ut,(Pt,Dr)=>{const pi=Vi(Dr);qm(Pt,pi)});let qt=!Ln;const mn=ut.get("export=");return mn&&ut.size>1&&mn.flags&2098688&&(ut=ua(),ut.set("export=",mn)),Sa(ut),ni(Hr);function Wn(Pt){return!!Pt&&Pt.kind===80}function Li(Pt){return Ll(Pt)?nr(wt(Pt.declarationList.declarations,Mo),Wn):nr([Mo(Pt)],Wn)}function Br(Pt){const Dr=An(Pt,kl),pi=Gr(Pt,Xl);let Xr=pi!==-1?Pt[pi]:void 0;if(Xr&&Dr&&Dr.isExportEquals&&Ve(Dr.expression)&&Ve(Xr.name)&&Sr(Xr.name)===Sr(Dr.expression)&&Xr.body&&O_(Xr.body)){const ci=nr(Pt,qo=>!!(pd(qo)&32)),ns=Xr.name;let da=Xr.body;if(Me(ci)&&(Xr=w.updateModuleDeclaration(Xr,Xr.modifiers,Xr.name,da=w.updateModuleBlock(da,w.createNodeArray([...Xr.body.statements,w.createExportDeclaration(void 0,!1,w.createNamedExports(wt(Pi(ci,qo=>Li(qo)),qo=>w.createExportSpecifier(!1,void 0,qo))),void 0)]))),Pt=[...Pt.slice(0,pi),Xr,...Pt.slice(pi+1)]),!An(Pt,qo=>qo!==Xr&&uL(qo,ns))){Hr=[];const qo=!bt(da.statements,zs=>Zr(zs,32)||kl(zs)||Yl(zs));Ue(da.statements,zs=>{Ks(zs,qo?32:0)}),Pt=[...nr(Pt,zs=>zs!==Xr&&zs!==Dr),...Hr]}}return Pt}function Go(Pt){const Dr=nr(Pt,Xr=>Yl(Xr)&&!Xr.moduleSpecifier&&!!Xr.exportClause&&Pf(Xr.exportClause));Me(Dr)>1&&(Pt=[...nr(Pt,ci=>!Yl(ci)||!!ci.moduleSpecifier||!ci.exportClause),w.createExportDeclaration(void 0,!1,w.createNamedExports(Pi(Dr,ci=>aa(ci.exportClause,Pf).elements)),void 0)]);const pi=nr(Pt,Xr=>Yl(Xr)&&!!Xr.moduleSpecifier&&!!Xr.exportClause&&Pf(Xr.exportClause));if(Me(pi)>1){const Xr=YN(pi,ci=>Ma(ci.moduleSpecifier)?">"+ci.moduleSpecifier.text:">");if(Xr.length!==pi.length)for(const ci of Xr)ci.length>1&&(Pt=[...nr(Pt,ns=>!ci.includes(ns)),w.createExportDeclaration(void 0,!1,w.createNamedExports(Pi(ci,ns=>aa(ns.exportClause,Pf).elements)),ci[0].moduleSpecifier)])}return Pt}function Nn(Pt){const Dr=Gr(Pt,pi=>Yl(pi)&&!pi.moduleSpecifier&&!pi.attributes&&!!pi.exportClause&&Pf(pi.exportClause));if(Dr>=0){const pi=Pt[Dr],Xr=Hi(pi.exportClause.elements,ci=>{if(!ci.propertyName){const ns=OM(Pt),da=nr(ns,qo=>uL(Pt[qo],ci.name));if(Me(da)&&Zn(da,qo=>Ik(Pt[qo]))){for(const qo of da)Pt[qo]=Zi(Pt[qo]);return}}return ci});Me(Xr)?Pt[Dr]=w.updateExportDeclaration(pi,pi.modifiers,pi.isTypeOnly,w.updateNamedExports(pi.exportClause,Xr),pi.moduleSpecifier,pi.attributes):Dy(Pt,Dr)}return Pt}function ni(Pt){return Pt=Br(Pt),Pt=Go(Pt),Pt=Nn(Pt),Ti&&($i(Ti)&&zp(Ti)||Xl(Ti))&&(!bt(Pt,EL)||!Kre(Pt)&&bt(Pt,C4))&&Pt.push(tw(w)),Pt}function Zi(Pt){const Dr=(pd(Pt)|32)&-129;return w.replaceModifiers(Pt,Dr)}function fo(Pt){const Dr=pd(Pt)&-33;return w.replaceModifiers(Pt,Dr)}function Sa(Pt,Dr,pi){Dr||lt.push(new Map),Pt.forEach(Xr=>{Ec(Xr,!1,!!pi)}),Dr||(lt[lt.length-1].forEach(Xr=>{Ec(Xr,!0,!!pi)}),lt.pop())}function Ec(Pt,Dr,pi){const Xr=rs(Pt);if(xr.has(Ta(Xr)))return;if(xr.add(Ta(Xr)),!Dr||Me(Pt.declarations)&&bt(Pt.declarations,ns=>!!Gn(ns,da=>da===Ti))){const ns=Ae;Ae=oo(Ae),ks(Pt,Dr,pi),Ae.reportedDiagnostic&&(Ot.reportedDiagnostic=Ae.reportedDiagnostic),Ae.trackedSymbols&&(ns.trackedSymbols?N.assert(Ae.trackedSymbols===ns.trackedSymbols):ns.trackedSymbols=Ae.trackedSymbols),Ae=ns}}function ks(Pt,Dr,pi,Xr=Pt.escapedName){var ci,ns,da,qo,zs,ms;const Va=Vi(Xr),Nu=Xr==="default";if(Dr&&!(Ae.flags&131072)&&GA(Va)&&!Nu){Ae.encounteredError=!0;return}let Cu=Nu&&!!(Pt.flags&-113||Pt.flags&16&&Me(gs(dr(Pt))))&&!(Pt.flags&2097152),Sd=!Cu&&!Dr&&GA(Va)&&!Nu;(Cu||Sd)&&(Dr=!0);const Jl=(Dr?0:32)|(Nu&&!Cu?2048:0),Pu=Pt.flags&1536&&Pt.flags&7&&Xr!=="export=",$d=Pu&&Cs(dr(Pt),Pt);if((Pt.flags&8208||$d)&&Tn(dr(Pt),Pt,qm(Pt,Va),Jl),Pt.flags&524288&&E_(Pt,Va,Jl),Pt.flags&98311&&Xr!=="export="&&!(Pt.flags&4194304)&&!(Pt.flags&32)&&!(Pt.flags&8192)&&!$d)if(pi)Da(Pt)&&(Sd=!1,Cu=!1);else{const ou=dr(Pt),wp=qm(Pt,Va);if(ou.symbol&&ou.symbol!==Pt&&ou.symbol.flags&16&&bt(ou.symbol.declarations,QE)&&((ci=ou.symbol.members)!=null&&ci.size||(ns=ou.symbol.exports)!=null&&ns.size))Ae.remappedSymbolReferences||(Ae.remappedSymbolReferences=new Map),Ae.remappedSymbolReferences.set(Ta(ou.symbol),Pt),ks(ou.symbol,Dr,pi,Xr),Ae.remappedSymbolReferences.delete(Ta(ou.symbol));else if(!(Pt.flags&16)&&Cs(ou,Pt))Tn(ou,Pt,wp,Jl);else{const Dx=Pt.flags&2?rM(Pt)?2:1:(da=Pt.parent)!=null&&da.valueDeclaration&&$i((qo=Pt.parent)==null?void 0:qo.valueDeclaration)?2:void 0,ih=Cu||!(Pt.flags&4)?wp:S_(wp,Pt);let Yb=Pt.declarations&&An(Pt.declarations,p6=>wi(p6));Yb&&Uc(Yb.parent)&&Yb.parent.declarations.length===1&&(Yb=Yb.parent.parent);const $b=(zs=Pt.declarations)==null?void 0:zs.find(Fr);if($b&&mr($b.parent)&&Ve($b.parent.right)&&((ms=ou.symbol)!=null&&ms.valueDeclaration)&&$i(ou.symbol.valueDeclaration)){const p6=wp===$b.parent.right.escapedText?void 0:$b.parent.right;Ks(w.createExportDeclaration(void 0,!1,w.createNamedExports([w.createExportSpecifier(!1,p6,wp)])),0),Ae.tracker.trackSymbol(ou.symbol,Ae.enclosingDeclaration,111551)}else{const p6=dt(w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(ih,void 0,Ts(Ae,ou,Pt,Ti,Ns,Ln))],Dx)),Yb);Ks(p6,ih!==wp?Jl&-33:Jl),ih!==wp&&!Dr&&(Ks(w.createExportDeclaration(void 0,!1,w.createNamedExports([w.createExportSpecifier(!1,ih,wp)])),0),Sd=!1,Cu=!1)}}}if(Pt.flags&384&&Rr(Pt,Va,Jl),Pt.flags&32&&(Pt.flags&4&&Pt.valueDeclaration&&mr(Pt.valueDeclaration.parent)&&Zc(Pt.valueDeclaration.parent.right)?vi(Pt,qm(Pt,Va),Jl):_a(Pt,qm(Pt,Va),Jl)),(Pt.flags&1536&&(!Pu||Zt(Pt))||$d)&&Kn(Pt,Va,Jl),Pt.flags&64&&!(Pt.flags&32)&&rt(Pt,Va,Jl),Pt.flags&2097152&&vi(Pt,qm(Pt,Va),Jl),Pt.flags&4&&Pt.escapedName==="export="&&Da(Pt),Pt.flags&8388608&&Pt.declarations)for(const ou of Pt.declarations){const wp=vd(ou,ou.moduleSpecifier);wp&&Ks(w.createExportDeclaration(void 0,ou.isTypeOnly,void 0,w.createStringLiteral(qn(wp,Ae))),0)}Cu?Ks(w.createExportAssignment(void 0,!1,w.createIdentifier(qm(Pt,Va))),0):Sd&&Ks(w.createExportDeclaration(void 0,!1,w.createNamedExports([w.createExportSpecifier(!1,qm(Pt,Va),Va)])),0)}function Ns(Pt){if(bt(Pt.declarations,HE))return;N.assertIsDefined(lt[lt.length-1]),S_(Vi(Pt.escapedName),Pt);const Dr=!!(Pt.flags&2097152)&&!bt(Pt.declarations,pi=>!!Gn(pi,Yl)||Th(pi)||tu(pi)&&!Ah(pi.moduleReference));lt[Dr?0:lt.length-1].set(Ta(Pt),Pt)}function Du(Pt){return $i(Pt)&&(zp(Pt)||Qf(Pt))||ku(Pt)&&!R_(Pt)}function Ks(Pt,Dr){if(Cm(Pt)){let pi=0;const Xr=Ae.enclosingDeclaration&&(Zf(Ae.enclosingDeclaration)?jn(Ae.enclosingDeclaration):Ae.enclosingDeclaration);Dr&32&&Xr&&(Du(Xr)||Xl(Xr))&&Ik(Pt)&&(pi|=32),qt&&!(pi&32)&&(!Xr||!(Xr.flags&33554432))&&(x0(Pt)||Ll(Pt)||Ac(Pt)||Ic(Pt)||Xl(Pt))&&(pi|=128),Dr&2048&&(Ic(Pt)||hd(Pt)||Ac(Pt))&&(pi|=2048),pi&&(Pt=w.replaceModifiers(Pt,pi|pd(Pt)))}Hr.push(Pt)}function E_(Pt,Dr,pi){var Xr;const ci=c8e(Pt),ns=Xi(Pt).typeParameters,da=wt(ns,Cu=>gt(Cu,Ae)),qo=(Xr=Pt.declarations)==null?void 0:Xr.find(Zf),zs=fL(qo?qo.comment||qo.parent.comment:void 0),ms=Ae.flags;Ae.flags|=8388608;const Va=Ae.enclosingDeclaration;Ae.enclosingDeclaration=qo;const Nu=qo&&qo.typeExpression&&d1(qo.typeExpression)&&Wl(Ae,qo.typeExpression.type,Ns,Ln)||d(ci,Ae);Ks(I0(w.createTypeAliasDeclaration(void 0,qm(Pt,Dr),da,Nu),zs?[{kind:3,text:`*
+ * `+zs.replace(/\n/g,`
+ * `)+`
+ `,pos:-1,end:-1,hasTrailingNewLine:!0}]:[]),pi),Ae.flags=ms,Ae.enclosingDeclaration=Va}function rt(Pt,Dr,pi){const Xr=Vf(Pt),ci=kr(Pt),ns=wt(ci,Sd=>gt(Sd,Ae)),da=Mp(Xr),qo=Me(da)?ba(da):void 0,zs=Pi(gs(Xr),Sd=>Pc(Sd,qo)),ms=qh(0,Xr,qo,179),Va=qh(1,Xr,qo,180),Nu=Av(Xr,qo),Cu=Me(da)?[w.createHeritageClause(96,Hi(da,Sd=>fA(Sd,111551)))]:void 0;Ks(w.createInterfaceDeclaration(void 0,qm(Pt,Dr),ns,Cu,[...Nu,...Va,...ms,...zs]),pi)}function St(Pt){let Dr=zo(Cp(Pt).values());const pi=rs(Pt);if(pi!==Pt){const Xr=new Set(Dr);for(const ci of Cp(pi).values())Au(jl(ci))&111551||Xr.add(ci);Dr=zo(Xr)}return nr(Dr,Xr=>zi(Xr)&&tf(Xr.escapedName,99))}function Zt(Pt){return Zn(St(Pt),Dr=>!(Au(jl(Dr))&111551))}function Kn(Pt,Dr,pi){const Xr=St(Pt),ci=FM(Xr,qo=>qo.parent&&qo.parent===Pt?"real":"merged"),ns=ci.get("real")||et,da=ci.get("merged")||et;if(Me(ns)){const qo=qm(Pt,Dr);qr(ns,qo,pi,!!(Pt.flags&67108880))}if(Me(da)){const qo=jn(Ae.enclosingDeclaration),zs=qm(Pt,Dr),ms=w.createModuleBlock([w.createExportDeclaration(void 0,!1,w.createNamedExports(Hi(nr(da,Va=>Va.escapedName!=="export="),Va=>{var Nu,Cu;const Sd=Vi(Va.escapedName),Jl=qm(Va,Sd),Pu=Va.declarations&&Fm(Va);if(qo&&(Pu?qo!==jn(Pu):!bt(Va.declarations,wp=>jn(wp)===qo))){(Cu=(Nu=Ae.tracker)==null?void 0:Nu.reportNonlocalAugmentation)==null||Cu.call(Nu,qo,Pt,Va);return}const $d=Pu&&Hp(Pu,!0);Ns($d||Va);const ou=$d?qm($d,Vi($d.escapedName)):Jl;return w.createExportSpecifier(!1,Sd===ou?void 0:ou,Sd)})))]);Ks(w.createModuleDeclaration(void 0,w.createIdentifier(zs),ms,32),0)}}function Rr(Pt,Dr,pi){Ks(w.createEnumDeclaration(w.createModifiersFromModifierFlags(rbe(Pt)?4096:0),qm(Pt,Dr),wt(nr(gs(dr(Pt)),Xr=>!!(Xr.flags&8)),Xr=>{const ci=Xr.declarations&&Xr.declarations[0]&&u1(Xr.declarations[0])?Lbe(Xr.declarations[0]):void 0;return w.createEnumMember(Vi(Xr.escapedName),ci===void 0?void 0:typeof ci=="string"?w.createStringLiteral(ci):w.createNumericLiteral(ci))})),pi)}function Tn(Pt,Dr,pi,Xr){const ci=Yo(Pt,0);for(const ns of ci){const da=be(ns,262,Ae,{name:w.createIdentifier(pi),privateSymbolVisitor:Ns,bundledImports:Ln});Ks(dt(da,gr(ns)),Xr)}if(!(Dr.flags&1536&&Dr.exports&&Dr.exports.size)){const ns=nr(gs(Pt),zi);qr(ns,pi,Xr,!0)}}function gr(Pt){if(Pt.declaration&&Pt.declaration.parent){if(mr(Pt.declaration.parent)&&Bl(Pt.declaration.parent)===5)return Pt.declaration.parent;if(wi(Pt.declaration.parent)&&Pt.declaration.parent.parent)return Pt.declaration.parent.parent}return Pt.declaration}function qr(Pt,Dr,pi,Xr){if(Me(Pt)){const ns=FM(Pt,Jl=>!Me(Jl.declarations)||bt(Jl.declarations,Pu=>jn(Pu)===jn(Ae.enclosingDeclaration))?"local":"remote").get("local")||et;let da=Ih.createModuleDeclaration(void 0,w.createIdentifier(Dr),w.createModuleBlock([]),32);qa(da,Ti),da.locals=ua(Pt),da.symbol=Pt[0].parent;const qo=Hr;Hr=[];const zs=qt;qt=!1;const ms={...Ae,enclosingDeclaration:da},Va=Ae;Ae=ms,Sa(ua(ns),Xr,!0),Ae=Va,qt=zs;const Nu=Hr;Hr=qo;const Cu=wt(Nu,Jl=>kl(Jl)&&!Jl.isExportEquals&&Ve(Jl.expression)?w.createExportDeclaration(void 0,!1,w.createNamedExports([w.createExportSpecifier(!1,Jl.expression,w.createIdentifier("default"))])):Jl),Sd=Zn(Cu,Jl=>Zr(Jl,32))?wt(Cu,fo):Cu;da=w.updateModuleDeclaration(da,da.modifiers,da.name,w.createModuleBlock(Sd)),Ks(da,pi)}}function zi(Pt){return!!(Pt.flags&2887656)||!(Pt.flags&4194304||Pt.escapedName==="prototype"||Pt.valueDeclaration&&sa(Pt.valueDeclaration)&&ui(Pt.valueDeclaration.parent))}function Fo(Pt){const Dr=Hi(Pt,pi=>{const Xr=Ae.enclosingDeclaration;Ae.enclosingDeclaration=pi;let ci=pi.expression;if(Gl(ci)){if(Ve(ci)&&Sr(ci)==="")return ns(void 0);let da;if({introducesError:da,node:ci}=wd(ci,Ae,Ns),da)return ns(void 0)}return ns(w.createExpressionWithTypeArguments(ci,wt(pi.typeArguments,da=>Wl(Ae,da,Ns,Ln)||d(Ei(da),Ae))));function ns(da){return Ae.enclosingDeclaration=Xr,da}});if(Dr.length===Pt.length)return Dr}function _a(Pt,Dr,pi){var Xr,ci;const ns=(Xr=Pt.declarations)==null?void 0:Xr.find(ui),da=Ae.enclosingDeclaration;Ae.enclosingDeclaration=ns||da;const qo=kr(Pt),zs=wt(qo,oh=>gt(oh,Ae)),ms=Kp(Vf(Pt)),Va=Mp(ms),Nu=ns&&AR(ns),Cu=Nu&&Fo(Nu)||Hi(f_(ms),hM),Sd=dr(Pt),Jl=!!((ci=Sd.symbol)!=null&&ci.valueDeclaration)&&ui(Sd.symbol.valueDeclaration),Pu=Jl?Pp(Sd):j,$d=[...Me(Va)?[w.createHeritageClause(96,wt(Va,oh=>pA(oh,Pu,Dr)))]:[],...Me(Cu)?[w.createHeritageClause(119,Cu)]:[]],ou=xvt(ms,Va,gs(ms)),wp=nr(ou,oh=>{const gM=oh.valueDeclaration;return!!gM&&!(ld(gM)&&Ji(gM.name))}),ih=bt(ou,oh=>{const gM=oh.valueDeclaration;return!!gM&&ld(gM)&&Ji(gM.name)})?[w.createPropertyDeclaration(void 0,w.createPrivateIdentifier("#private"),void 0,void 0,void 0)]:et,Yb=Pi(wp,oh=>di(oh,!1,Va[0])),$b=Pi(nr(gs(Sd),oh=>!(oh.flags&4194304)&&oh.escapedName!=="prototype"&&!zi(oh)),oh=>di(oh,!0,Pu)),jbt=!Jl&&!!Pt.valueDeclaration&&lr(Pt.valueDeclaration)&&!bt(Yo(Sd,1))?[w.createConstructorDeclaration(w.createModifiersFromModifierFlags(2),[],void 0)]:qh(1,Sd,Pu,176),Ubt=Av(ms,Va[0]);Ae.enclosingDeclaration=da,Ks(dt(w.createClassDeclaration(void 0,Dr,zs,$d,[...Ubt,...$b,...jbt,...Yb,...ih]),Pt.declarations&&nr(Pt.declarations,oh=>Ic(oh)||Zc(oh))[0]),pi)}function ha(Pt){return Ut(Pt,Dr=>{if(np(Dr)||Ku(Dr))return Sr(Dr.propertyName||Dr.name);if(mr(Dr)||kl(Dr)){const pi=kl(Dr)?Dr.expression:Dr.right;if(Fr(pi))return Sr(pi.name)}if(yb(Dr)){const pi=Mo(Dr);if(pi&&Ve(pi))return Sr(pi)}})}function vi(Pt,Dr,pi){var Xr,ci,ns,da,qo,zs;const ms=Fm(Pt);if(!ms)return N.fail();const Va=rs(Hp(ms,!0));if(!Va)return;let Nu=EC(Va)&&ha(Pt.declarations)||Vi(Va.escapedName);Nu==="export="&&$&&(Nu="default");const Cu=qm(Va,Nu);switch(Ns(Va),ms.kind){case 208:if(((ci=(Xr=ms.parent)==null?void 0:Xr.parent)==null?void 0:ci.kind)===260){const Pu=qn(Va.parent||Va,Ae),{propertyName:$d}=ms;Ks(w.createImportDeclaration(void 0,w.createImportClause(!1,void 0,w.createNamedImports([w.createImportSpecifier(!1,$d&&Ve($d)?w.createIdentifier(Sr($d)):void 0,w.createIdentifier(Dr))])),w.createStringLiteral(Pu),void 0),0);break}N.failBadSyntaxKind(((ns=ms.parent)==null?void 0:ns.parent)||ms,"Unhandled binding element grandparent kind in declaration serialization");break;case 304:((qo=(da=ms.parent)==null?void 0:da.parent)==null?void 0:qo.kind)===226&&wo(Vi(Pt.escapedName),Cu);break;case 260:if(Fr(ms.initializer)){const Pu=ms.initializer,$d=w.createUniqueName(Dr),ou=qn(Va.parent||Va,Ae);Ks(w.createImportEqualsDeclaration(void 0,!1,$d,w.createExternalModuleReference(w.createStringLiteral(ou))),0),Ks(w.createImportEqualsDeclaration(void 0,!1,w.createIdentifier(Dr),w.createQualifiedName($d,Pu.name)),pi);break}case 271:if(Va.escapedName==="export="&&bt(Va.declarations,Pu=>$i(Pu)&&Qf(Pu))){Da(Pt);break}const Sd=!(Va.flags&512)&&!wi(ms);Ks(w.createImportEqualsDeclaration(void 0,!1,w.createIdentifier(Dr),Sd?_o(Va,Ae,-1,!1):w.createExternalModuleReference(w.createStringLiteral(qn(Va,Ae)))),Sd?pi:0);break;case 270:Ks(w.createNamespaceExportDeclaration(Sr(ms.name)),0);break;case 273:{const Pu=qn(Va.parent||Va,Ae),$d=Ln?w.createStringLiteral(Pu):ms.parent.moduleSpecifier;Ks(w.createImportDeclaration(void 0,w.createImportClause(!1,w.createIdentifier(Dr),void 0),$d,ms.parent.attributes),0);break}case 274:{const Pu=qn(Va.parent||Va,Ae),$d=Ln?w.createStringLiteral(Pu):ms.parent.parent.moduleSpecifier;Ks(w.createImportDeclaration(void 0,w.createImportClause(!1,void 0,w.createNamespaceImport(w.createIdentifier(Dr))),$d,ms.parent.attributes),0);break}case 280:Ks(w.createExportDeclaration(void 0,!1,w.createNamespaceExport(w.createIdentifier(Dr)),w.createStringLiteral(qn(Va,Ae))),0);break;case 276:{const Pu=qn(Va.parent||Va,Ae),$d=Ln?w.createStringLiteral(Pu):ms.parent.parent.parent.moduleSpecifier;Ks(w.createImportDeclaration(void 0,w.createImportClause(!1,void 0,w.createNamedImports([w.createImportSpecifier(!1,Dr!==Nu?w.createIdentifier(Nu):void 0,w.createIdentifier(Dr))])),$d,ms.parent.parent.parent.attributes),0);break}case 281:const Jl=ms.parent.parent.moduleSpecifier;Jl&&((zs=ms.propertyName)==null?void 0:zs.escapedText)==="default"&&(Nu="default"),wo(Vi(Pt.escapedName),Jl?Nu:Cu,Jl&&ls(Jl)?w.createStringLiteral(Jl.text):void 0);break;case 277:Da(Pt);break;case 226:case 211:case 212:Pt.escapedName==="default"||Pt.escapedName==="export="?Da(Pt):wo(Dr,Cu);break;default:return N.failBadSyntaxKind(ms,"Unhandled alias declaration kind in symbol serializer!")}}function wo(Pt,Dr,pi){Ks(w.createExportDeclaration(void 0,!1,w.createNamedExports([w.createExportSpecifier(!1,Pt!==Dr?Dr:void 0,Pt)]),pi),0)}function Da(Pt){var Dr;if(Pt.flags&4194304)return!1;const pi=Vi(Pt.escapedName),Xr=pi==="export=",ns=Xr||pi==="default",da=Pt.declarations&&Fm(Pt),qo=da&&Hp(da,!0);if(qo&&Me(qo.declarations)&&bt(qo.declarations,zs=>jn(zs)===jn(Ti))){const zs=da&&(kl(da)||mr(da)?Zj(da):Yie(da)),ms=zs&&Gl(zs)?Bvt(zs):void 0,Va=ms&&Hs(ms,-1,!0,!0,Ti);(Va||qo)&&Ns(Va||qo);const Nu=Ae.tracker.disableTrackSymbol;if(Ae.tracker.disableTrackSymbol=!0,ns)Hr.push(w.createExportAssignment(void 0,Xr,Cc(qo,Ae,-1)));else if(ms===zs&&ms)wo(pi,Sr(ms));else if(zs&&Zc(zs))wo(pi,qm(qo,Sl(qo)));else{const Cu=S_(pi,Pt);Ks(w.createImportEqualsDeclaration(void 0,!1,w.createIdentifier(Cu),_o(qo,Ae,-1,!1)),0),wo(pi,Cu)}return Ae.tracker.disableTrackSymbol=Nu,!0}else{const zs=S_(pi,Pt),ms=Xp(dr(rs(Pt)));if(Cs(ms,Pt))Tn(ms,Pt,zs,ns?0:32);else{const Va=((Dr=Ae.enclosingDeclaration)==null?void 0:Dr.kind)===267&&(!(Pt.flags&98304)||Pt.flags&65536)?1:2,Nu=w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(zs,void 0,Ts(Ae,ms,Pt,Ti,Ns,Ln))],Va));Ks(Nu,qo&&qo.flags&4&&qo.escapedName==="export="?128:pi===zs?32:0)}return ns?(Hr.push(w.createExportAssignment(void 0,Xr,w.createIdentifier(zs))),!0):pi!==zs?(wo(pi,zs),!0):!1}}function Cs(Pt,Dr){const pi=jn(Ae.enclosingDeclaration);return Wr(Pt)&48&&!Me(yd(Pt))&&!j1(Pt)&&!!(Me(nr(gs(Pt),zi))||Me(Yo(Pt,0)))&&!Me(Yo(Pt,1))&&!br(Dr,Ti)&&!(Pt.symbol&&bt(Pt.symbol.declarations,Xr=>jn(Xr)!==pi))&&!bt(gs(Pt),Xr=>x8(Xr.escapedName))&&!bt(gs(Pt),Xr=>bt(Xr.declarations,ci=>jn(ci)!==pi))&&Zn(gs(Pt),Xr=>tf(Sl(Xr),se)?Xr.flags&98304?kb(Xr)===U1(Xr):!0:!1)}function fs(Pt,Dr,pi){return function(ci,ns,da){var qo,zs,ms,Va,Nu;const Cu=Df(ci),Sd=!!(Cu&2);if(ns&&ci.flags&2887656)return[];if(ci.flags&4194304||ci.escapedName==="constructor"||da&&ya(da,ci.escapedName)&&b_(ya(da,ci.escapedName))===b_(ci)&&(ci.flags&16777216)===(ya(da,ci.escapedName).flags&16777216)&&vv(dr(ci),Xe(da,ci.escapedName)))return[];const Jl=Cu&-1025|(ns?256:0),Pu=wn(ci,Ae),$d=(qo=ci.declarations)==null?void 0:qo.find(Ym(Jo,Oy,wi,yp,mr,Fr));if(ci.flags&98304&&pi){const ou=[];if(ci.flags&65536){const wp=ci.declarations&&Ue(ci.declarations,ih=>{if(ih.kind===178)return ih;if(la(ih)&&DS(ih))return Ue(ih.arguments[2].properties,Yb=>{const $b=Mo(Yb);if($b&&Ve($b)&&Sr($b)==="set")return Yb})});N.assert(!!wp);const Dx=Bs(wp)?fm(wp).parameters[0]:void 0;ou.push(dt(w.createSetAccessorDeclaration(w.createModifiersFromModifierFlags(Jl),Pu,[w.createParameterDeclaration(void 0,void 0,Dx?cn(Dx,Dt(Dx),Ae):"value",void 0,Sd?void 0:Ts(Ae,dr(ci),ci,Ti,Ns,Ln))],void 0),((zs=ci.declarations)==null?void 0:zs.find(Fv))||$d))}if(ci.flags&32768){const wp=Cu&2;ou.push(dt(w.createGetAccessorDeclaration(w.createModifiersFromModifierFlags(Jl),Pu,[],wp?void 0:Ts(Ae,dr(ci),ci,Ti,Ns,Ln),void 0),((ms=ci.declarations)==null?void 0:ms.find(Fy))||$d))}return ou}else if(ci.flags&98311)return dt(Pt(w.createModifiersFromModifierFlags((b_(ci)?8:0)|Jl),Pu,ci.flags&16777216?w.createToken(58):void 0,Sd?void 0:Ts(Ae,U1(ci),ci,Ti,Ns,Ln),void 0),((Va=ci.declarations)==null?void 0:Va.find(Ym(Jo,wi)))||$d);if(ci.flags&8208){const ou=dr(ci),wp=Yo(ou,0);if(Jl&2)return dt(Pt(w.createModifiersFromModifierFlags((b_(ci)?8:0)|Jl),Pu,ci.flags&16777216?w.createToken(58):void 0,void 0,void 0),((Nu=ci.declarations)==null?void 0:Nu.find(Bs))||wp[0]&&wp[0].declaration||ci.declarations&&ci.declarations[0]);const Dx=[];for(const ih of wp){const Yb=be(ih,Dr,Ae,{name:Pu,questionToken:ci.flags&16777216?w.createToken(58):void 0,modifiers:Jl?w.createModifiersFromModifierFlags(Jl):void 0}),$b=ih.declaration&&XL(ih.declaration.parent)?ih.declaration.parent:ih.declaration;Dx.push(dt(Yb,$b))}return Dx}return N.fail(`Unhandled class member kind! ${ci.__debugFlags||ci.flags}`)}}function Pc(Pt,Dr){return Si(Pt,!1,Dr)}function qh(Pt,Dr,pi,Xr){const ci=Yo(Dr,Pt);if(Pt===1){if(!pi&&Zn(ci,qo=>Me(qo.parameters)===0))return[];if(pi){const qo=Yo(pi,1);if(!Me(qo)&&Zn(ci,zs=>Me(zs.parameters)===0))return[];if(qo.length===ci.length){let zs=!1;for(let ms=0;ms<qo.length;ms++)if(!z9(ci[ms],qo[ms],!1,!1,!0,z8)){zs=!0;break}if(!zs)return[]}}let da=0;for(const qo of ci)qo.declaration&&(da|=jA(qo.declaration,6));if(da)return[dt(w.createConstructorDeclaration(w.createModifiersFromModifierFlags(da),[],void 0),ci[0].declaration)]}const ns=[];for(const da of ci){const qo=be(da,Xr,Ae);ns.push(dt(qo,da.declaration))}return ns}function Av(Pt,Dr){const pi=[];for(const Xr of yd(Pt)){if(Dr){const ci=xg(Dr,Xr.keyType);if(ci&&vv(Xr.type,ci.type))continue}pi.push(re(Xr,Ae,void 0))}return pi}function pA(Pt,Dr,pi){const Xr=fA(Pt,111551);if(Xr)return Xr;const ci=S_(`${pi}_base`),ns=w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(ci,void 0,d(Dr,Ae))],2));return Ks(ns,0),w.createExpressionWithTypeArguments(w.createIdentifier(ci),void 0)}function fA(Pt,Dr){let pi,Xr;if(Pt.target&&on(Pt.target.symbol,Ti,Dr)?(pi=wt(Js(Pt),ci=>d(ci,Ae)),Xr=Cc(Pt.target.symbol,Ae,788968)):Pt.symbol&&on(Pt.symbol,Ti,Dr)&&(Xr=Cc(Pt.symbol,Ae,788968)),Xr)return w.createExpressionWithTypeArguments(Xr,pi)}function hM(Pt){const Dr=fA(Pt,788968);if(Dr)return Dr;if(Pt.symbol)return w.createExpressionWithTypeArguments(Cc(Pt.symbol,Ae,788968),void 0)}function S_(Pt,Dr){var pi,Xr;const ci=Dr?Ta(Dr):void 0;if(ci&&Ae.remappedSymbolNames.has(ci))return Ae.remappedSymbolNames.get(ci);Dr&&(Pt=Rx(Dr,Pt));let ns=0;const da=Pt;for(;(pi=Ae.usedSymbolNames)!=null&&pi.has(Pt);)ns++,Pt=`${da}_${ns}`;return(Xr=Ae.usedSymbolNames)==null||Xr.add(Pt),ci&&Ae.remappedSymbolNames.set(ci,Pt),Pt}function Rx(Pt,Dr){if(Dr==="default"||Dr==="__class"||Dr==="__function"){const pi=Ae.flags;Ae.flags|=16777216;const Xr=iE(Pt,Ae);Ae.flags=pi,Dr=Xr.length>0&&VL(Xr.charCodeAt(0))?tm(Xr):Xr}return Dr==="default"?Dr="_default":Dr==="export="&&(Dr="_exports"),Dr=tf(Dr,se)&&!GA(Dr)?Dr:"_"+Dr.replace(/[^a-zA-Z0-9]/g,"_"),Dr}function qm(Pt,Dr){const pi=Ta(Pt);return Ae.remappedSymbolNames.has(pi)?Ae.remappedSymbolNames.get(pi):(Dr=Rx(Pt,Dr),Ae.remappedSymbolNames.set(pi,Dr),Dr)}}}function Wh(n,a,c=16384,d){return d?_(d).getText():yC(_);function _(y){const x=w.createTypePredicateNode(n.kind===2||n.kind===3?w.createToken(131):void 0,n.kind===1||n.kind===3?w.createIdentifier(n.parameterName):w.createThisTypeNode(),n.type&&At.typeToTypeNode(n.type,a,BT(c)|70221824|512)),k=g1(),z=a&&jn(a);return k.writeNode(4,x,z,y),y}}function gN(n){const a=[];let c=0;for(let d=0;d<n.length;d++){const _=n[d];if(c|=_.flags,!(_.flags&98304)){if(_.flags&1568){const y=_.flags&512?Ni:VZ(_);if(y.flags&1048576){const x=y.types.length;if(d+x<=n.length&&Ed(n[d+x-1])===Ed(y.types[x-1])){a.push(y),d+=x-1;continue}}}a.push(_)}}return c&65536&&a.push(me),c&32768&&a.push(Oe),a||n}function GT(n){return n===2?"private":n===4?"protected":"public"}function u9(n){if(n.symbol&&n.symbol.flags&2048&&n.symbol.declarations){const a=nk(n.symbol.declarations[0].parent);if(Nm(a))return Ir(a)}}function Nb(n){return n&&n.parent&&n.parent.kind===268&&WE(n.parent.parent)}function S8(n){return n.kind===312||ku(n)}function T8(n,a){const c=Xi(n).nameType;if(c){if(c.flags&384){const d=""+c.value;return!tf(d,os(V))&&!cg(d)?`"${og(d,34)}"`:cg(d)&&uo(d,"-")?`[${d}]`:d}if(c.flags&8192)return`[${iE(c.symbol,a)}]`}}function iE(n,a){var c;if((c=a==null?void 0:a.remappedSymbolReferences)!=null&&c.has(Ta(n))&&(n=a.remappedSymbolReferences.get(Ta(n))),a&&n.escapedName==="default"&&!(a.flags&16384)&&(!(a.flags&16777216)||!n.declarations||a.enclosingDeclaration&&Gn(n.declarations[0],S8)!==Gn(a.enclosingDeclaration,S8)))return"default";if(n.declarations&&n.declarations.length){let _=Ut(n.declarations,x=>Mo(x)?x:void 0);const y=_&&Mo(_);if(_&&y){if(la(_)&&DS(_))return Sl(n);if(Za(y)&&!(xl(n)&4096)){const x=Xi(n).nameType;if(x&&x.flags&384){const k=T8(n,a);if(k!==void 0)return k}}return Rs(y)}if(_||(_=n.declarations[0]),_.parent&&_.parent.kind===260)return Rs(_.parent.name);switch(_.kind){case 231:case 218:case 219:return a&&!a.encounteredError&&!(a.flags&131072)&&(a.encounteredError=!0),_.kind===231?"(Anonymous class)":"(Anonymous function)"}}const d=T8(n,a);return d!==void 0?d:Sl(n)}function d_(n){if(n){const c=ei(n);return c.isVisible===void 0&&(c.isVisible=!!a()),c.isVisible}return!1;function a(){switch(n.kind){case 345:case 353:case 347:return!!(n.parent&&n.parent.parent&&n.parent.parent.parent&&$i(n.parent.parent.parent));case 208:return d_(n.parent.parent);case 260:if(ta(n.name)&&!n.name.elements.length)return!1;case 267:case 263:case 264:case 265:case 262:case 266:case 271:if(WE(n))return!0;const c=Q(n);return!(kte(n)&32)&&!(n.kind!==271&&c.kind!==312&&c.flags&33554432)?Mh(c):d_(c);case 172:case 171:case 177:case 178:case 174:case 173:if(gp(n,6))return!1;case 176:case 180:case 179:case 181:case 169:case 268:case 184:case 185:case 187:case 183:case 188:case 189:case 192:case 193:case 196:case 202:return d_(n.parent);case 273:case 274:case 276:return!1;case 168:case 312:case 270:return!0;case 277:return!1;default:return!1}}}function O2(n,a){let c;n.parent&&n.parent.kind===277?c=bl(n,n.escapedText,2998271,void 0,n,!1):n.parent.kind===281&&(c=Q0(n.parent,2998271));let d,_;return c&&(_=new Set,_.add(Ta(c)),y(c.declarations)),d;function y(x){Ue(x,k=>{const z=xT(k)||k;if(a?ei(k).isVisible=!0:(d=d||[],Tf(d,z)),hR(k)){const H=k.moduleReference,re=Vp(H),be=bl(k,re.escapedText,901119,void 0,void 0,!1);be&&_&&Rv(_,Ta(be))&&y(be.declarations)}})}}function Fh(n,a){const c=mx(n,a);if(c>=0){const{length:d}=mu;for(let _=c;_<d;_++)tv[_]=!1;return!1}return mu.push(n),tv.push(!0),j0.push(a),!0}function mx(n,a){for(let c=mu.length-1;c>=JI;c--){if(A8(mu[c],j0[c]))return-1;if(mu[c]===n&&j0[c]===a)return c}return-1}function A8(n,a){switch(a){case 0:return!!Xi(n).type;case 5:return!!ei(n).resolvedEnumType;case 2:return!!Xi(n).declaredType;case 1:return!!n.resolvedBaseConstructorType;case 3:return!!n.resolvedReturnType;case 4:return!!n.immediateBaseConstraint;case 6:return!!n.resolvedTypeArguments;case 7:return!!n.baseTypesResolved;case 8:return!!Xi(n).writeType;case 9:return ei(n).parameterInitializerContainsUndefined!==void 0}return N.assertNever(a)}function Y_(){return mu.pop(),j0.pop(),tv.pop()}function Q(n){return Gn(C_(n),a=>{switch(a.kind){case 260:case 261:case 276:case 275:case 274:case 273:return!1;default:return!0}}).parent}function xe(n){const a=el(Pd(n));return a.typeParameters?hy(a,wt(a.typeParameters,c=>j)):a}function Xe(n,a){const c=ya(n,a);return c?dr(c):void 0}function xt(n,a){var c;let d;return Xe(n,a)||(d=(c=gx(n,a))==null?void 0:c.type)&&cp(d,!0,!0)}function Nt(n){return n&&(n.flags&1)!==0}function Kt(n){return n===_t||!!(n.flags&1&&n.aliasSymbol)}function zr(n,a){if(a!==0)return VT(n,!1,a);const c=Ir(n);return c&&Xi(c).type||VT(n,!1,a)}function Wi(n,a,c){if(n=dc(n,z=>!(z.flags&98304)),n.flags&131072)return La;if(n.flags&1048576)return pl(n,z=>Wi(z,a,c));let d=ti(wt(a,vy));const _=[],y=[];for(const z of gs(n)){const H=NN(z,8576);!Ea(H,d)&&!(Df(z)&6)&&aee(z)?_.push(z):y.push(H)}if($T(n)||QT(d)){if(y.length&&(d=ti([d,...y])),d.flags&131072)return n;const z=Kct();return z?RN(z,[n,d]):_t}const x=ua();for(const z of _)x.set(z.escapedName,hve(z,!1));const k=Ls(c,x,et,et,yd(n));return k.objectFlags|=4194304,k}function Ri(n){return!!(n.flags&465829888)&&Cl(Gu(n)||_n,32768)}function mi(n){const a=Um(n,Ri)?pl(n,c=>c.flags&465829888?_v(c):c):n;return hm(a,524288)}function Yi(n,a){const c=ds(n);return c?Kb(c,a):a}function ds(n){const a=Ds(n);if(a&&ZL(a)&&a.flowNode){const c=es(n);if(c){const d=dt(Ih.createStringLiteral(c),n),_=ep(a)?a:Ih.createParenthesizedExpression(a),y=dt(Ih.createElementAccessExpression(_,d),n);return qa(d,y),qa(y,n),_!==a&&qa(_,y),y.flowNode=a.flowNode,y}}}function Ds(n){const a=n.parent.parent;switch(a.kind){case 208:case 303:return ds(a);case 209:return ds(n.parent);case 260:return a.initializer;case 226:return a.right}}function es(n){const a=n.parent;return n.kind===208&&a.kind===206?Gf(n.propertyName||n.name):n.kind===303||n.kind===304?Gf(n.name):""+a.elements.indexOf(n)}function Gf(n){const a=vy(n);return a.flags&384?""+a.value:void 0}function $_(n){const a=n.dotDotDotToken?32:0,c=zr(n.parent.parent,a);return c&&Ag(n,c,!1)}function Ag(n,a,c){if(Nt(a))return a;const d=n.parent;Y&&n.flags&33554432&&HE(n)?a=Ev(a):Y&&d.parent.initializer&&!_m(H4e(d.parent.initializer),65536)&&(a=hm(a,524288));let _;if(d.kind===206)if(n.dotDotDotToken){if(a=h_(a),a.flags&2||!eB(a))return Je(n,f.Rest_types_may_only_be_created_from_object_types),_t;const y=[];for(const x of d.elements)x.dotDotDotToken||y.push(x.propertyName||x.name);_=Wi(a,y,n.symbol)}else{const y=n.propertyName||n.name,x=vy(y),k=Lp(a,x,32,y);_=Yi(n,k)}else{const y=Sy(65|(n.dotDotDotToken?0:128),a,Oe,d),x=d.elements.indexOf(n);if(n.dotDotDotToken){const k=pl(a,z=>z.flags&58982400?_v(z):z);_=up(k,Ba)?pl(k,z=>J2(z,x)):Vu(y)}else if(by(a)){const k=g_(x),z=32|(c||iM(n)?16:0),H=Bb(a,k,z,n.name)||_t;_=Yi(n,H)}else _=y}return n.initializer?yu($x(n))?Y&&!_m(aM(n,0),16777216)?mi(_):_:dte(n,ti([mi(_),aM(n,0)],2)):_}function uv(n){const a=p0(n);if(a)return Ei(a)}function dv(n){const a=hs(n,!0);return a.kind===106||a.kind===80&&jm(a)===je}function Cb(n){const a=hs(n,!0);return a.kind===209&&a.elements.length===0}function cp(n,a=!1,c=!0){return Y&&c?qb(n,a):n}function VT(n,a,c){if(wi(n)&&n.parent.parent.kind===249){const x=Q_(Cye(mo(n.parent.parent.expression,c)));return x.flags&4456448?D6e(x):ke}if(wi(n)&&n.parent.parent.kind===250){const x=n.parent.parent;return xB(x)||j}if(ta(n.parent))return $_(n);const d=Jo(n)&&!P_(n)||yp(n)||ese(n),_=a&&aP(n),y=ro(n);if(Tj(n))return y?Nt(y)||y===_n?y:_t:ve?_n:j;if(y)return cp(y,d,_);if((pe||lr(n))&&wi(n)&&!ta(n.name)&&!(kte(n)&32)&&!(n.flags&33554432)){if(!(aS(n)&6)&&(!n.initializer||dv(n.initializer)))return ot;if(n.initializer&&Cb(n.initializer))return Jc}if(Ao(n)){const x=n.parent;if(x.kind===178&&TN(x)){const H=fl(Ir(n.parent),177);if(H){const re=fm(H),be=zbe(x);return be&&n===be?(N.assert(!be.type),dr(re.thisParameter)):ps(re)}}const k=bct(x,n);if(k)return k;const z=n.symbol.escapedName==="this"?m3e(x):_3e(n);if(z)return cp(z,!1,_)}if(ES(n)&&n.initializer){if(lr(n)&&!Ao(n)){const k=Ua(n,Ir(n),UL(n));if(k)return k}const x=dte(n,aM(n,c));return cp(x,d,_)}if(Jo(n)&&(pe||lr(n)))if(mc(n)){const x=nr(n.parent.members,Rl),k=x.length?pv(n.symbol,x):pd(n)&128?yee(n.symbol):void 0;return k&&cp(k,!0,_)}else{const x=lv(n.parent),k=x?Pb(n.symbol,x):pd(n)&128?yee(n.symbol):void 0;return k&&cp(k,!0,_)}if(F_(n))return Bn;if(ta(n.name))return P(n.name,!1,!0)}function vN(n){if(n.valueDeclaration&&mr(n.valueDeclaration)){const a=Xi(n);return a.isConstructorDeclaredProperty===void 0&&(a.isConstructorDeclaredProperty=!1,a.isConstructorDeclaredProperty=!!F2(n)&&Zn(n.declarations,c=>mr(c)&&Uee(c)&&(c.left.kind!==212||nf(c.left.argumentExpression))&&!uc(void 0,c,n,c))),a.isConstructorDeclaredProperty}return!1}function W2(n){const a=n.valueDeclaration;return a&&Jo(a)&&!yu(a)&&!a.initializer&&(pe||lr(a))}function F2(n){if(n.declarations)for(const a of n.declarations){const c=Fd(a,!1,!1);if(c&&(c.kind===176||nh(c)))return c}}function oE(n){const a=jn(n.declarations[0]),c=Vi(n.escapedName),d=n.declarations.every(y=>lr(y)&&ws(y)&&rg(y.expression)),_=d?w.createPropertyAccessExpression(w.createPropertyAccessExpression(w.createIdentifier("module"),w.createIdentifier("exports")),c):w.createPropertyAccessExpression(w.createIdentifier("exports"),c);return d&&qa(_.expression.expression,_.expression),qa(_.expression,_),qa(_,a),_.flowNode=a.endFlowNode,Kb(_,ot,Oe)}function pv(n,a){const c=uo(n.escapedName,"__#")?w.createPrivateIdentifier(n.escapedName.split("@")[1]):Vi(n.escapedName);for(const d of a){const _=w.createPropertyAccessExpression(w.createThis(),c);qa(_.expression,_),qa(_,d),_.flowNode=d.returnFlowNode;const y=yN(_,n);if(pe&&(y===ot||y===Jc)&&Je(n.valueDeclaration,f.Member_0_implicitly_has_an_1_type,bi(n),Un(y)),!up(y,iB))return c6(y)}}function Pb(n,a){const c=uo(n.escapedName,"__#")?w.createPrivateIdentifier(n.escapedName.split("@")[1]):Vi(n.escapedName),d=w.createPropertyAccessExpression(w.createThis(),c);qa(d.expression,d),qa(d,a),d.flowNode=a.returnFlowNode;const _=yN(d,n);return pe&&(_===ot||_===Jc)&&Je(n.valueDeclaration,f.Member_0_implicitly_has_an_1_type,bi(n),Un(_)),up(_,iB)?void 0:c6(_)}function yN(n,a){const c=(a==null?void 0:a.valueDeclaration)&&(!W2(a)||pd(a.valueDeclaration)&128)&&yee(a)||Oe;return Kb(n,ot,c)}function Jp(n,a){const c=OA(n.valueDeclaration);if(c){const k=lr(c)?d0(c):void 0;return k&&k.typeExpression?Ei(k.typeExpression):n.valueDeclaration&&Ua(n.valueDeclaration,n,c)||Q1(nc(c))}let d,_=!1,y=!1;if(vN(n)&&(d=Pb(n,F2(n))),!d){let k;if(n.declarations){let z;for(const H of n.declarations){const re=mr(H)||la(H)?H:ws(H)?mr(H.parent)?H.parent:H:void 0;if(!re)continue;const be=ws(re)?KL(re):Bl(re);(be===4||mr(re)&&Uee(re,be))&&(z2(re)?_=!0:y=!0),la(re)||(z=uc(z,re,n,H)),z||(k||(k=[])).push(mr(re)||la(re)?aE(n,a,re,be):jr)}d=z}if(!d){if(!Me(k))return _t;let z=_&&n.declarations?Mb(k,n.declarations):void 0;if(y){const re=yee(n);re&&((z||(z=[])).push(re),_=!0)}const H=bt(z,re=>!!(re.flags&-98305))?z:k;d=ti(H)}}const x=Xp(cp(d,!1,y&&!_));return n.valueDeclaration&&lr(n.valueDeclaration)&&dc(x,k=>!!(k.flags&-98305))===jr?(gE(n.valueDeclaration,j),j):x}function Ua(n,a,c){var d,_;if(!lr(n)||!c||!Oa(c)||c.properties.length)return;const y=ua();for(;mr(n)||Fr(n);){const z=gf(n);(d=z==null?void 0:z.exports)!=null&&d.size&&c_(y,z.exports),n=mr(n)?n.parent:n.parent.parent}const x=gf(n);(_=x==null?void 0:x.exports)!=null&&_.size&&c_(y,x.exports);const k=Ls(a,y,et,et,et);return k.objectFlags|=4096,k}function uc(n,a,c,d){var _;const y=yu(a.parent);if(y){const x=Xp(Ei(y));if(n)!Kt(n)&&!Kt(x)&&!vv(n,x)&&yFe(void 0,n,d,x);else return x}if((_=c.parent)!=null&&_.valueDeclaration){const x=F1(c.parent);if(x.valueDeclaration){const k=yu(x.valueDeclaration);if(k){const z=ya(Ei(k),c.escapedName);if(z)return kb(z)}}}return n}function aE(n,a,c,d){if(la(c)){if(a)return dr(a);const x=nc(c.arguments[2]),k=Xe(x,"value");if(k)return k;const z=Xe(x,"get");if(z){const re=cA(z);if(re)return ps(re)}const H=Xe(x,"set");if(H){const re=cA(H);if(re)return $ye(re)}return j}if(_x(c.left,c.right))return j;const _=d===1&&(Fr(c.left)||Qs(c.left))&&(rg(c.left.expression)||Ve(c.left.expression)&&RS(c.left.expression)),y=a?dr(a):_?Ed(nc(c.right)):Q1(nc(c.right));if(y.flags&524288&&d===2&&n.escapedName==="export="){const x=__(y),k=ua();F4(x.members,k);const z=k.size;a&&!a.exports&&(a.exports=ua()),(a||n).exports.forEach((re,be)=>{var ge;const Ke=k.get(be);if(Ke&&Ke!==re&&!(re.flags&2097152))if(re.flags&111551&&Ke.flags&111551){if(re.valueDeclaration&&Ke.valueDeclaration&&jn(re.valueDeclaration)!==jn(Ke.valueDeclaration)){const Dt=Vi(re.escapedName),an=((ge=ii(Ke.valueDeclaration,ld))==null?void 0:ge.name)||Ke.valueDeclaration;wa(Je(re.valueDeclaration,f.Duplicate_identifier_0,Dt),wr(an,f._0_was_also_declared_here,Dt)),wa(Je(an,f.Duplicate_identifier_0,Dt),wr(re.valueDeclaration,f._0_was_also_declared_here,Dt))}const gt=Xa(re.flags|Ke.flags,be);gt.links.type=ti([dr(re),dr(Ke)]),gt.valueDeclaration=Ke.valueDeclaration,gt.declarations=So(Ke.declarations,re.declarations),k.set(be,gt)}else k.set(be,um(re,Ke));else k.set(be,re)});const H=Ls(z!==k.size?void 0:x.symbol,k,x.callSignatures,x.constructSignatures,x.indexInfos);if(z===k.size&&(y.aliasSymbol&&(H.aliasSymbol=y.aliasSymbol,H.aliasTypeArguments=y.aliasTypeArguments),Wr(y)&4)){H.aliasSymbol=y.symbol;const re=Js(y);H.aliasTypeArguments=Me(re)?re:void 0}return H.objectFlags|=Wr(y)&4096,H.symbol&&H.symbol.flags&32&&y===Vf(H.symbol)&&(H.objectFlags|=16777216),H}return Eee(y)?(gE(c,ec),ec):y}function _x(n,a){return Fr(n)&&n.expression.kind===110&&CP(a,c=>Iu(n,c))}function z2(n){const a=Fd(n,!1,!1);return a.kind===176||a.kind===262||a.kind===218&&!XL(a.parent)}function Mb(n,a){return N.assert(n.length===a.length),n.filter((c,d)=>{const _=a[d],y=mr(_)?_:mr(_.parent)?_.parent:void 0;return y&&z2(y)})}function B2(n,a,c){if(n.initializer){const d=ta(n.name)?P(n.name,!0,!1):_n;return cp(dte(n,aM(n,c?0:1,d)))}return ta(n.name)?P(n.name,a,c):(c&&!yr(n)&&gE(n,j),a?vn:j)}function or(n,a,c){const d=ua();let _,y=131200;Ue(n.elements,k=>{const z=k.propertyName||k.name;if(k.dotDotDotToken){_=Vh(ke,j,!1);return}const H=vy(z);if(!rm(H)){y|=512;return}const re=im(H),be=4|(k.initializer?16777216:0),ge=Xa(be,re);ge.links.type=B2(k,a,c),ge.links.bindingElement=k,d.set(ge.escapedName,ge)});const x=Ls(void 0,d,et,et,_?[_]:et);return x.objectFlags|=y,a&&(x.pattern=n,x.objectFlags|=131072),x}function E(n,a,c){const d=n.elements,_=tl(d),y=_&&_.kind===208&&_.dotDotDotToken?_:void 0;if(d.length===0||d.length===1&&y)return se>=2?p6e(j):ec;const x=wt(d,re=>jc(re)?j:B2(re,a,c)),k=Bi(d,re=>!(re===y||jc(re)||iM(re)),d.length-1)+1,z=wt(d,(re,be)=>re===y?4:be>=k?2:1);let H=jh(x,z);return a&&(H=J8e(H),H.pattern=n,H.objectFlags|=131072),H}function P(n,a=!1,c=!1){return n.kind===206?or(n,a,c):E(n,a,c)}function B(n,a){return Xt(VT(n,!0,0),n,a)}function ce(n){const a=ei(n);if(!a.resolvedType){const c=Xa(4096,"__importAttributes"),d=ua();Ue(n.elements,y=>{const x=Xa(4,cW(y));x.parent=c,x.links.type=Gvt(y),x.links.target=x,d.set(x.escapedName,x)});const _=Ls(c,d,et,et,et);_.objectFlags|=262272,a.resolvedType=_}return a.resolvedType}function Qe(n){const a=gf(n),c=Oct(!1);return c&&a&&a===c}function Xt(n,a,c){return n?(n.flags&4096&&Qe(a.parent)&&(n=gve(a)),c&&Nee(a,n),n.flags&8192&&(Qa(a)||!a.type)&&n.symbol!==Ir(a)&&(n=Ai),Xp(n)):(n=Ao(a)&&a.dotDotDotToken?ec:j,c&&(yr(a)||gE(a,n)),n)}function yr(n){const a=C_(n),c=a.kind===169?a.parent:a;return EB(c)}function ro(n){const a=yu(n);if(a)return Ei(a)}function qs(n){let a=n.valueDeclaration;return a?(Qa(a)&&(a=$x(a)),Ao(a)?pee(a.parent):!1):!1}function p_(n,a){const c=Xi(n);if(!c.type){const d=Lb(n,a);return!c.type&&!qs(n)&&!a&&(c.type=d),d}return c.type}function Lb(n,a){if(n.flags&4194304)return xe(n);if(n===vt)return j;if(n.flags&134217728&&n.valueDeclaration){const _=Ir(jn(n.valueDeclaration)),y=Xa(_.flags,"exports");y.declarations=_.declarations?_.declarations.slice():[],y.parent=n,y.links.target=_,_.valueDeclaration&&(y.valueDeclaration=_.valueDeclaration),_.members&&(y.members=new Map(_.members)),_.exports&&(y.exports=new Map(_.exports));const x=ua();return x.set("exports",y),Ls(n,x,et,et,et)}N.assertIsDefined(n.valueDeclaration);const c=n.valueDeclaration;if($i(c)&&Qf(c))return c.statements.length?Xp(Q1(mo(c.statements[0].expression))):La;if(Oy(c))return lE(n);if(!Fh(n,0))return n.flags&512&&!(n.flags&67108864)?G2(n):Qa(c)&&a===1?_t:bN(n);let d;if(c.kind===277)d=Xt(ro(c)||nc(c.expression),c);else if(mr(c)||lr(c)&&(la(c)||(Fr(c)||m3(c))&&mr(c.parent)))d=Jp(n);else if(Fr(c)||Qs(c)||Ve(c)||ls(c)||vp(c)||Ic(c)||Ac(c)||ql(c)&&!xm(c)||bh(c)||$i(c)){if(n.flags&9136)return G2(n);d=mr(c.parent)?Jp(n):ro(c)||j}else if(hc(c))d=ro(c)||HWe(c);else if(F_(c))d=ro(c)||R3e(c);else if(rp(c))d=ro(c)||lM(c.name,0);else if(xm(c))d=ro(c)||qWe(c,0);else if(Ao(c)||Jo(c)||yp(c)||wi(c)||Qa(c)||fC(c))d=B(c,!0);else if(x0(c))d=G2(n);else if(u1(c))d=zZ(n);else return N.fail("Unhandled declaration kind! "+N.formatSyntaxKind(c.kind)+" for "+N.formatSymbol(n));return Y_()?d:n.flags&512&&!(n.flags&67108864)?G2(n):Qa(c)&&a===1?d:bN(n)}function Vm(n){if(n)switch(n.kind){case 177:return nm(n);case 178:return aoe(n);case 172:return N.assert(P_(n)),yu(n)}}function sE(n){const a=Vm(n);return a&&Ei(a)}function WZ(n){const a=zbe(n);return a&&a.symbol}function _ge(n){return pE(fm(n))}function lE(n){const a=Xi(n);if(!a.type){if(!Fh(n,0))return _t;const c=fl(n,177),d=fl(n,178),_=ii(fl(n,172),Wd);let y=c&&lr(c)&&uv(c)||sE(c)||sE(d)||sE(_)||c&&c.body&&lte(c)||_&&_.initializer&&B(_,!0);y||(d&&!EB(d)?_u(pe,d,f.Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation,bi(n)):c&&!EB(c)?_u(pe,c,f.Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation,bi(n)):_&&!EB(_)&&_u(pe,_,f.Member_0_implicitly_has_an_1_type,bi(n),"any"),y=j),Y_()||(Vm(c)?Je(c,f._0_is_referenced_directly_or_indirectly_in_its_own_type_annotation,bi(n)):Vm(d)||Vm(_)?Je(d,f._0_is_referenced_directly_or_indirectly_in_its_own_type_annotation,bi(n)):c&&pe&&Je(c,f._0_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions,bi(n)),y=j),a.type=y}return a.type}function d9(n){const a=Xi(n);if(!a.writeType){if(!Fh(n,8))return _t;const c=fl(n,178)??ii(fl(n,172),Wd);let d=sE(c);Y_()||(Vm(c)&&Je(c,f._0_is_referenced_directly_or_indirectly_in_its_own_type_annotation,bi(n)),d=j),a.writeType=d||lE(n)}return a.writeType}function FZ(n){const a=Pp(Vf(n));return a.flags&8650752?a:a.flags&2097152?An(a.types,c=>!!(c.flags&8650752)):void 0}function G2(n){let a=Xi(n);const c=a;if(!a.type){const d=n.valueDeclaration&&ate(n.valueDeclaration,!1);if(d){const _=Hye(n,d);_&&(n=_,a=_.links)}c.type=a.type=p9(n)}return a.type}function p9(n){const a=n.valueDeclaration;if(n.flags&1536&&EC(n))return j;if(a&&(a.kind===226||ws(a)&&a.parent.kind===226))return Jp(n);if(n.flags&512&&a&&$i(a)&&a.commonJsModuleIndicator){const d=Np(n);if(d!==n){if(!Fh(n,0))return _t;const _=rs(n.exports.get("export=")),y=Jp(_,_===d?void 0:d);return Y_()?y:bN(n)}}const c=zf(16,n);if(n.flags&32){const d=FZ(n);return d?ba([c,d]):c}else return Y&&n.flags&16777216?qb(c,!0):c}function zZ(n){const a=Xi(n);return a.type||(a.type=p8e(n))}function BZ(n){const a=Xi(n);if(!a.type){if(!Fh(n,0))return _t;const c=Fc(n),d=n.declarations&&Hp(Fm(n),!0),_=Ut(d==null?void 0:d.declarations,y=>kl(y)?ro(y):void 0);if(a.type=d!=null&&d.declarations&&Ite(d.declarations)&&n.declarations.length?oE(d):Ite(n.declarations)?ot:_||(Au(c)&111551?dr(c):_t),!Y_())return bN(d??n),a.type=_t}return a.type}function hge(n){const a=Xi(n);return a.type||(a.type=so(dr(a.target),a.mapper))}function gge(n){const a=Xi(n);return a.writeType||(a.writeType=so(U1(a.target),a.mapper))}function bN(n){const a=n.valueDeclaration;if(a){if(yu(a))return Je(n.valueDeclaration,f._0_is_referenced_directly_or_indirectly_in_its_own_type_annotation,bi(n)),_t;pe&&(a.kind!==169||a.initializer)&&Je(n.valueDeclaration,f._0_implicitly_has_type_any_because_it_does_not_have_a_type_annotation_and_is_referenced_directly_or_indirectly_in_its_own_initializer,bi(n))}else if(n.flags&2097152){const c=Fm(n);c&&Je(c,f.Circular_definition_of_import_alias_0,bi(n))}return j}function GZ(n){const a=Xi(n);return a.type||(N.assertIsDefined(a.deferralParent),N.assertIsDefined(a.deferralConstituents),a.type=a.deferralParent.flags&1048576?ti(a.deferralConstituents):ba(a.deferralConstituents)),a.type}function f9(n){const a=Xi(n);return!a.writeType&&a.deferralWriteConstituents&&(N.assertIsDefined(a.deferralParent),N.assertIsDefined(a.deferralConstituents),a.writeType=a.deferralParent.flags&1048576?ti(a.deferralWriteConstituents):ba(a.deferralWriteConstituents)),a.writeType}function U1(n){const a=xl(n);return n.flags&4?a&2?a&65536?f9(n)||GZ(n):n.links.writeType||n.links.type:Jb(dr(n),!!(n.flags&16777216)):n.flags&98304?a&1?gge(n):d9(n):dr(n)}function dr(n,a){const c=xl(n);return c&65536?GZ(n):c&1?hge(n):c&262144?tct(n):c&8192?_pt(n):n.flags&7?p_(n,a):n.flags&9136?G2(n):n.flags&8?zZ(n):n.flags&98304?lE(n):n.flags&2097152?BZ(n):_t}function kb(n){return Jb(dr(n),!!(n.flags&16777216))}function wb(n,a){return n!==void 0&&a!==void 0&&(Wr(n)&4)!==0&&n.target===a}function my(n){return Wr(n)&4?n.target:n}function EN(n,a){return c(n);function c(d){if(Wr(d)&7){const _=my(d);return _===a||bt(Mp(_),c)}else if(d.flags&2097152)return bt(d.types,c);return!1}}function cE(n,a){for(const c of a)n=Mg(n,jT(Ir(c)));return n}function Cn(n,a){for(;;){if(n=n.parent,n&&mr(n)){const c=Bl(n);if(c===6||c===3){const d=Ir(n.left);d&&d.parent&&!Gn(d.parent.valueDeclaration,_=>n===_)&&(n=d.parent.valueDeclaration)}}if(!n)return;switch(n.kind){case 263:case 231:case 264:case 179:case 180:case 173:case 184:case 185:case 324:case 262:case 174:case 218:case 219:case 265:case 352:case 353:case 347:case 345:case 200:case 194:{const d=Cn(n,a);if(n.kind===200)return xn(d,jT(Ir(n.typeParameter)));if(n.kind===194)return So(d,z6e(n));const _=cE(d,ky(n)),y=a&&(n.kind===263||n.kind===231||n.kind===264||nh(n))&&Vf(Ir(n)).thisType;return y?xn(_,y):_}case 348:const c=tk(n);c&&(n=c.valueDeclaration);break;case 327:{const d=Cn(n,a);return n.tags?cE(d,Pi(n.tags,_=>sm(_)?_.typeParameters:void 0)):d}}}}function Vr(n){var a;const c=n.flags&32||n.flags&16?n.valueDeclaration:(a=n.declarations)==null?void 0:a.find(d=>{if(d.kind===264)return!0;if(d.kind!==260)return!1;const _=d.initializer;return!!_&&(_.kind===218||_.kind===219)});return N.assert(!!c,"Class was missing valueDeclaration -OR- non-class had no interface declarations"),Cn(c)}function kr(n){if(!n.declarations)return;let a;for(const c of n.declarations)(c.kind===264||c.kind===263||c.kind===231||nh(c)||QL(c))&&(a=cE(a,ky(c)));return a}function Fi(n){return So(Vr(n),kr(n))}function Mi(n){const a=Yo(n,1);if(a.length===1){const c=a[0];if(!c.typeParameters&&c.parameters.length===1&&Yu(c)){const d=pB(c.parameters[0]);return Nt(d)||G9(d)===j}}return!1}function is(n){if(Yo(n,1).length>0)return!0;if(n.flags&8650752){const a=Gu(n);return!!a&&Mi(a)}return!1}function Is(n){const a=Vg(n.symbol);return a&&D_(a)}function td(n,a,c){const d=Me(a),_=lr(c);return nr(Yo(n,1),y=>(_||d>=Gh(y.typeParameters))&&d<=Me(y.typeParameters))}function zh(n,a,c){const d=td(n,a,c),_=wt(a,Ei);return eo(d,y=>bt(y.typeParameters)?C8(y,_,lr(c)):y)}function Pp(n){if(!n.resolvedBaseConstructorType){const a=Vg(n.symbol),c=a&&D_(a),d=Is(n);if(!d)return n.resolvedBaseConstructorType=Oe;if(!Fh(n,1))return _t;const _=mo(d.expression);if(c&&d!==c&&(N.assert(!c.typeArguments),mo(c.expression)),_.flags&2621440&&__(_),!Y_())return Je(n.symbol.valueDeclaration,f._0_is_referenced_directly_or_indirectly_in_its_own_base_expression,bi(n.symbol)),n.resolvedBaseConstructorType=_t;if(!(_.flags&1)&&_!==Ge&&!is(_)){const y=Je(d.expression,f.Type_0_is_not_a_constructor_function_type,Un(_));if(_.flags&262144){const x=U2(_);let k=_n;if(x){const z=Yo(x,1);z[0]&&(k=ps(z[0]))}_.symbol.declarations&&wa(y,wr(_.symbol.declarations[0],f.Did_you_mean_for_0_to_be_constrained_to_type_new_args_Colon_any_1,bi(_.symbol),Un(k)))}return n.resolvedBaseConstructorType=_t}n.resolvedBaseConstructorType=_}return n.resolvedBaseConstructorType}function f_(n){let a=et;if(n.symbol.declarations)for(const c of n.symbol.declarations){const d=AR(c);if(d)for(const _ of d){const y=Ei(_);Kt(y)||(a===et?a=[y]:a.push(y))}}return a}function fv(n,a){Je(n,f.Type_0_recursively_references_itself_as_a_base_type,Un(a,void 0,2))}function Mp(n){if(!n.baseTypesResolved){if(Fh(n,7)&&(n.objectFlags&8?n.resolvedBaseTypes=[SN(n)]:n.symbol.flags&96?(n.symbol.flags&32&&I8(n),n.symbol.flags&64&&Clt(n)):N.fail("type must be class or interface"),!Y_()&&n.symbol.declarations))for(const a of n.symbol.declarations)(a.kind===263||a.kind===264)&&fv(a,n);n.baseTypesResolved=!0}return n.resolvedBaseTypes}function SN(n){const a=eo(n.typeParameters,(c,d)=>n.elementFlags[d]&8?Lp(c,Tt):c);return Vu(ti(a||et),n.readonly)}function I8(n){n.resolvedBaseTypes=uW;const a=kd(Pp(n));if(!(a.flags&2621441))return n.resolvedBaseTypes=et;const c=Is(n);let d;const _=a.symbol?el(a.symbol):void 0;if(a.symbol&&a.symbol.flags&32&&Nlt(_))d=K8e(c,a.symbol);else if(a.flags&1)d=a;else{const x=zh(a,c.typeArguments,c);if(!x.length)return Je(c.expression,f.No_base_constructor_has_the_specified_number_of_type_arguments),n.resolvedBaseTypes=et;d=ps(x[0])}if(Kt(d))return n.resolvedBaseTypes=et;const y=h_(d);if(!m9(y)){const x=Pge(void 0,d),k=jo(x,f.Base_constructor_return_type_0_is_not_an_object_type_or_intersection_of_object_types_with_statically_known_members,Un(y));return ts.add(Fg(jn(c.expression),c.expression,k)),n.resolvedBaseTypes=et}return n===y||EN(y,n)?(Je(n.symbol.valueDeclaration,f.Type_0_recursively_references_itself_as_a_base_type,Un(n,void 0,2)),n.resolvedBaseTypes=et):(n.resolvedBaseTypes===uW&&(n.members=void 0),n.resolvedBaseTypes=[y])}function Nlt(n){const a=n.outerTypeParameters;if(a){const c=a.length-1,d=Js(n);return a[c].symbol!==d[c].symbol}return!0}function m9(n){if(n.flags&262144){const a=Gu(n);if(a)return m9(a)}return!!(n.flags&67633153&&!Kd(n)||n.flags&2097152&&Zn(n.types,m9))}function Clt(n){if(n.resolvedBaseTypes=n.resolvedBaseTypes||et,n.symbol.declarations){for(const a of n.symbol.declarations)if(a.kind===264&&PC(a))for(const c of PC(a)){const d=h_(Ei(c));Kt(d)||(m9(d)?n!==d&&!EN(d,n)?n.resolvedBaseTypes===et?n.resolvedBaseTypes=[d]:n.resolvedBaseTypes.push(d):fv(a,n):Je(c,f.An_interface_can_only_extend_an_object_type_or_intersection_of_object_types_with_statically_known_members))}}}function Plt(n){if(!n.declarations)return!0;for(const a of n.declarations)if(a.kind===264){if(a.flags&256)return!1;const c=PC(a);if(c){for(const d of c)if(Gl(d.expression)){const _=Hs(d.expression,788968,!0);if(!_||!(_.flags&64)||Vf(_).thisType)return!1}}}return!0}function Vf(n){let a=Xi(n);const c=a;if(!a.declaredType){const d=n.flags&32?1:2,_=Hye(n,n.valueDeclaration&&y_t(n.valueDeclaration));_&&(n=_,a=_.links);const y=c.declaredType=a.declaredType=zf(d,n),x=Vr(n),k=kr(n);(x||k||d===1||!Plt(n))&&(y.objectFlags|=4,y.typeParameters=So(x,k),y.outerTypeParameters=x,y.localTypeParameters=k,y.instantiations=new Map,y.instantiations.set(mm(y.typeParameters),y),y.target=y,y.resolvedTypeArguments=y.typeParameters,y.thisType=yf(n),y.thisType.isThisType=!0,y.thisType.constraint=y)}return a.declaredType}function c8e(n){var a;const c=Xi(n);if(!c.declaredType){if(!Fh(n,2))return _t;const d=N.checkDefined((a=n.declarations)==null?void 0:a.find(QL),"Type alias symbol with no valid declaration found"),_=Zf(d)?d.typeExpression:d.type;let y=_?Ei(_):_t;if(Y_()){const x=kr(n);x&&(c.typeParameters=x,c.instantiations=new Map,c.instantiations.set(mm(x),y))}else y=_t,d.kind===347?Je(d.typeExpression.type,f.Type_alias_0_circularly_references_itself,bi(n)):Je(ld(d)&&d.name||d,f.Type_alias_0_circularly_references_itself,bi(n));c.declaredType=y}return c.declaredType}function VZ(n){return n.flags&1056&&n.symbol.flags&8?el(Pd(n.symbol)):n}function u8e(n){const a=Xi(n);if(!a.declaredType){const c=[];if(n.declarations){for(const _ of n.declarations)if(_.kind===266){for(const y of _.members)if(TN(y)){const x=Ir(y),k=jN(y),z=Ex(k!==void 0?Yut(k,Ta(n),x):d8e(x));Xi(x).declaredType=z,c.push(Ed(z))}}}const d=c.length?ti(c,1,n,void 0):d8e(n);d.flags&1048576&&(d.flags|=1024,d.symbol=n),a.declaredType=d}return a.declaredType}function d8e(n){const a=Tg(32,n),c=Tg(32,n);return a.regularType=a,a.freshType=c,c.regularType=a,c.freshType=c,a}function p8e(n){const a=Xi(n);if(!a.declaredType){const c=u8e(Pd(n));a.declaredType||(a.declaredType=c)}return a.declaredType}function jT(n){const a=Xi(n);return a.declaredType||(a.declaredType=yf(n))}function Mlt(n){const a=Xi(n);return a.declaredType||(a.declaredType=el(Fc(n)))}function el(n){return f8e(n)||_t}function f8e(n){if(n.flags&96)return Vf(n);if(n.flags&524288)return c8e(n);if(n.flags&262144)return jT(n);if(n.flags&384)return u8e(n);if(n.flags&8)return p8e(n);if(n.flags&2097152)return Mlt(n)}function _9(n){switch(n.kind){case 133:case 159:case 154:case 150:case 163:case 136:case 155:case 151:case 116:case 157:case 146:case 201:return!0;case 188:return _9(n.elementType);case 183:return!n.typeArguments||n.typeArguments.every(_9)}return!1}function Llt(n){const a=Zx(n);return!a||_9(a)}function m8e(n){const a=yu(n);return a?_9(a):!zy(n)}function klt(n){const a=nm(n),c=ky(n);return(n.kind===176||!!a&&_9(a))&&n.parameters.every(m8e)&&c.every(Llt)}function wlt(n){if(n.declarations&&n.declarations.length===1){const a=n.declarations[0];if(a)switch(a.kind){case 172:case 171:return m8e(a);case 174:case 173:case 176:case 177:case 178:return klt(a)}}return!1}function _8e(n,a,c){const d=ua();for(const _ of n)d.set(_.escapedName,c&&wlt(_)?_:bve(_,a));return d}function h8e(n,a){for(const c of a){if(g8e(c))continue;const d=n.get(c.escapedName);(!d||d.valueDeclaration&&mr(d.valueDeclaration)&&!vN(d)&&!wie(d.valueDeclaration))&&(n.set(c.escapedName,c),n.set(c.escapedName,c))}}function g8e(n){return!!n.valueDeclaration&&cd(n.valueDeclaration)&&sa(n.valueDeclaration)}function vge(n){if(!n.declaredProperties){const a=n.symbol,c=Ob(a);n.declaredProperties=nE(c),n.declaredCallSignatures=et,n.declaredConstructSignatures=et,n.declaredIndexInfos=et,n.declaredCallSignatures=H1(c.get("__call")),n.declaredConstructSignatures=H1(c.get("__new")),n.declaredIndexInfos=U8e(a)}return n}function yge(n){if(!Za(n)&&!Qs(n))return!1;const a=Za(n)?n.expression:n.argumentExpression;return Gl(a)&&rm(Za(n)?Rg(n):nc(a))}function x8(n){return n.charCodeAt(0)===95&&n.charCodeAt(1)===95&&n.charCodeAt(2)===64}function h9(n){const a=Mo(n);return!!a&&yge(a)}function TN(n){return!jy(n)||h9(n)}function Olt(n){return E3(n)&&!yge(n)}function Wlt(n,a,c){N.assert(!!(xl(n)&4096),"Expected a late-bound symbol."),n.flags|=c,Xi(a.symbol).lateSymbol=n,n.declarations?a.symbol.isReplaceableByMethod||n.declarations.push(a):n.declarations=[a],c&111551&&(!n.valueDeclaration||n.valueDeclaration.kind!==a.kind)&&(n.valueDeclaration=a)}function v8e(n,a,c,d){N.assert(!!d.symbol,"The member is expected to have a symbol.");const _=ei(d);if(!_.resolvedSymbol){_.resolvedSymbol=d.symbol;const y=mr(d)?d.left:d.name,x=Qs(y)?nc(y.argumentExpression):Rg(y);if(rm(x)){const k=im(x),z=d.symbol.flags;let H=c.get(k);H||c.set(k,H=Xa(0,k,4096));const re=a&&a.get(k);if(!(n.flags&32)&&(H.flags&ST(z)||re)){const be=re?So(re.declarations,H.declarations):H.declarations,ge=!(x.flags&8192)&&Vi(k)||Rs(y);Ue(be,Ke=>Je(Mo(Ke)||Ke,f.Property_0_was_also_declared_here,ge)),Je(y||d,f.Duplicate_property_0,ge),H=Xa(0,k,4096)}return H.links.nameType=x,Wlt(H,d,z),H.parent?N.assert(H.parent===n,"Existing symbol parent should match new one"):H.parent=n,_.resolvedSymbol=H}}return _.resolvedSymbol}function bge(n,a){const c=Xi(n);if(!c[a]){const d=a==="resolvedExports",_=d?n.flags&1536?WT(n).exports:n.exports:n.members;c[a]=_||K;const y=ua();for(const z of n.declarations||et){const H=Rie(z);if(H)for(const re of H)d===mc(re)&&h9(re)&&v8e(n,_,y,re)}const x=F1(n).assignmentDeclarationMembers;if(x){const z=zo(x.values());for(const H of z){const re=Bl(H),be=re===3||mr(H)&&Uee(H,re)||re===9||re===6;d===!be&&h9(H)&&v8e(n,_,y,H)}}let k=ix(_,y);if(n.flags&33554432&&c.cjsExportMerged&&n.declarations)for(const z of n.declarations){const H=Xi(z.symbol)[a];if(!k){k=H;continue}H&&H.forEach((re,be)=>{const ge=k.get(be);if(!ge)k.set(be,re);else{if(ge===re)return;k.set(be,um(ge,re))}})}c[a]=k||K}return c[a]}function Ob(n){return n.flags&6256?bge(n,"resolvedMembers"):n.members||K}function jZ(n){if(n.flags&106500&&n.escapedName==="__computed"){const a=Xi(n);if(!a.lateSymbol&&bt(n.declarations,h9)){const c=rs(n.parent);bt(n.declarations,mc)?Cp(c):Ob(c)}return a.lateSymbol||(a.lateSymbol=n)}return n}function Kp(n,a,c){if(Wr(n)&4){const d=n.target,_=Js(n);return Me(d.typeParameters)===Me(_)?hy(d,So(_,[a||d.thisType])):n}else if(n.flags&2097152){const d=eo(n.types,_=>Kp(_,a,c));return d!==n.types?ba(d):n}return c?kd(n):n}function y8e(n,a,c,d){let _,y,x,k,z;pG(c,d,0,c.length)?(y=a.symbol?Ob(a.symbol):ua(a.declaredProperties),x=a.declaredCallSignatures,k=a.declaredConstructSignatures,z=a.declaredIndexInfos):(_=kp(c,d),y=_8e(a.declaredProperties,_,c.length===1),x=uee(a.declaredCallSignatures,_),k=uee(a.declaredConstructSignatures,_),z=H6e(a.declaredIndexInfos,_));const H=Mp(a);if(H.length){if(a.symbol&&y===Ob(a.symbol)){const be=ua(a.declaredProperties),ge=zge(a.symbol);ge&&be.set("__index",ge),y=be}bf(n,y,x,k,z);const re=tl(d);for(const be of H){const ge=re?Kp(so(be,_),re):be;h8e(y,gs(ge)),x=So(x,Yo(ge,0)),k=So(k,Yo(ge,1));const Ke=ge!==j?yd(ge):[Vh(ke,j,!1)];z=So(z,nr(Ke,gt=>!Mge(z,gt.keyType)))}}bf(n,y,x,k,z)}function Flt(n){y8e(n,vge(n),et,et)}function zlt(n){const a=vge(n.target),c=So(a.typeParameters,[a.thisType]),d=Js(n),_=d.length===c.length?d:So(d,[n]);y8e(n,a,c,_)}function Ig(n,a,c,d,_,y,x,k){const z=new h(Qt,k);return z.declaration=n,z.typeParameters=a,z.parameters=d,z.thisParameter=c,z.resolvedReturnType=_,z.resolvedTypePredicate=y,z.minArgumentCount=x,z.resolvedMinArgumentCount=void 0,z.target=void 0,z.mapper=void 0,z.compositeSignatures=void 0,z.compositeKind=void 0,z}function R8(n){const a=Ig(n.declaration,n.typeParameters,n.thisParameter,n.parameters,void 0,void 0,n.minArgumentCount,n.flags&167);return a.target=n.target,a.mapper=n.mapper,a.compositeSignatures=n.compositeSignatures,a.compositeKind=n.compositeKind,a}function b8e(n,a){const c=R8(n);return c.compositeSignatures=a,c.compositeKind=1048576,c.target=void 0,c.mapper=void 0,c}function Blt(n,a){if((n.flags&24)===a)return n;n.optionalCallSignatureCache||(n.optionalCallSignatureCache={});const c=a===8?"inner":"outer";return n.optionalCallSignatureCache[c]||(n.optionalCallSignatureCache[c]=Glt(n,a))}function Glt(n,a){N.assert(a===8||a===16,"An optional call signature can either be for an inner call chain or an outer call chain, but not both.");const c=R8(n);return c.flags|=a,c}function E8e(n,a){if(Yu(n)){const _=n.parameters.length-1,y=n.parameters[_].escapedName,x=dr(n.parameters[_]);if(Ba(x))return[c(x,_,y)];if(!a&&x.flags&1048576&&Zn(x.types,Ba))return wt(x.types,k=>c(k,_,y))}return[n.parameters];function c(_,y,x){const k=Js(_),z=d(_,x),H=wt(k,(re,be)=>{const ge=z&&z[be]?z[be]:oM(n,y+be,_),Ke=_.target.elementFlags[be],gt=Ke&12?32768:Ke&2?16384:0,Dt=Xa(1,ge,gt);return Dt.links.type=Ke&4?Vu(re):re,Dt});return So(n.parameters.slice(0,y),H)}function d(_,y){const x=new Map;return wt(_.target.labeledElementDeclarations,(k,z)=>{const H=Yye(k,z,y),re=x.get(H);return re===void 0?(x.set(H,1),H):(x.set(H,re+1),`${H}_${re}`)})}}function Vlt(n){const a=Pp(n),c=Yo(a,1),d=Vg(n.symbol),_=!!d&&Zr(d,64);if(c.length===0)return[Ig(void 0,n.localTypeParameters,void 0,et,n,void 0,0,_?4:0)];const y=Is(n),x=lr(y),k=A9(y),z=Me(k),H=[];for(const re of c){const be=Gh(re.typeParameters),ge=Me(re.typeParameters);if(x||z>=be&&z<=ge){const Ke=ge?KZ(re,Fb(k,re.typeParameters,be,x)):R8(re);Ke.typeParameters=n.localTypeParameters,Ke.resolvedReturnType=n,Ke.flags=_?Ke.flags|4:Ke.flags&-5,H.push(Ke)}}return H}function UZ(n,a,c,d,_){for(const y of n)if(z9(y,a,c,d,_,c?_dt:z8))return y}function jlt(n,a,c){if(a.typeParameters){if(c>0)return;for(let _=1;_<n.length;_++)if(!UZ(n[_],a,!1,!1,!1))return;return[a]}let d;for(let _=0;_<n.length;_++){const y=_===c?a:UZ(n[_],a,!1,!1,!0)||UZ(n[_],a,!0,!1,!0);if(!y)return;d=Mg(d,y)}return d}function Ege(n){let a,c;for(let d=0;d<n.length;d++){if(n[d].length===0)return et;n[d].length>1&&(c=c===void 0?d:-1);for(const _ of n[d])if(!a||!UZ(a,_,!1,!1,!0)){const y=jlt(n,_,d);if(y){let x=_;if(y.length>1){let k=_.thisParameter;const z=Ue(y,H=>H.thisParameter);if(z){const H=ba(Hi(y,re=>re.thisParameter&&dr(re.thisParameter)));k=tA(z,H)}x=b8e(_,y),x.thisParameter=k}(a||(a=[])).push(x)}}}if(!Me(a)&&c!==-1){const d=n[c!==void 0?c:0];let _=d.slice();for(const y of n)if(y!==d){const x=y[0];if(N.assert(!!x,"getUnionSignatures bails early on empty signature lists and should not have empty lists on second pass"),_=x.typeParameters&&bt(_,k=>!!k.typeParameters&&!S8e(x.typeParameters,k.typeParameters))?void 0:wt(_,k=>qlt(k,x)),!_)break}a=_}return a||et}function S8e(n,a){if(Me(n)!==Me(a))return!1;if(!n||!a)return!0;const c=kp(a,n);for(let d=0;d<n.length;d++){const _=n[d],y=a[d];if(_!==y&&!vv(U2(_)||_n,so(U2(y)||_n,c)))return!1}return!0}function Ult(n,a,c){if(!n||!a)return n||a;const d=ba([dr(n),so(dr(a),c)]);return tA(n,d)}function Hlt(n,a,c){const d=Yp(n),_=Yp(a),y=d>=_?n:a,x=y===n?a:n,k=y===n?d:_,z=Hh(n)||Hh(a),H=z&&!Hh(y),re=new Array(k+(H?1:0));for(let be=0;be<k;be++){let ge=nS(y,be);y===a&&(ge=so(ge,c));let Ke=nS(x,be)||_n;x===a&&(Ke=so(Ke,c));const gt=ba([ge,Ke]),Dt=z&&!H&&be===k-1,an=be>=rh(y)&&be>=rh(x),cn=be>=d?void 0:oM(n,be),ar=be>=_?void 0:oM(a,be),On=cn===ar?cn:cn?ar?void 0:cn:ar,ln=Xa(1|(an&&!Dt?16777216:0),On||`arg${be}`,Dt?32768:an?16384:0);ln.links.type=Dt?Vu(gt):gt,re[be]=ln}if(H){const be=Xa(1,"args",32768);be.links.type=Vu(y_(x,k)),x===a&&(be.links.type=so(be.links.type,c)),re[k]=be}return re}function qlt(n,a){const c=n.typeParameters||a.typeParameters;let d;n.typeParameters&&a.typeParameters&&(d=kp(a.typeParameters,n.typeParameters));const _=n.declaration,y=Hlt(n,a,d),x=Ult(n.thisParameter,a.thisParameter,d),k=Math.max(n.minArgumentCount,a.minArgumentCount),z=Ig(_,c,x,y,void 0,void 0,k,(n.flags|a.flags)&167);return z.compositeKind=1048576,z.compositeSignatures=So(n.compositeKind!==2097152&&n.compositeSignatures||[n],[a]),d?z.mapper=n.compositeKind!==2097152&&n.mapper&&n.compositeSignatures?$1(n.mapper,d):d:n.compositeKind!==2097152&&n.mapper&&n.compositeSignatures&&(z.mapper=n.mapper),z}function T8e(n){const a=yd(n[0]);if(a){const c=[];for(const d of a){const _=d.keyType;Zn(n,y=>!!xg(y,_))&&c.push(Vh(_,ti(wt(n,y=>dE(y,_))),bt(n,y=>xg(y,_).isReadonly)))}return c}return et}function Jlt(n){const a=Ege(wt(n.types,_=>_===Wt?[un]:Yo(_,0))),c=Ege(wt(n.types,_=>Yo(_,1))),d=T8e(n.types);bf(n,K,a,c,d)}function g9(n,a){return n?a?ba([n,a]):n:a}function A8e(n){const a=au(n,d=>Yo(d,1).length>0),c=wt(n,Mi);if(a>0&&a===au(c,d=>d)){const d=c.indexOf(!0);c[d]=!1}return c}function Klt(n,a,c,d){const _=[];for(let y=0;y<a.length;y++)y===d?_.push(n):c[y]&&_.push(ps(Yo(a[y],1)[0]));return ba(_)}function Xlt(n){let a,c,d;const _=n.types,y=A8e(_),x=au(y,k=>k);for(let k=0;k<_.length;k++){const z=n.types[k];if(!y[k]){let H=Yo(z,1);H.length&&x>0&&(H=wt(H,re=>{const be=R8(re);return be.resolvedReturnType=Klt(ps(re),_,y,k),be})),c=I8e(c,H)}a=I8e(a,Yo(z,0)),d=od(yd(z),(H,re)=>x8e(H,re,!1),d)}bf(n,K,a||et,c||et,d||et)}function I8e(n,a){for(const c of a)(!n||Zn(n,d=>!z9(d,c,!1,!1,!1,z8)))&&(n=xn(n,c));return n}function x8e(n,a,c){if(n)for(let d=0;d<n.length;d++){const _=n[d];if(_.keyType===a.keyType)return n[d]=Vh(_.keyType,c?ti([_.type,a.type]):ba([_.type,a.type]),c?_.isReadonly||a.isReadonly:_.isReadonly&&a.isReadonly),n}return xn(n,a)}function Ylt(n){if(n.target){bf(n,K,et,et,et);const x=_8e(Wb(n.target),n.mapper,!1),k=uee(Yo(n.target,0),n.mapper),z=uee(Yo(n.target,1),n.mapper),H=H6e(yd(n.target),n.mapper);bf(n,x,k,z,H);return}const a=rs(n.symbol);if(a.flags&2048){bf(n,K,et,et,et);const x=Ob(a),k=H1(x.get("__call")),z=H1(x.get("__new")),H=U8e(a);bf(n,x,k,z,H);return}let c=Cp(a),d;if(a===at){const x=new Map;c.forEach(k=>{var z;!(k.flags&418)&&!(k.flags&512&&((z=k.declarations)!=null&&z.length)&&Zn(k.declarations,ku))&&x.set(k.escapedName,k)}),c=x}let _;if(bf(n,c,et,et,et),a.flags&32){const x=Vf(a),k=Pp(x);k.flags&11272192?(c=ua(zT(c)),h8e(c,gs(k))):k===j&&(_=Vh(ke,j,!1))}const y=XZ(c);if(y?d=Bge(y):(_&&(d=xn(d,_)),a.flags&384&&(el(a).flags&32||bt(n.properties,x=>!!(dr(x).flags&296)))&&(d=xn(d,Ur))),bf(n,c,et,et,d||et),a.flags&8208&&(n.callSignatures=H1(a)),a.flags&32){const x=Vf(a);let k=a.members?H1(a.members.get("__constructor")):et;a.flags&16&&(k=Jr(k.slice(),Hi(n.callSignatures,z=>nh(z.declaration)?Ig(z.declaration,z.typeParameters,z.thisParameter,z.parameters,x,void 0,z.minArgumentCount,z.flags&167):void 0))),k.length||(k=Vlt(x)),n.constructSignatures=k}}function $lt(n,a,c){return so(n,kp([a.indexType,a.objectType],[g_(0),jh([c])]))}function Qlt(n){const a=Ef(n.mappedType);if(!(a.flags&1048576||a.flags&2097152))return;const c=a.flags&1048576?a.origin:a;if(!c||!(c.flags&2097152))return;const d=ba(c.types.filter(_=>_!==n.constraintType));return d!==jr?d:void 0}function Zlt(n){const a=xg(n.source,ke),c=Bh(n.mappedType),d=!(c&1),_=c&4?0:16777216,y=a?[Vh(ke,Pee(a.type,n.mappedType,n.constraintType),d&&a.isReadonly)]:et,x=ua(),k=Qlt(n);for(const z of gs(n.source)){if(k){const be=NN(z,8576);if(!Ea(be,k))continue}const H=8192|(d&&b_(z)?8:0),re=Xa(4|z.flags&_,z.escapedName,H);if(re.declarations=z.declarations,re.links.nameType=Xi(z).nameType,re.links.propertyType=dr(z),n.constraintType.type.flags&8388608&&n.constraintType.type.objectType.flags&262144&&n.constraintType.type.indexType.flags&262144){const be=n.constraintType.type.objectType,ge=$lt(n.mappedType,n.constraintType.type,be);re.links.mappedType=ge,re.links.constraintType=Q_(be)}else re.links.mappedType=n.mappedType,re.links.constraintType=n.constraintType;x.set(z.escapedName,re)}bf(n,x,et,et,y)}function v9(n){if(n.flags&4194304){const a=kd(n.type);return Hb(a)?h6e(a):Q_(a)}if(n.flags&16777216){if(n.root.isDistributive){const a=n.checkType,c=v9(a);if(c!==a)return Sve(n,ZT(n.root.checkType,c,n.mapper),!1)}return n}if(n.flags&1048576)return pl(n,v9,!0);if(n.flags&2097152){const a=n.types;return a.length===2&&a[0].flags&76&&a[1]===lc?n:ba(eo(n.types,v9))}return n}function Sge(n){return xl(n)&4096}function Tge(n,a,c,d){for(const _ of gs(n))d(NN(_,a));if(n.flags&1)d(ke);else for(const _ of yd(n))(!c||_.keyType.flags&134217732)&&d(_.keyType)}function ect(n){const a=ua();let c;bf(n,K,et,et,et);const d=m_(n),_=Ef(n),y=n.target||n,x=_y(y),k=HZ(y)!==2,z=mv(y),H=kd(UT(n)),re=Bh(n),be=Le?128:8576;AN(n)?Tge(H,be,Le,ge):oA(v9(_),ge),bf(n,a,et,et,c||et);function ge(gt){const Dt=x?so(x,O8(n.mapper,d,gt)):gt;oA(Dt,an=>Ke(gt,an))}function Ke(gt,Dt){if(rm(Dt)){const an=im(Dt),cn=a.get(an);if(cn)cn.links.nameType=ti([cn.links.nameType,Dt]),cn.links.keyType=ti([cn.links.keyType,gt]);else{const ar=rm(gt)?ya(H,im(gt)):void 0,On=!!(re&4||!(re&8)&&ar&&ar.flags&16777216),ln=!!(re&1||!(re&2)&&ar&&b_(ar)),zn=Y&&!On&&ar&&ar.flags&16777216,Fn=ar?Sge(ar):0,er=Xa(4|(On?16777216:0),an,Fn|262144|(ln?8:0)|(zn?524288:0));er.links.mappedType=n,er.links.nameType=Dt,er.links.keyType=gt,ar&&(er.links.syntheticOrigin=ar,er.declarations=k?ar.declarations:void 0),a.set(an,er)}}else if(YZ(Dt)||Dt.flags&33){const an=Dt.flags&5?ke:Dt.flags&40?Tt:Dt,cn=so(z,O8(n.mapper,d,gt)),ar=D8(H,Dt),On=!!(re&1||!(re&2)&&(ar!=null&&ar.isReadonly)),ln=Vh(an,cn,On);c=x8e(c,ln,!0)}}}function tct(n){if(!n.links.type){const a=n.links.mappedType;if(!Fh(n,0))return a.containsError=!0,_t;const c=mv(a.target||a),d=O8(a.mapper,m_(a),n.links.keyType),_=so(c,d);let y=Y&&n.flags&16777216&&!Cl(_,49152)?qb(_,!0):n.links.checkFlags&524288?xee(_):_;Y_()||(Je(C,f.Type_of_property_0_circularly_references_itself_in_mapped_type_1,bi(n),Un(a)),y=_t),n.links.type=y}return n.links.type}function m_(n){return n.typeParameter||(n.typeParameter=jT(Ir(n.declaration.typeParameter)))}function Ef(n){return n.constraintType||(n.constraintType=Ld(m_(n))||_t)}function _y(n){return n.declaration.nameType?n.nameType||(n.nameType=so(Ei(n.declaration.nameType),n.mapper)):void 0}function mv(n){return n.templateType||(n.templateType=n.declaration.type?so(cp(Ei(n.declaration.type),!0,!!(Bh(n)&4)),n.mapper):_t)}function R8e(n){return Zx(n.declaration.typeParameter)}function AN(n){const a=R8e(n);return a.kind===198&&a.operator===143}function UT(n){if(!n.modifiersType)if(AN(n))n.modifiersType=so(Ei(R8e(n).type),n.mapper);else{const a=pve(n.declaration),c=Ef(a),d=c&&c.flags&262144?Ld(c):c;n.modifiersType=d&&d.flags&4194304?so(d.type,n.mapper):_n}return n.modifiersType}function Bh(n){const a=n.declaration;return(a.readonlyToken?a.readonlyToken.kind===41?2:1:0)|(a.questionToken?a.questionToken.kind===41?8:4:0)}function D8e(n){const a=Bh(n);return a&8?-1:a&4?1:0}function Age(n){const a=D8e(n),c=UT(n);return a||(Kd(c)?D8e(c):0)}function nct(n){return!!(Wr(n)&32&&Bh(n)&4)}function Kd(n){if(Wr(n)&32){const a=Ef(n);if(QT(a))return!0;const c=_y(n);if(c&&QT(so(c,Y1(m_(n),a))))return!0}return!1}function HZ(n){const a=_y(n);return a?Ea(a,m_(n))?1:2:0}function __(n){return n.members||(n.flags&524288?n.objectFlags&4?zlt(n):n.objectFlags&3?Flt(n):n.objectFlags&1024?Zlt(n):n.objectFlags&16?Ylt(n):n.objectFlags&32?ect(n):N.fail("Unhandled object type "+N.formatObjectFlags(n.objectFlags)):n.flags&1048576?Jlt(n):n.flags&2097152?Xlt(n):N.fail("Unhandled type "+N.formatTypeFlags(n.flags))),n}function Wb(n){return n.flags&524288?__(n).properties:et}function uE(n,a){if(n.flags&524288){const d=__(n).members.get(a);if(d&&Gm(d))return d}}function y9(n){if(!n.resolvedProperties){const a=ua();for(const c of n.types){for(const d of gs(c))if(!a.has(d.escapedName)){const _=E9(n,d.escapedName,!!(n.flags&2097152));_&&a.set(d.escapedName,_)}if(n.flags&1048576&&yd(c).length===0)break}n.resolvedProperties=nE(a)}return n.resolvedProperties}function gs(n){return n=V2(n),n.flags&3145728?y9(n):Wb(n)}function rct(n,a){n=V2(n),n.flags&3670016&&__(n).members.forEach((c,d)=>{px(c,d)&&a(c,d)})}function ict(n,a){return a.properties.some(d=>{const _=d.name&&(t_(d.name)?Xd(Dk(d.name)):vy(d.name)),y=_&&rm(_)?im(_):void 0,x=y===void 0?void 0:Xe(n,y);return!!x&&V8(x)&&!Ea(Ix(d),x)})}function oct(n){const a=ti(n);if(!(a.flags&1048576))return Mbe(a);const c=ua();for(const d of n)for(const{escapedName:_}of Mbe(d))if(!c.has(_)){const y=w8e(a,_);y&&c.set(_,y)}return zo(c.values())}function HT(n){return n.flags&262144?Ld(n):n.flags&8388608?sct(n):n.flags&16777216?P8e(n):Gu(n)}function Ld(n){return b9(n)?U2(n):void 0}function act(n,a){const c=W8(n);return!!c&&qT(c,a)}function qT(n,a=0){var c;return a<5&&!!(n&&(n.flags&262144&&bt((c=n.symbol)==null?void 0:c.declarations,d=>Zr(d,4096))||n.flags&3145728&&bt(n.types,d=>qT(d,a))||n.flags&8388608&&qT(n.objectType,a+1)||n.flags&16777216&&qT(P8e(n),a+1)||n.flags&33554432&&qT(n.baseType,a)||Wr(n)&32&&act(n,a)||Hb(n)&&Gr(J1(n),(d,_)=>!!(n.target.elementFlags[_]&8)&&qT(d,a))>=0))}function sct(n){return b9(n)?lct(n):void 0}function Ige(n){const a=gv(n,!1);return a!==n?a:HT(n)}function lct(n){if(Nge(n))return iee(n.objectType,n.indexType);const a=Ige(n.indexType);if(a&&a!==n.indexType){const d=Bb(n.objectType,a,n.accessFlags);if(d)return d}const c=Ige(n.objectType);if(c&&c!==n.objectType)return Bb(c,n.indexType,n.accessFlags)}function xge(n){if(!n.resolvedDefaultConstraint){const a=Uut(n),c=mE(n);n.resolvedDefaultConstraint=Nt(a)?c:Nt(c)?a:ti([a,c])}return n.resolvedDefaultConstraint}function N8e(n){if(n.resolvedConstraintOfDistributive!==void 0)return n.resolvedConstraintOfDistributive||void 0;if(n.root.isDistributive&&n.restrictiveInstantiation!==n){const a=gv(n.checkType,!1),c=a===n.checkType?HT(a):a;if(c&&c!==n.checkType){const d=Sve(n,ZT(n.root.checkType,c,n.mapper),!0);if(!(d.flags&131072))return n.resolvedConstraintOfDistributive=d,d}}n.resolvedConstraintOfDistributive=!1}function C8e(n){return N8e(n)||xge(n)}function P8e(n){return b9(n)?C8e(n):void 0}function cct(n,a){let c,d=!1;for(const _ of n)if(_.flags&465829888){let y=HT(_);for(;y&&y.flags&21233664;)y=HT(y);y&&(c=xn(c,y),a&&(c=xn(c,_)))}else(_.flags&469892092||Uh(_))&&(d=!0);if(c&&(a||d)){if(d)for(const _ of n)(_.flags&469892092||Uh(_))&&(c=xn(c,_));return O9(ba(c),!1)}}function Gu(n){if(n.flags&464781312||Hb(n)){const a=Rge(n);return a!==js&&a!==nu?a:void 0}return n.flags&4194304?Fs:void 0}function _v(n){return Gu(n)||n}function b9(n){return Rge(n)!==nu}function Rge(n){if(n.resolvedBaseConstraint)return n.resolvedBaseConstraint;const a=[];return n.resolvedBaseConstraint=c(n);function c(y){if(!y.immediateBaseConstraint){if(!Fh(y,4))return nu;let x;const k=bee(y);if((a.length<10||a.length<50&&!Nr(a,k))&&(a.push(k),x=_(gv(y,!1)),a.pop()),!Y_()){if(y.flags&262144){const z=Gge(y);if(z){const H=Je(z,f.Type_parameter_0_has_a_circular_constraint,Un(y));C&&!jE(z,C)&&!jE(C,z)&&wa(H,wr(C,f.Circularity_originates_in_type_at_this_location))}}x=nu}y.immediateBaseConstraint=x||js}return y.immediateBaseConstraint}function d(y){const x=c(y);return x!==js&&x!==nu?x:void 0}function _(y){if(y.flags&262144){const x=U2(y);return y.isThisType||!x?x:d(x)}if(y.flags&3145728){const x=y.types,k=[];let z=!1;for(const H of x){const re=d(H);re?(re!==H&&(z=!0),k.push(re)):z=!0}return z?y.flags&1048576&&k.length===x.length?ti(k):y.flags&2097152&&k.length?ba(k):void 0:y}if(y.flags&4194304)return Fs;if(y.flags&134217728){const x=y.types,k=Hi(x,d);return k.length===x.length?XT(y.texts,k):ke}if(y.flags&268435456){const x=d(y.type);return x&&x!==y.type?yx(y.symbol,x):ke}if(y.flags&8388608){if(Nge(y))return d(iee(y.objectType,y.indexType));const x=d(y.objectType),k=d(y.indexType),z=x&&k&&Bb(x,k,y.accessFlags);return z&&d(z)}if(y.flags&16777216){const x=C8e(y);return x&&d(x)}if(y.flags&33554432)return d(qge(y));if(Hb(y)){const x=wt(J1(y),(k,z)=>{const H=k.flags&262144&&y.target.elementFlags[z]&8&&d(k)||k;return H!==k&&up(H,re=>hE(re)&&!Hb(re))?H:k});return jh(x,y.target.elementFlags,y.target.readonly,y.target.labeledElementDeclarations)}return y}}function uct(n,a){return n.resolvedApparentType||(n.resolvedApparentType=Kp(n,a,!0))}function Dge(n){if(n.default)n.default===pu&&(n.default=nu);else if(n.target){const a=Dge(n.target);n.default=a?so(a,n.mapper):js}else{n.default=pu;const a=n.symbol&&Ue(n.symbol.declarations,d=>gl(d)&&d.default),c=a?Ei(a):js;n.default===pu&&(n.default=c)}return n.default}function JT(n){const a=Dge(n);return a!==js&&a!==nu?a:void 0}function dct(n){return Dge(n)!==nu}function M8e(n){return!!(n.symbol&&Ue(n.symbol.declarations,a=>gl(a)&&a.default))}function L8e(n){return n.resolvedApparentType||(n.resolvedApparentType=pct(n))}function pct(n){const a=n.target??n,c=W8(a);if(c&&!a.declaration.nameType){const d=UT(n),_=Kd(d)?L8e(d):Gu(d);if(_&&up(_,y=>hE(y)||k8e(y)))return so(a,ZT(c,_,n.mapper))}return n}function k8e(n){return!!(n.flags&2097152)&&Zn(n.types,hE)}function Nge(n){let a;return!!(n.flags&8388608&&Wr(a=n.objectType)&32&&!Kd(a)&&QT(n.indexType)&&!(Bh(a)&8)&&!a.declaration.nameType)}function kd(n){const a=n.flags&465829888?Gu(n)||_n:n,c=Wr(a);return c&32?L8e(a):c&4&&a!==n?Kp(a,n):a.flags&2097152?uct(a,n):a.flags&402653316?Zl:a.flags&296?yc:a.flags&2112?Xct():a.flags&528?dl:a.flags&12288?s6e():a.flags&67108864?La:a.flags&4194304?Fs:a.flags&2&&!Y?La:a}function V2(n){return h_(kd(h_(n)))}function w8e(n,a,c){var d,_,y;let x,k,z;const H=n.flags&1048576;let re,be=4,ge=H?0:8,Ke=!1;for(const er of n.types){const qn=kd(er);if(!(Kt(qn)||qn.flags&131072)){const tr=ya(qn,a,c),Ro=tr?Df(tr):0;if(tr){if(tr.flags&106500&&(re??(re=H?0:16777216),H?re|=tr.flags&16777216:re&=tr.flags),!x)x=tr;else if(tr!==x)if((GN(tr)||tr)===(GN(x)||x)&&Mve(x,tr,(Bo,_o)=>Bo===_o?-1:0)===-1)Ke=!!x.parent&&!!Me(kr(x.parent));else{k||(k=new Map,k.set(Ta(x),x));const Bo=Ta(tr);k.has(Bo)||k.set(Bo,tr)}H&&b_(tr)?ge|=8:!H&&!b_(tr)&&(ge&=-9),ge|=(Ro&6?0:256)|(Ro&4?512:0)|(Ro&2?1024:0)|(Ro&256?2048:0),Dye(tr)||(be=2)}else if(H){const Eo=!x8(a)&&gx(qn,a);Eo?(ge|=32|(Eo.isReadonly?8:0),z=xn(z,Ba(qn)?Tee(qn)||Oe:Eo.type)):yE(qn)&&!(Wr(qn)&2097152)?(ge|=32,z=xn(z,Oe)):ge|=16}}}if(!x||H&&(k||ge&48)&&ge&1536&&!(k&&fct(k.values())))return;if(!k&&!(ge&16)&&!z)if(Ke){const er=(d=ii(x,mh))==null?void 0:d.links,qn=tA(x,er==null?void 0:er.type);return qn.parent=(y=(_=x.valueDeclaration)==null?void 0:_.symbol)==null?void 0:y.parent,qn.links.containingType=n,qn.links.mapper=er==null?void 0:er.mapper,qn.links.writeType=U1(x),qn}else return x;const gt=k?zo(k.values()):[x];let Dt,an,cn;const ar=[];let On,ln,zn=!1;for(const er of gt){ln?er.valueDeclaration&&er.valueDeclaration!==ln&&(zn=!0):ln=er.valueDeclaration,Dt=Jr(Dt,er.declarations);const qn=dr(er);an||(an=qn,cn=Xi(er).nameType);const tr=U1(er);(On||tr!==qn)&&(On=xn(On||ar.slice(),tr)),qn!==an&&(ge|=64),(V8(qn)||YT(qn))&&(ge|=128),qn.flags&131072&&qn!==uu&&(ge|=131072),ar.push(qn)}Jr(ar,z);const Fn=Xa(4|(re??0),a,be|ge);return Fn.links.containingType=n,!zn&&ln&&(Fn.valueDeclaration=ln,ln.symbol.parent&&(Fn.parent=ln.symbol.parent)),Fn.declarations=Dt,Fn.links.nameType=cn,ar.length>2?(Fn.links.checkFlags|=65536,Fn.links.deferralParent=n,Fn.links.deferralConstituents=ar,Fn.links.deferralWriteConstituents=On):(Fn.links.type=H?ti(ar):ba(ar),On&&(Fn.links.writeType=H?ti(On):ba(On))),Fn}function O8e(n,a,c){var d,_,y;let x=c?(d=n.propertyCacheWithoutObjectFunctionPropertyAugment)==null?void 0:d.get(a):(_=n.propertyCache)==null?void 0:_.get(a);return x||(x=w8e(n,a,c),x&&((c?n.propertyCacheWithoutObjectFunctionPropertyAugment||(n.propertyCacheWithoutObjectFunctionPropertyAugment=ua()):n.propertyCache||(n.propertyCache=ua())).set(a,x),c&&!(xl(x)&48)&&!((y=n.propertyCache)!=null&&y.get(a))&&(n.propertyCache||(n.propertyCache=ua())).set(a,x))),x}function fct(n){let a;for(const c of n){if(!c.declarations)return;if(!a){a=new Set(c.declarations);continue}if(a.forEach(d=>{Nr(c.declarations,d)||a.delete(d)}),a.size===0)return}return a}function E9(n,a,c){const d=O8e(n,a,c);return d&&!(xl(d)&16)?d:void 0}function h_(n){return n.flags&1048576&&n.objectFlags&16777216?n.resolvedReducedType||(n.resolvedReducedType=mct(n)):n.flags&2097152?(n.objectFlags&16777216||(n.objectFlags|=16777216|(bt(y9(n),_ct)?33554432:0)),n.objectFlags&33554432?jr:n):n}function mct(n){const a=eo(n.types,h_);if(a===n.types)return n;const c=ti(a);return c.flags&1048576&&(c.resolvedReducedType=c),c}function _ct(n){return W8e(n)||F8e(n)}function W8e(n){return!(n.flags&16777216)&&(xl(n)&131264)===192&&!!(dr(n).flags&131072)}function F8e(n){return!n.valueDeclaration&&!!(xl(n)&1024)}function Cge(n){return!!(n.flags&1048576&&n.objectFlags&16777216&&bt(n.types,Cge)||n.flags&2097152&&hct(n))}function hct(n){const a=n.uniqueLiteralFilledInstantiation||(n.uniqueLiteralFilledInstantiation=so(n,ho));return h_(a)!==a}function Pge(n,a){if(a.flags&2097152&&Wr(a)&33554432){const c=An(y9(a),W8e);if(c)return jo(n,f.The_intersection_0_was_reduced_to_never_because_property_1_has_conflicting_types_in_some_constituents,Un(a,void 0,536870912),bi(c));const d=An(y9(a),F8e);if(d)return jo(n,f.The_intersection_0_was_reduced_to_never_because_property_1_exists_in_multiple_constituents_and_is_private_in_some,Un(a,void 0,536870912),bi(d))}return n}function ya(n,a,c,d){var _,y;if(n=V2(n),n.flags&524288){const x=__(n),k=x.members.get(a);if(k&&!d&&((_=n.symbol)==null?void 0:_.flags)&512&&((y=Xi(n.symbol).typeOnlyExportStarMap)!=null&&y.has(a)))return;if(k&&Gm(k,d))return k;if(c)return;const z=x===nn?Wt:x.callSignatures.length?Jn:x.constructSignatures.length?yo:void 0;if(z){const H=uE(z,a);if(H)return H}return uE(Ce,a)}if(n.flags&2097152){const x=E9(n,a,!0);return x||(c?void 0:E9(n,a,c))}if(n.flags&1048576)return E9(n,a,c)}function S9(n,a){if(n.flags&3670016){const c=__(n);return a===0?c.callSignatures:c.constructSignatures}return et}function Yo(n,a){const c=S9(V2(n),a);if(a===0&&!Me(c)&&n.flags&1048576){if(n.arrayFallbackSignatures)return n.arrayFallbackSignatures;let d;if(up(n,_=>{var y;return!!((y=_.symbol)!=null&&y.parent)&&gct(_.symbol.parent)&&(d?d===_.symbol.escapedName:(d=_.symbol.escapedName,!0))})){const _=pl(n,x=>Vb((z8e(x.symbol.parent)?na:Qo).typeParameters[0],x.mapper)),y=Vu(_,Um(n,x=>z8e(x.symbol.parent)));return n.arrayFallbackSignatures=Yo(Xe(y,d),a)}n.arrayFallbackSignatures=c}return c}function gct(n){return!n||!Qo.symbol||!na.symbol?!1:!!u_(n,Qo.symbol)||!!u_(n,na.symbol)}function z8e(n){return!n||!na.symbol?!1:!!u_(n,na.symbol)}function Mge(n,a){return An(n,c=>c.keyType===a)}function Lge(n,a){let c,d,_;for(const y of n)y.keyType===ke?c=y:hx(a,y.keyType)&&(d?(_||(_=[d])).push(y):d=y);return _?Vh(_n,ba(wt(_,y=>y.type)),od(_,(y,x)=>y&&x.isReadonly,!0)):d||(c&&hx(a,ke)?c:void 0)}function hx(n,a){return Ea(n,a)||a===ke&&Ea(n,Tt)||a===Tt&&(n===cs||!!(n.flags&128)&&cg(n.value))}function kge(n){return n.flags&3670016?__(n).indexInfos:et}function yd(n){return kge(V2(n))}function xg(n,a){return Mge(yd(n),a)}function dE(n,a){var c;return(c=xg(n,a))==null?void 0:c.type}function wge(n,a){return yd(n).filter(c=>hx(a,c.keyType))}function D8(n,a){return Lge(yd(n),a)}function gx(n,a){return D8(n,x8(a)?Ai:Xd(Vi(a)))}function B8e(n){var a;let c;for(const d of ky(n))c=Mg(c,jT(d.symbol));return c!=null&&c.length?c:Ac(n)?(a=j2(n))==null?void 0:a.typeParameters:void 0}function Oge(n){const a=[];return n.forEach((c,d)=>{B1(d)||a.push(c)}),a}function qZ(n,a){if(Yc(n))return;const c=Jd(Se,'"'+n+'"',512);return c&&a?rs(c):c}function N8(n){if(FA(n)||xk(n)||Rk(n))return!0;if(n.initializer){const c=fm(n.parent),d=n.parent.parameters.indexOf(n);return N.assert(d>=0),d>=rh(c,3)}const a=xS(n.parent);return a?!n.type&&!n.dotDotDotToken&&n.parent.parameters.indexOf(n)>=ite(a).length:!1}function vct(n){return Jo(n)&&!P_(n)&&n.questionToken}function T9(n,a,c,d){return{kind:n,parameterName:a,parameterIndex:c,type:d}}function Gh(n){let a=0;if(n)for(let c=0;c<n.length;c++)M8e(n[c])||(a=c+1);return a}function Fb(n,a,c,d){const _=Me(a);if(!_)return[];const y=Me(n);if(d||y>=c&&y<=_){const x=n?n.slice():[];for(let z=y;z<_;z++)x[z]=_t;const k=$ve(d);for(let z=y;z<_;z++){let H=JT(a[z]);d&&H&&(vv(H,_n)||vv(H,La))&&(H=j),x[z]=H?so(H,kp(a,x)):k}return x.length=a.length,x}return n&&n.slice()}function fm(n){const a=ei(n);if(!a.resolvedSignature){const c=[];let d=0,_=0,y,x=lr(n)?E4(n):void 0,k=!1;const z=xS(n),H=ER(n);!z&&lr(n)&&Jie(n)&&!xre(n)&&!p0(n)&&(d|=32);for(let gt=H?1:0;gt<n.parameters.length;gt++){const Dt=n.parameters[gt];if(lr(Dt)&&UH(Dt)){x=Dt;continue}let an=Dt.symbol;const cn=r_(Dt)?Dt.typeExpression&&Dt.typeExpression.type:Dt.type;an&&an.flags&4&&!ta(Dt.name)&&(an=bl(Dt,an.escapedName,111551,void 0,void 0,!1)),gt===0&&an.escapedName==="this"?(k=!0,y=Dt.symbol):c.push(an),cn&&cn.kind===201&&(d|=2),xk(Dt)||Dt.initializer||Dt.questionToken||Zh(Dt)||z&&c.length>z.arguments.length&&!cn||Rk(Dt)||(_=c.length)}if((n.kind===177||n.kind===178)&&TN(n)&&(!k||!y)){const gt=n.kind===177?178:177,Dt=fl(Ir(n),gt);Dt&&(y=WZ(Dt))}x&&x.typeExpression&&(y=tA(Xa(1,"this"),Ei(x.typeExpression)));const be=D0(n)?y0(n):n,ge=be&&Ml(be)?Vf(rs(be.parent.symbol)):void 0,Ke=ge?ge.localTypeParameters:B8e(n);(mj(n)||lr(n)&&yct(n,c))&&(d|=1),(HR(n)&&Zr(n,64)||Ml(n)&&Zr(n.parent,64))&&(d|=4),a.resolvedSignature=Ig(n,Ke,y,c,void 0,void 0,_,d)}return a.resolvedSignature}function yct(n,a){if(D0(n)||!Wge(n))return!1;const c=tl(n.parameters),d=c?Qx(c):f0(n).filter(r_),_=Ut(d,x=>x.typeExpression&&lF(x.typeExpression.type)?x.typeExpression.type:void 0),y=Xa(3,"args",32768);return _?y.links.type=Vu(Ei(_.type)):(y.links.checkFlags|=65536,y.links.deferralParent=jr,y.links.deferralConstituents=[ec],y.links.deferralWriteConstituents=[ec]),_&&a.pop(),a.push(y),!0}function j2(n){if(!(lr(n)&&Bs(n)))return;const a=d0(n);return(a==null?void 0:a.typeExpression)&&cA(Ei(a.typeExpression))}function bct(n,a){const c=j2(n);if(!c)return;const d=n.parameters.indexOf(a);return a.dotDotDotToken?fB(c,d):y_(c,d)}function Ect(n){const a=j2(n);return a&&ps(a)}function Wge(n){const a=ei(n);return a.containsArgumentsReference===void 0&&(a.flags&512?a.containsArgumentsReference=!0:a.containsArgumentsReference=c(n.body)),a.containsArgumentsReference;function c(d){if(!d)return!1;switch(d.kind){case 80:return d.escapedText===Gt.escapedName&&d6(d)===Gt;case 172:case 174:case 177:case 178:return d.name.kind===167&&c(d.name);case 211:case 212:return c(d.expression);case 303:return c(d.initializer);default:return!oU(d)&&!tg(d)&&!!Ho(d,c)}}}function H1(n){if(!n||!n.declarations)return et;const a=[];for(let c=0;c<n.declarations.length;c++){const d=n.declarations[c];if(ea(d)){if(c>0&&d.body){const _=n.declarations[c-1];if(d.parent===_.parent&&d.kind===_.kind&&d.pos===_.end)continue}if(lr(d)&&d.jsDoc){const _=Xj(d);if(Me(_)){for(const y of _){const x=y.typeExpression;x.type===void 0&&!Ml(d)&&gE(x,j),a.push(fm(x))}continue}}a.push(!QE(d)&&!xm(d)&&j2(d)||fm(d))}}return a}function G8e(n){const a=vd(n,n);if(a){const c=Np(a);if(c)return dr(c)}return j}function pE(n){if(n.thisParameter)return dr(n.thisParameter)}function jf(n){if(!n.resolvedTypePredicate){if(n.target){const a=jf(n.target);n.resolvedTypePredicate=a?odt(a,n.mapper):we}else if(n.compositeSignatures)n.resolvedTypePredicate=but(n.compositeSignatures,n.compositeKind)||we;else{const a=n.declaration&&nm(n.declaration);let c;if(!a){const d=j2(n.declaration);d&&n!==d&&(c=jf(d))}n.resolvedTypePredicate=a&&Kk(a)?Sct(a,n):c||we}N.assert(!!n.resolvedTypePredicate)}return n.resolvedTypePredicate===we?void 0:n.resolvedTypePredicate}function Sct(n,a){const c=n.parameterName,d=n.type&&Ei(n.type);return c.kind===197?T9(n.assertsModifier?2:0,void 0,void 0,d):T9(n.assertsModifier?3:1,c.escapedText,Gr(a.parameters,_=>_.escapedName===c.escapedText),d)}function V8e(n,a,c){return a!==2097152?ti(n,c):ba(n)}function ps(n){if(!n.resolvedReturnType){if(!Fh(n,3))return _t;let a=n.target?so(ps(n.target),n.mapper):n.compositeSignatures?so(V8e(wt(n.compositeSignatures,ps),n.compositeKind,2),n.mapper):IN(n.declaration)||(zl(n.declaration.body)?j:lte(n.declaration));if(n.flags&8?a=S4e(a):n.flags&16&&(a=qb(a)),!Y_()){if(n.declaration){const c=nm(n.declaration);if(c)Je(c,f.Return_type_annotation_circularly_references_itself);else if(pe){const d=n.declaration,_=Mo(d);_?Je(_,f._0_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions,Rs(_)):Je(d,f.Function_implicitly_has_return_type_any_because_it_does_not_have_a_return_type_annotation_and_is_referenced_directly_or_indirectly_in_one_of_its_return_expressions)}}a=j}n.resolvedReturnType=a}return n.resolvedReturnType}function IN(n){if(n.kind===176)return Vf(rs(n.parent.symbol));const a=nm(n);if(D0(n)){const c=SR(n);if(c&&Ml(c.parent)&&!a)return Vf(rs(c.parent.parent.symbol))}if(ER(n))return Ei(n.parameters[0].type);if(a)return Ei(a);if(n.kind===177&&TN(n)){const c=lr(n)&&uv(n);if(c)return c;const d=fl(Ir(n),178),_=sE(d);if(_)return _}return Ect(n)}function JZ(n){return n.compositeSignatures&&bt(n.compositeSignatures,JZ)||!n.resolvedReturnType&&mx(n,3)>=0}function Tct(n){return j8e(n)||j}function j8e(n){if(Yu(n)){const a=dr(n.parameters[n.parameters.length-1]),c=Ba(a)?Tee(a):a;return c&&dE(c,Tt)}}function C8(n,a,c,d){const _=Fge(n,Fb(a,n.typeParameters,Gh(n.typeParameters),c));if(d){const y=tWe(ps(_));if(y){const x=R8(y);x.typeParameters=d;const k=R8(_);return k.resolvedReturnType=KT(x),k}}return _}function Fge(n,a){const c=n.instantiations||(n.instantiations=new Map),d=mm(a);let _=c.get(d);return _||c.set(d,_=KZ(n,a)),_}function KZ(n,a){return MN(n,Act(n,a),!0)}function Act(n,a){return kp(n.typeParameters,a)}function P8(n){return n.typeParameters?n.erasedSignatureCache||(n.erasedSignatureCache=Ict(n)):n}function Ict(n){return MN(n,q6e(n.typeParameters),!0)}function xct(n){return n.typeParameters?n.canonicalSignatureCache||(n.canonicalSignatureCache=Rct(n)):n}function Rct(n){return C8(n,wt(n.typeParameters,a=>a.target&&!Ld(a.target)?a.target:a),lr(n.declaration))}function Dct(n){const a=n.typeParameters;if(a){if(n.baseSignatureCache)return n.baseSignatureCache;const c=q6e(a),d=kp(a,wt(a,y=>Ld(y)||_n));let _=wt(a,y=>so(y,d)||_n);for(let y=0;y<a.length-1;y++)_=yy(_,d);return _=yy(_,c),n.baseSignatureCache=MN(n,kp(a,_),!0)}return n}function KT(n){var a;if(!n.isolatedSignatureType){const c=(a=n.declaration)==null?void 0:a.kind,d=c===void 0||c===176||c===180||c===185,_=zf(16);_.members=K,_.properties=et,_.callSignatures=d?et:[n],_.constructSignatures=d?[n]:et,_.indexInfos=et,n.isolatedSignatureType=_}return n.isolatedSignatureType}function zge(n){return n.members?XZ(n.members):void 0}function XZ(n){return n.get("__index")}function Vh(n,a,c,d){return{keyType:n,type:a,isReadonly:c,declaration:d}}function U8e(n){const a=zge(n);return a?Bge(a):et}function Bge(n){if(n.declarations){const a=[];for(const c of n.declarations)if(c.parameters.length===1){const d=c.parameters[0];d.type&&oA(Ei(d.type),_=>{YZ(_)&&!Mge(a,_)&&a.push(Vh(_,c.type?Ei(c.type):j,gp(c,8),c))})}return a}return et}function YZ(n){return!!(n.flags&4108)||YT(n)||!!(n.flags&2097152)&&!CN(n)&&bt(n.types,YZ)}function Gge(n){return Hi(nr(n.symbol&&n.symbol.declarations,gl),Zx)[0]}function H8e(n,a){var c;let d;if((c=n.symbol)!=null&&c.declarations){for(const _ of n.symbol.declarations)if(_.parent.kind===195){const[y=_.parent,x]=Kie(_.parent.parent);if(x.kind===183&&!a){const k=x,z=dbe(k);if(z){const H=k.typeArguments.indexOf(y);if(H<z.length){const re=Ld(z[H]);if(re){const be=vve(z,z.map((Ke,gt)=>()=>jht(k,z,gt))),ge=so(re,be);ge!==n&&(d=xn(d,ge))}}}}else if(x.kind===169&&x.dotDotDotToken||x.kind===191||x.kind===202&&x.dotDotDotToken)d=xn(d,Vu(_n));else if(x.kind===204)d=xn(d,ke);else if(x.kind===168&&x.parent.kind===200)d=xn(d,Fs);else if(x.kind===200&&x.type&&hs(x.type)===_.parent&&x.parent.kind===194&&x.parent.extendsType===x&&x.parent.checkType.kind===200&&x.parent.checkType.type){const k=x.parent.checkType,z=Ei(k.type);d=xn(d,so(z,Y1(jT(Ir(k.typeParameter)),k.typeParameter.constraint?Ei(k.typeParameter.constraint):Fs)))}}}return d&&ba(d)}function U2(n){if(!n.constraint)if(n.target){const a=Ld(n.target);n.constraint=a?so(a,n.mapper):js}else{const a=Gge(n);if(!a)n.constraint=H8e(n)||js;else{let c=Ei(a);c.flags&1&&!Kt(c)&&(c=a.parent.parent.kind===200?Fs:_n),n.constraint=c}}return n.constraint===js?void 0:n.constraint}function q8e(n){const a=fl(n.symbol,168),c=sm(a.parent)?g3(a.parent):a.parent;return c&&gf(c)}function mm(n){let a="";if(n){const c=n.length;let d=0;for(;d<c;){const _=n[d].id;let y=1;for(;d+y<c&&n[d+y].id===_+y;)y++;a.length&&(a+=","),a+=_,y>1&&(a+=":"+y),d+=y}}return a}function vx(n,a){return n?`@${Ta(n)}`+(a?`:${mm(a)}`:""):""}function $Z(n,a){let c=0;for(const d of n)(a===void 0||!(d.flags&a))&&(c|=Wr(d));return c&458752}function xN(n,a){return bt(a)&&n===ko?_n:hy(n,a)}function hy(n,a){const c=mm(a);let d=n.instantiations.get(c);return d||(d=zf(4,n.symbol),n.instantiations.set(c,d),d.objectFlags|=a?$Z(a):0,d.target=n,d.resolvedTypeArguments=a),d}function J8e(n){const a=Tg(n.flags,n.symbol);return a.objectFlags=n.objectFlags,a.target=n.target,a.resolvedTypeArguments=n.resolvedTypeArguments,a}function Vge(n,a,c,d,_){if(!d){d=bx(a);const x=PN(d);_=c?yy(x,c):x}const y=zf(4,n.symbol);return y.target=n,y.node=a,y.mapper=c,y.aliasSymbol=d,y.aliasTypeArguments=_,y}function Js(n){var a,c;if(!n.resolvedTypeArguments){if(!Fh(n,6))return((a=n.target.localTypeParameters)==null?void 0:a.map(()=>_t))||et;const d=n.node,_=d?d.kind===183?So(n.target.outerTypeParameters,fte(d,n.target.localTypeParameters)):d.kind===188?[Ei(d.elementType)]:wt(d.elements,Ei):et;Y_()?n.resolvedTypeArguments=n.mapper?yy(_,n.mapper):_:(n.resolvedTypeArguments=((c=n.target.localTypeParameters)==null?void 0:c.map(()=>_t))||et,Je(n.node||C,n.target.symbol?f.Type_arguments_for_0_circularly_reference_themselves:f.Tuple_type_arguments_circularly_reference_themselves,n.target.symbol&&bi(n.target.symbol)))}return n.resolvedTypeArguments}function gy(n){return Me(n.target.typeParameters)}function K8e(n,a){const c=el(rs(a)),d=c.localTypeParameters;if(d){const _=Me(n.typeArguments),y=Gh(d),x=lr(n);if(!(!pe&&x)&&(_<y||_>d.length)){const H=x&&Kv(n)&&!vI(n.parent),re=y===d.length?H?f.Expected_0_type_arguments_provide_these_with_an_extends_tag:f.Generic_type_0_requires_1_type_argument_s:H?f.Expected_0_1_type_arguments_provide_these_with_an_extends_tag:f.Generic_type_0_requires_between_1_and_2_type_arguments,be=Un(c,void 0,2);if(Je(n,re,be,y,d.length),!x)return _t}if(n.kind===183&&m6e(n,Me(n.typeArguments)!==d.length))return Vge(c,n,void 0);const z=So(c.outerTypeParameters,Fb(A9(n),d,y,x));return hy(c,z)}return q1(n,a)?c:_t}function RN(n,a,c,d){const _=el(n);if(_===fn){const H=QF.get(n.escapedName);if(H!==void 0&&a&&a.length===1)return H===4?jge(a[0]):yx(n,a[0])}const y=Xi(n),x=y.typeParameters,k=mm(a)+vx(c,d);let z=y.instantiations.get(k);return z||y.instantiations.set(k,z=X6e(_,kp(x,Fb(a,x,Gh(x),lr(n.valueDeclaration))),c,d)),z}function Nct(n,a){if(xl(a)&1048576){const _=A9(n),y=vx(a,_);let x=Fe.get(y);return x||(x=cc(1,"error",void 0,`alias ${y}`),x.aliasSymbol=a,x.aliasTypeArguments=_,Fe.set(y,x)),x}const c=el(a),d=Xi(a).typeParameters;if(d){const _=Me(n.typeArguments),y=Gh(d);if(_<y||_>d.length)return Je(n,y===d.length?f.Generic_type_0_requires_1_type_argument_s:f.Generic_type_0_requires_between_1_and_2_type_arguments,bi(a),y,d.length),_t;const x=bx(n);let k=x&&(X8e(a)||!X8e(x))?x:void 0,z;if(k)z=PN(k);else if(O4(n)){const H=DN(n,2097152,!0);if(H&&H!==ft){const re=Fc(H);re&&re.flags&524288&&(k=re,z=A9(n)||(d?[]:void 0))}}return RN(a,A9(n),k,z)}return q1(n,a)?c:_t}function X8e(n){var a;const c=(a=n.declarations)==null?void 0:a.find(QL);return!!(c&&Gp(c))}function Cct(n){switch(n.kind){case 183:return n.typeName;case 233:const a=n.expression;if(Gl(a))return a}}function Y8e(n){return n.parent?`${Y8e(n.parent)}.${n.escapedName}`:n.escapedName}function QZ(n){const c=(n.kind===166?n.right:n.kind===211?n.name:n).escapedText;if(c){const d=n.kind===166?QZ(n.left):n.kind===211?QZ(n.expression):void 0,_=d?`${Y8e(d)}.${c}`:c;let y=le.get(_);return y||(le.set(_,y=Xa(524288,c,1048576)),y.parent=d,y.links.declaredType=Vt),y}return ft}function DN(n,a,c){const d=Cct(n);if(!d)return ft;const _=Hs(d,a,c);return _&&_!==ft?_:c?ft:QZ(d)}function ZZ(n,a){if(a===ft)return _t;if(a=w2(a)||a,a.flags&96)return K8e(n,a);if(a.flags&524288)return Nct(n,a);const c=f8e(a);if(c)return q1(n,a)?Ed(c):_t;if(a.flags&111551&&eee(n)){const d=Pct(n,a);return d||(DN(n,788968),dr(a))}return _t}function Pct(n,a){const c=ei(n);if(!c.resolvedJSDocType){const d=dr(a);let _=d;if(a.valueDeclaration){const y=n.kind===205&&n.qualifier;d.symbol&&d.symbol!==a&&y&&(_=ZZ(n,d.symbol))}c.resolvedJSDocType=_}return c.resolvedJSDocType}function jge(n){return Uge(n)?$8e(n,_n):n}function Uge(n){return!!(n.flags&3145728&&bt(n.types,Uge)||n.flags&33554432&&!H2(n)&&Uge(n.baseType)||n.flags&524288&&!Uh(n)||n.flags&432275456&&!YT(n))}function H2(n){return!!(n.flags&33554432&&n.constraint.flags&2)}function Hge(n,a){return a.flags&3||a===n||n.flags&1?n:$8e(n,a)}function $8e(n,a){const c=`${bd(n)}>${bd(a)}`,d=Io.get(c);if(d)return d;const _=Sg(33554432);return _.baseType=n,_.constraint=a,Io.set(c,_),_}function qge(n){return H2(n)?n.baseType:ba([n.constraint,n.baseType])}function Q8e(n){return n.kind===189&&n.elements.length===1}function Z8e(n,a,c){return Q8e(a)&&Q8e(c)?Z8e(n,a.elements[0],c.elements[0]):Gb(Ei(a))===Gb(n)?Ei(c):void 0}function Mct(n,a){let c,d=!0;for(;a&&!qi(a)&&a.kind!==327;){const _=a.parent;if(_.kind===169&&(d=!d),(d||n.flags&8650752)&&_.kind===194&&a===_.trueType){const y=Z8e(n,_.checkType,_.extendsType);y&&(c=xn(c,y))}else if(n.flags&262144&&_.kind===200&&!_.nameType&&a===_.type){const y=Ei(_);if(m_(y)===Gb(n)){const x=W8(y);if(x){const k=Ld(x);k&&up(k,hE)&&(c=xn(c,ti([Tt,cs])))}}}a=_}return c?Hge(n,ba(c)):n}function eee(n){return!!(n.flags&16777216)&&(n.kind===183||n.kind===205)}function q1(n,a){return n.typeArguments?(Je(n,f.Type_0_is_not_generic,a?bi(a):n.typeName?Rs(n.typeName):KF),!1):!0}function e6e(n){if(Ve(n.typeName)){const a=n.typeArguments;switch(n.typeName.escapedText){case"String":return q1(n),ke;case"Number":return q1(n),Tt;case"Boolean":return q1(n),Ni;case"Void":return q1(n),ir;case"Undefined":return q1(n),Oe;case"Null":return q1(n),me;case"Function":case"function":return q1(n),Wt;case"array":return(!a||!a.length)&&!pe?ec:void 0;case"promise":return(!a||!a.length)&&!pe?_B(j):void 0;case"Object":if(a&&a.length===2){if(u3(n)){const c=Ei(a[0]),d=Ei(a[1]),_=c===ke||c===Tt?[Vh(c,d,!1)]:et;return Ls(void 0,K,et,et,_)}return j}return q1(n),pe?void 0:j}}}function Lct(n){const a=Ei(n.type);return Y?V9(a,65536):a}function Jge(n){const a=ei(n);if(!a.resolvedType){if(Og(n)&&bS(n.parent))return a.resolvedSymbol=ft,a.resolvedType=nc(n.parent.expression);let c,d;const _=788968;eee(n)&&(d=e6e(n),d||(c=DN(n,_,!0),c===ft?c=DN(n,_|111551):DN(n,_),d=ZZ(n,c))),d||(c=DN(n,_),d=ZZ(n,c)),a.resolvedSymbol=c,a.resolvedType=d}return a.resolvedType}function A9(n){return wt(n.typeArguments,Ei)}function t6e(n){const a=ei(n);if(!a.resolvedType){const c=EWe(n);a.resolvedType=Ed(Xp(c))}return a.resolvedType}function n6e(n,a){function c(_){const y=_.declarations;if(y)for(const x of y)switch(x.kind){case 263:case 264:case 266:return x}}if(!n)return a?ko:La;const d=el(n);return d.flags&524288?Me(d.typeParameters)!==a?(Je(c(n),f.Global_type_0_must_have_1_type_parameter_s,Sl(n),a),a?ko:La):d:(Je(c(n),f.Global_type_0_must_be_a_class_or_interface_type,Sl(n)),a?ko:La)}function Kge(n,a){return q2(n,111551,a?f.Cannot_find_global_value_0:void 0)}function Xge(n,a){return q2(n,788968,a?f.Cannot_find_global_type_0:void 0)}function tee(n,a,c){const d=q2(n,788968,c?f.Cannot_find_global_type_0:void 0);if(d&&(el(d),Me(Xi(d).typeParameters)!==a)){const _=d.declarations&&An(d.declarations,Nm);Je(_,f.Global_type_0_must_have_1_type_parameter_s,Sl(d),a);return}return d}function q2(n,a,c){return bl(void 0,n,a,c,n,!1,!1,!1)}function tc(n,a,c){const d=Xge(n,c);return d||c?n6e(d,a):void 0}function kct(){return Ch||(Ch=tc("TypedPropertyDescriptor",1,!0)||ko)}function wct(){return rr||(rr=tc("TemplateStringsArray",0,!0)||La)}function r6e(){return oi||(oi=tc("ImportMeta",0,!0)||La)}function i6e(){if(!$r){const n=Xa(0,"ImportMetaExpression"),a=r6e(),c=Xa(4,"meta",8);c.parent=n,c.links.type=a;const d=ua([c]);n.members=d,$r=Ls(n,d,et,et,et)}return $r}function o6e(n){return io||(io=tc("ImportCallOptions",0,n))||La}function Yge(n){return Fa||(Fa=tc("ImportAttributes",0,n))||La}function a6e(n){return Cd||(Cd=Kge("Symbol",n))}function Oct(n){return Of||(Of=Xge("SymbolConstructor",n))}function s6e(){return U_||(U_=tc("Symbol",0,!1))||La}function I9(n){return $g||($g=tc("Promise",1,n))||ko}function l6e(n){return Bu||(Bu=tc("PromiseLike",1,n))||ko}function $ge(n){return Qg||(Qg=Kge("Promise",n))}function Wct(n){return xp||(xp=tc("PromiseConstructorLike",0,n))||La}function nee(n){return F||(F=tc("AsyncIterable",1,n))||ko}function Fct(n){return Te||(Te=tc("AsyncIterator",3,n))||ko}function zct(n){return st||(st=tc("AsyncIterableIterator",1,n))||ko}function Bct(n){return Jt||(Jt=tc("AsyncGenerator",3,n))||ko}function Qge(n){return _g||(_g=tc("Iterable",1,n))||ko}function Gct(n){return Ud||(Ud=tc("Iterator",3,n))||ko}function Vct(n){return te||(te=tc("IterableIterator",1,n))||ko}function jct(n){return ct||(ct=tc("Generator",3,n))||ko}function Uct(n){return Ft||(Ft=tc("IteratorYieldResult",1,n))||ko}function Hct(n){return jt||(jt=tc("IteratorReturnResult",1,n))||ko}function c6e(n){return za||(za=tc("Disposable",0,n))||La}function qct(n){return Ol||(Ol=tc("AsyncDisposable",0,n))||La}function u6e(n,a=0){const c=q2(n,788968,void 0);return c&&n6e(c,a)}function Jct(){return fu||(fu=tee("Extract",2,!0)||ft),fu===ft?void 0:fu}function Kct(){return Dc||(Dc=tee("Omit",2,!0)||ft),Dc===ft?void 0:Dc}function Zge(n){return Rp||(Rp=tee("Awaited",1,n)||(n?ft:void 0)),Rp===ft?void 0:Rp}function Xct(){return Hd||(Hd=tc("BigInt",0,!1))||La}function Yct(n){return km??(km=tc("ClassDecoratorContext",1,n))??ko}function $ct(n){return wm??(wm=tc("ClassMethodDecoratorContext",2,n))??ko}function Qct(n){return Ui??(Ui=tc("ClassGetterDecoratorContext",2,n))??ko}function Zct(n){return Zg??(Zg=tc("ClassSetterDecoratorContext",2,n))??ko}function eut(n){return ay??(ay=tc("ClassAccessorDecoratorContext",2,n))??ko}function tut(n){return Om??(Om=tc("ClassAccessorDecoratorTarget",2,n))??ko}function nut(n){return x1??(x1=tc("ClassAccessorDecoratorResult",2,n))??ko}function rut(n){return R1??(R1=tc("ClassFieldDecoratorContext",2,n))??ko}function iut(){return pb||(pb=Kge("NaN",!1))}function out(){return us||(us=tee("Record",2,!0)||ft),us===ft?void 0:us}function M8(n,a){return n!==ko?hy(n,a):La}function d6e(n){return M8(kct(),[n])}function p6e(n){return M8(Qge(!0),[n])}function Vu(n,a){return M8(a?na:Qo,[n])}function eve(n){switch(n.kind){case 190:return 2;case 191:return f6e(n);case 202:return n.questionToken?2:n.dotDotDotToken?f6e(n):1;default:return 1}}function f6e(n){return C9(n.type)?4:8}function aut(n){const a=cut(n.parent);if(C9(n))return a?na:Qo;const d=wt(n.elements,eve);return tve(d,a,wt(n.elements,sut))}function sut(n){return qR(n)||Ao(n)?n:void 0}function m6e(n,a){return!!bx(n)||_6e(n)&&(n.kind===188?zb(n.elementType):n.kind===189?bt(n.elements,zb):a||bt(n.typeArguments,zb))}function _6e(n){const a=n.parent;switch(a.kind){case 196:case 202:case 183:case 192:case 193:case 199:case 194:case 198:case 188:case 189:return _6e(a);case 265:return!0}return!1}function zb(n){switch(n.kind){case 183:return eee(n)||!!(DN(n,788968).flags&524288);case 186:return!0;case 198:return n.operator!==158&&zb(n.type);case 196:case 190:case 202:case 323:case 321:case 322:case 316:return zb(n.type);case 191:return n.type.kind!==188||zb(n.type.elementType);case 192:case 193:return bt(n.types,zb);case 199:return zb(n.objectType)||zb(n.indexType);case 194:return zb(n.checkType)||zb(n.extendsType)||zb(n.trueType)||zb(n.falseType)}return!1}function lut(n){const a=ei(n);if(!a.resolvedType){const c=aut(n);if(c===ko)a.resolvedType=La;else if(!(n.kind===189&&bt(n.elements,d=>!!(eve(d)&8)))&&m6e(n))a.resolvedType=n.kind===189&&n.elements.length===0?c:Vge(c,n,void 0);else{const d=n.kind===188?[Ei(n.elementType)]:wt(n.elements,Ei);a.resolvedType=nve(c,d)}}return a.resolvedType}function cut(n){return VS(n)&&n.operator===148}function jh(n,a,c=!1,d=[]){const _=tve(a||wt(n,y=>1),c,d);return _===ko?La:n.length?nve(_,n):_}function tve(n,a,c){if(n.length===1&&n[0]&4)return a?na:Qo;const d=wt(n,y=>y&1?"#":y&2?"?":y&4?".":"*").join()+(a?"R":"")+(bt(c,y=>!!y)?","+wt(c,y=>y?as(y):"_").join(","):"");let _=No.get(d);return _||No.set(d,_=uut(n,a,c)),_}function uut(n,a,c){const d=n.length,_=au(n,be=>!!(be&9));let y;const x=[];let k=0;if(d){y=new Array(d);for(let be=0;be<d;be++){const ge=y[be]=yf(),Ke=n[be];if(k|=Ke,!(k&12)){const gt=Xa(4|(Ke&2?16777216:0),""+be,a?8:0);gt.links.tupleLabelDeclaration=c==null?void 0:c[be],gt.links.type=ge,x.push(gt)}}}const z=x.length,H=Xa(4,"length",a?8:0);if(k&12)H.links.type=Tt;else{const be=[];for(let ge=_;ge<=d;ge++)be.push(g_(ge));H.links.type=ti(be)}x.push(H);const re=zf(12);return re.typeParameters=y,re.outerTypeParameters=void 0,re.localTypeParameters=y,re.instantiations=new Map,re.instantiations.set(mm(re.typeParameters),re),re.target=re,re.resolvedTypeArguments=re.typeParameters,re.thisType=yf(),re.thisType.isThisType=!0,re.thisType.constraint=re,re.declaredProperties=x,re.declaredCallSignatures=et,re.declaredConstructSignatures=et,re.declaredIndexInfos=et,re.elementFlags=n,re.minLength=_,re.fixedLength=z,re.hasRestElement=!!(k&12),re.combinedFlags=k,re.readonly=a,re.labeledElementDeclarations=c,re}function nve(n,a){return n.objectFlags&8?rve(n,a):hy(n,a)}function rve(n,a){var c,d,_,y;if(!(n.combinedFlags&14))return hy(n,a);if(n.combinedFlags&8){const gt=Gr(a,(Dt,an)=>!!(n.elementFlags[an]&8&&Dt.flags&1179648));if(gt>=0)return R9(wt(a,(Dt,an)=>n.elementFlags[an]&8?Dt:_n))?pl(a[gt],Dt=>rve(n,_G(a,gt,Dt))):_t}const x=[],k=[],z=[];let H=-1,re=-1,be=-1;for(let gt=0;gt<a.length;gt++){const Dt=a[gt],an=n.elementFlags[gt];if(an&8)if(Dt.flags&1)Ke(Dt,4,(c=n.labeledElementDeclarations)==null?void 0:c[gt]);else if(Dt.flags&58982400||Kd(Dt))Ke(Dt,8,(d=n.labeledElementDeclarations)==null?void 0:d[gt]);else if(Ba(Dt)){const cn=J1(Dt);if(cn.length+x.length>=1e4)return Je(C,tg(C)?f.Type_produces_a_tuple_type_that_is_too_large_to_represent:f.Expression_produces_a_tuple_type_that_is_too_large_to_represent),_t;Ue(cn,(ar,On)=>{var ln;return Ke(ar,Dt.target.elementFlags[On],(ln=Dt.target.labeledElementDeclarations)==null?void 0:ln[On])})}else Ke(by(Dt)&&dE(Dt,Tt)||_t,4,(_=n.labeledElementDeclarations)==null?void 0:_[gt]);else Ke(Dt,an,(y=n.labeledElementDeclarations)==null?void 0:y[gt])}for(let gt=0;gt<H;gt++)k[gt]&2&&(k[gt]=1);re>=0&&re<be&&(x[re]=ti(eo(x.slice(re,be+1),(gt,Dt)=>k[re+Dt]&8?Lp(gt,Tt):gt)),x.splice(re+1,be-re),k.splice(re+1,be-re),z.splice(re+1,be-re));const ge=tve(k,n.readonly,z);return ge===ko?La:k.length?hy(ge,x):ge;function Ke(gt,Dt,an){Dt&1&&(H=k.length),Dt&4&&re<0&&(re=k.length),Dt&6&&(be=k.length),x.push(Dt&2?cp(gt,!0):gt),k.push(Dt),z.push(an)}}function J2(n,a,c=0){const d=n.target,_=gy(n)-c;return a>d.fixedLength?Ydt(n)||jh(et):jh(Js(n).slice(a,_),d.elementFlags.slice(a,_),!1,d.labeledElementDeclarations&&d.labeledElementDeclarations.slice(a,_))}function h6e(n){return ti(xn(Rne(n.target.fixedLength,a=>Xd(""+a)),Q_(n.target.readonly?na:Qo)))}function dut(n,a){const c=Gr(n.elementFlags,d=>!(d&a));return c>=0?c:n.elementFlags.length}function L8(n,a){return n.elementFlags.length-Bi(n.elementFlags,c=>!(c&a))-1}function ive(n){return n.fixedLength+L8(n,3)}function J1(n){const a=Js(n),c=gy(n);return a.length===c?a:a.slice(0,c)}function put(n){return cp(Ei(n.type),!0)}function bd(n){return n.id}function hv(n,a){return Nv(n,a,bd,rl)>=0}function x9(n,a){const c=Nv(n,a,bd,rl);return c<0?(n.splice(~c,0,a),!0):!1}function fut(n,a,c){const d=c.flags;if(!(d&131072))if(a|=d&473694207,d&465829888&&(a|=33554432),d&2097152&&Wr(c)&67108864&&(a|=536870912),c===Rt&&(a|=8388608),!Y&&d&98304)Wr(c)&65536||(a|=4194304);else{const _=n.length,y=_&&c.id>n[_-1].id?~_:Nv(n,c,bd,rl);y<0&&n.splice(~y,0,c)}return a}function g6e(n,a,c){let d;for(const _ of c)_!==d&&(a=_.flags&1048576?g6e(n,a|(yut(_)?1048576:0),_.types):fut(n,a,_),d=_);return a}function mut(n,a){var c;if(n.length<2)return n;const d=mm(n),_=ma.get(d);if(_)return _;const y=a&&bt(n,H=>!!(H.flags&524288)&&!Kd(H)&&xve(__(H))),x=n.length;let k=x,z=0;for(;k>0;){k--;const H=n[k];if(y||H.flags&469499904){if(H.flags&262144&&_v(H).flags&1048576){Z_(H,ti(wt(n,ge=>ge===H?jr:ge)),Wf)&&Dy(n,k);continue}const re=H.flags&61603840?An(gs(H),ge=>v_(dr(ge))):void 0,be=re&&Ed(dr(re));for(const ge of n)if(H!==ge){if(z===1e5&&z/(x-k)*x>1e6){(c=sr)==null||c.instant(sr.Phase.CheckTypes,"removeSubtypes_DepthLimit",{typeIds:n.map(gt=>gt.id)}),Je(C,f.Expression_produces_a_union_type_that_is_too_complex_to_represent);return}if(z++,re&&ge.flags&61603840){const Ke=Xe(ge,re.escapedName);if(Ke&&v_(Ke)&&Ed(Ke)!==be)continue}if(Z_(H,ge,Wf)&&(!(Wr(my(H))&1)||!(Wr(my(ge))&1)||_E(H,ge))){Dy(n,k);break}}}}return ma.set(d,n),n}function _ut(n,a,c){let d=n.length;for(;d>0;){d--;const _=n[d],y=_.flags;(y&402653312&&a&4||y&256&&a&8||y&2048&&a&64||y&8192&&a&4096||c&&y&32768&&a&16384||X1(_)&&hv(n,_.regularType))&&Dy(n,d)}}function hut(n){const a=nr(n,YT);if(a.length){let c=n.length;for(;c>0;){c--;const d=n[c];d.flags&128&&bt(a,_=>gut(d,_))&&Dy(n,c)}}}function gut(n,a){return a.flags&134217728?Lee(n,a):Mee(n,a)}function vut(n){const a=[];for(const c of n)if(c.flags&2097152&&Wr(c)&67108864){const d=c.types[0].flags&8650752?0:1;Tf(a,c.types[d])}for(const c of a){const d=[];for(const y of n)if(y.flags&2097152&&Wr(y)&67108864){const x=y.types[0].flags&8650752?0:1;y.types[x]===c&&x9(d,y.types[1-x])}const _=Gu(c);if(up(_,y=>hv(d,y))){let y=n.length;for(;y>0;){y--;const x=n[y];if(x.flags&2097152&&Wr(x)&67108864){const k=x.types[0].flags&8650752?0:1;x.types[k]===c&&hv(d,x.types[1-k])&&Dy(n,y)}}x9(n,c)}}}function yut(n){return!!(n.flags&1048576&&(n.aliasSymbol||n.origin))}function v6e(n,a){for(const c of a)if(c.flags&1048576){const d=c.origin;c.aliasSymbol||d&&!(d.flags&1048576)?Tf(n,c):d&&d.flags&1048576&&v6e(n,d.types)}}function ove(n,a){const c=dx(n);return c.types=a,c}function ti(n,a=1,c,d,_){if(n.length===0)return jr;if(n.length===1)return n[0];if(n.length===2&&!_&&(n[0].flags&1048576||n[1].flags&1048576)){const y=a===0?"N":a===2?"S":"L",x=n[0].id<n[1].id?0:1,k=n[x].id+y+n[1-x].id+vx(c,d);let z=Di.get(k);return z||(z=y6e(n,a,c,d,void 0),Di.set(k,z)),z}return y6e(n,a,c,d,_)}function y6e(n,a,c,d,_){let y=[];const x=g6e(y,0,n);if(a!==0){if(x&3)return x&1?x&8388608?Rt:j:x&65536||hv(y,_n)?_n:q;if(x&32768&&y.length>=2&&y[0]===Oe&&y[1]===L&&Dy(y,1),(x&402664352||x&16384&&x&32768)&&_ut(y,x,!!(a&2)),x&128&&x&402653184&&hut(y),x&536870912&&vut(y),a===2&&(y=mut(y,!!(x&524288)),!y))return _t;if(y.length===0)return x&65536?x&4194304?me:Ge:x&32768?x&4194304?Oe:Lt:jr}if(!_&&x&1048576){const z=[];v6e(z,n);const H=[];for(const be of y)bt(z,ge=>hv(ge.types,be))||H.push(be);if(!c&&z.length===1&&H.length===0)return z[0];if(od(z,(be,ge)=>be+ge.types.length,0)+H.length===y.length){for(const be of z)x9(H,be);_=ove(1048576,H)}}const k=(x&36323331?0:32768)|(x&2097152?16777216:0);return sve(y,k,c,d,_)}function but(n,a){let c;const d=[];for(const y of n){const x=jf(y);if(x){if(x.kind!==0&&x.kind!==1||c&&!ave(c,x))return;c=x,d.push(x.type)}else{const k=a!==2097152?ps(y):void 0;if(k!==en&&k!==bn)return}}if(!c)return;const _=V8e(d,a);return T9(c.kind,c.parameterName,c.parameterIndex,_)}function ave(n,a){return n.kind===a.kind&&n.parameterIndex===a.parameterIndex}function sve(n,a,c,d,_){if(n.length===0)return jr;if(n.length===1)return n[0];const x=(_?_.flags&1048576?`|${mm(_.types)}`:_.flags&2097152?`&${mm(_.types)}`:`#${_.type.id}|${mm(n)}`:mm(n))+vx(c,d);let k=Mn.get(x);return k||(k=Sg(1048576),k.objectFlags=a|$Z(n,98304),k.types=n,k.origin=_,k.aliasSymbol=c,k.aliasTypeArguments=d,n.length===2&&n[0].flags&512&&n[1].flags&512&&(k.flags|=16,k.intrinsicName="boolean"),Mn.set(x,k)),k}function Eut(n){const a=ei(n);if(!a.resolvedType){const c=bx(n);a.resolvedType=ti(wt(n.types,Ei),1,c,PN(c))}return a.resolvedType}function Sut(n,a,c){const d=c.flags;return d&2097152?b6e(n,a,c.types):(Uh(c)?a&16777216||(a|=16777216,n.set(c.id.toString(),c)):(d&3?c===Rt&&(a|=8388608):(Y||!(d&98304))&&(c===L&&(a|=262144,c=Oe),n.has(c.id.toString())||(c.flags&109472&&a&109472&&(a|=67108864),n.set(c.id.toString(),c))),a|=d&473694207),a)}function b6e(n,a,c){for(const d of c)a=Sut(n,a,Ed(d));return a}function Tut(n,a){let c=n.length;for(;c>0;){c--;const d=n[c];(d.flags&4&&a&402653312||d.flags&8&&a&256||d.flags&64&&a&2048||d.flags&4096&&a&8192||d.flags&16384&&a&32768||Uh(d)&&a&470302716)&&Dy(n,c)}}function Aut(n,a){for(const c of n)if(!hv(c.types,a)){const d=a.flags&128?ke:a.flags&288?Tt:a.flags&2048?kt:a.flags&8192?Ai:void 0;if(!d||!hv(c.types,d))return!1}return!0}function Iut(n){let a=n.length;const c=nr(n,d=>!!(d.flags&128));for(;a>0;){a--;const d=n[a];if(d.flags&402653184){for(const _ of c)if(jb(_,d)){Dy(n,a);break}else if(YT(d))return!0}}return!1}function E6e(n,a){for(let c=0;c<n.length;c++)n[c]=dc(n[c],d=>!(d.flags&a))}function xut(n){let a;const c=Gr(n,x=>!!(Wr(x)&32768));if(c<0)return!1;let d=c+1;for(;d<n.length;){const x=n[d];Wr(x)&32768?((a||(a=[n[c]])).push(x),Dy(n,d)):d++}if(!a)return!1;const _=[],y=[];for(const x of a)for(const k of x.types)x9(_,k)&&Aut(a,k)&&x9(y,k);return n[c]=sve(y,32768),!0}function Rut(n,a,c,d){const _=Sg(2097152);return _.objectFlags=a|$Z(n,98304),_.types=n,_.aliasSymbol=c,_.aliasTypeArguments=d,_}function ba(n,a,c,d){const _=new Map,y=b6e(_,0,n),x=zo(_.values());let k=0;if(y&131072)return Nr(x,vo)?vo:jr;if(Y&&y&98304&&y&84410368||y&67108864&&y&402783228||y&402653316&&y&67238776||y&296&&y&469891796||y&2112&&y&469889980||y&12288&&y&469879804||y&49152&&y&469842940||y&402653184&&y&128&&Iut(x))return jr;if(y&1)return y&8388608?Rt:j;if(!Y&&y&98304)return y&16777216?jr:y&32768?Oe:me;if((y&4&&y&402653312||y&8&&y&256||y&64&&y&2048||y&4096&&y&8192||y&16384&&y&32768||y&16777216&&y&470302716)&&(d||Tut(x,y)),y&262144&&(x[x.indexOf(Oe)]=L),x.length===0)return _n;if(x.length===1)return x[0];if(x.length===2){const re=x[0].flags&8650752?0:1,be=x[re],ge=x[1-re];if(be.flags&8650752&&(ge.flags&469893116&&!M6e(ge)||y&16777216)){const Ke=Gu(be);if(Ke&&up(Ke,gt=>!!(gt.flags&469893116)||Uh(gt))){if(L9(Ke,ge))return be;if(!(Ke.flags&1048576&&Um(Ke,gt=>L9(gt,ge)))&&!L9(ge,Ke))return jr;k=67108864}}}const z=mm(x)+vx(a,c);let H=Ar.get(z);if(!H){if(y&1048576)if(xut(x))H=ba(x,a,c);else if(Zn(x,re=>!!(re.flags&1048576&&re.types[0].flags&32768))){const re=bt(x,U8)?L:Oe;E6e(x,32768),H=ti([ba(x),re],1,a,c)}else if(Zn(x,re=>!!(re.flags&1048576&&(re.types[0].flags&65536||re.types[1].flags&65536))))E6e(x,65536),H=ti([ba(x),me],1,a,c);else if(x.length>=4){const re=Math.floor(x.length/2);H=ba([ba(x.slice(0,re)),ba(x.slice(re))],a,c)}else{if(!R9(x))return _t;const re=Dut(x),be=bt(re,ge=>!!(ge.flags&2097152))&&lve(re)>lve(x)?ove(2097152,x):void 0;H=ti(re,1,a,c,be)}else H=Rut(x,k,a,c);Ar.set(z,H)}return H}function S6e(n){return od(n,(a,c)=>c.flags&1048576?a*c.types.length:c.flags&131072?0:a,1)}function R9(n){var a;const c=S6e(n);return c>=1e5?((a=sr)==null||a.instant(sr.Phase.CheckTypes,"checkCrossProductUnion_DepthLimit",{typeIds:n.map(d=>d.id),size:c}),Je(C,f.Expression_produces_a_union_type_that_is_too_complex_to_represent),!1):!0}function Dut(n){const a=S6e(n),c=[];for(let d=0;d<a;d++){const _=n.slice();let y=d;for(let k=n.length-1;k>=0;k--)if(n[k].flags&1048576){const z=n[k].types,H=z.length;_[k]=z[y%H],y=Math.floor(y/H)}const x=ba(_);x.flags&131072||c.push(x)}return c}function T6e(n){return!(n.flags&3145728)||n.aliasSymbol?1:n.flags&1048576&&n.origin?T6e(n.origin):lve(n.types)}function lve(n){return od(n,(a,c)=>a+T6e(c),0)}function Nut(n){const a=ei(n);if(!a.resolvedType){const c=bx(n),d=wt(n.types,Ei),_=d.length===2?d.indexOf(lc):-1,y=_>=0?d[1-_]:_n,x=!!(y.flags&76||y.flags&134217728&&YT(y));a.resolvedType=ba(d,c,PN(c),x)}return a.resolvedType}function A6e(n,a){const c=Sg(4194304);return c.type=n,c.indexFlags=a,c}function Cut(n){const a=dx(4194304);return a.type=n,a}function I6e(n,a){return a&1?n.resolvedStringIndexType||(n.resolvedStringIndexType=A6e(n,1)):n.resolvedIndexType||(n.resolvedIndexType=A6e(n,0))}function x6e(n,a){const c=m_(n),d=Ef(n),_=_y(n.target||n);if(!_&&!(a&2))return d;const y=[];if(QT(d)){if(AN(n))return I6e(n,a);oA(d,k)}else if(AN(n)){const z=kd(UT(n));Tge(z,8576,!!(a&1),k)}else oA(v9(d),k);const x=a&2?dc(ti(y),z=>!(z.flags&5)):ti(y);if(x.flags&1048576&&d.flags&1048576&&mm(x.types)===mm(d.types))return d;return x;function k(z){const H=_?so(_,O8(n.mapper,c,z)):z;y.push(H===ke?xo:H)}}function Put(n){const a=m_(n);return c(_y(n)||a);function c(d){return d.flags&470810623?!0:d.flags&16777216?d.root.isDistributive&&d.checkType===a:d.flags&137363456?Zn(d.types,c):d.flags&8388608?c(d.objectType)&&c(d.indexType):d.flags&33554432?c(d.baseType)&&c(d.constraint):d.flags&268435456?c(d.type):!1}}function vy(n){if(Ji(n))return jr;if(vp(n))return Ed(mo(n));if(Za(n))return Ed(Rg(n));const a=PS(n);return a!==void 0?Xd(Vi(a)):yt(n)?Ed(mo(n)):jr}function NN(n,a,c){if(c||!(Df(n)&6)){let d=Xi(jZ(n)).nameType;if(!d){const _=Mo(n.valueDeclaration);d=n.escapedName==="default"?Xd("default"):_&&vy(_)||(lk(n)?void 0:Xd(Sl(n)))}if(d&&d.flags&a)return d}return jr}function R6e(n,a){return!!(n.flags&a||n.flags&2097152&&bt(n.types,c=>R6e(c,a)))}function Mut(n,a,c){const d=c&&(Wr(n)&7||n.aliasSymbol)?Cut(n):void 0,_=wt(gs(n),x=>NN(x,a)),y=wt(yd(n),x=>x!==Ur&&R6e(x.keyType,a)?x.keyType===ke&&a&8?xo:x.keyType:jr);return ti(So(_,y),1,void 0,void 0,d)}function cve(n,a=0){return!!(n.flags&58982400||Hb(n)||Kd(n)&&(!Put(n)||HZ(n)===2)||n.flags&1048576&&!(a&4)&&Cge(n)||n.flags&2097152&&Cl(n,465829888)&&bt(n.types,Uh))}function Q_(n,a=He){return n=h_(n),H2(n)?jge(Q_(n.baseType,a)):cve(n,a)?I6e(n,a):n.flags&1048576?ba(wt(n.types,c=>Q_(c,a))):n.flags&2097152?ti(wt(n.types,c=>Q_(c,a))):Wr(n)&32?x6e(n,a):n===Rt?Rt:n.flags&2?jr:n.flags&131073?Fs:Mut(n,(a&2?128:402653316)|(a&1?0:12584),a===He)}function D6e(n){if(Le)return n;const a=Jct();return a?RN(a,[n,ke]):ke}function Lut(n){const a=D6e(Q_(n));return a.flags&131072?ke:a}function kut(n){const a=ei(n);if(!a.resolvedType)switch(n.operator){case 143:a.resolvedType=Q_(Ei(n.type));break;case 158:a.resolvedType=n.type.kind===155?gve(nk(n.parent)):_t;break;case 148:a.resolvedType=Ei(n.type);break;default:N.assertNever(n.operator)}return a.resolvedType}function wut(n){const a=ei(n);return a.resolvedType||(a.resolvedType=XT([n.head.text,...wt(n.templateSpans,c=>c.literal.text)],wt(n.templateSpans,c=>Ei(c.type)))),a.resolvedType}function XT(n,a){const c=Gr(a,H=>!!(H.flags&1179648));if(c>=0)return R9(a)?pl(a[c],H=>XT(n,_G(a,c,H))):_t;if(Nr(a,Rt))return Rt;const d=[],_=[];let y=n[0];if(!z(n,a))return ke;if(d.length===0)return Xd(y);if(_.push(y),Zn(_,H=>H==="")){if(Zn(d,H=>!!(H.flags&4)))return ke;if(d.length===1&&YT(d[0]))return d[0]}const x=`${mm(d)}|${wt(_,H=>H.length).join(",")}|${_.join("")}`;let k=hi.get(x);return k||hi.set(x,k=Wut(_,d)),k;function z(H,re){for(let be=0;be<re.length;be++){const ge=re[be];if(ge.flags&101248)y+=Out(ge)||"",y+=H[be+1];else if(ge.flags&134217728){if(y+=ge.texts[0],!z(ge.texts,ge.types))return!1;y+=H[be+1]}else if(QT(ge)||D9(ge))d.push(ge),_.push(y),y=H[be+1];else return!1}return!0}}function Out(n){return n.flags&128?n.value:n.flags&256?""+n.value:n.flags&2048?$E(n.value):n.flags&98816?n.intrinsicName:void 0}function Wut(n,a){const c=Sg(134217728);return c.texts=n,c.types=a,c}function yx(n,a){return a.flags&1179648?pl(a,c=>yx(n,c)):a.flags&128?Xd(N6e(n,a.value)):a.flags&134217728?XT(...Fut(n,a.texts,a.types)):a.flags&268435456&&n===a.symbol?a:a.flags&268435461||QT(a)?C6e(n,a):D9(a)?C6e(n,XT(["",""],[a])):a}function N6e(n,a){switch(QF.get(n.escapedName)){case 0:return a.toUpperCase();case 1:return a.toLowerCase();case 2:return a.charAt(0).toUpperCase()+a.slice(1);case 3:return a.charAt(0).toLowerCase()+a.slice(1)}return a}function Fut(n,a,c){switch(QF.get(n.escapedName)){case 0:return[a.map(d=>d.toUpperCase()),c.map(d=>yx(n,d))];case 1:return[a.map(d=>d.toLowerCase()),c.map(d=>yx(n,d))];case 2:return[a[0]===""?a:[a[0].charAt(0).toUpperCase()+a[0].slice(1),...a.slice(1)],a[0]===""?[yx(n,c[0]),...c.slice(1)]:c];case 3:return[a[0]===""?a:[a[0].charAt(0).toLowerCase()+a[0].slice(1),...a.slice(1)],a[0]===""?[yx(n,c[0]),...c.slice(1)]:c]}return[a,c]}function C6e(n,a){const c=`${Ta(n)},${bd(a)}`;let d=Qi.get(c);return d||Qi.set(c,d=zut(n,a)),d}function zut(n,a){const c=Tg(268435456,n);return c.type=a,c}function But(n,a,c,d,_){const y=Sg(8388608);return y.objectType=n,y.indexType=a,y.accessFlags=c,y.aliasSymbol=d,y.aliasTypeArguments=_,y}function k8(n){if(pe)return!1;if(Wr(n)&4096)return!0;if(n.flags&1048576)return Zn(n.types,k8);if(n.flags&2097152)return bt(n.types,k8);if(n.flags&465829888){const a=Rge(n);return a!==n&&k8(a)}return!1}function ree(n,a){return rm(n)?im(n):a&&oc(a)?PS(a):void 0}function uve(n,a){if(a.flags&8208){const c=Gn(n.parent,d=>!ws(d))||n.parent;return wE(c)?I_(c)&&Ve(n)&&z4e(c,n):Zn(a.declarations,d=>!ea(d)||cy(d))}return!0}function P6e(n,a,c,d,_,y){const x=_&&_.kind===212?_:void 0,k=_&&Ji(_)?void 0:ree(c,_);if(k!==void 0){if(y&256)return bE(a,k)||j;const H=ya(a,k);if(H){if(y&64&&_&&H.declarations&&hb(H)&&uve(_,H)){const be=(x==null?void 0:x.argumentExpression)??(jS(_)?_.indexType:_);uy(be,H.declarations,k)}if(x){if(aB(H,x,X3e(x.expression,a.symbol)),FWe(x,H,BA(x))){Je(x.argumentExpression,f.Cannot_assign_to_0_because_it_is_a_read_only_property,bi(H));return}if(y&8&&(ei(_).resolvedSymbol=H),V3e(x,H))return ot}const re=y&4?U1(H):dr(H);return x&&BA(x)!==1?Kb(x,re):_&&jS(_)&&U8(re)?ti([re,Oe]):re}if(up(a,Ba)&&cg(k)){const re=+k;if(_&&up(a,be=>!be.target.hasRestElement)&&!(y&16)){const be=dve(_);if(Ba(a)){if(re<0)return Je(be,f.A_tuple_type_cannot_be_indexed_with_a_negative_value),Oe;Je(be,f.Tuple_type_0_of_length_1_has_no_element_at_index_2,Un(a),gy(a),Vi(k))}else Je(be,f.Property_0_does_not_exist_on_type_1,Vi(k),Un(a))}if(re>=0)return z(xg(a,Tt)),y4e(a,re,y&1?L:void 0)}}if(!(c.flags&98304)&&xu(c,402665900)){if(a.flags&131073)return a;const H=D8(a,c)||xg(a,ke);if(H){if(y&2&&H.keyType!==Tt){x&&(y&4?Je(x,f.Type_0_is_generic_and_can_only_be_indexed_for_reading,Un(n)):Je(x,f.Type_0_cannot_be_used_to_index_type_1,Un(c),Un(n)));return}if(_&&H.keyType===ke&&!xu(c,12)){const re=dve(_);return Je(re,f.Type_0_cannot_be_used_as_an_index_type,Un(c)),y&1?ti([H.type,L]):H.type}return z(H),y&1&&!(a.symbol&&a.symbol.flags&384&&c.symbol&&c.flags&1024&&Pd(c.symbol)===a.symbol)?ti([H.type,L]):H.type}if(c.flags&131072)return jr;if(k8(a))return j;if(x&&!ute(a)){if(yE(a)){if(pe&&c.flags&384)return ts.add(wr(x,f.Property_0_does_not_exist_on_type_1,c.value,Un(a))),Oe;if(c.flags&12){const re=wt(a.properties,be=>dr(be));return ti(xn(re,Oe))}}if(a.symbol===at&&k!==void 0&&at.exports.has(k)&&at.exports.get(k).flags&418)Je(x,f.Property_0_does_not_exist_on_type_1,Vi(k),Un(a));else if(pe&&!V.suppressImplicitAnyIndexErrors&&!(y&128))if(k!==void 0&&q3e(k,a)){const re=Un(a);Je(x,f.Property_0_does_not_exist_on_type_1_Did_you_mean_to_access_the_static_member_2_instead,k,re,re+"["+fc(x.argumentExpression)+"]")}else if(dE(a,Tt))Je(x.argumentExpression,f.Element_implicitly_has_an_any_type_because_index_expression_is_not_of_type_number);else{let re;if(k!==void 0&&(re=wye(k,a)))re!==void 0&&Je(x.argumentExpression,f.Property_0_does_not_exist_on_type_1_Did_you_mean_2,k,Un(a),re);else{const be=Fmt(a,x,c);if(be!==void 0)Je(x,f.Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature_Did_you_mean_to_call_1,Un(a),be);else{let ge;if(c.flags&1024)ge=jo(void 0,f.Property_0_does_not_exist_on_type_1,"["+Un(c)+"]",Un(a));else if(c.flags&8192){const Ke=qp(c.symbol,x);ge=jo(void 0,f.Property_0_does_not_exist_on_type_1,"["+Ke+"]",Un(a))}else c.flags&128||c.flags&256?ge=jo(void 0,f.Property_0_does_not_exist_on_type_1,c.value,Un(a)):c.flags&12&&(ge=jo(void 0,f.No_index_signature_with_a_parameter_of_type_0_was_found_on_type_1,Un(c),Un(a)));ge=jo(ge,f.Element_implicitly_has_an_any_type_because_expression_of_type_0_can_t_be_used_to_index_type_1,Un(d),Un(a)),ts.add(Fg(jn(x),x,ge))}}}return}}if(k8(a))return j;if(_){const H=dve(_);c.flags&384?Je(H,f.Property_0_does_not_exist_on_type_1,""+c.value,Un(a)):c.flags&12?Je(H,f.Type_0_has_no_matching_index_signature_for_type_1,Un(a),Un(c)):Je(H,f.Type_0_cannot_be_used_as_an_index_type,Un(c))}if(Nt(c))return c;return;function z(H){H&&H.isReadonly&&x&&(ig(x)||$j(x))&&Je(x,f.Index_signature_in_type_0_only_permits_reading,Un(a))}}function dve(n){return n.kind===212?n.argumentExpression:n.kind===199?n.indexType:n.kind===167?n.expression:n}function D9(n){if(n.flags&2097152){let a=!1;for(const c of n.types)if(c.flags&101248||D9(c))a=!0;else if(!(c.flags&524288))return!1;return a}return!!(n.flags&77)||YT(n)}function YT(n){return!!(n.flags&134217728)&&Zn(n.types,D9)||!!(n.flags&268435456)&&D9(n.type)}function M6e(n){return!!(n.flags&402653184)&&!YT(n)}function CN(n){return!!w8(n)}function $T(n){return!!(w8(n)&4194304)}function QT(n){return!!(w8(n)&8388608)}function w8(n){return n.flags&3145728?(n.objectFlags&2097152||(n.objectFlags|=2097152|od(n.types,(a,c)=>a|w8(c),0)),n.objectFlags&12582912):n.flags&33554432?(n.objectFlags&2097152||(n.objectFlags|=2097152|w8(n.baseType)|w8(n.constraint)),n.objectFlags&12582912):(n.flags&58982400||Kd(n)||Hb(n)?4194304:0)|(n.flags&63176704||M6e(n)?8388608:0)}function gv(n,a){return n.flags&8388608?Vut(n,a):n.flags&16777216?jut(n,a):n}function L6e(n,a,c){if(n.flags&1048576||n.flags&2097152&&!cve(n)){const d=wt(n.types,_=>gv(Lp(_,a),c));return n.flags&2097152||c?ba(d):ti(d)}}function Gut(n,a,c){if(a.flags&1048576){const d=wt(a.types,_=>gv(Lp(n,_),c));return c?ba(d):ti(d)}}function Vut(n,a){const c=a?"simplifiedForWriting":"simplifiedForReading";if(n[c])return n[c]===nu?n:n[c];n[c]=nu;const d=gv(n.objectType,a),_=gv(n.indexType,a),y=Gut(d,_,a);if(y)return n[c]=y;if(!(_.flags&465829888)){const x=L6e(d,_,a);if(x)return n[c]=x}if(Hb(d)&&_.flags&296){const x=Q2(d,_.flags&8?0:d.target.fixedLength,0,a);if(x)return n[c]=x}return Kd(d)&&HZ(d)!==2?n[c]=pl(iee(d,n.indexType),x=>gv(x,a)):n[c]=n}function jut(n,a){const c=n.checkType,d=n.extendsType,_=fE(n),y=mE(n);if(y.flags&131072&&Gb(_)===Gb(c)){if(c.flags&1||Ea(eA(c),eA(d)))return gv(_,a);if(k6e(c,d))return jr}else if(_.flags&131072&&Gb(y)===Gb(c)){if(!(c.flags&1)&&Ea(eA(c),eA(d)))return jr;if(c.flags&1||k6e(c,d))return gv(y,a)}return n}function k6e(n,a){return!!(ti([g9(n,a),jr]).flags&131072)}function iee(n,a){const c=kp([m_(n)],[a]),d=$1(n.mapper,c);return so(mv(n.target||n),d)}function Lp(n,a,c=0,d,_,y){return Bb(n,a,c,d,_,y)||(d?_t:_n)}function w6e(n,a){return up(n,c=>{if(c.flags&384){const d=im(c);if(cg(d)){const _=+d;return _>=0&&_<a}}return!1})}function Bb(n,a,c=0,d,_,y){if(n===Rt||a===Rt)return Rt;if(n=h_(n),i4e(n)&&!(a.flags&98304)&&xu(a,12)&&(a=ke),V.noUncheckedIndexedAccess&&c&32&&(c|=1),QT(a)||(d&&d.kind!==199?Hb(n)&&!w6e(a,ive(n.target)):$T(n)&&!(Ba(n)&&w6e(a,ive(n.target)))||Cge(n))){if(n.flags&3)return n;const k=c&1,z=n.id+","+a.id+","+k+vx(_,y);let H=li.get(z);return H||li.set(z,H=But(n,a,k,_,y)),H}const x=V2(n);if(a.flags&1048576&&!(a.flags&16)){const k=[];let z=!1;for(const H of a.types){const re=P6e(n,x,H,a,d,c|(z?128:0));if(re)k.push(re);else if(d)z=!0;else return}return z?void 0:c&4?ba(k,_,y):ti(k,1,_,y)}return P6e(n,x,a,a,d,c|8|64)}function O6e(n){const a=ei(n);if(!a.resolvedType){const c=Ei(n.objectType),d=Ei(n.indexType),_=bx(n);a.resolvedType=Lp(c,d,0,n,_,PN(_))}return a.resolvedType}function pve(n){const a=ei(n);if(!a.resolvedType){const c=zf(32,n.symbol);c.declaration=n,c.aliasSymbol=bx(n),c.aliasTypeArguments=PN(c.aliasSymbol),a.resolvedType=c,Ef(c)}return a.resolvedType}function Gb(n){return n.flags&33554432?Gb(n.baseType):n.flags&8388608&&(n.objectType.flags&33554432||n.indexType.flags&33554432)?Lp(Gb(n.objectType),Gb(n.indexType)):n}function W6e(n){return cI(n)&&Me(n.elements)>0&&!bt(n.elements,a=>tF(a)||nF(a)||qR(a)&&!!(a.questionToken||a.dotDotDotToken))}function F6e(n,a){return CN(n)||a&&Ba(n)&&bt(J1(n),CN)}function fve(n,a,c,d,_){let y,x,k=0;for(;;){if(k===1e3)return Je(C,f.Type_instantiation_is_excessively_deep_and_possibly_infinite),_t;const H=so(Gb(n.checkType),a),re=so(n.extendsType,a);if(H===_t||re===_t)return _t;if(H===Rt||re===Rt)return Rt;const be=rk(n.node.checkType),ge=rk(n.node.extendsType),Ke=W6e(be)&&W6e(ge)&&Me(be.elements)===Me(ge.elements),gt=F6e(H,Ke);let Dt;if(n.inferTypeParameters){const cn=q8(n.inferTypeParameters,void 0,0);a&&(cn.nonFixingMapper=$1(cn.nonFixingMapper,a)),gt||Sv(cn.inferences,H,re,1536),Dt=a?$1(cn.mapper,a):cn.mapper}const an=Dt?so(n.extendsType,Dt):re;if(!gt&&!F6e(an,Ke)){if(!(an.flags&3)&&(H.flags&1||!Ea(F8(H),F8(an)))){(H.flags&1||c&&!(an.flags&131072)&&Um(F8(an),ar=>Ea(ar,F8(H))))&&(x||(x=[])).push(so(Ei(n.node.trueType),Dt||a));const cn=Ei(n.node.falseType);if(cn.flags&16777216){const ar=cn.root;if(ar.node.parent===n.node&&(!ar.isDistributive||ar.checkType===n.checkType)){n=ar;continue}if(z(cn,a))continue}y=so(cn,a);break}if(an.flags&3||Ea(eA(H),eA(an))){const cn=Ei(n.node.trueType),ar=Dt||a;if(z(cn,ar))continue;y=so(cn,ar);break}}y=Sg(16777216),y.root=n,y.checkType=so(n.checkType,a),y.extendsType=so(n.extendsType,a),y.mapper=a,y.combinedMapper=Dt,y.aliasSymbol=d||n.aliasSymbol,y.aliasTypeArguments=d?_:yy(n.aliasTypeArguments,a);break}return x?ti(xn(x,y)):y;function z(H,re){if(H.flags&16777216&&re){const be=H.root;if(be.outerTypeParameters){const ge=$1(H.mapper,re),Ke=wt(be.outerTypeParameters,an=>Vb(an,ge)),gt=kp(be.outerTypeParameters,Ke),Dt=be.isDistributive?Vb(be.checkType,gt):void 0;if(!Dt||Dt===be.checkType||!(Dt.flags&1179648))return n=be,a=gt,d=void 0,_=void 0,be.aliasSymbol&&k++,!0}}return!1}}function fE(n){return n.resolvedTrueType||(n.resolvedTrueType=so(Ei(n.root.node.trueType),n.mapper))}function mE(n){return n.resolvedFalseType||(n.resolvedFalseType=so(Ei(n.root.node.falseType),n.mapper))}function Uut(n){return n.resolvedInferredTrueType||(n.resolvedInferredTrueType=n.combinedMapper?so(Ei(n.root.node.trueType),n.combinedMapper):fE(n))}function z6e(n){let a;return n.locals&&n.locals.forEach(c=>{c.flags&262144&&(a=xn(a,el(c)))}),a}function Hut(n){return n.isDistributive&&(M9(n.checkType,n.node.trueType)||M9(n.checkType,n.node.falseType))}function qut(n){const a=ei(n);if(!a.resolvedType){const c=Ei(n.checkType),d=bx(n),_=PN(d),y=Cn(n,!0),x=_?y:nr(y,z=>M9(z,n)),k={node:n,checkType:c,extendsType:Ei(n.extendsType),isDistributive:!!(c.flags&262144),inferTypeParameters:z6e(n),outerTypeParameters:x,instantiations:void 0,aliasSymbol:d,aliasTypeArguments:_};a.resolvedType=fve(k,void 0,!1),x&&(k.instantiations=new Map,k.instantiations.set(mm(x),a.resolvedType))}return a.resolvedType}function Jut(n){const a=ei(n);return a.resolvedType||(a.resolvedType=jT(Ir(n.typeParameter))),a.resolvedType}function B6e(n){return Ve(n)?[n]:xn(B6e(n.left),n.right)}function Kut(n){var a;const c=ei(n);if(!c.resolvedType){if(!Vy(n))return Je(n.argument,f.String_literal_expected),c.resolvedSymbol=ft,c.resolvedType=_t;const d=n.isTypeOf?111551:n.flags&16777216?900095:788968,_=vd(n,n.argument.literal);if(!_)return c.resolvedSymbol=ft,c.resolvedType=_t;const y=!!((a=_.exports)!=null&&a.get("export=")),x=Np(_,!1);if(zl(n.qualifier))if(x.flags&d)c.resolvedType=G6e(n,c,x,d);else{const k=d===111551?f.Module_0_does_not_refer_to_a_value_but_is_used_as_a_value_here:f.Module_0_does_not_refer_to_a_type_but_is_used_as_a_type_here_Did_you_mean_typeof_import_0;Je(n,k,n.argument.literal.text),c.resolvedSymbol=ft,c.resolvedType=_t}else{const k=B6e(n.qualifier);let z=x,H;for(;H=k.shift();){const re=k.length?1920:d,be=rs(jl(z)),ge=n.isTypeOf||lr(n)&&y?ya(dr(be),H.escapedText,!1,!0):void 0,gt=(n.isTypeOf?void 0:Jd(Cp(be),H.escapedText,re))??ge;if(!gt)return Je(H,f.Namespace_0_has_no_exported_member_1,qp(z),Rs(H)),c.resolvedType=_t;ei(H).resolvedSymbol=gt,ei(H.parent).resolvedSymbol=gt,z=gt}c.resolvedType=G6e(n,c,z,d)}}return c.resolvedType}function G6e(n,a,c,d){const _=jl(c);return a.resolvedSymbol=_,d===111551?SWe(dr(c),n):ZZ(n,_)}function V6e(n){const a=ei(n);if(!a.resolvedType){const c=bx(n);if(Ob(n.symbol).size===0&&!c)a.resolvedType=lc;else{let d=zf(16,n.symbol);d.aliasSymbol=c,d.aliasTypeArguments=PN(c),XS(n)&&n.isArrayType&&(d=Vu(d)),a.resolvedType=d}}return a.resolvedType}function bx(n){let a=n.parent;for(;GS(a)||d1(a)||VS(a)&&a.operator===148;)a=a.parent;return QL(a)?Ir(a):void 0}function PN(n){return n?kr(n):void 0}function oee(n){return!!(n.flags&524288)&&!Kd(n)}function mve(n){return yv(n)||!!(n.flags&474058748)}function _ve(n,a){if(!(n.flags&1048576))return n;if(Zn(n.types,mve))return An(n.types,yv)||La;const c=An(n.types,y=>!mve(y));if(!c||An(n.types,y=>y!==c&&!mve(y)))return n;return _(c);function _(y){const x=ua();for(const z of gs(y))if(!(Df(z)&6)){if(aee(z)){const H=z.flags&65536&&!(z.flags&32768),be=Xa(16777220,z.escapedName,Sge(z)|(a?8:0));be.links.type=H?Oe:cp(dr(z),!0),be.declarations=z.declarations,be.links.nameType=Xi(z).nameType,be.links.syntheticOrigin=z,x.set(z.escapedName,be)}}const k=Ls(y.symbol,x,et,et,yd(y));return k.objectFlags|=131200,k}}function K1(n,a,c,d,_){if(n.flags&1||a.flags&1)return j;if(n.flags&2||a.flags&2)return _n;if(n.flags&131072)return a;if(a.flags&131072)return n;if(n=_ve(n,_),n.flags&1048576)return R9([n,a])?pl(n,H=>K1(H,a,c,d,_)):_t;if(a=_ve(a,_),a.flags&1048576)return R9([n,a])?pl(a,H=>K1(n,H,c,d,_)):_t;if(a.flags&473960444)return n;if($T(n)||$T(a)){if(yv(n))return a;if(n.flags&2097152){const H=n.types,re=H[H.length-1];if(oee(re)&&oee(a))return ba(So(H.slice(0,H.length-1),[K1(re,a,c,d,_)]))}return ba([n,a])}const y=ua(),x=new Set,k=n===La?yd(a):T8e([n,a]);for(const H of gs(a))Df(H)&6?x.add(H.escapedName):aee(H)&&y.set(H.escapedName,hve(H,_));for(const H of gs(n))if(!(x.has(H.escapedName)||!aee(H)))if(y.has(H.escapedName)){const re=y.get(H.escapedName),be=dr(re);if(re.flags&16777216){const ge=So(H.declarations,re.declarations),Ke=4|H.flags&16777216,gt=Xa(Ke,H.escapedName),Dt=dr(H),an=xee(Dt),cn=xee(be);gt.links.type=an===cn?Dt:ti([Dt,cn],2),gt.links.leftSpread=H,gt.links.rightSpread=re,gt.declarations=ge,gt.links.nameType=Xi(H).nameType,y.set(H.escapedName,gt)}}else y.set(H.escapedName,hve(H,_));const z=Ls(c,y,et,et,eo(k,H=>Xut(H,_)));return z.objectFlags|=2228352|d,z}function aee(n){var a;return!bt(n.declarations,cd)&&(!(n.flags&106496)||!((a=n.declarations)!=null&&a.some(c=>ui(c.parent))))}function hve(n,a){const c=n.flags&65536&&!(n.flags&32768);if(!c&&a===b_(n))return n;const d=4|n.flags&16777216,_=Xa(d,n.escapedName,Sge(n)|(a?8:0));return _.links.type=c?Oe:dr(n),_.declarations=n.declarations,_.links.nameType=Xi(n).nameType,_.links.syntheticOrigin=n,_}function Xut(n,a){return n.isReadonly!==a?Vh(n.keyType,n.type,a,n.declaration):n}function N9(n,a,c,d){const _=Tg(n,c);return _.value=a,_.regularType=d||_,_}function Ex(n){if(n.flags&2976){if(!n.freshType){const a=N9(n.flags,n.value,n.symbol,n);a.freshType=a,n.freshType=a}return n.freshType}return n}function Ed(n){return n.flags&2976?n.regularType:n.flags&1048576?n.regularType||(n.regularType=pl(n,Ed)):n}function X1(n){return!!(n.flags&2976)&&n.freshType===n}function Xd(n){let a;return sn.get(n)||(sn.set(n,a=N9(128,n)),a)}function g_(n){let a;return tt.get(n)||(tt.set(n,a=N9(256,n)),a)}function see(n){let a;const c=$E(n);return zt.get(c)||(zt.set(c,a=N9(2048,n)),a)}function Yut(n,a,c){let d;const _=`${a}${typeof n=="string"?"@":"#"}${n}`,y=1024|(typeof n=="string"?128:256);return Rn.get(_)||(Rn.set(_,d=N9(y,n,c)),d)}function $ut(n){if(n.literal.kind===106)return me;const a=ei(n);return a.resolvedType||(a.resolvedType=Ed(mo(n.literal))),a.resolvedType}function Qut(n){const a=Tg(8192,n);return a.escapedName=`__@${a.symbol.escapedName}@${Ta(a.symbol)}`,a}function gve(n){if(lr(n)&&d1(n)){const a=CS(n);a&&(n=zA(a)||a)}if(Cie(n)){const a=Q4(n)?gf(n.left):gf(n);if(a){const c=Xi(a);return c.uniqueESSymbolType||(c.uniqueESSymbolType=Qut(a))}}return Ai}function Zut(n){const a=Fd(n,!1,!1),c=a&&a.parent;if(c&&(ui(c)||c.kind===264)&&!sa(a)&&(!Ml(a)||jE(n,a.body)))return Vf(Ir(c)).thisType;if(c&&Oa(c)&&mr(c.parent)&&Bl(c.parent)===6)return Vf(gf(c.parent.left).parent).thisType;const d=n.flags&16777216?v0(n):void 0;return d&&Os(d)&&mr(d.parent)&&Bl(d.parent)===3?Vf(gf(d.parent.left).parent).thisType:nh(a)&&jE(n,a.body)?Vf(Ir(a)).thisType:(Je(n,f.A_this_type_is_available_only_in_a_non_static_member_of_a_class_or_interface),_t)}function lee(n){const a=ei(n);return a.resolvedType||(a.resolvedType=Zut(n)),a.resolvedType}function j6e(n){return Ei(C9(n.type)||n.type)}function C9(n){switch(n.kind){case 196:return C9(n.type);case 189:if(n.elements.length===1&&(n=n.elements[0],n.kind===191||n.kind===202&&n.dotDotDotToken))return C9(n.type);break;case 188:return n.elementType}}function edt(n){const a=ei(n);return a.resolvedType||(a.resolvedType=n.dotDotDotToken?j6e(n):cp(Ei(n.type),!0,!!n.questionToken))}function Ei(n){return Mct(U6e(n),n)}function U6e(n){switch(n.kind){case 133:case 319:case 320:return j;case 159:return _n;case 154:return ke;case 150:return Tt;case 163:return kt;case 136:return Ni;case 155:return Ai;case 116:return ir;case 157:return Oe;case 106:return me;case 146:return jr;case 151:return n.flags&524288&&!pe?j:Kr;case 141:return fn;case 197:case 110:return lee(n);case 201:return $ut(n);case 183:return Jge(n);case 182:return n.assertsModifier?ir:Ni;case 233:return Jge(n);case 186:return t6e(n);case 188:case 189:return lut(n);case 190:return put(n);case 192:return Eut(n);case 193:return Nut(n);case 321:return Lct(n);case 323:return cp(Ei(n.type));case 202:return edt(n);case 196:case 322:case 316:return Ei(n.type);case 191:return j6e(n);case 325:return nyt(n);case 184:case 185:case 187:case 329:case 324:case 330:return V6e(n);case 198:return kut(n);case 199:return O6e(n);case 200:return pve(n);case 194:return qut(n);case 195:return Jut(n);case 203:return wut(n);case 205:return Kut(n);case 80:case 166:case 211:const a=Hm(n);return a?el(a):_t;default:return _t}}function cee(n,a,c){if(n&&n.length)for(let d=0;d<n.length;d++){const _=n[d],y=c(_,a);if(_!==y){const x=d===0?[]:n.slice(0,d);for(x.push(y),d++;d<n.length;d++)x.push(c(n[d],a));return x}}return n}function yy(n,a){return cee(n,a,so)}function uee(n,a){return cee(n,a,MN)}function H6e(n,a){return cee(n,a,fdt)}function kp(n,a){return n.length===1?Y1(n[0],a?a[0]:j):tdt(n,a)}function Vb(n,a){switch(a.kind){case 0:return n===a.source?a.target:n;case 1:{const d=a.sources,_=a.targets;for(let y=0;y<d.length;y++)if(n===d[y])return _?_[y]:j;return n}case 2:{const d=a.sources,_=a.targets;for(let y=0;y<d.length;y++)if(n===d[y])return _[y]();return n}case 3:return a.func(n);case 4:case 5:const c=Vb(n,a.mapper1);return c!==n&&a.kind===4?so(c,a.mapper2):Vb(c,a.mapper2)}}function Y1(n,a){return N.attachDebugPrototypeIfDebug({kind:0,source:n,target:a})}function tdt(n,a){return N.attachDebugPrototypeIfDebug({kind:1,sources:n,targets:a})}function P9(n,a){return N.attachDebugPrototypeIfDebug({kind:3,func:n,debugInfo:N.isDebugging?a:void 0})}function vve(n,a){return N.attachDebugPrototypeIfDebug({kind:2,sources:n,targets:a})}function dee(n,a,c){return N.attachDebugPrototypeIfDebug({kind:n,mapper1:a,mapper2:c})}function q6e(n){return kp(n,void 0)}function ndt(n,a){const c=n.inferences.slice(a);return kp(wt(c,d=>d.typeParameter),wt(c,()=>_n))}function $1(n,a){return n?dee(4,n,a):a}function rdt(n,a){return n?dee(5,n,a):a}function ZT(n,a,c){return c?dee(5,Y1(n,a),c):Y1(n,a)}function O8(n,a,c){return n?dee(5,n,Y1(a,c)):Y1(a,c)}function idt(n){return!n.constraint&&!Gge(n)||n.constraint===js?n:n.restrictiveInstantiation||(n.restrictiveInstantiation=yf(n.symbol),n.restrictiveInstantiation.constraint=js,n.restrictiveInstantiation)}function yve(n){const a=yf(n.symbol);return a.target=n,a}function odt(n,a){return T9(n.kind,n.parameterName,n.parameterIndex,so(n.type,a))}function MN(n,a,c){let d;if(n.typeParameters&&!c){d=wt(n.typeParameters,yve),a=$1(kp(n.typeParameters,d),a);for(const y of d)y.mapper=a}const _=Ig(n.declaration,d,n.thisParameter&&bve(n.thisParameter,a),cee(n.parameters,a,bve),void 0,void 0,n.minArgumentCount,n.flags&167);return _.target=n,_.mapper=a,_}function bve(n,a){const c=Xi(n);if(c.type&&!vE(c.type)&&(!(n.flags&65536)||c.writeType&&!vE(c.writeType)))return n;xl(n)&1&&(n=c.target,a=$1(c.mapper,a));const d=Xa(n.flags,n.escapedName,1|xl(n)&53256);return d.declarations=n.declarations,d.parent=n.parent,d.links.target=n,d.links.mapper=a,n.valueDeclaration&&(d.valueDeclaration=n.valueDeclaration),c.nameType&&(d.links.nameType=c.nameType),d}function adt(n,a,c,d){const _=n.objectFlags&4||n.objectFlags&8388608?n.node:n.symbol.declarations[0],y=ei(_),x=n.objectFlags&4?y.resolvedType:n.objectFlags&64?n.target:n;let k=y.outerTypeParameters;if(!k){let z=Cn(_,!0);if(nh(_)){const re=B8e(_);z=Jr(z,re)}k=z||et;const H=n.objectFlags&8388612?[_]:n.symbol.declarations;k=(x.objectFlags&8388612||x.symbol.flags&8192||x.symbol.flags&2048)&&!x.aliasTypeArguments?nr(k,re=>bt(H,be=>M9(re,be))):k,y.outerTypeParameters=k}if(k.length){const z=$1(n.mapper,a),H=wt(k,gt=>Vb(gt,z)),re=c||n.aliasSymbol,be=c?d:yy(n.aliasTypeArguments,a),ge=mm(H)+vx(re,be);x.instantiations||(x.instantiations=new Map,x.instantiations.set(mm(k)+vx(x.aliasSymbol,x.aliasTypeArguments),x));let Ke=x.instantiations.get(ge);if(!Ke){const gt=kp(k,H);Ke=x.objectFlags&4?Vge(n.target,n.node,gt,re,be):x.objectFlags&32?ldt(x,gt,re,be):Eve(x,gt,re,be),x.instantiations.set(ge,Ke);const Dt=Wr(Ke);if(Ke.flags&3899393&&!(Dt&524288)){const an=bt(H,vE);Wr(Ke)&524288||(Dt&52?Ke.objectFlags|=524288|(an?1048576:0):Ke.objectFlags|=an?0:524288)}}return Ke}return n}function sdt(n){return!(n.parent.kind===183&&n.parent.typeArguments&&n===n.parent.typeName||n.parent.kind===205&&n.parent.typeArguments&&n===n.parent.qualifier)}function M9(n,a){if(n.symbol&&n.symbol.declarations&&n.symbol.declarations.length===1){const d=n.symbol.declarations[0].parent;for(let _=a;_!==d;_=_.parent)if(!_||_.kind===241||_.kind===194&&Ho(_.extendsType,c))return!0;return c(a)}return!0;function c(d){switch(d.kind){case 197:return!!n.isThisType;case 80:return!n.isThisType&&tg(d)&&sdt(d)&&U6e(d)===n;case 186:const _=d.exprName,y=Vp(_);if(!KE(y)){const x=jm(y),k=n.symbol.declarations[0],z=k.kind===168?k.parent:n.isThisType?k:void 0;if(x.declarations&&z)return bt(x.declarations,H=>jE(H,z))||bt(d.typeArguments,c)}return!0;case 174:case 173:return!d.type&&!!d.body||bt(d.typeParameters,c)||bt(d.parameters,c)||!!d.type&&c(d.type)}return!!Ho(d,c)}}function W8(n){const a=Ef(n);if(a.flags&4194304){const c=Gb(a.type);if(c.flags&262144)return c}}function ldt(n,a,c,d){const _=W8(n);if(_){const x=so(_,a);if(_!==x)return X4e(h_(x),y,c,d)}return so(Ef(n),a)===Rt?Rt:Eve(n,a,c,d);function y(x){if(x.flags&61603843&&x!==Rt&&!Kt(x)){if(!n.declaration.nameType){let k;if(qf(x)||x.flags&1&&mx(_,4)<0&&(k=Ld(_))&&up(k,hE))return udt(x,n,ZT(_,x,a));if(Ba(x))return cdt(x,n,_,a);if(k8e(x))return ba(wt(x.types,y))}return Eve(n,ZT(_,x,a))}return x}}function J6e(n,a){return a&1?!0:a&2?!1:n}function cdt(n,a,c,d){const _=n.target.elementFlags,y=n.target.fixedLength,x=y?ZT(c,n,d):d,k=wt(J1(n),(be,ge)=>{const Ke=_[ge];return ge<y?K6e(a,Xd(""+ge),!!(Ke&2),x):Ke&8?so(a,ZT(c,be,d)):G9(so(a,ZT(c,Vu(be),d)))??_n}),z=Bh(a),H=z&4?wt(_,be=>be&1?2:be):z&8?wt(_,be=>be&2?1:be):_,re=J6e(n.target.readonly,Bh(a));return Nr(k,_t)?_t:jh(k,H,re,n.target.labeledElementDeclarations)}function udt(n,a,c){const d=K6e(a,Tt,!0,c);return Kt(d)?_t:Vu(d,J6e(Y2(n),Bh(a)))}function K6e(n,a,c,d){const _=O8(d,m_(n),a),y=so(mv(n.target||n),_),x=Bh(n);return Y&&x&4&&!Cl(y,49152)?qb(y,!0):Y&&x&8&&c?hm(y,524288):y}function Eve(n,a,c,d){N.assert(n.symbol,"anonymous type must have symbol to be instantiated");const _=zf(n.objectFlags&-1572865|64,n.symbol);if(n.objectFlags&32){_.declaration=n.declaration;const y=m_(n),x=yve(y);_.typeParameter=x,a=$1(Y1(y,x),a),x.mapper=a}return n.objectFlags&8388608&&(_.node=n.node),_.target=n,_.mapper=a,_.aliasSymbol=c||n.aliasSymbol,_.aliasTypeArguments=c?d:yy(n.aliasTypeArguments,a),_.objectFlags|=_.aliasTypeArguments?$Z(_.aliasTypeArguments):0,_}function Sve(n,a,c,d,_){const y=n.root;if(y.outerTypeParameters){const x=wt(y.outerTypeParameters,H=>Vb(H,a)),k=(c?"C":"")+mm(x)+vx(d,_);let z=y.instantiations.get(k);if(!z){const H=kp(y.outerTypeParameters,x),re=y.checkType,be=y.isDistributive?h_(Vb(re,H)):void 0;z=be&&re!==be&&be.flags&1179648?X4e(be,ge=>fve(y,ZT(re,ge,H),c),d,_):fve(y,H,c,d,_),y.instantiations.set(k,z)}return z}return n}function so(n,a){return n&&a?X6e(n,a,void 0,void 0):n}function X6e(n,a,c,d){var _;if(!vE(n))return n;if(R===100||I>=5e6)return(_=sr)==null||_.instant(sr.Phase.CheckTypes,"instantiateType_DepthLimit",{typeId:n.id,instantiationDepth:R,instantiationCount:I}),Je(C,f.Type_instantiation_is_excessively_deep_and_possibly_infinite),_t;A++,I++,R++;const y=ddt(n,a,c,d);return R--,y}function ddt(n,a,c,d){const _=n.flags;if(_&262144)return Vb(n,a);if(_&524288){const y=n.objectFlags;if(y&52){if(y&4&&!n.node){const x=n.resolvedTypeArguments,k=yy(x,a);return k!==x?nve(n.target,k):n}return y&1024?pdt(n,a):adt(n,a,c,d)}return n}if(_&3145728){const y=n.flags&1048576?n.origin:void 0,x=y&&y.flags&3145728?y.types:n.types,k=yy(x,a);if(k===x&&c===n.aliasSymbol)return n;const z=c||n.aliasSymbol,H=c?d:yy(n.aliasTypeArguments,a);return _&2097152||y&&y.flags&2097152?ba(k,z,H):ti(k,1,z,H)}if(_&4194304)return Q_(so(n.type,a));if(_&134217728)return XT(n.texts,yy(n.types,a));if(_&268435456)return yx(n.symbol,so(n.type,a));if(_&8388608){const y=c||n.aliasSymbol,x=c?d:yy(n.aliasTypeArguments,a);return Lp(so(n.objectType,a),so(n.indexType,a),n.accessFlags,void 0,y,x)}if(_&16777216)return Sve(n,$1(n.mapper,a),!1,c,d);if(_&33554432){const y=so(n.baseType,a);if(H2(n))return jge(y);const x=so(n.constraint,a);return y.flags&8650752&&CN(x)?Hge(y,x):x.flags&3||Ea(eA(y),eA(x))?y:y.flags&8650752?Hge(y,x):ba([x,y])}return n}function pdt(n,a){const c=so(n.mappedType,a);if(!(Wr(c)&32))return n;const d=so(n.constraintType,a);if(!(d.flags&4194304))return n;const _=R4e(so(n.source,a),c,d);return _||n}function F8(n){return n.flags&402915327?n:n.permissiveInstantiation||(n.permissiveInstantiation=so(n,vl))}function eA(n){return n.flags&402915327?n:(n.restrictiveInstantiation||(n.restrictiveInstantiation=so(n,Vs),n.restrictiveInstantiation.restrictiveInstantiation=n.restrictiveInstantiation),n.restrictiveInstantiation)}function fdt(n,a){return Vh(n.keyType,so(n.type,a),n.isReadonly,n.declaration)}function Uf(n){switch(N.assert(n.kind!==174||xm(n)),n.kind){case 218:case 219:case 174:case 262:return Y6e(n);case 210:return bt(n.properties,Uf);case 209:return bt(n.elements,Uf);case 227:return Uf(n.whenTrue)||Uf(n.whenFalse);case 226:return(n.operatorToken.kind===57||n.operatorToken.kind===61)&&(Uf(n.left)||Uf(n.right));case 303:return Uf(n.initializer);case 217:return Uf(n.expression);case 292:return bt(n.properties,Uf)||W_(n.parent)&&bt(n.parent.parent.children,Uf);case 291:{const{initializer:a}=n;return!!a&&Uf(a)}case 294:{const{expression:a}=n;return!!a&&Uf(a)}}return!1}function Y6e(n){return iW(n)||mdt(n)}function mdt(n){return n.typeParameters||nm(n)||!n.body?!1:n.body.kind!==241?Uf(n.body):!!zE(n.body,a=>!!a.expression&&Uf(a.expression))}function pee(n){return(QE(n)||xm(n))&&Y6e(n)}function $6e(n){if(n.flags&524288){const a=__(n);if(a.constructSignatures.length||a.callSignatures.length){const c=zf(16,n.symbol);return c.members=a.members,c.properties=a.properties,c.callSignatures=et,c.constructSignatures=et,c.indexInfos=et,c}}else if(n.flags&2097152)return ba(wt(n.types,$6e));return n}function vv(n,a){return Z_(n,a,ap)}function z8(n,a){return Z_(n,a,ap)?-1:0}function Tve(n,a){return Z_(n,a,qd)?-1:0}function _dt(n,a){return Z_(n,a,Ph)?-1:0}function jb(n,a){return Z_(n,a,Ph)}function L9(n,a){return Z_(n,a,Wf)}function Ea(n,a){return Z_(n,a,qd)}function _E(n,a){return n.flags&1048576?Zn(n.types,c=>_E(c,a)):a.flags&1048576?bt(a.types,c=>_E(n,c)):n.flags&2097152?bt(n.types,c=>_E(c,a)):n.flags&58982400?_E(Gu(n)||_n,a):Uh(a)?!!(n.flags&67633152):a===Ce?!!(n.flags&67633152)&&!Uh(n):a===Wt?!!(n.flags&524288)&&nye(n):EN(n,my(a))||qf(a)&&!Y2(a)&&_E(n,na)}function fee(n,a){return Z_(n,a,Dp)}function k9(n,a){return fee(n,a)||fee(a,n)}function nd(n,a,c,d,_,y){return Hf(n,a,qd,c,d,_,y)}function Ub(n,a,c,d,_,y){return Ave(n,a,qd,c,d,_,y,void 0)}function Ave(n,a,c,d,_,y,x,k){return Z_(n,a,c)?!0:!d||!B8(_,n,a,c,y,x,k)?Hf(n,a,c,d,y,x,k):!1}function Q6e(n){return!!(n.flags&16777216||n.flags&2097152&&bt(n.types,Q6e))}function B8(n,a,c,d,_,y,x){if(!n||Q6e(c))return!1;if(!Hf(a,c,d,void 0)&&hdt(n,a,c,d,_,y,x))return!0;switch(n.kind){case 234:if(!gb(n))break;case 294:case 217:return B8(n.expression,a,c,d,_,y,x);case 226:switch(n.operatorToken.kind){case 64:case 28:return B8(n.right,a,c,d,_,y,x)}break;case 210:return Adt(n,a,c,d,y,x);case 209:return Sdt(n,a,c,d,y,x);case 292:return Edt(n,a,c,d,y,x);case 219:return gdt(n,a,c,d,y,x)}return!1}function hdt(n,a,c,d,_,y,x){const k=Yo(a,0),z=Yo(a,1);for(const H of[z,k])if(bt(H,re=>{const be=ps(re);return!(be.flags&131073)&&Hf(be,c,d,void 0)})){const re=x||{};nd(a,c,n,_,y,re);const be=re.errors[re.errors.length-1];return wa(be,wr(n,H===z?f.Did_you_mean_to_use_new_with_this_expression:f.Did_you_mean_to_call_this_expression)),!0}return!1}function gdt(n,a,c,d,_,y){if(Xo(n.body)||bt(n.parameters,w4))return!1;const x=cA(a);if(!x)return!1;const k=Yo(c,0);if(!Me(k))return!1;const z=n.body,H=ps(x),re=ti(wt(k,ps));if(!Hf(H,re,d,void 0)){const be=z&&B8(z,H,re,d,void 0,_,y);if(be)return be;const ge=y||{};if(Hf(H,re,d,z,void 0,_,ge),ge.errors)return c.symbol&&Me(c.symbol.declarations)&&wa(ge.errors[ge.errors.length-1],wr(c.symbol.declarations[0],f.The_expected_type_comes_from_the_return_type_of_this_signature)),!(Vc(n)&2)&&!Xe(H,"then")&&Hf(_B(H),re,d,void 0)&&wa(ge.errors[ge.errors.length-1],wr(n,f.Did_you_mean_to_mark_this_function_as_async)),!0}return!1}function Z6e(n,a,c){const d=Bb(a,c);if(d)return d;if(a.flags&1048576){const _=s4e(n,a);if(_)return Bb(_,c)}}function e4e(n,a){Z9(n,a,!1);const c=lM(n,1);return Y8(),c}function w9(n,a,c,d,_,y){let x=!1;for(const k of n){const{errorNode:z,innerExpression:H,nameType:re,errorMessage:be}=k;let ge=Z6e(a,c,re);if(!ge||ge.flags&8388608)continue;let Ke=Bb(a,re);if(!Ke)continue;const gt=ree(re,void 0);if(!Hf(Ke,ge,d,void 0)){const Dt=H&&B8(H,Ke,ge,d,void 0,_,y);if(x=!0,!Dt){const an=y||{},cn=H?e4e(H,Ke):Ke;if(De&&_ee(cn,ge)){const ar=wr(z,f.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target,Un(cn),Un(ge));ts.add(ar),an.errors=[ar]}else{const ar=!!(gt&&(ya(c,gt)||ft).flags&16777216),On=!!(gt&&(ya(a,gt)||ft).flags&16777216);ge=Jb(ge,ar),Ke=Jb(Ke,ar&&On),Hf(cn,ge,d,z,be,_,an)&&cn!==Ke&&Hf(Ke,ge,d,z,be,_,an)}if(an.errors){const ar=an.errors[an.errors.length-1],On=rm(re)?im(re):void 0,ln=On!==void 0?ya(c,On):void 0;let zn=!1;if(!ln){const Fn=D8(c,re);Fn&&Fn.declaration&&!jn(Fn.declaration).hasNoDefaultLib&&(zn=!0,wa(ar,wr(Fn.declaration,f.The_expected_type_comes_from_this_index_signature)))}if(!zn&&(ln&&Me(ln.declarations)||c.symbol&&Me(c.symbol.declarations))){const Fn=ln&&Me(ln.declarations)?ln.declarations[0]:c.symbol.declarations[0];jn(Fn).hasNoDefaultLib||wa(ar,wr(Fn,f.The_expected_type_comes_from_property_0_which_is_declared_here_on_type_1,On&&!(re.flags&8192)?Vi(On):Un(re),Un(c)))}}}}}return x}function vdt(n,a,c,d,_,y){const x=dc(c,See),k=dc(c,re=>!See(re)),z=k!==jr?bbe(13,0,k,void 0):void 0;let H=!1;for(let re=n.next();!re.done;re=n.next()){const{errorNode:be,innerExpression:ge,nameType:Ke,errorMessage:gt}=re.value;let Dt=z;const an=x!==jr?Z6e(a,x,Ke):void 0;if(an&&!(an.flags&8388608)&&(Dt=z?ti([z,an]):an),!Dt)continue;let cn=Bb(a,Ke);if(!cn)continue;const ar=ree(Ke,void 0);if(!Hf(cn,Dt,d,void 0)){const On=ge&&B8(ge,cn,Dt,d,void 0,_,y);if(H=!0,!On){const ln=y||{},zn=ge?e4e(ge,cn):cn;if(De&&_ee(zn,Dt)){const Fn=wr(be,f.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target,Un(zn),Un(Dt));ts.add(Fn),ln.errors=[Fn]}else{const Fn=!!(ar&&(ya(x,ar)||ft).flags&16777216),er=!!(ar&&(ya(a,ar)||ft).flags&16777216);Dt=Jb(Dt,Fn),cn=Jb(cn,Fn&&er),Hf(zn,Dt,d,be,gt,_,ln)&&zn!==cn&&Hf(cn,Dt,d,be,gt,_,ln)}}}}return H}function*ydt(n){if(Me(n.properties))for(const a of n.properties)gI(a)||Tye(Dk(a.name))||(yield{errorNode:a.name,innerExpression:a.initializer,nameType:Xd(Dk(a.name))})}function*bdt(n,a){if(!Me(n.children))return;let c=0;for(let d=0;d<n.children.length;d++){const _=n.children[d],y=g_(d-c),x=t4e(_,y,a);x?yield x:c++}}function t4e(n,a,c){switch(n.kind){case 294:return{errorNode:n,innerExpression:n.expression,nameType:a};case 12:if(n.containsOnlyTriviaWhiteSpaces)break;return{errorNode:n,innerExpression:void 0,nameType:a,errorMessage:c()};case 284:case 285:case 288:return{errorNode:n,innerExpression:n,nameType:a};default:return N.assertNever(n,"Found invalid jsx child")}}function Edt(n,a,c,d,_,y){let x=w9(ydt(n),a,c,d,_,y),k;if(W_(n.parent)&&dg(n.parent.parent)){const H=n.parent.parent,re=tB(sA(n)),be=re===void 0?"children":Vi(re),ge=Xd(be),Ke=Lp(c,ge),gt=xR(H.children);if(!Me(gt))return x;const Dt=Me(gt)>1;let an,cn;if(Qge(!1)!==ko){const On=p6e(j);an=dc(Ke,ln=>Ea(ln,On)),cn=dc(Ke,ln=>!Ea(ln,On))}else an=dc(Ke,See),cn=dc(Ke,On=>!See(On));if(Dt){if(an!==jr){const On=jh(Kee(H,0)),ln=bdt(H,z);x=vdt(ln,On,an,d,_,y)||x}else if(!Z_(Lp(a,ge),Ke,d)){x=!0;const On=Je(H.openingElement.tagName,f.This_JSX_tag_s_0_prop_expects_a_single_child_of_type_1_but_multiple_children_were_provided,be,Un(Ke));y&&y.skipLogging&&(y.errors||(y.errors=[])).push(On)}}else if(cn!==jr){const On=gt[0],ln=t4e(On,ge,z);ln&&(x=w9(function*(){yield ln}(),a,c,d,_,y)||x)}else if(!Z_(Lp(a,ge),Ke,d)){x=!0;const On=Je(H.openingElement.tagName,f.This_JSX_tag_s_0_prop_expects_type_1_which_requires_multiple_children_but_only_a_single_child_was_provided,be,Un(Ke));y&&y.skipLogging&&(y.errors||(y.errors=[])).push(On)}}return x;function z(){if(!k){const H=fc(n.parent.tagName),re=tB(sA(n)),be=re===void 0?"children":Vi(re),ge=Lp(c,Xd(be)),Ke=f._0_components_don_t_accept_text_as_child_elements_Text_in_JSX_has_the_type_string_but_the_expected_type_of_1_is_2;k={...Ke,key:"!!ALREADY FORMATTED!!",message:MU(Ke,H,be,Un(ge))}}return k}}function*n4e(n,a){const c=Me(n.elements);if(c)for(let d=0;d<c;d++){if($2(a)&&!ya(a,""+d))continue;const _=n.elements[d];if(jc(_))continue;const y=g_(d),x=rte(_);yield{errorNode:x,innerExpression:x,nameType:y}}}function Sdt(n,a,c,d,_,y){if(c.flags&402915324)return!1;if($2(a))return w9(n4e(n,c),a,c,d,_,y);Z9(n,c,!1);const x=A3e(n,1,!0);return Y8(),$2(x)?w9(n4e(n,c),x,c,d,_,y):!1}function*Tdt(n){if(Me(n.properties))for(const a of n.properties){if(Xv(a))continue;const c=NN(Ir(a),8576);if(!(!c||c.flags&131072))switch(a.kind){case 178:case 177:case 174:case 304:yield{errorNode:a.name,innerExpression:void 0,nameType:c};break;case 303:yield{errorNode:a.name,innerExpression:a.initializer,nameType:c,errorMessage:PL(a.name)?f.Type_of_computed_property_s_value_is_0_which_is_not_assignable_to_type_1:void 0};break;default:N.assertNever(a)}}}function Adt(n,a,c,d,_,y){return c.flags&402915324?!1:w9(Tdt(n),a,c,d,_,y)}function r4e(n,a,c,d,_){return Hf(n,a,Dp,c,d,_)}function Idt(n,a,c){return Ive(n,a,4,!1,void 0,void 0,Tve,void 0)!==0}function mee(n){if(!n.typeParameters&&(!n.thisParameter||Nt(pB(n.thisParameter)))&&n.parameters.length===1&&Yu(n)){const a=pB(n.parameters[0]);return!!((qf(a)?Js(a)[0]:a).flags&131073&&ps(n).flags&3)}return!1}function Ive(n,a,c,d,_,y,x,k){if(n===a||!(c&16&&mee(n))&&mee(a))return-1;if(c&16&&mee(n)&&!mee(a))return 0;const z=Yp(a);if(!Hh(a)&&(c&8?Hh(n)||Yp(n)>z:rh(n)>z))return d&&!(c&8)&&_(f.Target_signature_provides_too_few_arguments_Expected_0_or_more_but_got_1,rh(n),z),0;n.typeParameters&&n.typeParameters!==a.typeParameters&&(a=xct(a),n=nWe(n,a,void 0,x));const re=Yp(n),be=e6(n),ge=e6(a);(be||ge)&&so(be||ge,k);const Ke=a.declaration?a.declaration.kind:0,gt=!(c&3)&&oe&&Ke!==174&&Ke!==173&&Ke!==176;let Dt=-1;const an=pE(n);if(an&&an!==ir){const On=pE(a);if(On){const ln=!gt&&x(an,On,!1)||x(On,an,d);if(!ln)return d&&_(f.The_this_types_of_each_signature_are_incompatible),0;Dt&=ln}}const cn=be||ge?Math.min(re,z):Math.max(re,z),ar=be||ge?cn-1:-1;for(let On=0;On<cn;On++){const ln=On===ar?xWe(n,On):nS(n,On),zn=On===ar?xWe(a,On):nS(a,On);if(ln&&zn){const Fn=c&3||eWe(n,On)?void 0:cA(Ev(ln)),er=c&3||eWe(a,On)?void 0:cA(Ev(zn));let tr=Fn&&er&&!jf(Fn)&&!jf(er)&&eM(ln,50331648)===eM(zn,50331648)?Ive(er,Fn,c&8|(gt?2:1),d,_,y,x,k):!(c&3)&&!gt&&x(ln,zn,!1)||x(zn,ln,d);if(tr&&c&8&&On>=rh(n)&&On<rh(a)&&x(ln,zn,!1)&&(tr=0),!tr)return d&&_(f.Types_of_parameters_0_and_1_are_incompatible,Vi(oM(n,On)),Vi(oM(a,On))),0;Dt&=tr}}if(!(c&4)){const On=JZ(a)?j:a.declaration&&nh(a.declaration)?Vf(rs(a.declaration.symbol)):ps(a);if(On===ir||On===j)return Dt;const ln=JZ(n)?j:n.declaration&&nh(n.declaration)?Vf(rs(n.declaration.symbol)):ps(n),zn=jf(a);if(zn){const Fn=jf(n);if(Fn)Dt&=xdt(Fn,zn,d,_,x);else if(Mie(zn))return d&&_(f.Signature_0_must_be_a_type_predicate,Oh(n)),0}else Dt&=c&1&&x(On,ln,!1)||x(ln,On,d),!Dt&&d&&y&&y(ln,On)}return Dt}function xdt(n,a,c,d,_){if(n.kind!==a.kind)return c&&(d(f.A_this_based_type_guard_is_not_compatible_with_a_parameter_based_type_guard),d(f.Type_predicate_0_is_not_assignable_to_1,Wh(n),Wh(a))),0;if((n.kind===1||n.kind===3)&&n.parameterIndex!==a.parameterIndex)return c&&(d(f.Parameter_0_is_not_in_the_same_position_as_parameter_1,n.parameterName,a.parameterName),d(f.Type_predicate_0_is_not_assignable_to_1,Wh(n),Wh(a))),0;const y=n.type===a.type?-1:n.type&&a.type?_(n.type,a.type,c):0;return y===0&&c&&d(f.Type_predicate_0_is_not_assignable_to_1,Wh(n),Wh(a)),y}function Rdt(n,a){const c=P8(n),d=P8(a),_=ps(c),y=ps(d);return y===ir||Z_(y,_,qd)||Z_(_,y,qd)?Idt(c,d):!1}function xve(n){return n!==nn&&n.properties.length===0&&n.callSignatures.length===0&&n.constructSignatures.length===0&&n.indexInfos.length===0}function yv(n){return n.flags&524288?!Kd(n)&&xve(__(n)):n.flags&67108864?!0:n.flags&1048576?bt(n.types,yv):n.flags&2097152?Zn(n.types,yv):!1}function Uh(n){return!!(Wr(n)&16&&(n.members&&xve(n)||n.symbol&&n.symbol.flags&2048&&Ob(n.symbol).size===0))}function Ddt(n){if(Y&&n.flags&1048576){if(!(n.objectFlags&33554432)){const a=n.types;n.objectFlags|=33554432|(a.length>=3&&a[0].flags&32768&&a[1].flags&65536&&bt(a,Uh)?67108864:0)}return!!(n.objectFlags&67108864)}return!1}function K2(n){return!!((n.flags&1048576?n.types[0]:n).flags&32768)}function i4e(n){return n.flags&524288&&!Kd(n)&&gs(n).length===0&&yd(n).length===1&&!!xg(n,ke)||n.flags&3145728&&Zn(n.types,i4e)||!1}function Rve(n,a,c){const d=n.flags&8?Pd(n):n,_=a.flags&8?Pd(a):a;if(d===_)return!0;if(d.escapedName!==_.escapedName||!(d.flags&256)||!(_.flags&256))return!1;const y=Ta(d)+","+Ta(_),x=sy.get(y);if(x!==void 0&&!(!(x&4)&&x&2&&c))return!!(x&1);const k=dr(_);for(const z of gs(dr(d)))if(z.flags&8){const H=ya(k,z.escapedName);if(!H||!(H.flags&8))return c?(c(f.Property_0_is_missing_in_type_1,Sl(z),Un(el(_),void 0,64)),sy.set(y,6)):sy.set(y,2),!1;const re=jN(fl(z,306)),be=jN(fl(H,306));if(re!==be){const ge=typeof re=="string",Ke=typeof be=="string";if(re!==void 0&&be!==void 0){if(!c)sy.set(y,2);else{const gt=ge?`"${og(re)}"`:re,Dt=Ke?`"${og(be)}"`:be;c(f.Each_declaration_of_0_1_differs_in_its_value_where_2_was_expected_but_3_was_given,Sl(_),Sl(H),Dt,gt),sy.set(y,6)}return!1}if(ge||Ke){if(!c)sy.set(y,2);else{const gt=re??be;N.assert(typeof gt=="string");const Dt=`"${og(gt)}"`;c(f.One_value_of_0_1_is_the_string_2_and_the_other_is_assumed_to_be_an_unknown_numeric_value,Sl(_),Sl(H),Dt),sy.set(y,6)}return!1}}}return sy.set(y,1),!0}function G8(n,a,c,d){const _=n.flags,y=a.flags;return y&1||_&131072||n===Rt||y&2&&!(c===Wf&&_&1)?!0:y&131072?!1:!!(_&402653316&&y&4||_&128&&_&1024&&y&128&&!(y&1024)&&n.value===a.value||_&296&&y&8||_&256&&_&1024&&y&256&&!(y&1024)&&n.value===a.value||_&2112&&y&64||_&528&&y&16||_&12288&&y&4096||_&32&&y&32&&n.symbol.escapedName===a.symbol.escapedName&&Rve(n.symbol,a.symbol,d)||_&1024&&y&1024&&(_&1048576&&y&1048576&&Rve(n.symbol,a.symbol,d)||_&2944&&y&2944&&n.value===a.value&&Rve(n.symbol,a.symbol,d))||_&32768&&(!Y&&!(y&3145728)||y&49152)||_&65536&&(!Y&&!(y&3145728)||y&65536)||_&524288&&y&67108864&&!(c===Wf&&Uh(n)&&!(Wr(n)&8192))||(c===qd||c===Dp)&&(_&1||_&8&&(y&32||y&256&&y&1024)||_&256&&!(_&1024)&&(y&32||y&256&&y&1024&&n.value===a.value)||Ddt(a)))}function Z_(n,a,c){if(X1(n)&&(n=n.regularType),X1(a)&&(a=a.regularType),n===a)return!0;if(c!==ap){if(c===Dp&&!(a.flags&131072)&&G8(a,n,c)||G8(n,a,c))return!0}else if(!((n.flags|a.flags)&61865984)){if(n.flags!==a.flags)return!1;if(n.flags&67358815)return!0}if(n.flags&524288&&a.flags&524288){const d=c.get(vee(n,a,0,c,!1));if(d!==void 0)return!!(d&1)}return n.flags&469499904||a.flags&469499904?Hf(n,a,c,void 0):!1}function o4e(n,a){return Wr(n)&2048&&Tye(a.escapedName)}function O9(n,a){for(;;){const c=X1(n)?n.regularType:Hb(n)?Cdt(n,a):Wr(n)&4?n.node?hy(n.target,Js(n)):kve(n)||n:n.flags&3145728?Ndt(n,a):n.flags&33554432?a?n.baseType:qge(n):n.flags&25165824?gv(n,a):n;if(c===n)return c;n=c}}function Ndt(n,a){const c=h_(n);if(c!==n)return c;if(n.flags&2097152&&bt(n.types,Uh)){const d=eo(n.types,_=>O9(_,a));if(d!==n.types)return ba(d)}return n}function Cdt(n,a){const c=J1(n),d=eo(c,_=>_.flags&25165824?gv(_,a):_);return c!==d?rve(n.target,d):n}function Hf(n,a,c,d,_,y,x){var k;let z,H,re,be,ge,Ke,gt=0,Dt=0,an=0,cn=0,ar=!1,On=0,ln=0,zn,Fn,er=16e6-c.size>>3;N.assert(c!==ap||!d,"no error reporting in identity checking");const qn=br(n,a,3,!!d,_);if(Fn&&Bo(),ar){const rt=vee(n,a,0,c,!1);c.set(rt,6),(k=sr)==null||k.instant(sr.Phase.CheckTypes,"checkTypeRelatedTo_DepthLimit",{sourceId:n.id,targetId:a.id,depth:Dt,targetDepth:an});const St=er<=0?f.Excessive_complexity_comparing_types_0_and_1:f.Excessive_stack_depth_comparing_types_0_and_1,Zt=Je(d||C,St,Un(n),Un(a));x&&(x.errors||(x.errors=[])).push(Zt)}else if(z){if(y){const Zt=y();Zt&&(Foe(Zt,z),z=Zt)}let rt;if(_&&d&&!qn&&n.symbol){const Zt=Xi(n.symbol);if(Zt.originatingImport&&!Bp(Zt.originatingImport)&&Hf(dr(Zt.target),a,c,void 0)){const Rr=wr(Zt.originatingImport,f.Type_originates_at_this_import_A_namespace_style_import_cannot_be_called_or_constructed_and_will_cause_a_failure_at_runtime_Consider_using_a_default_import_or_import_require_here_instead);rt=xn(rt,Rr)}}const St=Fg(jn(d),d,z,rt);H&&wa(St,...H),x&&(x.errors||(x.errors=[])).push(St),(!x||!x.skipLogging)&&ts.add(St)}return d&&x&&x.skipLogging&&qn===0&&N.assert(!!x.errors,"missed opportunity to interact with error."),qn!==0;function tr(rt){z=rt.errorInfo,zn=rt.lastSkippedInfo,Fn=rt.incompatibleStack,On=rt.overrideNextErrorInfo,ln=rt.skipParentCounter,H=rt.relatedInfo}function Ro(){return{errorInfo:z,lastSkippedInfo:zn,incompatibleStack:Fn==null?void 0:Fn.slice(),overrideNextErrorInfo:On,skipParentCounter:ln,relatedInfo:H==null?void 0:H.slice()}}function Eo(rt,...St){On++,zn=void 0,(Fn||(Fn=[])).push([rt,...St])}function Bo(){const rt=Fn||[];Fn=void 0;const St=zn;if(zn=void 0,rt.length===1){_o(...rt[0]),St&&dp(void 0,...St);return}let Zt="";const Kn=[];for(;rt.length;){const[Rr,...Tn]=rt.pop();switch(Rr.code){case f.Types_of_property_0_are_incompatible.code:{Zt.indexOf("new ")===0&&(Zt=`(${Zt})`);const gr=""+Tn[0];Zt.length===0?Zt=`${gr}`:tf(gr,os(V))?Zt=`${Zt}.${gr}`:gr[0]==="["&&gr[gr.length-1]==="]"?Zt=`${Zt}${gr}`:Zt=`${Zt}[${gr}]`;break}case f.Call_signature_return_types_0_and_1_are_incompatible.code:case f.Construct_signature_return_types_0_and_1_are_incompatible.code:case f.Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code:case f.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code:{if(Zt.length===0){let gr=Rr;Rr.code===f.Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code?gr=f.Call_signature_return_types_0_and_1_are_incompatible:Rr.code===f.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code&&(gr=f.Construct_signature_return_types_0_and_1_are_incompatible),Kn.unshift([gr,Tn[0],Tn[1]])}else{const gr=Rr.code===f.Construct_signature_return_types_0_and_1_are_incompatible.code||Rr.code===f.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code?"new ":"",qr=Rr.code===f.Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code||Rr.code===f.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1.code?"":"...";Zt=`${gr}${Zt}(${qr})`}break}case f.Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target.code:{Kn.unshift([f.Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target,Tn[0],Tn[1]]);break}case f.Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target.code:{Kn.unshift([f.Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target,Tn[0],Tn[1],Tn[2]]);break}default:return N.fail(`Unhandled Diagnostic: ${Rr.code}`)}}Zt?_o(Zt[Zt.length-1]===")"?f.The_types_returned_by_0_are_incompatible_between_these_types:f.The_types_of_0_are_incompatible_between_these_types,Zt):Kn.shift();for(const[Rr,...Tn]of Kn){const gr=Rr.elidedInCompatabilityPyramid;Rr.elidedInCompatabilityPyramid=!1,_o(Rr,...Tn),Rr.elidedInCompatabilityPyramid=gr}St&&dp(void 0,...St)}function _o(rt,...St){N.assert(!!d),Fn&&Bo(),!rt.elidedInCompatabilityPyramid&&(ln===0?z=jo(z,rt,...St):ln--)}function Cc(rt,...St){_o(rt,...St),ln++}function Jf(rt){N.assert(!!z),H?H.push(rt):H=[rt]}function dp(rt,St,Zt){Fn&&Bo();const[Kn,Rr]=fx(St,Zt);let Tn=St,gr=Kn;if(V8(St)&&!Dve(Zt)&&(Tn=bv(St),N.assert(!Ea(Tn,Zt),"generalized source shouldn't be assignable"),gr=Rb(Tn)),(Zt.flags&8388608&&!(St.flags&8388608)?Zt.objectType.flags:Zt.flags)&262144&&Zt!==de&&Zt!==ee){const zi=Gu(Zt);let Fo;zi&&(Ea(Tn,zi)||(Fo=Ea(St,zi)))?_o(f._0_is_assignable_to_the_constraint_of_type_1_but_1_could_be_instantiated_with_a_different_subtype_of_constraint_2,Fo?Kn:gr,Rr,Un(zi)):(z=void 0,_o(f._0_could_be_instantiated_with_an_arbitrary_type_which_could_be_unrelated_to_1,Rr,gr))}if(rt)rt===f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1&&De&&a4e(St,Zt).length&&(rt=f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties);else if(c===Dp)rt=f.Type_0_is_not_comparable_to_type_1;else if(Kn===Rr)rt=f.Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated;else if(De&&a4e(St,Zt).length)rt=f.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties;else{if(St.flags&128&&Zt.flags&1048576){const zi=zmt(St,Zt);if(zi){_o(f.Type_0_is_not_assignable_to_type_1_Did_you_mean_2,gr,Rr,Un(zi));return}}rt=f.Type_0_is_not_assignable_to_type_1}_o(rt,gr,Rr)}function wn(rt,St){const Zt=Db(rt.symbol)?Un(rt,rt.symbol.valueDeclaration):Un(rt),Kn=Db(St.symbol)?Un(St,St.symbol.valueDeclaration):Un(St);(Zl===rt&&ke===St||yc===rt&&Tt===St||dl===rt&&Ni===St||s6e()===rt&&Ai===St)&&_o(f._0_is_a_primitive_but_1_is_a_wrapper_object_Prefer_using_0_when_possible,Kn,Zt)}function Qn(rt,St,Zt){return Ba(rt)?rt.target.readonly&&B9(St)?(Zt&&_o(f.The_type_0_is_readonly_and_cannot_be_assigned_to_the_mutable_type_1,Un(rt),Un(St)),!1):hE(St):Y2(rt)&&B9(St)?(Zt&&_o(f.The_type_0_is_readonly_and_cannot_be_assigned_to_the_mutable_type_1,Un(rt),Un(St)),!1):Ba(St)?qf(rt):!0}function oo(rt,St,Zt){return br(rt,St,3,Zt)}function br(rt,St,Zt=3,Kn=!1,Rr,Tn=0){if(rt===St)return-1;if(rt.flags&524288&&St.flags&402784252)return c===Dp&&!(St.flags&131072)&&G8(St,rt,c)||G8(rt,St,c,Kn?_o:void 0)?-1:(Kn&&Co(rt,St,rt,St,Rr),0);const gr=O9(rt,!1);let qr=O9(St,!0);if(gr===qr)return-1;if(c===ap)return gr.flags!==qr.flags?0:gr.flags&67358815?-1:(ss(gr,qr),Si(gr,qr,!1,0,Zt));if(gr.flags&262144&&HT(gr)===qr)return-1;if(gr.flags&470302716&&qr.flags&1048576){const zi=qr.types,Fo=zi.length===2&&zi[0].flags&98304?zi[1]:zi.length===3&&zi[0].flags&98304&&zi[1].flags&98304?zi[2]:void 0;if(Fo&&!(Fo.flags&98304)&&(qr=O9(Fo,!0),gr===qr))return-1}if(c===Dp&&!(qr.flags&131072)&&G8(qr,gr,c)||G8(gr,qr,c,Kn?_o:void 0))return-1;if(gr.flags&469499904||qr.flags&469499904){if(!(Tn&2)&&yE(gr)&&Wr(gr)&8192&&ju(gr,qr,Kn))return Kn&&dp(Rr,gr,St.aliasSymbol?St:qr),0;const Fo=(c!==Dp||v_(gr))&&!(Tn&2)&&gr.flags&405405692&&gr!==Ce&&qr.flags&2621440&&l4e(qr)&&(gs(gr).length>0||Dte(gr)),_a=!!(Wr(gr)&2048);if(Fo&&!Mdt(gr,qr,_a)){if(Kn){const wo=Un(rt.aliasSymbol?rt:gr),Da=Un(St.aliasSymbol?St:qr),Cs=Yo(gr,0),fs=Yo(gr,1);Cs.length>0&&br(ps(Cs[0]),qr,1,!1)||fs.length>0&&br(ps(fs[0]),qr,1,!1)?_o(f.Value_of_type_0_has_no_properties_in_common_with_type_1_Did_you_mean_to_call_it,wo,Da):_o(f.Type_0_has_no_properties_in_common_with_type_1,wo,Da)}return 0}ss(gr,qr);const vi=gr.flags&1048576&&gr.types.length<4&&!(qr.flags&1048576)||qr.flags&1048576&&qr.types.length<4&&!(gr.flags&469499904)?wd(gr,qr,Kn,Tn):Si(gr,qr,Kn,Tn,Zt);if(vi)return vi}return Kn&&Co(rt,St,gr,qr,Rr),0}function Co(rt,St,Zt,Kn,Rr){var Tn,gr;const qr=!!kve(rt),zi=!!kve(St);Zt=rt.aliasSymbol||qr?rt:Zt,Kn=St.aliasSymbol||zi?St:Kn;let Fo=On>0;if(Fo&&On--,Zt.flags&524288&&Kn.flags&524288){const _a=z;Qn(Zt,Kn,!0),z!==_a&&(Fo=!!z)}if(Zt.flags&524288&&Kn.flags&402784252)wn(Zt,Kn);else if(Zt.symbol&&Zt.flags&524288&&Ce===Zt)_o(f.The_Object_type_is_assignable_to_very_few_other_types_Did_you_mean_to_use_the_any_type_instead);else if(Wr(Zt)&2048&&Kn.flags&2097152){const _a=Kn.types,ha=tS(sf.IntrinsicAttributes,d),vi=tS(sf.IntrinsicClassAttributes,d);if(!Kt(ha)&&!Kt(vi)&&(Nr(_a,ha)||Nr(_a,vi)))return}else z=Pge(z,St);if(!Rr&&Fo){zn=[Zt,Kn];return}if(dp(Rr,Zt,Kn),Zt.flags&262144&&((gr=(Tn=Zt.symbol)==null?void 0:Tn.declarations)!=null&&gr[0])&&!HT(Zt)){const _a=yve(Zt);if(_a.constraint=so(Kn,Y1(Zt,_a)),b9(_a)){const ha=Un(Kn,Zt.symbol.declarations[0]);Jf(wr(Zt.symbol.declarations[0],f.This_type_parameter_might_need_an_extends_0_constraint,ha))}}}function ss(rt,St){if(sr&&rt.flags&3145728&&St.flags&3145728){const Zt=rt,Kn=St;if(Zt.objectFlags&Kn.objectFlags&32768)return;const Rr=Zt.types.length,Tn=Kn.types.length;Rr*Tn>1e6&&sr.instant(sr.Phase.CheckTypes,"traceUnionsOrIntersectionsTooLarge_DepthLimit",{sourceId:rt.id,sourceSize:Rr,targetId:St.id,targetSize:Tn,pos:d==null?void 0:d.pos,end:d==null?void 0:d.end})}}function Ts(rt,St){return ti(od(rt,(Kn,Rr)=>{var Tn;Rr=kd(Rr);const gr=Rr.flags&3145728?E9(Rr,St):uE(Rr,St),qr=gr&&dr(gr)||((Tn=gx(Rr,St))==null?void 0:Tn.type)||Oe;return xn(Kn,qr)},void 0)||et)}function ju(rt,St,Zt){var Kn;if(!rB(St)||!pe&&Wr(St)&4096)return!1;const Rr=!!(Wr(rt)&2048);if((c===qd||c===Dp)&&(tM(Ce,St)||!Rr&&yv(St)))return!1;let Tn=St,gr;St.flags&1048576&&(Tn=I7e(rt,St,br)||Vbt(St),gr=Tn.flags&1048576?Tn.types:[Tn]);for(const qr of gs(rt))if(Ga(qr,rt.symbol)&&!o4e(rt,qr)){if(!xye(Tn,qr.escapedName,Rr)){if(Zt){const zi=dc(Tn,rB);if(!d)return N.fail();if(l1(d)||ud(d)||ud(d.parent)){qr.valueDeclaration&&F_(qr.valueDeclaration)&&jn(d)===jn(qr.valueDeclaration.name)&&(d=qr.valueDeclaration.name);const Fo=bi(qr),_a=K3e(Fo,zi),ha=_a?bi(_a):void 0;ha?_o(f.Property_0_does_not_exist_on_type_1_Did_you_mean_2,Fo,Un(zi),ha):_o(f.Property_0_does_not_exist_on_type_1,Fo,Un(zi))}else{const Fo=((Kn=rt.symbol)==null?void 0:Kn.declarations)&&Xc(rt.symbol.declarations);let _a;if(qr.valueDeclaration&&Gn(qr.valueDeclaration,ha=>ha===Fo)&&jn(Fo)===jn(d)){const ha=qr.valueDeclaration;N.assertNode(ha,Wg);const vi=ha.name;d=vi,Ve(vi)&&(_a=wye(vi,zi))}_a!==void 0?Cc(f.Object_literal_may_only_specify_known_properties_but_0_does_not_exist_in_type_1_Did_you_mean_to_write_2,bi(qr),Un(zi),_a):Cc(f.Object_literal_may_only_specify_known_properties_and_0_does_not_exist_in_type_1,bi(qr),Un(zi))}}return!0}if(gr&&!br(dr(qr),Ts(gr,qr.escapedName),3,Zt))return Zt&&Eo(f.Types_of_property_0_are_incompatible,bi(qr)),!0}return!1}function Ga(rt,St){return rt.valueDeclaration&&St.valueDeclaration&&rt.valueDeclaration.parent===St.valueDeclaration}function wd(rt,St,Zt,Kn){if(rt.flags&1048576){if(St.flags&1048576){const Rr=rt.origin;if(Rr&&Rr.flags&2097152&&St.aliasSymbol&&Nr(Rr.types,St))return-1;const Tn=St.origin;if(Tn&&Tn.flags&1048576&&rt.aliasSymbol&&Nr(Tn.types,rt))return-1}return c===Dp?Ae(rt,St,Zt&&!(rt.flags&402784252),Kn):Mr(rt,St,Zt&&!(rt.flags&402784252),Kn)}if(St.flags&1048576)return El(H8(rt),St,Zt&&!(rt.flags&402784252)&&!(St.flags&402784252),Kn);if(St.flags&2097152)return ut(rt,St,Zt,2);if(c===Dp&&St.flags&402784252){const Rr=eo(rt.types,Tn=>Tn.flags&465829888?Gu(Tn)||_n:Tn);if(Rr!==rt.types){if(rt=ba(Rr),rt.flags&131072)return 0;if(!(rt.flags&2097152))return br(rt,St,1,!1)||br(St,rt,1,!1)}}return Ae(rt,St,!1,1)}function Wl(rt,St){let Zt=-1;const Kn=rt.types;for(const Rr of Kn){const Tn=El(Rr,St,!1,0);if(!Tn)return 0;Zt&=Tn}return Zt}function El(rt,St,Zt,Kn){const Rr=St.types;if(St.flags&1048576){if(hv(Rr,rt))return-1;if(c!==Dp&&Wr(St)&32768&&!(rt.flags&1024)&&(rt.flags&2688||(c===Ph||c===Wf)&&rt.flags&256)){const gr=rt===rt.regularType?rt.freshType:rt.regularType,qr=rt.flags&128?ke:rt.flags&256?Tt:rt.flags&2048?kt:void 0;return qr&&hv(Rr,qr)||gr&&hv(Rr,gr)?-1:0}const Tn=W4e(St,rt);if(Tn){const gr=br(rt,Tn,2,!1,void 0,Kn);if(gr)return gr}}for(const Tn of Rr){const gr=br(rt,Tn,2,!1,void 0,Kn);if(gr)return gr}if(Zt){const Tn=s4e(rt,St,br);Tn&&br(rt,Tn,2,!0,void 0,Kn)}return 0}function ut(rt,St,Zt,Kn){let Rr=-1;const Tn=St.types;for(const gr of Tn){const qr=br(rt,gr,2,Zt,void 0,Kn);if(!qr)return 0;Rr&=qr}return Rr}function Ae(rt,St,Zt,Kn){const Rr=rt.types;if(rt.flags&1048576&&hv(Rr,St))return-1;const Tn=Rr.length;for(let gr=0;gr<Tn;gr++){const qr=br(Rr[gr],St,1,Zt&&gr===Tn-1,void 0,Kn);if(qr)return qr}return 0}function Ln(rt,St){return rt.flags&1048576&&St.flags&1048576&&!(rt.types[0].flags&32768)&&St.types[0].flags&32768?nM(St,-32769):St}function Mr(rt,St,Zt,Kn){let Rr=-1;const Tn=rt.types,gr=Ln(rt,St);for(let qr=0;qr<Tn.length;qr++){const zi=Tn[qr];if(gr.flags&1048576&&Tn.length>=gr.types.length&&Tn.length%gr.types.length===0){const _a=br(zi,gr.types[qr%gr.types.length],3,!1,void 0,Kn);if(_a){Rr&=_a;continue}}const Fo=br(zi,St,1,Zt,void 0,Kn);if(!Fo)return 0;Rr&=Fo}return Rr}function di(rt=et,St=et,Zt=et,Kn,Rr){if(rt.length!==St.length&&c===ap)return 0;const Tn=rt.length<=St.length?rt.length:St.length;let gr=-1;for(let qr=0;qr<Tn;qr++){const zi=qr<Zt.length?Zt[qr]:1,Fo=zi&7;if(Fo!==4){const _a=rt[qr],ha=St[qr];let vi=-1;if(zi&8?vi=c===ap?br(_a,ha,3,!1):z8(_a,ha):Fo===1?vi=br(_a,ha,3,Kn,void 0,Rr):Fo===2?vi=br(ha,_a,3,Kn,void 0,Rr):Fo===3?(vi=br(ha,_a,3,!1),vi||(vi=br(_a,ha,3,Kn,void 0,Rr))):(vi=br(_a,ha,3,Kn,void 0,Rr),vi&&(vi&=br(ha,_a,3,Kn,void 0,Rr))),!vi)return 0;gr&=vi}}return gr}function Si(rt,St,Zt,Kn,Rr){var Tn,gr,qr;if(ar)return 0;const zi=vee(rt,St,Kn,c,!1),Fo=c.get(zi);if(Fo!==void 0&&!(Zt&&Fo&2&&!(Fo&4))){if(pa){const fs=Fo&24;fs&8&&so(rt,As),fs&16&&so(rt,du)}return Fo&1?-1:0}if(er<=0)return ar=!0,0;if(!re)re=[],be=new Set,ge=[],Ke=[];else{if(be.has(zi))return 3;const fs=zi.startsWith("*")?vee(rt,St,Kn,c,!0):void 0;if(fs&&be.has(fs))return 3;if(Dt===100||an===100)return ar=!0,0}const _a=gt;re[gt]=zi,be.add(zi),gt++;const ha=cn;Rr&1&&(ge[Dt]=rt,Dt++,!(cn&1)&&X2(rt,ge,Dt)&&(cn|=1)),Rr&2&&(Ke[an]=St,an++,!(cn&2)&&X2(St,Ke,an)&&(cn|=2));let vi,wo=0;pa&&(vi=pa,pa=fs=>(wo|=fs?16:8,vi(fs)));let Da;return cn===3?((Tn=sr)==null||Tn.instant(sr.Phase.CheckTypes,"recursiveTypeRelatedTo_DepthLimit",{sourceId:rt.id,sourceIdStack:ge.map(fs=>fs.id),targetId:St.id,targetIdStack:Ke.map(fs=>fs.id),depth:Dt,targetDepth:an}),Da=3):((gr=sr)==null||gr.push(sr.Phase.CheckTypes,"structuredTypeRelatedTo",{sourceId:rt.id,targetId:St.id}),Da=Ti(rt,St,Zt,Kn),(qr=sr)==null||qr.pop()),pa&&(pa=vi),Rr&1&&Dt--,Rr&2&&an--,cn=ha,Da?(Da===-1||Dt===0&&an===0)&&Cs(Da===-1||Da===3):(c.set(zi,(Zt?4:0)|2|wo),er--,Cs(!1)),Da;function Cs(fs){for(let Pc=_a;Pc<gt;Pc++)be.delete(re[Pc]),fs&&(c.set(re[Pc],1|wo),er--);gt=_a}}function Ti(rt,St,Zt,Kn){const Rr=Ro();let Tn=xr(rt,St,Zt,Kn,Rr);if(c!==ap){if(!Tn&&(rt.flags&2097152||rt.flags&262144&&St.flags&1048576)){const gr=cct(rt.flags&2097152?rt.types:[rt],!!(St.flags&1048576));gr&&up(gr,qr=>qr!==rt)&&(Tn=br(gr,St,1,!1,void 0,Kn))}Tn&&!(Kn&2)&&St.flags&2097152&&!$T(St)&&rt.flags&2621440?(Tn&=Li(rt,St,Zt,void 0,!1,0),Tn&&yE(rt)&&Wr(rt)&8192&&(Tn&=Ns(rt,St,!1,Zt,0))):Tn&&oee(St)&&!hE(St)&&rt.flags&2097152&&kd(rt).flags&3670016&&!bt(rt.types,gr=>gr===St||!!(Wr(gr)&262144))&&(Tn&=Li(rt,St,Zt,void 0,!0,Kn))}return Tn&&tr(Rr),Tn}function Hr(rt,St){const Zt=kd(UT(St)),Kn=[];return Tge(Zt,8576,!1,Rr=>void Kn.push(so(rt,O8(St.mapper,m_(St),Rr)))),ti(Kn)}function xr(rt,St,Zt,Kn,Rr){let Tn,gr,qr=!1,zi=rt.flags;const Fo=St.flags;if(c===ap){if(zi&3145728){let vi=Wl(rt,St);return vi&&(vi&=Wl(St,rt)),vi}if(zi&4194304)return br(rt.type,St.type,3,!1);if(zi&8388608&&(Tn=br(rt.objectType,St.objectType,3,!1))&&(Tn&=br(rt.indexType,St.indexType,3,!1))||zi&16777216&&rt.root.isDistributive===St.root.isDistributive&&(Tn=br(rt.checkType,St.checkType,3,!1))&&(Tn&=br(rt.extendsType,St.extendsType,3,!1))&&(Tn&=br(fE(rt),fE(St),3,!1))&&(Tn&=br(mE(rt),mE(St),3,!1))||zi&33554432&&(Tn=br(rt.baseType,St.baseType,3,!1))&&(Tn&=br(rt.constraint,St.constraint,3,!1)))return Tn;if(!(zi&524288))return 0}else if(zi&3145728||Fo&3145728){if(Tn=wd(rt,St,Zt,Kn))return Tn;if(!(zi&465829888||zi&524288&&Fo&1048576||zi&2097152&&Fo&467402752))return 0}if(zi&17301504&&rt.aliasSymbol&&rt.aliasTypeArguments&&rt.aliasSymbol===St.aliasSymbol&&!(hee(rt)||hee(St))){const vi=c4e(rt.aliasSymbol);if(vi===et)return 1;const wo=Xi(rt.aliasSymbol).typeParameters,Da=Gh(wo),Cs=Fb(rt.aliasTypeArguments,wo,Da,lr(rt.aliasSymbol.valueDeclaration)),fs=Fb(St.aliasTypeArguments,wo,Da,lr(rt.aliasSymbol.valueDeclaration)),Pc=ha(Cs,fs,vi,Kn);if(Pc!==void 0)return Pc}if(v4e(rt)&&!rt.target.readonly&&(Tn=br(Js(rt)[0],St,1))||v4e(St)&&(St.target.readonly||B9(Gu(rt)||rt))&&(Tn=br(rt,Js(St)[0],2)))return Tn;if(Fo&262144){if(Wr(rt)&32&&!rt.declaration.nameType&&br(Q_(St),Ef(rt),3)&&!(Bh(rt)&4)){const vi=mv(rt),wo=Lp(St,m_(rt));if(Tn=br(vi,wo,3,Zt))return Tn}if(c===Dp&&zi&262144){let vi=Ld(rt);if(vi)for(;vi&&Um(vi,wo=>!!(wo.flags&262144));){if(Tn=br(vi,St,1,!1))return Tn;vi=Ld(vi)}return 0}}else if(Fo&4194304){const vi=St.type;if(zi&4194304&&(Tn=br(vi,rt.type,3,!1)))return Tn;if(Ba(vi)){if(Tn=br(rt,h6e(vi),2,Zt))return Tn}else{const wo=Ige(vi);if(wo){if(br(rt,Q_(wo,St.indexFlags|4),2,Zt)===-1)return-1}else if(Kd(vi)){const Da=_y(vi),Cs=Ef(vi);let fs;if(Da&&AN(vi)){const Pc=Hr(Da,vi);fs=ti([Pc,Da])}else fs=Da||Cs;if(br(rt,fs,2,Zt)===-1)return-1}}}else if(Fo&8388608){if(zi&8388608){if((Tn=br(rt.objectType,St.objectType,3,Zt))&&(Tn&=br(rt.indexType,St.indexType,3,Zt)),Tn)return Tn;Zt&&(gr=z)}if(c===qd||c===Dp){const vi=St.objectType,wo=St.indexType,Da=Gu(vi)||vi,Cs=Gu(wo)||wo;if(!$T(Da)&&!QT(Cs)){const fs=4|(Da!==vi?2:0),Pc=Bb(Da,Cs,fs);if(Pc){if(Zt&&gr&&tr(Rr),Tn=br(rt,Pc,2,Zt,void 0,Kn))return Tn;Zt&&gr&&z&&(z=_a([gr])<=_a([z])?gr:z)}}}Zt&&(gr=void 0)}else if(Kd(St)&&c!==ap){const vi=!!St.declaration.nameType,wo=mv(St),Da=Bh(St);if(!(Da&8)){if(!vi&&wo.flags&8388608&&wo.objectType===rt&&wo.indexType===m_(St))return-1;if(!Kd(rt)){const Cs=vi?_y(St):Ef(St),fs=Q_(rt,2),Pc=Da&4,qh=Pc?g9(Cs,fs):void 0;if(Pc?!(qh.flags&131072):br(Cs,fs,3)){const Av=mv(St),pA=m_(St),fA=nM(Av,-98305);if(!vi&&fA.flags&8388608&&fA.indexType===pA){if(Tn=br(rt,fA.objectType,2,Zt))return Tn}else{const hM=vi?qh||Cs:qh?ba([qh,pA]):pA,S_=Lp(rt,hM);if(Tn=br(S_,Av,3,Zt))return Tn}}gr=z,tr(Rr)}}}else if(Fo&16777216){if(X2(St,Ke,an,10))return 3;const vi=St;if(!vi.root.inferTypeParameters&&!Hut(vi.root)&&!(rt.flags&16777216&&rt.root===vi.root)){const wo=!Ea(F8(vi.checkType),F8(vi.extendsType)),Da=!wo&&Ea(eA(vi.checkType),eA(vi.extendsType));if((Tn=wo?-1:br(rt,fE(vi),2,!1,void 0,Kn))&&(Tn&=Da?-1:br(rt,mE(vi),2,!1,void 0,Kn),Tn))return Tn}}else if(Fo&134217728){if(zi&134217728){if(c===Dp)return vpt(rt,St)?0:-1;so(rt,du)}if(Lee(rt,St))return-1}else if(St.flags&268435456&&!(rt.flags&268435456)&&Mee(rt,St))return-1;if(zi&8650752){if(!(zi&8388608&&Fo&8388608)){const vi=HT(rt)||_n;if(Tn=br(vi,St,1,!1,void 0,Kn))return Tn;if(Tn=br(Kp(vi,rt),St,1,Zt&&vi!==_n&&!(Fo&zi&262144),void 0,Kn))return Tn;if(Nge(rt)){const wo=HT(rt.indexType);if(wo&&(Tn=br(Lp(rt.objectType,wo),St,1,Zt)))return Tn}}}else if(zi&4194304){const vi=cve(rt.type,rt.indexFlags)&&Wr(rt.type)&32;if(Tn=br(Fs,St,1,Zt&&!vi))return Tn;if(vi){const wo=rt.type,Da=_y(wo),Cs=Da&&AN(wo)?Hr(Da,wo):Da||Ef(wo);if(Tn=br(Cs,St,1,Zt))return Tn}}else if(zi&134217728&&!(Fo&524288)){if(!(Fo&134217728)){const vi=Gu(rt);if(vi&&vi!==rt&&(Tn=br(vi,St,1,Zt)))return Tn}}else if(zi&268435456)if(Fo&268435456){if(rt.symbol!==St.symbol)return 0;if(Tn=br(rt.type,St.type,3,Zt))return Tn}else{const vi=Gu(rt);if(vi&&(Tn=br(vi,St,1,Zt)))return Tn}else if(zi&16777216){if(X2(rt,ge,Dt,10))return 3;if(Fo&16777216){const Da=rt.root.inferTypeParameters;let Cs=rt.extendsType,fs;if(Da){const Pc=q8(Da,void 0,0,oo);Sv(Pc.inferences,St.extendsType,Cs,1536),Cs=so(Cs,Pc.mapper),fs=Pc.mapper}if(vv(Cs,St.extendsType)&&(br(rt.checkType,St.checkType,3)||br(St.checkType,rt.checkType,3))&&((Tn=br(so(fE(rt),fs),fE(St),3,Zt))&&(Tn&=br(mE(rt),mE(St),3,Zt)),Tn))return Tn}const vi=xge(rt);if(vi&&(Tn=br(vi,St,1,Zt)))return Tn;const wo=!(Fo&16777216)&&b9(rt)?N8e(rt):void 0;if(wo&&(tr(Rr),Tn=br(wo,St,1,Zt)))return Tn}else{if(c!==Ph&&c!==Wf&&nct(St)&&yv(rt))return-1;if(Kd(St))return Kd(rt)&&(Tn=lt(rt,St,Zt))?Tn:0;const vi=!!(zi&402784252);if(c!==ap)rt=kd(rt),zi=rt.flags;else if(Kd(rt))return 0;if(Wr(rt)&4&&Wr(St)&4&&rt.target===St.target&&!Ba(rt)&&!(hee(rt)||hee(St))){if(Eee(rt))return-1;const wo=Cve(rt.target);if(wo===et)return 1;const Da=ha(Js(rt),Js(St),wo,Kn);if(Da!==void 0)return Da}else{if(Y2(St)?up(rt,hE):qf(St)&&up(rt,wo=>Ba(wo)&&!wo.target.readonly))return c!==ap?br(dE(rt,Tt)||j,dE(St,Tt)||j,3,Zt):0;if(Hb(rt)&&Ba(St)&&!Hb(St)){const wo=_v(rt);if(wo!==rt)return br(wo,St,1,Zt)}else if((c===Ph||c===Wf)&&yv(St)&&Wr(St)&8192&&!yv(rt))return 0}if(zi&2621440&&Fo&524288){const wo=Zt&&z===Rr.errorInfo&&!vi;if(Tn=Li(rt,St,wo,void 0,!1,Kn),Tn&&(Tn&=Go(rt,St,0,wo,Kn),Tn&&(Tn&=Go(rt,St,1,wo,Kn),Tn&&(Tn&=Ns(rt,St,vi,wo,Kn)))),qr&&Tn)z=gr||z||Rr.errorInfo;else if(Tn)return Tn}if(zi&2621440&&Fo&1048576){const wo=nM(St,36175872);if(wo.flags&1048576){const Da=Ot(rt,wo);if(Da)return Da}}}return 0;function _a(vi){return vi?od(vi,(wo,Da)=>wo+1+_a(Da.next),0):0}function ha(vi,wo,Da,Cs){if(Tn=di(vi,wo,Da,Zt,Cs))return Tn;if(bt(Da,Pc=>!!(Pc&24))){gr=void 0,tr(Rr);return}const fs=wo&&Ldt(wo,Da);if(qr=!fs,Da!==et&&!fs){if(qr&&!(Zt&&bt(Da,Pc=>(Pc&7)===0)))return 0;gr=z,tr(Rr)}}}function lt(rt,St,Zt){if(c===Dp||(c===ap?Bh(rt)===Bh(St):Age(rt)<=Age(St))){let Rr;const Tn=Ef(St),gr=so(Ef(rt),Age(rt)<0?As:du);if(Rr=br(Tn,gr,3,Zt)){const qr=kp([m_(rt)],[m_(St)]);if(so(_y(rt),qr)===so(_y(St),qr))return Rr&br(so(mv(rt),qr),mv(St),3,Zt)}}return 0}function Ot(rt,St){var Zt;const Kn=gs(rt),Rr=O4e(Kn,St);if(!Rr)return 0;let Tn=1;for(const ha of Rr)if(Tn*=qpt(kb(ha)),Tn>25)return(Zt=sr)==null||Zt.instant(sr.Phase.CheckTypes,"typeRelatedToDiscriminatedType_DepthLimit",{sourceId:rt.id,targetId:St.id,numCombinations:Tn}),0;const gr=new Array(Rr.length),qr=new Set;for(let ha=0;ha<Rr.length;ha++){const vi=Rr[ha],wo=kb(vi);gr[ha]=wo.flags&1048576?wo.types:[wo],qr.add(vi.escapedName)}const zi=zne(gr),Fo=[];for(const ha of zi){let vi=!1;e:for(const wo of St.types){for(let Da=0;Da<Rr.length;Da++){const Cs=Rr[Da],fs=ya(wo,Cs.escapedName);if(!fs)continue e;if(Cs===fs)continue;if(!mn(rt,St,Cs,fs,qh=>ha[Da],!1,0,Y||c===Dp))continue e}Tf(Fo,wo,Mv),vi=!0}if(!vi)return 0}let _a=-1;for(const ha of Fo)if(_a&=Li(rt,ha,!1,qr,!1,0),_a&&(_a&=Go(rt,ha,0,!1,0),_a&&(_a&=Go(rt,ha,1,!1,0),_a&&!(Ba(rt)&&Ba(ha))&&(_a&=Ns(rt,ha,!1,!1,0)))),!_a)return _a;return _a}function pn(rt,St){if(!St||rt.length===0)return rt;let Zt;for(let Kn=0;Kn<rt.length;Kn++)St.has(rt[Kn].escapedName)?Zt||(Zt=rt.slice(0,Kn)):Zt&&Zt.push(rt[Kn]);return Zt||rt}function qt(rt,St,Zt,Kn,Rr){const Tn=Y&&!!(xl(St)&48),gr=cp(kb(St),!1,Tn),qr=Zt(rt);return br(qr,gr,3,Kn,void 0,Rr)}function mn(rt,St,Zt,Kn,Rr,Tn,gr,qr){const zi=Df(Zt),Fo=Df(Kn);if(zi&2||Fo&2){if(Zt.valueDeclaration!==Kn.valueDeclaration)return Tn&&(zi&2&&Fo&2?_o(f.Types_have_separate_declarations_of_a_private_property_0,bi(Kn)):_o(f.Property_0_is_private_in_type_1_but_not_in_type_2,bi(Kn),Un(zi&2?rt:St),Un(zi&2?St:rt))),0}else if(Fo&4){if(!Fdt(Zt,Kn))return Tn&&_o(f.Property_0_is_protected_but_type_1_is_not_a_class_derived_from_2,bi(Kn),Un(Sx(Zt)||rt),Un(Sx(Kn)||St)),0}else if(zi&4)return Tn&&_o(f.Property_0_is_protected_in_type_1_but_public_in_type_2,bi(Kn),Un(rt),Un(St)),0;if(c===Wf&&b_(Zt)&&!b_(Kn))return 0;const _a=qt(Zt,Kn,Rr,Tn,gr);return _a?!qr&&Zt.flags&16777216&&Kn.flags&106500&&!(Kn.flags&16777216)?(Tn&&_o(f.Property_0_is_optional_in_type_1_but_required_in_type_2,bi(Kn),Un(rt),Un(St)),0):_a:(Tn&&Eo(f.Types_of_property_0_are_incompatible,bi(Kn)),0)}function Wn(rt,St,Zt,Kn){let Rr=!1;if(Zt.valueDeclaration&&ld(Zt.valueDeclaration)&&Ji(Zt.valueDeclaration.name)&&rt.symbol&&rt.symbol.flags&32){const gr=Zt.valueDeclaration.name.escapedText,qr=sk(rt.symbol,gr);if(qr&&ya(rt,qr)){const zi=w.getDeclarationName(rt.symbol.valueDeclaration),Fo=w.getDeclarationName(St.symbol.valueDeclaration);_o(f.Property_0_in_type_1_refers_to_a_different_member_that_cannot_be_accessed_from_within_type_2,Wm(gr),Wm(zi.escapedText===""?KF:zi),Wm(Fo.escapedText===""?KF:Fo));return}}const Tn=zo(qve(rt,St,Kn,!1));if((!_||_.code!==f.Class_0_incorrectly_implements_interface_1.code&&_.code!==f.Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclass.code)&&(Rr=!0),Tn.length===1){const gr=bi(Zt,void 0,0,20);_o(f.Property_0_is_missing_in_type_1_but_required_in_type_2,gr,...fx(rt,St)),Me(Zt.declarations)&&Jf(wr(Zt.declarations[0],f._0_is_declared_here,gr)),Rr&&z&&On++}else Qn(rt,St,!1)&&(Tn.length>5?_o(f.Type_0_is_missing_the_following_properties_from_type_1_Colon_2_and_3_more,Un(rt),Un(St),wt(Tn.slice(0,4),gr=>bi(gr)).join(", "),Tn.length-4):_o(f.Type_0_is_missing_the_following_properties_from_type_1_Colon_2,Un(rt),Un(St),wt(Tn,gr=>bi(gr)).join(", ")),Rr&&z&&On++)}function Li(rt,St,Zt,Kn,Rr,Tn){if(c===ap)return Br(rt,St,Kn);let gr=-1;if(Ba(St)){if(hE(rt)){if(!St.target.readonly&&(Y2(rt)||Ba(rt)&&rt.target.readonly))return 0;const ha=gy(rt),vi=gy(St),wo=Ba(rt)?rt.target.combinedFlags&4:4,Da=St.target.combinedFlags&4,Cs=Ba(rt)?rt.target.minLength:0,fs=St.target.minLength;if(!wo&&ha<fs)return Zt&&_o(f.Source_has_0_element_s_but_target_requires_1,ha,fs),0;if(!Da&&vi<Cs)return Zt&&_o(f.Source_has_0_element_s_but_target_allows_only_1,Cs,vi),0;if(!Da&&(wo||vi<ha))return Zt&&(Cs<fs?_o(f.Target_requires_0_element_s_but_source_may_have_fewer,fs):_o(f.Target_allows_only_0_element_s_but_source_may_have_more,vi)),0;const Pc=Js(rt),qh=Js(St),Av=dut(St.target,11),pA=L8(St.target,11),fA=St.target.hasRestElement;let hM=!!Kn;for(let S_=0;S_<ha;S_++){const Rx=Ba(rt)?rt.target.elementFlags[S_]:4,qm=ha-1-S_,Pt=fA&&S_>=Av?vi-1-Math.min(qm,pA):S_,Dr=St.target.elementFlags[Pt];if(Dr&8&&!(Rx&8))return Zt&&_o(f.Source_provides_no_match_for_variadic_element_at_position_0_in_target,Pt),0;if(Rx&8&&!(Dr&12))return Zt&&_o(f.Variadic_element_at_position_0_in_source_does_not_match_element_at_position_1_in_target,S_,Pt),0;if(Dr&1&&!(Rx&1))return Zt&&_o(f.Source_provides_no_match_for_required_element_at_position_0_in_target,Pt),0;if(hM&&((Rx&12||Dr&12)&&(hM=!1),hM&&(Kn!=null&&Kn.has(""+S_))))continue;const pi=Jb(Pc[S_],!!(Rx&Dr&2)),Xr=qh[Pt],ci=Rx&8&&Dr&4?Vu(Xr):Jb(Xr,!!(Dr&2)),ns=br(pi,ci,3,Zt,void 0,Tn);if(!ns)return Zt&&(vi>1||ha>1)&&(fA&&S_>=Av&&qm>=pA&&Av!==ha-pA-1?Eo(f.Type_at_positions_0_through_1_in_source_is_not_compatible_with_type_at_position_2_in_target,Av,ha-pA-1,Pt):Eo(f.Type_at_position_0_in_source_is_not_compatible_with_type_at_position_1_in_target,S_,Pt)),0;gr&=ns}return gr}if(St.target.combinedFlags&12)return 0}const qr=(c===Ph||c===Wf)&&!yE(rt)&&!Eee(rt)&&!Ba(rt),zi=Jve(rt,St,qr,!1);if(zi)return Zt&&Nn(rt,St)&&Wn(rt,St,zi,qr),0;if(yE(St)){for(const ha of pn(gs(rt),Kn))if(!uE(St,ha.escapedName)&&!(dr(ha).flags&32768))return Zt&&_o(f.Property_0_does_not_exist_on_type_1,bi(ha),Un(St)),0}const Fo=gs(St),_a=Ba(rt)&&Ba(St);for(const ha of pn(Fo,Kn)){const vi=ha.escapedName;if(!(ha.flags&4194304)&&(!_a||cg(vi)||vi==="length")&&(!Rr||ha.flags&16777216)){const wo=ya(rt,vi);if(wo&&wo!==ha){const Da=mn(rt,St,wo,ha,kb,Zt,Tn,c===Dp);if(!Da)return 0;gr&=Da}}}return gr}function Br(rt,St,Zt){if(!(rt.flags&524288&&St.flags&524288))return 0;const Kn=pn(Wb(rt),Zt),Rr=pn(Wb(St),Zt);if(Kn.length!==Rr.length)return 0;let Tn=-1;for(const gr of Kn){const qr=uE(St,gr.escapedName);if(!qr)return 0;const zi=Mve(gr,qr,br);if(!zi)return 0;Tn&=zi}return Tn}function Go(rt,St,Zt,Kn,Rr){var Tn,gr;if(c===ap)return Sa(rt,St,Zt);if(St===nn||rt===nn)return-1;const qr=rt.symbol&&nh(rt.symbol.valueDeclaration),zi=St.symbol&&nh(St.symbol.valueDeclaration),Fo=Yo(rt,qr&&Zt===1?0:Zt),_a=Yo(St,zi&&Zt===1?0:Zt);if(Zt===1&&Fo.length&&_a.length){const Cs=!!(Fo[0].flags&4),fs=!!(_a[0].flags&4);if(Cs&&!fs)return Kn&&_o(f.Cannot_assign_an_abstract_constructor_type_to_a_non_abstract_constructor_type),0;if(!E_(Fo[0],_a[0],Kn))return 0}let ha=-1;const vi=Zt===1?Zi:ni,wo=Wr(rt),Da=Wr(St);if(wo&64&&Da&64&&rt.symbol===St.symbol||wo&4&&Da&4&&rt.target===St.target){N.assertEqual(Fo.length,_a.length);for(let Cs=0;Cs<_a.length;Cs++){const fs=fo(Fo[Cs],_a[Cs],!0,Kn,Rr,vi(Fo[Cs],_a[Cs]));if(!fs)return 0;ha&=fs}}else if(Fo.length===1&&_a.length===1){const Cs=c===Dp||!!V.noStrictGenericChecks,fs=Ha(Fo),Pc=Ha(_a);if(ha=fo(fs,Pc,Cs,Kn,Rr,vi(fs,Pc)),!ha&&Kn&&Zt===1&&wo&Da&&(((Tn=Pc.declaration)==null?void 0:Tn.kind)===176||((gr=fs.declaration)==null?void 0:gr.kind)===176)){const qh=Av=>Oh(Av,void 0,262144,Zt);return _o(f.Type_0_is_not_assignable_to_type_1,qh(fs),qh(Pc)),_o(f.Types_of_construct_signatures_are_incompatible),ha}}else e:for(const Cs of _a){const fs=Ro();let Pc=Kn;for(const qh of Fo){const Av=fo(qh,Cs,!0,Pc,Rr,vi(qh,Cs));if(Av){ha&=Av,tr(fs);continue e}Pc=!1}return Pc&&_o(f.Type_0_provides_no_match_for_the_signature_1,Un(rt),Oh(Cs,void 0,void 0,Zt)),0}return ha}function Nn(rt,St){const Zt=S9(rt,0),Kn=S9(rt,1),Rr=Wb(rt);return(Zt.length||Kn.length)&&!Rr.length?!!(Yo(St,0).length&&Zt.length||Yo(St,1).length&&Kn.length):!0}function ni(rt,St){return rt.parameters.length===0&&St.parameters.length===0?(Zt,Kn)=>Eo(f.Call_signatures_with_no_arguments_have_incompatible_return_types_0_and_1,Un(Zt),Un(Kn)):(Zt,Kn)=>Eo(f.Call_signature_return_types_0_and_1_are_incompatible,Un(Zt),Un(Kn))}function Zi(rt,St){return rt.parameters.length===0&&St.parameters.length===0?(Zt,Kn)=>Eo(f.Construct_signatures_with_no_arguments_have_incompatible_return_types_0_and_1,Un(Zt),Un(Kn)):(Zt,Kn)=>Eo(f.Construct_signature_return_types_0_and_1_are_incompatible,Un(Zt),Un(Kn))}function fo(rt,St,Zt,Kn,Rr,Tn){const gr=c===Ph?16:c===Wf?24:0;return Ive(Zt?P8(rt):rt,Zt?P8(St):St,gr,Kn,_o,Tn,qr,du);function qr(zi,Fo,_a){return br(zi,Fo,3,_a,void 0,Rr)}}function Sa(rt,St,Zt){const Kn=Yo(rt,Zt),Rr=Yo(St,Zt);if(Kn.length!==Rr.length)return 0;let Tn=-1;for(let gr=0;gr<Kn.length;gr++){const qr=z9(Kn[gr],Rr[gr],!1,!1,!1,br);if(!qr)return 0;Tn&=qr}return Tn}function Ec(rt,St,Zt,Kn){let Rr=-1;const Tn=St.keyType,gr=rt.flags&2097152?y9(rt):Wb(rt);for(const qr of gr)if(!o4e(rt,qr)&&hx(NN(qr,8576),Tn)){const zi=kb(qr),Fo=De||zi.flags&32768||Tn===Tt||!(qr.flags&16777216)?zi:hm(zi,524288),_a=br(Fo,St.type,3,Zt,void 0,Kn);if(!_a)return Zt&&_o(f.Property_0_is_incompatible_with_index_signature,bi(qr)),0;Rr&=_a}for(const qr of yd(rt))if(hx(qr.keyType,Tn)){const zi=ks(qr,St,Zt,Kn);if(!zi)return 0;Rr&=zi}return Rr}function ks(rt,St,Zt,Kn){const Rr=br(rt.type,St.type,3,Zt,void 0,Kn);return!Rr&&Zt&&(rt.keyType===St.keyType?_o(f._0_index_signatures_are_incompatible,Un(rt.keyType)):_o(f._0_and_1_index_signatures_are_incompatible,Un(rt.keyType),Un(St.keyType))),Rr}function Ns(rt,St,Zt,Kn,Rr){if(c===ap)return Ks(rt,St);const Tn=yd(St),gr=bt(Tn,zi=>zi.keyType===ke);let qr=-1;for(const zi of Tn){const Fo=c!==Wf&&!Zt&&gr&&zi.type.flags&1?-1:Kd(rt)&&gr?br(mv(rt),zi.type,3,Kn):Du(rt,zi,Kn,Rr);if(!Fo)return 0;qr&=Fo}return qr}function Du(rt,St,Zt,Kn){const Rr=D8(rt,St.keyType);return Rr?ks(Rr,St,Zt,Kn):!(Kn&1)&&(c!==Wf||Wr(rt)&8192)&&Ree(rt)?Ec(rt,St,Zt,Kn):(Zt&&_o(f.Index_signature_for_type_0_is_missing_in_type_1,Un(St.keyType),Un(rt)),0)}function Ks(rt,St){const Zt=yd(rt),Kn=yd(St);if(Zt.length!==Kn.length)return 0;for(const Rr of Kn){const Tn=xg(rt,Rr.keyType);if(!(Tn&&br(Tn.type,Rr.type,3)&&Tn.isReadonly===Rr.isReadonly))return 0}return-1}function E_(rt,St,Zt){if(!rt.declaration||!St.declaration)return!0;const Kn=jA(rt.declaration,6),Rr=jA(St.declaration,6);return Rr===2||Rr===4&&Kn!==2||Rr!==4&&!Kn?!0:(Zt&&_o(f.Cannot_assign_a_0_constructor_type_to_a_1_constructor_type,GT(Kn),GT(Rr)),!1)}}function Dve(n){if(n.flags&16)return!1;if(n.flags&3145728)return!!Ue(n.types,Dve);if(n.flags&465829888){const a=HT(n);if(a&&a!==n)return Dve(a)}return v_(n)||!!(n.flags&134217728)||!!(n.flags&268435456)}function a4e(n,a){return Ba(n)&&Ba(a)?et:gs(a).filter(c=>_ee(Xe(n,c.escapedName),dr(c)))}function _ee(n,a){return!!n&&!!a&&Cl(n,32768)&&!!U8(a)}function Pdt(n){return gs(n).filter(a=>U8(dr(a)))}function s4e(n,a,c=Tve){return I7e(n,a,c)||Fbt(n,a)||zbt(n,a)||Bbt(n,a)||Gbt(n,a)}function Nve(n,a,c){const d=n.types,_=d.map(x=>x.flags&402784252?0:-1);for(const[x,k]of a){let z=!1;for(let H=0;H<d.length;H++)if(_[H]){const re=xt(d[H],k);re&&c(x(),re)?z=!0:_[H]=3}for(let H=0;H<d.length;H++)_[H]===3&&(_[H]=z?0:-1)}const y=Nr(_,0)?ti(d.filter((x,k)=>_[k]),0):n;return y.flags&131072?n:y}function l4e(n){if(n.flags&524288){const a=__(n);return a.callSignatures.length===0&&a.constructSignatures.length===0&&a.indexInfos.length===0&&a.properties.length>0&&Zn(a.properties,c=>!!(c.flags&16777216))}return n.flags&2097152?Zn(n.types,l4e):!1}function Mdt(n,a,c){for(const d of gs(n))if(xye(a,d.escapedName,c))return!0;return!1}function Cve(n){return n===Qo||n===na||n.objectFlags&8?Z:u4e(n.symbol,n.typeParameters)}function c4e(n){return u4e(n,Xi(n).typeParameters)}function u4e(n,a=et){var c,d;const _=Xi(n);if(!_.variances){(c=sr)==null||c.push(sr.Phase.CheckTypes,"getVariancesWorker",{arity:a.length,id:bd(el(n))});const y=U0;U0||(U0=!0,JI=mu.length),_.variances=et;const x=[];for(const k of a){const z=Pve(k);let H=z&16384?z&8192?0:1:z&8192?2:void 0;if(H===void 0){let re=!1,be=!1;const ge=pa;pa=Dt=>Dt?be=!0:re=!0;const Ke=W9(n,k,Ip),gt=W9(n,k,Ms);H=(Ea(gt,Ke)?1:0)|(Ea(Ke,gt)?2:0),H===3&&Ea(W9(n,k,Rc),Ke)&&(H=4),pa=ge,(re||be)&&(re&&(H|=8),be&&(H|=16))}x.push(H)}y||(U0=!1,JI=0),_.variances=x,(d=sr)==null||d.pop({variances:x.map(N.formatVariance)})}return _.variances}function W9(n,a,c){const d=Y1(a,c),_=el(n);if(Kt(_))return _;const y=n.flags&524288?RN(n,yy(Xi(n).typeParameters,d)):hy(_,yy(_.typeParameters,d));return mt.add(bd(y)),y}function hee(n){return mt.has(bd(n))}function Pve(n){var a;return od((a=n.symbol)==null?void 0:a.declarations,(c,d)=>c|pd(d),0)&28672}function Ldt(n,a){for(let c=0;c<a.length;c++)if((a[c]&7)===1&&n[c].flags&16384)return!0;return!1}function kdt(n){return n.flags&262144&&!Ld(n)}function wdt(n){return!!(Wr(n)&4)&&!n.node}function gee(n){return wdt(n)&&bt(Js(n),a=>!!(a.flags&262144)||gee(a))}function Odt(n,a,c,d){const _=[];let y="";const x=z(n,0),k=z(a,0);return`${y}${x},${k}${c}`;function z(H,re=0){let be=""+H.target.id;for(const ge of Js(H)){if(ge.flags&262144){if(d||kdt(ge)){let Ke=_.indexOf(ge);Ke<0&&(Ke=_.length,_.push(ge)),be+="="+Ke;continue}y="*"}else if(re<4&&gee(ge)){be+="<"+z(ge,re+1)+">";continue}be+="-"+ge.id}return be}}function vee(n,a,c,d,_){if(d===ap&&n.id>a.id){const x=n;n=a,a=x}const y=c?":"+c:"";return gee(n)&&gee(a)?Odt(n,a,y,_):`${n.id},${a.id}${y}`}function F9(n,a){if(xl(n)&6){for(const c of n.links.containingType.types){const d=ya(c,n.escapedName),_=d&&F9(d,a);if(_)return _}return}return a(n)}function Sx(n){return n.parent&&n.parent.flags&32?el(Pd(n)):void 0}function yee(n){const a=Sx(n),c=a&&Mp(a)[0];return c&&Xe(c,n.escapedName)}function Wdt(n,a){return F9(n,c=>{const d=Sx(c);return d?EN(d,a):!1})}function Fdt(n,a){return!F9(a,c=>Df(c)&4?!Wdt(n,Sx(c)):!1)}function d4e(n,a,c){return F9(a,d=>Df(d,c)&4?!EN(n,Sx(d)):!1)?void 0:n}function X2(n,a,c,d=3){if(c>=d){if((Wr(n)&96)===96&&(n=p4e(n)),n.flags&2097152)return bt(n.types,k=>X2(k,a,c,d));const _=bee(n);let y=0,x=0;for(let k=0;k<c;k++){const z=a[k];if(f4e(z,_)){if(z.id>=x&&(y++,y>=d))return!0;x=z.id}}}return!1}function p4e(n){let a;for(;(Wr(n)&96)===96&&(a=UT(n))&&(a.symbol||a.flags&2097152&&bt(a.types,c=>!!c.symbol));)n=a;return n}function f4e(n,a){return(Wr(n)&96)===96&&(n=p4e(n)),n.flags&2097152?bt(n.types,c=>f4e(c,a)):bee(n)===a}function bee(n){if(n.flags&524288&&!Xve(n)){if(Wr(n)&4&&n.node)return n.node;if(n.symbol&&!(Wr(n)&16&&n.symbol.flags&32))return n.symbol;if(Ba(n))return n.target}if(n.flags&262144)return n.symbol;if(n.flags&8388608){do n=n.objectType;while(n.flags&8388608);return n}return n.flags&16777216?n.root:n}function zdt(n,a){return Mve(n,a,z8)!==0}function Mve(n,a,c){if(n===a)return-1;const d=Df(n)&6,_=Df(a)&6;if(d!==_)return 0;if(d){if(GN(n)!==GN(a))return 0}else if((n.flags&16777216)!==(a.flags&16777216))return 0;return b_(n)!==b_(a)?0:c(dr(n),dr(a))}function Bdt(n,a,c){const d=Yp(n),_=Yp(a),y=rh(n),x=rh(a),k=Hh(n),z=Hh(a);return!!(d===_&&y===x&&k===z||c&&y<=x)}function z9(n,a,c,d,_,y){if(n===a)return-1;if(!Bdt(n,a,c)||Me(n.typeParameters)!==Me(a.typeParameters))return 0;if(a.typeParameters){const z=kp(n.typeParameters,a.typeParameters);for(let H=0;H<a.typeParameters.length;H++){const re=n.typeParameters[H],be=a.typeParameters[H];if(!(re===be||y(so(U2(re),z)||_n,U2(be)||_n)&&y(so(JT(re),z)||_n,JT(be)||_n)))return 0}n=MN(n,z,!0)}let x=-1;if(!d){const z=pE(n);if(z){const H=pE(a);if(H){const re=y(z,H);if(!re)return 0;x&=re}}}const k=Yp(a);for(let z=0;z<k;z++){const H=y_(n,z),re=y_(a,z),be=y(re,H);if(!be)return 0;x&=be}if(!_){const z=jf(n),H=jf(a);x&=z||H?Gdt(z,H,y):y(ps(n),ps(a))}return x}function Gdt(n,a,c){return n&&a&&ave(n,a)?n.type===a.type?-1:n.type&&a.type?c(n.type,a.type):0:0}function Vdt(n){let a;for(const c of n)if(!(c.flags&131072)){const d=bv(c);if(a??(a=d),d===c||d!==a)return!1}return!0}function m4e(n){return od(n,(a,c)=>a|(c.flags&1048576?m4e(c.types):c.flags),0)}function jdt(n){if(n.length===1)return n[0];const a=Y?eo(n,d=>dc(d,_=>!(_.flags&98304))):n,c=Vdt(a)?ti(a):od(a,(d,_)=>jb(d,_)?_:d);return a===n?c:V9(c,m4e(n)&98304)}function Udt(n){return od(n,(a,c)=>jb(c,a)?c:a)}function qf(n){return!!(Wr(n)&4)&&(n.target===Qo||n.target===na)}function Y2(n){return!!(Wr(n)&4)&&n.target===na}function hE(n){return qf(n)||Ba(n)}function B9(n){return qf(n)&&!Y2(n)||Ba(n)&&!n.target.readonly}function G9(n){return qf(n)?Js(n)[0]:void 0}function by(n){return qf(n)||!(n.flags&98304)&&Ea(n,ff)}function Lve(n){return B9(n)||!(n.flags&98305)&&Ea(n,ec)}function kve(n){if(!(Wr(n)&4)||!(Wr(n.target)&3))return;if(Wr(n)&33554432)return Wr(n)&67108864?n.cachedEquivalentBaseType:void 0;n.objectFlags|=33554432;const a=n.target;if(Wr(a)&1){const _=Is(a);if(_&&_.expression.kind!==80&&_.expression.kind!==211)return}const c=Mp(a);if(c.length!==1||Ob(n.symbol).size)return;let d=Me(a.typeParameters)?so(c[0],kp(a.typeParameters,Js(n).slice(0,a.typeParameters.length))):c[0];return Me(Js(n))>Me(a.typeParameters)&&(d=Kp(d,Ya(Js(n)))),n.objectFlags|=67108864,n.cachedEquivalentBaseType=d}function _4e(n){return Y?n===Ci:n===Lt}function Eee(n){const a=G9(n);return!!a&&_4e(a)}function $2(n){let a;return Ba(n)||!!ya(n,"0")||by(n)&&!!(a=Xe(n,"length"))&&up(a,c=>!!(c.flags&256))}function See(n){return by(n)||$2(n)}function Hdt(n,a){const c=Xe(n,""+a);if(c)return c;if(up(n,Ba))return y4e(n,a,V.noUncheckedIndexedAccess?Oe:void 0)}function qdt(n){return!(n.flags&240544)}function v_(n){return!!(n.flags&109472)}function h4e(n){const a=_v(n);return a.flags&2097152?bt(a.types,v_):v_(a)}function Jdt(n){return n.flags&2097152&&An(n.types,v_)||n}function V8(n){return n.flags&16?!0:n.flags&1048576?n.flags&1024?!0:Zn(n.types,v_):v_(n)}function bv(n){return n.flags&1056?VZ(n):n.flags&402653312?ke:n.flags&256?Tt:n.flags&2048?kt:n.flags&512?Ni:n.flags&1048576?Kdt(n):n}function Kdt(n){const a=`B${bd(n)}`;return ex(a)??_b(a,pl(n,bv))}function wve(n){return n.flags&402653312?ke:n.flags&288?Tt:n.flags&2048?kt:n.flags&512?Ni:n.flags&1048576?pl(n,wve):n}function Q1(n){return n.flags&1056&&X1(n)?VZ(n):n.flags&128&&X1(n)?ke:n.flags&256&&X1(n)?Tt:n.flags&2048&&X1(n)?kt:n.flags&512&&X1(n)?Ni:n.flags&1048576?pl(n,Q1):n}function g4e(n){return n.flags&8192?Ai:n.flags&1048576?pl(n,g4e):n}function Ove(n,a){return pte(n,a)||(n=g4e(Q1(n))),Ed(n)}function Xdt(n,a,c){if(n&&v_(n)){const d=a?c?i6(a):a:void 0;n=Ove(n,d)}return n}function Wve(n,a,c,d){if(n&&v_(n)){const _=a?rS(c,a,d):void 0;n=Ove(n,_)}return n}function Ba(n){return!!(Wr(n)&4&&n.target.objectFlags&8)}function Hb(n){return Ba(n)&&!!(n.target.combinedFlags&8)}function v4e(n){return Hb(n)&&n.target.elementFlags.length===1}function Tee(n){return Q2(n,n.target.fixedLength)}function y4e(n,a,c){return pl(n,d=>{const _=d,y=Tee(_);return y?c&&a>=ive(_.target)?ti([y,c]):y:Oe})}function Ydt(n){const a=Tee(n);return a&&Vu(a)}function Q2(n,a,c=0,d=!1,_=!1){const y=gy(n)-c;if(a<y){const x=Js(n),k=[];for(let z=a;z<y;z++){const H=x[z];k.push(n.target.elementFlags[z]&8?Lp(H,Tt):H)}return d?ba(k):ti(k,_?0:1)}}function $dt(n,a){return gy(n)===gy(a)&&Zn(n.target.elementFlags,(c,d)=>(c&12)===(a.target.elementFlags[d]&12))}function b4e({value:n}){return n.base10Value==="0"}function E4e(n){return dc(n,a=>_m(a,4194304))}function Qdt(n){return pl(n,Zdt)}function Zdt(n){return n.flags&4?Zo:n.flags&8?Ka:n.flags&64?Zu:n===bn||n===en||n.flags&114691||n.flags&128&&n.value===""||n.flags&256&&n.value===0||n.flags&2048&&b4e(n)?n:jr}function V9(n,a){const c=a&~n.flags&98304;return c===0?n:ti(c===32768?[n,Oe]:c===65536?[n,me]:[n,Oe,me])}function qb(n,a=!1){N.assert(Y);const c=a?_e:Oe;return n===c||n.flags&1048576&&n.types[0]===c?n:ti([n,c])}function ept(n){return jd||(jd=q2("NonNullable",524288,void 0)||ft),jd!==ft?RN(jd,[n]):ba([n,La])}function Ev(n){return Y?iA(n,2097152):n}function S4e(n){return Y?ti([n,J]):n}function Aee(n){return Y?Oee(n,J):n}function Iee(n,a,c){return c?dC(a)?qb(n):S4e(n):n}function j8(n,a){return I4(a)?Ev(n):qu(a)?Aee(n):n}function Jb(n,a){return De&&a?Oee(n,L):n}function U8(n){return n===L||!!(n.flags&1048576)&&n.types[0]===L}function xee(n){return De?Oee(n,L):hm(n,524288)}function tpt(n,a){return(n.flags&524)!==0&&(a.flags&28)!==0}function Ree(n){const a=Wr(n);return n.flags&2097152?Zn(n.types,Ree):!!(n.symbol&&n.symbol.flags&7040&&!(n.symbol.flags&32)&&!Dte(n))||!!(a&4194304)||!!(a&1024&&Ree(n.source))}function tA(n,a){const c=Xa(n.flags,n.escapedName,xl(n)&8);c.declarations=n.declarations,c.parent=n.parent,c.links.type=a,c.links.target=n,n.valueDeclaration&&(c.valueDeclaration=n.valueDeclaration);const d=Xi(n).nameType;return d&&(c.links.nameType=d),c}function npt(n,a){const c=ua();for(const d of Wb(n)){const _=dr(d),y=a(_);c.set(d.escapedName,y===_?d:tA(d,y))}return c}function H8(n){if(!(yE(n)&&Wr(n)&8192))return n;const a=n.regularType;if(a)return a;const c=n,d=npt(n,H8),_=Ls(c.symbol,d,c.callSignatures,c.constructSignatures,c.indexInfos);return _.flags=c.flags,_.objectFlags|=c.objectFlags&-8193,n.regularType=_,_}function T4e(n,a,c){return{parent:n,propertyName:a,siblings:c,resolvedProperties:void 0}}function A4e(n){if(!n.siblings){const a=[];for(const c of A4e(n.parent))if(yE(c)){const d=uE(c,n.propertyName);d&&oA(dr(d),_=>{a.push(_)})}n.siblings=a}return n.siblings}function rpt(n){if(!n.resolvedProperties){const a=new Map;for(const c of A4e(n))if(yE(c)&&!(Wr(c)&2097152))for(const d of gs(c))a.set(d.escapedName,d);n.resolvedProperties=zo(a.values())}return n.resolvedProperties}function ipt(n,a){if(!(n.flags&4))return n;const c=dr(n),d=a&&T4e(a,n.escapedName,void 0),_=Fve(c,d);return _===c?n:tA(n,_)}function opt(n){const a=qe.get(n.escapedName);if(a)return a;const c=tA(n,_e);return c.flags|=16777216,qe.set(n.escapedName,c),c}function apt(n,a){const c=ua();for(const _ of Wb(n))c.set(_.escapedName,ipt(_,a));if(a)for(const _ of rpt(a))c.has(_.escapedName)||c.set(_.escapedName,opt(_));const d=Ls(n.symbol,c,et,et,eo(yd(n),_=>Vh(_.keyType,Xp(_.type),_.isReadonly)));return d.objectFlags|=Wr(n)&266240,d}function Xp(n){return Fve(n,void 0)}function Fve(n,a){if(Wr(n)&196608){if(a===void 0&&n.widened)return n.widened;let c;if(n.flags&98305)c=j;else if(yE(n))c=apt(n,a);else if(n.flags&1048576){const d=a||T4e(void 0,void 0,n.types),_=eo(n.types,y=>y.flags&98304?y:Fve(y,d));c=ti(_,bt(_,yv)?2:1)}else n.flags&2097152?c=ba(eo(n.types,Xp)):hE(n)&&(c=hy(n.target,eo(Js(n),Xp)));return c&&a===void 0&&(n.widened=c),c||n}return n}function Dee(n){let a=!1;if(Wr(n)&65536){if(n.flags&1048576)if(bt(n.types,yv))a=!0;else for(const c of n.types)Dee(c)&&(a=!0);if(hE(n))for(const c of Js(n))Dee(c)&&(a=!0);if(yE(n))for(const c of Wb(n)){const d=dr(c);Wr(d)&65536&&(Dee(d)||Je(c.valueDeclaration,f.Object_literal_s_property_0_implicitly_has_an_1_type,bi(c),Un(Xp(d))),a=!0)}}return a}function gE(n,a,c){const d=Un(Xp(a));if(lr(n)&&!Ak(jn(n),V))return;let _;switch(n.kind){case 226:case 172:case 171:_=pe?f.Member_0_implicitly_has_an_1_type:f.Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage;break;case 169:const y=n;if(Ve(y.name)){const x=u0(y.name);if((sI(y.parent)||bh(y.parent)||Eh(y.parent))&&y.parent.parameters.includes(y)&&(bl(y,y.name.escapedText,788968,void 0,y.name.escapedText,!0)||x&&CU(x))){const k="arg"+y.parent.parameters.indexOf(y),z=Rs(y.name)+(y.dotDotDotToken?"[]":"");_u(pe,n,f.Parameter_has_a_name_but_no_type_Did_you_mean_0_Colon_1,k,z);return}}_=n.dotDotDotToken?pe?f.Rest_parameter_0_implicitly_has_an_any_type:f.Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage:pe?f.Parameter_0_implicitly_has_an_1_type:f.Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage;break;case 208:if(_=f.Binding_element_0_implicitly_has_an_1_type,!pe)return;break;case 324:Je(n,f.Function_type_which_lacks_return_type_annotation_implicitly_has_an_0_return_type,d);return;case 330:pe&&ZR(n.parent)&&Je(n.parent.tagName,f.This_overload_implicitly_returns_the_type_0_because_it_lacks_a_return_type_annotation,d);return;case 262:case 174:case 173:case 177:case 178:case 218:case 219:if(pe&&!n.name){c===3?Je(n,f.Generator_implicitly_has_yield_type_0_because_it_does_not_yield_any_values_Consider_supplying_a_return_type_annotation,d):Je(n,f.Function_expression_which_lacks_return_type_annotation_implicitly_has_an_0_return_type,d);return}_=pe?c===3?f._0_which_lacks_return_type_annotation_implicitly_has_an_1_yield_type:f._0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type:f._0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage;break;case 200:pe&&Je(n,f.Mapped_object_type_implicitly_has_an_any_template_type);return;default:_=pe?f.Variable_0_implicitly_has_an_1_type:f.Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage}_u(pe,n,_,Rs(Mo(n)),d)}function Nee(n,a,c){r(()=>{pe&&Wr(a)&65536&&(!c||!bye(n))&&(Dee(a)||gE(n,a,c))})}function zve(n,a,c){const d=Yp(n),_=Yp(a),y=Z8(n),x=Z8(a),k=x?_-1:_,z=y?k:Math.min(d,k),H=pE(n);if(H){const re=pE(a);re&&c(H,re)}for(let re=0;re<z;re++)c(y_(n,re),y_(a,re));x&&c(fB(n,z,qT(x)&&!Um(x,Lve)),x)}function Bve(n,a,c){const d=jf(n),_=jf(a);d&&_&&ave(d,_)&&d.type&&_.type?c(d.type,_.type):c(ps(n),ps(a))}function q8(n,a,c,d){return Gve(n.map(jve),a,c,d||Tve)}function spt(n,a=0){return n&&Gve(wt(n.inferences,I4e),n.signature,n.flags|a,n.compareTypes)}function Gve(n,a,c,d){const _={inferences:n,signature:a,flags:c,compareTypes:d,mapper:As,nonFixingMapper:As};return _.mapper=lpt(_),_.nonFixingMapper=cpt(_),_}function lpt(n){return vve(wt(n.inferences,a=>a.typeParameter),wt(n.inferences,(a,c)=>()=>(a.isFixed||(upt(n),Cee(n.inferences),a.isFixed=!0),Yve(n,c))))}function cpt(n){return vve(wt(n.inferences,a=>a.typeParameter),wt(n.inferences,(a,c)=>()=>Yve(n,c)))}function Cee(n){for(const a of n)a.isFixed||(a.inferredType=void 0)}function Vve(n,a,c){(n.intraExpressionInferenceSites??(n.intraExpressionInferenceSites=[])).push({node:a,type:c})}function upt(n){if(n.intraExpressionInferenceSites){for(const{node:a,type:c}of n.intraExpressionInferenceSites){const d=a.kind===174?y3e(a,2):Yd(a,2);d&&Sv(n.inferences,c,d)}n.intraExpressionInferenceSites=void 0}}function jve(n){return{typeParameter:n,candidates:void 0,contraCandidates:void 0,inferredType:void 0,priority:void 0,topLevel:!0,isFixed:!1,impliedArity:void 0}}function I4e(n){return{typeParameter:n.typeParameter,candidates:n.candidates&&n.candidates.slice(),contraCandidates:n.contraCandidates&&n.contraCandidates.slice(),inferredType:n.inferredType,priority:n.priority,topLevel:n.topLevel,isFixed:n.isFixed,impliedArity:n.impliedArity}}function dpt(n){const a=nr(n.inferences,zN);return a.length?Gve(wt(a,I4e),n.signature,n.flags,n.compareTypes):void 0}function Uve(n){return n&&n.mapper}function vE(n){const a=Wr(n);if(a&524288)return!!(a&1048576);const c=!!(n.flags&465829888||n.flags&524288&&!x4e(n)&&(a&4&&(n.node||bt(Js(n),vE))||a&16&&n.symbol&&n.symbol.flags&14384&&n.symbol.declarations||a&12583968)||n.flags&3145728&&!(n.flags&1024)&&!x4e(n)&&bt(n.types,vE));return n.flags&3899393&&(n.objectFlags|=524288|(c?1048576:0)),c}function x4e(n){if(n.aliasSymbol&&!n.aliasTypeArguments){const a=fl(n.aliasSymbol,265);return!!(a&&Gn(a.parent,c=>c.kind===312?!0:c.kind===267?!1:"quit"))}return!1}function J8(n,a,c=0){return!!(n===a||n.flags&3145728&&bt(n.types,d=>J8(d,a,c))||c<3&&n.flags&16777216&&(J8(fE(n),a,c+1)||J8(mE(n),a,c+1)))}function ppt(n,a){const c=jf(n);return c?!!c.type&&J8(c.type,a):J8(ps(n),a)}function fpt(n){const a=ua();oA(n,d=>{if(!(d.flags&128))return;const _=hl(d.value),y=Xa(4,_);y.links.type=j,d.symbol&&(y.declarations=d.symbol.declarations,y.valueDeclaration=d.symbol.valueDeclaration),a.set(_,y)});const c=n.flags&4?[Vh(ke,La,!1)]:et;return Ls(void 0,a,et,et,c)}function R4e(n,a,c){const d=n.id+","+a.id+","+c.id;if(qc.has(d))return qc.get(d);const _=n.id+","+(a.target||a).id;if(Nr(op,_))return;op.push(_);const y=mpt(n,a,c);return op.pop(),qc.set(d,y),y}function Hve(n){return!(Wr(n)&262144)||yE(n)&&bt(gs(n),a=>Hve(dr(a)))||Ba(n)&&bt(J1(n),Hve)}function mpt(n,a,c){if(!(xg(n,ke)||gs(n).length!==0&&Hve(n)))return;if(qf(n))return Vu(Pee(Js(n)[0],a,c),Y2(n));if(Ba(n)){const _=wt(J1(n),x=>Pee(x,a,c)),y=Bh(a)&4?eo(n.target.elementFlags,x=>x&2?1:x):n.target.elementFlags;return jh(_,y,n.target.readonly,n.target.labeledElementDeclarations)}const d=zf(1040,void 0);return d.source=n,d.mappedType=a,d.constraintType=c,d}function _pt(n){const a=Xi(n);return a.type||(a.type=Pee(n.links.propertyType,n.links.mappedType,n.links.constraintType)),a.type}function Pee(n,a,c){const d=Lp(c.type,m_(a)),_=mv(a),y=jve(d);return Sv([y],n,_),D4e(y)||_n}function*qve(n,a,c,d){const _=gs(a);for(const y of _)if(!g8e(y)&&(c||!(y.flags&16777216||xl(y)&48))){const x=ya(n,y.escapedName);if(!x)yield y;else if(d){const k=dr(y);if(k.flags&109472){const z=dr(x);z.flags&1||Ed(z)===Ed(k)||(yield y)}}}}function Jve(n,a,c,d){return L6(qve(n,a,c,d))}function hpt(n,a){return!(a.target.combinedFlags&8)&&a.target.minLength>n.target.minLength||!a.target.hasRestElement&&(n.target.hasRestElement||a.target.fixedLength<n.target.fixedLength)}function gpt(n,a){return Ba(n)&&Ba(a)?hpt(n,a):!!Jve(n,a,!1,!0)&&!!Jve(a,n,!1,!1)}function D4e(n){return n.candidates?ti(n.candidates,2):n.contraCandidates?ba(n.contraCandidates):void 0}function Kve(n){return!!ei(n).skipDirectInference}function N4e(n){return!!(n.symbol&&bt(n.symbol.declarations,Kve))}function vpt(n,a){const c=n.texts[0],d=a.texts[0],_=n.texts[n.texts.length-1],y=a.texts[a.texts.length-1],x=Math.min(c.length,d.length),k=Math.min(_.length,y.length);return c.slice(0,x)!==d.slice(0,x)||_.slice(_.length-k)!==y.slice(y.length-k)}function C4e(n,a){if(n==="")return!1;const c=+n;return isFinite(c)&&(!a||""+c===n)}function ypt(n){return see(jU(n))}function Mee(n,a){if(a.flags&1)return!0;if(a.flags&134217732)return Ea(n,a);if(a.flags&268435456){const c=[];for(;a.flags&268435456;)c.unshift(a.symbol),a=a.type;return od(c,(_,y)=>yx(y,_),n)===n&&Mee(n,a)}return!1}function P4e(n,a){if(a.flags&2097152)return Zn(a.types,c=>c===lc||P4e(n,c));if(a.flags&4||Ea(n,a))return!0;if(n.flags&128){const c=n.value;return!!(a.flags&8&&C4e(c,!1)||a.flags&64&&rW(c,!1)||a.flags&98816&&c===a.intrinsicName||a.flags&268435456&&Mee(Xd(c),a)||a.flags&134217728&&Lee(n,a))}if(n.flags&134217728){const c=n.texts;return c.length===2&&c[0]===""&&c[1]===""&&Ea(n.types[0],a)}return!1}function M4e(n,a){return n.flags&128?L4e([n.value],et,a):n.flags&134217728?Ca(n.texts,a.texts)?wt(n.types,bpt):L4e(n.texts,n.types,a):void 0}function Lee(n,a){const c=M4e(n,a);return!!c&&Zn(c,(d,_)=>P4e(d,a.types[_]))}function bpt(n){return n.flags&402653317?n:XT(["",""],[n])}function L4e(n,a,c){const d=n.length-1,_=n[0],y=n[d],x=c.texts,k=x.length-1,z=x[0],H=x[k];if(d===0&&_.length<z.length+H.length||!_.startsWith(z)||!y.endsWith(H))return;const re=y.slice(0,y.length-H.length),be=[];let ge=0,Ke=z.length;for(let an=1;an<k;an++){const cn=x[an];if(cn.length>0){let ar=ge,On=Ke;for(;On=gt(ar).indexOf(cn,On),!(On>=0);){if(ar++,ar===n.length)return;On=0}Dt(ar,On),Ke+=cn.length}else if(Ke<gt(ge).length)Dt(ge,Ke+1);else if(ge<d)Dt(ge+1,0);else return}return Dt(d,gt(d).length),be;function gt(an){return an<d?n[an]:re}function Dt(an,cn){const ar=an===ge?Xd(gt(an).slice(Ke,cn)):XT([n[ge].slice(Ke),...n.slice(ge+1,an),gt(an).slice(0,cn)],a.slice(ge,an));be.push(ar),ge=an,Ke=cn}}function Sv(n,a,c,d=0,_=!1){let y=!1,x,k=2048,z,H,re,be=0;ge(a,c);function ge(wn,Qn){if(!(!vE(Qn)||H2(Qn))){if(wn===Rt||wn===Ye){const oo=x;x=wn,ge(Qn,Qn),x=oo;return}if(wn.aliasSymbol&&wn.aliasSymbol===Qn.aliasSymbol){if(wn.aliasTypeArguments){const oo=Xi(wn.aliasSymbol).typeParameters,br=Gh(oo),Co=Fb(wn.aliasTypeArguments,oo,br,lr(wn.aliasSymbol.valueDeclaration)),ss=Fb(Qn.aliasTypeArguments,oo,br,lr(wn.aliasSymbol.valueDeclaration));ar(Co,ss,c4e(wn.aliasSymbol))}return}if(wn===Qn&&wn.flags&3145728){for(const oo of wn.types)ge(oo,oo);return}if(Qn.flags&1048576){const[oo,br]=cn(wn.flags&1048576?wn.types:[wn],Qn.types,Ept),[Co,ss]=cn(oo,br,Spt);if(ss.length===0)return;if(Qn=ti(ss),Co.length===0){Ke(wn,Qn,1);return}wn=ti(Co)}else if(Qn.flags&2097152&&!Zn(Qn.types,oee)&&!(wn.flags&1048576)){const[oo,br]=cn(wn.flags&2097152?wn.types:[wn],Qn.types,vv);if(oo.length===0||br.length===0)return;wn=ba(oo),Qn=ba(br)}if(Qn.flags&41943040){if(H2(Qn))return;Qn=Gb(Qn)}if(Qn.flags&8650752){if(N4e(wn))return;const oo=zn(Qn);if(oo){if(Wr(wn)&262144||wn===vn)return;if(!oo.isFixed){const Co=x||wn;if(Co===Ye)return;(oo.priority===void 0||d<oo.priority)&&(oo.candidates=void 0,oo.contraCandidates=void 0,oo.topLevel=!0,oo.priority=d),d===oo.priority&&(_&&!y?Nr(oo.contraCandidates,Co)||(oo.contraCandidates=xn(oo.contraCandidates,Co),Cee(n)):Nr(oo.candidates,Co)||(oo.candidates=xn(oo.candidates,Co),Cee(n))),!(d&128)&&Qn.flags&262144&&oo.topLevel&&!J8(c,Qn)&&(oo.topLevel=!1,Cee(n))}k=Math.min(k,d);return}const br=gv(Qn,!1);if(br!==Qn)ge(wn,br);else if(Qn.flags&8388608){const Co=gv(Qn.indexType,!1);if(Co.flags&465829888){const ss=L6e(gv(Qn.objectType,!1),Co,!1);ss&&ss!==Qn&&ge(wn,ss)}}}if(Wr(wn)&4&&Wr(Qn)&4&&(wn.target===Qn.target||qf(wn)&&qf(Qn))&&!(wn.node&&Qn.node))ar(Js(wn),Js(Qn),Cve(wn.target));else if(wn.flags&4194304&&Qn.flags&4194304)On(wn.type,Qn.type);else if((V8(wn)||wn.flags&4)&&Qn.flags&4194304){const oo=fpt(wn);gt(oo,Qn.type,256)}else if(wn.flags&8388608&&Qn.flags&8388608)ge(wn.objectType,Qn.objectType),ge(wn.indexType,Qn.indexType);else if(wn.flags&268435456&&Qn.flags&268435456)wn.symbol===Qn.symbol&&ge(wn.type,Qn.type);else if(wn.flags&33554432)ge(wn.baseType,Qn),Ke(qge(wn),Qn,4);else if(Qn.flags&16777216)an(wn,Qn,tr);else if(Qn.flags&3145728)er(wn,Qn.types,Qn.flags);else if(wn.flags&1048576){const oo=wn.types;for(const br of oo)ge(br,Qn)}else if(Qn.flags&134217728)Ro(wn,Qn);else{if(wn=h_(wn),Kd(wn)&&Kd(Qn)&&an(wn,Qn,Eo),!(d&512&&wn.flags&467927040)){const oo=kd(wn);if(oo!==wn&&!(oo.flags&2621440))return ge(oo,Qn);wn=oo}wn.flags&2621440&&an(wn,Qn,Bo)}}}function Ke(wn,Qn,oo){const br=d;d|=oo,ge(wn,Qn),d=br}function gt(wn,Qn,oo){const br=d;d|=oo,On(wn,Qn),d=br}function Dt(wn,Qn,oo,br){const Co=d;d|=br,er(wn,Qn,oo),d=Co}function an(wn,Qn,oo){const br=wn.id+","+Qn.id,Co=z&&z.get(br);if(Co!==void 0){k=Math.min(k,Co);return}(z||(z=new Map)).set(br,-1);const ss=k;k=2048;const Ts=be;(H??(H=[])).push(wn),(re??(re=[])).push(Qn),X2(wn,H,H.length,2)&&(be|=1),X2(Qn,re,re.length,2)&&(be|=2),be!==3?oo(wn,Qn):k=-1,re.pop(),H.pop(),be=Ts,z.set(br,k),k=Math.min(k,ss)}function cn(wn,Qn,oo){let br,Co;for(const ss of Qn)for(const Ts of wn)oo(Ts,ss)&&(ge(Ts,ss),br=Mg(br,Ts),Co=Mg(Co,ss));return[br?nr(wn,ss=>!Nr(br,ss)):wn,Co?nr(Qn,ss=>!Nr(Co,ss)):Qn]}function ar(wn,Qn,oo){const br=wn.length<Qn.length?wn.length:Qn.length;for(let Co=0;Co<br;Co++)Co<oo.length&&(oo[Co]&7)===2?On(wn[Co],Qn[Co]):ge(wn[Co],Qn[Co])}function On(wn,Qn){_=!_,ge(wn,Qn),_=!_}function ln(wn,Qn){oe||d&1024?On(wn,Qn):ge(wn,Qn)}function zn(wn){if(wn.flags&8650752){for(const Qn of n)if(wn===Qn.typeParameter)return Qn}}function Fn(wn){let Qn;for(const oo of wn){const br=oo.flags&2097152&&An(oo.types,Co=>!!zn(Co));if(!br||Qn&&br!==Qn)return;Qn=br}return Qn}function er(wn,Qn,oo){let br=0;if(oo&1048576){let Co;const ss=wn.flags&1048576?wn.types:[wn],Ts=new Array(ss.length);let ju=!1;for(const Ga of Qn)if(zn(Ga))Co=Ga,br++;else for(let wd=0;wd<ss.length;wd++){const Wl=k;k=2048,ge(ss[wd],Ga),k===d&&(Ts[wd]=!0),ju=ju||k===-1,k=Math.min(k,Wl)}if(br===0){const Ga=Fn(Qn);Ga&&Ke(wn,Ga,1);return}if(br===1&&!ju){const Ga=Pi(ss,(wd,Wl)=>Ts[Wl]?void 0:wd);if(Ga.length){ge(ti(Ga),Co);return}}}else for(const Co of Qn)zn(Co)?br++:ge(wn,Co);if(oo&2097152?br===1:br>0)for(const Co of Qn)zn(Co)&&Ke(wn,Co,1)}function qn(wn,Qn,oo){if(oo.flags&1048576||oo.flags&2097152){let br=!1;for(const Co of oo.types)br=qn(wn,Qn,Co)||br;return br}if(oo.flags&4194304){const br=zn(oo.type);if(br&&!br.isFixed&&!N4e(wn)){const Co=R4e(wn,Qn,oo);Co&&Ke(Co,br.typeParameter,Wr(wn)&262144?16:8)}return!0}if(oo.flags&262144){Ke(Q_(wn,wn.pattern?2:0),oo,32);const br=HT(oo);if(br&&qn(wn,Qn,br))return!0;const Co=wt(gs(wn),dr),ss=wt(yd(wn),Ts=>Ts!==Ur?Ts.type:jr);return ge(ti(So(Co,ss)),mv(Qn)),!0}return!1}function tr(wn,Qn){if(wn.flags&16777216)ge(wn.checkType,Qn.checkType),ge(wn.extendsType,Qn.extendsType),ge(fE(wn),fE(Qn)),ge(mE(wn),mE(Qn));else{const oo=[fE(Qn),mE(Qn)];Dt(wn,oo,Qn.flags,_?64:0)}}function Ro(wn,Qn){const oo=M4e(wn,Qn),br=Qn.types;if(oo||Zn(Qn.texts,Co=>Co.length===0))for(let Co=0;Co<br.length;Co++){const ss=oo?oo[Co]:jr,Ts=br[Co];if(ss.flags&128&&Ts.flags&8650752){const ju=zn(Ts),Ga=ju?Gu(ju.typeParameter):void 0;if(Ga&&!Nt(Ga)){const wd=Ga.flags&1048576?Ga.types:[Ga];let Wl=od(wd,(El,ut)=>El|ut.flags,0);if(!(Wl&4)){const El=ss.value;Wl&296&&!C4e(El,!0)&&(Wl&=-297),Wl&2112&&!rW(El,!0)&&(Wl&=-2113);const ut=od(wd,(Ae,Ln)=>Ln.flags&Wl?Ae.flags&4?Ae:Ln.flags&4?ss:Ae.flags&134217728?Ae:Ln.flags&134217728&&Lee(ss,Ln)?ss:Ae.flags&268435456?Ae:Ln.flags&268435456&&El===N6e(Ln.symbol,El)?ss:Ae.flags&128?Ae:Ln.flags&128&&Ln.value===El?Ln:Ae.flags&8?Ae:Ln.flags&8?g_(+El):Ae.flags&32?Ae:Ln.flags&32?g_(+El):Ae.flags&256?Ae:Ln.flags&256&&Ln.value===+El?Ln:Ae.flags&64?Ae:Ln.flags&64?ypt(El):Ae.flags&2048?Ae:Ln.flags&2048&&$E(Ln.value)===El?Ln:Ae.flags&16?Ae:Ln.flags&16?El==="true"?Bn:El==="false"?en:Ni:Ae.flags&512?Ae:Ln.flags&512&&Ln.intrinsicName===El?Ln:Ae.flags&32768?Ae:Ln.flags&32768&&Ln.intrinsicName===El?Ln:Ae.flags&65536?Ae:Ln.flags&65536&&Ln.intrinsicName===El?Ln:Ae:Ae,jr);if(!(ut.flags&131072)){ge(ut,Ts);continue}}}}ge(ss,Ts)}}function Eo(wn,Qn){ge(Ef(wn),Ef(Qn)),ge(mv(wn),mv(Qn));const oo=_y(wn),br=_y(Qn);oo&&br&&ge(oo,br)}function Bo(wn,Qn){var oo,br;if(Wr(wn)&4&&Wr(Qn)&4&&(wn.target===Qn.target||qf(wn)&&qf(Qn))){ar(Js(wn),Js(Qn),Cve(wn.target));return}if(Kd(wn)&&Kd(Qn)&&Eo(wn,Qn),Wr(Qn)&32&&!Qn.declaration.nameType){const Co=Ef(Qn);if(qn(wn,Qn,Co))return}if(!gpt(wn,Qn)){if(hE(wn)){if(Ba(Qn)){const Co=gy(wn),ss=gy(Qn),Ts=Js(Qn),ju=Qn.target.elementFlags;if(Ba(wn)&&$dt(wn,Qn)){for(let Wl=0;Wl<ss;Wl++)ge(Js(wn)[Wl],Ts[Wl]);return}const Ga=Ba(wn)?Math.min(wn.target.fixedLength,Qn.target.fixedLength):0,wd=Math.min(Ba(wn)?L8(wn.target,3):0,Qn.target.hasRestElement?L8(Qn.target,3):0);for(let Wl=0;Wl<Ga;Wl++)ge(Js(wn)[Wl],Ts[Wl]);if(!Ba(wn)||Co-Ga-wd===1&&wn.target.elementFlags[Ga]&4){const Wl=Js(wn)[Ga];for(let El=Ga;El<ss-wd;El++)ge(ju[El]&8?Vu(Wl):Wl,Ts[El])}else{const Wl=ss-Ga-wd;if(Wl===2){if(ju[Ga]&ju[Ga+1]&8){const El=zn(Ts[Ga]);El&&El.impliedArity!==void 0&&(ge(J2(wn,Ga,wd+Co-El.impliedArity),Ts[Ga]),ge(J2(wn,Ga+El.impliedArity,wd),Ts[Ga+1]))}else if(ju[Ga]&8&&ju[Ga+1]&4){const El=(oo=zn(Ts[Ga]))==null?void 0:oo.typeParameter,ut=El&&Gu(El);if(ut&&Ba(ut)&&!ut.target.hasRestElement){const Ae=ut.target.fixedLength;ge(J2(wn,Ga,Co-(Ga+Ae)),Ts[Ga]),ge(Q2(wn,Ga+Ae,wd),Ts[Ga+1])}}else if(ju[Ga]&4&&ju[Ga+1]&8){const El=(br=zn(Ts[Ga+1]))==null?void 0:br.typeParameter,ut=El&&Gu(El);if(ut&&Ba(ut)&&!ut.target.hasRestElement){const Ae=ut.target.fixedLength,Ln=Co-L8(Qn.target,3),Mr=Ln-Ae,di=jh(Js(wn).slice(Mr,Ln),wn.target.elementFlags.slice(Mr,Ln),!1,wn.target.labeledElementDeclarations&&wn.target.labeledElementDeclarations.slice(Mr,Ln));ge(Q2(wn,Ga,wd+Ae),Ts[Ga]),ge(di,Ts[Ga+1])}}}else if(Wl===1&&ju[Ga]&8){const El=Qn.target.elementFlags[ss-1]&2,ut=J2(wn,Ga,wd);Ke(ut,Ts[Ga],El?2:0)}else if(Wl===1&&ju[Ga]&4){const El=Q2(wn,Ga,wd);El&&ge(El,Ts[Ga])}}for(let Wl=0;Wl<wd;Wl++)ge(Js(wn)[Co-Wl-1],Ts[ss-Wl-1]);return}if(qf(Qn)){dp(wn,Qn);return}}_o(wn,Qn),Cc(wn,Qn,0),Cc(wn,Qn,1),dp(wn,Qn)}}function _o(wn,Qn){const oo=Wb(Qn);for(const br of oo){const Co=ya(wn,br.escapedName);Co&&!bt(Co.declarations,Kve)&&ge(dr(Co),dr(br))}}function Cc(wn,Qn,oo){const br=Yo(wn,oo),Co=br.length;if(Co>0){const ss=Yo(Qn,oo),Ts=ss.length;for(let ju=0;ju<Ts;ju++){const Ga=Math.max(Co-Ts+ju,0);Jf(Dct(br[Ga]),P8(ss[ju]))}}}function Jf(wn,Qn){if(!(wn.flags&64)){const oo=y,br=Qn.declaration?Qn.declaration.kind:0;y=y||br===174||br===173||br===176,zve(wn,Qn,ln),y=oo}Bve(wn,Qn,ge)}function dp(wn,Qn){const oo=Wr(wn)&Wr(Qn)&32?8:0,br=yd(Qn);if(Ree(wn))for(const Co of br){const ss=[];for(const Ts of gs(wn))if(hx(NN(Ts,8576),Co.keyType)){const ju=dr(Ts);ss.push(Ts.flags&16777216?xee(ju):ju)}for(const Ts of yd(wn))hx(Ts.keyType,Co.keyType)&&ss.push(Ts.type);ss.length&&Ke(ti(ss),Co.type,oo)}for(const Co of br){const ss=D8(wn,Co.keyType);ss&&Ke(ss.type,Co.type,oo)}}}function Ept(n,a){return a===L?n===a:vv(n,a)||!!(a.flags&4&&n.flags&128||a.flags&8&&n.flags&256)}function Spt(n,a){return!!(n.flags&524288&&a.flags&524288&&n.symbol&&n.symbol===a.symbol||n.aliasSymbol&&n.aliasTypeArguments&&n.aliasSymbol===a.aliasSymbol)}function Tpt(n){const a=Ld(n);return!!a&&Cl(a.flags&16777216?xge(a):a,406978556)}function yE(n){return!!(Wr(n)&128)}function Xve(n){return!!(Wr(n)&16512)}function Apt(n){if(n.length>1){const a=nr(n,Xve);if(a.length){const c=ti(a,2);return So(nr(n,d=>!Xve(d)),[c])}}return n}function Ipt(n){return n.priority&416?ba(n.contraCandidates):Udt(n.contraCandidates)}function xpt(n,a){const c=Apt(n.candidates),d=Tpt(n.typeParameter)||qT(n.typeParameter),_=!d&&n.topLevel&&(n.isFixed||!ppt(a,n.typeParameter)),y=d?eo(c,Ed):_?eo(c,Q1):c,x=n.priority&416?ti(y,2):jdt(y);return Xp(x)}function Yve(n,a){const c=n.inferences[a];if(!c.inferredType){let d,_;if(n.signature){const x=c.candidates?xpt(c,n.signature):void 0,k=c.contraCandidates?Ipt(c):void 0;if(x||k){const z=x&&(!k||!(x.flags&131072)&&bt(c.contraCandidates,H=>jb(x,H))&&Zn(n.inferences,H=>H!==c&&Ld(H.typeParameter)!==c.typeParameter||Zn(H.candidates,re=>jb(re,x))));d=z?x:k,_=z?k:x}else if(n.flags&1)d=vo;else{const z=JT(c.typeParameter);z&&(d=so(z,rdt(ndt(n,a),n.nonFixingMapper)))}}else d=D4e(c);c.inferredType=d||$ve(!!(n.flags&2));const y=Ld(c.typeParameter);if(y){const x=so(y,n.nonFixingMapper);(!d||!n.compareTypes(d,Kp(x,d)))&&(c.inferredType=_&&n.compareTypes(_,Kp(x,_))?_:x)}}return c.inferredType}function $ve(n){return n?j:_n}function Qve(n){const a=[];for(let c=0;c<n.inferences.length;c++)a.push(Yve(n,c));return a}function k4e(n){switch(n.escapedText){case"document":case"console":return f.Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_include_dom;case"$":return V.types?f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery_and_then_add_jquery_to_the_types_field_in_your_tsconfig:f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery;case"describe":case"suite":case"it":case"test":return V.types?f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha_and_then_add_jest_or_mocha_to_the_types_field_in_your_tsconfig:f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha;case"process":case"require":case"Buffer":case"module":return V.types?f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode_and_then_add_node_to_the_types_field_in_your_tsconfig:f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode;case"Bun":return V.types?f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun_and_then_add_bun_to_the_types_field_in_your_tsconfig:f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_Bun_Try_npm_i_save_dev_types_Slashbun;case"Map":case"Set":case"Promise":case"Symbol":case"WeakMap":case"WeakSet":case"Iterator":case"AsyncIterator":case"SharedArrayBuffer":case"Atomics":case"AsyncIterable":case"AsyncIterableIterator":case"AsyncGenerator":case"AsyncGeneratorFunction":case"BigInt":case"Reflect":case"BigInt64Array":case"BigUint64Array":return f.Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_1_or_later;case"await":if(la(n.parent))return f.Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function;default:return n.parent.kind===304?f.No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer:f.Cannot_find_name_0}}function jm(n){const a=ei(n);return a.resolvedSymbol||(a.resolvedSymbol=!zl(n)&&bl(n,n.escapedText,1160127,k4e(n),n,!F3(n),!1)||ft),a.resolvedSymbol}function Zve(n){return!!(n.flags&33554432||Gn(n,a=>hd(a)||Nm(a)||Ep(a)))}function kee(n,a,c,d){switch(n.kind){case 80:if(!VA(n)){const x=jm(n);return x!==ft?`${d?as(d):"-1"}|${bd(a)}|${bd(c)}|${Ta(x)}`:void 0}case 110:return`0|${d?as(d):"-1"}|${bd(a)}|${bd(c)}`;case 235:case 217:return kee(n.expression,a,c,d);case 166:const _=kee(n.left,a,c,d);return _&&_+"."+n.right.escapedText;case 211:case 212:const y=nA(n);if(y!==void 0){const x=kee(n.expression,a,c,d);return x&&x+"."+y}break;case 206:case 207:case 262:case 218:case 219:case 174:return`${as(n)}#${bd(a)}`}}function Iu(n,a){switch(a.kind){case 217:case 235:return Iu(n,a.expression);case 226:return Lc(a)&&Iu(n,a.left)||mr(a)&&a.operatorToken.kind===28&&Iu(n,a.right)}switch(n.kind){case 236:return a.kind===236&&n.keywordToken===a.keywordToken&&n.name.escapedText===a.name.escapedText;case 80:case 81:return VA(n)?a.kind===110:a.kind===80&&jm(n)===jm(a)||(wi(a)||Qa(a))&&vf(jm(n))===Ir(a);case 110:return a.kind===110;case 108:return a.kind===108;case 235:case 217:return Iu(n.expression,a);case 211:case 212:const c=nA(n),d=ws(a)?nA(a):void 0;return c!==void 0&&d!==void 0&&d===c&&Iu(n.expression,a.expression);case 166:return ws(a)&&n.right.escapedText===nA(a)&&Iu(n.left,a.expression);case 226:return mr(n)&&n.operatorToken.kind===28&&Iu(n.right,a)}return!1}function nA(n){if(Fr(n))return n.name.escapedText;if(Qs(n))return Rpt(n);if(Qa(n)){const a=es(n);return a?hl(a):void 0}if(Ao(n))return""+n.parent.parameters.indexOf(n)}function eye(n){return n.flags&8192?n.escapedName:n.flags&384?hl(""+n.value):void 0}function Rpt(n){return nf(n.argumentExpression)?hl(n.argumentExpression.text):Gl(n.argumentExpression)?Dpt(n.argumentExpression):void 0}function Dpt(n){const a=Hs(n,111551,!0);if(!a||!(rM(a)||a.flags&8))return;const c=a.valueDeclaration;if(c===void 0)return;const d=ro(c);if(d){const _=eye(d);if(_!==void 0)return _}if(ES(c)&&iv(c,n)){const _=jL(c);if(_){const y=ta(c.parent)?$_(c):Ru(_);return y&&eye(y)}if(u1(c))return lR(c.name)}}function w4e(n,a){for(;ws(n);)if(n=n.expression,Iu(n,a))return!0;return!1}function rA(n,a){for(;qu(n);)if(n=n.expression,Iu(n,a))return!0;return!1}function Z2(n,a){if(n&&n.flags&1048576){const c=O8e(n,a);if(c&&xl(c)&2)return c.links.isDiscriminantProperty===void 0&&(c.links.isDiscriminantProperty=(c.links.checkFlags&192)===192&&!CN(dr(c))),!!c.links.isDiscriminantProperty}return!1}function O4e(n,a){let c;for(const d of n)if(Z2(a,d.escapedName)){if(c){c.push(d);continue}c=[d]}return c}function Npt(n,a){const c=new Map;let d=0;for(const _ of n)if(_.flags&61603840){const y=Xe(_,a);if(y){if(!V8(y))return;let x=!1;oA(y,k=>{const z=bd(Ed(k)),H=c.get(z);H?H!==_n&&(c.set(z,_n),x=!0):c.set(z,_)}),x||d++}}return d>=10&&d*2>=n.length?c:void 0}function j9(n){const a=n.types;if(!(a.length<10||Wr(n)&32768||au(a,c=>!!(c.flags&59506688))<10)){if(n.keyPropertyName===void 0){const c=Ue(a,_=>_.flags&59506688?Ue(gs(_),y=>v_(dr(y))?y.escapedName:void 0):void 0),d=c&&Npt(a,c);n.keyPropertyName=d?c:"",n.constituentMap=d}return n.keyPropertyName.length?n.keyPropertyName:void 0}}function U9(n,a){var c;const d=(c=n.constituentMap)==null?void 0:c.get(bd(Ed(a)));return d!==_n?d:void 0}function W4e(n,a){const c=j9(n),d=c&&Xe(a,c);return d&&U9(n,d)}function Cpt(n,a){const c=j9(n),d=c&&An(a.properties,y=>y.symbol&&y.kind===303&&y.symbol.escapedName===c&&Q9(y.initializer)),_=d&&bB(d.initializer);return _&&U9(n,_)}function F4e(n,a){return Iu(n,a)||w4e(n,a)}function z4e(n,a){if(n.arguments){for(const c of n.arguments)if(F4e(a,c)||rA(c,a))return!0}return!!(n.expression.kind===211&&F4e(a,n.expression.expression))}function tye(n){return(!n.id||n.id<0)&&(n.id=ble,ble++),n.id}function Ppt(n,a){if(!(n.flags&1048576))return Ea(n,a);for(const c of n.types)if(Ea(c,a))return!0;return!1}function Mpt(n,a){if(n===a)return n;if(a.flags&131072)return a;const c=`A${bd(n)},${bd(a)}`;return ex(c)??_b(c,Lpt(n,a))}function Lpt(n,a){const c=dc(n,_=>Ppt(a,_)),d=a.flags&512&&X1(a)?pl(c,Ex):c;return Ea(a,d)?d:n}function nye(n){const a=__(n);return!!(a.callSignatures.length||a.constructSignatures.length||a.members.get("bind")&&jb(n,Wt))}function eM(n,a){return rye(n,a)&a}function _m(n,a){return eM(n,a)!==0}function rye(n,a){n.flags&467927040&&(n=Gu(n)||_n);const c=n.flags;if(c&268435460)return Y?16317953:16776705;if(c&134217856){const d=c&128&&n.value==="";return Y?d?12123649:7929345:d?12582401:16776705}if(c&40)return Y?16317698:16776450;if(c&256){const d=n.value===0;return Y?d?12123394:7929090:d?12582146:16776450}if(c&64)return Y?16317188:16775940;if(c&2048){const d=b4e(n);return Y?d?12122884:7928580:d?12581636:16775940}return c&16?Y?16316168:16774920:c&528?Y?n===en||n===bn?12121864:7927560:n===en||n===bn?12580616:16774920:c&524288?a&(Y?83427327:83886079)?Wr(n)&16&&yv(n)?Y?83427327:83886079:nye(n)?Y?7880640:16728e3:Y?7888800:16736160:0:c&16384?9830144:c&32768?26607360:c&65536?42917664:c&12288?Y?7925520:16772880:c&67108864?Y?7888800:16736160:c&131072?0:c&1048576?od(n.types,(d,_)=>d|rye(_,a),0):c&2097152?kpt(n,a):83886079}function kpt(n,a){const c=Cl(n,402784252);let d=0,_=134217727;for(const y of n.types)if(!(c&&y.flags&524288)){const x=rye(y,a);d|=x,_&=x}return d&8256|_&134209471}function hm(n,a){return dc(n,c=>_m(c,a))}function iA(n,a){const c=B4e(hm(Y&&n.flags&2?ul:n,a));if(Y)switch(a){case 524288:return pl(c,d=>_m(d,65536)?ba([d,_m(d,131072)&&!Cl(c,65536)?ti([La,me]):La]):d);case 1048576:return pl(c,d=>_m(d,131072)?ba([d,_m(d,65536)&&!Cl(c,32768)?ti([La,Oe]):La]):d);case 2097152:case 4194304:return pl(c,d=>_m(d,262144)?ept(d):d)}return c}function B4e(n){return n===ul?_n:n}function iye(n,a){return a?ti([mi(n),Ru(a)]):n}function G4e(n,a){var c;const d=vy(a);if(!rm(d))return _t;const _=im(d);return Xe(n,_)||K8((c=gx(n,_))==null?void 0:c.type)||_t}function V4e(n,a){return up(n,$2)&&Hdt(n,a)||K8(Sy(65,n,Oe,void 0))||_t}function K8(n){return n&&(V.noUncheckedIndexedAccess?ti([n,L]):n)}function j4e(n){return Vu(Sy(65,n,Oe,void 0)||_t)}function wpt(n){return n.parent.kind===209&&oye(n.parent)||n.parent.kind===303&&oye(n.parent.parent)?iye(H9(n),n.right):Ru(n.right)}function oye(n){return n.parent.kind===226&&n.parent.left===n||n.parent.kind===250&&n.parent.initializer===n}function Opt(n,a){return V4e(H9(n),n.elements.indexOf(a))}function Wpt(n){return j4e(H9(n.parent))}function U4e(n){return G4e(H9(n.parent),n.name)}function Fpt(n){return iye(U4e(n),n.objectAssignmentInitializer)}function H9(n){const{parent:a}=n;switch(a.kind){case 249:return ke;case 250:return xB(a)||_t;case 226:return wpt(a);case 220:return Oe;case 209:return Opt(a,n);case 230:return Wpt(a);case 303:return U4e(a);case 304:return Fpt(a)}return _t}function zpt(n){const a=n.parent,c=q4e(a.parent),d=a.kind===206?G4e(c,n.propertyName||n.name):n.dotDotDotToken?j4e(c):V4e(c,a.elements.indexOf(n));return iye(d,n.initializer)}function H4e(n){return ei(n).resolvedType||Ru(n)}function Bpt(n){return n.initializer?H4e(n.initializer):n.parent.parent.kind===249?ke:n.parent.parent.kind===250&&xB(n.parent.parent)||_t}function q4e(n){return n.kind===260?Bpt(n):zpt(n)}function Gpt(n){return n.kind===260&&n.initializer&&Cb(n.initializer)||n.kind!==208&&n.parent.kind===226&&Cb(n.parent.right)}function Z1(n){switch(n.kind){case 217:return Z1(n.expression);case 226:switch(n.operatorToken.kind){case 64:case 76:case 77:case 78:return Z1(n.left);case 28:return Z1(n.right)}}return n}function J4e(n){const{parent:a}=n;return a.kind===217||a.kind===226&&a.operatorToken.kind===64&&a.left===n||a.kind===226&&a.operatorToken.kind===28&&a.right===n?J4e(a):n}function Vpt(n){return n.kind===296?Ed(Ru(n.expression)):jr}function wee(n){const a=ei(n);if(!a.switchTypes){a.switchTypes=[];for(const c of n.caseBlock.clauses)a.switchTypes.push(Vpt(c))}return a.switchTypes}function K4e(n){if(bt(n.caseBlock.clauses,c=>c.kind===296&&!ls(c.expression)))return;const a=[];for(const c of n.caseBlock.clauses){const d=c.kind===296?c.expression.text:void 0;a.push(d&&!Nr(a,d)?d:void 0)}return a}function jpt(n,a){return n.flags&1048576?!Ue(n.types,c=>!Nr(a,c)):Nr(a,n)}function tM(n,a){return!!(n===a||n.flags&131072||a.flags&1048576&&Upt(n,a))}function Upt(n,a){if(n.flags&1048576){for(const c of n.types)if(!hv(a.types,c))return!1;return!0}return n.flags&1056&&VZ(n)===a?!0:hv(a.types,n)}function oA(n,a){return n.flags&1048576?Ue(n.types,a):a(n)}function Um(n,a){return n.flags&1048576?bt(n.types,a):a(n)}function up(n,a){return n.flags&1048576?Zn(n.types,a):a(n)}function Hpt(n,a){return n.flags&3145728?Zn(n.types,a):a(n)}function dc(n,a){if(n.flags&1048576){const c=n.types,d=nr(c,a);if(d===c)return n;const _=n.origin;let y;if(_&&_.flags&1048576){const x=_.types,k=nr(x,z=>!!(z.flags&1048576)||a(z));if(x.length-k.length===c.length-d.length){if(k.length===1)return k[0];y=ove(1048576,k)}}return sve(d,n.objectFlags&16809984,void 0,void 0,y)}return n.flags&131072||a(n)?n:jr}function Oee(n,a){return dc(n,c=>c!==a)}function qpt(n){return n.flags&1048576?n.types.length:1}function pl(n,a,c){if(n.flags&131072)return n;if(!(n.flags&1048576))return a(n);const d=n.origin,_=d&&d.flags&1048576?d.types:n.types;let y,x=!1;for(const k of _){const z=k.flags&1048576?pl(k,a,c):a(k);x||(x=k!==z),z&&(y?y.push(z):y=[z])}return x?y&&ti(y,c?0:1):n}function X4e(n,a,c,d){return n.flags&1048576&&c?ti(wt(n.types,a),1,c,d):pl(n,a)}function nM(n,a){return dc(n,c=>(c.flags&a)!==0)}function Y4e(n,a){return Cl(n,134217804)&&Cl(a,402655616)?pl(n,c=>c.flags&4?nM(a,402653316):YT(c)&&!Cl(a,402653188)?nM(a,128):c.flags&8?nM(a,264):c.flags&64?nM(a,2112):c):n}function LN(n){return n.flags===0}function aA(n){return n.flags===0?n.type:n}function kN(n,a){return a?{flags:0,type:n.flags&131072?vo:n}:n}function Jpt(n){const a=zf(256);return a.elementType=n,a}function aye(n){return kn[n.id]||(kn[n.id]=Jpt(n))}function $4e(n,a){const c=H8(bv(bB(a)));return tM(c,n.elementType)?n:aye(ti([n.elementType,c]))}function Kpt(n){return n.flags&131072?Jc:Vu(n.flags&1048576?ti(n.types,2):n)}function Xpt(n){return n.finalArrayType||(n.finalArrayType=Kpt(n.elementType))}function q9(n){return Wr(n)&256?Xpt(n):n}function Ypt(n){return Wr(n)&256?n.elementType:jr}function $pt(n){let a=!1;for(const c of n)if(!(c.flags&131072)){if(!(Wr(c)&256))return!1;a=!0}return a}function Q4e(n){const a=J4e(n),c=a.parent,d=Fr(c)&&(c.name.escapedText==="length"||c.parent.kind===213&&Ve(c.name)&&iU(c.name)),_=c.kind===212&&c.expression===a&&c.parent.kind===226&&c.parent.operatorToken.kind===64&&c.parent.left===c&&!ig(c.parent)&&xu(Ru(c.argumentExpression),296);return d||_}function Qpt(n){return(wi(n)||Jo(n)||yp(n)||Ao(n))&&!!(yu(n)||lr(n)&&zy(n)&&n.initializer&&QE(n.initializer)&&nm(n.initializer))}function Wee(n,a){if(n=jl(n),n.flags&8752)return dr(n);if(n.flags&7){if(xl(n)&262144){const d=n.links.syntheticOrigin;if(d&&Wee(d))return dr(n)}const c=n.valueDeclaration;if(c){if(Qpt(c))return dr(n);if(wi(c)&&c.parent.parent.kind===250){const d=c.parent.parent,_=J9(d.expression,void 0);if(_){const y=d.awaitModifier?15:13;return Sy(y,_,Oe,void 0)}}a&&wa(a,wr(c,f._0_needs_an_explicit_type_annotation,bi(n)))}}}function J9(n,a){if(!(n.flags&67108864))switch(n.kind){case 80:const c=vf(jm(n));return Wee(c,a);case 110:return yft(n);case 108:return fye(n);case 211:{const d=J9(n.expression,a);if(d){const _=n.name;let y;if(Ji(_)){if(!d.symbol)return;y=ya(d,sk(d.symbol,_.escapedText))}else y=ya(d,_.escapedText);return y&&Wee(y,a)}return}case 217:return J9(n.expression,a)}}function K9(n){const a=ei(n);let c=a.effectsSignature;if(c===void 0){let d;if(mr(n)){const x=wN(n.right);d=ibe(x)}else n.parent.kind===244?d=J9(n.expression,void 0):n.expression.kind!==108&&(qu(n)?d=eh(j8(mo(n.expression),n.expression),n.expression):d=wN(n.expression));const _=Yo(d&&kd(d)||_n,0),y=_.length===1&&!_[0].typeParameters?_[0]:bt(_,Z4e)?WN(n):void 0;c=a.effectsSignature=y&&Z4e(y)?y:un}return c===un?void 0:c}function Z4e(n){return!!(jf(n)||n.declaration&&(IN(n.declaration)||_n).flags&131072)}function Zpt(n,a){if(n.kind===1||n.kind===3)return a.arguments[n.parameterIndex];const c=hs(a.expression);return ws(c)?hs(c.expression):void 0}function eft(n){const a=Gn(n,aj),c=jn(n),d=gh(c,a.statements.pos);ts.add(Qc(c,d.start,d.length,f.The_containing_function_or_module_body_is_too_large_for_control_flow_analysis))}function X9(n){const a=Fee(n,!1);return Mt=n,Ht=a,a}function Y9(n){const a=hs(n,!0);return a.kind===97||a.kind===226&&(a.operatorToken.kind===56&&(Y9(a.left)||Y9(a.right))||a.operatorToken.kind===57&&Y9(a.left)&&Y9(a.right))}function Fee(n,a){for(;;){if(n===Mt)return Ht;const c=n.flags;if(c&4096){if(!a){const d=tye(n),_=gT[d];return _!==void 0?_:gT[d]=Fee(n,!0)}a=!1}if(c&368)n=n.antecedent;else if(c&512){const d=K9(n.node);if(d){const _=jf(d);if(_&&_.kind===3&&!_.type){const y=n.node.arguments[_.parameterIndex];if(y&&Y9(y))return!1}if(ps(d).flags&131072)return!1}n=n.antecedent}else{if(c&4)return bt(n.antecedents,d=>Fee(d,!1));if(c&8){const d=n.antecedents;if(d===void 0||d.length===0)return!1;n=d[0]}else if(c&128){if(n.clauseStart===n.clauseEnd&&kWe(n.switchStatement))return!1;n=n.antecedent}else if(c&1024){Mt=void 0;const d=n.target,_=d.antecedents;d.antecedents=n.antecedents;const y=Fee(n.antecedent,!1);return d.antecedents=_,y}else return!(c&1)}}}function zee(n,a){for(;;){const c=n.flags;if(c&4096){if(!a){const d=tye(n),_=ZI[d];return _!==void 0?_:ZI[d]=zee(n,!0)}a=!1}if(c&496)n=n.antecedent;else if(c&512){if(n.node.expression.kind===108)return!0;n=n.antecedent}else{if(c&4)return Zn(n.antecedents,d=>zee(d,!1));if(c&8)n=n.antecedents[0];else if(c&1024){const d=n.target,_=d.antecedents;d.antecedents=n.antecedents;const y=zee(n.antecedent,!1);return d.antecedents=_,y}else return!!(c&1)}}}function e3e(n){switch(n.kind){case 110:return!0;case 80:if(!VA(n)){const c=jm(n);return rM(c)||Gee(c)&&!t3e(c)}break;case 211:case 212:return e3e(n.expression)&&b_(ei(n).resolvedSymbol||ft);case 206:case 207:const a=C_(n.parent);return Ao(a)||iae(a)?!sye(a):wi(a)&&MB(a)}return!1}function Kb(n,a,c=a,d,_=(y=>(y=ii(n,ZL))==null?void 0:y.flowNode)()){let y,x=!1,k=0;if(ze)return _t;if(!_)return a;Ze++;const z=ev,H=aA(ge(_));ev=z;const re=Wr(H)&256&&Q4e(n)?Jc:q9(H);if(re===Ii||n.parent&&n.parent.kind===235&&!(re.flags&131072)&&hm(re,2097152).flags&131072)return a;return re===q?_n:re;function be(){return x?y:(x=!0,y=kee(n,a,c,d))}function ge(lt){var Ot;if(k===2e3)return(Ot=sr)==null||Ot.instant(sr.Phase.CheckTypes,"getTypeAtFlowNode_DepthLimit",{flowId:lt.id}),ze=!0,eft(n),_t;k++;let pn;for(;;){const qt=lt.flags;if(qt&4096){for(let Wn=z;Wn<ev;Wn++)if($I[Wn]===lt)return k--,QI[Wn];pn=lt}let mn;if(qt&16){if(mn=gt(lt),!mn){lt=lt.antecedent;continue}}else if(qt&512){if(mn=an(lt),!mn){lt=lt.antecedent;continue}}else if(qt&96)mn=ar(lt);else if(qt&128)mn=On(lt);else if(qt&12){if(lt.antecedents.length===1){lt=lt.antecedents[0];continue}mn=qt&4?ln(lt):zn(lt)}else if(qt&256){if(mn=cn(lt),!mn){lt=lt.antecedent;continue}}else if(qt&1024){const Wn=lt.target,Li=Wn.antecedents;Wn.antecedents=lt.antecedents,mn=ge(lt.antecedent),Wn.antecedents=Li}else if(qt&2){const Wn=lt.node;if(Wn&&Wn!==d&&n.kind!==211&&n.kind!==212&&!(n.kind===110&&Wn.kind!==219)){lt=Wn.flowNode;continue}mn=c}else mn=c6(a);return pn&&($I[ev]=pn,QI[ev]=mn,ev++),k--,mn}}function Ke(lt){const Ot=lt.node;return lye(Ot.kind===260||Ot.kind===208?q4e(Ot):H9(Ot),n)}function gt(lt){const Ot=lt.node;if(Iu(n,Ot)){if(!X9(lt))return Ii;if(BA(Ot)===2){const qt=ge(lt.antecedent);return kN(bv(aA(qt)),LN(qt))}if(a===ot||a===Jc){if(Gpt(Ot))return aye(jr);const qt=Q1(Ke(lt));return Ea(qt,a)?qt:ec}const pn=Yj(Ot)?bv(a):a;return pn.flags&1048576?Mpt(pn,Ke(lt)):pn}if(w4e(n,Ot)){if(!X9(lt))return Ii;if(wi(Ot)&&(lr(Ot)||MB(Ot))){const pn=UL(Ot);if(pn&&(pn.kind===218||pn.kind===219))return ge(lt.antecedent)}return a}if(wi(Ot)&&Ot.parent.parent.kind===249&&(Iu(n,Ot.parent.parent.expression)||rA(Ot.parent.parent.expression,n)))return Cye(q9(aA(ge(lt.antecedent))))}function Dt(lt,Ot){const pn=hs(Ot,!0);if(pn.kind===97)return Ii;if(pn.kind===226){if(pn.operatorToken.kind===56)return Dt(Dt(lt,pn.left),pn.right);if(pn.operatorToken.kind===57)return ti([Dt(lt,pn.left),Dt(lt,pn.right)])}return Hr(lt,pn,!0)}function an(lt){const Ot=K9(lt.node);if(Ot){const pn=jf(Ot);if(pn&&(pn.kind===2||pn.kind===3)){const qt=ge(lt.antecedent),mn=q9(aA(qt)),Wn=pn.type?Ti(mn,pn,lt.node,!0):pn.kind===3&&pn.parameterIndex>=0&&pn.parameterIndex<lt.node.arguments.length?Dt(mn,lt.node.arguments[pn.parameterIndex]):mn;return Wn===mn?qt:kN(Wn,LN(qt))}if(ps(Ot).flags&131072)return Ii}}function cn(lt){if(a===ot||a===Jc){const Ot=lt.node,pn=Ot.kind===213?Ot.expression.expression:Ot.left.expression;if(Iu(n,Z1(pn))){const qt=ge(lt.antecedent),mn=aA(qt);if(Wr(mn)&256){let Wn=mn;if(Ot.kind===213)for(const Li of Ot.arguments)Wn=$4e(Wn,Li);else{const Li=bB(Ot.left.argumentExpression);xu(Li,296)&&(Wn=$4e(Wn,Ot.right))}return Wn===mn?qt:kN(Wn,LN(qt))}return qt}}}function ar(lt){const Ot=ge(lt.antecedent),pn=aA(Ot);if(pn.flags&131072)return Ot;const qt=(lt.flags&32)!==0,mn=q9(pn),Wn=Hr(mn,lt.node,qt);return Wn===mn?Ot:kN(Wn,LN(Ot))}function On(lt){const Ot=hs(lt.switchStatement.expression),pn=ge(lt.antecedent);let qt=aA(pn);if(Iu(n,Ot))qt=Ts(qt,lt.switchStatement,lt.clauseStart,lt.clauseEnd);else if(Ot.kind===221&&Iu(n,Ot.expression))qt=wd(qt,lt.switchStatement,lt.clauseStart,lt.clauseEnd);else if(Ot.kind===112)qt=Wl(qt,lt.switchStatement,lt.clauseStart,lt.clauseEnd);else{Y&&(rA(Ot,n)?qt=ss(qt,lt.switchStatement,lt.clauseStart,lt.clauseEnd,Wn=>!(Wn.flags&163840)):Ot.kind===221&&rA(Ot.expression,n)&&(qt=ss(qt,lt.switchStatement,lt.clauseStart,lt.clauseEnd,Wn=>!(Wn.flags&131072||Wn.flags&128&&Wn.value==="undefined"))));const mn=qn(Ot,qt);mn&&(qt=Eo(qt,mn,lt.switchStatement,lt.clauseStart,lt.clauseEnd))}return kN(qt,LN(pn))}function ln(lt){const Ot=[];let pn=!1,qt=!1,mn;for(const Wn of lt.antecedents){if(!mn&&Wn.flags&128&&Wn.clauseStart===Wn.clauseEnd){mn=Wn;continue}const Li=ge(Wn),Br=aA(Li);if(Br===a&&a===c)return Br;Tf(Ot,Br),tM(Br,c)||(pn=!0),LN(Li)&&(qt=!0)}if(mn){const Wn=ge(mn),Li=aA(Wn);if(!(Li.flags&131072)&&!Nr(Ot,Li)&&!kWe(mn.switchStatement)){if(Li===a&&a===c)return Li;Ot.push(Li),tM(Li,c)||(pn=!0),LN(Wn)&&(qt=!0)}}return kN(Fn(Ot,pn?2:1),qt)}function zn(lt){const Ot=tye(lt),pn=KI[Ot]||(KI[Ot]=new Map),qt=be();if(!qt)return a;const mn=pn.get(qt);if(mn)return mn;for(let Nn=H_;Nn<_f;Nn++)if(tN[Nn]===lt&&XI[Nn]===qt&&YI[Nn].length)return kN(Fn(YI[Nn],1),!0);const Wn=[];let Li=!1,Br;for(const Nn of lt.antecedents){let ni;if(!Br)ni=Br=ge(Nn);else{tN[_f]=lt,XI[_f]=qt,YI[_f]=Wn,_f++;const fo=yn;yn=void 0,ni=ge(Nn),yn=fo,_f--;const Sa=pn.get(qt);if(Sa)return Sa}const Zi=aA(ni);if(Tf(Wn,Zi),tM(Zi,c)||(Li=!0),Zi===a)break}const Go=Fn(Wn,Li?2:1);return LN(Br)?kN(Go,!0):(pn.set(qt,Go),Go)}function Fn(lt,Ot){if($pt(lt))return aye(ti(wt(lt,Ypt)));const pn=B4e(ti(eo(lt,q9),Ot));return pn!==a&&pn.flags&a.flags&1048576&&Ca(pn.types,a.types)?a:pn}function er(lt){if(ta(n)||QE(n)||xm(n)){if(Ve(lt)){const pn=jm(lt).valueDeclaration;if(pn&&(Qa(pn)||Ao(pn))&&n===pn.parent&&!pn.initializer&&!pn.dotDotDotToken)return pn}}else if(ws(lt)){if(Iu(n,lt.expression))return lt}else if(Ve(lt)){const Ot=jm(lt);if(rM(Ot)){const pn=Ot.valueDeclaration;if(wi(pn)&&!pn.type&&pn.initializer&&ws(pn.initializer)&&Iu(n,pn.initializer.expression))return pn.initializer;if(Qa(pn)&&!pn.initializer){const qt=pn.parent.parent;if(wi(qt)&&!qt.type&&qt.initializer&&(Ve(qt.initializer)||ws(qt.initializer))&&Iu(n,qt.initializer))return pn}}}}function qn(lt,Ot){if(a.flags&1048576||Ot.flags&1048576){const pn=er(lt);if(pn){const qt=nA(pn);if(qt){const mn=a.flags&1048576&&tM(Ot,a)?a:Ot;if(Z2(mn,qt))return pn}}}}function tr(lt,Ot,pn){const qt=nA(Ot);if(qt===void 0)return lt;const mn=qu(Ot),Wn=Y&&(mn||aae(Ot))&&Cl(lt,98304);let Li=Xe(Wn?hm(lt,2097152):lt,qt);if(!Li)return lt;Li=Wn&&mn?qb(Li):Li;const Br=pn(Li);return dc(lt,Go=>{const Nn=xt(Go,qt)||_n;return!(Nn.flags&131072)&&!(Br.flags&131072)&&k9(Br,Nn)})}function Ro(lt,Ot,pn,qt,mn){if((pn===37||pn===38)&&lt.flags&1048576){const Wn=j9(lt);if(Wn&&Wn===nA(Ot)){const Li=U9(lt,Ru(qt));if(Li)return pn===(mn?37:38)?Li:v_(Xe(Li,Wn)||_n)?Oee(lt,Li):lt}}return tr(lt,Ot,Wn=>oo(Wn,pn,qt,mn))}function Eo(lt,Ot,pn,qt,mn){if(qt<mn&&lt.flags&1048576&&j9(lt)===nA(Ot)){const Wn=wee(pn).slice(qt,mn),Li=ti(wt(Wn,Br=>U9(lt,Br)||_n));if(Li!==_n)return Li}return tr(lt,Ot,Wn=>Ts(Wn,pn,qt,mn))}function Bo(lt,Ot,pn){if(Iu(n,Ot))return iA(lt,pn?4194304:8388608);Y&&pn&&rA(Ot,n)&&(lt=iA(lt,2097152));const qt=qn(Ot,lt);return qt?tr(lt,qt,mn=>hm(mn,pn?4194304:8388608)):lt}function _o(lt,Ot,pn){const qt=ya(lt,Ot);return qt?!!(qt.flags&16777216||xl(qt)&48)||pn:!!gx(lt,Ot)||!pn}function Cc(lt,Ot,pn){const qt=im(Ot);if(Um(lt,Wn=>_o(Wn,qt,!0)))return dc(lt,Wn=>_o(Wn,qt,pn));if(pn){const Wn=out();if(Wn)return ba([lt,RN(Wn,[Ot,_n])])}return lt}function Jf(lt,Ot,pn,qt,mn){return mn=mn!==(pn.kind===112)!=(qt!==38&&qt!==36),Hr(lt,Ot,mn)}function dp(lt,Ot,pn){switch(Ot.operatorToken.kind){case 64:case 76:case 77:case 78:return Bo(Hr(lt,Ot.right,pn),Ot.left,pn);case 35:case 36:case 37:case 38:const qt=Ot.operatorToken.kind,mn=Z1(Ot.left),Wn=Z1(Ot.right);if(mn.kind===221&&ls(Wn))return br(lt,mn,qt,Wn,pn);if(Wn.kind===221&&ls(mn))return br(lt,Wn,qt,mn,pn);if(Iu(n,mn))return oo(lt,qt,Wn,pn);if(Iu(n,Wn))return oo(lt,qt,mn,pn);Y&&(rA(mn,n)?lt=Qn(lt,qt,Wn,pn):rA(Wn,n)&&(lt=Qn(lt,qt,mn,pn)));const Li=qn(mn,lt);if(Li)return Ro(lt,Li,qt,Wn,pn);const Br=qn(Wn,lt);if(Br)return Ro(lt,Br,qt,mn,pn);if(El(mn))return ut(lt,qt,Wn,pn);if(El(Wn))return ut(lt,qt,mn,pn);if(hC(Wn)&&!ws(mn))return Jf(lt,mn,Wn,qt,pn);if(hC(mn)&&!ws(Wn))return Jf(lt,Wn,mn,qt,pn);break;case 104:return Ae(lt,Ot,pn);case 103:if(Ji(Ot.left))return wn(lt,Ot,pn);const Go=Z1(Ot.right);if(U8(lt)&&ws(n)&&Iu(n.expression,Go)){const Nn=Ru(Ot.left);if(rm(Nn)&&nA(n)===im(Nn))return hm(lt,pn?524288:65536)}if(Iu(n,Go)){const Nn=Ru(Ot.left);if(rm(Nn))return Cc(lt,Nn,pn)}break;case 28:return Hr(lt,Ot.right,pn);case 56:return pn?Hr(Hr(lt,Ot.left,!0),Ot.right,!0):ti([Hr(lt,Ot.left,!1),Hr(lt,Ot.right,!1)]);case 57:return pn?ti([Hr(lt,Ot.left,!0),Hr(lt,Ot.right,!0)]):Hr(Hr(lt,Ot.left,!1),Ot.right,!1)}return lt}function wn(lt,Ot,pn){const qt=Z1(Ot.right);if(!Iu(n,qt))return lt;N.assertNode(Ot.left,Ji);const mn=Qee(Ot.left);if(mn===void 0)return lt;const Wn=mn.parent,Li=mc(N.checkDefined(mn.valueDeclaration,"should always have a declaration"))?dr(Wn):el(Wn);return Mr(lt,Li,pn,!0)}function Qn(lt,Ot,pn,qt){const mn=Ot===35||Ot===37,Wn=Ot===35||Ot===36?98304:32768,Li=Ru(pn);return mn!==qt&&up(Li,Go=>!!(Go.flags&Wn))||mn===qt&&up(Li,Go=>!(Go.flags&(3|Wn)))?iA(lt,2097152):lt}function oo(lt,Ot,pn,qt){if(lt.flags&1)return lt;(Ot===36||Ot===38)&&(qt=!qt);const mn=Ru(pn),Wn=Ot===35||Ot===36;if(mn.flags&98304){if(!Y)return lt;const Li=Wn?qt?262144:2097152:mn.flags&65536?qt?131072:1048576:qt?65536:524288;return iA(lt,Li)}if(qt){if(!Wn&&(lt.flags&2||Um(lt,Uh))){if(mn.flags&469893116||Uh(mn))return mn;if(mn.flags&524288)return Kr}const Li=dc(lt,Br=>k9(Br,mn)||Wn&&tpt(Br,mn));return Y4e(Li,mn)}return v_(mn)?dc(lt,Li=>!(h4e(Li)&&k9(Li,mn))):lt}function br(lt,Ot,pn,qt,mn){(pn===36||pn===38)&&(mn=!mn);const Wn=Z1(Ot.expression);if(!Iu(n,Wn)){Y&&rA(Wn,n)&&mn===(qt.text!=="undefined")&&(lt=iA(lt,2097152));const Li=qn(Wn,lt);return Li?tr(lt,Li,Br=>Co(Br,qt,mn)):lt}return Co(lt,qt,mn)}function Co(lt,Ot,pn){return pn?ju(lt,Ot.text):iA(lt,$q.get(Ot.text)||32768)}function ss(lt,Ot,pn,qt,mn){return pn!==qt&&Zn(wee(Ot).slice(pn,qt),mn)?hm(lt,2097152):lt}function Ts(lt,Ot,pn,qt){const mn=wee(Ot);if(!mn.length)return lt;const Wn=mn.slice(pn,qt),Li=pn===qt||Nr(Wn,jr);if(lt.flags&2&&!Li){let ni;for(let Zi=0;Zi<Wn.length;Zi+=1){const fo=Wn[Zi];if(fo.flags&469893116)ni!==void 0&&ni.push(fo);else if(fo.flags&524288)ni===void 0&&(ni=Wn.slice(0,Zi)),ni.push(Kr);else return lt}return ti(ni===void 0?Wn:ni)}const Br=ti(Wn),Go=Br.flags&131072?jr:Y4e(dc(lt,ni=>k9(Br,ni)),Br);if(!Li)return Go;const Nn=dc(lt,ni=>!(h4e(ni)&&Nr(mn,Ed(Jdt(ni)))));return Go.flags&131072?Nn:ti([Go,Nn])}function ju(lt,Ot){switch(Ot){case"string":return Ga(lt,ke,1);case"number":return Ga(lt,Tt,2);case"bigint":return Ga(lt,kt,4);case"boolean":return Ga(lt,Ni,8);case"symbol":return Ga(lt,Ai,16);case"object":return lt.flags&1?lt:ti([Ga(lt,Kr,32),Ga(lt,me,131072)]);case"function":return lt.flags&1?lt:Ga(lt,Wt,64);case"undefined":return Ga(lt,Oe,65536)}return Ga(lt,Kr,128)}function Ga(lt,Ot,pn){return pl(lt,qt=>Z_(qt,Ot,Wf)?_m(qt,pn)?qt:jr:jb(Ot,qt)?Ot:_m(qt,pn)?ba([qt,Ot]):jr)}function wd(lt,Ot,pn,qt){const mn=K4e(Ot);if(!mn)return lt;const Wn=Gr(Ot.caseBlock.clauses,Go=>Go.kind===297);if(pn===qt||Wn>=pn&&Wn<qt){const Go=LWe(pn,qt,mn);return dc(lt,Nn=>eM(Nn,Go)===Go)}const Br=mn.slice(pn,qt);return ti(wt(Br,Go=>Go?ju(lt,Go):jr))}function Wl(lt,Ot,pn,qt){const mn=Gr(Ot.caseBlock.clauses,Br=>Br.kind===297),Wn=pn===qt||mn>=pn&&mn<qt;for(let Br=0;Br<pn;Br++){const Go=Ot.caseBlock.clauses[Br];Go.kind===296&&(lt=Hr(lt,Go.expression,!1))}if(Wn){for(let Br=qt;Br<Ot.caseBlock.clauses.length;Br++){const Go=Ot.caseBlock.clauses[Br];Go.kind===296&&(lt=Hr(lt,Go.expression,!1))}return lt}const Li=Ot.caseBlock.clauses.slice(pn,qt);return ti(wt(Li,Br=>Br.kind===296?Hr(lt,Br.expression,!0):jr))}function El(lt){return(Fr(lt)&&Sr(lt.name)==="constructor"||Qs(lt)&&ls(lt.argumentExpression)&&lt.argumentExpression.text==="constructor")&&Iu(n,lt.expression)}function ut(lt,Ot,pn,qt){if(qt?Ot!==35&&Ot!==37:Ot!==36&&Ot!==38)return lt;const mn=Ru(pn);if(!kbe(mn)&&!is(mn))return lt;const Wn=ya(mn,"prototype");if(!Wn)return lt;const Li=dr(Wn),Br=Nt(Li)?void 0:Li;if(!Br||Br===Ce||Br===Wt)return lt;if(Nt(lt))return Br;return dc(lt,Nn=>Go(Nn,Br));function Go(Nn,ni){return Nn.flags&524288&&Wr(Nn)&1||ni.flags&524288&&Wr(ni)&1?Nn.symbol===ni.symbol:jb(Nn,ni)}}function Ae(lt,Ot,pn){const qt=Z1(Ot.left);if(!Iu(n,qt))return pn&&Y&&rA(qt,n)?iA(lt,2097152):lt;const mn=Ot.right,Wn=Ru(mn);if(!_E(Wn,Ce))return lt;const Li=K9(Ot),Br=Li&&jf(Li);if(Br&&Br.kind===1&&Br.parameterIndex===0)return Mr(lt,Br.type,pn,!0);if(!_E(Wn,Wt))return lt;const Go=pl(Wn,Ln);return Nt(lt)&&(Go===Ce||Go===Wt)||!pn&&!(Go.flags&524288&&!Uh(Go))?lt:Mr(lt,Go,pn,!0)}function Ln(lt){const Ot=Xe(lt,"prototype");if(Ot&&!Nt(Ot))return Ot;const pn=Yo(lt,1);return pn.length?ti(wt(pn,qt=>ps(P8(qt)))):La}function Mr(lt,Ot,pn,qt){const mn=lt.flags&1048576?`N${bd(lt)},${bd(Ot)},${(pn?1:0)|(qt?2:0)}`:void 0;return ex(mn)??_b(mn,di(lt,Ot,pn,qt))}function di(lt,Ot,pn,qt){if(!pn){if(lt===Ot)return jr;if(qt)return dc(lt,Go=>!_E(Go,Ot));const Br=Mr(lt,Ot,!0,!1);return dc(lt,Go=>!tM(Go,Br))}if(lt.flags&3||lt===Ot)return Ot;const mn=qt?_E:jb,Wn=lt.flags&1048576?j9(lt):void 0,Li=pl(Ot,Br=>{const Go=Wn&&Xe(Br,Wn),Nn=Go&&U9(lt,Go),ni=pl(Nn||lt,qt?Zi=>_E(Zi,Br)?Zi:_E(Br,Zi)?Br:jr:Zi=>L9(Zi,Br)?Zi:L9(Br,Zi)?Br:jb(Zi,Br)?Zi:jb(Br,Zi)?Br:jr);return ni.flags&131072?pl(lt,Zi=>Cl(Zi,465829888)&&mn(Br,Gu(Zi)||_n)?ba([Zi,Br]):jr):ni});return Li.flags&131072?jb(Ot,lt)?Ot:Ea(lt,Ot)?lt:Ea(Ot,lt)?Ot:ba([lt,Ot]):Li}function Si(lt,Ot,pn){if(z4e(Ot,n)){const qt=pn||!hS(Ot)?K9(Ot):void 0,mn=qt&&jf(qt);if(mn&&(mn.kind===0||mn.kind===1))return Ti(lt,mn,Ot,pn)}if(U8(lt)&&ws(n)&&Fr(Ot.expression)){const qt=Ot.expression;if(Iu(n.expression,Z1(qt.expression))&&Ve(qt.name)&&qt.name.escapedText==="hasOwnProperty"&&Ot.arguments.length===1){const mn=Ot.arguments[0];if(ls(mn)&&nA(n)===hl(mn.text))return hm(lt,pn?524288:65536)}}return lt}function Ti(lt,Ot,pn,qt){if(Ot.type&&!(Nt(lt)&&(Ot.type===Ce||Ot.type===Wt))){const mn=Zpt(Ot,pn);if(mn){if(Iu(n,mn))return Mr(lt,Ot.type,qt,!1);Y&&rA(mn,n)&&(qt&&!_m(Ot.type,65536)||!qt&&up(Ot.type,iB))&&(lt=iA(lt,2097152));const Wn=qn(mn,lt);if(Wn)return tr(lt,Wn,Li=>Mr(Li,Ot.type,qt,!1))}}return lt}function Hr(lt,Ot,pn){if(I4(Ot)||mr(Ot.parent)&&(Ot.parent.operatorToken.kind===61||Ot.parent.operatorToken.kind===78)&&Ot.parent.left===Ot)return xr(lt,Ot,pn);switch(Ot.kind){case 80:if(!Iu(n,Ot)&&M<5){const qt=jm(Ot);if(rM(qt)){const mn=qt.valueDeclaration;if(mn&&wi(mn)&&!mn.type&&mn.initializer&&e3e(n)){M++;const Wn=Hr(lt,mn.initializer,pn);return M--,Wn}}}case 110:case 108:case 211:case 212:return Bo(lt,Ot,pn);case 213:return Si(lt,Ot,pn);case 217:case 235:return Hr(lt,Ot.expression,pn);case 226:return dp(lt,Ot,pn);case 224:if(Ot.operator===54)return Hr(lt,Ot.operand,!pn);break}return lt}function xr(lt,Ot,pn){if(Iu(n,Ot))return iA(lt,pn?2097152:262144);const qt=qn(Ot,lt);return qt?tr(lt,qt,mn=>hm(mn,pn?2097152:262144)):lt}}function tft(n,a){if(n=vf(n),(a.kind===80||a.kind===81)&&(VC(a)&&(a=a.parent),ng(a)&&(!ig(a)||HA(a)))){const c=Aee(HA(a)&&a.kind===211?Yee(a,void 0,!0):Ru(a));if(vf(ei(a).resolvedSymbol)===n)return c}return Bg(a)&&Fv(a.parent)&&Vm(a.parent)?d9(a.parent.symbol):SU(a)&&HA(a.parent)?U1(n):kb(n)}function X8(n){return Gn(n.parent,a=>ea(a)&&!xS(a)||a.kind===268||a.kind===312||a.kind===172)}function t3e(n){return!n3e(n,void 0)}function n3e(n,a){const c=Gn(n.valueDeclaration,Bee);if(!c)return!1;const d=ei(c);return d.flags&131072||(d.flags|=131072,nft(c)||i3e(c)),!n.lastAssignmentPos||a&&n.lastAssignmentPos<a.pos}function sye(n){return N.assert(wi(n)||Ao(n)),r3e(n.name)}function r3e(n){return n.kind===80?t3e(Ir(n.parent)):bt(n.elements,a=>a.kind!==232&&r3e(a.name))}function nft(n){return!!Gn(n.parent,a=>Bee(a)&&!!(ei(a).flags&131072))}function Bee(n){return Bs(n)||$i(n)}function i3e(n){switch(n.kind){case 80:if(ig(n)){const c=jm(n);if(Gee(c)&&c.lastAssignmentPos!==Number.MAX_VALUE){const d=Gn(n,Bee),_=Gn(c.valueDeclaration,Bee);c.lastAssignmentPos=d===_?rft(n,c.valueDeclaration):Number.MAX_VALUE}}return;case 281:const a=n.parent.parent;if(!n.isTypeOnly&&!a.isTypeOnly&&!a.moduleSpecifier){const c=Hs(n.propertyName||n.name,111551,!0,!0);c&&Gee(c)&&(c.lastAssignmentPos=Number.MAX_VALUE)}return;case 264:case 265:case 266:return}ji(n)||Ho(n,i3e)}function rft(n,a){let c=n.pos;for(;n&&n.pos>a.pos;){switch(n.kind){case 243:case 244:case 245:case 246:case 247:case 248:case 249:case 250:case 254:case 255:case 258:case 263:c=n.end}n=n.parent}return c}function rM(n){return n.flags&3&&(Rye(n)&6)!==0}function Gee(n){const a=n.valueDeclaration&&C_(n.valueDeclaration);return!!a&&(Ao(a)||wi(a)&&(c1(a.parent)||ift(a)))}function ift(n){return!!(n.parent.flags&1)&&!(c0(n)&32||n.parent.parent.kind===243&&Mh(n.parent.parent.parent))}function oft(n){const a=ei(n);if(a.parameterInitializerContainsUndefined===void 0){if(!Fh(n,9))return bN(n.symbol),!0;const c=!!_m(aM(n,0),16777216);if(!Y_())return bN(n.symbol),!0;a.parameterInitializerContainsUndefined=c}return a.parameterInitializerContainsUndefined}function aft(n,a){return Y&&a.kind===169&&a.initializer&&_m(n,16777216)&&!oft(a)?hm(n,524288):n}function sft(n,a){const c=a.parent;return c.kind===211||c.kind===166||c.kind===213&&c.expression===a||c.kind===212&&c.expression===a&&!(Um(n,a3e)&&QT(Ru(c.argumentExpression)))}function o3e(n){return n.flags&2097152?bt(n.types,o3e):!!(n.flags&465829888&&_v(n).flags&1146880)}function a3e(n){return n.flags&2097152?bt(n.types,a3e):!!(n.flags&465829888&&!Cl(_v(n),98304))}function lft(n,a){const c=(Ve(n)||Fr(n)||Qs(n))&&!((W_(n.parent)||JS(n.parent))&&n.parent.tagName===n)&&(a&&a&32?Yd(n,8):Yd(n,void 0));return c&&!CN(c)}function lye(n,a,c){return!(c&&c&2)&&Um(n,o3e)&&(sft(n,a)||lft(a,c))?pl(n,_v):n}function s3e(n){return!!Gn(n,a=>{const c=a.parent;return c===void 0?"quit":kl(c)?c.expression===a&&Gl(a):Ku(c)?c.name===a||c.propertyName===a:!1})}function Vee(n,a){if(It&&PT(n,111551)&&!kS(a)){const c=Fc(n);Au(n,!0)&1160127&&(om(V)||e1(V)&&s3e(a)||!u6(vf(c))?Tb(n):iu(n))}}function cft(n,a,c){var d;const _=dr(n,c),y=n.valueDeclaration;if(y){if(Qa(y)&&!y.initializer&&!y.dotDotDotToken&&y.parent.elements.length>=2){const x=y.parent.parent,k=C_(x);if(k.kind===260&&aS(k)&6||k.kind===169){const z=ei(x);if(!(z.flags&4194304)){z.flags|=4194304;const H=zr(x,0),re=H&&pl(H,_v);if(z.flags&=-4194305,re&&re.flags&1048576&&!(k.kind===169&&sye(k))){const be=y.parent,ge=Kb(be,re,re,void 0,a.flowNode);return ge.flags&131072?jr:Ag(y,ge,!0)}}}}if(Ao(y)&&!y.type&&!y.initializer&&!y.dotDotDotToken){const x=y.parent;if(x.parameters.length>=2&&pee(x)){const k=$8(x);if(k&&k.parameters.length===1&&Yu(k)){const z=V2(so(dr(k.parameters[0]),(d=eS(x))==null?void 0:d.nonFixingMapper));if(z.flags&1048576&&up(z,Ba)&&!bt(x.parameters,sye)){const H=Kb(x,z,z,void 0,a.flowNode),re=x.parameters.indexOf(y)-(qE(x)?1:0);return Lp(H,g_(re))}}}}}return _}function uft(n,a){if(VA(n))return $9(n);const c=jm(n);if(c===ft)return _t;if(c===Gt){if(U3e(n))return Je(n,f.arguments_cannot_be_referenced_in_property_initializers),_t;let ln=Gp(n);if(ln)for(se<2&&(ln.kind===219?Je(n,f.The_arguments_object_cannot_be_referenced_in_an_arrow_function_in_ES3_and_ES5_Consider_using_a_standard_function_expression):Zr(ln,1024)&&Je(n,f.The_arguments_object_cannot_be_referenced_in_an_async_function_or_method_in_ES3_and_ES5_Consider_using_a_standard_function_or_method)),ei(ln).flags|=512;ln&&Gs(ln);)ln=Gp(ln),ln&&(ei(ln).flags|=512);return dr(c)}pft(n)&&Vee(c,n);const d=vf(c),_=Dbe(d,n);hb(_)&&uve(n,_)&&_.declarations&&uy(n,_.declarations,n.escapedText);let y=d.valueDeclaration;if(y&&d.flags&32&&ui(y)&&y.name!==n){let ln=Fd(n,!1,!1);for(;ln.kind!==312&&ln.parent!==y;)ln=Fd(ln,!1,!1);ln.kind!==312&&(ei(y).flags|=262144,ei(ln).flags|=262144,ei(n).flags|=536870912)}_ft(n,c);let x=cft(d,n,a);const k=BA(n);if(k){if(!(d.flags&3)&&!(lr(n)&&d.flags&512)){const ln=d.flags&384?f.Cannot_assign_to_0_because_it_is_an_enum:d.flags&32?f.Cannot_assign_to_0_because_it_is_a_class:d.flags&1536?f.Cannot_assign_to_0_because_it_is_a_namespace:d.flags&16?f.Cannot_assign_to_0_because_it_is_a_function:d.flags&2097152?f.Cannot_assign_to_0_because_it_is_an_import:f.Cannot_assign_to_0_because_it_is_not_a_variable;return Je(n,ln,bi(c)),_t}if(b_(d))return d.flags&3?Je(n,f.Cannot_assign_to_0_because_it_is_a_constant,bi(c)):Je(n,f.Cannot_assign_to_0_because_it_is_a_read_only_property,bi(c)),_t}const z=d.flags&2097152;if(d.flags&3){if(k===1)return Yj(n)?bv(x):x}else if(z)y=Fm(c);else return x;if(!y)return x;x=lye(x,n,a);const H=C_(y).kind===169,re=X8(y);let be=X8(n);const ge=be!==re,Ke=n.parent&&n.parent.parent&&Xv(n.parent)&&oye(n.parent.parent),gt=c.flags&134217728,Dt=x===ot||x===Jc,an=Dt&&n.parent.kind===235;for(;be!==re&&(be.kind===218||be.kind===219||Z4(be))&&(rM(d)&&x!==Jc||Gee(d)&&n3e(d,n));)be=X8(be);const cn=H||z||ge||Ke||gt||dft(n,y)||x!==ot&&x!==Jc&&(!Y||(x.flags&16387)!==0||kS(n)||Zve(n)||n.parent.kind===281)||n.parent.kind===235||y.kind===260&&y.exclamationToken||y.flags&33554432,ar=an?Oe:cn?H?aft(x,y):x:Dt?Oe:qb(x),On=an?Ev(Kb(n,x,ar,be)):Kb(n,x,ar,be);if(!Q4e(n)&&(x===ot||x===Jc)){if(On===ot||On===Jc)return pe&&(Je(Mo(y),f.Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined,bi(c),Un(On)),Je(n,f.Variable_0_implicitly_has_an_1_type,bi(c),Un(On))),c6(On)}else if(!cn&&!K2(x)&&K2(On))return Je(n,f.Variable_0_is_used_before_being_assigned,bi(c)),x;return k?bv(On):On}function dft(n,a){if(Qa(a)){const c=Gn(n,Qa);return c&&C_(c)===C_(a)}}function pft(n){var a;const c=n.parent;if(c){if(Fr(c)&&c.expression===n||Ku(c)&&c.isTypeOnly)return!1;const d=(a=c.parent)==null?void 0:a.parent;if(d&&Yl(d)&&d.isTypeOnly)return!1}return!0}function fft(n,a){return!!Gn(n,c=>c===a?"quit":ea(c)||c.parent&&Jo(c.parent)&&!mc(c.parent)&&c.parent.initializer===c)}function mft(n,a){return Gn(n,c=>c===a?"quit":c===a.initializer||c===a.condition||c===a.incrementor||c===a.statement)}function cye(n){return Gn(n,a=>!a||oU(a)?"quit":Wy(a,!1))}function _ft(n,a){if(se>=2||!(a.flags&34)||!a.valueDeclaration||$i(a.valueDeclaration)||a.valueDeclaration.parent.kind===299)return;const c=hh(a.valueDeclaration),d=fft(n,c),_=cye(c);if(_){if(d){let y=!0;if(HS(c)){const x=b0(a.valueDeclaration,261);if(x&&x.parent===c){const k=mft(n.parent,c);if(k){const z=ei(k);z.flags|=8192;const H=z.capturedBlockScopeBindings||(z.capturedBlockScopeBindings=[]);Tf(H,a),k===c.initializer&&(y=!1)}}}y&&(ei(_).flags|=4096)}if(HS(c)){const y=b0(a.valueDeclaration,261);y&&y.parent===c&&gft(n,c)&&(ei(a.valueDeclaration).flags|=65536)}ei(a.valueDeclaration).flags|=32768}d&&(ei(a.valueDeclaration).flags|=16384)}function hft(n,a){const c=ei(n);return!!c&&Nr(c.capturedBlockScopeBindings,Ir(a))}function gft(n,a){let c=n;for(;c.parent.kind===217;)c=c.parent;let d=!1;if(ig(c))d=!0;else if(c.parent.kind===224||c.parent.kind===225){const _=c.parent;d=_.operator===46||_.operator===47}return d?!!Gn(c,_=>_===a?"quit":_===a.statement):!1}function uye(n,a){if(ei(n).flags|=2,a.kind===172||a.kind===176){const c=a.parent;ei(c).flags|=4}else ei(a).flags|=4}function l3e(n){return IS(n)?n:ea(n)?void 0:Ho(n,l3e)}function dye(n){const a=Ir(n),c=el(a);return Pp(c)===Ge}function c3e(n,a,c){const d=a.parent;UE(d)&&!dye(d)&&ZL(n)&&n.flowNode&&!zee(n.flowNode,!1)&&Je(n,c)}function vft(n,a){Jo(a)&&mc(a)&&ne&&a.initializer&&sL(a.initializer,n.pos)&&If(a.parent)&&Je(n,f.Cannot_use_this_in_a_static_property_initializer_of_a_decorated_class)}function $9(n){const a=kS(n);let c=Fd(n,!0,!0),d=!1,_=!1;for(c.kind===176&&c3e(n,c,f.super_must_be_called_before_accessing_this_in_the_constructor_of_a_derived_class);;){if(c.kind===219&&(c=Fd(c,!1,!_),d=!0),c.kind===167){c=Fd(c,!d,!1),_=!0;continue}break}if(vft(n,c),_)Je(n,f.this_cannot_be_referenced_in_a_computed_property_name);else switch(c.kind){case 267:Je(n,f.this_cannot_be_referenced_in_a_module_or_namespace_body);break;case 266:Je(n,f.this_cannot_be_referenced_in_current_location);break;case 176:u3e(n,c)&&Je(n,f.this_cannot_be_referenced_in_constructor_arguments);break}!a&&d&&se<2&&uye(n,c);const y=pye(n,!0,c);if(ie){const x=dr(at);if(y===x&&d)Je(n,f.The_containing_arrow_function_captures_the_global_value_of_this);else if(!y){const k=Je(n,f.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation);if(!$i(c)){const z=pye(c);z&&z!==x&&wa(k,wr(c,f.An_outer_value_of_this_is_shadowed_by_this_container))}}}return y||j}function pye(n,a=!0,c=Fd(n,!1,!1)){const d=lr(n);if(ea(c)&&(!_ye(n)||qE(c))){let _=_ge(c)||d&&Eft(c);if(!_){const y=bft(c);if(d&&y){const x=mo(y).symbol;x&&x.members&&x.flags&16&&(_=el(x).thisType)}else nh(c)&&(_=el(rs(c.symbol)).thisType);_||(_=m3e(c))}if(_)return Kb(n,_)}if(ui(c.parent)){const _=Ir(c.parent),y=sa(c)?dr(_):el(_).thisType;return Kb(n,y)}if($i(c))if(c.commonJsModuleIndicator){const _=Ir(c);return _&&dr(_)}else{if(c.externalModuleIndicator)return Oe;if(a)return dr(at)}}function yft(n){const a=Fd(n,!1,!1);if(ea(a)){const c=fm(a);if(c.thisParameter)return Wee(c.thisParameter)}if(ui(a.parent)){const c=Ir(a.parent);return sa(a)?dr(c):el(c).thisType}}function bft(n){if(n.kind===218&&mr(n.parent)&&Bl(n.parent)===3)return n.parent.left.expression.expression;if(n.kind===174&&n.parent.kind===210&&mr(n.parent.parent)&&Bl(n.parent.parent)===6)return n.parent.parent.left.expression;if(n.kind===218&&n.parent.kind===303&&n.parent.parent.kind===210&&mr(n.parent.parent.parent)&&Bl(n.parent.parent.parent)===6)return n.parent.parent.parent.left.expression;if(n.kind===218&&hc(n.parent)&&Ve(n.parent.name)&&(n.parent.name.escapedText==="value"||n.parent.name.escapedText==="get"||n.parent.name.escapedText==="set")&&Oa(n.parent.parent)&&la(n.parent.parent.parent)&&n.parent.parent.parent.arguments[2]===n.parent.parent&&Bl(n.parent.parent.parent)===9)return n.parent.parent.parent.arguments[0].expression;if(ql(n)&&Ve(n.name)&&(n.name.escapedText==="value"||n.name.escapedText==="get"||n.name.escapedText==="set")&&Oa(n.parent)&&la(n.parent.parent)&&n.parent.parent.arguments[2]===n.parent&&Bl(n.parent.parent)===9)return n.parent.parent.arguments[0].expression}function Eft(n){const a=E4(n);if(a&&a.typeExpression)return Ei(a.typeExpression);const c=j2(n);if(c)return pE(c)}function u3e(n,a){return!!Gn(n,c=>Bs(c)?"quit":c.kind===169&&c.parent===a)}function fye(n){const a=n.parent.kind===213&&n.parent.expression===n,c=WL(n,!0);let d=c,_=!1,y=!1;if(!a){for(;d&&d.kind===219;)Zr(d,1024)&&(y=!0),d=WL(d,!0),_=se<2;d&&Zr(d,1024)&&(y=!0)}let x=0;if(!d||!re(d)){const be=Gn(n,ge=>ge===d?"quit":ge.kind===167);return be&&be.kind===167?Je(n,f.super_cannot_be_referenced_in_a_computed_property_name):a?Je(n,f.Super_calls_are_not_permitted_outside_constructors_or_in_nested_functions_inside_constructors):!d||!d.parent||!(ui(d.parent)||d.parent.kind===210)?Je(n,f.super_can_only_be_referenced_in_members_of_derived_classes_or_object_literal_expressions):Je(n,f.super_property_access_is_permitted_only_in_a_constructor_member_function_or_member_accessor_of_a_derived_class),_t}if(!a&&c.kind===176&&c3e(n,d,f.super_must_be_called_before_accessing_a_property_of_super_in_the_constructor_of_a_derived_class),sa(d)||a?(x=32,!a&&se>=2&&se<=8&&(Jo(d)||Rl(d))&&bie(n.parent,be=>{(!$i(be)||zp(be))&&(ei(be).flags|=2097152)})):x=16,ei(n).flags|=x,d.kind===174&&y&&(zd(n.parent)&&ig(n.parent)?ei(d).flags|=256:ei(d).flags|=128),_&&uye(n.parent,d),d.parent.kind===210)return se<2?(Je(n,f.super_is_only_allowed_in_members_of_object_literal_expressions_when_option_target_is_ES2015_or_higher),_t):j;const k=d.parent;if(!UE(k))return Je(n,f.super_can_only_be_referenced_in_a_derived_class),_t;if(dye(k))return a?_t:Ge;const z=el(Ir(k)),H=z&&Mp(z)[0];if(!H)return _t;if(d.kind===176&&u3e(n,d))return Je(n,f.super_cannot_be_referenced_in_constructor_arguments),_t;return x===32?Pp(z):Kp(H,z.thisType);function re(be){return a?be.kind===176:ui(be.parent)||be.parent.kind===210?sa(be)?be.kind===174||be.kind===173||be.kind===177||be.kind===178||be.kind===172||be.kind===175:be.kind===174||be.kind===173||be.kind===177||be.kind===178||be.kind===172||be.kind===171||be.kind===176:!1}}function d3e(n){return(n.kind===174||n.kind===177||n.kind===178)&&n.parent.kind===210?n.parent:n.kind===218&&n.parent.kind===303?n.parent.parent:void 0}function p3e(n){return Wr(n)&4&&n.target===Vl?Js(n)[0]:void 0}function Sft(n){return pl(n,a=>a.flags&2097152?Ue(a.types,p3e):p3e(a))}function f3e(n,a){let c=n,d=a;for(;d;){const _=Sft(d);if(_)return _;if(c.parent.kind!==303)break;c=c.parent.parent,d=EE(c,void 0)}}function m3e(n){if(n.kind===219)return;if(pee(n)){const c=$8(n);if(c){const d=c.thisParameter;if(d)return dr(d)}}const a=lr(n);if(ie||a){const c=d3e(n);if(c){const _=EE(c,void 0),y=f3e(c,_);return y?so(y,Uve(eS(c))):Xp(_?Ev(_):nc(c))}const d=Bv(n.parent);if(Lc(d)){const _=d.left;if(ws(_)){const{expression:y}=_;if(a&&Ve(y)){const x=jn(d);if(x.commonJsModuleIndicator&&jm(y)===x.symbol)return}return Xp(nc(y))}}}}function _3e(n){const a=n.parent;if(!pee(a))return;const c=xS(a);if(c&&c.arguments){const _=ite(c),y=a.parameters.indexOf(n);if(n.dotDotDotToken)return Gye(_,y,_.length,j,void 0,0);const x=ei(c),k=x.resolvedSignature;x.resolvedSignature=Et;const z=y<_.length?Q1(mo(_[y])):n.initializer?void 0:Lt;return x.resolvedSignature=k,z}const d=$8(a);if(d){const _=a.parameters.indexOf(n)-(qE(a)?1:0);return n.dotDotDotToken&&tl(a.parameters)===n?fB(d,_):nS(d,_)}}function mye(n,a){const c=yu(n)||(lr(n)?sW(n):void 0);if(c)return Ei(c);switch(n.kind){case 169:return _3e(n);case 208:return Tft(n,a);case 172:if(sa(n))return Aft(n,a)}}function Tft(n,a){const c=n.parent.parent,d=n.propertyName||n.name,_=mye(c,a)||c.kind!==208&&c.initializer&&aM(c,n.dotDotDotToken?32:0);if(!_||ta(d)||PL(d))return;if(c.name.kind===207){const x=sR(n.parent.elements,n);return x<0?void 0:vye(_,x)}const y=vy(d);if(rm(y)){const x=im(y);return Xe(_,x)}}function Aft(n,a){const c=yt(n.parent)&&Yd(n.parent,a);if(c)return bE(c,Ir(n).escapedName)}function Ift(n,a){const c=n.parent;if(zy(c)&&n===c.initializer){const d=mye(c,a);if(d)return d;if(!(a&8)&&ta(c.name)&&c.name.elements.length>0)return P(c.name,!0,!1)}}function xft(n,a){const c=Gp(n);if(c){let d=jee(c,a);if(d){const _=Vc(c);if(_&1){const y=(_&2)!==0;d.flags&1048576&&(d=dc(d,k=>!!rS(1,k,y)));const x=rS(1,d,(_&2)!==0);if(!x)return;d=x}if(_&2){const y=pl(d,Ey);return y&&ti([y,CWe(y)])}return d}}}function Rft(n,a){const c=Yd(n,a);if(c){const d=Ey(c);return d&&ti([d,CWe(d)])}}function Dft(n,a){const c=Gp(n);if(c){const d=Vc(c);let _=jee(c,a);if(_){const y=(d&2)!==0;return!n.asteriskToken&&_.flags&1048576&&(_=dc(_,x=>!!rS(1,x,y))),n.asteriskToken?_:rS(0,_,y)}}}function _ye(n){let a=!1;for(;n.parent&&!ea(n.parent);){if(Ao(n.parent)&&(a||n.parent.initializer===n))return!0;Qa(n.parent)&&n.parent.initializer===n&&(a=!0),n=n.parent}return!1}function h3e(n,a){const c=!!(Vc(a)&2),d=jee(a,void 0);if(d)return rS(n,d,c)||void 0}function jee(n,a){const c=IN(n);if(c)return c;const d=bye(n);if(d&&!JZ(d)){const y=ps(d),x=Vc(n);return x&1?dc(y,k=>!!(k.flags&58998787)||lbe(k,x,void 0)):x&2?dc(y,k=>!!(k.flags&58998787)||!!cM(k)):y}const _=xS(n);if(_)return Yd(_,a)}function g3e(n,a){const d=ite(n).indexOf(a);return d===-1?void 0:hye(n,d)}function hye(n,a){if(Bp(n))return a===0?ke:a===1?o6e(!1):j;const c=ei(n).resolvedSignature===Er?Er:WN(n);if(ud(n)&&a===0)return Jee(c,n);const d=c.parameters.length-1;return Yu(c)&&a>=d?Lp(dr(c.parameters[d]),g_(a-d),256):y_(c,a)}function Nft(n){const a=ebe(n);return a?KT(a):void 0}function Cft(n,a){if(n.parent.kind===215)return g3e(n.parent,a)}function Pft(n,a){const c=n.parent,{left:d,operatorToken:_,right:y}=c;switch(_.kind){case 64:case 77:case 76:case 78:return n===y?Lft(c):void 0;case 57:case 61:const x=Yd(c,a);return n===y&&(x&&x.pattern||!x&&!Gie(c))?Ru(d):x;case 56:case 28:return n===y?Yd(c,a):void 0;default:return}}function Mft(n){if(x_(n)&&n.symbol)return n.symbol;if(Ve(n))return jm(n);if(Fr(n)){const c=Ru(n.expression);return Ji(n.name)?a(c,n.name):ya(c,n.name.escapedText)}if(Qs(n)){const c=nc(n.argumentExpression);if(!rm(c))return;const d=Ru(n.expression);return ya(d,im(c))}return;function a(c,d){const _=$ee(d.escapedText,d);return _&&Pye(c,_)}}function Lft(n){var a,c;const d=Bl(n);switch(d){case 0:case 4:const _=Mft(n.left),y=_&&_.valueDeclaration;if(y&&(Jo(y)||yp(y))){const z=yu(y);return z&&so(Ei(z),Xi(_).mapper)||(Jo(y)?y.initializer&&Ru(n.left):void 0)}return d===0?Ru(n.left):v3e(n);case 5:if(Uee(n,d))return v3e(n);if(!x_(n.left)||!n.left.symbol)return Ru(n.left);{const z=n.left.symbol.valueDeclaration;if(!z)return;const H=aa(n.left,ws),re=yu(z);if(re)return Ei(re);if(Ve(H.expression)){const be=H.expression,ge=bl(be,be.escapedText,111551,void 0,be.escapedText,!0);if(ge){const Ke=ge.valueDeclaration&&yu(ge.valueDeclaration);if(Ke){const gt=zg(H);if(gt!==void 0)return bE(Ei(Ke),gt)}return}}return lr(z)||z===n.left?void 0:Ru(n.left)}case 1:case 6:case 3:case 2:let x;d!==2&&(x=x_(n.left)?(a=n.left.symbol)==null?void 0:a.valueDeclaration:void 0),x||(x=(c=n.symbol)==null?void 0:c.valueDeclaration);const k=x&&yu(x);return k?Ei(k):void 0;case 7:case 8:case 9:return N.fail("Does not apply");default:return N.assertNever(d)}}function Uee(n,a=Bl(n)){if(a===4)return!0;if(!lr(n)||a!==5||!Ve(n.left.expression))return!1;const c=n.left.expression.escapedText,d=bl(n.left,c,111551,void 0,void 0,!0,!0);return i3(d==null?void 0:d.valueDeclaration)}function v3e(n){if(!n.symbol)return Ru(n.left);if(n.symbol.valueDeclaration){const _=yu(n.symbol.valueDeclaration);if(_){const y=Ei(_);if(y)return y}}const a=aa(n.left,ws);if(!xm(Fd(a.expression,!1,!1)))return;const c=$9(a.expression),d=zg(a);return d!==void 0&&bE(c,d)||void 0}function kft(n){return!!(xl(n)&262144&&!n.links.type&&mx(n,0)>=0)}function bE(n,a,c){return pl(n,d=>{var _;if(Kd(d)&&!d.declaration.nameType){const y=Ef(d),x=Gu(y)||y,k=c||Xd(Vi(a));if(Ea(k,x))return iee(d,k)}else if(d.flags&3670016){const y=ya(d,a);if(y)return kft(y)?void 0:Jb(dr(y),!!(y&&y.flags&16777216));if(Ba(d)&&cg(a)&&+a>=0){const x=Q2(d,d.target.fixedLength,0,!1,!0);if(x)return x}return(_=Lge(kge(d),c||Xd(Vi(a))))==null?void 0:_.type}},!0)}function y3e(n,a){if(N.assert(xm(n)),!(n.flags&67108864))return gye(n,a)}function gye(n,a){const c=n.parent,d=hc(n)&&mye(n,a);if(d)return d;const _=EE(c,a);if(_){if(TN(n)){const y=Ir(n);return bE(_,y.escapedName,Xi(y).nameType)}if(jy(n)){const y=Mo(n);if(y&&Za(y)){const x=mo(y.expression),k=rm(x)&&bE(_,im(x));if(k)return k}}if(n.name){const y=vy(n.name);return pl(_,x=>{var k;return(k=Lge(kge(x),y))==null?void 0:k.type},!0)}}}function wft(n){let a,c;for(let d=0;d<n.length;d++)e_(n[d])&&(a??(a=d),c=d);return{first:a,last:c}}function vye(n,a,c,d,_){return n&&pl(n,y=>{if(Ba(y)){if((d===void 0||a<d)&&a<y.target.fixedLength)return Jb(Js(y)[a],!!y.target.elementFlags[a]);const x=c!==void 0&&(_===void 0||a>_)?c-a:0,k=x>0&&y.target.hasRestElement?L8(y.target,3):0;return x>0&&x<=k?Js(y)[gy(y)-x]:Q2(y,d===void 0?y.target.fixedLength:Math.min(y.target.fixedLength,d),c===void 0||_===void 0?k:Math.min(k,c-_),!1,!0)}return(!d||a<d)&&bE(y,""+a)||ybe(1,y,Oe,void 0,!1)},!0)}function Oft(n,a){const c=n.parent;return n===c.whenTrue||n===c.whenFalse?Yd(c,a):void 0}function Wft(n,a,c){const d=EE(n.openingElement.attributes,c),_=tB(sA(n));if(!(d&&!Nt(d)&&_&&_!==""))return;const y=xR(n.children),x=y.indexOf(a),k=bE(d,_);return k&&(y.length===1?k:pl(k,z=>by(z)?Lp(z,g_(x)):z,!0))}function Fft(n,a){const c=n.parent;return M4(c)?Yd(n,a):dg(c)?Wft(c,n,a):void 0}function b3e(n,a){if(F_(n)){const c=EE(n.parent,a);return!c||Nt(c)?void 0:bE(c,sP(n.name))}else return Yd(n.parent,a)}function Q9(n){switch(n.kind){case 11:case 9:case 10:case 15:case 228:case 112:case 97:case 106:case 80:case 157:return!0;case 211:case 217:return Q9(n.expression);case 294:return!n.expression||Q9(n.expression)}return!1}function zft(n,a){return Cpt(a,n)||Nve(a,So(wt(nr(n.properties,c=>c.symbol?c.kind===303?Q9(c.initializer)&&Z2(a,c.symbol.escapedName):c.kind===304?Z2(a,c.symbol.escapedName):!1:!1),c=>[()=>bB(c.kind===303?c.initializer:c.name),c.symbol.escapedName]),wt(nr(gs(a),c=>{var d;return!!(c.flags&16777216)&&!!((d=n==null?void 0:n.symbol)!=null&&d.members)&&!n.symbol.members.has(c.escapedName)&&Z2(a,c.escapedName)}),c=>[()=>Oe,c.escapedName])),Ea)}function Bft(n,a){const c=tB(sA(n));return Nve(a,So(wt(nr(n.properties,d=>!!d.symbol&&d.kind===291&&Z2(a,d.symbol.escapedName)&&(!d.initializer||Q9(d.initializer))),d=>[d.initializer?()=>bB(d.initializer):()=>Bn,d.symbol.escapedName]),wt(nr(gs(a),d=>{var _;if(!(d.flags&16777216)||!((_=n==null?void 0:n.symbol)!=null&&_.members))return!1;const y=n.parent.parent;return d.escapedName===c&&dg(y)&&xR(y.children).length?!1:!n.symbol.members.has(d.escapedName)&&Z2(a,d.escapedName)}),d=>[()=>Oe,d.escapedName])),Ea)}function EE(n,a){const c=xm(n)?y3e(n,a):Yd(n,a),d=Hee(c,n,a);if(d&&!(a&&a&2&&d.flags&8650752)){const _=pl(d,y=>Wr(y)&32?y:kd(y),!0);return _.flags&1048576&&Oa(n)?zft(n,_):_.flags&1048576&&l1(n)?Bft(n,_):_}}function Hee(n,a,c){if(n&&Cl(n,465829888)){const d=eS(a);if(d&&c&1&&bt(d.inferences,Tht))return qee(n,d.nonFixingMapper);if(d!=null&&d.returnMapper){const _=qee(n,d.returnMapper);return _.flags&1048576&&hv(_.types,bn)&&hv(_.types,Hn)?dc(_,y=>y!==bn&&y!==Hn):_}}return n}function qee(n,a){return n.flags&465829888?so(n,a):n.flags&1048576?ti(wt(n.types,c=>qee(c,a)),0):n.flags&2097152?ba(wt(n.types,c=>qee(c,a))):n}function Yd(n,a){var c;if(n.flags&67108864)return;const d=S3e(n,!a);if(d>=0)return gn[d];const{parent:_}=n;switch(_.kind){case 260:case 169:case 172:case 171:case 208:return Ift(n,a);case 219:case 253:return xft(n,a);case 229:return Dft(_,a);case 223:return Rft(_,a);case 213:case 214:return g3e(_,n);case 170:return Nft(_);case 216:case 234:return Og(_.type)?Yd(_,a):Ei(_.type);case 226:return Pft(n,a);case 303:case 304:return gye(_,a);case 305:return Yd(_.parent,a);case 209:{const y=_,x=EE(y,a),k=sR(y.elements,n),z=(c=ei(y)).spreadIndices??(c.spreadIndices=wft(y.elements));return vye(x,k,y.elements.length,z.first,z.last)}case 227:return Oft(n,a);case 239:return N.assert(_.parent.kind===228),Cft(_.parent,n);case 217:{if(lr(_)){if(qU(_))return Ei(JU(_));const y=d0(_);if(y&&!Og(y.typeExpression.type))return Ei(y.typeExpression.type)}return Yd(_,a)}case 235:return Yd(_,a);case 238:return Ei(_.type);case 277:return ro(_);case 294:return Fft(_,a);case 291:case 293:return b3e(_,a);case 286:case 285:return Uft(_,a);case 301:return jft(_)}}function E3e(n){Z9(n,Yd(n,void 0),!0)}function Z9(n,a,c){Yn[fi]=n,gn[fi]=a,$n[fi]=c,fi++}function Y8(){fi--}function S3e(n,a){for(let c=fi-1;c>=0;c--)if(n===Yn[c]&&(a||!$n[c]))return c;return-1}function Gft(n,a){cr[fr]=n,ri[fr]=a,fr++}function Vft(){fr--}function eS(n){for(let a=fr-1;a>=0;a--)if(jE(n,cr[a]))return ri[a]}function jft(n){return bE(Yge(!1),cW(n))}function Uft(n,a){if(W_(n)&&a!==4){const c=S3e(n.parent,!a);if(c>=0)return gn[c]}return hye(n,0)}function Jee(n,a){return oWe(a)!==0?Hft(n,a):Kft(n,a)}function Hft(n,a){let c=Qye(n,_n);c=T3e(a,sA(a),c);const d=tS(sf.IntrinsicAttributes,a);return Kt(d)||(c=g9(d,c)),c}function qft(n,a){if(n.compositeSignatures){const d=[];for(const _ of n.compositeSignatures){const y=ps(_);if(Nt(y))return y;const x=Xe(y,a);if(!x)return;d.push(x)}return ba(d)}const c=ps(n);return Nt(c)?c:Xe(c,a)}function Jft(n){if(Tx(n.tagName)){const c=M3e(n),d=ote(n,c);return KT(d)}const a=nc(n.tagName);if(a.flags&128){const c=P3e(a,n);if(!c)return _t;const d=ote(n,c);return KT(d)}return a}function T3e(n,a,c){const d=mmt(a);if(d){const _=Jft(n),y=w3e(d,lr(n),_,c);if(y)return y}return c}function Kft(n,a){const c=sA(a),d=hmt(c);let _=d===void 0?Qye(n,_n):d===""?ps(n):qft(n,d);if(!_)return d&&Me(a.attributes.properties)&&Je(a,f.JSX_element_class_does_not_support_attributes_because_it_does_not_have_a_0_property,Vi(d)),_n;if(_=T3e(a,c,_),Nt(_))return _;{let y=_;const x=tS(sf.IntrinsicClassAttributes,a);if(!Kt(x)){const z=kr(x.symbol),H=ps(n);let re;if(z){const be=Fb([H],z,Gh(z),lr(a));re=so(x,kp(z,be))}else re=x;y=g9(re,y)}const k=tS(sf.IntrinsicAttributes,a);return Kt(k)||(y=g9(k,y)),y}}function Xft(n){return fd(V,"noImplicitAny")?od(n,(a,c)=>a===c||!a?a:S8e(a.typeParameters,c.typeParameters)?Qft(a,c):void 0):void 0}function Yft(n,a,c){if(!n||!a)return n||a;const d=ti([dr(n),so(dr(a),c)]);return tA(n,d)}function $ft(n,a,c){const d=Yp(n),_=Yp(a),y=d>=_?n:a,x=y===n?a:n,k=y===n?d:_,z=Hh(n)||Hh(a),H=z&&!Hh(y),re=new Array(k+(H?1:0));for(let be=0;be<k;be++){let ge=nS(y,be);y===a&&(ge=so(ge,c));let Ke=nS(x,be)||_n;x===a&&(Ke=so(Ke,c));const gt=ti([ge,Ke]),Dt=z&&!H&&be===k-1,an=be>=rh(y)&&be>=rh(x),cn=be>=d?void 0:oM(n,be),ar=be>=_?void 0:oM(a,be),On=cn===ar?cn:cn?ar?void 0:cn:ar,ln=Xa(1|(an&&!Dt?16777216:0),On||`arg${be}`);ln.links.type=Dt?Vu(gt):gt,re[be]=ln}if(H){const be=Xa(1,"args");be.links.type=Vu(y_(x,k)),x===a&&(be.links.type=so(be.links.type,c)),re[k]=be}return re}function Qft(n,a){const c=n.typeParameters||a.typeParameters;let d;n.typeParameters&&a.typeParameters&&(d=kp(a.typeParameters,n.typeParameters));const _=n.declaration,y=$ft(n,a,d),x=Yft(n.thisParameter,a.thisParameter,d),k=Math.max(n.minArgumentCount,a.minArgumentCount),z=Ig(_,c,x,y,void 0,void 0,k,(n.flags|a.flags)&167);return z.compositeKind=2097152,z.compositeSignatures=So(n.compositeKind===2097152&&n.compositeSignatures||[n],[a]),d&&(z.mapper=n.compositeKind===2097152&&n.mapper&&n.compositeSignatures?$1(n.mapper,d):d),z}function yye(n,a){const c=Yo(n,0),d=nr(c,_=>!Zft(_,a));return d.length===1?d[0]:Xft(d)}function Zft(n,a){let c=0;for(;c<a.parameters.length;c++){const d=a.parameters[c];if(d.initializer||d.questionToken||d.dotDotDotToken||Rk(d))break}return a.parameters.length&&JE(a.parameters[0])&&c--,!Hh(n)&&Yp(n)<c}function bye(n){return QE(n)||xm(n)?$8(n):void 0}function $8(n){N.assert(n.kind!==174||xm(n));const a=j2(n);if(a)return a;const c=EE(n,1);if(!c)return;if(!(c.flags&1048576))return yye(c,n);let d;const _=c.types;for(const y of _){const x=yye(y,n);if(x)if(!d)d=[x];else if(z9(d[0],x,!1,!0,!0,z8))d.push(x);else return}if(d)return d.length===1?d[0]:b8e(d[0],d)}function emt(n,a){se<2&&Nc(n,V.downlevelIteration?1536:1024);const c=mo(n.expression,a);return Sy(33,c,Oe,n.expression)}function tmt(n){return n.isSpread?Lp(n.type,Tt):n.type}function iM(n){return n.kind===208&&!!n.initializer||n.kind===226&&n.operatorToken.kind===64}function nmt(n){const a=Bv(n.parent);return e_(a)&&I_(a.parent)}function A3e(n,a,c){const d=n.elements,_=d.length,y=[],x=[];E3e(n);const k=ig(n),z=sM(n),H=EE(n,void 0),re=nmt(n)||!!H&&Um(H,ge=>$2(ge)||Kd(ge)&&!ge.nameType&&!!W8(ge.target||ge));let be=!1;for(let ge=0;ge<_;ge++){const Ke=d[ge];if(Ke.kind===230){se<2&&Nc(Ke,V.downlevelIteration?1536:1024);const gt=mo(Ke.expression,a,c);if(by(gt))y.push(gt),x.push(8);else if(k){const Dt=dE(gt,Tt)||ybe(65,gt,Oe,void 0,!1)||_n;y.push(Dt),x.push(4)}else y.push(Sy(33,gt,Oe,Ke.expression)),x.push(4)}else if(De&&Ke.kind===232)be=!0,y.push(_e),x.push(2);else{const gt=lM(Ke,a,c);if(y.push(cp(gt,!0,be)),x.push(be?2:1),re&&a&&a&2&&!(a&4)&&Uf(Ke)){const Dt=eS(n);N.assert(Dt),Vve(Dt,Ke,gt)}}}return Y8(),k?jh(y,x):I3e(c||z||re?jh(y,x,z&&!(H&&Um(H,Lve))):Vu(y.length?ti(eo(y,(ge,Ke)=>x[Ke]&8?Bb(ge,Tt)||j:ge),2):Y?Ci:Lt,z))}function I3e(n){if(!(Wr(n)&4))return n;let a=n.literalType;return a||(a=n.literalType=J8e(n),a.objectFlags|=147456),a}function rmt(n){switch(n.kind){case 167:return imt(n);case 80:return cg(n.escapedText);case 9:case 11:return cg(n.text);default:return!1}}function imt(n){return xu(Rg(n),296)}function Rg(n){const a=ei(n.expression);if(!a.resolvedType){if((Ep(n.parent.parent)||ui(n.parent.parent)||hd(n.parent.parent))&&mr(n.expression)&&n.expression.operatorToken.kind===103&&n.parent.kind!==177&&n.parent.kind!==178)return a.resolvedType=_t;if(a.resolvedType=mo(n.expression),Jo(n.parent)&&!mc(n.parent)&&Zc(n.parent.parent)){const c=hh(n.parent.parent),d=cye(c);d&&(ei(d).flags|=4096,ei(n).flags|=32768,ei(n.parent.parent).flags|=32768)}(a.resolvedType.flags&98304||!xu(a.resolvedType,402665900)&&!Ea(a.resolvedType,Dn))&&Je(n,f.A_computed_property_name_must_be_of_type_string_number_symbol_or_any)}return a.resolvedType}function omt(n){var a;const c=(a=n.declarations)==null?void 0:a[0];return cg(n.escapedName)||c&&ld(c)&&rmt(c.name)}function x3e(n){var a;const c=(a=n.declarations)==null?void 0:a[0];return lk(n)||c&&ld(c)&&Za(c.name)&&xu(Rg(c.name),4096)}function Eye(n,a,c,d){const _=[];for(let x=a;x<c.length;x++){const k=c[x];(d===ke&&!x3e(k)||d===Tt&&omt(k)||d===Ai&&x3e(k))&&_.push(dr(c[x]))}const y=_.length?ti(_,2):Oe;return Vh(d,y,sM(n))}function Sye(n){N.assert((n.flags&2097152)!==0,"Should only get Alias here.");const a=Xi(n);if(!a.immediateTarget){const c=Fm(n);if(!c)return N.fail();a.immediateTarget=Hp(c,!0)}return a.immediateTarget}function amt(n,a=0){var c;const d=ig(n);pbt(n,d);const _=Y?ua():void 0;let y=ua(),x=[],k=La;E3e(n);const z=EE(n,void 0),H=z&&z.pattern&&(z.pattern.kind===206||z.pattern.kind===210),re=sM(n),be=re?8:0,ge=lr(n)&&!c3(n),Ke=ge?YV(n):void 0,gt=!z&&ge&&!Ke;let Dt=Ee,an=!1,cn=!1,ar=!1,On=!1;for(const Fn of n.properties)Fn.name&&Za(Fn.name)&&Rg(Fn.name);let ln=0;for(const Fn of n.properties){let er=Ir(Fn);const qn=Fn.name&&Fn.name.kind===167?Rg(Fn.name):void 0;if(Fn.kind===303||Fn.kind===304||xm(Fn)){let tr=Fn.kind===303?HWe(Fn,a):Fn.kind===304?lM(!d&&Fn.objectAssignmentInitializer?Fn.objectAssignmentInitializer:Fn.name,a):qWe(Fn,a);if(ge){const Bo=uv(Fn);Bo?(nd(tr,Bo,Fn),tr=Bo):Ke&&Ke.typeExpression&&nd(tr,Ei(Ke.typeExpression),Fn)}Dt|=Wr(tr)&458752;const Ro=qn&&rm(qn)?qn:void 0,Eo=Ro?Xa(4|er.flags,im(Ro),be|4096):Xa(4|er.flags,er.escapedName,be);if(Ro&&(Eo.links.nameType=Ro),d)(Fn.kind===303&&iM(Fn.initializer)||Fn.kind===304&&Fn.objectAssignmentInitializer)&&(Eo.flags|=16777216);else if(H&&!(Wr(z)&512)){const Bo=ya(z,er.escapedName);Bo?Eo.flags|=Bo.flags&16777216:!V.suppressExcessPropertyErrors&&!xg(z,ke)&&Je(Fn.name,f.Object_literal_may_only_specify_known_properties_and_0_does_not_exist_in_type_1,bi(er),Un(z))}if(Eo.declarations=er.declarations,Eo.parent=er.parent,er.valueDeclaration&&(Eo.valueDeclaration=er.valueDeclaration),Eo.links.type=tr,Eo.links.target=er,er=Eo,_==null||_.set(Eo.escapedName,Eo),z&&a&2&&!(a&4)&&(Fn.kind===303||Fn.kind===174)&&Uf(Fn)){const Bo=eS(n);N.assert(Bo);const _o=Fn.kind===303?Fn.initializer:Fn;Vve(Bo,_o,tr)}}else if(Fn.kind===305){se<2&&Nc(Fn,2),x.length>0&&(k=K1(k,zn(),n.symbol,Dt,re),x=[],y=ua(),cn=!1,ar=!1,On=!1);const tr=h_(mo(Fn.expression,a&2));if(eB(tr)){const Ro=_ve(tr,re);if(_&&D3e(Ro,_,Fn),ln=x.length,Kt(k))continue;k=K1(k,Ro,n.symbol,Dt,re)}else Je(Fn,f.Spread_types_may_only_be_created_from_object_types),k=_t;continue}else N.assert(Fn.kind===177||Fn.kind===178),Ax(Fn);qn&&!(qn.flags&8576)?Ea(qn,Dn)&&(Ea(qn,Tt)?ar=!0:Ea(qn,Ai)?On=!0:cn=!0,d&&(an=!0)):y.set(er.escapedName,er),x.push(er)}if(Y8(),H){const Fn=Gn(z.pattern.parent,qn=>qn.kind===260||qn.kind===226||qn.kind===169);if(Gn(n,qn=>qn===Fn||qn.kind===305).kind!==305)for(const qn of gs(z))!y.get(qn.escapedName)&&!ya(k,qn.escapedName)&&(qn.flags&16777216||Je(qn.valueDeclaration||((c=ii(qn,mh))==null?void 0:c.links.bindingElement),f.Initializer_provides_no_value_for_this_binding_element_and_the_binding_element_has_no_default_value),y.set(qn.escapedName,qn),x.push(qn))}if(Kt(k))return _t;if(k!==La)return x.length>0&&(k=K1(k,zn(),n.symbol,Dt,re),x=[],y=ua(),cn=!1,ar=!1),pl(k,Fn=>Fn===La?zn():Fn);return zn();function zn(){const Fn=[];cn&&Fn.push(Eye(n,ln,x,ke)),ar&&Fn.push(Eye(n,ln,x,Tt)),On&&Fn.push(Eye(n,ln,x,Ai));const er=Ls(n.symbol,y,et,et,Fn);return er.objectFlags|=Dt|128|131072,gt&&(er.objectFlags|=4096),an&&(er.objectFlags|=512),d&&(er.pattern=n),er}}function eB(n){const a=E4e(pl(n,_v));return!!(a.flags&126615553||a.flags&3145728&&Zn(a.types,eB))}function smt(n){Iye(n)}function lmt(n,a){return Ax(n),nB(n)||j}function cmt(n){Iye(n.openingElement),Tx(n.closingElement.tagName)?Xee(n.closingElement):mo(n.closingElement.tagName),Kee(n)}function umt(n,a){return Ax(n),nB(n)||j}function dmt(n){Iye(n.openingFragment);const a=jn(n);return q3(V)&&(V.jsxFactory||a.pragmas.has("jsx"))&&!V.jsxFragmentFactory&&!a.pragmas.has("jsxfrag")&&Je(n,V.jsxFactory?f.The_jsxFragmentFactory_compiler_option_must_be_provided_to_use_JSX_fragments_with_the_jsxFactory_compiler_option:f.An_jsxFrag_pragma_is_required_when_using_an_jsx_pragma_with_JSX_fragments),Kee(n),nB(n)||j}function Tye(n){return n.includes("-")}function Tx(n){return Ve(n)&&DR(n.escapedText)||t_(n)}function R3e(n,a){return n.initializer?lM(n.initializer,a):Bn}function pmt(n,a=0){const c=n.attributes,d=Yd(c,0),_=Y?ua():void 0;let y=ua(),x=_l,k=!1,z,H=!1,re=2048;const be=tB(sA(n));for(const gt of c.properties){const Dt=gt.symbol;if(F_(gt)){const an=R3e(gt,a);re|=Wr(an)&458752;const cn=Xa(4|Dt.flags,Dt.escapedName);if(cn.declarations=Dt.declarations,cn.parent=Dt.parent,Dt.valueDeclaration&&(cn.valueDeclaration=Dt.valueDeclaration),cn.links.type=an,cn.links.target=Dt,y.set(cn.escapedName,cn),_==null||_.set(cn.escapedName,cn),sP(gt.name)===be&&(H=!0),d){const ar=ya(d,Dt.escapedName);ar&&ar.declarations&&hb(ar)&&Ve(gt.name)&&uy(gt.name,ar.declarations,gt.name.escapedText)}if(d&&a&2&&!(a&4)&&Uf(gt)){const ar=eS(c);N.assert(ar);const On=gt.initializer.expression;Vve(ar,On,an)}}else{N.assert(gt.kind===293),y.size>0&&(x=K1(x,Ke(),c.symbol,re,!1),y=ua());const an=h_(mo(gt.expression,a&2));Nt(an)&&(k=!0),eB(an)?(x=K1(x,an,c.symbol,re,!1),_&&D3e(an,_,gt)):(Je(gt.expression,f.Spread_types_may_only_be_created_from_object_types),z=z?ba([z,an]):an)}}k||y.size>0&&(x=K1(x,Ke(),c.symbol,re,!1));const ge=n.parent.kind===284?n.parent:void 0;if(ge&&ge.openingElement===n&&xR(ge.children).length>0){const gt=Kee(ge,a);if(!k&&be&&be!==""){H&&Je(c,f._0_are_specified_twice_The_attribute_named_0_will_be_overwritten,Vi(be));const Dt=EE(n.attributes,void 0),an=Dt&&bE(Dt,be),cn=Xa(4,be);cn.links.type=gt.length===1?gt[0]:an&&Um(an,$2)?jh(gt):Vu(ti(gt)),cn.valueDeclaration=w.createPropertySignature(void 0,Vi(be),void 0,void 0),qa(cn.valueDeclaration,c),cn.valueDeclaration.symbol=cn;const ar=ua();ar.set(be,cn),x=K1(x,Ls(c.symbol,ar,et,et,et),c.symbol,re,!1)}}if(k)return j;if(z&&x!==_l)return ba([z,x]);return z||(x===_l?Ke():x);function Ke(){re|=Ee;const gt=Ls(c.symbol,y,et,et,et);return gt.objectFlags|=re|128|131072,gt}}function Kee(n,a){const c=[];for(const d of n.children)if(d.kind===12)d.containsOnlyTriviaWhiteSpaces||c.push(ke);else{if(d.kind===294&&!d.expression)continue;c.push(lM(d,a))}return c}function D3e(n,a,c){for(const d of gs(n))if(!(d.flags&16777216)){const _=a.get(d.escapedName);if(_){const y=Je(_.valueDeclaration,f._0_is_specified_more_than_once_so_this_usage_will_be_overwritten,Vi(_.escapedName));wa(y,wr(c,f.This_spread_always_overwrites_this_property))}}}function fmt(n,a){return pmt(n.parent,a)}function tS(n,a){const c=sA(a),d=c&&Cp(c),_=d&&Jd(d,n,788968);return _?el(_):_t}function Xee(n){const a=ei(n);if(!a.resolvedSymbol){const c=tS(sf.IntrinsicElements,n);if(Kt(c))return pe&&Je(n,f.JSX_element_implicitly_has_type_any_because_no_interface_JSX_0_exists,Vi(sf.IntrinsicElements)),a.resolvedSymbol=ft;{if(!Ve(n.tagName)&&!t_(n.tagName))return N.fail();const d=t_(n.tagName)?YA(n.tagName):n.tagName.escapedText,_=ya(c,d);if(_)return a.jsxFlags|=1,a.resolvedSymbol=_;const y=n7e(c,Xd(Vi(d)));return y?(a.jsxFlags|=2,a.resolvedSymbol=y):xt(c,d)?(a.jsxFlags|=2,a.resolvedSymbol=c.symbol):(Je(n,f.Property_0_does_not_exist_on_type_1,KU(n.tagName),"JSX."+sf.IntrinsicElements),a.resolvedSymbol=ft)}}return a.resolvedSymbol}function Aye(n){const a=n&&jn(n),c=a&&ei(a);if(c&&c.jsxImplicitImportContainer===!1)return;if(c&&c.jsxImplicitImportContainer)return c.jsxImplicitImportContainer;const d=K3(J3(V,a),V);if(!d)return;const y=md(V)===1?f.Cannot_find_module_0_Did_you_mean_to_set_the_moduleResolution_option_to_nodenext_or_to_add_aliases_to_the_paths_option:f.Cannot_find_module_0_or_its_corresponding_type_declarations,x=V.importHelpers?1:0,k=a==null?void 0:a.imports[x];k&&N.assert($s(k)&&k.text===d,`Expected sourceFile.imports[${x}] to be the synthesized JSX runtime import`);const z=K_(k||n,d,y,n),H=z&&z!==ft?rs(jl(z)):void 0;return c&&(c.jsxImplicitImportContainer=H||!1),H}function sA(n){const a=n&&ei(n);if(a&&a.jsxNamespace)return a.jsxNamespace;if(!a||a.jsxNamespace!==!1){let d=Aye(n);if(!d||d===ft){const _=J0(n);d=bl(n,_,1920,void 0,_,!1)}if(d){const _=jl(Jd(Cp(jl(d)),sf.JSX,1920));if(_&&_!==ft)return a&&(a.jsxNamespace=_),_}a&&(a.jsxNamespace=!1)}const c=jl(q2(sf.JSX,1920,void 0));if(c!==ft)return c}function N3e(n,a){const c=a&&Jd(a.exports,n,788968),d=c&&el(c),_=d&&gs(d);if(_){if(_.length===0)return"";if(_.length===1)return _[0].escapedName;_.length>1&&c.declarations&&Je(c.declarations[0],f.The_global_type_JSX_0_may_not_have_more_than_one_property,Vi(n))}}function mmt(n){return n&&Jd(n.exports,sf.LibraryManagedAttributes,788968)}function _mt(n){return n&&Jd(n.exports,sf.ElementType,788968)}function hmt(n){return N3e(sf.ElementAttributesPropertyNameContainer,n)}function tB(n){return N3e(sf.ElementChildrenAttributeNameContainer,n)}function C3e(n,a){if(n.flags&4)return[Et];if(n.flags&128){const _=P3e(n,a);return _?[ote(a,_)]:(Je(a,f.Property_0_does_not_exist_on_type_1,n.value,"JSX."+sf.IntrinsicElements),et)}const c=kd(n);let d=Yo(c,1);return d.length===0&&(d=Yo(c,0)),d.length===0&&c.flags&1048576&&(d=Ege(wt(c.types,_=>C3e(_,a)))),d}function P3e(n,a){const c=tS(sf.IntrinsicElements,a);if(!Kt(c)){const d=n.value,_=ya(c,hl(d));if(_)return dr(_);const y=dE(c,ke);return y||void 0}return j}function gmt(n,a,c){if(n===1){const _=k3e(c);_&&Hf(a,_,qd,c.tagName,f.Its_return_type_0_is_not_a_valid_JSX_element,d)}else if(n===0){const _=L3e(c);_&&Hf(a,_,qd,c.tagName,f.Its_instance_type_0_is_not_a_valid_JSX_element,d)}else{const _=k3e(c),y=L3e(c);if(!_||!y)return;const x=ti([_,y]);Hf(a,x,qd,c.tagName,f.Its_element_type_0_is_not_a_valid_JSX_element,d)}function d(){const _=fc(c.tagName);return jo(void 0,f._0_cannot_be_used_as_a_JSX_component,_)}}function M3e(n){var a;N.assert(Tx(n.tagName));const c=ei(n);if(!c.resolvedJsxElementAttributesType){const d=Xee(n);if(c.jsxFlags&1)return c.resolvedJsxElementAttributesType=dr(d)||_t;if(c.jsxFlags&2){const _=t_(n.tagName)?YA(n.tagName):n.tagName.escapedText;return c.resolvedJsxElementAttributesType=((a=gx(tS(sf.IntrinsicElements,n),_))==null?void 0:a.type)||_t}else return c.resolvedJsxElementAttributesType=_t}return c.resolvedJsxElementAttributesType}function L3e(n){const a=tS(sf.ElementClass,n);if(!Kt(a))return a}function nB(n){return tS(sf.Element,n)}function k3e(n){const a=nB(n);if(a)return ti([a,me])}function vmt(n){const a=sA(n);if(!a)return;const c=_mt(a);if(!c)return;const d=w3e(c,lr(n));if(!(!d||Kt(d)))return d}function w3e(n,a,...c){const d=el(n);if(n.flags&524288){const _=Xi(n).typeParameters;if(Me(_)>=c.length){const y=Fb(c,_,c.length,a);return Me(y)===0?d:RN(n,y)}}if(Me(d.typeParameters)>=c.length){const _=Fb(c,d.typeParameters,c.length,a);return hy(d,_)}}function ymt(n){const a=tS(sf.IntrinsicElements,n);return a?gs(a):et}function bmt(n){(V.jsx||0)===0&&Je(n,f.Cannot_use_JSX_unless_the_jsx_flag_is_provided),nB(n)===void 0&&pe&&Je(n,f.JSX_element_implicitly_has_type_any_because_the_global_type_JSX_Element_does_not_exist)}function Iye(n){const a=ud(n);if(a&&fbt(n),bmt(n),!Aye(n)){const c=ts&&V.jsx===2?f.Cannot_find_name_0:void 0,d=J0(n),_=a?n.tagName:n;let y;if(hI(n)&&d==="null"||(y=bl(_,d,111551,c,d,!0)),y&&(y.isReferenced=-1,It&&y.flags&2097152&&!Ff(y)&&Tb(y)),hI(n)){const x=jn(n),k=tx(x);k&&bl(_,k,111551,c,k,!0)}}if(a){const c=n,d=WN(c);ste(d,n);const _=vmt(c);if(_!==void 0){const y=c.tagName,x=Tx(y)?Xd(KU(y)):mo(y);Hf(x,_,qd,y,f.Its_type_0_is_not_a_valid_JSX_element_type,()=>{const k=fc(y);return jo(void 0,f._0_cannot_be_used_as_a_JSX_component,k)})}else gmt(oWe(c),ps(d),c)}}function xye(n,a,c){if(n.flags&524288){if(uE(n,a)||gx(n,a)||x8(a)&&xg(n,ke)||c&&Tye(a))return!0}else if(n.flags&3145728&&rB(n)){for(const d of n.types)if(xye(d,a,c))return!0}return!1}function rB(n){return!!(n.flags&524288&&!(Wr(n)&512)||n.flags&67108864||n.flags&1048576&&bt(n.types,rB)||n.flags&2097152&&Zn(n.types,rB))}function Emt(n,a){if(_bt(n),n.expression){const c=mo(n.expression,a);return n.dotDotDotToken&&c!==j&&!qf(c)&&Je(n,f.JSX_spread_child_must_be_an_array_type),c}else return _t}function Rye(n){return n.valueDeclaration?aS(n.valueDeclaration):0}function Dye(n){if(n.flags&8192||xl(n)&4)return!0;if(lr(n.valueDeclaration)){const a=n.valueDeclaration.parent;return a&&mr(a)&&Bl(a)===3}}function Nye(n,a,c,d,_,y=!0){const x=y?n.kind===166?n.right:n.kind===205?n:n.kind===208&&n.propertyName?n.propertyName:n.name:void 0;return O3e(n,a,c,d,_,x)}function O3e(n,a,c,d,_,y){var x;const k=Df(_,c);if(a){if(se<2&&W3e(_))return y&&Je(y,f.Only_public_and_protected_methods_of_the_base_class_are_accessible_via_the_super_keyword),!1;if(k&64)return y&&Je(y,f.Abstract_method_0_in_class_1_cannot_be_accessed_via_super_expression,bi(_),Un(Sx(_))),!1;if(!(k&256)&&((x=_.declarations)!=null&&x.some(Bre)))return y&&Je(y,f.Class_field_0_defined_by_the_parent_class_is_not_accessible_in_the_child_class_via_super,bi(_)),!1}if(k&64&&W3e(_)&&(FL(n)||Fie(n)||am(n.parent)&&i3(n.parent.parent))){const H=Vg(Pd(_));if(H&&pyt(n))return y&&Je(y,f.Abstract_property_0_in_class_1_cannot_be_accessed_in_the_constructor,bi(_),em(H.name)),!1}if(!(k&6))return!0;if(k&2){const H=Vg(Pd(_));return Pbe(n,H)?!0:(y&&Je(y,f.Property_0_is_private_and_only_accessible_within_class_1,bi(_),Un(Sx(_))),!1)}if(a)return!0;let z=e7e(n,H=>{const re=el(Ir(H));return d4e(re,_,c)});return!z&&(z=Smt(n),z=z&&d4e(z,_,c),k&256||!z)?(y&&Je(y,f.Property_0_is_protected_and_only_accessible_within_class_1_and_its_subclasses,bi(_),Un(Sx(_)||d)),!1):k&256?!0:(d.flags&262144&&(d=d.isThisType?Ld(d):Gu(d)),!d||!EN(d,z)?(y&&Je(y,f.Property_0_is_protected_and_only_accessible_through_an_instance_of_class_1_This_is_an_instance_of_class_2,bi(_),Un(z),Un(d)),!1):!0)}function Smt(n){const a=Tmt(n);let c=(a==null?void 0:a.type)&&Ei(a.type);if(c&&c.flags&262144&&(c=Ld(c)),c&&Wr(c)&7)return my(c)}function Tmt(n){const a=Fd(n,!1,!1);return a&&ea(a)?qE(a):void 0}function W3e(n){return!!F9(n,a=>!(a.flags&8192))}function wN(n){return eh(mo(n),n)}function iB(n){return _m(n,50331648)}function Cye(n){return iB(n)?Ev(n):n}function Amt(n,a){const c=Gl(n)?_p(n):void 0;if(n.kind===106){Je(n,f.The_value_0_cannot_be_used_here,"null");return}if(c!==void 0&&c.length<100){if(Ve(n)&&c==="undefined"){Je(n,f.The_value_0_cannot_be_used_here,"undefined");return}Je(n,a&16777216?a&33554432?f._0_is_possibly_null_or_undefined:f._0_is_possibly_undefined:f._0_is_possibly_null,c)}else Je(n,a&16777216?a&33554432?f.Object_is_possibly_null_or_undefined:f.Object_is_possibly_undefined:f.Object_is_possibly_null)}function Imt(n,a){Je(n,a&16777216?a&33554432?f.Cannot_invoke_an_object_which_is_possibly_null_or_undefined:f.Cannot_invoke_an_object_which_is_possibly_undefined:f.Cannot_invoke_an_object_which_is_possibly_null)}function F3e(n,a,c){if(Y&&n.flags&2){if(Gl(a)){const _=_p(a);if(_.length<100)return Je(a,f._0_is_of_type_unknown,_),_t}return Je(a,f.Object_is_of_type_unknown),_t}const d=eM(n,50331648);if(d&50331648){c(a,d);const _=Ev(n);return _.flags&229376?_t:_}return n}function eh(n,a){return F3e(n,a,Amt)}function z3e(n,a){const c=eh(n,a);if(c.flags&16384){if(Gl(a)){const d=_p(a);if(Ve(a)&&d==="undefined")return Je(a,f.The_value_0_cannot_be_used_here,d),c;if(d.length<100)return Je(a,f._0_is_possibly_undefined,d),c}Je(a,f.Object_is_possibly_undefined)}return c}function Yee(n,a,c){return n.flags&64?xmt(n,a):Mye(n,n.expression,wN(n.expression),n.name,a,c)}function xmt(n,a){const c=mo(n.expression),d=j8(c,n.expression);return Iee(Mye(n,n.expression,eh(d,n.expression),n.name,a),n,d!==c)}function B3e(n,a){const c=l3(n)&&KE(n.left)?eh($9(n.left),n.left):wN(n.left);return Mye(n,n.left,c,n.right,a)}function G3e(n){for(;n.parent.kind===217;)n=n.parent;return I_(n.parent)&&n.parent.expression===n}function $ee(n,a){for(let c=n3(a);c;c=su(c)){const{symbol:d}=c,_=sk(d,n),y=d.members&&d.members.get(_)||d.exports&&d.exports.get(_);if(y)return y}}function Rmt(n){if(!su(n))return En(n,f.Private_identifiers_are_not_allowed_outside_class_bodies);if(!aF(n.parent)){if(!ng(n))return En(n,f.Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member_declaration_property_access_or_on_the_left_hand_side_of_an_in_expression);const a=mr(n.parent)&&n.parent.operatorToken.kind===103;if(!Qee(n)&&!a)return En(n,f.Cannot_find_name_0,Sr(n))}return!1}function Dmt(n){Rmt(n);const a=Qee(n);return a&&aB(a,void 0,!1),j}function Qee(n){if(!ng(n))return;const a=ei(n);return a.resolvedSymbol===void 0&&(a.resolvedSymbol=$ee(n.escapedText,n)),a.resolvedSymbol}function Pye(n,a){return ya(n,a.escapedName)}function Nmt(n,a,c){let d;const _=gs(n);_&&Ue(_,x=>{const k=x.valueDeclaration;if(k&&ld(k)&&Ji(k.name)&&k.name.escapedText===a.escapedText)return d=x,!0});const y=Wm(a);if(d){const x=N.checkDefined(d.valueDeclaration),k=N.checkDefined(su(x));if(c!=null&&c.valueDeclaration){const z=c.valueDeclaration,H=su(z);if(N.assert(!!H),Gn(H,re=>k===re)){const re=Je(a,f.The_property_0_cannot_be_accessed_on_type_1_within_this_class_because_it_is_shadowed_by_another_private_identifier_with_the_same_spelling,y,Un(n));return wa(re,wr(z,f.The_shadowing_declaration_of_0_is_defined_here,y),wr(x,f.The_declaration_of_0_that_you_probably_intended_to_use_is_defined_here,y)),!0}}return Je(a,f.Property_0_is_not_accessible_outside_class_1_because_it_has_a_private_identifier,y,Wm(k.name||KF)),!0}return!1}function V3e(n,a){return(vN(a)||FL(n)&&W2(a))&&Fd(n,!0,!1)===F2(a)}function Mye(n,a,c,d,_,y){const x=ei(a).resolvedSymbol,k=BA(n),z=kd(k!==0||G3e(n)?Xp(c):c),H=Nt(z)||z===vo;let re;if(Ji(d)){se<99&&(k!==0&&Nc(n,1048576),k!==1&&Nc(n,524288));const ge=$ee(d.escapedText,d);if(k&&ge&&ge.valueDeclaration&&ql(ge.valueDeclaration)&&En(d,f.Cannot_assign_to_private_method_0_Private_methods_are_not_writable,Sr(d)),H){if(ge)return Kt(z)?_t:z;if(n3(d)===void 0)return En(d,f.Private_identifiers_are_not_allowed_outside_class_bodies),j}if(re=ge&&Pye(c,ge),re===void 0){if(Nmt(c,d,ge))return _t;const Ke=n3(d);Ke&&RL(jn(Ke),V.checkJs)&&En(d,f.Private_field_0_must_be_declared_in_an_enclosing_class,Sr(d))}else re.flags&65536&&!(re.flags&32768)&&k!==1&&Je(n,f.Private_accessor_was_defined_without_a_getter)}else{if(H)return Ve(a)&&x&&Vee(x,n),Kt(z)?_t:z;re=ya(z,d.escapedText,ute(z),n.kind===166)}Ve(a)&&x&&(om(V)||!(re&&(u6(re)||re.flags&8&&n.parent.kind===306))||e1(V)&&s3e(n))&&Vee(x,n);let be;if(re){const ge=Dbe(re,d);if(hb(ge)&&uve(n,ge)&&ge.declarations&&uy(d,ge.declarations,d.escapedText),Cmt(re,n,d),aB(re,n,X3e(a,x)),ei(n).resolvedSymbol=re,Nye(n,a.kind===108,HA(n),z,re),FWe(n,re,k))return Je(d,f.Cannot_assign_to_0_because_it_is_a_read_only_property,Sr(d)),_t;be=V3e(n,re)?ot:y||F3(n)?U1(re):dr(re)}else{const ge=!Ji(d)&&(k===0||!$T(c)||oP(c))?gx(z,d.escapedText):void 0;if(!(ge&&ge.type)){const Ke=Lye(n,c.symbol,!0);return!Ke&&k8(c)?j:c.symbol===at?(at.exports.has(d.escapedText)&&at.exports.get(d.escapedText).flags&418?Je(d,f.Property_0_does_not_exist_on_type_1,Vi(d.escapedText),Un(c)):pe&&Je(d,f.Element_implicitly_has_an_any_type_because_type_0_has_no_index_signature,Un(c)),j):(d.escapedText&&!AT(n)&&H3e(d,oP(c)?z:c,Ke),_t)}ge.isReadonly&&(ig(n)||$j(n))&&Je(n,f.Index_signature_in_type_0_only_permits_reading,Un(z)),be=V.noUncheckedIndexedAccess&&!ig(n)?ti([ge.type,L]):ge.type,V.noPropertyAccessFromIndexSignature&&Fr(n)&&Je(d,f.Property_0_comes_from_an_index_signature_so_it_must_be_accessed_with_0,Vi(d.escapedText)),ge.declaration&&cy(ge.declaration)&&uy(d,[ge.declaration],d.escapedText)}return j3e(n,re,be,d,_)}function Lye(n,a,c){var d;const _=jn(n);if(_&&V.checkJs===void 0&&_.checkJsDirective===void 0&&(_.scriptKind===1||_.scriptKind===2)){const y=Ue(a==null?void 0:a.declarations,jn),x=!(a!=null&&a.valueDeclaration)||!ui(a.valueDeclaration)||((d=a.valueDeclaration.heritageClauses)==null?void 0:d.length)||zv(!1,a.valueDeclaration);return!(_!==y&&y&&Mh(y))&&!(c&&a&&a.flags&32&&x)&&!(n&&c&&Fr(n)&&n.expression.kind===110&&x)}return!1}function j3e(n,a,c,d,_){const y=BA(n);if(y===1)return Jb(c,!!(a&&a.flags&16777216));if(a&&!(a.flags&98311)&&!(a.flags&8192&&c.flags&1048576)&&!Ite(a.declarations))return c;if(c===ot)return yN(n,a);c=lye(c,n,_);let x=!1;if(Y&&Ne&&ws(n)&&n.expression.kind===110){const z=a&&a.valueDeclaration;if(z&&GFe(z)&&!sa(z)){const H=X8(n);H.kind===176&&H.parent===z.parent&&!(z.flags&33554432)&&(x=!0)}}else Y&&a&&a.valueDeclaration&&Fr(a.valueDeclaration)&&KL(a.valueDeclaration)&&X8(n)===X8(a.valueDeclaration)&&(x=!0);const k=Kb(n,c,x?qb(c):c);return x&&!K2(c)&&K2(k)?(Je(d,f.Property_0_is_used_before_being_assigned,bi(a)),c):y?bv(k):k}function Cmt(n,a,c){const{valueDeclaration:d}=n;if(!d||jn(a).isDeclarationFile)return;let _;const y=Sr(c);U3e(a)&&!vct(d)&&!(ws(a)&&ws(a.expression))&&!iv(d,c)&&!(ql(d)&&kte(d)&256)&&(he||!Pmt(n))?_=Je(c,f.Property_0_is_used_before_its_initialization,y):d.kind===263&&a.parent.kind!==183&&!(d.flags&33554432)&&!iv(d,c)&&(_=Je(c,f.Class_0_used_before_its_declaration,y)),_&&wa(_,wr(d,f._0_is_declared_here,y))}function U3e(n){return!!Gn(n,a=>{switch(a.kind){case 172:return!0;case 303:case 174:case 177:case 178:case 305:case 167:case 239:case 294:case 291:case 292:case 293:case 286:case 233:case 298:return!1;case 219:case 244:return Xo(a.parent)&&Rl(a.parent.parent)?!0:"quit";default:return ng(a)?!1:"quit"}})}function Pmt(n){if(!(n.parent.flags&32))return!1;let a=dr(n.parent);for(;;){if(a=a.symbol&&Mmt(a),!a)return!1;const c=ya(a,n.escapedName);if(c&&c.valueDeclaration)return!0}}function Mmt(n){const a=Mp(n);if(a.length!==0)return ba(a)}function H3e(n,a,c){let d,_;if(!Ji(n)&&a.flags&1048576&&!(a.flags&402784252)){for(const x of a.types)if(!ya(x,n.escapedText)&&!gx(x,n.escapedText)){d=jo(d,f.Property_0_does_not_exist_on_type_1,Rs(n),Un(x));break}}if(q3e(n.escapedText,a)){const x=Rs(n),k=Un(a);d=jo(d,f.Property_0_does_not_exist_on_type_1_Did_you_mean_to_access_the_static_member_2_instead,x,k,k+"."+x)}else{const x=i6(a);if(x&&ya(x,n.escapedText))d=jo(d,f.Property_0_does_not_exist_on_type_1,Rs(n),Un(a)),_=wr(n,f.Did_you_forget_to_use_await);else{const k=Rs(n),z=Un(a),H=wmt(k,a);if(H!==void 0)d=jo(d,f.Property_0_does_not_exist_on_type_1_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_2_or_later,k,z,H);else{const re=kye(n,a);if(re!==void 0){const be=Sl(re),ge=c?f.Property_0_may_not_exist_on_type_1_Did_you_mean_2:f.Property_0_does_not_exist_on_type_1_Did_you_mean_2;d=jo(d,ge,k,z,be),_=re.valueDeclaration&&wr(re.valueDeclaration,f._0_is_declared_here,be)}else{const be=Lmt(a)?f.Property_0_does_not_exist_on_type_1_Try_changing_the_lib_compiler_option_to_include_dom:f.Property_0_does_not_exist_on_type_1;d=jo(Pge(d,a),be,k,z)}}}}const y=Fg(jn(n),n,d);_&&wa(y,_),s_(!c||d.code!==f.Property_0_may_not_exist_on_type_1_Did_you_mean_2.code,y)}function Lmt(n){return V.lib&&!V.lib.includes("dom")&&Hpt(n,a=>a.symbol&&/^(EventTarget|Node|((HTML[a-zA-Z]*)?Element))$/.test(Vi(a.symbol.escapedName)))&&yv(n)}function q3e(n,a){const c=a.symbol&&ya(dr(a.symbol),n);return c!==void 0&&!!c.valueDeclaration&&sa(c.valueDeclaration)}function kmt(n){const a=Wm(n),d=pW().get(a);return d&&fG(d.keys())}function wmt(n,a){const c=kd(a).symbol;if(!c)return;const d=Sl(c),y=pW().get(d);if(y){for(const[x,k]of y)if(Nr(k,n))return x}}function J3e(n,a){return oB(n,gs(a),106500)}function kye(n,a){let c=gs(a);if(typeof n!="string"){const d=n.parent;Fr(d)&&(c=nr(c,_=>Y3e(d,a,_))),n=Sr(n)}return oB(n,c,111551)}function K3e(n,a){const c=Po(n)?n:Sr(n),d=gs(a);return(c==="for"?An(d,y=>Sl(y)==="htmlFor"):c==="class"?An(d,y=>Sl(y)==="className"):void 0)??oB(c,d,111551)}function wye(n,a){const c=kye(n,a);return c&&Sl(c)}function Oye(n,a,c){return N.assert(a!==void 0,"outername should always be defined"),Up(n,a,c,void 0,a,!1,!1,!0,(_,y,x)=>{N.assertEqual(a,y,"name should equal outerName");const k=Jd(_,y,x);if(k)return k;let z;return _===Se?z=Hi(["string","number","boolean","object","bigint","symbol"],re=>_.has(re.charAt(0).toUpperCase()+re.slice(1))?Xa(524288,re):void 0).concat(zo(_.values())):z=zo(_.values()),oB(Vi(y),z,x)})}function Omt(n,a,c){const d=Oye(n,a,c);return d&&Sl(d)}function Zee(n,a){return a.exports&&oB(Sr(n),wT(a),2623475)}function Wmt(n,a){const c=Zee(n,a);return c&&Sl(c)}function Fmt(n,a,c){function d(x){const k=uE(n,x);if(k){const z=cA(dr(k));return!!z&&rh(z)>=1&&Ea(c,y_(z,0))}return!1}const _=ig(a)?"set":"get";if(!d(_))return;let y=hk(a.expression);return y===void 0?y=_:y+="."+_,y}function zmt(n,a){const c=a.types.filter(d=>!!(d.flags&128));return $N(n.value,c,d=>d.value)}function oB(n,a,c){return $N(n,a,d);function d(_){const y=Sl(_);if(!uo(y,'"')){if(_.flags&c)return y;if(_.flags&2097152){const x=MT(_);if(x&&x.flags&c)return y}}}}function aB(n,a,c){const d=n&&n.flags&106500&&n.valueDeclaration;if(!d)return;const _=gp(d,2),y=n.valueDeclaration&&ld(n.valueDeclaration)&&Ji(n.valueDeclaration.name);if(!(!_&&!y)&&!(a&&F3(a)&&!(n.flags&65536))){if(c){const x=Gn(a,Bs);if(x&&x.symbol===n)return}(xl(n)&1?Xi(n).target:n).isReferenced=-1}}function X3e(n,a){return n.kind===110||!!a&&Gl(n)&&a===jm(Vp(n))}function Bmt(n,a){switch(n.kind){case 211:return Wye(n,n.expression.kind===108,a,Xp(mo(n.expression)));case 166:return Wye(n,!1,a,Xp(mo(n.left)));case 205:return Wye(n,!1,a,Ei(n))}}function Y3e(n,a,c){return Fye(n,n.kind===211&&n.expression.kind===108,!1,a,c)}function Wye(n,a,c,d){if(Nt(d))return!0;const _=ya(d,c);return!!_&&Fye(n,a,!1,d,_)}function Fye(n,a,c,d,_){if(Nt(d))return!0;if(_.valueDeclaration&&cd(_.valueDeclaration)){const y=su(_.valueDeclaration);return!qu(n)&&!!Gn(n,x=>x===y)}return O3e(n,a,c,d,_)}function Gmt(n){const a=n.initializer;if(a.kind===261){const c=a.declarations[0];if(c&&!ta(c.name))return Ir(c)}else if(a.kind===80)return jm(a)}function Vmt(n){return yd(n).length===1&&!!xg(n,Tt)}function jmt(n){const a=hs(n);if(a.kind===80){const c=jm(a);if(c.flags&3){let d=n,_=n.parent;for(;_;){if(_.kind===249&&d===_.statement&&Gmt(_)===c&&Vmt(Ru(_.expression)))return!0;d=_,_=_.parent}}}return!1}function Umt(n,a){return n.flags&64?Hmt(n,a):$3e(n,wN(n.expression),a)}function Hmt(n,a){const c=mo(n.expression),d=j8(c,n.expression);return Iee($3e(n,eh(d,n.expression),a),n,d!==c)}function $3e(n,a,c){const d=BA(n)!==0||G3e(n)?Xp(a):a,_=n.argumentExpression,y=mo(_);if(Kt(d)||d===vo)return d;if(ute(d)&&!ls(_))return Je(_,f.A_const_enum_member_can_only_be_accessed_using_a_string_literal),_t;const x=jmt(_)?Tt:y,k=ig(n)?4|($T(d)&&!oP(d)?2:0):32,z=Bb(d,x,k,n)||_t;return oFe(j3e(n,ei(n).resolvedSymbol,z,_,c),n)}function Q3e(n){return I_(n)||i1(n)||ud(n)}function lA(n){return Q3e(n)&&Ue(n.typeArguments,Na),n.kind===215?mo(n.template):ud(n)?mo(n.attributes):mr(n)?mo(n.left):I_(n)&&Ue(n.arguments,a=>{mo(a)}),Et}function th(n){return lA(n),un}function qmt(n,a,c){let d,_,y=0,x,k=-1,z;N.assert(!a.length);for(const H of n){const re=H.declaration&&Ir(H.declaration),be=H.declaration&&H.declaration.parent;!_||re===_?d&&be===d?x=x+1:(d=be,x=y):(x=y=a.length,d=be),_=re,Xq(H)?(k++,z=k,y++):z=x,a.splice(z,0,c?Blt(H,c):H)}}function ete(n){return!!n&&(n.kind===230||n.kind===237&&n.isSpread)}function tte(n){return Gr(n,ete)}function Z3e(n){return!!(n.flags&16384)}function Jmt(n){return!!(n.flags&49155)}function nte(n,a,c,d=!1){let _,y=!1,x=Yp(c),k=rh(c);if(n.kind===215)if(_=a.length,n.template.kind===228){const z=Ya(n.template.templateSpans);y=zl(z.literal)||!!z.literal.isUnterminated}else{const z=n.template;N.assert(z.kind===15),y=!!z.isUnterminated}else if(n.kind===170)_=sWe(n,c);else if(n.kind===226)_=1;else if(ud(n)){if(y=n.attributes.end===n.end,y)return!0;_=k===0?a.length:1,x=a.length===0?x:1,k=Math.min(k,1)}else if(n.arguments){_=d?a.length+1:a.length,y=n.arguments.end===n.end;const z=tte(a);if(z>=0)return z>=rh(c)&&(Hh(c)||z<Yp(c))}else return N.assert(n.kind===214),rh(c)===0;if(!Hh(c)&&_>x)return!1;if(y||_>=k)return!0;for(let z=_;z<k;z++){const H=y_(c,z);if(dc(H,lr(n)&&!Y?Jmt:Z3e).flags&131072)return!1}return!0}function zye(n,a){const c=Me(n.typeParameters),d=Gh(n.typeParameters);return!bt(a)||a.length>=d&&a.length<=c}function eWe(n,a){let c;return!!(n.target&&(c=nS(n.target,a))&&CN(c))}function cA(n){return Q8(n,0,!1)}function tWe(n){return Q8(n,0,!1)||Q8(n,1,!1)}function Q8(n,a,c){if(n.flags&524288){const d=__(n);if(c||d.properties.length===0&&d.indexInfos.length===0){if(a===0&&d.callSignatures.length===1&&d.constructSignatures.length===0)return d.callSignatures[0];if(a===1&&d.constructSignatures.length===1&&d.callSignatures.length===0)return d.constructSignatures[0]}}}function nWe(n,a,c,d){const _=q8(n.typeParameters,n,0,d),y=Z8(a),x=c&&(y&&y.flags&262144?c.nonFixingMapper:c.mapper),k=x?MN(a,x):a;return zve(k,n,(z,H)=>{Sv(_.inferences,z,H)}),c||Bve(a,n,(z,H)=>{Sv(_.inferences,z,H,128)}),C8(n,Qve(_),lr(a.declaration))}function Kmt(n,a,c,d){const _=Jee(a,n),y=FN(n.attributes,_,d,c);return Sv(d.inferences,y,_),Qve(d)}function rWe(n){if(!n)return ir;const a=mo(n);return yoe(n)?a:uC(n.parent)?Ev(a):qu(n.parent)?Aee(a):a}function Bye(n,a,c,d,_){if(ud(n))return Kmt(n,a,d,_);if(n.kind!==170&&n.kind!==226){const z=Zn(a.typeParameters,re=>!!JT(re)),H=Yd(n,z?8:0);if(H){const re=ps(a);if(vE(re)){const be=eS(n);if(!(!z&&Yd(n,8)!==H)){const Dt=Uve(spt(be,1)),an=so(H,Dt),cn=cA(an),ar=cn&&cn.typeParameters?KT(Fge(cn,cn.typeParameters)):an;Sv(_.inferences,ar,re,128)}const Ke=q8(a.typeParameters,a,_.flags),gt=so(H,be&&be.returnMapper);Sv(Ke.inferences,gt,re),_.returnMapper=bt(Ke.inferences,zN)?Uve(dpt(Ke)):void 0}}}const y=e6(a),x=y?Math.min(Yp(a)-1,c.length):c.length;if(y&&y.flags&262144){const z=An(_.inferences,H=>H.typeParameter===y);z&&(z.impliedArity=Gr(c,ete,x)<0?c.length-x:void 0)}const k=pE(a);if(k&&vE(k)){const z=aWe(n);Sv(_.inferences,rWe(z),k)}for(let z=0;z<x;z++){const H=c[z];if(H.kind!==232){const re=y_(a,z);if(vE(re)){const be=FN(H,re,_,d);Sv(_.inferences,be,re)}}}if(y&&vE(y)){const z=Gye(c,x,c.length,y,_,d);Sv(_.inferences,z,y)}return Qve(_)}function iWe(n){return n.flags&1048576?pl(n,iWe):n.flags&1||B9(Gu(n)||n)?n:Ba(n)?jh(J1(n),n.target.elementFlags,!1,n.target.labeledElementDeclarations):jh([n],[8])}function Gye(n,a,c,d,_,y){const x=qT(d);if(a>=c-1){const re=n[c-1];if(ete(re)){const be=re.kind===237?re.type:FN(re.expression,d,_,y);return by(be)?iWe(be):Vu(Sy(33,be,Oe,re.kind===230?re.expression:re),x)}}const k=[],z=[],H=[];for(let re=a;re<c;re++){const be=n[re];if(ete(be)){const ge=be.kind===237?be.type:mo(be.expression);by(ge)?(k.push(ge),z.push(8)):(k.push(Sy(33,ge,Oe,be.kind===230?be.expression:be)),z.push(4))}else{const ge=Ba(d)?vye(d,re-a,c-a)||_n:Lp(d,g_(re-a),256),Ke=FN(be,ge,_,y),gt=x||Cl(ge,406978556);k.push(gt?Ed(Ke):Q1(Ke)),z.push(1)}be.kind===237&&be.tupleNameSource?H.push(be.tupleNameSource):H.push(void 0)}return jh(k,z,x&&!Um(d,Lve),H)}function Vye(n,a,c,d){const _=lr(n.declaration),y=n.typeParameters,x=Fb(wt(a,Ei),y,Gh(y),_);let k;for(let z=0;z<a.length;z++){N.assert(y[z]!==void 0,"Should not call checkTypeArguments with too many type arguments");const H=Ld(y[z]);if(H){const re=c&&d?()=>jo(void 0,f.Type_0_does_not_satisfy_the_constraint_1):void 0,be=d||f.Type_0_does_not_satisfy_the_constraint_1;k||(k=kp(y,x));const ge=x[z];if(!nd(ge,Kp(so(H,k),ge),c?a[z]:void 0,be,re))return}}return x}function oWe(n){if(Tx(n.tagName))return 2;const a=kd(mo(n.tagName));return Me(Yo(a,1))?0:Me(Yo(a,0))?1:2}function Xmt(n,a,c,d,_,y,x){const k=Jee(a,n),z=FN(n.attributes,k,void 0,d),H=d&4?H8(z):z;return re()&&Ave(H,k,c,_?n.tagName:void 0,n.attributes,void 0,y,x);function re(){var be;if(Aye(n))return!0;const ge=(W_(n)||JS(n))&&!(Tx(n.tagName)||t_(n.tagName))?mo(n.tagName):void 0;if(!ge)return!0;const Ke=Yo(ge,0);if(!Me(Ke))return!0;const gt=p7e(n);if(!gt)return!0;const Dt=Hs(gt,111551,!0,!1,n);if(!Dt)return!0;const an=dr(Dt),cn=Yo(an,0);if(!Me(cn))return!0;let ar=!1,On=0;for(const zn of cn){const Fn=y_(zn,0),er=Yo(Fn,0);if(Me(er))for(const qn of er){if(ar=!0,Hh(qn))return!0;const tr=Yp(qn);tr>On&&(On=tr)}}if(!ar)return!0;let ln=1/0;for(const zn of Ke){const Fn=rh(zn);Fn<ln&&(ln=Fn)}if(ln<=On)return!0;if(_){const zn=wr(n.tagName,f.Tag_0_expects_at_least_1_arguments_but_the_JSX_factory_2_provides_at_most_3,_p(n.tagName),ln,_p(gt),On),Fn=(be=Hm(n.tagName))==null?void 0:be.valueDeclaration;Fn&&wa(zn,wr(Fn,f._0_is_declared_here,_p(n.tagName))),x&&x.skipLogging&&(x.errors||(x.errors=[])).push(zn),x.skipLogging||ts.add(zn)}return!1}}function rte(n){return n=hs(n),MH(n)?hs(n.expression):n}function sB(n,a,c,d,_,y,x){const k={errors:void 0,skipLogging:!0};if(ud(n))return Xmt(n,c,d,_,y,x,k)?void 0:(N.assert(!y||!!k.errors,"jsx should have errors when reporting errors"),k.errors||et);const z=pE(c);if(z&&z!==ir&&!(r1(n)||la(n)&&zd(n.expression))){const Ke=aWe(n),gt=rWe(Ke),Dt=y?Ke||n:void 0,an=f.The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1;if(!Hf(gt,z,d,Dt,an,x,k))return N.assert(!y||!!k.errors,"this parameter should have errors when reporting errors"),k.errors||et}const H=f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1,re=e6(c),be=re?Math.min(Yp(c)-1,a.length):a.length;for(let Ke=0;Ke<be;Ke++){const gt=a[Ke];if(gt.kind!==232){const Dt=y_(c,Ke),an=FN(gt,Dt,void 0,_),cn=_&4?H8(an):an,ar=rte(gt);if(!Ave(cn,Dt,d,y?ar:void 0,ar,H,x,k))return N.assert(!y||!!k.errors,"parameter should have errors when reporting errors"),ge(gt,cn,Dt),k.errors||et}}if(re){const Ke=Gye(a,be,a.length,re,void 0,_),gt=a.length-be,Dt=y?gt===0?n:gt===1?rte(a[be]):vh(lB(n,Ke),a[be].pos,a[a.length-1].end):void 0;if(!Hf(Ke,re,d,Dt,H,void 0,k))return N.assert(!y||!!k.errors,"rest parameter should have errors when reporting errors"),ge(Dt,Ke,re),k.errors||et}return;function ge(Ke,gt,Dt){if(Ke&&y&&k.errors&&k.errors.length){if(cM(Dt))return;const an=cM(gt);an&&Z_(an,Dt,d)&&wa(k.errors[0],wr(Ke,f.Did_you_forget_to_use_await))}}}function aWe(n){if(n.kind===226)return n.right;const a=n.kind===213?n.expression:n.kind===215?n.tag:n.kind===170&&!ne?n.expression:void 0;if(a){const c=$l(a);if(ws(c))return c.expression}}function lB(n,a,c,d){const _=Ih.createSyntheticExpression(a,c,d);return dt(_,n),qa(_,n),_}function ite(n){if(n.kind===215){const d=n.template,_=[lB(d,wct())];return d.kind===228&&Ue(d.templateSpans,y=>{_.push(y.expression)}),_}if(n.kind===170)return Ymt(n);if(n.kind===226)return[n.left];if(ud(n))return n.attributes.properties.length>0||W_(n)&&n.parent.children.length>0?[n.attributes]:et;const a=n.arguments||et,c=tte(a);if(c>=0){const d=a.slice(0,c);for(let _=c;_<a.length;_++){const y=a[_],x=y.kind===230&&(_f?mo(y.expression):nc(y.expression));x&&Ba(x)?Ue(J1(x),(k,z)=>{var H;const re=x.target.elementFlags[z],be=lB(y,re&4?Vu(k):k,!!(re&12),(H=x.target.labeledElementDeclarations)==null?void 0:H[z]);d.push(be)}):d.push(y)}return d}return a}function Ymt(n){const a=n.expression,c=ebe(n);if(c){const d=[];for(const _ of c.parameters){const y=dr(_);d.push(lB(a,y))}return d}return N.fail()}function sWe(n,a){return V.experimentalDecorators?$mt(n,a):2}function $mt(n,a){switch(n.parent.kind){case 263:case 231:return 1;case 172:return P_(n.parent)?3:2;case 174:case 177:case 178:return se===0||a.parameters.length<=2?2:3;case 169:return 3;default:return N.fail()}}function lWe(n){const a=jn(n),{start:c,length:d}=AS(a,Fr(n.expression)?n.expression.name:n.expression);return{start:c,length:d,sourceFile:a}}function cB(n,a,...c){if(la(n)){const{sourceFile:d,start:_,length:y}=lWe(n);return"message"in a?Qc(d,_,y,a,...c):Lj(d,a)}else return"message"in a?wr(n,a,...c):Fg(jn(n),n,a)}function Qmt(n){return I_(n)?Fr(n.expression)?n.expression.name:n.expression:i1(n)?Fr(n.tag)?n.tag.name:n.tag:ud(n)?n.tagName:n}function Zmt(n){if(!la(n)||!Ve(n.expression))return!1;const a=bl(n.expression,n.expression.escapedText,111551,void 0,void 0,!1),c=a==null?void 0:a.valueDeclaration;if(!c||!Ao(c)||!QE(c.parent)||!r1(c.parent.parent)||!Ve(c.parent.parent.expression))return!1;const d=$ge(!1);return d?Hm(c.parent.parent.expression,!0)===d:!1}function cWe(n,a,c,d){var _;const y=tte(c);if(y>-1)return wr(c[y],f.A_spread_argument_must_either_have_a_tuple_type_or_be_passed_to_a_rest_parameter);let x=Number.POSITIVE_INFINITY,k=Number.NEGATIVE_INFINITY,z=Number.NEGATIVE_INFINITY,H=Number.POSITIVE_INFINITY,re;for(const Dt of a){const an=rh(Dt),cn=Yp(Dt);an<x&&(x=an,re=Dt),k=Math.max(k,cn),an<c.length&&an>z&&(z=an),c.length<cn&&cn<H&&(H=cn)}const be=bt(a,Hh),ge=be?x:x<k?x+"-"+k:x,Ke=!be&&ge===1&&c.length===0&&Zmt(n);if(Ke&&lr(n))return cB(n,f.Expected_1_argument_but_got_0_new_Promise_needs_a_JSDoc_hint_to_produce_a_resolve_that_can_be_called_without_arguments);const gt=Eu(n)?be?f.The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_at_least_0:f.The_runtime_will_invoke_the_decorator_with_1_arguments_but_the_decorator_expects_0:be?f.Expected_at_least_0_arguments_but_got_1:Ke?f.Expected_0_arguments_but_got_1_Did_you_forget_to_include_void_in_your_type_argument_to_Promise:f.Expected_0_arguments_but_got_1;if(x<c.length&&c.length<k){if(d){let Dt=jo(void 0,f.No_overload_expects_0_arguments_but_overloads_do_exist_that_expect_either_1_or_2_arguments,c.length,z,H);return Dt=jo(Dt,d),cB(n,Dt)}return cB(n,f.No_overload_expects_0_arguments_but_overloads_do_exist_that_expect_either_1_or_2_arguments,c.length,z,H)}else if(c.length<x){let Dt;if(d){let cn=jo(void 0,gt,ge,c.length);cn=jo(cn,d),Dt=cB(n,cn)}else Dt=cB(n,gt,ge,c.length);const an=(_=re==null?void 0:re.declaration)==null?void 0:_.parameters[re.thisParameter?c.length+1:c.length];if(an){const cn=ta(an.name)?[f.An_argument_matching_this_binding_pattern_was_not_provided]:Zh(an)?[f.Arguments_for_the_rest_parameter_0_were_not_provided,Sr(Vp(an.name))]:[f.An_argument_for_0_was_not_provided,an.name?Sr(Vp(an.name)):c.length],ar=wr(an,...cn);return wa(Dt,ar)}return Dt}else{const Dt=w.createNodeArray(c.slice(k)),an=Ha(Dt).pos;let cn=Ya(Dt).end;if(cn===an&&cn++,vh(Dt,an,cn),d){let ar=jo(void 0,gt,ge,c.length);return ar=jo(ar,d),ML(jn(n),Dt,ar)}return cR(jn(n),Dt,gt,ge,c.length)}}function e_t(n,a,c,d){const _=c.length;if(a.length===1){const k=a[0],z=Gh(k.typeParameters),H=Me(k.typeParameters);if(d){let re=jo(void 0,f.Expected_0_type_arguments_but_got_1,z<H?z+"-"+H:z,_);return re=jo(re,d),ML(jn(n),c,re)}return cR(jn(n),c,f.Expected_0_type_arguments_but_got_1,z<H?z+"-"+H:z,_)}let y=-1/0,x=1/0;for(const k of a){const z=Gh(k.typeParameters),H=Me(k.typeParameters);z>_?x=Math.min(x,z):H<_&&(y=Math.max(y,H))}if(y!==-1/0&&x!==1/0){if(d){let k=jo(void 0,f.No_overload_expects_0_type_arguments_but_overloads_do_exist_that_expect_either_1_or_2_type_arguments,_,y,x);return k=jo(k,d),ML(jn(n),c,k)}return cR(jn(n),c,f.No_overload_expects_0_type_arguments_but_overloads_do_exist_that_expect_either_1_or_2_type_arguments,_,y,x)}if(d){let k=jo(void 0,f.Expected_0_type_arguments_but_got_1,y===-1/0?x:y,_);return k=jo(k,d),ML(jn(n),c,k)}return cR(jn(n),c,f.Expected_0_type_arguments_but_got_1,y===-1/0?x:y,_)}function ON(n,a,c,d,_,y){const x=n.kind===215,k=n.kind===170,z=ud(n),H=n.kind===226,re=!U&&!c;let be;!k&&!H&&!IS(n)&&(be=n.typeArguments,(x||z||n.expression.kind!==108)&&Ue(be,Na));const ge=c||[];qmt(a,ge,_),N.assert(ge.length,"Revert #54442 and add a testcase with whatever triggered this");const Ke=ite(n),gt=ge.length===1&&!ge[0].typeParameters;let Dt=!k&&!gt&&bt(Ke,Uf)?4:0,an,cn,ar,On;const ln=!!(d&16)&&n.kind===213&&n.arguments.hasTrailingComma;if(ge.length>1&&(On=Fn(ge,Ph,gt,ln)),On||(On=Fn(ge,qd,gt,ln)),On)return On;if(On=t_t(n,ge,Ke,!!c,d),ei(n).resolvedSignature=On,re)if(!y&&H&&(y=f.The_left_hand_side_of_an_instanceof_expression_must_be_assignable_to_the_first_argument_of_the_right_hand_side_s_Symbol_hasInstance_method),an)if(an.length===1||an.length>3){const er=an[an.length-1];let qn;an.length>3&&(qn=jo(qn,f.The_last_overload_gave_the_following_error),qn=jo(qn,f.No_overload_matches_this_call)),y&&(qn=jo(qn,y));const tr=sB(n,Ke,er,qd,0,!0,()=>qn);if(tr)for(const Ro of tr)er.declaration&&an.length>3&&wa(Ro,wr(er.declaration,f.The_last_overload_is_declared_here)),zn(er,Ro),ts.add(Ro);else N.fail("No error for last overload signature")}else{const er=[];let qn=0,tr=Number.MAX_VALUE,Ro=0,Eo=0;for(const dp of an){const Qn=sB(n,Ke,dp,qd,0,!0,()=>jo(void 0,f.Overload_0_of_1_2_gave_the_following_error,Eo+1,ge.length,Oh(dp)));Qn?(Qn.length<=tr&&(tr=Qn.length,Ro=Eo),qn=Math.max(qn,Qn.length),er.push(Qn)):N.fail("No error for 3 or fewer overload signatures"),Eo++}const Bo=qn>1?er[Ro]:ia(er);N.assert(Bo.length>0,"No errors reported for 3 or fewer overload signatures");let _o=jo(wt(Bo,Tie),f.No_overload_matches_this_call);y&&(_o=jo(_o,y));const Cc=[...Pi(Bo,dp=>dp.relatedInformation)];let Jf;if(Zn(Bo,dp=>dp.start===Bo[0].start&&dp.length===Bo[0].length&&dp.file===Bo[0].file)){const{file:dp,start:wn,length:Qn}=Bo[0];Jf={file:dp,start:wn,length:Qn,code:_o.code,category:_o.category,messageText:_o,relatedInformation:Cc}}else Jf=Fg(jn(n),Qmt(n),_o,Cc);zn(an[0],Jf),ts.add(Jf)}else if(cn)ts.add(cWe(n,[cn],Ke,y));else if(ar)Vye(ar,n.typeArguments,!0,y);else{const er=nr(a,qn=>zye(qn,be));er.length===0?ts.add(e_t(n,a,be,y)):ts.add(cWe(n,er,Ke,y))}return On;function zn(er,qn){var tr,Ro;const Eo=an,Bo=cn,_o=ar,Cc=((Ro=(tr=er.declaration)==null?void 0:tr.symbol)==null?void 0:Ro.declarations)||et,dp=Cc.length>1?An(Cc,wn=>Bs(wn)&&Yf(wn.body)):void 0;if(dp){const wn=fm(dp),Qn=!wn.typeParameters;Fn([wn],qd,Qn)&&wa(qn,wr(dp,f.The_call_would_have_succeeded_against_this_implementation_but_implementation_signatures_of_overloads_are_not_externally_visible))}an=Eo,cn=Bo,ar=_o}function Fn(er,qn,tr,Ro=!1){if(an=void 0,cn=void 0,ar=void 0,tr){const Eo=er[0];if(bt(be)||!nte(n,Ke,Eo,Ro))return;if(sB(n,Ke,Eo,qn,0,!1,void 0)){an=[Eo];return}return Eo}for(let Eo=0;Eo<er.length;Eo++){const Bo=er[Eo];if(!zye(Bo,be)||!nte(n,Ke,Bo,Ro))continue;let _o,Cc;if(Bo.typeParameters){let Jf;if(bt(be)){if(Jf=Vye(Bo,be,!1),!Jf){ar=Bo;continue}}else Cc=q8(Bo.typeParameters,Bo,lr(n)?2:0),Jf=Bye(n,Bo,Ke,Dt|8,Cc),Dt|=Cc.flags&4?8:0;if(_o=C8(Bo,Jf,lr(Bo.declaration),Cc&&Cc.inferredTypeParameters),e6(Bo)&&!nte(n,Ke,_o,Ro)){cn=_o;continue}}else _o=Bo;if(sB(n,Ke,_o,qn,Dt,!1,void 0)){(an||(an=[])).push(_o);continue}if(Dt){if(Dt=0,Cc){const Jf=Bye(n,Bo,Ke,Dt,Cc);if(_o=C8(Bo,Jf,lr(Bo.declaration),Cc.inferredTypeParameters),e6(Bo)&&!nte(n,Ke,_o,Ro)){cn=_o;continue}}if(sB(n,Ke,_o,qn,Dt,!1,void 0)){(an||(an=[])).push(_o);continue}}return er[Eo]=_o,_o}}}function t_t(n,a,c,d,_){return N.assert(a.length>0),Ax(n),d||a.length===1||a.some(y=>!!y.typeParameters)?i_t(n,a,c,_):n_t(a)}function n_t(n){const a=Hi(n,z=>z.thisParameter);let c;a.length&&(c=uWe(a,a.map(pB)));const{min:d,max:_}=$oe(n,r_t),y=[];for(let z=0;z<_;z++){const H=Hi(n,re=>Yu(re)?z<re.parameters.length-1?re.parameters[z]:Ya(re.parameters):z<re.parameters.length?re.parameters[z]:void 0);N.assert(H.length!==0),y.push(uWe(H,Hi(n,re=>nS(re,z))))}const x=Hi(n,z=>Yu(z)?Ya(z.parameters):void 0);let k=128;if(x.length!==0){const z=Vu(ti(Hi(n,j8e),2));y.push(dWe(x,z)),k|=1}return n.some(Xq)&&(k|=2),Ig(n[0].declaration,void 0,c,y,ba(n.map(ps)),void 0,d,k)}function r_t(n){const a=n.parameters.length;return Yu(n)?a-1:a}function uWe(n,a){return dWe(n,ti(a,2))}function dWe(n,a){return tA(Ha(n),a)}function i_t(n,a,c,d){const _=s_t(a,tn===void 0?c.length:tn),y=a[_],{typeParameters:x}=y;if(!x)return y;const k=Q3e(n)?n.typeArguments:void 0,z=k?KZ(y,o_t(k,x,lr(n))):a_t(n,x,y,c,d);return a[_]=z,z}function o_t(n,a,c){const d=n.map(Ix);for(;d.length>a.length;)d.pop();for(;d.length<a.length;)d.push(JT(a[d.length])||Ld(a[d.length])||$ve(c));return d}function a_t(n,a,c,d,_){const y=q8(a,c,lr(n)?2:0),x=Bye(n,c,d,_|4|8,y);return KZ(c,x)}function s_t(n,a){let c=-1,d=-1;for(let _=0;_<n.length;_++){const y=n[_],x=Yp(y);if(Hh(y)||x>=a)return _;x>d&&(d=x,c=_)}return c}function l_t(n,a,c){if(n.expression.kind===108){const z=fye(n.expression);if(Nt(z)){for(const H of n.arguments)mo(H);return Et}if(!Kt(z)){const H=D_(su(n));if(H){const re=zh(z,H.typeArguments,H);return ON(n,re,a,c,0)}}return lA(n)}let d,_=mo(n.expression);if(hS(n)){const z=j8(_,n.expression);d=z===_?0:dC(n)?16:8,_=z}else d=0;if(_=F3e(_,n.expression,Imt),_===vo)return vr;const y=kd(_);if(Kt(y))return th(n);const x=Yo(y,0),k=Yo(y,1).length;if(uB(_,y,x.length,k))return!Kt(_)&&n.typeArguments&&Je(n,f.Untyped_function_calls_may_not_accept_type_arguments),lA(n);if(!x.length){if(k)Je(n,f.Value_of_type_0_is_not_callable_Did_you_mean_to_include_new,Un(_));else{let z;if(n.arguments.length===1){const H=jn(n).text;Hu(H.charCodeAt(ka(H,n.expression.end,!0)-1))&&(z=wr(n.expression,f.Are_you_missing_a_semicolon))}Uye(n.expression,y,0,z)}return th(n)}return c&8&&!n.typeArguments&&x.some(c_t)?(KWe(n,c),Er):x.some(z=>lr(z.declaration)&&!!KV(z.declaration))?(Je(n,f.Value_of_type_0_is_not_callable_Did_you_mean_to_include_new,Un(_)),th(n)):ON(n,x,a,c,d)}function c_t(n){return!!(n.typeParameters&&kbe(ps(n)))}function uB(n,a,c,d){return Nt(n)||Nt(a)&&!!(n.flags&262144)||!c&&!d&&!(a.flags&1048576)&&!(h_(a).flags&131072)&&Ea(n,Wt)}function u_t(n,a,c){if(n.arguments&&se<1){const x=tte(n.arguments);x>=0&&Je(n.arguments[x],f.Spread_operator_in_new_expressions_is_only_available_when_targeting_ECMAScript_5_and_higher)}let d=wN(n.expression);if(d===vo)return vr;if(d=kd(d),Kt(d))return th(n);if(Nt(d))return n.typeArguments&&Je(n,f.Untyped_function_calls_may_not_accept_type_arguments),lA(n);const _=Yo(d,1);if(_.length){if(!d_t(n,_[0]))return th(n);if(pWe(_,k=>!!(k.flags&4)))return Je(n,f.Cannot_create_an_instance_of_an_abstract_class),th(n);const x=d.symbol&&Vg(d.symbol);return x&&Zr(x,64)?(Je(n,f.Cannot_create_an_instance_of_an_abstract_class),th(n)):ON(n,_,a,c,0)}const y=Yo(d,0);if(y.length){const x=ON(n,y,a,c,0);return pe||(x.declaration&&!nh(x.declaration)&&ps(x)!==ir&&Je(n,f.Only_a_void_function_can_be_called_with_the_new_keyword),pE(x)===ir&&Je(n,f.A_function_that_is_called_with_the_new_keyword_cannot_have_a_this_type_that_is_void)),x}return Uye(n.expression,d,1),th(n)}function pWe(n,a){return To(n)?bt(n,c=>pWe(c,a)):n.compositeKind===1048576?bt(n.compositeSignatures,a):a(n)}function jye(n,a){const c=Mp(a);if(!Me(c))return!1;const d=c[0];if(d.flags&2097152){const _=d.types,y=A8e(_);let x=0;for(const k of d.types){if(!y[x]&&Wr(k)&3&&(k.symbol===n||jye(n,k)))return!0;x++}return!1}return d.symbol===n?!0:jye(n,d)}function d_t(n,a){if(!a||!a.declaration)return!0;const c=a.declaration,d=jA(c,6);if(!d||c.kind!==176)return!0;const _=Vg(c.parent.symbol),y=el(c.parent.symbol);if(!Pbe(n,_)){const x=su(n);if(x&&d&4){const k=Ix(x);if(jye(c.parent.symbol,k))return!0}return d&2&&Je(n,f.Constructor_of_class_0_is_private_and_only_accessible_within_the_class_declaration,Un(y)),d&4&&Je(n,f.Constructor_of_class_0_is_protected_and_only_accessible_within_the_class_declaration,Un(y)),!1}return!0}function fWe(n,a,c){let d;const _=c===0,y=dA(a),x=y&&Yo(y,c).length>0;if(a.flags&1048576){const z=a.types;let H=!1;for(const re of z)if(Yo(re,c).length!==0){if(H=!0,d)break}else if(d||(d=jo(d,_?f.Type_0_has_no_call_signatures:f.Type_0_has_no_construct_signatures,Un(re)),d=jo(d,_?f.Not_all_constituents_of_type_0_are_callable:f.Not_all_constituents_of_type_0_are_constructable,Un(a))),H)break;H||(d=jo(void 0,_?f.No_constituent_of_type_0_is_callable:f.No_constituent_of_type_0_is_constructable,Un(a))),d||(d=jo(d,_?f.Each_member_of_the_union_type_0_has_signatures_but_none_of_those_signatures_are_compatible_with_each_other:f.Each_member_of_the_union_type_0_has_construct_signatures_but_none_of_those_signatures_are_compatible_with_each_other,Un(a)))}else d=jo(d,_?f.Type_0_has_no_call_signatures:f.Type_0_has_no_construct_signatures,Un(a));let k=_?f.This_expression_is_not_callable:f.This_expression_is_not_constructable;if(la(n.parent)&&n.parent.arguments.length===0){const{resolvedSymbol:z}=ei(n);z&&z.flags&32768&&(k=f.This_expression_is_not_callable_because_it_is_a_get_accessor_Did_you_mean_to_use_it_without)}return{messageChain:jo(d,k),relatedMessage:x?f.Did_you_forget_to_use_await:void 0}}function Uye(n,a,c,d){const{messageChain:_,relatedMessage:y}=fWe(n,a,c),x=Fg(jn(n),n,_);if(y&&wa(x,wr(n,y)),la(n.parent)){const{start:k,length:z}=lWe(n.parent);x.start=k,x.length=z}ts.add(x),mWe(a,c,d?wa(x,d):x)}function mWe(n,a,c){if(!n.symbol)return;const d=Xi(n.symbol).originatingImport;if(d&&!Bp(d)){const _=Yo(dr(Xi(n.symbol).target),a);if(!_||!_.length)return;wa(c,wr(d,f.Type_originates_at_this_import_A_namespace_style_import_cannot_be_called_or_constructed_and_will_cause_a_failure_at_runtime_Consider_using_a_default_import_or_import_require_here_instead))}}function p_t(n,a,c){const d=mo(n.tag),_=kd(d);if(Kt(_))return th(n);const y=Yo(_,0),x=Yo(_,1).length;if(uB(d,_,y.length,x))return lA(n);if(!y.length){if(_d(n.parent)){const k=wr(n.tag,f.It_is_likely_that_you_are_missing_a_comma_to_separate_these_two_template_expressions_They_form_a_tagged_template_expression_which_cannot_be_invoked);return ts.add(k),th(n)}return Uye(n.tag,_,0),th(n)}return ON(n,y,a,c,0)}function f_t(n){switch(n.parent.kind){case 263:case 231:return f.Unable_to_resolve_signature_of_class_decorator_when_called_as_an_expression;case 169:return f.Unable_to_resolve_signature_of_parameter_decorator_when_called_as_an_expression;case 172:return f.Unable_to_resolve_signature_of_property_decorator_when_called_as_an_expression;case 174:case 177:case 178:return f.Unable_to_resolve_signature_of_method_decorator_when_called_as_an_expression;default:return N.fail()}}function m_t(n,a,c){const d=mo(n.expression),_=kd(d);if(Kt(_))return th(n);const y=Yo(_,0),x=Yo(_,1).length;if(uB(d,_,y.length,x))return lA(n);if(g_t(n,y)&&!Gd(n.expression)){const z=fc(n.expression,!1);return Je(n,f._0_accepts_too_few_arguments_to_be_used_as_a_decorator_here_Did_you_mean_to_call_it_first_and_write_0,z),th(n)}const k=f_t(n);if(!y.length){const z=fWe(n.expression,_,0),H=jo(z.messageChain,k),re=Fg(jn(n.expression),n.expression,H);return z.relatedMessage&&wa(re,wr(n.expression,z.relatedMessage)),ts.add(re),mWe(_,0,re),th(n)}return ON(n,y,a,c,0,k)}function ote(n,a){const c=sA(n),d=c&&Cp(c),_=d&&Jd(d,sf.Element,788968),y=_&&At.symbolToEntityName(_,788968,n),x=w.createFunctionTypeNode(void 0,[w.createParameterDeclaration(void 0,void 0,"props",void 0,At.typeToTypeNode(a,n))],y?w.createTypeReferenceNode(y,void 0):w.createKeywordTypeNode(133)),k=Xa(1,"props");return k.links.type=a,Ig(x,void 0,void 0,[k],_?el(_):_t,void 0,1,0)}function __t(n,a,c){if(Tx(n.tagName)){const x=M3e(n),k=ote(n,x);return Ub(FN(n.attributes,Jee(k,n),void 0,0),x,n.tagName,n.attributes),Me(n.typeArguments)&&(Ue(n.typeArguments,Na),ts.add(cR(jn(n),n.typeArguments,f.Expected_0_type_arguments_but_got_1,0,Me(n.typeArguments)))),k}const d=mo(n.tagName),_=kd(d);if(Kt(_))return th(n);const y=C3e(d,n);return uB(d,_,y.length,0)?lA(n):y.length===0?(Je(n.tagName,f.JSX_element_type_0_does_not_have_any_construct_or_call_signatures,fc(n.tagName)),th(n)):ON(n,y,a,c,0)}function h_t(n,a,c){const d=mo(n.right);if(!Nt(d)){const _=ibe(d);if(_){const y=kd(_);if(Kt(y))return th(n);const x=Yo(y,0),k=Yo(y,1);if(uB(_,y,x.length,k.length))return lA(n);if(x.length)return ON(n,x,a,c,0)}else if(!(Dte(d)||jb(d,Wt)))return Je(n.right,f.The_right_hand_side_of_an_instanceof_expression_must_be_either_of_type_any_a_class_function_or_other_type_assignable_to_the_Function_interface_type_or_an_object_type_with_a_Symbol_hasInstance_method),th(n)}return Et}function g_t(n,a){return a.length&&Zn(a,c=>c.minArgumentCount===0&&!Yu(c)&&c.parameters.length<sWe(n,c))}function v_t(n,a,c){switch(n.kind){case 213:return l_t(n,a,c);case 214:return u_t(n,a,c);case 215:return p_t(n,a,c);case 170:return m_t(n,a,c);case 286:case 285:return __t(n,a,c);case 226:return h_t(n,a,c)}N.assertNever(n,"Branch in 'resolveSignature' should be unreachable.")}function WN(n,a,c){const d=ei(n),_=d.resolvedSignature;if(_&&_!==Er&&!a)return _;d.resolvedSignature=Er;let y=v_t(n,a,c||0);return y!==Er&&(d.resolvedSignature!==Er&&(y=d.resolvedSignature),d.resolvedSignature=H_===_f?y:_),y}function nh(n){var a;if(!n||!lr(n))return!1;const c=Ac(n)||Os(n)?n:(wi(n)||hc(n))&&n.initializer&&Os(n.initializer)?n.initializer:void 0;if(c){if(KV(n))return!0;if(hc(Bv(c.parent)))return!1;const d=Ir(c);return!!((a=d==null?void 0:d.members)!=null&&a.size)}return!1}function Hye(n,a){var c,d;if(a){const _=Xi(a);if(!_.inferredClassSymbol||!_.inferredClassSymbol.has(Ta(n))){const y=mh(n)?n:TT(n);return y.exports=y.exports||ua(),y.members=y.members||ua(),y.flags|=a.flags&32,(c=a.exports)!=null&&c.size&&c_(y.exports,a.exports),(d=a.members)!=null&&d.size&&c_(y.members,a.members),(_.inferredClassSymbol||(_.inferredClassSymbol=new Map)).set(Ta(y),y),y}return _.inferredClassSymbol.get(Ta(n))}}function y_t(n){var a;const c=n&&ate(n,!0),d=(a=c==null?void 0:c.exports)==null?void 0:a.get("prototype"),_=(d==null?void 0:d.valueDeclaration)&&b_t(d.valueDeclaration);return _?Ir(_):void 0}function ate(n,a){if(!n.parent)return;let c,d;if(wi(n.parent)&&n.parent.initializer===n){if(!lr(n)&&!(MB(n.parent)&&Bs(n)))return;c=n.parent.name,d=n.parent}else if(mr(n.parent)){const _=n.parent,y=n.parent.operatorToken.kind;if(y===64&&(a||_.right===n))c=_.left,d=c;else if((y===57||y===61)&&(wi(_.parent)&&_.parent.initializer===_?(c=_.parent.name,d=_.parent):mr(_.parent)&&_.parent.operatorToken.kind===64&&(a||_.parent.right===_)&&(c=_.parent.left,d=c),!c||!NS(c)||!gR(c,_.left)))return}else a&&Ac(n)&&(c=n.name,d=n);if(!(!d||!c||!a&&!g0(n,Hy(c))))return gf(d)}function b_t(n){if(!n.parent)return!1;let a=n.parent;for(;a&&a.kind===211;)a=a.parent;if(a&&mr(a)&&Hy(a.left)&&a.operatorToken.kind===64){const c=Hj(a);return Oa(c)&&c}}function E_t(n,a){var c,d,_;PB(n,n.typeArguments);const y=WN(n,void 0,a);if(y===Er)return vo;if(ste(y,n),n.expression.kind===108)return ir;if(n.kind===214){const k=y.declaration;if(k&&k.kind!==176&&k.kind!==180&&k.kind!==185&&!(D0(k)&&((d=(c=SR(k))==null?void 0:c.parent)==null?void 0:d.kind)===176)&&!ER(k)&&!nh(k))return pe&&Je(n,f.new_expression_whose_target_lacks_a_construct_signature_implicitly_has_an_any_type),j}if(lr(n)&&vWe(n))return G8e(n.arguments[0]);const x=ps(y);if(x.flags&12288&&_We(n))return gve(Bv(n.parent));if(n.kind===213&&!n.questionDotToken&&n.parent.kind===244&&x.flags&16384&&jf(y)){if(!GC(n.expression))Je(n.expression,f.Assertions_require_the_call_target_to_be_an_identifier_or_qualified_name);else if(!K9(n)){const k=Je(n.expression,f.Assertions_require_every_name_in_the_call_target_to_be_declared_with_an_explicit_type_annotation);J9(n.expression,k)}}if(lr(n)){const k=ate(n,!1);if((_=k==null?void 0:k.exports)!=null&&_.size){const z=Ls(k,k.exports,et,et,et);return z.objectFlags|=4096,ba([x,z])}}return x}function ste(n,a){if(!(n.flags&128)&&n.declaration&&n.declaration.flags&536870912){const c=dB(a),d=hk(o3(a));P2(c,n.declaration,d,Oh(n))}}function dB(n){switch(n=hs(n),n.kind){case 213:case 170:case 214:return dB(n.expression);case 215:return dB(n.tag);case 286:case 285:return dB(n.tagName);case 212:return n.argumentExpression;case 211:return n.name;case 183:const a=n;return xd(a.typeName)?a.typeName.right:a;default:return n}}function _We(n){if(!la(n))return!1;let a=n.expression;if(Fr(a)&&a.name.escapedText==="for"&&(a=a.expression),!Ve(a)||a.escapedText!=="Symbol")return!1;const c=a6e(!1);return c?c===bl(a,"Symbol",111551,void 0,void 0,!1):!1}function S_t(n){if(Wbt(n),n.arguments.length===0)return hB(n,j);const a=n.arguments[0],c=nc(a),d=n.arguments.length>1?nc(n.arguments[1]):void 0;for(let y=2;y<n.arguments.length;++y)nc(n.arguments[y]);if((c.flags&32768||c.flags&65536||!Ea(c,ke))&&Je(a,f.Dynamic_import_s_specifier_must_be_of_type_string_but_here_has_type_0,Un(c)),d){const y=o6e(!0);y!==La&&nd(d,V9(y,32768),n.arguments[1])}const _=vd(n,a);if(_){const y=lp(_,a,!0,!1);if(y)return hB(n,hWe(dr(y),y,_,a)||gWe(dr(y),y,_,a))}return hB(n,j)}function qye(n,a,c){const d=ua(),_=Xa(2097152,"default");return _.parent=a,_.links.nameType=Xd("default"),_.links.aliasTarget=jl(n),d.set("default",_),Ls(c,d,et,et,et)}function hWe(n,a,c,d){if(py(d)&&n&&!Kt(n)){const y=n;if(!y.defaultOnlyType){const x=qye(a,c);y.defaultOnlyType=x}return y.defaultOnlyType}}function gWe(n,a,c,d){var _;if($&&n&&!Kt(n)){const y=n;if(!y.syntheticType){const x=(_=c.declarations)==null?void 0:_.find($i);if(J_(x,c,!1,d)){const z=Xa(2048,"__type"),H=qye(a,c,z);z.links.type=H,y.syntheticType=eB(n)?K1(n,H,z,0,!1):H}else y.syntheticType=n}return y.syntheticType}return n}function vWe(n){if(!Ad(n,!0))return!1;if(!Ve(n.expression))return N.fail();const a=bl(n.expression,n.expression.escapedText,111551,void 0,void 0,!0);if(a===vt)return!0;if(a.flags&2097152)return!1;const c=a.flags&16?262:a.flags&3?260:0;if(c!==0){const d=fl(a,c);return!!d&&!!(d.flags&33554432)}return!1}function T_t(n){cbt(n)||PB(n,n.typeArguments),se<2&&Nc(n,262144);const a=WN(n);return ste(a,n),ps(a)}function A_t(n,a){if(n.kind===216){const c=jn(n);c&&Tc(c.fileName,[".cts",".mts"])&&En(n,f.This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Use_an_as_expression_instead)}return yWe(n,a)}function Jye(n){switch(n.kind){case 11:case 15:case 9:case 10:case 112:case 97:case 209:case 210:case 228:return!0;case 217:return Jye(n.expression);case 224:const a=n.operator,c=n.operand;return a===41&&(c.kind===9||c.kind===10)||a===40&&c.kind===9;case 211:case 212:const d=hs(n.expression),_=Gl(d)?Hs(d,111551,!0):void 0;return!!(_&&_.flags&384)}return!1}function yWe(n,a){const{type:c,expression:d}=bWe(n),_=mo(d,a);if(Og(c))return Jye(d)||Je(d,f.A_const_assertions_can_only_be_applied_to_references_to_enum_members_or_string_number_boolean_array_or_object_literals),Ed(_);const y=ei(n);return y.assertionExpressionType=_,Na(c),Ax(n),Ei(c)}function bWe(n){let a,c;switch(n.kind){case 234:case 216:a=n.type,c=n.expression;break;case 217:a=_F(n),c=n.expression;break}return{type:a,expression:c}}function I_t(n){const{type:a}=bWe(n),c=Gd(n)?a:n,d=ei(n);N.assertIsDefined(d.assertionExpressionType);const _=H8(bv(d.assertionExpressionType)),y=Ei(a);Kt(y)||r(()=>{const x=Xp(_);fee(y,x)||r4e(_,y,c,f.Conversion_of_type_0_to_type_1_may_be_a_mistake_because_neither_type_sufficiently_overlaps_with_the_other_If_this_was_intentional_convert_the_expression_to_unknown_first)})}function x_t(n){const a=mo(n.expression),c=j8(a,n.expression);return Iee(Ev(c),n,c!==a)}function R_t(n){return n.flags&64?x_t(n):Ev(mo(n.expression))}function EWe(n){if(_7e(n),Ue(n.typeArguments,Na),n.kind===233){const c=Bv(n.parent);c.kind===226&&c.operatorToken.kind===104&&jE(n,c.right)&&Je(n,f.The_right_hand_side_of_an_instanceof_expression_must_not_be_an_instantiation_expression)}const a=n.kind===233?mo(n.expression):KE(n.exprName)?$9(n.exprName):mo(n.exprName);return SWe(a,n)}function SWe(n,a){const c=a.typeArguments;if(n===vo||Kt(n)||!bt(c))return n;let d=!1,_;const y=k(n),x=d?_:n;return x&&ts.add(cR(jn(a),c,f.Type_0_has_no_signatures_for_which_the_type_argument_list_is_applicable,Un(x))),y;function k(H){let re=!1,be=!1;const ge=Ke(H);return d||(d=be),re&&!be&&(_??(_=H)),ge;function Ke(gt){if(gt.flags&524288){const Dt=__(gt),an=z(Dt.callSignatures),cn=z(Dt.constructSignatures);if(re||(re=Dt.callSignatures.length!==0||Dt.constructSignatures.length!==0),be||(be=an.length!==0||cn.length!==0),an!==Dt.callSignatures||cn!==Dt.constructSignatures){const ar=Ls(Xa(0,"__instantiationExpression"),Dt.members,an,cn,Dt.indexInfos);return ar.objectFlags|=8388608,ar.node=a,ar}}else if(gt.flags&58982400){const Dt=Gu(gt);if(Dt){const an=Ke(Dt);if(an!==Dt)return an}}else{if(gt.flags&1048576)return pl(gt,k);if(gt.flags&2097152)return ba(eo(gt.types,Ke))}return gt}}function z(H){const re=nr(H,be=>!!be.typeParameters&&zye(be,c));return eo(re,be=>{const ge=Vye(be,c,!0);return ge?C8(be,ge,lr(be.declaration)):be})}}function D_t(n){return Na(n.type),Kye(n.expression,n.type)}function Kye(n,a,c){const d=mo(n,c),_=Ei(a);if(Kt(_))return _;const y=Gn(a.parent,x=>x.kind===238||x.kind===357);return Ub(d,_,y,n,f.Type_0_does_not_satisfy_the_expected_type_1),d}function N_t(n){return Ibt(n),n.keywordToken===105?Xye(n):n.keywordToken===102?C_t(n):N.assertNever(n.keywordToken)}function TWe(n){switch(n.keywordToken){case 102:return i6e();case 105:const a=Xye(n);return Kt(a)?_t:J_t(a);default:N.assertNever(n.keywordToken)}}function Xye(n){const a=Wie(n);if(a)if(a.kind===176){const c=Ir(a.parent);return dr(c)}else{const c=Ir(a);return dr(c)}else return Je(n,f.Meta_property_0_is_only_allowed_in_the_body_of_a_function_declaration_function_expression_or_constructor,"new.target"),_t}function C_t(n){G===100||G===199?jn(n).impliedNodeFormat!==99&&Je(n,f.The_import_meta_meta_property_is_not_allowed_in_files_which_will_build_into_CommonJS_output):G<6&&G!==4&&Je(n,f.The_import_meta_meta_property_is_only_allowed_when_the_module_option_is_es2020_es2022_esnext_system_node16_or_nodenext);const a=jn(n);return N.assert(!!(a.flags&8388608),"Containing file is missing import meta node flag."),n.name.escapedText==="meta"?r6e():_t}function pB(n){const a=n.valueDeclaration;return cp(dr(n),!1,!!a&&(zy(a)||aP(a)))}function Yye(n,a,c="arg"){return n?(N.assert(Ve(n.name)),n.name.escapedText):`${c}_${a}`}function oM(n,a,c){const d=n.parameters.length-(Yu(n)?1:0);if(a<d)return n.parameters[a].escapedName;const _=n.parameters[d]||ft,y=c||dr(_);if(Ba(y)){const x=y.target.labeledElementDeclarations,k=a-d;return Yye(x==null?void 0:x[k],k,_.escapedName)}return _.escapedName}function P_t(n,a){var c;if(((c=n.declaration)==null?void 0:c.kind)===324)return;const d=n.parameters.length-(Yu(n)?1:0);if(a<d){const k=n.parameters[a],z=AWe(k);return z?{parameter:z,parameterName:k.escapedName,isRestParameter:!1}:void 0}const _=n.parameters[d]||ft,y=AWe(_);if(!y)return;const x=dr(_);if(Ba(x)){const k=x.target.labeledElementDeclarations,z=a-d,H=k==null?void 0:k[z],re=!!(H!=null&&H.dotDotDotToken);return H?(N.assert(Ve(H.name)),{parameter:H.name,parameterName:H.name.escapedText,isRestParameter:re}):void 0}if(a===d)return{parameter:y,parameterName:_.escapedName,isRestParameter:!0}}function AWe(n){return n.valueDeclaration&&Ao(n.valueDeclaration)&&Ve(n.valueDeclaration.name)&&n.valueDeclaration.name}function IWe(n){return n.kind===202||Ao(n)&&n.name&&Ve(n.name)}function M_t(n,a){const c=n.parameters.length-(Yu(n)?1:0);if(a<c){const y=n.parameters[a].valueDeclaration;return y&&IWe(y)?y:void 0}const d=n.parameters[c]||ft,_=dr(d);if(Ba(_)){const y=_.target.labeledElementDeclarations,x=a-c;return y&&y[x]}return d.valueDeclaration&&IWe(d.valueDeclaration)?d.valueDeclaration:void 0}function y_(n,a){return nS(n,a)||j}function nS(n,a){const c=n.parameters.length-(Yu(n)?1:0);if(a<c)return pB(n.parameters[a]);if(Yu(n)){const d=dr(n.parameters[c]),_=a-c;if(!Ba(d)||d.target.hasRestElement||_<d.target.fixedLength)return Lp(d,g_(_))}}function fB(n,a,c){const d=Yp(n),_=rh(n),y=Z8(n);if(y&&a>=d-1)return a===d-1?y:Vu(Lp(y,Tt));const x=[],k=[],z=[];for(let H=a;H<d;H++)!y||H<d-1?(x.push(y_(n,H)),k.push(H<_?1:2)):(x.push(y),k.push(8)),z.push(M_t(n,H));return jh(x,k,c,z)}function xWe(n,a){const c=fB(n,a),d=c&&G9(c);return d&&Nt(d)?j:c}function Yp(n){const a=n.parameters.length;if(Yu(n)){const c=dr(n.parameters[a-1]);if(Ba(c))return a+c.target.fixedLength-(c.target.hasRestElement?0:1)}return a}function rh(n,a){const c=a&1,d=a&2;if(d||n.resolvedMinArgumentCount===void 0){let _;if(Yu(n)){const y=dr(n.parameters[n.parameters.length-1]);if(Ba(y)){const x=Gr(y.target.elementFlags,z=>!(z&1)),k=x<0?y.target.fixedLength:x;k>0&&(_=n.parameters.length-1+k)}}if(_===void 0){if(!c&&n.flags&32)return 0;_=n.minArgumentCount}if(d)return _;for(let y=_-1;y>=0;y--){const x=y_(n,y);if(dc(x,Z3e).flags&131072)break;_=y}n.resolvedMinArgumentCount=_}return n.resolvedMinArgumentCount}function Hh(n){if(Yu(n)){const a=dr(n.parameters[n.parameters.length-1]);return!Ba(a)||a.target.hasRestElement}return!1}function Z8(n){if(Yu(n)){const a=dr(n.parameters[n.parameters.length-1]);if(!Ba(a))return Nt(a)?ec:a;if(a.target.hasRestElement)return J2(a,a.target.fixedLength)}}function e6(n){const a=Z8(n);return a&&!qf(a)&&!Nt(a)?a:void 0}function $ye(n){return Qye(n,jr)}function Qye(n,a){return n.parameters.length>0?y_(n,0):a}function RWe(n,a,c){const d=n.parameters.length-(Yu(n)?1:0);for(let _=0;_<d;_++){const y=n.parameters[_].valueDeclaration,x=yu(y);if(x){const k=cp(Ei(x),!1,aP(y)),z=y_(a,_);Sv(c.inferences,k,z)}}}function L_t(n,a){if(a.typeParameters)if(!n.typeParameters)n.typeParameters=a.typeParameters;else return;if(a.thisParameter){const d=n.thisParameter;(!d||d.valueDeclaration&&!d.valueDeclaration.type)&&(d||(n.thisParameter=tA(a.thisParameter,void 0)),mB(n.thisParameter,dr(a.thisParameter)))}const c=n.parameters.length-(Yu(n)?1:0);for(let d=0;d<c;d++){const _=n.parameters[d],y=_.valueDeclaration;if(!yu(y)){let x=nS(a,d);if(x&&y.initializer){let k=aM(y,0);!Ea(k,x)&&Ea(x,k=dte(y,k))&&(x=k)}mB(_,x)}}if(Yu(n)){const d=Ya(n.parameters);if(d.valueDeclaration?!yu(d.valueDeclaration):xl(d)&65536){const _=fB(a,c);mB(d,_)}}}function k_t(n){n.thisParameter&&mB(n.thisParameter);for(const a of n.parameters)mB(a)}function mB(n,a){const c=Xi(n);if(c.type)a&&N.assertEqual(c.type,a,"Parameter symbol already has a cached type which differs from newly assigned type");else{const d=n.valueDeclaration;c.type=cp(a||(d?B(d,!0):dr(n)),!1,!!d&&!d.initializer&&aP(d)),d&&d.name.kind!==80&&(c.type===_n&&(c.type=P(d.name)),DWe(d.name,c.type))}}function DWe(n,a){for(const c of n.elements)if(!jc(c)){const d=Ag(c,a,!1);c.name.kind===80?Xi(Ir(c)).type=d:DWe(c.name,d)}}function w_t(n){return xN(Yct(!0),[n])}function O_t(n,a){return xN($ct(!0),[n,a])}function W_t(n,a){return xN(Qct(!0),[n,a])}function F_t(n,a){return xN(Zct(!0),[n,a])}function z_t(n,a){return xN(eut(!0),[n,a])}function B_t(n,a){return xN(rut(!0),[n,a])}function G_t(n,a,c){const d=`${a?"p":"P"}${c?"s":"S"}${n.id}`;let _=Wa.get(d);if(!_){const y=ua();y.set("name",ET("name",n)),y.set("private",ET("private",a?Bn:en)),y.set("static",ET("static",c?Bn:en)),_=Ls(void 0,y,et,et,et),Wa.set(d,_)}return _}function NWe(n,a,c){const d=mc(n),_=Ji(n.name),y=_?Xd(Sr(n.name)):vy(n.name),x=ql(n)?O_t(a,c):rf(n)?W_t(a,c):bp(n)?F_t(a,c):Wd(n)?z_t(a,c):Jo(n)?B_t(a,c):N.failBadSyntaxKind(n),k=G_t(y,_,d);return ba([x,k])}function V_t(n,a){return xN(tut(!0),[n,a])}function j_t(n,a){return xN(nut(!0),[n,a])}function U_t(n,a){const c=l_("this",n),d=l_("value",a);return fbe(void 0,c,[d],a,void 0,1)}function Zye(n,a,c){const d=l_("target",n),_=l_("context",a),y=ti([c,ir]);return a6(void 0,void 0,[d,_],y)}function H_t(n){const{parent:a}=n,c=ei(a);if(!c.decoratorSignature)switch(c.decoratorSignature=Et,a.kind){case 263:case 231:{const _=dr(Ir(a)),y=w_t(_);c.decoratorSignature=Zye(_,y,_);break}case 174:case 177:case 178:{const d=a;if(!ui(d.parent))break;const _=ql(d)?KT(fm(d)):Ix(d),y=mc(d)?dr(Ir(d.parent)):Vf(Ir(d.parent)),x=rf(d)?lFe(_):bp(d)?cFe(_):_,k=NWe(d,y,_),z=rf(d)?lFe(_):bp(d)?cFe(_):_;c.decoratorSignature=Zye(x,k,z);break}case 172:{const d=a;if(!ui(d.parent))break;const _=Ix(d),y=mc(d)?dr(Ir(d.parent)):Vf(Ir(d.parent)),x=P_(d)?V_t(y,_):Oe,k=NWe(d,y,_),z=P_(d)?j_t(y,_):U_t(y,_);c.decoratorSignature=Zye(x,k,z);break}}return c.decoratorSignature===Et?void 0:c.decoratorSignature}function q_t(n){const{parent:a}=n,c=ei(a);if(!c.decoratorSignature)switch(c.decoratorSignature=Et,a.kind){case 263:case 231:{const _=dr(Ir(a)),y=l_("target",_);c.decoratorSignature=a6(void 0,void 0,[y],ti([_,ir]));break}case 169:{const d=a;if(!Ml(d.parent)&&!(ql(d.parent)||bp(d.parent)&&ui(d.parent.parent))||qE(d.parent)===d)break;const _=qE(d.parent)?d.parent.parameters.indexOf(d)-1:d.parent.parameters.indexOf(d);N.assert(_>=0);const y=Ml(d.parent)?dr(Ir(d.parent.parent)):i7e(d.parent),x=Ml(d.parent)?Oe:o7e(d.parent),k=g_(_),z=l_("target",y),H=l_("propertyKey",x),re=l_("parameterIndex",k);c.decoratorSignature=a6(void 0,void 0,[z,H,re],ir);break}case 174:case 177:case 178:case 172:{const d=a;if(!ui(d.parent))break;const _=i7e(d),y=l_("target",_),x=o7e(d),k=l_("propertyKey",x),z=Jo(d)?ir:d6e(Ix(d));if(se!==0&&(!Jo(a)||P_(a))){const re=d6e(Ix(d)),be=l_("descriptor",re);c.decoratorSignature=a6(void 0,void 0,[y,k,be],ti([z,ir]))}else c.decoratorSignature=a6(void 0,void 0,[y,k],ti([z,ir]));break}}return c.decoratorSignature===Et?void 0:c.decoratorSignature}function ebe(n){return ne?q_t(n):H_t(n)}function _B(n){const a=I9(!0);return a!==ko?(n=Ey(uM(n))||_n,hy(a,[n])):_n}function CWe(n){const a=l6e(!0);return a!==ko?(n=Ey(uM(n))||_n,hy(a,[n])):_n}function hB(n,a){const c=_B(a);return c===_n?(Je(n,Bp(n)?f.A_dynamic_import_call_returns_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES2015_in_your_lib_option:f.An_async_function_or_method_must_return_a_Promise_Make_sure_you_have_a_declaration_for_Promise_or_include_ES2015_in_your_lib_option),_t):($ge(!0)||Je(n,Bp(n)?f.A_dynamic_import_call_in_ES5_SlashES3_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option:f.An_async_function_or_method_in_ES5_SlashES3_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option),c)}function J_t(n){const a=Xa(0,"NewTargetExpression"),c=Xa(4,"target",8);c.parent=a,c.links.type=n;const d=ua([c]);return a.members=d,Ls(a,d,et,et,et)}function lte(n,a){if(!n.body)return _t;const c=Vc(n),d=(c&2)!==0,_=(c&1)!==0;let y,x,k,z=ir;if(n.body.kind!==241)y=nc(n.body,a&&a&-9),d&&(y=uM(o6(y,!1,n,f.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member)));else if(_){const H=OWe(n,a);H?H.length>0&&(y=ti(H,2)):z=jr;const{yieldTypes:re,nextTypes:be}=K_t(n,a);x=bt(re)?ti(re,2):void 0,k=bt(be)?ba(be):void 0}else{const H=OWe(n,a);if(!H)return c&2?hB(n,jr):jr;if(H.length===0){const re=jee(n,void 0),be=re&&(RB(re,c)||ir).flags&32768?Oe:ir;return c&2?hB(n,be):be}y=ti(H,2)}if(y||x||k){if(x&&Nee(n,x,3),y&&Nee(n,y,1),k&&Nee(n,k,2),y&&v_(y)||x&&v_(x)||k&&v_(k)){const H=bye(n),re=H?H===fm(n)?_?void 0:y:Hee(ps(H),n,void 0):void 0;_?(x=Wve(x,re,0,d),y=Wve(y,re,1,d),k=Wve(k,re,2,d)):y=Xdt(y,re,d)}x&&(x=Xp(x)),y&&(y=Xp(y)),k&&(k=Xp(k))}return _?PWe(x||jr,y||z,k||h3e(2,n)||_n,d):d?_B(y||z):y||z}function PWe(n,a,c,d){const _=d?Us:vc,y=_.getGlobalGeneratorType(!1);if(n=_.resolveIterationType(n,void 0)||_n,a=_.resolveIterationType(a,void 0)||_n,c=_.resolveIterationType(c,void 0)||_n,y===ko){const x=_.getGlobalIterableIteratorType(!1),k=x!==ko?TFe(x,_):void 0,z=k?k.returnType:j,H=k?k.nextType:Oe;return Ea(a,z)&&Ea(H,c)?x!==ko?M8(x,[n]):(_.getGlobalIterableIteratorType(!0),La):(_.getGlobalGeneratorType(!0),La)}return M8(y,[n,a,c])}function K_t(n,a){const c=[],d=[],_=(Vc(n)&2)!==0;return xie(n.body,y=>{const x=y.expression?mo(y.expression,a):Lt;Tf(c,MWe(y,x,j,_));let k;if(y.asteriskToken){const z=Ete(x,_?19:17,y.expression);k=z&&z.nextType}else k=Yd(y,void 0);k&&Tf(d,k)}),{yieldTypes:c,nextTypes:d}}function MWe(n,a,c,d){const _=n.expression||n,y=n.asteriskToken?Sy(d?19:17,a,c,_):a;return d?dA(y,_,n.asteriskToken?f.Type_of_iterated_elements_of_a_yield_Asterisk_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member:f.Type_of_yield_operand_in_an_async_generator_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member):y}function LWe(n,a,c){let d=0;for(let _=0;_<c.length;_++){const y=_<n||_>=a?c[_]:void 0;d|=y!==void 0?$q.get(y)||32768:0}return d}function kWe(n){const a=ei(n);if(a.isExhaustive===void 0){a.isExhaustive=0;const c=X_t(n);a.isExhaustive===0&&(a.isExhaustive=c)}else a.isExhaustive===0&&(a.isExhaustive=!1);return a.isExhaustive}function X_t(n){if(n.expression.kind===221){const d=K4e(n);if(!d)return!1;const _=_v(nc(n.expression.expression)),y=LWe(0,0,d);return _.flags&3?(556800&y)===556800:!Um(_,x=>eM(x,y)===y)}const a=nc(n.expression);if(!V8(a))return!1;const c=wee(n);return!c.length||bt(c,qdt)?!1:jpt(pl(a,Ed),c)}function wWe(n){return n.endFlowNode&&X9(n.endFlowNode)}function OWe(n,a){const c=Vc(n),d=[];let _=wWe(n),y=!1;if(zE(n.body,x=>{let k=x.expression;if(k){if(k=hs(k,!0),c&2&&k.kind===223&&(k=hs(k.expression,!0)),k.kind===213&&k.expression.kind===80&&nc(k.expression).symbol===n.symbol){y=!0;return}let z=nc(k,a&&a&-9);c&2&&(z=uM(o6(z,!1,n,f.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member))),z.flags&131072&&(y=!0),Tf(d,z)}else _=!0}),!(d.length===0&&!_&&(y||Y_t(n))))return Y&&d.length&&_&&!(nh(n)&&d.some(x=>x.symbol===n.symbol))&&Tf(d,Oe),d}function Y_t(n){switch(n.kind){case 218:case 219:return!0;case 174:return n.parent.kind===210;default:return!1}}function tbe(n,a){r(c);return;function c(){const d=Vc(n),_=a&&RB(a,d);if(_&&(Cl(_,16384)||_.flags&32769)||n.kind===173||zl(n.body)||n.body.kind!==241||!wWe(n))return;const y=n.flags&1024,x=nm(n)||n;if(_&&_.flags&131072)Je(x,f.A_function_returning_never_cannot_have_a_reachable_end_point);else if(_&&!y)Je(x,f.A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value);else if(_&&Y&&!Ea(Oe,_))Je(x,f.Function_lacks_ending_return_statement_and_return_type_does_not_include_undefined);else if(V.noImplicitReturns){if(!_){if(!y)return;const k=ps(fm(n));if(PFe(n,k))return}Je(x,f.Not_all_code_paths_return_a_value)}}}function WWe(n,a){if(N.assert(n.kind!==174||xm(n)),Ax(n),Os(n)&&dM(n,n.name),a&&a&4&&Uf(n)){if(!nm(n)&&!iW(n)){const d=$8(n);if(d&&vE(ps(d))){const _=ei(n);if(_.contextFreeType)return _.contextFreeType;const y=lte(n,a),x=Ig(void 0,void 0,void 0,et,y,void 0,0,64),k=Ls(n.symbol,K,[x],et,et);return k.objectFlags|=262144,_.contextFreeType=k}}return nn}return!Mte(n)&&n.kind===218&&Wbe(n),$_t(n,a),dr(Ir(n))}function $_t(n,a){const c=ei(n);if(!(c.flags&64)){const d=$8(n);if(!(c.flags&64)){c.flags|=64;const _=Xc(Yo(dr(Ir(n)),0));if(!_)return;if(Uf(n))if(d){const y=eS(n);let x;if(a&&a&2){RWe(_,d,y);const k=Z8(d);k&&k.flags&262144&&(x=MN(d,y.nonFixingMapper))}x||(x=y?MN(d,y.mapper):d),L_t(_,x)}else k_t(_);else if(d&&!n.typeParameters&&d.parameters.length>n.parameters.length){const y=eS(n);a&&a&2&&RWe(_,d,y)}if(d&&!IN(n)&&!_.resolvedReturnType){const y=lte(n,a);_.resolvedReturnType||(_.resolvedReturnType=y)}n6(n)}}}function Q_t(n){N.assert(n.kind!==174||xm(n));const a=Vc(n),c=IN(n);if(tbe(n,c),n.body)if(nm(n)||ps(fm(n)),n.body.kind===241)Na(n.body);else{const d=mo(n.body),_=c&&RB(c,a);if(_){const y=rte(n.body);if((a&3)===2){const x=o6(d,!1,y,f.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member);Ub(x,_,y,y)}else Ub(d,_,y,y)}}}function cte(n,a,c,d=!1){if(!Ea(a,Ql)){const _=d&&cM(a);return K0(n,!!_&&Ea(_,Ql),c),!1}return!0}function Z_t(n){if(!la(n)||!DS(n))return!1;const a=nc(n.arguments[2]);if(Xe(a,"value")){const _=ya(a,"writable"),y=_&&dr(_);if(!y||y===en||y===bn)return!0;if(_&&_.valueDeclaration&&hc(_.valueDeclaration)){const x=_.valueDeclaration.initializer,k=mo(x);if(k===en||k===bn)return!0}return!1}return!ya(a,"set")}function b_(n){return!!(xl(n)&8||n.flags&4&&Df(n)&8||n.flags&3&&Rye(n)&6||n.flags&98304&&!(n.flags&65536)||n.flags&8||bt(n.declarations,Z_t))}function FWe(n,a,c){var d,_;if(c===0)return!1;if(b_(a)){if(a.flags&4&&ws(n)&&n.expression.kind===110){const y=Gp(n);if(!(y&&(y.kind===176||nh(y))))return!0;if(a.valueDeclaration){const x=mr(a.valueDeclaration),k=y.parent===a.valueDeclaration.parent,z=y===a.valueDeclaration.parent,H=x&&((d=a.parent)==null?void 0:d.valueDeclaration)===y.parent,re=x&&((_=a.parent)==null?void 0:_.valueDeclaration)===y;return!(k||z||H||re)}}return!0}if(ws(n)){const y=hs(n.expression);if(y.kind===80){const x=ei(y).resolvedSymbol;if(x.flags&2097152){const k=Fm(x);return!!k&&k.kind===274}}}return!1}function t6(n,a,c){const d=$l(n,7);return d.kind!==80&&!ws(d)?(Je(n,a),!1):d.flags&64?(Je(n,c),!1):!0}function eht(n){mo(n.expression);const a=hs(n.expression);if(!ws(a))return Je(a,f.The_operand_of_a_delete_operator_must_be_a_property_reference),Ni;Fr(a)&&Ji(a.name)&&Je(a,f.The_operand_of_a_delete_operator_cannot_be_a_private_identifier);const c=ei(a),d=vf(c.resolvedSymbol);return d&&(b_(d)?Je(a,f.The_operand_of_a_delete_operator_cannot_be_a_read_only_property):tht(a,d)),Ni}function tht(n,a){const c=dr(a);Y&&!(c.flags&131075)&&!(De?a.flags&16777216:_m(c,16777216))&&Je(n,f.The_operand_of_a_delete_operator_must_be_optional)}function nht(n){return mo(n.expression),nN}function rht(n){return Ax(n),Lt}function zWe(n){let a=!1;const c=t3(n);if(c&&Rl(c)){const d=eb(n)?f.await_expression_cannot_be_used_inside_a_class_static_block:f.await_using_statements_cannot_be_used_inside_a_class_static_block;Je(n,d),a=!0}else if(!(n.flags&65536))if(r3(n)){const d=jn(n);if(!iS(d)){let _;if(!wA(d,V)){_??(_=gh(d,n.pos));const y=eb(n)?f.await_expressions_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module:f.await_using_statements_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module,x=Qc(d,_.start,_.length,y);ts.add(x),a=!0}switch(G){case 100:case 199:if(d.impliedNodeFormat===1){_??(_=gh(d,n.pos)),ts.add(Qc(d,_.start,_.length,f.The_current_file_is_a_CommonJS_module_and_cannot_use_await_at_the_top_level)),a=!0;break}case 7:case 99:case 200:case 4:if(se>=4)break;default:_??(_=gh(d,n.pos));const y=eb(n)?f.Top_level_await_expressions_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher:f.Top_level_await_using_statements_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher;ts.add(Qc(d,_.start,_.length,y)),a=!0;break}}}else{const d=jn(n);if(!iS(d)){const _=gh(d,n.pos),y=eb(n)?f.await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules:f.await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules,x=Qc(d,_.start,_.length,y);if(c&&c.kind!==176&&!(Vc(c)&2)){const k=wr(c,f.Did_you_mean_to_mark_this_function_as_async);wa(x,k)}ts.add(x),a=!0}}return eb(n)&&_ye(n)&&(Je(n,f.await_expressions_cannot_be_used_in_a_parameter_initializer),a=!0),a}function iht(n){r(()=>zWe(n));const a=mo(n.expression),c=o6(a,!0,n,f.Type_of_await_operand_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member);return c===a&&!Kt(c)&&!(a.flags&3)&&s_(!1,wr(n,f.await_has_no_effect_on_the_type_of_this_expression)),c}function oht(n){const a=mo(n.operand);if(a===vo)return vo;switch(n.operand.kind){case 9:switch(n.operator){case 41:return Ex(g_(-n.operand.text));case 40:return Ex(g_(+n.operand.text))}break;case 10:if(n.operator===41)return Ex(see({negative:!0,base10Value:eP(n.operand.text)}))}switch(n.operator){case 40:case 41:case 55:return eh(a,n.operand),gB(a,12288)&&Je(n.operand,f.The_0_operator_cannot_be_applied_to_type_symbol,fa(n.operator)),n.operator===40?(gB(a,2112)&&Je(n.operand,f.Operator_0_cannot_be_applied_to_type_1,fa(n.operator),Un(bv(a))),Tt):nbe(a);case 54:vbe(a,n.operand);const c=eM(a,12582912);return c===4194304?en:c===8388608?Bn:Ni;case 46:case 47:return cte(n.operand,eh(a,n.operand),f.An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type)&&t6(n.operand,f.The_operand_of_an_increment_or_decrement_operator_must_be_a_variable_or_a_property_access,f.The_operand_of_an_increment_or_decrement_operator_may_not_be_an_optional_property_access),nbe(a)}return _t}function aht(n){const a=mo(n.operand);return a===vo?vo:(cte(n.operand,eh(a,n.operand),f.An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type)&&t6(n.operand,f.The_operand_of_an_increment_or_decrement_operator_must_be_a_variable_or_a_property_access,f.The_operand_of_an_increment_or_decrement_operator_may_not_be_an_optional_property_access),nbe(a))}function nbe(n){return Cl(n,2112)?xu(n,3)||Cl(n,296)?Ql:kt:Tt}function gB(n,a){if(Cl(n,a))return!0;const c=_v(n);return!!c&&Cl(c,a)}function Cl(n,a){if(n.flags&a)return!0;if(n.flags&3145728){const c=n.types;for(const d of c)if(Cl(d,a))return!0}return!1}function xu(n,a,c){return n.flags&a?!0:c&&n.flags&114691?!1:!!(a&296)&&Ea(n,Tt)||!!(a&2112)&&Ea(n,kt)||!!(a&402653316)&&Ea(n,ke)||!!(a&528)&&Ea(n,Ni)||!!(a&16384)&&Ea(n,ir)||!!(a&131072)&&Ea(n,jr)||!!(a&65536)&&Ea(n,me)||!!(a&32768)&&Ea(n,Oe)||!!(a&4096)&&Ea(n,Ai)||!!(a&67108864)&&Ea(n,Kr)}function vB(n,a,c){return n.flags&1048576?Zn(n.types,d=>vB(d,a,c)):xu(n,a,c)}function ute(n){return!!(Wr(n)&16)&&!!n.symbol&&rbe(n.symbol)}function rbe(n){return(n.flags&128)!==0}function ibe(n){const a=IFe("hasInstance"),c=uE(n,a);if(c){const d=dr(c);if(d&&Yo(d,0).length!==0)return d}}function sht(n,a,c,d,_){if(c===vo||d===vo)return vo;!Nt(c)&&vB(c,402784252)&&Je(n,f.The_left_hand_side_of_an_instanceof_expression_must_be_of_type_any_an_object_type_or_a_type_parameter),N.assert(L3(n.parent));const y=WN(n.parent,void 0,_);if(y===Er)return vo;const x=ps(y);return nd(x,Ni,a,f.An_object_s_Symbol_hasInstance_method_must_return_a_boolean_value_for_it_to_be_used_on_the_right_hand_side_of_an_instanceof_expression),Ni}function lht(n){return Um(n,a=>a===Nl||!!(a.flags&2097152)&&Uh(_v(a)))}function cht(n,a,c,d){if(c===vo||d===vo)return vo;if(Ji(n)){if(se<99&&Nc(n,2097152),!ei(n).resolvedSymbol&&su(n)){const _=Lye(n,d.symbol,!0);H3e(n,d,_)}}else nd(eh(c,n),Dn,n);return nd(eh(d,a),Kr,a)&&lht(d)&&Je(a,f.Type_0_may_represent_a_primitive_value_which_is_not_permitted_as_the_right_operand_of_the_in_operator,Un(d)),Ni}function uht(n,a,c){const d=n.properties;if(Y&&d.length===0)return eh(a,n);for(let _=0;_<d.length;_++)BWe(n,a,_,d,c);return a}function BWe(n,a,c,d,_=!1){const y=n.properties,x=y[c];if(x.kind===303||x.kind===304){const k=x.name,z=vy(k);if(rm(z)){const be=im(z),ge=ya(a,be);ge&&(aB(ge,x,_),Nye(x,!1,!0,a,ge))}const H=Lp(a,z,32,k),re=Yi(x,H);return uA(x.kind===304?x:x.initializer,re)}else if(x.kind===305)if(c<y.length-1)Je(x,f.A_rest_element_must_be_last_in_a_destructuring_pattern);else{se<99&&Nc(x,4);const k=[];if(d)for(const H of d)Xv(H)||k.push(H.name);const z=Wi(a,k,a.symbol);return xx(d,f.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma),uA(x.expression,z)}else Je(x,f.Property_assignment_expected)}function dht(n,a,c){const d=n.elements;se<2&&V.downlevelIteration&&Nc(n,512);const _=Sy(193,a,Oe,n)||_t;let y=V.noUncheckedIndexedAccess?void 0:_;for(let x=0;x<d.length;x++){let k=_;n.elements[x].kind===230&&(k=y=y??(Sy(65,a,Oe,n)||_t)),GWe(n,a,x,k,c)}return a}function GWe(n,a,c,d,_){const y=n.elements,x=y[c];if(x.kind!==232){if(x.kind!==230){const k=g_(c);if(by(a)){const z=32|(iM(x)?16:0),H=Bb(a,k,z,lB(x,k))||_t,re=iM(x)?hm(H,524288):H,be=Yi(x,re);return uA(x,be,_)}return uA(x,d,_)}if(c<y.length-1)Je(x,f.A_rest_element_must_be_last_in_a_destructuring_pattern);else{const k=x.expression;if(k.kind===226&&k.operatorToken.kind===64)Je(k.operatorToken,f.A_rest_element_cannot_have_an_initializer);else{xx(n.elements,f.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma);const z=up(a,Ba)?pl(a,H=>J2(H,c)):Vu(d);return uA(k,z,_)}}}}function uA(n,a,c,d){let _;if(n.kind===304){const y=n;y.objectAssignmentInitializer&&(Y&&!_m(mo(y.objectAssignmentInitializer),16777216)&&(a=hm(a,524288)),_ht(y.name,y.equalsToken,y.objectAssignmentInitializer,c)),_=n.name}else _=n;return _.kind===226&&_.operatorToken.kind===64&&(Pe(_,c),_=_.left,Y&&(a=hm(a,524288))),_.kind===210?uht(_,a,d):_.kind===209?dht(_,a,c):pht(_,a,c)}function pht(n,a,c){const d=mo(n,c),_=n.parent.kind===305?f.The_target_of_an_object_rest_assignment_must_be_a_variable_or_a_property_access:f.The_left_hand_side_of_an_assignment_expression_must_be_a_variable_or_a_property_access,y=n.parent.kind===305?f.The_target_of_an_object_rest_assignment_may_not_be_an_optional_property_access:f.The_left_hand_side_of_an_assignment_expression_may_not_be_an_optional_property_access;return t6(n,_,y)&&Ub(a,d,n,n),eR(n)&&Nc(n.parent,1048576),a}function yB(n){switch(n=hs(n),n.kind){case 80:case 11:case 14:case 215:case 228:case 15:case 9:case 10:case 112:case 97:case 106:case 157:case 218:case 231:case 219:case 209:case 210:case 221:case 235:case 285:case 284:return!0;case 227:return yB(n.whenTrue)&&yB(n.whenFalse);case 226:return Vv(n.operatorToken.kind)?!1:yB(n.left)&&yB(n.right);case 224:case 225:switch(n.operator){case 54:case 40:case 41:case 55:return!0}return!1;case 222:case 216:case 234:default:return!1}}function obe(n,a){return(a.flags&98304)!==0||fee(n,a)}function fht(){const n=yF(a,c,d,_,y,x);return(ge,Ke)=>{const gt=n(ge,Ke);return N.assertIsDefined(gt),gt};function a(ge,Ke,gt){return Ke?(Ke.stackIndex++,Ke.skip=!1,H(Ke,void 0),be(Ke,void 0)):Ke={checkMode:gt,skip:!1,stackIndex:0,typeStack:[void 0,void 0]},lr(ge)&&OA(ge)?(Ke.skip=!0,be(Ke,mo(ge.right,gt)),Ke):(mht(ge),ge.operatorToken.kind===64&&(ge.left.kind===210||ge.left.kind===209)&&(Ke.skip=!0,be(Ke,uA(ge.left,mo(ge.right,gt),gt,ge.right.kind===110))),Ke)}function c(ge,Ke,gt){if(!Ke.skip)return k(Ke,ge)}function d(ge,Ke,gt){if(!Ke.skip){const Dt=re(Ke);N.assertIsDefined(Dt),H(Ke,Dt),be(Ke,void 0);const an=ge.kind;if(fk(an)){let cn=gt.parent;for(;cn.kind===217||mk(cn);)cn=cn.parent;(an===56||US(cn))&&gbe(gt.left,Dt,US(cn)?cn.thenStatement:void 0),vbe(Dt,gt.left)}}}function _(ge,Ke,gt){if(!Ke.skip)return k(Ke,ge)}function y(ge,Ke){let gt;if(Ke.skip)gt=re(Ke);else{const Dt=z(Ke);N.assertIsDefined(Dt);const an=re(Ke);N.assertIsDefined(an),gt=VWe(ge.left,ge.operatorToken,ge.right,Dt,an,Ke.checkMode,ge)}return Ke.skip=!1,H(Ke,void 0),be(Ke,void 0),Ke.stackIndex--,gt}function x(ge,Ke,gt){return be(ge,Ke),ge}function k(ge,Ke){if(mr(Ke))return Ke;be(ge,mo(Ke,ge.checkMode))}function z(ge){return ge.typeStack[ge.stackIndex]}function H(ge,Ke){ge.typeStack[ge.stackIndex]=Ke}function re(ge){return ge.typeStack[ge.stackIndex+1]}function be(ge,Ke){ge.typeStack[ge.stackIndex+1]=Ke}}function mht(n){const{left:a,operatorToken:c,right:d}=n;c.kind===61&&(mr(a)&&(a.operatorToken.kind===57||a.operatorToken.kind===56)&&En(a,f._0_and_1_operations_cannot_be_mixed_without_parentheses,fa(a.operatorToken.kind),fa(c.kind)),mr(d)&&(d.operatorToken.kind===57||d.operatorToken.kind===56)&&En(d,f._0_and_1_operations_cannot_be_mixed_without_parentheses,fa(d.operatorToken.kind),fa(c.kind)))}function _ht(n,a,c,d,_){const y=a.kind;if(y===64&&(n.kind===210||n.kind===209))return uA(n,mo(c,d),d,c.kind===110);let x;fk(y)?x=pM(n,d):x=mo(n,d);const k=mo(c,d);return VWe(n,a,c,x,k,d,_)}function VWe(n,a,c,d,_,y,x){const k=a.kind;switch(k){case 42:case 43:case 67:case 68:case 44:case 69:case 45:case 70:case 41:case 66:case 48:case 71:case 49:case 72:case 50:case 73:case 52:case 75:case 53:case 79:case 51:case 74:if(d===vo||_===vo)return vo;d=eh(d,n),_=eh(_,c);let ln;if(d.flags&528&&_.flags&528&&(ln=ge(a.kind))!==void 0)return Je(x||a,f.The_0_operator_is_not_allowed_for_boolean_types_Consider_using_1_instead,fa(a.kind),fa(ln)),Tt;{const er=cte(n,d,f.The_left_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type,!0),qn=cte(c,_,f.The_right_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type,!0);let tr;if(xu(d,3)&&xu(_,3)||!(Cl(d,2112)||Cl(_,2112)))tr=Tt;else if(z(d,_)){switch(k){case 50:case 73:an();break;case 43:case 68:se<3&&Je(x,f.Exponentiation_cannot_be_performed_on_bigint_values_unless_the_target_option_is_set_to_es2016_or_later)}tr=kt}else an(z),tr=_t;return er&&qn&&Ke(tr),tr}case 40:case 65:if(d===vo||_===vo)return vo;!xu(d,402653316)&&!xu(_,402653316)&&(d=eh(d,n),_=eh(_,c));let zn;return xu(d,296,!0)&&xu(_,296,!0)?zn=Tt:xu(d,2112,!0)&&xu(_,2112,!0)?zn=kt:xu(d,402653316,!0)||xu(_,402653316,!0)?zn=ke:(Nt(d)||Nt(_))&&(zn=Kt(d)||Kt(_)?_t:j),zn&&!be(k)?zn:zn?(k===65&&Ke(zn),zn):(an((qn,tr)=>xu(qn,402655727)&&xu(tr,402655727)),j);case 30:case 32:case 33:case 34:return be(k)&&(d=wve(eh(d,n)),_=wve(eh(_,c)),Dt((er,qn)=>{if(Nt(er)||Nt(qn))return!0;const tr=Ea(er,Ql),Ro=Ea(qn,Ql);return tr&&Ro||!tr&&!Ro&&k9(er,qn)})),Ni;case 35:case 36:case 37:case 38:if(!(y&&y&64)){if((rj(n)||rj(c))&&(!lr(n)||k===37||k===38)){const er=k===35||k===37;Je(x,f.This_condition_will_always_return_0_since_JavaScript_compares_objects_by_reference_not_value,er?"false":"true")}ar(x,k,n,c),Dt((er,qn)=>obe(er,qn)||obe(qn,er))}return Ni;case 104:return sht(n,c,d,_,y);case 103:return cht(n,c,d,_);case 56:case 77:{const er=_m(d,4194304)?ti([Qdt(Y?d:bv(_)),_]):d;return k===77&&Ke(_),er}case 57:case 76:{const er=_m(d,8388608)?ti([Ev(E4e(d)),_],2):d;return k===76&&Ke(_),er}case 61:case 78:{const er=_m(d,262144)?ti([Ev(d),_],2):d;return k===78&&Ke(_),er}case 64:const Fn=mr(n.parent)?Bl(n.parent):0;return H(Fn,_),gt(Fn)?((!(_.flags&524288)||Fn!==2&&Fn!==6&&!yv(_)&&!nye(_)&&!(Wr(_)&1))&&Ke(_),d):(Ke(_),_);case 28:if(!V.allowUnreachableCode&&yB(n)&&!re(n.parent)){const er=jn(n),qn=er.text,tr=ka(qn,n.pos);er.parseDiagnostics.some(Eo=>Eo.code!==f.JSX_expressions_must_have_one_parent_element.code?!1:HV(Eo,tr))||Je(n,f.Left_side_of_comma_operator_is_unused_and_has_no_side_effects)}return _;default:return N.fail()}function z(ln,zn){return xu(ln,2112)&&xu(zn,2112)}function H(ln,zn){if(ln===2)for(const Fn of Wb(zn)){const er=dr(Fn);if(er.symbol&&er.symbol.flags&32){const qn=Fn.escapedName,tr=bl(Fn.valueDeclaration,qn,788968,void 0,qn,!1);tr!=null&&tr.declarations&&tr.declarations.some(YS)&&(dm(tr,f.Duplicate_identifier_0,Vi(qn),Fn),dm(Fn,f.Duplicate_identifier_0,Vi(qn),tr))}}}function re(ln){return ln.parent.kind===217&&vp(ln.left)&&ln.left.text==="0"&&(la(ln.parent.parent)&&ln.parent.parent.expression===ln.parent||ln.parent.parent.kind===215)&&(ws(ln.right)||Ve(ln.right)&&ln.right.escapedText==="eval")}function be(ln){const zn=gB(d,12288)?n:gB(_,12288)?c:void 0;return zn?(Je(zn,f.The_0_operator_cannot_be_applied_to_type_symbol,fa(ln)),!1):!0}function ge(ln){switch(ln){case 52:case 75:return 57;case 53:case 79:return 38;case 51:case 74:return 56;default:return}}function Ke(ln){Vv(k)&&r(zn);function zn(){let Fn=d;if(BP(a.kind)&&n.kind===211&&(Fn=Yee(n,void 0,!0)),t6(n,f.The_left_hand_side_of_an_assignment_expression_must_be_a_variable_or_a_property_access,f.The_left_hand_side_of_an_assignment_expression_may_not_be_an_optional_property_access)){let er;if(De&&Fr(n)&&Cl(ln,32768)){const qn=Xe(Ru(n.expression),n.name.escapedText);_ee(ln,qn)&&(er=f.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target)}Ub(ln,Fn,n,c,er)}}}function gt(ln){var zn;switch(ln){case 2:return!0;case 1:case 5:case 6:case 3:case 4:const Fn=gf(n),er=OA(c);return!!er&&Oa(er)&&!!((zn=Fn==null?void 0:Fn.exports)!=null&&zn.size);default:return!1}}function Dt(ln){return ln(d,_)?!1:(an(ln),!0)}function an(ln){let zn=!1;const Fn=x||a;if(ln){const Eo=Ey(d),Bo=Ey(_);zn=!(Eo===d&&Bo===_)&&!!(Eo&&Bo)&&ln(Eo,Bo)}let er=d,qn=_;!zn&&ln&&([er,qn]=hht(d,_,ln));const[tr,Ro]=fx(er,qn);cn(Fn,zn,tr,Ro)||K0(Fn,zn,f.Operator_0_cannot_be_applied_to_types_1_and_2,fa(a.kind),tr,Ro)}function cn(ln,zn,Fn,er){switch(a.kind){case 37:case 35:case 38:case 36:return K0(ln,zn,f.This_comparison_appears_to_be_unintentional_because_the_types_0_and_1_have_no_overlap,Fn,er);default:return}}function ar(ln,zn,Fn,er){const qn=On(hs(Fn)),tr=On(hs(er));if(qn||tr){const Ro=Je(ln,f.This_condition_will_always_return_0,fa(zn===37||zn===35?97:112));if(qn&&tr)return;const Eo=zn===38||zn===36?fa(54):"",Bo=qn?er:Fn,_o=hs(Bo);wa(Ro,wr(Bo,f.Did_you_mean_0,`${Eo}Number.isNaN(${Gl(_o)?_p(_o):"..."})`))}}function On(ln){if(Ve(ln)&&ln.escapedText==="NaN"){const zn=iut();return!!zn&&zn===jm(ln)}return!1}}function hht(n,a,c){let d=n,_=a;const y=bv(n),x=bv(a);return c(y,x)||(d=y,_=x),[d,_]}function ght(n){r(ge);const a=Gp(n);if(!a)return j;const c=Vc(a);if(!(c&1))return j;const d=(c&2)!==0;n.asteriskToken&&(d&&se<99&&Nc(n,26624),!d&&se<2&&V.downlevelIteration&&Nc(n,256));let _=IN(a);_&&_.flags&1048576&&(_=dc(_,Ke=>lbe(Ke,c,void 0)));const y=_&&CFe(_,d),x=y&&y.yieldType||j,k=y&&y.nextType||j,z=d?dA(k)||j:k,H=n.expression?mo(n.expression):Lt,re=MWe(n,H,z,d);if(_&&re&&Ub(re,x,n.expression||n,n.expression),n.asteriskToken)return bbe(d?19:17,1,H,n.expression)||j;if(_)return rS(2,_,d)||j;let be=h3e(2,a);return be||(be=j,r(()=>{if(pe&&!nae(n)){const Ke=Yd(n,void 0);(!Ke||Nt(Ke))&&Je(n,f.yield_expression_implicitly_results_in_an_any_type_because_its_containing_generator_lacks_a_return_type_annotation)}})),be;function ge(){n.flags&16384||hu(n,f.A_yield_expression_is_only_allowed_in_a_generator_body),_ye(n)&&Je(n,f.yield_expressions_cannot_be_used_in_a_parameter_initializer)}}function vht(n,a){const c=pM(n.condition,a);gbe(n.condition,c,n.whenTrue);const d=mo(n.whenTrue,a),_=mo(n.whenFalse,a);return ti([d,_],2)}function jWe(n){const a=n.parent;return Gd(a)&&jWe(a)||Qs(a)&&a.argumentExpression===n}function yht(n){const a=[n.head.text],c=[];for(const _ of n.templateSpans){const y=mo(_.expression);gB(y,12288)&&Je(_.expression,f.Implicit_conversion_of_a_symbol_to_a_string_will_fail_at_runtime_Consider_wrapping_this_expression_in_String),a.push(_.literal.text),c.push(Ea(y,Lo)?y:ke)}if(sM(n)||jWe(n)||Um(Yd(n,void 0)||_n,bht))return XT(a,c);const d=n.parent.kind!==215&&HFe(n);return d?Ex(Xd(d)):ke}function bht(n){return!!(n.flags&134217856||n.flags&58982400&&Cl(Gu(n)||_n,402653316))}function Eht(n){return l1(n)&&!JS(n.parent)?n.parent.parent:n}function FN(n,a,c,d){const _=Eht(n);Z9(_,a,!1),Gft(_,c);const y=mo(n,d|1|(c?2:0));c&&c.intraExpressionInferenceSites&&(c.intraExpressionInferenceSites=void 0);const x=Cl(y,2944)&&pte(y,Hee(a,n,void 0))?Ed(y):y;return Vft(),Y8(),x}function nc(n,a){if(a)return mo(n,a);const c=ei(n);if(!c.resolvedType){const d=H_,_=yn;H_=_f,yn=void 0,c.resolvedType=mo(n,a),yn=_,H_=d}return c.resolvedType}function UWe(n){return n=hs(n,!0),n.kind===216||n.kind===234||tD(n)}function aM(n,a,c){const d=jL(n);if(lr(n)){const y=sW(n);if(y)return Kye(d,y,a)}const _=sbe(d)||(c?FN(d,c,void 0,a||0):nc(d,a));return Ao(n)&&n.name.kind===207&&Ba(_)&&!_.target.hasRestElement&&gy(_)<n.name.elements.length?Sht(_,n.name):_}function Sht(n,a){const c=a.elements,d=J1(n).slice(),_=n.target.elementFlags.slice();for(let y=gy(n);y<c.length;y++){const x=c[y];(y<c.length-1||!(x.kind===208&&x.dotDotDotToken))&&(d.push(!jc(x)&&iM(x)?B2(x,!1,!1):j),_.push(2),!jc(x)&&!iM(x)&&gE(x,j))}return jh(d,_,n.target.readonly)}function dte(n,a){const c=aS(n)&6||K4(n)?a:Q1(a);if(lr(n)){if(_4e(c))return gE(n,j),j;if(Eee(c))return gE(n,ec),ec}return c}function pte(n,a){if(a){if(a.flags&3145728){const c=a.types;return bt(c,d=>pte(n,d))}if(a.flags&58982400){const c=Gu(a)||_n;return Cl(c,4)&&Cl(n,128)||Cl(c,8)&&Cl(n,256)||Cl(c,64)&&Cl(n,2048)||Cl(c,4096)&&Cl(n,8192)||pte(n,c)}return!!(a.flags&406847616&&Cl(n,128)||a.flags&256&&Cl(n,256)||a.flags&2048&&Cl(n,2048)||a.flags&512&&Cl(n,512)||a.flags&8192&&Cl(n,8192))}return!1}function sM(n){const a=n.parent;return bS(a)&&Og(a.type)||tD(a)&&Og(_F(a))||Jye(n)&&qT(Yd(n,0))||(Gd(a)||_d(a)||e_(a))&&sM(a)||(hc(a)||rp(a)||bP(a))&&sM(a.parent)}function lM(n,a,c){const d=mo(n,a,c);return sM(n)||Nie(n)?Ed(d):UWe(n)?d:Ove(d,Hee(Yd(n,void 0),n,void 0))}function HWe(n,a){return n.name.kind===167&&Rg(n.name),lM(n.initializer,a)}function qWe(n,a){v7e(n),n.name.kind===167&&Rg(n.name);const c=WWe(n,a);return JWe(n,c,a)}function JWe(n,a,c){if(c&&c&10){const d=Q8(a,0,!0),_=Q8(a,1,!0),y=d||_;if(y&&y.typeParameters){const x=EE(n,2);if(x){const k=Q8(Ev(x),d?0:1,!1);if(k&&!k.typeParameters){if(c&8)return KWe(n,c),nn;const z=eS(n),H=z.signature&&ps(z.signature),re=H&&tWe(H);if(re&&!re.typeParameters&&!Zn(z.inferences,zN)){const be=xht(z,y.typeParameters),ge=Fge(y,be),Ke=wt(z.inferences,gt=>jve(gt.typeParameter));if(zve(ge,k,(gt,Dt)=>{Sv(Ke,gt,Dt,0,!0)}),bt(Ke,zN)&&(Bve(ge,k,(gt,Dt)=>{Sv(Ke,gt,Dt)}),!Aht(z.inferences,Ke)))return Iht(z.inferences,Ke),z.inferredTypeParameters=So(z.inferredTypeParameters,be),KT(ge)}return KT(nWe(y,k,z))}}}}return a}function KWe(n,a){if(a&2){const c=eS(n);c.flags|=4}}function zN(n){return!!(n.candidates||n.contraCandidates)}function Tht(n){return!!(n.candidates||n.contraCandidates||M8e(n.typeParameter))}function Aht(n,a){for(let c=0;c<n.length;c++)if(zN(n[c])&&zN(a[c]))return!0;return!1}function Iht(n,a){for(let c=0;c<n.length;c++)!zN(n[c])&&zN(a[c])&&(n[c]=a[c])}function xht(n,a){const c=[];let d,_;for(const y of a){const x=y.symbol.escapedName;if(abe(n.inferredTypeParameters,x)||abe(c,x)){const k=Rht(So(n.inferredTypeParameters,c),x),z=Xa(262144,k),H=yf(z);H.target=y,d=xn(d,y),_=xn(_,H),c.push(H)}else c.push(y)}if(_){const y=kp(d,_);for(const x of _)x.mapper=y}return c}function abe(n,a){return bt(n,c=>c.symbol.escapedName===a)}function Rht(n,a){let c=a.length;for(;c>1&&a.charCodeAt(c-1)>=48&&a.charCodeAt(c-1)<=57;)c--;const d=a.slice(0,c);for(let _=1;;_++){const y=d+_;if(!abe(n,y))return y}}function XWe(n){const a=cA(n);if(a&&!a.typeParameters)return ps(a)}function Dht(n){const a=mo(n.expression),c=j8(a,n.expression),d=XWe(a);return d&&Iee(d,n,c!==a)}function Ru(n){const a=sbe(n);if(a)return a;if(n.flags&268435456&&yn){const _=yn[as(n)];if(_)return _}const c=Ze,d=mo(n,64);if(Ze!==c){const _=yn||(yn=[]);_[as(n)]=d,tae(n,n.flags|268435456)}return d}function sbe(n){let a=hs(n,!0);if(tD(a)){const c=_F(a);if(!Og(c))return Ei(c)}if(a=hs(n),eb(a)){const c=sbe(a.expression);return c?dA(c):void 0}if(la(a)&&a.expression.kind!==108&&!Ad(a,!0)&&!_We(a))return hS(a)?Dht(a):XWe(wN(a.expression));if(bS(a)&&!Og(a.type))return Ei(a.type);if(kE(n)||hC(n))return mo(n)}function bB(n){const a=ei(n);if(a.contextFreeType)return a.contextFreeType;Z9(n,j,!1);const c=a.contextFreeType=mo(n,4);return Y8(),c}function mo(n,a,c){var d,_;(d=sr)==null||d.push(sr.Phase.Check,"checkExpression",{kind:n.kind,pos:n.pos,end:n.end,path:n.tracingPath});const y=C;C=n,I=0;const x=Pht(n,a,c),k=JWe(n,x,a);return ute(k)&&Nht(n,k),C=y,(_=sr)==null||_.pop(),k}function Nht(n,a){n.parent.kind===211&&n.parent.expression===n||n.parent.kind===212&&n.parent.expression===n||(n.kind===80||n.kind===166)&&xte(n)||n.parent.kind===186&&n.parent.exprName===n||n.parent.kind===281||Je(n,f.const_enums_can_only_be_used_in_property_or_index_access_expressions_or_the_right_hand_side_of_an_import_declaration_or_export_assignment_or_type_query),om(V)&&(N.assert(!!(a.symbol.flags&128)),a.symbol.valueDeclaration.flags&33554432&&!T0(n)&&Je(n,f.Cannot_access_ambient_const_enums_when_0_is_enabled,$e))}function Cht(n,a){if(Fp(n)){if(qU(n))return Kye(n.expression,JU(n),a);if(tD(n))return yWe(n,a)}return mo(n.expression,a)}function Pht(n,a,c){const d=n.kind;if(i)switch(d){case 231:case 218:case 219:i.throwIfCancellationRequested()}switch(d){case 80:return uft(n,a);case 81:return Dmt(n);case 110:return $9(n);case 108:return fye(n);case 106:return Ge;case 15:case 11:return Kve(n)?Ye:Ex(Xd(n.text));case 9:return Gbe(n),Ex(g_(+n.text));case 10:return Lbt(n),Ex(see({negative:!1,base10Value:eP(n.text)}));case 112:return Bn;case 97:return en;case 228:return yht(n);case 14:return yl;case 209:return A3e(n,a,c);case 210:return amt(n,a);case 211:return Yee(n,a);case 166:return B3e(n,a);case 212:return Umt(n,a);case 213:if(n.expression.kind===102)return S_t(n);case 214:return E_t(n,a);case 215:return T_t(n);case 217:return Cht(n,a);case 231:return vvt(n);case 218:case 219:return WWe(n,a);case 221:return nht(n);case 216:case 234:return A_t(n,a);case 235:return R_t(n);case 233:return EWe(n);case 238:return D_t(n);case 236:return N_t(n);case 220:return eht(n);case 222:return rht(n);case 223:return iht(n);case 224:return oht(n);case 225:return aht(n);case 226:return Pe(n,a);case 227:return vht(n,a);case 230:return emt(n,a);case 232:return Lt;case 229:return ght(n);case 237:return tmt(n);case 294:return Emt(n,a);case 284:return umt(n);case 285:return lmt(n);case 288:return dmt(n);case 292:return fmt(n,a);case 286:N.fail("Shouldn't ever directly check a JsxOpeningElement")}return _t}function YWe(n){Ng(n),n.expression&&hu(n.expression,f.Type_expected),Na(n.constraint),Na(n.default);const a=jT(Ir(n));Gu(a),dct(a)||Je(n.default,f.Type_parameter_0_has_a_circular_default,Un(a));const c=Ld(a),d=JT(a);c&&d&&nd(d,Kp(so(c,Y1(a,d)),d),n.default,f.Type_0_does_not_satisfy_the_constraint_1),Ax(n),r(()=>fM(n.name,f.Type_parameter_name_cannot_be_0))}function Mht(n){var a,c;if(hd(n.parent)||ui(n.parent)||Nm(n.parent)){const d=jT(Ir(n)),_=Pve(d)&24576;if(_){const y=Ir(n.parent);if(Nm(n.parent)&&!(Wr(el(y))&52))Je(n,f.Variance_annotations_are_only_supported_in_type_aliases_for_object_function_constructor_and_mapped_types);else if(_===8192||_===16384){(a=sr)==null||a.push(sr.Phase.CheckTypes,"checkTypeParameterDeferred",{parent:bd(el(y)),id:bd(d)});const x=W9(y,d,_===16384?ee:de),k=W9(y,d,_===16384?de:ee),z=d;O=d,nd(x,k,n,f.Type_0_is_not_assignable_to_type_1_as_implied_by_variance_annotation),O=z,(c=sr)==null||c.pop()}}}}function $We(n){Ng(n),IB(n);const a=Gp(n);Zr(n,31)&&(a.kind===176&&Yf(a.body)||Je(n,f.A_parameter_property_is_only_allowed_in_a_constructor_implementation),a.kind===176&&Ve(n.name)&&n.name.escapedText==="constructor"&&Je(n.name,f.constructor_cannot_be_used_as_a_parameter_property_name)),!n.initializer&&aP(n)&&ta(n.name)&&a.body&&Je(n,f.A_binding_pattern_parameter_cannot_be_optional_in_an_implementation_signature),n.name&&Ve(n.name)&&(n.name.escapedText==="this"||n.name.escapedText==="new")&&(a.parameters.indexOf(n)!==0&&Je(n,f.A_0_parameter_must_be_the_first_parameter,n.name.escapedText),(a.kind===176||a.kind===180||a.kind===185)&&Je(n,f.A_constructor_cannot_have_a_this_parameter),a.kind===219&&Je(n,f.An_arrow_function_cannot_have_a_this_parameter),(a.kind===177||a.kind===178)&&Je(n,f.get_and_set_accessors_cannot_declare_this_parameters)),n.dotDotDotToken&&!ta(n.name)&&!Ea(h_(dr(n.symbol)),ff)&&Je(n,f.A_rest_parameter_must_be_of_an_array_type)}function Lht(n){const a=kht(n);if(!a){Je(n,f.A_type_predicate_is_only_allowed_in_return_type_position_for_functions_and_methods);return}const c=fm(a),d=jf(c);if(!d)return;Na(n.type);const{parameterName:_}=n;if(d.kind===0||d.kind===2)lee(_);else if(d.parameterIndex>=0){if(Yu(c)&&d.parameterIndex===c.parameters.length-1)Je(_,f.A_type_predicate_cannot_reference_a_rest_parameter);else if(d.type){const y=()=>jo(void 0,f.A_type_predicate_s_type_must_be_assignable_to_its_parameter_s_type);nd(d.type,dr(c.parameters[d.parameterIndex]),n.type,void 0,y)}}else if(_){let y=!1;for(const{name:x}of a.parameters)if(ta(x)&&QWe(x,_,d.parameterName)){y=!0;break}y||Je(n.parameterName,f.Cannot_find_parameter_0,d.parameterName)}}function kht(n){switch(n.parent.kind){case 219:case 179:case 262:case 218:case 184:case 174:case 173:const a=n.parent;if(n===a.type)return a}}function QWe(n,a,c){for(const d of n.elements){if(jc(d))continue;const _=d.name;if(_.kind===80&&_.escapedText===c)return Je(a,f.A_type_predicate_cannot_reference_element_0_in_a_binding_pattern,c),!0;if((_.kind===207||_.kind===206)&&QWe(_,a,c))return!0}}function n6(n){n.kind===181?sbt(n):(n.kind===184||n.kind===262||n.kind===185||n.kind===179||n.kind===176||n.kind===180)&&Mte(n);const a=Vc(n);a&4||((a&3)===3&&se<99&&Nc(n,6144),(a&3)===2&&se<4&&Nc(n,64),a&3&&se<2&&Nc(n,128)),DB(ky(n)),_vt(n),Ue(n.parameters,$We),n.type&&Na(n.type),r(c);function c(){Mgt(n);let d=nm(n),_=d;if(lr(n)){const y=d0(n);if(y&&y.typeExpression&&Cf(y.typeExpression.type)){const x=cA(Ei(y.typeExpression));x&&x.declaration&&(d=nm(x.declaration),_=y.typeExpression.type)}}if(pe&&!d)switch(n.kind){case 180:Je(n,f.Construct_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type);break;case 179:Je(n,f.Call_signature_which_lacks_return_type_annotation_implicitly_has_an_any_return_type);break}if(d&&_){const y=Vc(n);if((y&5)===1){const x=Ei(d);x===ir?Je(_,f.A_generator_cannot_have_a_void_type_annotation):lbe(x,y,_)}else(y&3)===2&&dgt(n,d,_)}n.kind!==181&&n.kind!==324&&Xb(n)}}function lbe(n,a,c){const d=rS(0,n,(a&2)!==0)||j,_=rS(1,n,(a&2)!==0)||d,y=rS(2,n,(a&2)!==0)||_n,x=PWe(d,_,y,!!(a&2));return nd(x,n,c)}function wht(n){const a=new Map,c=new Map,d=new Map;for(const y of n.members)if(y.kind===176)for(const x of y.parameters)mp(x,y)&&!ta(x.name)&&_(a,x.name,x.name.escapedText,3);else{const x=sa(y),k=y.name;if(!k)continue;const z=Ji(k),H=z&&x?16:0,re=z?d:x?c:a,be=k&&Vbe(k);if(be)switch(y.kind){case 177:_(re,k,be,1|H);break;case 178:_(re,k,be,2|H);break;case 172:_(re,k,be,3|H);break;case 174:_(re,k,be,8|H);break}}function _(y,x,k,z){const H=y.get(k);if(H)if((H&16)!==(z&16))Je(x,f.Duplicate_identifier_0_Static_and_instance_elements_cannot_share_the_same_private_name,fc(x));else{const re=!!(H&8),be=!!(z&8);re||be?re!==be&&Je(x,f.Duplicate_identifier_0,fc(x)):H&z&-17?Je(x,f.Duplicate_identifier_0,fc(x)):y.set(k,H|z)}else y.set(k,z)}}function Oht(n){for(const a of n.members){const c=a.name;if(sa(a)&&c){const _=Vbe(c);switch(_){case"name":case"length":case"caller":case"arguments":if(he)break;case"prototype":const y=f.Static_property_0_conflicts_with_built_in_property_Function_0_of_constructor_function_1,x=iE(Ir(n));Je(c,y,_,x);break}}}}function ZWe(n){const a=new Map;for(const c of n.members)if(c.kind===171){let d;const _=c.name;switch(_.kind){case 11:case 9:d=_.text;break;case 80:d=Sr(_);break;default:continue}a.get(d)?(Je(Mo(c.symbol.valueDeclaration),f.Duplicate_identifier_0,d),Je(c.name,f.Duplicate_identifier_0,d)):a.set(d,!0)}}function cbe(n){if(n.kind===264){const c=Ir(n);if(c.declarations&&c.declarations.length>0&&c.declarations[0]!==n)return}const a=zge(Ir(n));if(a!=null&&a.declarations){const c=new Map;for(const d of a.declarations)d.parameters.length===1&&d.parameters[0].type&&oA(Ei(d.parameters[0].type),_=>{const y=c.get(bd(_));y?y.declarations.push(d):c.set(bd(_),{type:_,declarations:[d]})});c.forEach(d=>{if(d.declarations.length>1)for(const _ of d.declarations)Je(_,f.Duplicate_index_signature_for_type_0,Un(d.type))})}}function eFe(n){!Ng(n)&&!Nbt(n)&&Lte(n.name),IB(n),ube(n),Zr(n,64)&&n.kind===172&&n.initializer&&Je(n,f.Property_0_cannot_have_an_initializer_because_it_is_marked_abstract,Rs(n.name))}function Wht(n){return Ji(n.name)&&Je(n,f.Private_identifiers_are_not_allowed_outside_class_bodies),eFe(n)}function Fht(n){v7e(n)||Lte(n.name),ql(n)&&n.asteriskToken&&Ve(n.name)&&Sr(n.name)==="constructor"&&Je(n.name,f.Class_constructor_may_not_be_a_generator),fFe(n),Zr(n,64)&&n.kind===174&&n.body&&Je(n,f.Method_0_cannot_have_an_implementation_because_it_is_marked_abstract,Rs(n.name)),Ji(n.name)&&!su(n)&&Je(n,f.Private_identifiers_are_not_allowed_outside_class_bodies),ube(n)}function ube(n){if(Ji(n.name)&&se<99){for(let a=hh(n);a;a=hh(a))ei(a).flags|=1048576;if(Zc(n.parent)){const a=cye(n.parent);a&&(ei(n.name).flags|=32768,ei(a).flags|=4096)}}}function zht(n){Ng(n),Ho(n,Na)}function Bht(n){n6(n),Rbt(n)||Dbt(n),Na(n.body);const a=Ir(n),c=fl(a,n.kind);if(n===c&&_te(a),zl(n.body))return;r(_);return;function d(y){return cd(y)?!0:y.kind===172&&!sa(y)&&!!y.initializer}function _(){const y=n.parent;if(UE(y)){uye(n.parent,y);const x=dye(y),k=l3e(n.body);if(k){if(x&&Je(k,f.A_constructor_cannot_contain_a_super_call_when_its_class_extends_null),!ye&&(bt(n.parent.members,d)||bt(n.parameters,H=>Zr(H,31))))if(!Ght(k,n.body))Je(k,f.A_super_call_must_be_a_root_level_statement_within_a_constructor_of_a_derived_class_that_contains_initialized_properties_parameter_properties_or_private_identifiers);else{let H;for(const re of n.body.statements){if(eu(re)&&IS($l(re.expression))){H=re;break}if(tFe(re))break}H===void 0&&Je(n,f.A_super_call_must_be_the_first_statement_in_the_constructor_to_refer_to_super_or_this_when_a_derived_class_contains_initialized_properties_parameter_properties_or_private_identifiers)}}else x||Je(n,f.Constructors_for_derived_classes_must_contain_a_super_call)}}}function Ght(n,a){const c=Bv(n.parent);return eu(c)&&c.parent===a}function tFe(n){return n.kind===108||n.kind===110?!0:Oie(n)?!1:!!Ho(n,tFe)}function nFe(n){Ve(n.name)&&Sr(n.name)==="constructor"&&ui(n.parent)&&Je(n.name,f.Class_constructor_may_not_be_an_accessor),r(a),Na(n.body),ube(n);function a(){if(!Mte(n)&&!hbt(n)&&Lte(n.name),SB(n),n6(n),n.kind===177&&!(n.flags&33554432)&&Yf(n.body)&&n.flags&512&&(n.flags&1024||Je(n.name,f.A_get_accessor_must_return_a_value)),n.name.kind===167&&Rg(n.name),TN(n)){const d=Ir(n),_=fl(d,177),y=fl(d,178);if(_&&y&&!(VN(_)&1)){ei(_).flags|=1;const x=pd(_),k=pd(y);(x&64)!==(k&64)&&(Je(_.name,f.Accessors_must_both_be_abstract_or_non_abstract),Je(y.name,f.Accessors_must_both_be_abstract_or_non_abstract)),(x&4&&!(k&6)||x&2&&!(k&2))&&(Je(_.name,f.A_get_accessor_must_be_at_least_as_accessible_as_the_setter),Je(y.name,f.A_get_accessor_must_be_at_least_as_accessible_as_the_setter))}}const c=lE(Ir(n));n.kind===177&&tbe(n,c)}}function Vht(n){SB(n)}function jht(n,a,c){return n.typeArguments&&c<n.typeArguments.length?Ei(n.typeArguments[c]):fte(n,a)[c]}function fte(n,a){return Fb(wt(n.typeArguments,Ei),a,Gh(a),lr(n))}function rFe(n,a){let c,d,_=!0;for(let y=0;y<a.length;y++){const x=Ld(a[y]);x&&(c||(c=fte(n,a),d=kp(a,c)),_=_&&nd(c[y],so(x,d),n.typeArguments[y],f.Type_0_does_not_satisfy_the_constraint_1))}return _}function Uht(n,a){if(!Kt(n))return a.flags&524288&&Xi(a).typeParameters||(Wr(n)&4?n.target.localTypeParameters:void 0)}function dbe(n){const a=Ei(n);if(!Kt(a)){const c=ei(n).resolvedSymbol;if(c)return Uht(a,c)}}function pbe(n){if(PB(n,n.typeArguments),n.kind===183&&!lr(n)&&!GL(n)&&n.typeArguments&&n.typeName.end!==n.typeArguments.pos){const a=jn(n);Iie(a,n.typeName.end)===25&&oS(n,ka(a.text,n.typeName.end),1,f.JSDoc_types_can_only_be_used_inside_documentation_comments)}Ue(n.typeArguments,Na),iFe(n)}function iFe(n){const a=Ei(n);if(!Kt(a)){n.typeArguments&&r(()=>{const d=dbe(n);d&&rFe(n,d)});const c=ei(n).resolvedSymbol;c&&bt(c.declarations,d=>BR(d)&&!!(d.flags&536870912))&&uy(dB(n),c.declarations,c.escapedName)}}function Hht(n){const a=ii(n.parent,O4);if(!a)return;const c=dbe(a);if(!c)return;const d=Ld(c[a.typeArguments.indexOf(n)]);return d&&so(d,kp(c,fte(a,c)))}function qht(n){t6e(n)}function Jht(n){Ue(n.members,Na),r(a);function a(){const c=V6e(n);Ste(c,c.symbol),cbe(n),ZWe(n)}}function Kht(n){Na(n.elementType)}function Xht(n){let a=!1,c=!1;for(const d of n.elements){let _=eve(d);if(_&8){const y=Ei(d.type);if(!by(y)){Je(d,f.A_rest_element_type_must_be_an_array_type);break}(qf(y)||Ba(y)&&y.target.combinedFlags&4)&&(_|=4)}if(_&4){if(c){En(d,f.A_rest_element_cannot_follow_another_rest_element);break}c=!0}else if(_&2){if(c){En(d,f.An_optional_element_cannot_follow_a_rest_element);break}a=!0}else if(_&1&&a){En(d,f.A_required_element_cannot_follow_an_optional_element);break}}Ue(n.elements,Na),Ei(n)}function Yht(n){Ue(n.types,Na),Ei(n)}function oFe(n,a){if(!(n.flags&8388608))return n;const c=n.objectType,d=n.indexType,_=Kd(c)&&HZ(c)===2?x6e(c,0):Q_(c,0),y=!!xg(c,Tt);if(up(d,x=>Ea(x,_)||y&&hx(x,Tt)))return a.kind===212&&ig(a)&&Wr(c)&32&&Bh(c)&1&&Je(a,f.Index_signature_in_type_0_only_permits_reading,Un(c)),n;if($T(c)){const x=ree(d,a);if(x){const k=oA(kd(c),z=>ya(z,x));if(k&&Df(k)&6)return Je(a,f.Private_or_protected_member_0_cannot_be_accessed_on_a_type_parameter,Vi(x)),_t}}return Je(a,f.Type_0_cannot_be_used_to_index_type_1,Un(d),Un(c)),_t}function $ht(n){Na(n.objectType),Na(n.indexType),oFe(O6e(n),n)}function Qht(n){Zht(n),Na(n.typeParameter),Na(n.nameType),Na(n.type),n.type||gE(n,j);const a=pve(n),c=_y(a);if(c)nd(c,Fs,n.nameType);else{const d=Ef(a);nd(d,Fs,Zx(n.typeParameter))}}function Zht(n){var a;if((a=n.members)!=null&&a.length)return En(n.members[0],f.A_mapped_type_may_not_declare_properties_or_methods)}function egt(n){lee(n)}function tgt(n){vbt(n),Na(n.type)}function ngt(n){Ho(n,Na)}function rgt(n){Gn(n,c=>c.parent&&c.parent.kind===194&&c.parent.extendsType===c)||En(n,f.infer_declarations_are_only_permitted_in_the_extends_clause_of_a_conditional_type),Na(n.typeParameter);const a=Ir(n.typeParameter);if(a.declarations&&a.declarations.length>1){const c=Xi(a);if(!c.typeParametersChecked){c.typeParametersChecked=!0;const d=jT(a),_=iie(a,168);if(!kFe(_,[d],y=>[y])){const y=bi(a);for(const x of _)Je(x.name,f.All_declarations_of_0_must_have_identical_constraints,y)}}}Xb(n)}function igt(n){for(const a of n.templateSpans){Na(a.type);const c=Ei(a.type);nd(c,Lo,a.type)}Ei(n)}function ogt(n){Na(n.argument),n.attributes&&hD(n.attributes,En),iFe(n)}function agt(n){n.dotDotDotToken&&n.questionToken&&En(n,f.A_tuple_member_cannot_be_both_optional_and_rest),n.type.kind===190&&En(n.type,f.A_labeled_tuple_element_is_declared_as_optional_with_a_question_mark_after_the_name_and_before_the_colon_rather_than_after_the_type),n.type.kind===191&&En(n.type,f.A_labeled_tuple_element_is_declared_as_rest_with_a_before_the_name_rather_than_before_the_type),Na(n.type),Ei(n)}function EB(n){return(gp(n,2)||cd(n))&&!!(n.flags&33554432)}function mte(n,a){let c=kte(n);if(n.parent.kind!==264&&n.parent.kind!==263&&n.parent.kind!==231&&n.flags&33554432){const d=Mj(n);d&&d.flags&128&&!(c&128)&&!(O_(n.parent)&&Xl(n.parent.parent)&&R_(n.parent.parent))&&(c|=32),c|=128}return c&a}function _te(n){r(()=>sgt(n))}function sgt(n){function a(ln,zn){return zn!==void 0&&zn.parent===ln[0].parent?zn:ln[0]}function c(ln,zn,Fn,er,qn){if((er^qn)!==0){const Ro=mte(a(ln,zn),Fn);Ue(ln,Eo=>{const Bo=mte(Eo,Fn)^Ro;Bo&32?Je(Mo(Eo),f.Overload_signatures_must_all_be_exported_or_non_exported):Bo&128?Je(Mo(Eo),f.Overload_signatures_must_all_be_ambient_or_non_ambient):Bo&6?Je(Mo(Eo)||Eo,f.Overload_signatures_must_all_be_public_private_or_protected):Bo&64&&Je(Mo(Eo),f.Overload_signatures_must_all_be_abstract_or_non_abstract)})}}function d(ln,zn,Fn,er){if(Fn!==er){const qn=FA(a(ln,zn));Ue(ln,tr=>{FA(tr)!==qn&&Je(Mo(tr),f.Overload_signatures_must_all_be_optional_or_required)})}}const _=230;let y=0,x=_,k=!1,z=!0,H=!1,re,be,ge;const Ke=n.declarations,gt=(n.flags&16384)!==0;function Dt(ln){if(ln.name&&zl(ln.name))return;let zn=!1;const Fn=Ho(ln.parent,qn=>{if(zn)return qn;zn=qn===ln});if(Fn&&Fn.pos===ln.end&&Fn.kind===ln.kind){const qn=Fn.name||Fn,tr=Fn.name;if(ln.name&&tr&&(Ji(ln.name)&&Ji(tr)&&ln.name.escapedText===tr.escapedText||Za(ln.name)&&Za(tr)&&vv(Rg(ln.name),Rg(tr))||N_(ln.name)&&N_(tr)&&LC(ln.name)===LC(tr))){if((ln.kind===174||ln.kind===173)&&sa(ln)!==sa(Fn)){const Eo=sa(ln)?f.Function_overload_must_be_static:f.Function_overload_must_not_be_static;Je(qn,Eo)}return}if(Yf(Fn.body)){Je(qn,f.Function_implementation_name_must_be_0,Rs(ln.name));return}}const er=ln.name||ln;gt?Je(er,f.Constructor_implementation_is_missing):Zr(ln,64)?Je(er,f.All_declarations_of_an_abstract_method_must_be_consecutive):Je(er,f.Function_implementation_is_missing_or_not_immediately_following_the_declaration)}let an=!1,cn=!1,ar=!1;const On=[];if(Ke)for(const ln of Ke){const zn=ln,Fn=zn.flags&33554432,er=zn.parent&&(zn.parent.kind===264||zn.parent.kind===187)||Fn;if(er&&(ge=void 0),(zn.kind===263||zn.kind===231)&&!Fn&&(ar=!0),zn.kind===262||zn.kind===174||zn.kind===173||zn.kind===176){On.push(zn);const qn=mte(zn,_);y|=qn,x&=qn,k=k||FA(zn),z=z&&FA(zn);const tr=Yf(zn.body);tr&&re?gt?cn=!0:an=!0:(ge==null?void 0:ge.parent)===zn.parent&&ge.end!==zn.pos&&Dt(ge),tr?re||(re=zn):H=!0,ge=zn,er||(be=zn)}lr(ln)&&ea(ln)&&ln.jsDoc&&(H=Me(Xj(ln))>0)}if(cn&&Ue(On,ln=>{Je(ln,f.Multiple_constructor_implementations_are_not_allowed)}),an&&Ue(On,ln=>{Je(Mo(ln)||ln,f.Duplicate_function_implementation)}),ar&&!gt&&n.flags&16&&Ke){const ln=nr(Ke,zn=>zn.kind===263).map(zn=>wr(zn,f.Consider_adding_a_declare_modifier_to_this_class));Ue(Ke,zn=>{const Fn=zn.kind===263?f.Class_declaration_cannot_implement_overload_list_for_0:zn.kind===262?f.Function_with_bodies_can_only_merge_with_classes_that_are_ambient:void 0;Fn&&wa(Je(Mo(zn)||zn,Fn,Sl(n)),...ln)})}if(be&&!be.body&&!Zr(be,64)&&!be.questionToken&&Dt(be),H&&(Ke&&(c(Ke,re,_,y,x),d(Ke,re,k,z)),re)){const ln=H1(n),zn=fm(re);for(const Fn of ln)if(!Rdt(zn,Fn)){const er=Fn.declaration&&D0(Fn.declaration)?Fn.declaration.parent.tagName:Fn.declaration;wa(Je(er,f.This_overload_signature_is_not_compatible_with_its_implementation_signature),wr(re,f.The_implementation_signature_is_declared_here));break}}}function r6(n){r(()=>lgt(n))}function lgt(n){let a=n.localSymbol;if(!a&&(a=Ir(n),!a.exportSymbol)||fl(a,n.kind)!==n)return;let c=0,d=0,_=0;for(const H of a.declarations){const re=z(H),be=mte(H,2080);be&32?be&2048?_|=re:c|=re:d|=re}const y=c|d,x=c&d,k=_&y;if(x||k)for(const H of a.declarations){const re=z(H),be=Mo(H);re&k?Je(be,f.Merged_declaration_0_cannot_include_a_default_export_declaration_Consider_adding_a_separate_export_default_0_declaration_instead,Rs(be)):re&x&&Je(be,f.Individual_declarations_in_merged_declaration_0_must_be_all_exported_or_all_local,Rs(be))}function z(H){let re=H;switch(re.kind){case 264:case 265:case 353:case 345:case 347:return 2;case 267:return ku(re)||Kg(re)!==0?5:4;case 263:case 266:case 306:return 3;case 312:return 7;case 277:case 226:const be=re,ge=kl(be)?be.expression:be.right;if(!Gl(ge))return 1;re=ge;case 271:case 274:case 273:let Ke=0;const gt=Fc(Ir(re));return Ue(gt.declarations,Dt=>{Ke|=z(Dt)}),Ke;case 260:case 208:case 262:case 276:case 80:return 1;case 173:case 171:return 2;default:return N.failBadSyntaxKind(re)}}}function cM(n,a,c,...d){const _=i6(n,a);return _&&dA(_,a,c,...d)}function i6(n,a,c){if(Nt(n))return;const d=n;if(d.promisedTypeOfPromise)return d.promisedTypeOfPromise;if(wb(n,I9(!1)))return d.promisedTypeOfPromise=Js(n)[0];if(vB(_v(n),402915324))return;const _=Xe(n,"then");if(Nt(_))return;const y=_?Yo(_,0):et;if(y.length===0){a&&Je(a,f.A_promise_must_have_a_then_method);return}let x,k;for(const re of y){const be=pE(re);be&&be!==ir&&!Z_(n,be,Ph)?x=be:k=xn(k,re)}if(!k){N.assertIsDefined(x),c&&(c.value=x),a&&Je(a,f.The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1,Un(n),Un(x));return}const z=hm(ti(wt(k,$ye)),2097152);if(Nt(z))return;const H=Yo(z,0);if(H.length===0){a&&Je(a,f.The_first_parameter_of_the_then_method_of_a_promise_must_be_a_callback);return}return d.promisedTypeOfPromise=ti(wt(H,$ye),2)}function o6(n,a,c,d,..._){return(a?dA(n,c,d,..._):Ey(n,c,d,..._))||_t}function aFe(n){if(vB(_v(n),402915324))return!1;const a=Xe(n,"then");return!!a&&Yo(hm(a,2097152),0).length>0}function hte(n){var a;if(n.flags&16777216){const c=Zge(!1);return!!c&&n.aliasSymbol===c&&((a=n.aliasTypeArguments)==null?void 0:a.length)===1}return!1}function uM(n){return n.flags&1048576?pl(n,uM):hte(n)?n.aliasTypeArguments[0]:n}function sFe(n){if(Nt(n)||hte(n))return!1;if($T(n)){const a=Gu(n);if(a?a.flags&3||yv(a)||Um(a,aFe):Cl(n,8650752))return!0}return!1}function cgt(n){const a=Zge(!0);if(a)return RN(a,[uM(n)])}function ugt(n){if(sFe(n)){const a=cgt(n);if(a)return a}return N.assert(hte(n)||i6(n)===void 0,"type provided should not be a non-generic 'promise'-like."),n}function dA(n,a,c,...d){const _=Ey(n,a,c,...d);return _&&ugt(_)}function Ey(n,a,c,...d){if(Nt(n)||hte(n))return n;const _=n;if(_.awaitedTypeOfType)return _.awaitedTypeOfType;if(n.flags&1048576){if(H0.lastIndexOf(n.id)>=0){a&&Je(a,f.Type_is_referenced_directly_or_indirectly_in_the_fulfillment_callback_of_its_own_then_method);return}const k=a?H=>Ey(H,a,c,...d):Ey;H0.push(n.id);const z=pl(n,k);return H0.pop(),_.awaitedTypeOfType=z}if(sFe(n))return _.awaitedTypeOfType=n;const y={value:void 0},x=i6(n,void 0,y);if(x){if(n.id===x.id||H0.lastIndexOf(x.id)>=0){a&&Je(a,f.Type_is_referenced_directly_or_indirectly_in_the_fulfillment_callback_of_its_own_then_method);return}H0.push(n.id);const k=Ey(x,a,c,...d);return H0.pop(),k?_.awaitedTypeOfType=k:void 0}if(aFe(n)){if(a){N.assertIsDefined(c);let k;y.value&&(k=jo(k,f.The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1,Un(n),Un(y.value))),k=jo(k,c,...d),ts.add(Fg(jn(a),a,k))}return}return _.awaitedTypeOfType=n}function dgt(n,a,c){const d=Ei(a);if(se>=2){if(Kt(d))return;const y=I9(!0);if(y!==ko&&!wb(d,y)){_(f.The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_Did_you_mean_to_write_Promise_0,a,c,Un(Ey(d)||ir));return}}else{if(fgt(a),Kt(d))return;const y=zL(a);if(y===void 0){_(f.Type_0_is_not_a_valid_async_function_return_type_in_ES5_SlashES3_because_it_does_not_refer_to_a_Promise_compatible_constructor_value,a,c,Un(d));return}const x=Hs(y,111551,!0),k=x?dr(x):_t;if(Kt(k)){y.kind===80&&y.escapedText==="Promise"&&my(d)===I9(!1)?Je(c,f.An_async_function_or_method_in_ES5_SlashES3_requires_the_Promise_constructor_Make_sure_you_have_a_declaration_for_the_Promise_constructor_or_include_ES2015_in_your_lib_option):_(f.Type_0_is_not_a_valid_async_function_return_type_in_ES5_SlashES3_because_it_does_not_refer_to_a_Promise_compatible_constructor_value,a,c,_p(y));return}const z=Wct(!0);if(z===La){_(f.Type_0_is_not_a_valid_async_function_return_type_in_ES5_SlashES3_because_it_does_not_refer_to_a_Promise_compatible_constructor_value,a,c,_p(y));return}const H=f.Type_0_is_not_a_valid_async_function_return_type_in_ES5_SlashES3_because_it_does_not_refer_to_a_Promise_compatible_constructor_value;if(!nd(k,z,c,H,()=>a===c?void 0:jo(void 0,f.The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type)))return;const be=y&&Vp(y),ge=Jd(n.locals,be.escapedText,111551);if(ge){Je(ge.valueDeclaration,f.Duplicate_identifier_0_Compiler_uses_declaration_1_to_support_async_functions,Sr(be),_p(y));return}}o6(d,!1,n,f.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member);function _(y,x,k,z){if(x===k)Je(k,y,z);else{const H=Je(k,f.The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type);wa(H,wr(x,y,z))}}}function pgt(n){const a=WN(n);ste(a,n);const c=ps(a);if(c.flags&1)return;const d=ebe(n);if(!(d!=null&&d.resolvedReturnType))return;let _;const y=d.resolvedReturnType;switch(n.parent.kind){case 263:case 231:_=f.Decorator_function_return_type_0_is_not_assignable_to_type_1;break;case 172:if(!ne){_=f.Decorator_function_return_type_0_is_not_assignable_to_type_1;break}case 169:_=f.Decorator_function_return_type_is_0_but_is_expected_to_be_void_or_any;break;case 174:case 177:case 178:_=f.Decorator_function_return_type_0_is_not_assignable_to_type_1;break;default:return N.failBadSyntaxKind(n.parent)}nd(c,y,n.expression,_)}function a6(n,a,c,d,_,y=c.length,x=0){const k=w.createFunctionTypeNode(void 0,et,w.createKeywordTypeNode(133));return Ig(k,n,a,c,d,_,y,x)}function fbe(n,a,c,d,_,y,x){const k=a6(n,a,c,d,_,y,x);return KT(k)}function lFe(n){return fbe(void 0,void 0,et,n)}function cFe(n){const a=l_("value",n);return fbe(void 0,void 0,[a],ir)}function fgt(n){uFe(n&&zL(n),!1)}function uFe(n,a){if(!n)return;const c=Vp(n),d=(n.kind===80?788968:1920)|2097152,_=bl(c,c.escapedText,d,void 0,void 0,!0);if(_&&_.flags&2097152){if(It&&Gm(_)&&!u6(Fc(_))&&!Ff(_))Tb(_);else if(a&&om(V)&&wu(V)>=5&&!Gm(_)&&!bt(_.declarations,m0)){const y=Je(n,f.A_type_referenced_in_a_decorated_signature_must_be_imported_with_import_type_or_a_namespace_import_when_isolatedModules_and_emitDecoratorMetadata_are_enabled),x=An(_.declarations||et,yb);x&&wa(y,wr(x,f._0_was_imported_here,Sr(c)))}}}function BN(n){const a=mbe(n);a&&Zd(a)&&uFe(a,!0)}function mbe(n){if(n)switch(n.kind){case 193:case 192:return dFe(n.types);case 194:return dFe([n.trueType,n.falseType]);case 196:case 202:return mbe(n.type);case 183:return n.typeName}}function dFe(n){let a;for(let c of n){for(;c.kind===196||c.kind===202;)c=c.type;if(c.kind===146||!Y&&(c.kind===201&&c.literal.kind===106||c.kind===157))continue;const d=mbe(c);if(!d)return;if(a){if(!Ve(a)||!Ve(d)||a.escapedText!==d.escapedText)return}else a=d}return a}function gte(n){const a=yu(n);return Zh(n)?Oj(a):a}function SB(n){if(!QS(n)||!If(n)||!n.modifiers||!a3(ne,n,n.parent,n.parent.parent))return;const a=An(n.modifiers,Eu);if(a){if(ne?(Nc(a,8),n.kind===169&&Nc(a,32)):se<99&&(Nc(a,8),Ic(n)?n.name?wFe(n)&&Nc(a,8388608):Nc(a,8388608):Zc(n)||(Ji(n.name)&&(ql(n)||Oy(n)||Wd(n))&&Nc(a,8388608),Za(n.name)&&Nc(a,16777216))),V.emitDecoratorMetadata)switch(Nc(a,16),n.kind){case 263:const c=ag(n);if(c)for(const x of c.parameters)BN(gte(x));break;case 177:case 178:const d=n.kind===177?178:177,_=fl(Ir(n),d);BN(Vm(n)||_&&Vm(_));break;case 174:for(const x of n.parameters)BN(gte(x));BN(nm(n));break;case 172:BN(yu(n));break;case 169:BN(gte(n));const y=n.parent;for(const x of y.parameters)BN(gte(x));BN(nm(y));break}for(const c of n.modifiers)Eu(c)&&pgt(c)}}function mgt(n){r(a);function a(){fFe(n),Wbe(n),dM(n,n.name)}}function _gt(n){n.typeExpression||Je(n.name,f.JSDoc_typedef_tag_should_either_have_a_type_annotation_or_be_followed_by_property_or_member_tags),n.name&&fM(n.name,f.Type_alias_name_cannot_be_0),Na(n.typeExpression),DB(ky(n))}function hgt(n){Na(n.constraint);for(const a of n.typeParameters)Na(a)}function ggt(n){Na(n.typeExpression)}function vgt(n){Na(n.typeExpression);const a=y0(n);if(a){const c=S4(a,pF);if(Me(c)>1)for(let d=1;d<Me(c);d++){const _=c[d].tagName;Je(_,f._0_tag_already_specified,Sr(_))}}}function ygt(n){n.name&&CB(n.name,!0)}function bgt(n){Na(n.typeExpression)}function Egt(n){Na(n.typeExpression)}function Sgt(n){r(a),n6(n);function a(){!n.type&&!ER(n)&&gE(n,j)}}function Tgt(n){const a=y0(n);a&&Gs(a)&&Je(n.tagName,f.An_arrow_function_cannot_have_a_this_parameter)}function Agt(n){const a=y0(n);(!a||!Ic(a)&&!Zc(a))&&Je(a,f.JSDoc_0_is_not_attached_to_a_class,Sr(n.tagName))}function Igt(n){const a=y0(n);if(!a||!Ic(a)&&!Zc(a)){Je(a,f.JSDoc_0_is_not_attached_to_a_class,Sr(n.tagName));return}const c=f0(a).filter(vI);N.assert(c.length>0),c.length>1&&Je(c[1],f.Class_declarations_cannot_have_more_than_one_augments_or_extends_tag);const d=pFe(n.class.expression),_=UE(a);if(_){const y=pFe(_.expression);y&&d.escapedText!==y.escapedText&&Je(d,f.JSDoc_0_1_does_not_match_the_extends_2_clause,Sr(n.tagName),Sr(d),Sr(y))}}function xgt(n){const a=CS(n);a&&cd(a)&&Je(n,f.An_accessibility_modifier_cannot_be_used_with_a_private_identifier)}function pFe(n){switch(n.kind){case 80:return n;case 211:return n.name;default:return}}function fFe(n){var a;SB(n),n6(n);const c=Vc(n);if(n.name&&n.name.kind===167&&Rg(n.name),TN(n)){const y=Ir(n),x=n.localSymbol||y,k=(a=x.declarations)==null?void 0:a.find(z=>z.kind===n.kind&&!(z.flags&524288));n===k&&_te(x),y.parent&&_te(y)}const d=n.kind===173?void 0:n.body;if(Na(d),tbe(n,IN(n)),r(_),lr(n)){const y=d0(n);y&&y.typeExpression&&!yye(Ei(y.typeExpression),n)&&Je(y.typeExpression.type,f.The_type_of_a_function_declaration_must_match_the_function_s_signature)}function _(){nm(n)||(zl(d)&&!EB(n)&&gE(n,j),c&1&&Yf(d)&&ps(fm(n)))}}function Xb(n){r(a);function a(){const c=jn(n);let d=mf.get(c.path);d||(d=[],mf.set(c.path,d)),d.push(n)}}function mFe(n,a){for(const c of n)switch(c.kind){case 263:case 231:Rgt(c,a),_be(c,a);break;case 312:case 267:case 241:case 269:case 248:case 249:case 250:gFe(c,a);break;case 176:case 218:case 262:case 219:case 174:case 177:case 178:c.body&&gFe(c,a),_be(c,a);break;case 173:case 179:case 180:case 184:case 185:case 265:case 264:_be(c,a);break;case 195:Dgt(c,a);break;default:N.assertNever(c,"Node should not have been registered for unused identifiers check")}}function _Fe(n,a,c){const d=Mo(n)||n,_=BR(n)?f._0_is_declared_but_never_used:f._0_is_declared_but_its_value_is_never_read;c(n,0,wr(d,_,a))}function s6(n){return Ve(n)&&Sr(n).charCodeAt(0)===95}function Rgt(n,a){for(const c of n.members)switch(c.kind){case 174:case 172:case 177:case 178:if(c.kind===178&&c.symbol.flags&32768)break;const d=Ir(c);!d.isReferenced&&(gp(c,2)||ld(c)&&Ji(c.name))&&!(c.flags&33554432)&&a(c,0,wr(c.name,f._0_is_declared_but_its_value_is_never_read,bi(d)));break;case 176:for(const _ of c.parameters)!_.symbol.isReferenced&&Zr(_,2)&&a(_,0,wr(_.name,f.Property_0_is_declared_but_its_value_is_never_read,Sl(_.symbol)));break;case 181:case 240:case 175:break;default:N.fail("Unexpected class member")}}function Dgt(n,a){const{typeParameter:c}=n;hbe(c)&&a(n,1,wr(n,f._0_is_declared_but_its_value_is_never_read,Sr(c.name)))}function _be(n,a){const c=Ir(n).declarations;if(!c||Ya(c)!==n)return;const d=ky(n),_=new Set;for(const y of d){if(!hbe(y))continue;const x=Sr(y.name),{parent:k}=y;if(k.kind!==195&&k.typeParameters.every(hbe)){if(Rv(_,k)){const z=jn(k),H=sm(k)?GU(k):VU(z,k.typeParameters),be=k.typeParameters.length===1?[f._0_is_declared_but_its_value_is_never_read,x]:[f.All_type_parameters_are_unused];a(y,1,Qc(z,H.pos,H.end-H.pos,...be))}}else a(y,1,wr(y,f._0_is_declared_but_its_value_is_never_read,x))}}function hbe(n){return!(rs(n.symbol).isReferenced&262144)&&!s6(n.name)}function TB(n,a,c,d){const _=String(d(a)),y=n.get(_);y?y[1].push(c):n.set(_,[a,[c]])}function hFe(n){return ii(C_(n),Ao)}function Ngt(n){return Qa(n)?am(n.parent)?!!(n.propertyName&&s6(n.name)):s6(n.name):ku(n)||(wi(n)&&nR(n.parent.parent)||vFe(n))&&s6(n.name)}function gFe(n,a){const c=new Map,d=new Map,_=new Map;n.locals.forEach(y=>{if(!(y.flags&262144?!(y.flags&3&&!(y.isReferenced&3)):y.isReferenced||y.exportSymbol)&&y.declarations){for(const x of y.declarations)if(!Ngt(x))if(vFe(x))TB(c,Pgt(x),x,as);else if(Qa(x)&&am(x.parent)){const k=Ya(x.parent.elements);(x===k||!Ya(x.parent.elements).dotDotDotToken)&&TB(d,x.parent,x,as)}else if(wi(x)){const k=aS(x)&7,z=Mo(x);(k!==4&&k!==6||!z||!s6(z))&&TB(_,x.parent,x,as)}else{const k=y.valueDeclaration&&hFe(y.valueDeclaration),z=y.valueDeclaration&&Mo(y.valueDeclaration);k&&z?!mp(k,k.parent)&&!JE(k)&&!s6(z)&&(Qa(x)&&n1(x.parent)?TB(d,x.parent,x,as):a(k,1,wr(z,f._0_is_declared_but_its_value_is_never_read,Sl(y)))):_Fe(x,Sl(y),a)}}}),c.forEach(([y,x])=>{const k=y.parent;if((y.name?1:0)+(y.namedBindings?y.namedBindings.kind===274?1:y.namedBindings.elements.length:0)===x.length)a(k,0,x.length===1?wr(k,f._0_is_declared_but_its_value_is_never_read,Sr(Ha(x).name)):wr(k,f.All_imports_in_import_declaration_are_unused));else for(const H of x)_Fe(H,Sr(H.name),a)}),d.forEach(([y,x])=>{const k=hFe(y.parent)?1:0;if(y.elements.length===x.length)x.length===1&&y.parent.kind===260&&y.parent.parent.kind===261?TB(_,y.parent.parent,y.parent,as):a(y,k,x.length===1?wr(y,f._0_is_declared_but_its_value_is_never_read,AB(Ha(x).name)):wr(y,f.All_destructured_elements_are_unused));else for(const z of x)a(z,k,wr(z,f._0_is_declared_but_its_value_is_never_read,AB(z.name)))}),_.forEach(([y,x])=>{if(y.declarations.length===x.length)a(y,0,x.length===1?wr(Ha(x).name,f._0_is_declared_but_its_value_is_never_read,AB(Ha(x).name)):wr(y.parent.kind===243?y.parent:y,f.All_variables_are_unused));else for(const k of x)a(k,0,wr(k,f._0_is_declared_but_its_value_is_never_read,AB(k.name)))})}function Cgt(){var n;for(const a of hg)if(!((n=Ir(a))!=null&&n.isReferenced)){const c=$x(a);N.assert(HE(c),"Only parameter declaration should be checked here");const d=wr(a.name,f._0_is_an_unused_renaming_of_1_Did_you_intend_to_use_it_as_a_type_annotation,Rs(a.name),Rs(a.propertyName));c.type||wa(d,Qc(jn(c),c.end,1,f.We_can_only_write_a_type_for_0_by_adding_a_type_for_the_entire_parameter_here,Rs(a.propertyName))),ts.add(d)}}function AB(n){switch(n.kind){case 80:return Sr(n);case 207:case 206:return AB(aa(Ha(n.elements),Qa).name);default:return N.assertNever(n)}}function vFe(n){return n.kind===273||n.kind===276||n.kind===274}function Pgt(n){return n.kind===273?n:n.kind===274?n.parent:n.parent.parent}function vte(n){if(n.kind===241&&Tv(n),aj(n)){const a=ze;Ue(n.statements,Na),ze=a}else Ue(n.statements,Na);n.locals&&Xb(n)}function Mgt(n){se>=2||!mj(n)||n.flags&33554432||zl(n.body)||Ue(n.parameters,a=>{a.name&&!ta(a.name)&&a.name.escapedText===Gt.escapedName&&a_("noEmit",a,f.Duplicate_identifier_arguments_Compiler_uses_arguments_to_initialize_rest_parameters)})}function l6(n,a,c){if((a==null?void 0:a.escapedText)!==c||n.kind===172||n.kind===171||n.kind===174||n.kind===173||n.kind===177||n.kind===178||n.kind===303||n.flags&33554432||(Sh(n)||tu(n)||np(n))&&m0(n))return!1;const d=C_(n);return!(Ao(d)&&zl(d.parent.body))}function Lgt(n){Gn(n,a=>VN(a)&4?(n.kind!==80?Je(Mo(n),f.Duplicate_identifier_this_Compiler_uses_variable_declaration_this_to_capture_this_reference):Je(n,f.Expression_resolves_to_variable_declaration_this_that_compiler_uses_to_capture_this_reference),!0):!1)}function kgt(n){Gn(n,a=>VN(a)&8?(n.kind!==80?Je(Mo(n),f.Duplicate_identifier_newTarget_Compiler_uses_variable_declaration_newTarget_to_capture_new_target_meta_property_reference):Je(n,f.Expression_resolves_to_variable_declaration_newTarget_that_compiler_uses_to_capture_new_target_meta_property_reference),!0):!1)}function wgt(n,a){if(G>=5&&!(G>=100&&jn(n).impliedNodeFormat===1)||!a||!l6(n,a,"require")&&!l6(n,a,"exports")||Xl(n)&&Kg(n)!==1)return;const c=Q(n);c.kind===312&&zp(c)&&a_("noEmit",a,f.Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module,Rs(a),Rs(a))}function Ogt(n,a){if(!a||se>=4||!l6(n,a,"Promise")||Xl(n)&&Kg(n)!==1)return;const c=Q(n);c.kind===312&&zp(c)&&c.flags&4096&&a_("noEmit",a,f.Duplicate_identifier_0_Compiler_reserves_name_1_in_top_level_scope_of_a_module_containing_async_functions,Rs(a),Rs(a))}function Wgt(n,a){se<=8&&(l6(n,a,"WeakMap")||l6(n,a,"WeakSet"))&&fb.push(n)}function Fgt(n){const a=hh(n);VN(a)&1048576&&(N.assert(ld(n)&&Ve(n.name)&&typeof n.name.escapedText=="string","The target of a WeakMap/WeakSet collision check should be an identifier"),a_("noEmit",n,f.Compiler_reserves_name_0_when_emitting_private_identifier_downlevel,n.name.escapedText))}function zgt(n,a){a&&se>=2&&se<=8&&l6(n,a,"Reflect")&&mb.push(n)}function Bgt(n){let a=!1;if(Zc(n)){for(const c of n.members)if(VN(c)&2097152){a=!0;break}}else if(Os(n))VN(n)&2097152&&(a=!0);else{const c=hh(n);c&&VN(c)&2097152&&(a=!0)}a&&(N.assert(ld(n)&&Ve(n.name),"The target of a Reflect collision check should be an identifier"),a_("noEmit",n,f.Duplicate_identifier_0_Compiler_reserves_name_1_when_emitting_super_references_in_static_initializers,Rs(n.name),"Reflect"))}function dM(n,a){a&&(wgt(n,a),Ogt(n,a),Wgt(n,a),zgt(n,a),ui(n)?(fM(a,f.Class_name_cannot_be_0),n.flags&33554432||mvt(a)):x0(n)&&fM(a,f.Enum_name_cannot_be_0))}function Ggt(n){if(aS(n)&7||HE(n))return;const a=Ir(n);if(a.flags&1){if(!Ve(n.name))return N.fail();const c=bl(n,n.name.escapedText,3,void 0,void 0,!1);if(c&&c!==a&&c.flags&2&&Rye(c)&7){const d=b0(c.valueDeclaration,261),_=d.parent.kind===243&&d.parent.parent?d.parent.parent:void 0;if(!(_&&(_.kind===241&&ea(_.parent)||_.kind===268||_.kind===267||_.kind===312))){const x=bi(c);Je(n,f.Cannot_initialize_outer_scoped_variable_0_in_the_same_scope_as_block_scoped_declaration_1,x,x)}}}}function c6(n){return n===ot?j:n===Jc?ec:n}function IB(n){var a;if(SB(n),Qa(n)||Na(n.type),!n.name)return;if(n.name.kind===167&&(Rg(n.name),ES(n)&&n.initializer&&nc(n.initializer)),Qa(n)){if(n.propertyName&&Ve(n.name)&&HE(n)&&zl(Gp(n).body)){hg.push(n);return}am(n.parent)&&n.dotDotDotToken&&se<5&&Nc(n,4),n.propertyName&&n.propertyName.kind===167&&Rg(n.propertyName);const _=n.parent.parent,y=n.dotDotDotToken?32:0,x=zr(_,y),k=n.propertyName||n.name;if(x&&!ta(k)){const z=vy(k);if(rm(z)){const H=im(z),re=ya(x,H);re&&(aB(re,void 0,!1),Nye(n,!!_.initializer&&_.initializer.kind===108,!1,x,re))}}}if(ta(n.name)&&(n.name.kind===207&&se<2&&V.downlevelIteration&&Nc(n,512),Ue(n.name.elements,Na)),n.initializer&&HE(n)&&zl(Gp(n).body)){Je(n,f.A_parameter_initializer_is_only_allowed_in_a_function_or_constructor_implementation);return}if(ta(n.name)){if(Zve(n))return;const _=ES(n)&&n.initializer&&n.parent.parent.kind!==249,y=!bt(n.name.elements,G6(jc));if(_||y){const x=B(n);if(_){const k=nc(n.initializer);Y&&y?z3e(k,n):Ub(k,B(n),n,n.initializer)}y&&(n1(n.name)?Sy(65,x,Oe,n):Y&&z3e(x,n))}return}const c=Ir(n);if(c.flags&2097152&&(GE(n)||Bie(n))){Tte(n);return}const d=c6(dr(c));if(n===c.valueDeclaration){const _=ES(n)&&jL(n);if(_&&!(lr(n)&&Oa(_)&&(_.properties.length===0||Hy(n.name))&&!!((a=c.exports)!=null&&a.size))&&n.parent.parent.kind!==249){const x=nc(_);Ub(x,d,n,_,void 0);const k=aS(n)&7;if(k===6){const z=qct(!0),H=c6e(!0);if(z!==La&&H!==La){const re=ti([z,H,me,Oe]);nd(x,re,_,f.The_initializer_of_an_await_using_declaration_must_be_either_an_object_with_a_Symbol_asyncDispose_or_Symbol_dispose_method_or_be_null_or_undefined)}}else if(k===4){const z=c6e(!0);if(z!==La){const H=ti([z,me,Oe]);nd(x,H,_,f.The_initializer_of_a_using_declaration_must_be_either_an_object_with_a_Symbol_dispose_method_or_be_null_or_undefined)}}}c.declarations&&c.declarations.length>1&&bt(c.declarations,y=>y!==n&&pR(y)&&!bFe(y,n))&&Je(n.name,f.All_declarations_of_0_must_have_identical_modifiers,Rs(n.name))}else{const _=c6(B(n));!Kt(d)&&!Kt(_)&&!vv(d,_)&&!(c.flags&67108864)&&yFe(c.valueDeclaration,d,n,_),ES(n)&&n.initializer&&Ub(nc(n.initializer),_,n,n.initializer,void 0),c.valueDeclaration&&!bFe(n,c.valueDeclaration)&&Je(n.name,f.All_declarations_of_0_must_have_identical_modifiers,Rs(n.name))}n.kind!==172&&n.kind!==171&&(r6(n),(n.kind===260||n.kind===208)&&Ggt(n),dM(n,n.name))}function yFe(n,a,c,d){const _=Mo(c),y=c.kind===172||c.kind===171?f.Subsequent_property_declarations_must_have_the_same_type_Property_0_must_be_of_type_1_but_here_has_type_2:f.Subsequent_variable_declarations_must_have_the_same_type_Variable_0_must_be_of_type_1_but_here_has_type_2,x=Rs(_),k=Je(_,y,x,Un(a),Un(d));n&&wa(k,wr(n,f._0_was_also_declared_here,x))}function bFe(n,a){if(n.kind===169&&a.kind===260||n.kind===260&&a.kind===169)return!0;if(FA(n)!==FA(a))return!1;const c=1358;return jA(n,c)===jA(a,c)}function Vgt(n){var a,c;(a=sr)==null||a.push(sr.Phase.Check,"checkVariableDeclaration",{kind:n.kind,pos:n.pos,end:n.end,path:n.tracingPath}),Tbt(n),IB(n),(c=sr)==null||c.pop()}function jgt(n){return bbt(n),IB(n)}function yte(n){const a=Ov(n)&7;(a===4||a===6)&&Nc(n,33554432),Ue(n.declarations,Na)}function Ugt(n){!Ng(n)&&!Bbe(n.declarationList)&&Abt(n),yte(n.declarationList)}function Hgt(n){Tv(n),mo(n.expression)}function qgt(n){Tv(n);const a=pM(n.expression);gbe(n.expression,a,n.thenStatement),Na(n.thenStatement),n.thenStatement.kind===242&&Je(n.thenStatement,f.The_body_of_an_if_statement_cannot_be_the_empty_statement),Na(n.elseStatement)}function gbe(n,a,c){if(!Y)return;d(n,c);function d(y,x){for(y=hs(y),_(y,x);mr(y)&&(y.operatorToken.kind===57||y.operatorToken.kind===61);)y=hs(y.left),_(y,x)}function _(y,x){const k=mk(y)?hs(y.right):y;if(rg(k))return;if(mk(k)){d(k,x);return}const z=k===y?a:pM(k),H=Fr(k)&&UWe(k.expression);if(!_m(z,4194304)||H)return;const re=Yo(z,0),be=!!cM(z);if(re.length===0&&!be)return;const ge=Ve(k)?k:Fr(k)?k.name:void 0,Ke=ge&&Hm(ge);if(!Ke&&!be)return;Ke&&mr(y.parent)&&Kgt(y.parent,Ke)||Ke&&x&&Jgt(y,x,ge,Ke)||(be?K0(k,!0,f.This_condition_will_always_return_true_since_this_0_is_always_defined,Rb(z)):Je(k,f.This_condition_will_always_return_true_since_this_function_is_always_defined_Did_you_mean_to_call_it_instead))}}function Jgt(n,a,c,d){return!!Ho(a,function _(y){if(Ve(y)){const x=Hm(y);if(x&&x===d){if(Ve(n)||Ve(c)&&mr(c.parent))return!0;let k=c.parent,z=y.parent;for(;k&&z;){if(Ve(k)&&Ve(z)||k.kind===110&&z.kind===110)return Hm(k)===Hm(z);if(Fr(k)&&Fr(z)){if(Hm(k.name)!==Hm(z.name))return!1;z=z.expression,k=k.expression}else if(la(k)&&la(z))z=z.expression,k=k.expression;else return!1}}}return Ho(y,_)})}function Kgt(n,a){for(;mr(n)&&n.operatorToken.kind===56;){if(Ho(n.right,function d(_){if(Ve(_)){const y=Hm(_);if(y&&y===a)return!0}return Ho(_,d)}))return!0;n=n.parent}return!1}function Xgt(n){Tv(n),Na(n.statement),pM(n.expression)}function Ygt(n){Tv(n),pM(n.expression),Na(n.statement)}function vbe(n,a){return n.flags&16384&&Je(a,f.An_expression_of_type_void_cannot_be_tested_for_truthiness),n}function pM(n,a){return vbe(mo(n,a),n)}function $gt(n){Tv(n)||n.initializer&&n.initializer.kind===261&&Bbe(n.initializer),n.initializer&&(n.initializer.kind===261?yte(n.initializer):mo(n.initializer)),n.condition&&pM(n.condition),n.incrementor&&mo(n.incrementor),Na(n.statement),n.locals&&Xb(n)}function Qgt(n){g7e(n);const a=t3(n);if(n.awaitModifier?a&&Rl(a)?En(n.awaitModifier,f.for_await_loops_cannot_be_used_inside_a_class_static_block):(Vc(a)&6)===2&&se<99&&Nc(n,16384):V.downlevelIteration&&se<2&&Nc(n,256),n.initializer.kind===261)yte(n.initializer);else{const c=n.initializer,d=xB(n);if(c.kind===209||c.kind===210)uA(c,d||_t);else{const _=mo(c);t6(c,f.The_left_hand_side_of_a_for_of_statement_must_be_a_variable_or_a_property_access,f.The_left_hand_side_of_a_for_of_statement_may_not_be_an_optional_property_access),d&&Ub(d,_,c,n.expression)}}Na(n.statement),n.locals&&Xb(n)}function Zgt(n){g7e(n);const a=Cye(mo(n.expression));if(n.initializer.kind===261){const c=n.initializer.declarations[0];c&&ta(c.name)&&Je(c.name,f.The_left_hand_side_of_a_for_in_statement_cannot_be_a_destructuring_pattern),yte(n.initializer)}else{const c=n.initializer,d=mo(c);c.kind===209||c.kind===210?Je(c,f.The_left_hand_side_of_a_for_in_statement_cannot_be_a_destructuring_pattern):Ea(Lut(a),d)?t6(c,f.The_left_hand_side_of_a_for_in_statement_must_be_a_variable_or_a_property_access,f.The_left_hand_side_of_a_for_in_statement_may_not_be_an_optional_property_access):Je(c,f.The_left_hand_side_of_a_for_in_statement_must_be_of_type_string_or_any)}(a===jr||!xu(a,126091264))&&Je(n.expression,f.The_right_hand_side_of_a_for_in_statement_must_be_of_type_any_an_object_type_or_a_type_parameter_but_here_has_type_0,Un(a)),Na(n.statement),n.locals&&Xb(n)}function xB(n){const a=n.awaitModifier?15:13;return Sy(a,wN(n.expression),Oe,n.expression)}function Sy(n,a,c,d){return Nt(a)?a:ybe(n,a,c,d,!0)||j}function ybe(n,a,c,d,_){const y=(n&2)!==0;if(a===jr){Abe(d,a,y);return}const x=se>=2,k=!x&&V.downlevelIteration,z=V.noUncheckedIndexedAccess&&!!(n&128);if(x||k||y){const gt=Ete(a,n,x?d:void 0);if(_&&gt){const Dt=n&8?f.Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_for_of_will_always_send_0:n&32?f.Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_spread_will_always_send_0:n&64?f.Cannot_iterate_value_because_the_next_method_of_its_iterator_expects_type_1_but_array_destructuring_will_always_send_0:n&16?f.Cannot_delegate_iteration_to_value_because_the_next_method_of_its_iterator_expects_type_1_but_the_containing_generator_will_always_send_0:void 0;Dt&&nd(c,gt.nextType,d,Dt)}if(gt||x)return z?K8(gt&&gt.yieldType):gt&&gt.yieldType}let H=a,re=!1,be=!1;if(n&4){if(H.flags&1048576){const gt=a.types,Dt=nr(gt,an=>!(an.flags&402653316));Dt!==gt&&(H=ti(Dt,2))}else H.flags&402653316&&(H=jr);if(be=H!==a,be&&(se<1&&d&&(Je(d,f.Using_a_string_in_a_for_of_statement_is_only_supported_in_ECMAScript_5_and_higher),re=!0),H.flags&131072))return z?K8(ke):ke}if(!by(H)){if(d&&!re){const gt=!!(n&4)&&!be,[Dt,an]=Ke(gt,k);K0(d,an&&!!cM(H),Dt,Un(H))}return be?z?K8(ke):ke:void 0}const ge=dE(H,Tt);if(be&&ge)return ge.flags&402653316&&!V.noUncheckedIndexedAccess?ke:ti(z?[ge,ke,Oe]:[ge,ke],2);return n&128?K8(ge):ge;function Ke(gt,Dt){var an;return Dt?gt?[f.Type_0_is_not_an_array_type_or_a_string_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator,!0]:[f.Type_0_is_not_an_array_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator,!0]:bbe(n,0,a,void 0)?[f.Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es2015_or_higher,!1]:evt((an=a.symbol)==null?void 0:an.escapedName)?[f.Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es2015_or_higher,!0]:gt?[f.Type_0_is_not_an_array_type_or_a_string_type,!0]:[f.Type_0_is_not_an_array_type,!0]}}function evt(n){switch(n){case"Float32Array":case"Float64Array":case"Int16Array":case"Int32Array":case"Int8Array":case"NodeList":case"Uint16Array":case"Uint32Array":case"Uint8Array":case"Uint8ClampedArray":return!0}return!1}function bbe(n,a,c,d){if(Nt(c))return;const _=Ete(c,n,d);return _&&_[Lxe(a)]}function Ty(n=jr,a=jr,c=_n){if(n.flags&67359327&&a.flags&180227&&c.flags&180227){const d=mm([n,a,c]);let _=xi.get(d);return _||(_={yieldType:n,returnType:a,nextType:c},xi.set(d,_)),_}return{yieldType:n,returnType:a,nextType:c}}function EFe(n){let a,c,d;for(const _ of n)if(!(_===void 0||_===Lr)){if(_===$o)return $o;a=xn(a,_.yieldType),c=xn(c,_.returnType),d=xn(d,_.nextType)}return a||c||d?Ty(a&&ti(a),c&&ti(c),d&&ba(d)):Lr}function bte(n,a){return n[a]}function Dg(n,a,c){return n[a]=c}function Ete(n,a,c){var d,_;if(Nt(n))return $o;if(!(n.flags&1048576)){const H=c?{errors:void 0}:void 0,re=SFe(n,a,c,H);if(re===Lr){if(c){const be=Abe(c,n,!!(a&2));H!=null&&H.errors&&wa(be,...H.errors)}return}else if((d=H==null?void 0:H.errors)!=null&&d.length)for(const be of H.errors)ts.add(be);return re}const y=a&2?"iterationTypesOfAsyncIterable":"iterationTypesOfIterable",x=bte(n,y);if(x)return x===Lr?void 0:x;let k;for(const H of n.types){const re=c?{errors:void 0}:void 0,be=SFe(H,a,c,re);if(be===Lr){if(c){const ge=Abe(c,n,!!(a&2));re!=null&&re.errors&&wa(ge,...re.errors)}Dg(n,y,Lr);return}else if((_=re==null?void 0:re.errors)!=null&&_.length)for(const ge of re.errors)ts.add(ge);k=xn(k,be)}const z=k?EFe(k):Lr;return Dg(n,y,z),z===Lr?void 0:z}function Ebe(n,a){if(n===Lr)return Lr;if(n===$o)return $o;const{yieldType:c,returnType:d,nextType:_}=n;return a&&Zge(!0),Ty(dA(c,a)||j,dA(d,a)||j,_)}function SFe(n,a,c,d){if(Nt(n))return $o;let _=!1;if(a&2){const y=Sbe(n,Us)||AFe(n,Us);if(y)if(y===Lr&&c)_=!0;else return a&8?Ebe(y,c):y}if(a&1){let y=Sbe(n,vc)||AFe(n,vc);if(y)if(y===Lr&&c)_=!0;else if(a&2){if(y!==Lr)return y=Ebe(y,c),_?y:Dg(n,"iterationTypesOfAsyncIterable",y)}else return y}if(a&2){const y=Tbe(n,Us,c,d,_);if(y!==Lr)return y}if(a&1){let y=Tbe(n,vc,c,d,_);if(y!==Lr)return a&2?(y=Ebe(y,c),_?y:Dg(n,"iterationTypesOfAsyncIterable",y)):y}return Lr}function Sbe(n,a){return bte(n,a.iterableCacheKey)}function TFe(n,a){const c=Sbe(n,a)||Tbe(n,a,void 0,void 0,!1);return c===Lr?Hc:c}function AFe(n,a){let c;if(wb(n,c=a.getGlobalIterableType(!1))||wb(n,c=a.getGlobalIterableIteratorType(!1))){const[d]=Js(n),{returnType:_,nextType:y}=TFe(c,a);return Dg(n,a.iterableCacheKey,Ty(a.resolveIterationType(d,void 0)||d,a.resolveIterationType(_,void 0)||_,y))}if(wb(n,a.getGlobalGeneratorType(!1))){const[d,_,y]=Js(n);return Dg(n,a.iterableCacheKey,Ty(a.resolveIterationType(d,void 0)||d,a.resolveIterationType(_,void 0)||_,y))}}function IFe(n){const a=a6e(!1),c=a&&Xe(dr(a),hl(n));return c&&rm(c)?im(c):`__@${n}`}function Tbe(n,a,c,d,_){const y=ya(n,IFe(a.iteratorSymbolName)),x=y&&!(y.flags&16777216)?dr(y):void 0;if(Nt(x))return _?$o:Dg(n,a.iterableCacheKey,$o);const k=x?Yo(x,0):void 0;if(!bt(k))return _?Lr:Dg(n,a.iterableCacheKey,Lr);const z=ba(wt(k,ps)),H=xFe(z,a,c,d,_)??Lr;return _?H:Dg(n,a.iterableCacheKey,H)}function Abe(n,a,c){const d=c?f.Type_0_must_have_a_Symbol_asyncIterator_method_that_returns_an_async_iterator:f.Type_0_must_have_a_Symbol_iterator_method_that_returns_an_iterator,_=!!cM(a)||!c&&Qk(n.parent)&&n.parent.expression===n&&nee(!1)!==ko&&Ea(a,nee(!1));return K0(n,_,d,Un(a))}function tvt(n,a,c,d){return xFe(n,a,c,d,!1)}function xFe(n,a,c,d,_){if(Nt(n))return $o;let y=RFe(n,a)||nvt(n,a);return y===Lr&&c&&(y=void 0,_=!0),y??(y=NFe(n,a,c,d,_)),y===Lr?void 0:y}function RFe(n,a){return bte(n,a.iteratorCacheKey)}function nvt(n,a){const c=a.getGlobalIterableIteratorType(!1);if(wb(n,c)){const[d]=Js(n),_=RFe(c,a)||NFe(c,a,void 0,void 0,!1),{returnType:y,nextType:x}=_===Lr?Hc:_;return Dg(n,a.iteratorCacheKey,Ty(d,y,x))}if(wb(n,a.getGlobalIteratorType(!1))||wb(n,a.getGlobalGeneratorType(!1))){const[d,_,y]=Js(n);return Dg(n,a.iteratorCacheKey,Ty(d,_,y))}}function DFe(n,a){const c=Xe(n,"done")||en;return Ea(a===0?en:Bn,c)}function rvt(n){return DFe(n,0)}function ivt(n){return DFe(n,1)}function ovt(n){if(Nt(n))return $o;const a=bte(n,"iterationTypesOfIteratorResult");if(a)return a;if(wb(n,Uct(!1))){const x=Js(n)[0];return Dg(n,"iterationTypesOfIteratorResult",Ty(x,void 0,void 0))}if(wb(n,Hct(!1))){const x=Js(n)[0];return Dg(n,"iterationTypesOfIteratorResult",Ty(void 0,x,void 0))}const c=dc(n,rvt),d=c!==jr?Xe(c,"value"):void 0,_=dc(n,ivt),y=_!==jr?Xe(_,"value"):void 0;return!d&&!y?Dg(n,"iterationTypesOfIteratorResult",Lr):Dg(n,"iterationTypesOfIteratorResult",Ty(d,y||ir,void 0))}function Ibe(n,a,c,d,_){var y,x,k,z;const H=ya(n,c);if(!H&&c!=="next")return;const re=H&&!(c==="next"&&H.flags&16777216)?c==="next"?dr(H):hm(dr(H),2097152):void 0;if(Nt(re))return c==="next"?$o:Tl;const be=re?Yo(re,0):et;if(be.length===0){if(d){const ln=c==="next"?a.mustHaveANextMethodDiagnostic:a.mustBeAMethodDiagnostic;_?(_.errors??(_.errors=[]),_.errors.push(wr(d,ln,c))):Je(d,ln,c)}return c==="next"?Lr:void 0}if(re!=null&&re.symbol&&be.length===1){const ln=a.getGlobalGeneratorType(!1),zn=a.getGlobalIteratorType(!1),Fn=((x=(y=ln.symbol)==null?void 0:y.members)==null?void 0:x.get(c))===re.symbol,er=!Fn&&((z=(k=zn.symbol)==null?void 0:k.members)==null?void 0:z.get(c))===re.symbol;if(Fn||er){const qn=Fn?ln:zn,{mapper:tr}=re;return Ty(Vb(qn.typeParameters[0],tr),Vb(qn.typeParameters[1],tr),c==="next"?Vb(qn.typeParameters[2],tr):void 0)}}let ge,Ke;for(const ln of be)c!=="throw"&&bt(ln.parameters)&&(ge=xn(ge,y_(ln,0))),Ke=xn(Ke,ps(ln));let gt,Dt;if(c!=="throw"){const ln=ge?ti(ge):_n;if(c==="next")Dt=ln;else if(c==="return"){const zn=a.resolveIterationType(ln,d)||j;gt=xn(gt,zn)}}let an;const cn=Ke?ba(Ke):jr,ar=a.resolveIterationType(cn,d)||j,On=ovt(ar);return On===Lr?(d&&(_?(_.errors??(_.errors=[]),_.errors.push(wr(d,a.mustHaveAValueDiagnostic,c))):Je(d,a.mustHaveAValueDiagnostic,c)),an=j,gt=xn(gt,j)):(an=On.yieldType,gt=xn(gt,On.returnType)),Ty(an,ti(gt),Dt)}function NFe(n,a,c,d,_){const y=EFe([Ibe(n,a,"next",c,d),Ibe(n,a,"return",c,d),Ibe(n,a,"throw",c,d)]);return _?y:Dg(n,a.iteratorCacheKey,y)}function rS(n,a,c){if(Nt(a))return;const d=CFe(a,c);return d&&d[Lxe(n)]}function CFe(n,a){if(Nt(n))return $o;const c=a?2:1,d=a?Us:vc;return Ete(n,c,void 0)||tvt(n,d,void 0,void 0)}function avt(n){Tv(n)||ybt(n)}function RB(n,a){const c=!!(a&1),d=!!(a&2);if(c){const _=rS(1,n,d);return _?d?Ey(uM(_)):_:_t}return d?Ey(n)||_t:n}function PFe(n,a){const c=RB(a,Vc(n));return!!(c&&(Cl(c,16384)||c.flags&32769))}function svt(n){if(Tv(n))return;const a=t3(n);if(a&&Rl(a)){hu(n,f.A_return_statement_cannot_be_used_inside_a_class_static_block);return}if(!a){hu(n,f.A_return_statement_can_only_be_used_within_a_function_body);return}const c=fm(a),d=ps(c),_=Vc(a);if(Y||n.expression||d.flags&131072){const y=n.expression?nc(n.expression):Oe;if(a.kind===178)n.expression&&Je(n,f.Setters_cannot_return_a_value);else if(a.kind===176)n.expression&&!Ub(y,d,n,n.expression)&&Je(n,f.Return_type_of_constructor_signature_must_be_assignable_to_the_instance_type_of_the_class);else if(IN(a)){const x=RB(d,_)??d,k=_&2?o6(y,!1,n,f.The_return_type_of_an_async_function_must_either_be_a_valid_promise_or_must_not_contain_a_callable_then_member):y;x&&Ub(k,x,n,n.expression)}}else a.kind!==176&&V.noImplicitReturns&&!PFe(a,d)&&Je(n,f.Not_all_code_paths_return_a_value)}function lvt(n){Tv(n)||n.flags&65536&&hu(n,f.with_statements_are_not_allowed_in_an_async_function_block),mo(n.expression);const a=jn(n);if(!iS(a)){const c=gh(a,n.pos).start,d=n.statement.pos;oS(a,c,d-c,f.The_with_statement_is_not_supported_All_symbols_in_a_with_block_will_have_type_any)}}function cvt(n){Tv(n);let a,c=!1;const d=mo(n.expression);Ue(n.caseBlock.clauses,_=>{_.kind===297&&!c&&(a===void 0?a=_:(En(_,f.A_default_clause_cannot_appear_more_than_once_in_a_switch_statement),c=!0)),_.kind===296&&r(y(_)),Ue(_.statements,Na),V.noFallthroughCasesInSwitch&&_.fallthroughFlowNode&&X9(_.fallthroughFlowNode)&&Je(_,f.Fallthrough_case_in_switch);function y(x){return()=>{const k=mo(x.expression);obe(d,k)||r4e(k,d,x.expression,void 0)}}}),n.caseBlock.locals&&Xb(n.caseBlock)}function uvt(n){Tv(n)||Gn(n.parent,a=>ea(a)?"quit":a.kind===256&&a.label.escapedText===n.label.escapedText?(En(n.label,f.Duplicate_label_0,fc(n.label)),!0):!1),Na(n.statement)}function dvt(n){Tv(n)||Ve(n.expression)&&!n.expression.escapedText&&kbt(n,f.Line_break_not_permitted_here),n.expression&&mo(n.expression)}function pvt(n){Tv(n),vte(n.tryBlock);const a=n.catchClause;if(a){if(a.variableDeclaration){const c=a.variableDeclaration;IB(c);const d=yu(c);if(d){const _=Ei(d);_&&!(_.flags&3)&&hu(d,f.Catch_clause_variable_type_annotation_must_be_any_or_unknown_if_specified)}else if(c.initializer)hu(c.initializer,f.Catch_clause_variable_cannot_have_an_initializer);else{const _=a.block.locals;_&&_h(a.locals,y=>{const x=_.get(y);x!=null&&x.valueDeclaration&&x.flags&2&&En(x.valueDeclaration,f.Cannot_redeclare_identifier_0_in_catch_clause,Vi(y))})}}vte(a.block)}n.finallyBlock&&vte(n.finallyBlock)}function Ste(n,a,c){const d=yd(n);if(d.length===0)return;for(const y of Wb(n))c&&y.flags&4194304||MFe(n,y,NN(y,8576,!0),kb(y));const _=a.valueDeclaration;if(_&&ui(_)){for(const y of _.members)if(!sa(y)&&!TN(y)){const x=Ir(y);MFe(n,x,Ru(y.name.expression),kb(x))}}if(d.length>1)for(const y of d)fvt(n,y)}function MFe(n,a,c,d){const _=a.valueDeclaration,y=Mo(_);if(y&&Ji(y))return;const x=wge(n,c),k=Wr(n)&2?fl(n.symbol,264):void 0,z=_&&_.kind===226||y&&y.kind===167?_:void 0,H=Pd(a)===n.symbol?_:void 0;for(const re of x){const be=re.declaration&&Pd(Ir(re.declaration))===n.symbol?re.declaration:void 0,ge=H||be||(k&&!bt(Mp(n),Ke=>!!uE(Ke,a.escapedName)&&!!dE(Ke,re.keyType))?k:void 0);if(ge&&!Ea(d,re.type)){const Ke=bT(ge,f.Property_0_of_type_1_is_not_assignable_to_2_index_type_3,bi(a),Un(d),Un(re.keyType),Un(re.type));z&&ge!==z&&wa(Ke,wr(z,f._0_is_declared_here,bi(a))),ts.add(Ke)}}}function fvt(n,a){const c=a.declaration,d=wge(n,a.keyType),_=Wr(n)&2?fl(n.symbol,264):void 0,y=c&&Pd(Ir(c))===n.symbol?c:void 0;for(const x of d){if(x===a)continue;const k=x.declaration&&Pd(Ir(x.declaration))===n.symbol?x.declaration:void 0,z=y||k||(_&&!bt(Mp(n),H=>!!xg(H,a.keyType)&&!!dE(H,x.keyType))?_:void 0);z&&!Ea(a.type,x.type)&&Je(z,f._0_index_type_1_is_not_assignable_to_2_index_type_3,Un(a.keyType),Un(a.type),Un(x.keyType),Un(x.type))}}function fM(n,a){switch(n.escapedText){case"any":case"unknown":case"never":case"number":case"bigint":case"boolean":case"string":case"symbol":case"void":case"object":Je(n,a,n.escapedText)}}function mvt(n){se>=1&&n.escapedText==="Object"&&(G<5||jn(n).impliedNodeFormat===1)&&Je(n,f.Class_name_cannot_be_Object_when_targeting_ES5_with_module_0,eC[G])}function _vt(n){const a=nr(f0(n),r_);if(!Me(a))return;const c=lr(n),d=new Set,_=new Set;if(Ue(n.parameters,({name:x},k)=>{Ve(x)&&d.add(x.escapedText),ta(x)&&_.add(k)}),Wge(n)){const x=a.length-1,k=a[x];c&&k&&Ve(k.name)&&k.typeExpression&&k.typeExpression.type&&!d.has(k.name.escapedText)&&!_.has(x)&&!qf(Ei(k.typeExpression.type))&&Je(k.name,f.JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name_It_would_match_arguments_if_it_had_an_array_type,Sr(k.name))}else Ue(a,({name:x,isNameFirst:k},z)=>{_.has(z)||Ve(x)&&d.has(x.escapedText)||(xd(x)?c&&Je(x,f.Qualified_name_0_is_not_allowed_without_a_leading_param_object_1,_p(x),_p(x.left)):k||_u(c,x,f.JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name,Sr(x)))})}function DB(n){let a=!1;if(n)for(let d=0;d<n.length;d++){const _=n[d];YWe(_),r(c(_,d))}function c(d,_){return()=>{d.default?(a=!0,hvt(d.default,n,_)):a&&Je(d,f.Required_type_parameters_may_not_follow_optional_type_parameters);for(let y=0;y<_;y++)n[y].symbol===d.symbol&&Je(d.name,f.Duplicate_identifier_0,Rs(d.name))}}}function hvt(n,a,c){d(n);function d(_){if(_.kind===183){const y=Jge(_);if(y.flags&262144)for(let x=c;x<a.length;x++)y.symbol===Ir(a[x])&&Je(_,f.Type_parameter_defaults_can_only_reference_previously_declared_type_parameters)}Ho(_,d)}}function LFe(n){if(n.declarations&&n.declarations.length===1)return;const a=Xi(n);if(!a.typeParametersChecked){a.typeParametersChecked=!0;const c=Avt(n);if(!c||c.length<=1)return;const d=el(n);if(!kFe(c,d.localTypeParameters,ky)){const _=bi(n);for(const y of c)Je(y.name,f.All_declarations_of_0_must_have_identical_type_parameters,_)}}}function kFe(n,a,c){const d=Me(a),_=Gh(a);for(const y of n){const x=c(y),k=x.length;if(k<_||k>d)return!1;for(let z=0;z<k;z++){const H=x[z],re=a[z];if(H.name.escapedText!==re.symbol.escapedName)return!1;const be=Zx(H),ge=be&&Ei(be),Ke=Ld(re);if(ge&&Ke&&!vv(ge,Ke))return!1;const gt=H.default&&Ei(H.default),Dt=JT(re);if(gt&&Dt&&!vv(gt,Dt))return!1}}return!0}function wFe(n){const a=!ne&&se<99&&zv(!1,n),c=se<=9,d=!ye;if(a||c)for(const _ of n.members){if(a&&Fj(!1,_,n))return Xc(Ly(n))??n;if(c){if(Rl(_))return _;if(sa(_)&&(cd(_)||d&&ww(_)))return _}}}function gvt(n){if(n.name)return;const a=sse(n);if(!rU(a))return;const c=!ne&&se<99;let d;c&&zv(!1,n)?d=Xc(Ly(n))??n:d=wFe(n),d&&(Nc(d,8388608),(hc(a)||Jo(a)||Qa(a))&&Za(a.name)&&Nc(d,16777216))}function vvt(n){return OFe(n),Ax(n),gvt(n),dr(Ir(n))}function yvt(n){Ue(n.members,Na),Xb(n)}function bvt(n){const a=An(n.modifiers,Eu);ne&&a&&bt(n.members,c=>mc(c)&&cd(c))&&En(a,f.Class_decorators_can_t_be_used_with_static_private_identifier_Consider_removing_the_experimental_decorator),!n.name&&!Zr(n,2048)&&hu(n,f.A_class_declaration_without_the_default_modifier_must_have_a_name),OFe(n),Ue(n.members,Na),Xb(n)}function OFe(n){ibt(n),SB(n),dM(n,n.name),DB(ky(n)),r6(n);const a=Ir(n),c=el(a),d=Kp(c),_=dr(a);LFe(a),_te(a),wht(n),!!(n.flags&33554432)||Oht(n);const x=D_(n);if(x){Ue(x.typeArguments,Na),se<2&&Nc(x.parent,1);const H=UE(n);H&&H!==x&&mo(H.expression);const re=Mp(c);re.length&&r(()=>{const be=re[0],ge=Pp(c),Ke=kd(ge);if(Svt(Ke,x),Na(x.expression),bt(x.typeArguments)){Ue(x.typeArguments,Na);for(const Dt of td(Ke,x.typeArguments,x))if(!rFe(x,Dt.typeParameters))break}const gt=Kp(be,c.thisType);if(nd(d,gt,void 0)?nd(_,$6e(Ke),n.name||n,f.Class_static_side_0_incorrectly_extends_base_class_static_side_1):zFe(n,d,gt,f.Class_0_incorrectly_extends_base_class_1),ge.flags&8650752&&(Mi(_)?Yo(ge,1).some(an=>an.flags&4)&&!Zr(n,64)&&Je(n.name||n,f.A_mixin_class_that_extends_from_a_type_variable_containing_an_abstract_construct_signature_must_also_be_declared_abstract):Je(n.name||n,f.A_mixin_class_must_have_a_constructor_with_a_single_rest_parameter_of_type_any)),!(Ke.symbol&&Ke.symbol.flags&32)&&!(ge.flags&8650752)){const Dt=zh(Ke,x.typeArguments,x);Ue(Dt,an=>!nh(an.declaration)&&!vv(ps(an),be))&&Je(x.expression,f.Base_constructors_must_all_have_the_same_return_type)}Ivt(c,be)})}Evt(n,c,d,_);const k=AR(n);if(k)for(const H of k)(!Gl(H.expression)||qu(H.expression))&&Je(H.expression,f.A_class_can_only_implement_an_identifier_Slashqualified_name_with_optional_type_arguments),pbe(H),r(z(H));r(()=>{Ste(c,a),Ste(_,a,!0),cbe(n),Dvt(n)});function z(H){return()=>{const re=h_(Ei(H));if(!Kt(re))if(m9(re)){const be=re.symbol&&re.symbol.flags&32?f.Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclass:f.Class_0_incorrectly_implements_interface_1,ge=Kp(re,c.thisType);nd(d,ge,void 0)||zFe(n,d,ge,be)}else Je(H,f.A_class_can_only_implement_an_object_type_or_intersection_of_object_types_with_statically_known_members)}}}function Evt(n,a,c,d){const y=D_(n)&&Mp(a),x=y!=null&&y.length?Kp(Ha(y),a.thisType):void 0,k=Pp(a);for(const z of n.members)gU(z)||(Ml(z)&&Ue(z.parameters,H=>{mp(H,z)&&WFe(n,d,k,x,a,c,H,!0)}),WFe(n,d,k,x,a,c,z,!1))}function WFe(n,a,c,d,_,y,x,k,z=!0){const H=x.name&&Hm(x.name)||Hm(x);return H?FFe(n,a,c,d,_,y,P3(x),XE(x),sa(x),k,Sl(H),z?x:void 0):0}function FFe(n,a,c,d,_,y,x,k,z,H,re,be){const ge=lr(n),Ke=!!(n.flags&33554432);if(d&&(x||V.noImplicitOverride)){const gt=hl(re),Dt=z?a:y,an=z?c:d,cn=ya(Dt,gt),ar=ya(an,gt),On=Un(d);if(cn&&!ar&&x){if(be){const ln=J3e(re,an);ln?Je(be,ge?f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1:f.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1,On,bi(ln)):Je(be,ge?f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0:f.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0,On)}return 2}else if(cn&&(ar!=null&&ar.declarations)&&V.noImplicitOverride&&!Ke){const ln=bt(ar.declarations,XE);if(x)return 0;if(ln){if(k&&ln)return be&&Je(be,f.This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0,On),1}else{if(be){const zn=H?ge?f.This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0:f.This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0:ge?f.This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0:f.This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0;Je(be,zn,On)}return 1}}}else if(x){if(be){const gt=Un(_);Je(be,ge?f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class:f.This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class,gt)}return 2}return 0}function zFe(n,a,c,d){let _=!1;for(const y of n.members){if(sa(y))continue;const x=y.name&&Hm(y.name)||Hm(y);if(x){const k=ya(a,x.escapedName),z=ya(c,x.escapedName);if(k&&z){const H=()=>jo(void 0,f.Property_0_in_type_1_is_not_assignable_to_the_same_property_in_base_type_2,bi(x),Un(a),Un(c));nd(dr(k),dr(z),y.name||y,void 0,H)||(_=!0)}}}_||nd(a,c,n.name||n,d)}function Svt(n,a){const c=Yo(n,1);if(c.length){const d=c[0].declaration;if(d&&gp(d,2)){const _=Vg(n.symbol);Pbe(a,_)||Je(a,f.Cannot_extend_a_class_0_Class_constructor_is_marked_as_private,qp(n.symbol))}}}function Tvt(n,a,c){if(!a.name)return 0;const d=Ir(n),_=el(d),y=Kp(_),x=dr(d),z=D_(n)&&Mp(_),H=z!=null&&z.length?Kp(Ha(z),_.thisType):void 0,re=Pp(_),be=a.parent?P3(a):Zr(a,16);return FFe(n,x,re,H,_,y,be,XE(a),sa(a),!1,Sl(c))}function GN(n){return xl(n)&1?n.links.target:n}function Avt(n){return nr(n.declarations,a=>a.kind===263||a.kind===264)}function Ivt(n,a){var c,d,_,y;const x=gs(a);let k;e:for(const z of x){const H=GN(z);if(H.flags&4194304)continue;const re=uE(n,H.escapedName);if(!re)continue;const be=GN(re),ge=Df(H);if(N.assert(!!be,"derived should point to something, even if it is the base class' declaration."),be===H){const Ke=Vg(n.symbol);if(ge&64&&(!Ke||!Zr(Ke,64))){for(const gt of Mp(n)){if(gt===a)continue;const Dt=uE(gt,H.escapedName),an=Dt&&GN(Dt);if(an&&an!==H)continue e}k||(k=Je(Ke,f.Non_abstract_class_0_does_not_implement_all_abstract_members_of_1,Un(n),Un(a))),Ke.kind===231?wa(k,wr(z.valueDeclaration??(z.declarations&&Ha(z.declarations))??Ke,f.Non_abstract_class_expression_does_not_implement_inherited_abstract_member_0_from_class_1,bi(z),Un(a))):wa(k,wr(z.valueDeclaration??(z.declarations&&Ha(z.declarations))??Ke,f.Non_abstract_class_0_does_not_implement_inherited_abstract_member_1_from_class_2,Un(n),bi(z),Un(a)))}}else{const Ke=Df(be);if(ge&2||Ke&2)continue;let gt;const Dt=H.flags&98308,an=be.flags&98308;if(Dt&&an){if((xl(H)&6?(c=H.declarations)!=null&&c.some(On=>BFe(On,ge)):(d=H.declarations)!=null&&d.every(On=>BFe(On,ge)))||xl(H)&262144||be.valueDeclaration&&mr(be.valueDeclaration))continue;const cn=Dt!==4&&an===4;if(cn||Dt===4&&an!==4){const On=cn?f._0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property:f._0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor;Je(Mo(be.valueDeclaration)||be.valueDeclaration,On,bi(H),Un(a),Un(n))}else if(he){const On=(_=be.declarations)==null?void 0:_.find(ln=>ln.kind===172&&!ln.initializer);if(On&&!(be.flags&33554432)&&!(ge&64)&&!(Ke&64)&&!((y=be.declarations)!=null&&y.some(ln=>!!(ln.flags&33554432)))){const ln=lv(Vg(n.symbol)),zn=On.name;if(On.exclamationToken||!ln||!Ve(zn)||!Y||!VFe(zn,n,ln)){const Fn=f.Property_0_will_overwrite_the_base_property_in_1_If_this_is_intentional_add_an_initializer_Otherwise_add_a_declare_modifier_or_remove_the_redundant_declaration;Je(Mo(be.valueDeclaration)||be.valueDeclaration,Fn,bi(H),Un(a))}}}continue}else if(Dye(H)){if(Dye(be)||be.flags&4)continue;N.assert(!!(be.flags&98304)),gt=f.Class_0_defines_instance_member_function_1_but_extended_class_2_defines_it_as_instance_member_accessor}else H.flags&98304?gt=f.Class_0_defines_instance_member_accessor_1_but_extended_class_2_defines_it_as_instance_member_function:gt=f.Class_0_defines_instance_member_property_1_but_extended_class_2_defines_it_as_instance_member_function;Je(Mo(be.valueDeclaration)||be.valueDeclaration,gt,Un(a),bi(H),Un(n))}}}function BFe(n,a){return a&64&&(!Jo(n)||!n.initializer)||hd(n.parent)}function xvt(n,a,c){if(!Me(a))return c;const d=new Map;Ue(c,_=>{d.set(_.escapedName,_)});for(const _ of a){const y=gs(Kp(_,n.thisType));for(const x of y){const k=d.get(x.escapedName);k&&x.parent===k.parent&&d.delete(x.escapedName)}}return zo(d.values())}function Rvt(n,a){const c=Mp(n);if(c.length<2)return!0;const d=new Map;Ue(vge(n).declaredProperties,y=>{d.set(y.escapedName,{prop:y,containingType:n})});let _=!0;for(const y of c){const x=gs(Kp(y,n.thisType));for(const k of x){const z=d.get(k.escapedName);if(!z)d.set(k.escapedName,{prop:k,containingType:y});else if(z.containingType!==n&&!zdt(z.prop,k)){_=!1;const re=Un(z.containingType),be=Un(y);let ge=jo(void 0,f.Named_property_0_of_types_1_and_2_are_not_identical,bi(k),re,be);ge=jo(ge,f.Interface_0_cannot_simultaneously_extend_types_1_and_2,Un(n),re,be),ts.add(Fg(jn(a),a,ge))}}}return _}function Dvt(n){if(!Y||!Ne||n.flags&33554432)return;const a=lv(n);for(const c of n.members)if(!(pd(c)&128)&&!sa(c)&&GFe(c)){const d=c.name;if(Ve(d)||Ji(d)||Za(d)){const _=dr(Ir(c));_.flags&3||K2(_)||(!a||!VFe(d,_,a))&&Je(c.name,f.Property_0_has_no_initializer_and_is_not_definitely_assigned_in_the_constructor,Rs(d))}}}function GFe(n){return n.kind===172&&!XE(n)&&!n.exclamationToken&&!n.initializer}function Nvt(n,a,c,d,_){for(const y of c)if(y.pos>=d&&y.pos<=_){const x=w.createPropertyAccessExpression(w.createThis(),n);qa(x.expression,x),qa(x,y),x.flowNode=y.returnFlowNode;const k=Kb(x,a,qb(a));if(!K2(k))return!0}return!1}function VFe(n,a,c){const d=Za(n)?w.createElementAccessExpression(w.createThis(),n.expression):w.createPropertyAccessExpression(w.createThis(),n);qa(d.expression,d),qa(d,c),d.flowNode=c.returnFlowNode;const _=Kb(d,a,qb(a));return!K2(_)}function Cvt(n){Ng(n)||dbt(n),DB(n.typeParameters),r(()=>{fM(n.name,f.Interface_name_cannot_be_0),r6(n);const a=Ir(n);LFe(a);const c=fl(a,264);if(n===c){const d=el(a),_=Kp(d);if(Rvt(d,n.name)){for(const y of Mp(d))nd(_,Kp(y,d.thisType),n.name,f.Interface_0_incorrectly_extends_interface_1);Ste(d,a)}}ZWe(n)}),Ue(PC(n),a=>{(!Gl(a.expression)||qu(a.expression))&&Je(a.expression,f.An_interface_can_only_extend_an_identifier_Slashqualified_name_with_optional_type_arguments),pbe(a)}),Ue(n.members,Na),r(()=>{cbe(n),Xb(n)})}function Pvt(n){Ng(n),fM(n.name,f.Type_alias_name_cannot_be_0),r6(n),DB(n.typeParameters),n.type.kind===141?(!QF.has(n.name.escapedText)||Me(n.typeParameters)!==1)&&Je(n.type,f.The_intrinsic_keyword_can_only_be_used_to_declare_compiler_provided_intrinsic_types):(Na(n.type),Xb(n))}function jFe(n){const a=ei(n);if(!(a.flags&1024)){a.flags|=1024;let c=0;for(const d of n.members){const _=Mvt(d,c);ei(d).enumMemberValue=_,c=typeof _=="number"?_+1:void 0}}}function Mvt(n,a){if(PL(n.name))Je(n.name,f.Computed_property_names_are_not_allowed_in_enums);else{const c=lR(n.name);cg(c)&&!iP(c)&&Je(n.name,f.An_enum_member_cannot_have_a_numeric_name)}if(n.initializer)return Lvt(n);if(!(n.parent.flags&33554432&&!FE(n.parent))){if(a!==void 0)return a;Je(n.name,f.Enum_member_must_have_initializer)}}function Lvt(n){const a=FE(n.parent),c=n.initializer,d=mM(c,n);return d!==void 0?a&&typeof d=="number"&&!isFinite(d)&&Je(c,isNaN(d)?f.const_enum_member_initializer_was_evaluated_to_disallowed_value_NaN:f.const_enum_member_initializer_was_evaluated_to_a_non_finite_value):a?Je(c,f.const_enum_member_initializers_must_be_constant_expressions):n.parent.flags&33554432?Je(c,f.In_ambient_enum_declarations_member_initializer_must_be_constant_expression):nd(mo(c),Tt,c,f.Type_0_is_not_assignable_to_type_1_as_required_for_computed_enum_member_values),d}function mM(n,a){switch(n.kind){case 224:const c=mM(n.operand,a);if(typeof c=="number")switch(n.operator){case 40:return c;case 41:return-c;case 55:return~c}break;case 226:const d=mM(n.left,a),_=mM(n.right,a);if(typeof d=="number"&&typeof _=="number")switch(n.operatorToken.kind){case 52:return d|_;case 51:return d&_;case 49:return d>>_;case 50:return d>>>_;case 48:return d<<_;case 53:return d^_;case 42:return d*_;case 44:return d/_;case 40:return d+_;case 41:return d-_;case 45:return d%_;case 43:return d**_}else if((typeof d=="string"||typeof d=="number")&&(typeof _=="string"||typeof _=="number")&&n.operatorToken.kind===40)return""+d+_;break;case 11:case 15:return n.text;case 228:return HFe(n,a);case 9:return Gbe(n),+n.text;case 217:return mM(n.expression,a);case 80:{const x=n;if(iP(x.escapedText)&&Hs(x,111551,!0)===q2(x.escapedText,111551,void 0))return+x.escapedText}case 211:if(Gl(n)){const x=Hs(n,111551,!0);if(x){if(x.flags&8)return a?UFe(n,x,a):jN(x.valueDeclaration);if(rM(x)){const k=x.valueDeclaration;if(k&&wi(k)&&!k.type&&k.initializer&&(!a||k!==a&&iv(k,a)))return mM(k.initializer,k)}}}break;case 212:const y=n.expression;if(Gl(y)&&ls(n.argumentExpression)){const x=Hs(y,111551,!0);if(x&&x.flags&384){const k=hl(n.argumentExpression.text),z=x.exports.get(k);if(z)return a?UFe(n,z,a):jN(z.valueDeclaration)}}break}}function UFe(n,a,c){const d=a.valueDeclaration;if(!d||d===c){Je(n,f.Property_0_is_used_before_being_assigned,bi(a));return}return iv(d,c)?jN(d):(Je(n,f.A_member_initializer_in_a_enum_declaration_cannot_reference_members_declared_after_it_including_members_defined_in_other_enums),0)}function HFe(n,a){let c=n.head.text;for(const d of n.templateSpans){const _=mM(d.expression,a);if(_===void 0)return;c+=_,c+=d.literal.text}return c}function kvt(n){r(()=>wvt(n))}function wvt(n){Ng(n),dM(n,n.name),r6(n),n.members.forEach(Ovt),jFe(n);const a=Ir(n),c=fl(a,n.kind);if(n===c){if(a.declarations&&a.declarations.length>1){const _=FE(n);Ue(a.declarations,y=>{x0(y)&&FE(y)!==_&&Je(Mo(y),f.Enum_declarations_must_all_be_const_or_non_const)})}let d=!1;Ue(a.declarations,_=>{if(_.kind!==266)return!1;const y=_;if(!y.members.length)return!1;const x=y.members[0];x.initializer||(d?Je(x.name,f.In_an_enum_with_multiple_declarations_only_one_declaration_can_omit_an_initializer_for_its_first_enum_element):d=!0)})}}function Ovt(n){Ji(n.name)&&Je(n,f.An_enum_member_cannot_be_named_with_a_private_identifier),n.initializer&&mo(n.initializer)}function Wvt(n){const a=n.declarations;if(a){for(const c of a)if((c.kind===263||c.kind===262&&Yf(c.body))&&!(c.flags&33554432))return c}}function Fvt(n,a){const c=hh(n),d=hh(a);return Mh(c)?Mh(d):Mh(d)?!1:c===d}function zvt(n){n.body&&(Na(n.body),R_(n)||Xb(n)),r(a);function a(){var c,d;const _=R_(n),y=n.flags&33554432;_&&!y&&Je(n.name,f.Augmentations_for_the_global_scope_should_have_declare_modifier_unless_they_appear_in_already_ambient_context);const x=ku(n),k=x?f.An_ambient_module_declaration_is_only_allowed_at_the_top_level_in_a_file:f.A_namespace_declaration_is_only_allowed_at_the_top_level_of_a_namespace_or_module;if(NB(n,k))return;Ng(n)||!y&&n.name.kind===11&&En(n.name,f.Only_ambient_modules_can_use_quoted_names),Ve(n.name)&&dM(n,n.name),r6(n);const z=Ir(n);if(z.flags&512&&!y&&Kq(n,e1(V))){if(om(V)&&!jn(n).externalModuleIndicator&&Je(n.name,f.Namespaces_are_not_allowed_in_global_script_files_when_0_is_enabled_If_this_file_is_not_intended_to_be_a_global_script_set_moduleDetection_to_force_or_add_an_empty_export_statement,$e),((c=z.declarations)==null?void 0:c.length)>1){const H=Wvt(z);H&&(jn(n)!==jn(H)?Je(n.name,f.A_namespace_declaration_cannot_be_in_a_different_file_from_a_class_or_function_with_which_it_is_merged):n.pos<H.pos&&Je(n.name,f.A_namespace_declaration_cannot_be_located_prior_to_a_class_or_function_with_which_it_is_merged));const re=fl(z,263);re&&Fvt(n,re)&&(ei(n).flags|=2048)}if(V.verbatimModuleSyntax&&n.parent.kind===312&&(G===1||n.parent.impliedNodeFormat===1)){const H=(d=n.modifiers)==null?void 0:d.find(re=>re.kind===95);H&&Je(H,f.A_top_level_export_modifier_cannot_be_used_on_value_declarations_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled)}}if(x)if(WE(n)){if((_||Ir(n).flags&33554432)&&n.body)for(const re of n.body.statements)xbe(re,_)}else Mh(n.parent)?_?Je(n.name,f.Augmentations_for_the_global_scope_can_only_be_directly_nested_in_external_modules_or_ambient_module_declarations):Yc(em(n.name))&&Je(n.name,f.Ambient_module_declaration_cannot_specify_relative_module_name):_?Je(n.name,f.Augmentations_for_the_global_scope_can_only_be_directly_nested_in_external_modules_or_ambient_module_declarations):Je(n.name,f.Ambient_modules_cannot_be_nested_in_other_modules_or_namespaces)}}function xbe(n,a){switch(n.kind){case 243:for(const d of n.declarationList.declarations)xbe(d,a);break;case 277:case 278:hu(n,f.Exports_and_export_assignments_are_not_permitted_in_module_augmentations);break;case 271:case 272:hu(n,f.Imports_are_not_permitted_in_module_augmentations_Consider_moving_them_to_the_enclosing_external_module);break;case 208:case 260:const c=n.name;if(ta(c)){for(const d of c.elements)xbe(d,a);break}case 263:case 266:case 262:case 264:case 267:case 265:if(a)return;break}}function Bvt(n){switch(n.kind){case 80:return n;case 166:do n=n.left;while(n.kind!==80);return n;case 211:do{if(rg(n.expression)&&!Ji(n.name))return n.name;n=n.expression}while(n.kind!==80);return n}}function Rbe(n){const a=yR(n);if(!a||zl(a))return!1;if(!Ma(a))return Je(a,f.String_literal_expected),!1;const c=n.parent.kind===268&&ku(n.parent.parent);if(n.parent.kind!==312&&!c)return Je(a,n.kind===278?f.Export_declarations_are_not_permitted_in_a_namespace:f.Import_declarations_in_a_namespace_cannot_reference_a_module),!1;if(c&&Yc(a.text)&&!Nb(n))return Je(n,f.Import_or_export_declaration_in_an_ambient_module_declaration_cannot_reference_module_through_relative_module_name),!1;if(!tu(n)&&n.attributes){const d=n.attributes.token===118?f.Import_attribute_values_must_be_string_literal_expressions:f.Import_assertion_values_must_be_string_literal_expressions;let _=!1;for(const y of n.attributes.elements)Ma(y.value)||(_=!0,Je(y.value,d));return!_}return!0}function Tte(n){var a,c,d,_;let y=Ir(n);const x=Fc(y);if(x!==ft){if(y=rs(y.exportSymbol||y),lr(n)&&!(x.flags&111551)&&!m0(n)){const H=CA(n)?n.propertyName||n.name:ld(n)?n.name:n;if(N.assert(n.kind!==280),n.kind===281){const re=Je(H,f.Types_cannot_appear_in_export_declarations_in_JavaScript_files),be=(c=(a=jn(n).symbol)==null?void 0:a.exports)==null?void 0:c.get((n.propertyName||n.name).escapedText);if(be===x){const ge=(d=be.declarations)==null?void 0:d.find(rR);ge&&wa(re,wr(ge,f._0_is_automatically_exported_here,Vi(be.escapedName)))}}else{N.assert(n.kind!==260);const re=Gn(n,Ym(kc,tu)),be=(re&&((_=vR(re))==null?void 0:_.text))??"...",ge=Vi(Ve(H)?H.escapedText:y.escapedName);Je(H,f._0_is_a_type_and_cannot_be_imported_in_JavaScript_files_Use_1_in_a_JSDoc_type_annotation,ge,`import("${be}").${ge}`)}return}const k=Au(x),z=(y.flags&1160127?111551:0)|(y.flags&788968?788968:0)|(y.flags&1920?1920:0);if(k&z){const H=n.kind===281?f.Export_declaration_conflicts_with_exported_declaration_of_0:f.Import_declaration_conflicts_with_local_declaration_of_0;Je(n,H,bi(y))}else n.kind!==281&&V.isolatedModules&&!Gn(n,m0)&&y.flags&1160127&&Je(n,f.Import_0_conflicts_with_local_value_so_must_be_declared_with_a_type_only_import_when_isolatedModules_is_enabled,bi(y),$e);if(om(V)&&!m0(n)&&!(n.flags&33554432)){const H=Ff(y),re=!(k&111551);if(re||H)switch(n.kind){case 273:case 276:case 271:{if(V.preserveValueImports||V.verbatimModuleSyntax){N.assertIsDefined(n.name,"An ImportClause with a symbol should have a name");const be=V.verbatimModuleSyntax&&hR(n)?f.An_import_alias_cannot_resolve_to_a_type_or_type_only_declaration_when_verbatimModuleSyntax_is_enabled:re?V.verbatimModuleSyntax?f._0_is_a_type_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled:f._0_is_a_type_and_must_be_imported_using_a_type_only_import_when_preserveValueImports_and_isolatedModules_are_both_enabled:V.verbatimModuleSyntax?f._0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled:f._0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_preserveValueImports_and_isolatedModules_are_both_enabled,ge=Sr(n.kind===276&&n.propertyName||n.name);gg(Je(n,be,ge),re?void 0:H,ge)}re&&n.kind===271&&gp(n,32)&&Je(n,f.Cannot_use_export_import_on_a_type_or_type_only_namespace_when_0_is_enabled,$e);break}case 281:if(V.verbatimModuleSyntax||jn(H)!==jn(n)){const be=Sr(n.propertyName||n.name),ge=re?Je(n,f.Re_exporting_a_type_when_0_is_enabled_requires_using_export_type,$e):Je(n,f._0_resolves_to_a_type_only_declaration_and_must_be_re_exported_using_a_type_only_re_export_when_1_is_enabled,be,$e);gg(ge,re?void 0:H,be);break}}V.verbatimModuleSyntax&&n.kind!==271&&!lr(n)&&(G===1||jn(n).impliedNodeFormat===1)&&Je(n,f.ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled)}if(np(n)){const H=Dbe(y,n);hb(H)&&H.declarations&&uy(n,H.declarations,H.escapedName)}}}function Dbe(n,a){if(!(n.flags&2097152)||hb(n)||!Fm(n))return n;const c=Fc(n);if(c===ft)return c;for(;n.flags&2097152;){const d=Sye(n);if(d){if(d===c)break;if(d.declarations&&Me(d.declarations))if(hb(d)){uy(a,d.declarations,d.escapedName);break}else{if(n===c)break;n=d}}else break}return c}function Ate(n){dM(n,n.name),Tte(n),n.kind===276&&Sr(n.propertyName||n.name)==="default"&&yh(V)&&G!==4&&(G<5||jn(n).impliedNodeFormat===1)&&Nc(n,131072)}function qFe(n){var a;const c=n.attributes;if(c){const d=Yge(!0);d!==La&&nd(ce(c),V9(d,32768),c);const _=WJ(n),y=hD(c,_?En:void 0),x=n.attributes.token===118;if(_&&y)return;if((G===199&&n.moduleSpecifier&&to(n.moduleSpecifier))!==99&&G!==99&&G!==200){const z=x?G===199?f.Import_attributes_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls:f.Import_attributes_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve:G===199?f.Import_assertions_are_not_allowed_on_statements_that_compile_to_CommonJS_require_calls:f.Import_assertions_are_only_supported_when_the_module_option_is_set_to_esnext_nodenext_or_preserve;return En(c,z)}if(kc(n)?(a=n.importClause)!=null&&a.isTypeOnly:n.isTypeOnly)return En(c,x?f.Import_attributes_cannot_be_used_with_type_only_imports_or_exports:f.Import_assertions_cannot_be_used_with_type_only_imports_or_exports);if(y)return En(c,f.resolution_mode_can_only_be_set_for_type_only_imports)}}function Gvt(n){return Ed(nc(n.value))}function Vvt(n){if(!NB(n,lr(n)?f.An_import_declaration_can_only_be_used_at_the_top_level_of_a_module:f.An_import_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module)){if(!Ng(n)&&C3(n)&&hu(n,f.An_import_declaration_cannot_have_modifiers),Rbe(n)){const a=n.importClause;a&&!Obt(a)&&(a.name&&Ate(a),a.namedBindings&&(a.namedBindings.kind===274?(Ate(a.namedBindings),G!==4&&(G<5||jn(n).impliedNodeFormat===1)&&yh(V)&&Nc(n,65536)):vd(n,n.moduleSpecifier)&&Ue(a.namedBindings.elements,Ate)))}qFe(n)}}function jvt(n){if(!NB(n,lr(n)?f.An_import_declaration_can_only_be_used_at_the_top_level_of_a_module:f.An_import_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module)&&(Ng(n),hR(n)||Rbe(n)))if(Ate(n),Zr(n,32)&&Sb(n),n.moduleReference.kind!==283){const a=Fc(Ir(n));if(a!==ft){const c=Au(a);if(c&111551){const d=Vp(n.moduleReference);Hs(d,112575).flags&1920||Je(d,f.Module_0_is_hidden_by_a_local_declaration_with_the_same_name,Rs(d))}c&788968&&fM(n.name,f.Import_name_cannot_be_0)}n.isTypeOnly&&En(n,f.An_import_alias_cannot_use_import_type)}else G>=5&&G!==200&&jn(n).impliedNodeFormat===void 0&&!n.isTypeOnly&&!(n.flags&33554432)&&En(n,f.Import_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_import_Asterisk_as_ns_from_mod_import_a_from_mod_import_d_from_mod_or_another_module_format_instead)}function Uvt(n){if(!NB(n,lr(n)?f.An_export_declaration_can_only_be_used_at_the_top_level_of_a_module:f.An_export_declaration_can_only_be_used_at_the_top_level_of_a_namespace_or_module)){if(!Ng(n)&&poe(n)&&hu(n,f.An_export_declaration_cannot_have_modifiers),n.moduleSpecifier&&n.exportClause&&Pf(n.exportClause)&&Me(n.exportClause.elements)&&se===0&&Nc(n,4194304),Hvt(n),!n.moduleSpecifier||Rbe(n))if(n.exportClause&&!Th(n.exportClause)){Ue(n.exportClause.elements,$vt);const a=n.parent.kind===268&&ku(n.parent.parent),c=!a&&n.parent.kind===268&&!n.moduleSpecifier&&n.flags&33554432;n.parent.kind!==312&&!a&&!c&&Je(n,f.Export_declarations_are_not_permitted_in_a_namespace)}else{const a=vd(n,n.moduleSpecifier);a&&fy(a)?Je(n.moduleSpecifier,f.Module_0_uses_export_and_cannot_be_used_with_export_Asterisk,bi(a)):n.exportClause&&Tte(n.exportClause),G!==4&&(G<5||jn(n).impliedNodeFormat===1)&&(n.exportClause?yh(V)&&Nc(n,65536):Nc(n,32768))}qFe(n)}}function Hvt(n){var a;return n.isTypeOnly&&((a=n.exportClause)==null?void 0:a.kind)===279?A7e(n.exportClause):!1}function NB(n,a){const c=n.parent.kind===312||n.parent.kind===268||n.parent.kind===267;return c||hu(n,a),!c}function qvt(n){return h3(n,a=>!!Ir(a).isReferenced)}function Jvt(n){return h3(n,a=>!!Xi(Ir(a)).constEnumReferenced)}function Kvt(n){return kc(n)&&n.importClause&&!n.importClause.isTypeOnly&&qvt(n.importClause)&&!Cte(n.importClause,!0)&&!Jvt(n.importClause)}function Xvt(n){return tu(n)&&Ah(n.moduleReference)&&!n.isTypeOnly&&Ir(n).isReferenced&&!Cte(n,!1)&&!Xi(Ir(n)).constEnumReferenced}function Yvt(n){if(It)for(const a of n.statements)(Kvt(a)||Xvt(a))&&Je(a,f.This_import_is_never_used_as_a_value_and_must_use_import_type_because_importsNotUsedAsValues_is_set_to_error)}function $vt(n){if(Tte(n),Nf(V)&&O2(n.propertyName||n.name,!0),n.parent.parent.moduleSpecifier)yh(V)&&G!==4&&(G<5||jn(n).impliedNodeFormat===1)&&Sr(n.propertyName||n.name)==="default"&&Nc(n,131072);else{const a=n.propertyName||n.name,c=bl(a,a.escapedText,2998271,void 0,void 0,!0);if(c&&(c===je||c===at||c.declarations&&Mh(Q(c.declarations[0]))))Je(a,f.Cannot_export_0_Only_local_declarations_can_be_exported_from_a_module,Sr(a));else{!n.isTypeOnly&&!n.parent.parent.isTypeOnly&&Sb(n);const d=c&&(c.flags&2097152?Fc(c):c);(!d||Au(d)&111551)&&nc(n.propertyName||n.name)}}}function Qvt(n){const a=n.isExportEquals?f.An_export_assignment_must_be_at_the_top_level_of_a_file_or_module_declaration:f.A_default_export_must_be_at_the_top_level_of_a_file_or_module_declaration;if(NB(n,a))return;const c=n.parent.kind===312?n.parent:n.parent.parent;if(c.kind===267&&!ku(c)){n.isExportEquals?Je(n,f.An_export_assignment_cannot_be_used_in_a_namespace):Je(n,f.A_default_export_can_only_be_used_in_an_ECMAScript_style_module);return}!Ng(n)&&C3(n)&&hu(n,f.An_export_assignment_cannot_have_modifiers);const d=yu(n);d&&nd(nc(n.expression),Ei(d),n.expression);const _=!n.isExportEquals&&!(n.flags&33554432)&&V.verbatimModuleSyntax&&(G===1||jn(n).impliedNodeFormat===1);if(n.expression.kind===80){const y=n.expression,x=vf(Hs(y,-1,!0,!0,n));if(x){const k=Ff(x,111551);if(Vee(x,y),Au(x)&111551?(nc(y),!_&&!(n.flags&33554432)&&V.verbatimModuleSyntax&&k&&Je(y,n.isExportEquals?f.An_export_declaration_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_a_type_only_declaration:f.An_export_default_must_reference_a_real_value_when_verbatimModuleSyntax_is_enabled_but_0_resolves_to_a_type_only_declaration,Sr(y))):!_&&!(n.flags&33554432)&&V.verbatimModuleSyntax&&Je(y,n.isExportEquals?f.An_export_declaration_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_type:f.An_export_default_must_reference_a_value_when_verbatimModuleSyntax_is_enabled_but_0_only_refers_to_a_type,Sr(y)),!_&&!(n.flags&33554432)&&om(V)&&!(x.flags&111551)){const z=Au(x,!1,!0);x.flags&2097152&&z&788968&&!(z&111551)&&(!k||jn(k)!==jn(n))?Je(y,n.isExportEquals?f._0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_import_type_where_0_is_imported:f._0_resolves_to_a_type_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_export_type_0_as_default,Sr(y),$e):k&&jn(k)!==jn(n)&&gg(Je(y,n.isExportEquals?f._0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_import_type_where_0_is_imported:f._0_resolves_to_a_type_only_declaration_and_must_be_marked_type_only_in_this_file_before_re_exporting_when_1_is_enabled_Consider_using_export_type_0_as_default,Sr(y),$e),k,Sr(y))}}else nc(y);Nf(V)&&O2(y,!0)}else nc(n.expression);_&&Je(n,f.ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled),JFe(c),n.flags&33554432&&!Gl(n.expression)&&En(n.expression,f.The_expression_of_an_export_assignment_must_be_an_identifier_or_qualified_name_in_an_ambient_context),n.isExportEquals&&(G>=5&&G!==200&&(n.flags&33554432&&jn(n).impliedNodeFormat===99||!(n.flags&33554432)&&jn(n).impliedNodeFormat!==1)?En(n,f.Export_assignment_cannot_be_used_when_targeting_ECMAScript_modules_Consider_using_export_default_or_another_module_format_instead):G===4&&!(n.flags&33554432)&&En(n,f.Export_assignment_is_not_supported_when_module_flag_is_system))}function Zvt(n){return Gc(n.exports,(a,c)=>c!=="export=")}function JFe(n){const a=Ir(n),c=Xi(a);if(!c.exportsChecked){const d=a.exports.get("export=");if(d&&Zvt(a)){const y=Fm(d)||d.valueDeclaration;y&&!Nb(y)&&!lr(y)&&Je(y,f.An_export_assignment_cannot_be_used_in_a_module_with_other_exported_elements)}const _=kh(a);_&&_.forEach(({declarations:y,flags:x},k)=>{if(k==="__export"||x&1920)return;const z=au(y,B6(kxe,G6(hd)));if(!(x&524288&&z<=2)&&z>1&&!Ite(y))for(const H of y)Pxe(H)&&ts.add(wr(H,f.Cannot_redeclare_exported_variable_0,Vi(k)))}),c.exportsChecked=!0}}function Ite(n){return n&&n.length>1&&n.every(a=>lr(a)&&ws(a)&&(RS(a.expression)||rg(a.expression)))}function Na(n){if(n){const a=C;C=n,I=0,eyt(n),C=a}}function eyt(n){ek(n)&&Ue(n.jsDoc,({comment:c,tags:d})=>{KFe(c),Ue(d,_=>{KFe(_.comment),lr(n)&&Na(_)})});const a=n.kind;if(i)switch(a){case 267:case 263:case 264:case 262:i.throwIfCancellationRequested()}switch(a>=243&&a<=259&&ZL(n)&&n.flowNode&&!X9(n.flowNode)&&_u(V.allowUnreachableCode===!1,n,f.Unreachable_code_detected),a){case 168:return YWe(n);case 169:return $We(n);case 172:return eFe(n);case 171:return Wht(n);case 185:case 184:case 179:case 180:case 181:return n6(n);case 174:case 173:return Fht(n);case 175:return zht(n);case 176:return Bht(n);case 177:case 178:return nFe(n);case 183:return pbe(n);case 182:return Lht(n);case 186:return qht(n);case 187:return Jht(n);case 188:return Kht(n);case 189:return Xht(n);case 192:case 193:return Yht(n);case 196:case 190:case 191:return Na(n.type);case 197:return egt(n);case 198:return tgt(n);case 194:return ngt(n);case 195:return rgt(n);case 203:return igt(n);case 205:return ogt(n);case 202:return agt(n);case 335:return Igt(n);case 336:return Agt(n);case 353:case 345:case 347:return _gt(n);case 352:return hgt(n);case 351:return ggt(n);case 331:case 332:case 333:return ygt(n);case 348:return bgt(n);case 355:return Egt(n);case 324:Sgt(n);case 322:case 321:case 319:case 320:case 329:XFe(n),Ho(n,Na);return;case 325:tyt(n);return;case 316:return Na(n.type);case 340:case 342:case 341:return xgt(n);case 357:return vgt(n);case 350:return Tgt(n);case 199:return $ht(n);case 200:return Qht(n);case 262:return mgt(n);case 241:case 268:return vte(n);case 243:return Ugt(n);case 244:return Hgt(n);case 245:return qgt(n);case 246:return Xgt(n);case 247:return Ygt(n);case 248:return $gt(n);case 249:return Zgt(n);case 250:return Qgt(n);case 251:case 252:return avt(n);case 253:return svt(n);case 254:return lvt(n);case 255:return cvt(n);case 256:return uvt(n);case 257:return dvt(n);case 258:return pvt(n);case 260:return Vgt(n);case 208:return jgt(n);case 263:return bvt(n);case 264:return Cvt(n);case 265:return Pvt(n);case 266:return kvt(n);case 267:return zvt(n);case 272:return Vvt(n);case 271:return jvt(n);case 278:return Uvt(n);case 277:return Qvt(n);case 242:case 259:Tv(n);return;case 282:return Vht(n)}}function KFe(n){To(n)&&Ue(n,a=>{kA(a)&&Na(a)})}function XFe(n){if(!lr(n))if(sF(n)||$R(n)){const a=fa(sF(n)?54:58),c=n.postfix?f._0_at_the_end_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1:f._0_at_the_start_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1,d=n.type,_=Ei(d);En(n,c,a,Un($R(n)&&!(_===jr||_===ir)?ti(xn([_,Oe],n.postfix?void 0:me)):_))}else En(n,f.JSDoc_types_can_only_be_used_inside_documentation_comments)}function tyt(n){XFe(n),Na(n.type);const{parent:a}=n;if(Ao(a)&&QR(a.parent)){Ya(a.parent.parameters)!==a&&Je(n,f.A_rest_parameter_must_be_last_in_a_parameter_list);return}d1(a)||Je(n,f.JSDoc_may_only_appear_in_the_last_parameter_of_a_signature);const c=n.parent.parent;if(!r_(c)){Je(n,f.JSDoc_may_only_appear_in_the_last_parameter_of_a_signature);return}const d=tk(c);if(!d)return;const _=v0(c);(!_||Ya(_.parameters).symbol!==d)&&Je(n,f.A_rest_parameter_must_be_last_in_a_parameter_list)}function nyt(n){const a=Ei(n.type),{parent:c}=n,d=n.parent.parent;if(d1(n.parent)&&r_(d)){const _=v0(d),y=FH(d.parent.parent);if(_||y){const x=tl(y?d.parent.parent.typeExpression.parameters:_.parameters),k=tk(d);if(!x||k&&x.symbol===k&&Zh(x))return Vu(a)}}return Ao(c)&&QR(c.parent)?Vu(a):cp(a)}function Ax(n){const a=jn(n),c=ei(a);c.flags&1?N.assert(!c.deferredNodes,"A type-checked file should have no deferred nodes."):(c.deferredNodes||(c.deferredNodes=new Set),c.deferredNodes.add(n))}function ryt(n){const a=ei(n);a.deferredNodes&&a.deferredNodes.forEach(iyt),a.deferredNodes=void 0}function iyt(n){var a,c;(a=sr)==null||a.push(sr.Phase.Check,"checkDeferredNode",{kind:n.kind,pos:n.pos,end:n.end,path:n.tracingPath});const d=C;switch(C=n,I=0,n.kind){case 213:case 214:case 215:case 170:case 286:lA(n);break;case 218:case 219:case 174:case 173:Q_t(n);break;case 177:case 178:nFe(n);break;case 231:yvt(n);break;case 168:Mht(n);break;case 285:smt(n);break;case 284:cmt(n);break;case 216:case 234:case 217:I_t(n);break;case 222:mo(n.expression);break;case 226:L3(n)&&lA(n);break}C=d,(c=sr)==null||c.pop()}function oyt(n){var a,c;(a=sr)==null||a.push(sr.Phase.Check,"checkSourceFile",{path:n.path},!0),il("beforeCheck"),ayt(n),il("afterCheck"),ef("Check","beforeCheck","afterCheck"),(c=sr)==null||c.pop()}function YFe(n,a){if(a)return!1;switch(n){case 0:return!!V.noUnusedLocals;case 1:return!!V.noUnusedParameters;default:return N.assertNever(n)}}function $Fe(n){return mf.get(n.path)||et}function ayt(n){const a=ei(n);if(!(a.flags&1)){if(ZC(n,V,e))return;Mbt(n),Vo(N1),Vo(C1),Vo(fb),Vo(mb),Vo(hg),Ue(n.statements,Na),Na(n.endOfFileToken),ryt(n),zp(n)&&Xb(n),r(()=>{!n.isDeclarationFile&&(V.noUnusedLocals||V.noUnusedParameters)&&mFe($Fe(n),(c,d,_)=>{!aR(c)&&YFe(d,!!(c.flags&33554432))&&ts.add(_)}),n.isDeclarationFile||Cgt()}),V.importsNotUsedAsValues===2&&!n.isDeclarationFile&&sc(n)&&Yvt(n),zp(n)&&JFe(n),N1.length&&(Ue(N1,Lgt),Vo(N1)),C1.length&&(Ue(C1,kgt),Vo(C1)),fb.length&&(Ue(fb,Fgt),Vo(fb)),mb.length&&(Ue(mb,Bgt),Vo(mb)),a.flags|=1}}function QFe(n,a){try{return i=a,syt(n)}finally{i=void 0}}function Nbe(){for(const n of t)n();t=[]}function Cbe(n){Nbe();const a=r;r=c=>c(),oyt(n),r=a}function syt(n){if(n){Nbe();const a=ts.getGlobalDiagnostics(),c=a.length;Cbe(n);const d=ts.getDiagnostics(n.fileName),_=ts.getGlobalDiagnostics();if(_!==a){const y=Ine(a,_,KC);return So(y,d)}else if(c===0&&_.length>0)return So(_,d);return d}return Ue(e.getSourceFiles(),Cbe),ts.getDiagnostics()}function lyt(){return Nbe(),ts.getGlobalDiagnostics()}function cyt(n,a){if(n.flags&67108864)return[];const c=ua();let d=!1;return _(),c.delete("this"),Oge(c);function _(){for(;n;){switch(fh(n)&&n.locals&&!Mh(n)&&x(n.locals,a),n.kind){case 312:if(!sc(n))break;case 267:k(Ir(n).exports,a&2623475);break;case 266:x(Ir(n).exports,a&8);break;case 231:n.name&&y(n.symbol,a);case 263:case 264:d||x(Ob(Ir(n)),a&788968);break;case 218:n.name&&y(n.symbol,a);break}Pie(n)&&y(Gt,a),d=sa(n),n=n.parent}x(Se,a)}function y(z,H){if(LR(z)&H){const re=z.escapedName;c.has(re)||c.set(re,z)}}function x(z,H){H&&z.forEach(re=>{y(re,H)})}function k(z,H){H&&z.forEach(re=>{!fl(re,281)&&!fl(re,280)&&re.escapedName!=="default"&&y(re,H)})}}function uyt(n){return n.kind===80&&BR(n.parent)&&Mo(n.parent)===n}function ZFe(n){for(;n.parent.kind===166;)n=n.parent;return n.parent.kind===183}function dyt(n){for(;n.parent.kind===211;)n=n.parent;return n.parent.kind===233}function e7e(n,a){let c,d=su(n);for(;d&&!(c=a(d));)d=su(d);return c}function pyt(n){return!!Gn(n,a=>Ml(a)&&Yf(a.body)||Jo(a)?!0:ui(a)||Bs(a)?"quit":!1)}function Pbe(n,a){return!!e7e(n,c=>c===a)}function fyt(n){for(;n.parent.kind===166;)n=n.parent;if(n.parent.kind===271)return n.parent.moduleReference===n?n.parent:void 0;if(n.parent.kind===277)return n.parent.expression===n?n.parent:void 0}function xte(n){return fyt(n)!==void 0}function myt(n){switch(Bl(n.parent.parent)){case 1:case 3:return gf(n.parent);case 5:if(Fr(n.parent)&&kR(n.parent)===n)return;case 4:case 2:return Ir(n.parent.parent)}}function _yt(n){let a=n.parent;for(;xd(a);)n=a,a=a.parent;if(a&&a.kind===205&&a.qualifier===n)return a}function hyt(n){if(n.expression.kind===110){const a=Fd(n,!1,!1);if(ea(a)){const c=d3e(a);if(c){const d=EE(c,void 0),_=f3e(c,d);return _&&!Nt(_)}}}}function t7e(n){if(Bg(n))return gf(n.parent);if(lr(n)&&n.parent.kind===211&&n.parent===n.parent.parent.left&&!Ji(n)&&!R0(n)&&!hyt(n.parent)){const a=myt(n);if(a)return a}if(n.parent.kind===277&&Gl(n)){const a=Hs(n,2998271,!0);if(a&&a!==ft)return a}else if(Zd(n)&&xte(n)){const a=b0(n,271);return N.assert(a!==void 0),sx(n,!0)}if(Zd(n)){const a=_yt(n);if(a){Ei(a);const c=ei(n).resolvedSymbol;return c===ft?void 0:c}}for(;voe(n);)n=n.parent;if(dyt(n)){let a=0;n.parent.kind===233?(a=tg(n)?788968:111551,M3(n.parent)&&(a|=111551)):a=1920,a|=2097152;const c=Gl(n)?Hs(n,a,!0):void 0;if(c)return c}if(n.parent.kind===348)return tk(n.parent);if(n.parent.kind===168&&n.parent.parent.kind===352){N.assert(!lr(n));const a=Uie(n.parent);return a&&a.symbol}if(ng(n)){if(zl(n))return;const a=Gn(n,Ym(kA,IP,R0)),c=a?901119:111551;if(n.kind===80){if(_R(n)&&Tx(n)){const _=Xee(n.parent);return _===ft?void 0:_}const d=Hs(n,c,!0,!0,v0(n));if(!d&&a){const _=Gn(n,Ym(ui,hd));if(_)return CB(n,!0,Ir(_))}if(d&&a){const _=CS(n);if(_&&u1(_)&&_===d.valueDeclaration)return Hs(n,c,!0,!0,jn(_))||d}return d}else{if(Ji(n))return Qee(n);if(n.kind===211||n.kind===166){const d=ei(n);return d.resolvedSymbol?d.resolvedSymbol:(n.kind===211?(Yee(n,0),d.resolvedSymbol||(d.resolvedSymbol=n7e(nc(n.expression),vy(n.name)))):B3e(n,0),!d.resolvedSymbol&&a&&xd(n)?CB(n):d.resolvedSymbol)}else if(R0(n))return CB(n)}}else if(ZFe(n)){const a=n.parent.kind===183?788968:1920,c=Hs(n,a,!1,!0);return c&&c!==ft?c:QZ(n)}if(n.parent.kind===182)return Hs(n,1)}function n7e(n,a){const c=wge(n,a);if(c.length&&n.members){const d=XZ(__(n).members);if(c===yd(n))return d;if(d){const _=Xi(d),y=Hi(c,k=>k.declaration),x=wt(y,as).join(",");if(_.filteredIndexSymbolCache||(_.filteredIndexSymbolCache=new Map),_.filteredIndexSymbolCache.has(x))return _.filteredIndexSymbolCache.get(x);{const k=Xa(131072,"__index");return k.declarations=Hi(c,z=>z.declaration),k.parent=n.aliasSymbol?n.aliasSymbol:n.symbol?n.symbol:Hm(k.declarations[0].parent),_.filteredIndexSymbolCache.set(x,k),k}}}}function CB(n,a,c){if(Zd(n)){let x=Hs(n,901119,a,!0,v0(n));if(!x&&Ve(n)&&c&&(x=rs(Jd(Cp(c),n.escapedText,901119))),x)return x}const d=Ve(n)?c:CB(n.left,a,c),_=Ve(n)?n.escapedText:n.right.escapedText;if(d){const y=d.flags&111551&&ya(dr(d),"prototype"),x=y?dr(y):el(d);return ya(x,_)}}function Hm(n,a){if($i(n))return sc(n)?rs(n.symbol):void 0;const{parent:c}=n,d=c.parent;if(!(n.flags&67108864)){if(Mxe(n)){const _=Ir(c);return CA(n.parent)&&n.parent.propertyName===n?Sye(_):_}else if(ik(n))return Ir(c.parent);if(n.kind===80){if(xte(n))return t7e(n);if(c.kind===208&&d.kind===206&&n===c.propertyName){const _=Ix(d),y=ya(_,n.escapedText);if(y)return y}else if(vP(c)&&c.name===n)return c.keywordToken===105&&Sr(n)==="target"?Xye(c).symbol:c.keywordToken===102&&Sr(n)==="meta"?i6e().members.get("meta"):void 0}switch(n.kind){case 80:case 81:case 211:case 166:if(!VA(n))return t7e(n);case 110:const _=Fd(n,!1,!1);if(ea(_)){const k=fm(_);if(k.thisParameter)return k.thisParameter}if(s3(n))return mo(n).symbol;case 197:return lee(n).symbol;case 108:return mo(n).symbol;case 137:const y=n.parent;return y&&y.kind===176?y.parent.symbol:void 0;case 11:case 15:if(h0(n.parent.parent)&&xC(n.parent.parent)===n||(n.parent.kind===272||n.parent.kind===278)&&n.parent.moduleSpecifier===n||lr(n)&&Ad(n.parent,!1)||Bp(n.parent)||Zy(n.parent)&&Vy(n.parent.parent)&&n.parent.parent.argument===n.parent)return vd(n,n,a);if(la(c)&&DS(c)&&c.arguments[1]===n)return Ir(c);case 9:const x=Qs(c)?c.argumentExpression===n?Ru(c.expression):void 0:Zy(c)&&jS(d)?Ei(d.objectType):void 0;return x&&ya(x,hl(n.text));case 90:case 100:case 39:case 86:return gf(n.parent);case 205:return Vy(n)?Hm(n.argument.literal,a):void 0;case 95:return kl(n.parent)?N.checkDefined(n.parent.symbol):void 0;case 102:case 105:return vP(n.parent)?TWe(n.parent).symbol:void 0;case 104:if(mr(n.parent)){const k=Ru(n.parent.right),z=ibe(k);return(z==null?void 0:z.symbol)??k.symbol}return;case 236:return mo(n).symbol;case 295:if(_R(n)&&Tx(n)){const k=Xee(n.parent);return k===ft?void 0:k}default:return}}}function gyt(n){if(Ve(n)&&Fr(n.parent)&&n.parent.name===n){const a=vy(n),c=Ru(n.parent.expression),d=c.flags&1048576?c.types:[c];return Pi(d,_=>nr(yd(_),y=>hx(a,y.keyType)))}}function vyt(n){if(n&&n.kind===304)return Hs(n.name,2208703)}function yyt(n){return Ku(n)?n.parent.parent.moduleSpecifier?av(n.parent.parent,n):Hs(n.propertyName||n.name,2998271):Hs(n,2998271)}function Ix(n){if($i(n)&&!sc(n)||n.flags&67108864)return _t;const a=EU(n),c=a&&Vf(Ir(a.class));if(tg(n)){const d=Ei(n);return c?Kp(d,c.thisType):d}if(ng(n))return r7e(n);if(c&&!a.isImplements){const d=Xc(Mp(c));return d?Kp(d,c.thisType):_t}if(BR(n)){const d=Ir(n);return el(d)}if(uyt(n)){const d=Hm(n);return d?el(d):_t}if(Qa(n))return VT(n,!0,0)||_t;if(Ju(n)){const d=Ir(n);return d?dr(d):_t}if(Mxe(n)){const d=Hm(n);return d?dr(d):_t}if(ta(n))return VT(n.parent,!0,0)||_t;if(xte(n)){const d=Hm(n);if(d){const _=el(d);return Kt(_)?dr(d):_}}return vP(n.parent)&&n.parent.keywordToken===n.kind?TWe(n.parent):mI(n)?Yge(!1):_t}function Rte(n){if(N.assert(n.kind===210||n.kind===209),n.parent.kind===250){const _=xB(n.parent);return uA(n,_||_t)}if(n.parent.kind===226){const _=Ru(n.parent.right);return uA(n,_||_t)}if(n.parent.kind===303){const _=aa(n.parent.parent,Oa),y=Rte(_)||_t,x=sR(_.properties,n.parent);return BWe(_,y,x)}const a=aa(n.parent,_d),c=Rte(a)||_t,d=Sy(65,c,Oe,n.parent)||_t;return GWe(a,c,a.elements.indexOf(n),d)}function byt(n){const a=Rte(aa(n.parent.parent,gC));return a&&ya(a,n.escapedText)}function r7e(n){return VC(n)&&(n=n.parent),Ed(Ru(n))}function i7e(n){const a=gf(n.parent);return sa(n)?dr(a):el(a)}function o7e(n){const a=n.name;switch(a.kind){case 80:return Xd(Sr(a));case 9:case 11:return Xd(a.text);case 167:const c=Rg(a);return xu(c,12288)?c:ke;default:return N.fail("Unsupported property name.")}}function Mbe(n){n=kd(n);const a=ua(gs(n)),c=Yo(n,0).length?Jn:Yo(n,1).length?yo:void 0;return c&&Ue(gs(c),d=>{a.has(d.escapedName)||a.set(d.escapedName,d)}),nE(a)}function Dte(n){return Yo(n,0).length!==0||Yo(n,1).length!==0}function a7e(n){const a=Eyt(n);return a?Pi(a,a7e):[n]}function Eyt(n){if(xl(n)&6)return Hi(Xi(n).containingType.types,a=>ya(a,n.escapedName));if(n.flags&33554432){const{links:{leftSpread:a,rightSpread:c,syntheticOrigin:d}}=n;return a?[a,c]:d?[d]:AA(Syt(n))}}function Syt(n){let a,c=n;for(;c=Xi(c).target;)a=c;return a}function Tyt(n){if(sl(n))return!1;const a=Do(n,Ve);if(!a)return!1;const c=a.parent;return c?!((Fr(c)||hc(c))&&c.name===a)&&d6(a)===Gt:!1}function Ayt(n){let a=vd(n.parent,n);if(!a||EC(a))return!0;const c=fy(a);a=Np(a);const d=Xi(a);return d.exportsSomeValue===void 0&&(d.exportsSomeValue=c?!!(a.flags&111551):Gc(kh(a),_)),d.exportsSomeValue;function _(y){return y=jl(y),y&&!!(Au(y)&111551)}}function Iyt(n){return SL(n.parent)&&n===n.parent.name}function xyt(n,a){var c;const d=Do(n,Ve);if(d){let _=d6(d,Iyt(d));if(_){if(_.flags&1048576){const x=rs(_.exportSymbol);if(!a&&x.flags&944&&!(x.flags&3))return;_=x}const y=Pd(_);if(y){if(y.flags&512&&((c=y.valueDeclaration)==null?void 0:c.kind)===312){const x=y.valueDeclaration,k=jn(d);return x!==k?void 0:x}return Gn(d.parent,x=>SL(x)&&Ir(x)===y)}}}}function Ryt(n){const a=Dae(n);if(a)return a;const c=Do(n,Ve);if(c){const d=Byt(c);if(PT(d,111551)&&!Ff(d,111551))return Fm(d)}}function Dyt(n){return n.valueDeclaration&&Qa(n.valueDeclaration)&&$x(n.valueDeclaration).parent.kind===299}function s7e(n){if(n.flags&418&&n.valueDeclaration&&!$i(n.valueDeclaration)){const a=Xi(n);if(a.isDeclarationWithCollidingName===void 0){const c=hh(n.valueDeclaration);if(uie(c)||Dyt(n)){const d=ei(n.valueDeclaration);if(bl(c.parent,n.escapedName,111551,void 0,void 0,!1))a.isDeclarationWithCollidingName=!0;else if(d.flags&16384){const _=d.flags&32768,y=Wy(c,!1),x=c.kind===241&&Wy(c.parent,!1);a.isDeclarationWithCollidingName=!gie(c)&&(!_||!y&&!x)}else a.isDeclarationWithCollidingName=!1}}return a.isDeclarationWithCollidingName}return!1}function Nyt(n){if(!sl(n)){const a=Do(n,Ve);if(a){const c=d6(a);if(c&&s7e(c))return c.valueDeclaration}}}function Cyt(n){const a=Do(n,Ju);if(a){const c=Ir(a);if(c)return s7e(c)}return!1}function l7e(n){switch(N.assert(It),n.kind){case 271:return Nte(Ir(n));case 273:case 274:case 276:case 281:const a=Ir(n);return!!a&&Nte(a,!0);case 278:const c=n.exportClause;return!!c&&(Th(c)||bt(c.elements,l7e));case 277:return n.expression&&n.expression.kind===80?Nte(Ir(n),!0):!0}return!1}function Pyt(n){const a=Do(n,tu);return a===void 0||a.parent.kind!==312||!hR(a)?!1:Nte(Ir(a))&&a.moduleReference&&!zl(a.moduleReference)}function Nte(n,a){if(!n)return!1;const c=vf(Fc(n));return c===ft?!a||!Ff(n):!!(Au(n,a,!0)&111551)&&(e1(V)||!u6(c))}function u6(n){return rbe(n)||!!n.constEnumOnlyModule}function Cte(n,a){if(N.assert(It),yb(n)){const c=Ir(n),d=c&&Xi(c);if(d!=null&&d.referenced)return!0;const _=Xi(c).aliasTarget;if(_&&pd(n)&32&&Au(_)&111551&&(e1(V)||!u6(_)))return!0}return a?!!Ho(n,c=>Cte(c,a)):!1}function c7e(n){if(Yf(n.body)){if(Fy(n)||Fv(n))return!1;const a=Ir(n),c=H1(a);return c.length>1||c.length===1&&c[0].declaration!==n}return!1}function u7e(n){return!!Y&&!N8(n)&&!r_(n)&&!!n.initializer&&!Zr(n,31)}function Myt(n){return Y&&N8(n)&&!n.initializer&&Zr(n,31)}function Lyt(n){const a=Do(n,Ac);if(!a)return!1;const c=Ir(a);return!c||!(c.flags&16)?!1:!!Gc(Cp(c),d=>d.flags&111551&&lW(d.valueDeclaration))}function kyt(n){const a=Do(n,Ac);if(!a)return et;const c=Ir(a);return c&&gs(dr(c))||et}function VN(n){var a;const c=n.id||0;return c<0||c>=D1.length?0:((a=D1[c])==null?void 0:a.flags)||0}function jN(n){return jFe(n.parent),ei(n).enumMemberValue}function d7e(n){switch(n.kind){case 306:case 211:case 212:return!0}return!1}function Lbe(n){if(n.kind===306)return jN(n);const a=ei(n).resolvedSymbol;if(a&&a.flags&8){const c=a.valueDeclaration;if(FE(c.parent))return jN(c)}}function kbe(n){return!!(n.flags&524288)&&Yo(n,0).length>0}function wyt(n,a){var c;const d=Do(n,Zd);if(!d||a&&(a=Do(a),!a))return 0;let _=!1;if(xd(d)){const re=Hs(Vp(d),111551,!0,!0,a);_=!!((c=re==null?void 0:re.declarations)!=null&&c.every(m0))}const y=Hs(d,111551,!0,!0,a),x=y&&y.flags&2097152?Fc(y):y;_||(_=!!(y&&Ff(y,111551)));const k=Hs(d,788968,!0,!0,a),z=k&&k.flags&2097152?Fc(k):k;if(y||_||(_=!!(k&&Ff(k,788968))),x&&x===z){const re=$ge(!1);if(re&&x===re)return 9;const be=dr(x);if(be&&is(be))return _?10:1}if(!z)return _?11:0;const H=el(z);return Kt(H)?_?11:0:H.flags&3?11:xu(H,245760)?2:xu(H,528)?6:xu(H,296)?3:xu(H,2112)?4:xu(H,402653316)?5:Ba(H)?7:xu(H,12288)?8:kbe(H)?10:qf(H)?7:11}function Oyt(n,a,c,d,_){const y=Do(n,Die);if(!y)return w.createToken(133);const x=Ir(y);let k=x&&!(x.flags&133120)?Q1(dr(x)):_t;return k.flags&8192&&k.symbol===x&&(c|=1048576),_&&(k=qb(k)),At.typeToTypeNode(k,a,c|1024,d)}function Wyt(n,a,c,d){const _=Do(n,ea);if(!_)return w.createToken(133);const y=fm(_);return At.typeToTypeNode(ps(y),a,c|1024,d)}function Fyt(n,a,c,d){const _=Do(n,yt);if(!_)return w.createToken(133);const y=Xp(r7e(_));return At.typeToTypeNode(y,a,c|1024,d)}function zyt(n){return Se.has(hl(n))}function d6(n,a){const c=ei(n).resolvedSymbol;if(c)return c;let d=n;if(a){const _=n.parent;Ju(_)&&n===_.name&&(d=Q(_))}return bl(d,n.escapedText,3257279,void 0,void 0,!0)}function Byt(n){const a=ei(n).resolvedSymbol;return a&&a!==ft?a:bl(n,n.escapedText,3257279,void 0,void 0,!0,void 0,void 0)}function Gyt(n){if(!sl(n)){const a=Do(n,Ve);if(a){const c=d6(a);if(c)return vf(c).valueDeclaration}}}function Vyt(n){if(!sl(n)){const a=Do(n,Ve);if(a){const c=d6(a);if(c)return nr(vf(c).declarations,d=>{switch(d.kind){case 260:case 169:case 208:case 172:case 303:case 304:case 306:case 210:case 262:case 218:case 219:case 263:case 231:case 266:case 174:case 177:case 178:case 267:return!0}return!1})}}}function jyt(n){return K4(n)||wi(n)&&MB(n)?X1(dr(Ir(n))):!1}function Uyt(n,a,c){const d=n.flags&1056?At.symbolToExpression(n.symbol,111551,a,void 0,c):n===Bn?w.createTrue():n===en&&w.createFalse();if(d)return d;const _=n.value;return typeof _=="object"?w.createBigIntLiteral(_):typeof _=="string"?w.createStringLiteral(_):_<0?w.createPrefixUnaryExpression(41,w.createNumericLiteral(-_)):w.createNumericLiteral(_)}function Hyt(n,a){const c=dr(Ir(n));return Uyt(c,n,a)}function p7e(n){return n?(J0(n),jn(n).localJsxFactory||nv):nv}function wbe(n){if(n){const a=jn(n);if(a){if(a.localJsxFragmentFactory)return a.localJsxFragmentFactory;const c=a.pragmas.get("jsxfrag"),d=To(c)?c[0]:c;if(d)return a.localJsxFragmentFactory=bI(d.arguments.factory,se),a.localJsxFragmentFactory}}if(V.jsxFragmentFactory)return bI(V.jsxFragmentFactory,se)}function qyt(){const n=e.getResolvedTypeReferenceDirectives();let a;return n&&(a=new Map,n.forEach(({resolvedTypeReferenceDirective:z},H,re)=>{if(!(z!=null&&z.resolvedFileName))return;const be=e.getSourceFile(z.resolvedFileName);be&&k(be,H,re)})),{getReferencedExportContainer:xyt,getReferencedImportDeclaration:Ryt,getReferencedDeclarationWithCollidingName:Nyt,isDeclarationWithCollidingName:Cyt,isValueAliasDeclaration:z=>{const H=Do(z);return H&&It?l7e(H):!0},hasGlobalName:zyt,isReferencedAliasDeclaration:(z,H)=>{const re=Do(z);return re&&It?Cte(re,H):!0},getNodeCheckFlags:z=>{const H=Do(z);return H?VN(H):0},isTopLevelValueImportEqualsWithEntityName:Pyt,isDeclarationVisible:d_,isImplementationOfOverload:c7e,isRequiredInitializedParameter:u7e,isOptionalUninitializedParameterProperty:Myt,isExpandoFunctionDeclaration:Lyt,getPropertiesOfContainerFunction:kyt,createTypeOfDeclaration:Oyt,createReturnTypeOfSignatureDeclaration:Wyt,createTypeOfExpression:Fyt,createLiteralConstValue:Hyt,isSymbolAccessible:ki,isEntityNameVisible:xb,getConstantValue:z=>{const H=Do(z,d7e);return H?Lbe(H):void 0},collectLinkedAliases:O2,getReferencedValueDeclaration:Gyt,getReferencedValueDeclarations:Vyt,getTypeReferenceSerializationKind:wyt,isOptionalParameter:N8,moduleExportsSomeValue:Ayt,isArgumentsLocalBinding:Tyt,getExternalModuleFileFromDeclaration:z=>{const H=Do(z,yie);return H&&Obe(H)},getTypeReferenceDirectivesForEntityName:_,getTypeReferenceDirectivesForSymbol:y,isLiteralConstDeclaration:jyt,isLateBound:z=>{const H=Do(z,Ju),re=H&&Ir(H);return!!(re&&xl(re)&4096)},getJsxFactoryEntity:p7e,getJsxFragmentFactoryEntity:wbe,getAllAccessorDeclarations(z){z=Do(z,T4);const H=z.kind===178?177:178,re=fl(Ir(z),H),be=re&&re.pos<z.pos?re:z,ge=re&&re.pos<z.pos?z:re,Ke=z.kind===178?z:re,gt=z.kind===177?z:re;return{firstAccessor:be,secondAccessor:ge,setAccessor:Ke,getAccessor:gt}},getSymbolOfExternalModuleSpecifier:z=>sv(z,z,void 0),isBindingCapturedByNode:(z,H)=>{const re=Do(z),be=Do(H);return!!re&&!!be&&(wi(be)||Qa(be))&&hft(re,be)},getDeclarationStatementsForSourceFile:(z,H,re,be)=>{const ge=Do(z);N.assert(ge&&ge.kind===312,"Non-sourcefile node passed into getDeclarationsForSourceFile");const Ke=Ir(z);return Ke?Ke.exports?At.symbolTableToDeclarationStatements(Ke.exports,z,H,re,be):[]:z.locals?At.symbolTableToDeclarationStatements(z.locals,z,H,re,be):[]},isImportRequiredByAugmentation:c,tryFindAmbientModule:z=>{const H=Do(z),re=H&&ls(H)?H.text:void 0;return re!==void 0?qZ(re,!0):void 0}};function c(z){const H=jn(z);if(!H.symbol)return!1;const re=Obe(z);if(!re||re===H)return!1;const be=kh(H.symbol);for(const ge of zo(be.values()))if(ge.mergeId){const Ke=rs(ge);if(Ke.declarations){for(const gt of Ke.declarations)if(jn(gt)===re)return!0}}return!1}function d(z){return z.parent&&z.parent.kind===233&&z.parent.parent&&z.parent.parent.kind===298}function _(z){if(!a)return;let H;z.parent.kind===167?H=1160127:(H=790504,(z.kind===80&&kS(z)||z.kind===211&&!d(z))&&(H=1160127));const re=Hs(z,H,!0);return re&&re!==ft?y(re,H):void 0}function y(z,H){if(!a||!x(z))return;let re;for(const be of z.declarations)if(be.symbol&&be.symbol.flags&H){const ge=jn(be),Ke=a.get(ge.path);if(Ke)(re||(re=[])).push(Ke);else return}return re}function x(z){if(!z.declarations)return!1;let H=z;for(;;){const re=Pd(H);if(re)H=re;else break}if(H.valueDeclaration&&H.valueDeclaration.kind===312&&H.flags&512)return!1;for(const re of z.declarations){const be=jn(re);if(a.has(be.path))return!0}return!1}function k(z,H,re){if(!a.has(z.path)){a.set(z.path,[H,re]);for(const{fileName:be}of z.referencedFiles){const ge=b7(be,z.fileName),Ke=e.getSourceFile(ge);Ke&&k(Ke,H,re||z.impliedNodeFormat)}}}}function Obe(n){const a=n.kind===267?ii(n.name,Ma):yR(n),c=sv(a,a,void 0);if(c)return fl(c,312)}function Jyt(){for(const a of e.getSourceFiles())sle(a,V);Ss=new Map;let n;for(const a of e.getSourceFiles())if(!a.redirectInfo){if(!zp(a)){const c=a.locals.get("globalThis");if(c!=null&&c.declarations)for(const d of c.declarations)ts.add(wr(d,f.Declaration_name_conflicts_with_built_in_global_identifier_0,"globalThis"));c_(Se,a.locals)}a.jsGlobalAugmentations&&c_(Se,a.jsGlobalAugmentations),a.patternAmbientModules&&a.patternAmbientModules.length&&(cm=So(cm,a.patternAmbientModules)),a.moduleAugmentations.length&&(n||(n=[])).push(a.moduleAugmentations),a.symbol&&a.symbol.globalExports&&a.symbol.globalExports.forEach((d,_)=>{Se.has(_)||Se.set(_,d)})}if(n)for(const a of n)for(const c of a)R_(c.parent)&&iN(c);if(P1(Se,yT,f.Declaration_name_conflicts_with_built_in_global_identifier_0),Xi(je).type=Lt,Xi(Gt).type=tc("IArguments",0,!0),Xi(ft).type=_t,Xi(at).type=zf(16,at),Qo=tc("Array",1,!0),Ce=tc("Object",0,!0),Wt=tc("Function",0,!0),Jn=fe&&tc("CallableFunction",0,!0)||Wt,yo=fe&&tc("NewableFunction",0,!0)||Wt,Zl=tc("String",0,!0),yc=tc("Number",0,!0),dl=tc("Boolean",0,!0),yl=tc("RegExp",0,!0),ec=Vu(j),Jc=Vu(ot),Jc===La&&(Jc=Ls(void 0,K,et,et,et)),na=u6e("ReadonlyArray",1)||Qo,ff=na?M8(na,[j]):ec,Vl=u6e("ThisType",1),n)for(const a of n)for(const c of a)R_(c.parent)||iN(c);Ss.forEach(({firstFile:a,secondFile:c,conflictingSymbols:d})=>{if(d.size<8)d.forEach(({isBlockScoped:_,firstFileLocations:y,secondFileLocations:x},k)=>{const z=_?f.Cannot_redeclare_block_scoped_variable_0:f.Duplicate_identifier_0;for(const H of y)rv(H,z,k,x);for(const H of x)rv(H,z,k,y)});else{const _=zo(d.keys()).join(", ");ts.add(wa(wr(a,f.Definitions_of_the_following_identifiers_conflict_with_those_in_another_file_Colon_0,_),wr(c,f.Conflicts_are_in_this_file))),ts.add(wa(wr(c,f.Definitions_of_the_following_identifiers_conflict_with_those_in_another_file_Colon_0,_),wr(a,f.Conflicts_are_in_this_file)))}}),Ss=void 0}function Nc(n,a){if((s&a)!==a&&V.importHelpers){const c=jn(n);if(wA(c,V)&&!(n.flags&33554432)){const d=Xyt(c,n);if(d!==ft){const _=a&~s;for(let y=1;y<=33554432;y<<=1)if(_&y)for(const x of Kyt(y)){if(o.has(x))continue;o.add(x);const k=jl(Jd(kh(d),hl(x),111551));k?y&524288?bt(H1(k),z=>Yp(z)>3)||Je(n,f.This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_one_in_0_Consider_upgrading_your_version_of_0,Ky,x,4):y&1048576?bt(H1(k),z=>Yp(z)>4)||Je(n,f.This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_one_in_0_Consider_upgrading_your_version_of_0,Ky,x,5):y&1024&&(bt(H1(k),z=>Yp(z)>2)||Je(n,f.This_syntax_requires_an_imported_helper_named_1_with_2_parameters_which_is_not_compatible_with_the_one_in_0_Consider_upgrading_your_version_of_0,Ky,x,3)):Je(n,f.This_syntax_requires_an_imported_helper_named_1_which_does_not_exist_in_0_Consider_upgrading_your_version_of_0,Ky,x)}}s|=a}}}function Kyt(n){switch(n){case 1:return["__extends"];case 2:return["__assign"];case 4:return["__rest"];case 8:return ne?["__decorate"]:["__esDecorate","__runInitializers"];case 16:return["__metadata"];case 32:return["__param"];case 64:return["__awaiter"];case 128:return["__generator"];case 256:return["__values"];case 512:return["__read"];case 1024:return["__spreadArray"];case 2048:return["__await"];case 4096:return["__asyncGenerator"];case 8192:return["__asyncDelegator"];case 16384:return["__asyncValues"];case 32768:return["__exportStar"];case 65536:return["__importStar"];case 131072:return["__importDefault"];case 262144:return["__makeTemplateObject"];case 524288:return["__classPrivateFieldGet"];case 1048576:return["__classPrivateFieldSet"];case 2097152:return["__classPrivateFieldIn"];case 4194304:return["__createBinding"];case 8388608:return["__setFunctionName"];case 16777216:return["__propKey"];case 33554432:return["__addDisposableResource","__disposeResources"];default:return N.fail("Unrecognized helper")}}function Xyt(n,a){return l||(l=K_(n,Ky,f.This_syntax_requires_an_imported_helper_but_module_0_cannot_be_found,a)||ft),l}function Ng(n){var a;const c=Qyt(n)||Yyt(n);if(c!==void 0)return c;if(Ao(n)&&JE(n))return hu(n,f.Neither_decorators_nor_modifiers_may_be_applied_to_this_parameters);const d=Ll(n)?n.declarationList.flags&7:0;let _,y,x,k,z,H=0,re=!1,be=!1;for(const ge of n.modifiers)if(Eu(ge)){if(a3(ne,n,n.parent,n.parent.parent)){if(ne&&(n.kind===177||n.kind===178)){const Ke=wS(n.parent.members,n);if(If(Ke.firstAccessor)&&n===Ke.secondAccessor)return hu(n,f.Decorators_cannot_be_applied_to_multiple_get_Slashset_accessors_of_the_same_name)}}else return n.kind===174&&!Yf(n.body)?hu(n,f.A_decorator_can_only_decorate_a_method_implementation_not_an_overload):hu(n,f.Decorators_are_not_valid_here);if(H&-34849)return En(ge,f.Decorators_are_not_valid_here);if(be&&H&98303){N.assertIsDefined(z);const Ke=jn(ge);return iS(Ke)?!1:(wa(Je(ge,f.Decorators_may_not_appear_after_export_or_export_default_if_they_also_appear_before_export),wr(z,f.Decorator_used_before_export_here)),!0)}H|=32768,H&98303?H&32&&(re=!0):be=!0,z??(z=ge)}else{if(ge.kind!==148){if(n.kind===171||n.kind===173)return En(ge,f._0_modifier_cannot_appear_on_a_type_member,fa(ge.kind));if(n.kind===181&&(ge.kind!==126||!ui(n.parent)))return En(ge,f._0_modifier_cannot_appear_on_an_index_signature,fa(ge.kind))}if(ge.kind!==103&&ge.kind!==147&&ge.kind!==87&&n.kind===168)return En(ge,f._0_modifier_cannot_appear_on_a_type_parameter,fa(ge.kind));switch(ge.kind){case 87:{if(n.kind!==266&&n.kind!==168)return En(n,f.A_class_member_cannot_have_the_0_keyword,fa(87));const Dt=sm(n.parent)&&y0(n.parent)||n.parent;if(n.kind===168&&!(Bs(Dt)||ui(Dt)||Eh(Dt)||HR(Dt)||sI(Dt)||Jk(Dt)||bh(Dt)))return En(ge,f._0_modifier_can_only_appear_on_a_type_parameter_of_a_function_method_or_class,fa(ge.kind));break}case 164:if(H&16)return En(ge,f._0_modifier_already_seen,"override");if(H&128)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"override","declare");if(H&8)return En(ge,f._0_modifier_must_precede_1_modifier,"override","readonly");if(H&512)return En(ge,f._0_modifier_must_precede_1_modifier,"override","accessor");if(H&1024)return En(ge,f._0_modifier_must_precede_1_modifier,"override","async");H|=16,k=ge;break;case 125:case 124:case 123:const Ke=GT(UA(ge.kind));if(H&7)return En(ge,f.Accessibility_modifier_already_seen);if(H&16)return En(ge,f._0_modifier_must_precede_1_modifier,Ke,"override");if(H&256)return En(ge,f._0_modifier_must_precede_1_modifier,Ke,"static");if(H&512)return En(ge,f._0_modifier_must_precede_1_modifier,Ke,"accessor");if(H&8)return En(ge,f._0_modifier_must_precede_1_modifier,Ke,"readonly");if(H&1024)return En(ge,f._0_modifier_must_precede_1_modifier,Ke,"async");if(n.parent.kind===268||n.parent.kind===312)return En(ge,f._0_modifier_cannot_appear_on_a_module_or_namespace_element,Ke);if(H&64)return ge.kind===123?En(ge,f._0_modifier_cannot_be_used_with_1_modifier,Ke,"abstract"):En(ge,f._0_modifier_must_precede_1_modifier,Ke,"abstract");if(cd(n))return En(ge,f.An_accessibility_modifier_cannot_be_used_with_a_private_identifier);H|=UA(ge.kind);break;case 126:if(H&256)return En(ge,f._0_modifier_already_seen,"static");if(H&8)return En(ge,f._0_modifier_must_precede_1_modifier,"static","readonly");if(H&1024)return En(ge,f._0_modifier_must_precede_1_modifier,"static","async");if(H&512)return En(ge,f._0_modifier_must_precede_1_modifier,"static","accessor");if(n.parent.kind===268||n.parent.kind===312)return En(ge,f._0_modifier_cannot_appear_on_a_module_or_namespace_element,"static");if(n.kind===169)return En(ge,f._0_modifier_cannot_appear_on_a_parameter,"static");if(H&64)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"static","abstract");if(H&16)return En(ge,f._0_modifier_must_precede_1_modifier,"static","override");H|=256,_=ge;break;case 129:if(H&512)return En(ge,f._0_modifier_already_seen,"accessor");if(H&8)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"accessor","readonly");if(H&128)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"accessor","declare");if(n.kind!==172)return En(ge,f.accessor_modifier_can_only_appear_on_a_property_declaration);H|=512;break;case 148:if(H&8)return En(ge,f._0_modifier_already_seen,"readonly");if(n.kind!==172&&n.kind!==171&&n.kind!==181&&n.kind!==169)return En(ge,f.readonly_modifier_can_only_appear_on_a_property_declaration_or_index_signature);if(H&512)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"readonly","accessor");H|=8;break;case 95:if(V.verbatimModuleSyntax&&!(n.flags&33554432)&&n.kind!==265&&n.kind!==264&&n.kind!==267&&n.parent.kind===312&&(G===1||jn(n).impliedNodeFormat===1))return En(ge,f.A_top_level_export_modifier_cannot_be_used_on_value_declarations_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled);if(H&32)return En(ge,f._0_modifier_already_seen,"export");if(H&128)return En(ge,f._0_modifier_must_precede_1_modifier,"export","declare");if(H&64)return En(ge,f._0_modifier_must_precede_1_modifier,"export","abstract");if(H&1024)return En(ge,f._0_modifier_must_precede_1_modifier,"export","async");if(ui(n.parent))return En(ge,f._0_modifier_cannot_appear_on_class_elements_of_this_kind,"export");if(n.kind===169)return En(ge,f._0_modifier_cannot_appear_on_a_parameter,"export");if(d===4)return En(ge,f._0_modifier_cannot_appear_on_a_using_declaration,"export");if(d===6)return En(ge,f._0_modifier_cannot_appear_on_an_await_using_declaration,"export");H|=32;break;case 90:const gt=n.parent.kind===312?n.parent:n.parent.parent;if(gt.kind===267&&!ku(gt))return En(ge,f.A_default_export_can_only_be_used_in_an_ECMAScript_style_module);if(d===4)return En(ge,f._0_modifier_cannot_appear_on_a_using_declaration,"default");if(d===6)return En(ge,f._0_modifier_cannot_appear_on_an_await_using_declaration,"default");if(H&32){if(re)return En(z,f.Decorators_are_not_valid_here)}else return En(ge,f._0_modifier_must_precede_1_modifier,"export","default");H|=2048;break;case 138:if(H&128)return En(ge,f._0_modifier_already_seen,"declare");if(H&1024)return En(ge,f._0_modifier_cannot_be_used_in_an_ambient_context,"async");if(H&16)return En(ge,f._0_modifier_cannot_be_used_in_an_ambient_context,"override");if(ui(n.parent)&&!Jo(n))return En(ge,f._0_modifier_cannot_appear_on_class_elements_of_this_kind,"declare");if(n.kind===169)return En(ge,f._0_modifier_cannot_appear_on_a_parameter,"declare");if(d===4)return En(ge,f._0_modifier_cannot_appear_on_a_using_declaration,"declare");if(d===6)return En(ge,f._0_modifier_cannot_appear_on_an_await_using_declaration,"declare");if(n.parent.flags&33554432&&n.parent.kind===268)return En(ge,f.A_declare_modifier_cannot_be_used_in_an_already_ambient_context);if(cd(n))return En(ge,f._0_modifier_cannot_be_used_with_a_private_identifier,"declare");if(H&512)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"declare","accessor");H|=128,y=ge;break;case 128:if(H&64)return En(ge,f._0_modifier_already_seen,"abstract");if(n.kind!==263&&n.kind!==185){if(n.kind!==174&&n.kind!==172&&n.kind!==177&&n.kind!==178)return En(ge,f.abstract_modifier_can_only_appear_on_a_class_method_or_property_declaration);if(!(n.parent.kind===263&&Zr(n.parent,64))){const Dt=n.kind===172?f.Abstract_properties_can_only_appear_within_an_abstract_class:f.Abstract_methods_can_only_appear_within_an_abstract_class;return En(ge,Dt)}if(H&256)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"static","abstract");if(H&2)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"private","abstract");if(H&1024&&x)return En(x,f._0_modifier_cannot_be_used_with_1_modifier,"async","abstract");if(H&16)return En(ge,f._0_modifier_must_precede_1_modifier,"abstract","override");if(H&512)return En(ge,f._0_modifier_must_precede_1_modifier,"abstract","accessor")}if(ld(n)&&n.name.kind===81)return En(ge,f._0_modifier_cannot_be_used_with_a_private_identifier,"abstract");H|=64;break;case 134:if(H&1024)return En(ge,f._0_modifier_already_seen,"async");if(H&128||n.parent.flags&33554432)return En(ge,f._0_modifier_cannot_be_used_in_an_ambient_context,"async");if(n.kind===169)return En(ge,f._0_modifier_cannot_appear_on_a_parameter,"async");if(H&64)return En(ge,f._0_modifier_cannot_be_used_with_1_modifier,"async","abstract");H|=1024,x=ge;break;case 103:case 147:{const Dt=ge.kind===103?8192:16384,an=ge.kind===103?"in":"out",cn=sm(n.parent)&&(y0(n.parent)||An((a=SR(n.parent))==null?void 0:a.tags,YS))||n.parent;if(n.kind!==168||cn&&!(hd(cn)||ui(cn)||Nm(cn)||YS(cn)))return En(ge,f._0_modifier_can_only_appear_on_a_type_parameter_of_a_class_interface_or_type_alias,an);if(H&Dt)return En(ge,f._0_modifier_already_seen,an);if(Dt&8192&&H&16384)return En(ge,f._0_modifier_must_precede_1_modifier,"in","out");H|=Dt;break}}}return n.kind===176?H&256?En(_,f._0_modifier_cannot_appear_on_a_constructor_declaration,"static"):H&16?En(k,f._0_modifier_cannot_appear_on_a_constructor_declaration,"override"):H&1024?En(x,f._0_modifier_cannot_appear_on_a_constructor_declaration,"async"):!1:(n.kind===272||n.kind===271)&&H&128?En(y,f.A_0_modifier_cannot_be_used_with_an_import_declaration,"declare"):n.kind===169&&H&31&&ta(n.name)?En(n,f.A_parameter_property_may_not_be_declared_using_a_binding_pattern):n.kind===169&&H&31&&n.dotDotDotToken?En(n,f.A_parameter_property_cannot_be_declared_using_a_rest_parameter):H&1024?ebt(n,x):!1}function Yyt(n){if(!n.modifiers)return!1;const a=$yt(n);return a&&hu(a,f.Modifiers_cannot_appear_here)}function Pte(n,a){const c=An(n.modifiers,xa);return c&&c.kind!==a?c:void 0}function $yt(n){switch(n.kind){case 177:case 178:case 176:case 172:case 171:case 174:case 173:case 181:case 267:case 272:case 271:case 278:case 277:case 218:case 219:case 169:case 168:return;case 175:case 303:case 304:case 270:case 282:return An(n.modifiers,xa);default:if(n.parent.kind===268||n.parent.kind===312)return;switch(n.kind){case 262:return Pte(n,134);case 263:case 185:return Pte(n,128);case 231:case 264:case 265:return An(n.modifiers,xa);case 243:return n.declarationList.flags&4?Pte(n,135):An(n.modifiers,xa);case 266:return Pte(n,87);default:N.assertNever(n)}}}function Qyt(n){const a=Zyt(n);return a&&hu(a,f.Decorators_are_not_valid_here)}function Zyt(n){return ZH(n)?An(n.modifiers,Eu):void 0}function ebt(n,a){switch(n.kind){case 174:case 262:case 218:case 219:return!1}return En(a,f._0_modifier_cannot_be_used_here,"async")}function xx(n,a=f.Trailing_comma_not_allowed){return n&&n.hasTrailingComma?oS(n[0],n.end-1,1,a):!1}function f7e(n,a){if(n&&n.length===0){const c=n.pos-1,d=ka(a.text,n.end)+1;return oS(a,c,d-c,f.Type_parameter_list_cannot_be_empty)}return!1}function tbt(n){let a=!1;const c=n.length;for(let d=0;d<c;d++){const _=n[d];if(_.dotDotDotToken){if(d!==c-1)return En(_.dotDotDotToken,f.A_rest_parameter_must_be_last_in_a_parameter_list);if(_.flags&33554432||xx(n,f.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma),_.questionToken)return En(_.questionToken,f.A_rest_parameter_cannot_be_optional);if(_.initializer)return En(_.name,f.A_rest_parameter_cannot_have_an_initializer)}else if(N8(_)){if(a=!0,_.questionToken&&_.initializer)return En(_.name,f.Parameter_cannot_have_question_mark_and_initializer)}else if(a&&!_.initializer)return En(_.name,f.A_required_parameter_cannot_follow_an_optional_parameter)}}function nbt(n){return nr(n,a=>!!a.initializer||ta(a.name)||Zh(a))}function rbt(n){if(se>=3){const a=n.body&&Xo(n.body)&&XH(n.body.statements);if(a){const c=nbt(n.parameters);if(Me(c)){Ue(c,_=>{wa(Je(_,f.This_parameter_is_not_allowed_with_use_strict_directive),wr(a,f.use_strict_directive_used_here))});const d=c.map((_,y)=>y===0?wr(_,f.Non_simple_parameter_declared_here):wr(_,f.and_here));return wa(Je(a,f.use_strict_directive_cannot_be_used_with_non_simple_parameter_list),...d),!0}}}return!1}function Mte(n){const a=jn(n);return Ng(n)||f7e(n.typeParameters,a)||tbt(n.parameters)||obt(n,a)||Bs(n)&&rbt(n)}function ibt(n){const a=jn(n);return ubt(n)||f7e(n.typeParameters,a)}function obt(n,a){if(!Gs(n))return!1;n.typeParameters&&!(Me(n.typeParameters)>1||n.typeParameters.hasTrailingComma||n.typeParameters[0].constraint)&&a&&Tc(a.fileName,[".mts",".cts"])&&En(n.typeParameters[0],f.This_syntax_is_reserved_in_files_with_the_mts_or_cts_extension_Add_a_trailing_comma_or_explicit_constraint);const{equalsGreaterThanToken:c}=n,d=bs(a,c.pos).line,_=bs(a,c.end).line;return d!==_&&En(c,f.Line_terminator_not_permitted_before_arrow)}function abt(n){const a=n.parameters[0];if(n.parameters.length!==1)return En(a?a.name:n,f.An_index_signature_must_have_exactly_one_parameter);if(xx(n.parameters,f.An_index_signature_cannot_have_a_trailing_comma),a.dotDotDotToken)return En(a.dotDotDotToken,f.An_index_signature_cannot_have_a_rest_parameter);if(C3(a))return En(a.name,f.An_index_signature_parameter_cannot_have_an_accessibility_modifier);if(a.questionToken)return En(a.questionToken,f.An_index_signature_parameter_cannot_have_a_question_mark);if(a.initializer)return En(a.name,f.An_index_signature_parameter_cannot_have_an_initializer);if(!a.type)return En(a.name,f.An_index_signature_parameter_must_have_a_type_annotation);const c=Ei(a.type);return Um(c,d=>!!(d.flags&8576))||CN(c)?En(a.name,f.An_index_signature_parameter_type_cannot_be_a_literal_type_or_generic_type_Consider_using_a_mapped_object_type_instead):up(c,YZ)?n.type?!1:En(n,f.An_index_signature_must_have_a_type_annotation):En(a.name,f.An_index_signature_parameter_type_must_be_string_number_symbol_or_a_template_literal_type)}function sbt(n){return Ng(n)||abt(n)}function lbt(n,a){if(a&&a.length===0){const c=jn(n),d=a.pos-1,_=ka(c.text,a.end)+1;return oS(c,d,_-d,f.Type_argument_list_cannot_be_empty)}return!1}function PB(n,a){return xx(a)||lbt(n,a)}function cbt(n){return n.questionDotToken||n.flags&64?En(n.template,f.Tagged_template_expressions_are_not_permitted_in_an_optional_chain):!1}function m7e(n){const a=n.types;if(xx(a))return!0;if(a&&a.length===0){const c=fa(n.token);return oS(n,a.pos,0,f._0_list_cannot_be_empty,c)}return bt(a,_7e)}function _7e(n){return Kv(n)&&gP(n.expression)&&n.typeArguments?En(n,f.This_use_of_import_is_invalid_import_calls_can_be_written_but_they_must_have_parentheses_and_cannot_have_type_arguments):PB(n,n.typeArguments)}function ubt(n){let a=!1,c=!1;if(!Ng(n)&&n.heritageClauses)for(const d of n.heritageClauses){if(d.token===96){if(a)return hu(d,f.extends_clause_already_seen);if(c)return hu(d,f.extends_clause_must_precede_implements_clause);if(d.types.length>1)return hu(d.types[1],f.Classes_can_only_extend_a_single_class);a=!0}else{if(N.assert(d.token===119),c)return hu(d,f.implements_clause_already_seen);c=!0}m7e(d)}}function dbt(n){let a=!1;if(n.heritageClauses)for(const c of n.heritageClauses){if(c.token===96){if(a)return hu(c,f.extends_clause_already_seen);a=!0}else return N.assert(c.token===119),hu(c,f.Interface_declaration_cannot_have_implements_clause);m7e(c)}return!1}function Lte(n){if(n.kind!==167)return!1;const a=n;return a.expression.kind===226&&a.expression.operatorToken.kind===28?En(a.expression,f.A_comma_expression_is_not_allowed_in_a_computed_property_name):!1}function Wbe(n){if(n.asteriskToken){if(N.assert(n.kind===262||n.kind===218||n.kind===174),n.flags&33554432)return En(n.asteriskToken,f.Generators_are_not_allowed_in_an_ambient_context);if(!n.body)return En(n.asteriskToken,f.An_overload_signature_cannot_be_declared_as_a_generator)}}function Fbe(n,a){return!!n&&En(n,a)}function h7e(n,a){return!!n&&En(n,a)}function pbt(n,a){const c=new Map;for(const d of n.properties){if(d.kind===305){if(a){const x=hs(d.expression);if(_d(x)||Oa(x))return En(d.expression,f.A_rest_element_cannot_contain_a_binding_pattern)}continue}const _=d.name;if(_.kind===167&&Lte(_),d.kind===304&&!a&&d.objectAssignmentInitializer&&En(d.equalsToken,f.Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_part_of_a_destructuring_pattern),_.kind===81&&En(_,f.Private_identifiers_are_not_allowed_outside_class_bodies),Cm(d)&&d.modifiers)for(const x of d.modifiers)xa(x)&&(x.kind!==134||d.kind!==174)&&En(x,f._0_modifier_cannot_be_used_here,fc(x));else if(dse(d)&&d.modifiers)for(const x of d.modifiers)xa(x)&&En(x,f._0_modifier_cannot_be_used_here,fc(x));let y;switch(d.kind){case 304:case 303:h7e(d.exclamationToken,f.A_definite_assignment_assertion_is_not_permitted_in_this_context),Fbe(d.questionToken,f.An_object_member_cannot_be_declared_optional),_.kind===9&&Gbe(_),y=4;break;case 174:y=8;break;case 177:y=1;break;case 178:y=2;break;default:N.assertNever(d,"Unexpected syntax kind:"+d.kind)}if(!a){const x=Vbe(_);if(x===void 0)continue;const k=c.get(x);if(!k)c.set(x,y);else if(y&8&&k&8)En(_,f.Duplicate_identifier_0,fc(_));else if(y&4&&k&4)En(_,f.An_object_literal_cannot_have_multiple_properties_with_the_same_name,fc(_));else if(y&3&&k&3)if(k!==3&&y!==k)c.set(x,y|k);else return En(_,f.An_object_literal_cannot_have_multiple_get_Slashset_accessors_with_the_same_name);else return En(_,f.An_object_literal_cannot_have_property_and_accessor_with_the_same_name)}}}function fbt(n){mbt(n.tagName),PB(n,n.typeArguments);const a=new Map;for(const c of n.attributes.properties){if(c.kind===293)continue;const{name:d,initializer:_}=c,y=sP(d);if(!a.get(y))a.set(y,!0);else return En(d,f.JSX_elements_cannot_have_multiple_attributes_with_the_same_name);if(_&&_.kind===294&&!_.expression)return En(_,f.JSX_attributes_must_only_be_assigned_a_non_empty_expression)}}function mbt(n){if(Fr(n)&&t_(n.expression))return En(n.expression,f.JSX_property_access_expressions_cannot_include_JSX_namespace_names);if(t_(n)&&q3(V)&&!DR(n.namespace.escapedText))return En(n,f.React_components_cannot_include_JSX_namespace_names)}function _bt(n){if(n.expression&&RP(n.expression))return En(n.expression,f.JSX_expressions_may_not_use_the_comma_operator_Did_you_mean_to_write_an_array)}function g7e(n){if(Tv(n))return!0;if(n.kind===250&&n.awaitModifier&&!(n.flags&65536)){const a=jn(n);if(r3(n)){if(!iS(a))switch(wA(a,V)||ts.add(wr(n.awaitModifier,f.for_await_loops_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module)),G){case 100:case 199:if(a.impliedNodeFormat===1){ts.add(wr(n.awaitModifier,f.The_current_file_is_a_CommonJS_module_and_cannot_use_await_at_the_top_level));break}case 7:case 99:case 4:if(se>=4)break;default:ts.add(wr(n.awaitModifier,f.Top_level_for_await_loops_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher));break}}else if(!iS(a)){const c=wr(n.awaitModifier,f.for_await_loops_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules),d=Gp(n);if(d&&d.kind!==176){N.assert((Vc(d)&2)===0,"Enclosing function should never be an async function.");const _=wr(d,f.Did_you_mean_to_mark_this_function_as_async);wa(c,_)}return ts.add(c),!0}return!1}if(Qk(n)&&!(n.flags&65536)&&Ve(n.initializer)&&n.initializer.escapedText==="async")return En(n.initializer,f.The_left_hand_side_of_a_for_of_statement_may_not_be_async),!1;if(n.initializer.kind===261){const a=n.initializer;if(!Bbe(a)){const c=a.declarations;if(!c.length)return!1;if(c.length>1){const _=n.kind===249?f.Only_a_single_variable_declaration_is_allowed_in_a_for_in_statement:f.Only_a_single_variable_declaration_is_allowed_in_a_for_of_statement;return hu(a.declarations[1],_)}const d=c[0];if(d.initializer){const _=n.kind===249?f.The_variable_declaration_of_a_for_in_statement_cannot_have_an_initializer:f.The_variable_declaration_of_a_for_of_statement_cannot_have_an_initializer;return En(d.name,_)}if(d.type){const _=n.kind===249?f.The_left_hand_side_of_a_for_in_statement_cannot_use_a_type_annotation:f.The_left_hand_side_of_a_for_of_statement_cannot_use_a_type_annotation;return En(d,_)}}}return!1}function hbt(n){if(!(n.flags&33554432)&&n.parent.kind!==187&&n.parent.kind!==264){if(se<1)return En(n.name,f.Accessors_are_only_available_when_targeting_ECMAScript_5_and_higher);if(se<2&&Ji(n.name))return En(n.name,f.Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher);if(n.body===void 0&&!Zr(n,64))return oS(n,n.end-1,1,f._0_expected,"{")}if(n.body){if(Zr(n,64))return En(n,f.An_abstract_accessor_cannot_have_an_implementation);if(n.parent.kind===187||n.parent.kind===264)return En(n.body,f.An_implementation_cannot_be_declared_in_ambient_contexts)}if(n.typeParameters)return En(n.name,f.An_accessor_cannot_have_type_parameters);if(!gbt(n))return En(n.name,n.kind===177?f.A_get_accessor_cannot_have_parameters:f.A_set_accessor_must_have_exactly_one_parameter);if(n.kind===178){if(n.type)return En(n.name,f.A_set_accessor_cannot_have_a_return_type_annotation);const a=N.checkDefined(FC(n),"Return value does not match parameter count assertion.");if(a.dotDotDotToken)return En(a.dotDotDotToken,f.A_set_accessor_cannot_have_rest_parameter);if(a.questionToken)return En(a.questionToken,f.A_set_accessor_cannot_have_an_optional_parameter);if(a.initializer)return En(n.name,f.A_set_accessor_parameter_cannot_have_an_initializer)}return!1}function gbt(n){return zbe(n)||n.parameters.length===(n.kind===177?0:1)}function zbe(n){if(n.parameters.length===(n.kind===177?1:2))return qE(n)}function vbt(n){if(n.operator===158){if(n.type.kind!==155)return En(n.type,f._0_expected,fa(155));let a=nk(n.parent);if(lr(a)&&d1(a)){const c=CS(a);c&&(a=zA(c)||c)}switch(a.kind){case 260:const c=a;if(c.name.kind!==80)return En(n,f.unique_symbol_types_may_not_be_used_on_a_variable_declaration_with_a_binding_name);if(!TC(c))return En(n,f.unique_symbol_types_are_only_allowed_on_variables_in_a_variable_statement);if(!(c.parent.flags&2))return En(a.name,f.A_variable_whose_type_is_a_unique_symbol_type_must_be_const);break;case 172:if(!sa(a)||!zC(a))return En(a.name,f.A_property_of_a_class_whose_type_is_a_unique_symbol_type_must_be_both_static_and_readonly);break;case 171:if(!Zr(a,8))return En(a.name,f.A_property_of_an_interface_or_type_literal_whose_type_is_a_unique_symbol_type_must_be_readonly);break;default:return En(n,f.unique_symbol_types_are_not_allowed_here)}}else if(n.operator===148&&n.type.kind!==188&&n.type.kind!==189)return hu(n,f.readonly_type_modifier_is_only_permitted_on_array_and_tuple_literal_types,fa(155))}function _M(n,a){if(Olt(n))return En(n,a)}function v7e(n){if(Mte(n))return!0;if(n.kind===174){if(n.parent.kind===210){if(n.modifiers&&!(n.modifiers.length===1&&Ha(n.modifiers).kind===134))return hu(n,f.Modifiers_cannot_appear_here);if(Fbe(n.questionToken,f.An_object_member_cannot_be_declared_optional))return!0;if(h7e(n.exclamationToken,f.A_definite_assignment_assertion_is_not_permitted_in_this_context))return!0;if(n.body===void 0)return oS(n,n.end-1,1,f._0_expected,"{")}if(Wbe(n))return!0}if(ui(n.parent)){if(se<2&&Ji(n.name))return En(n.name,f.Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher);if(n.flags&33554432)return _M(n.name,f.A_computed_property_name_in_an_ambient_context_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type);if(n.kind===174&&!n.body)return _M(n.name,f.A_computed_property_name_in_a_method_overload_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type)}else{if(n.parent.kind===264)return _M(n.name,f.A_computed_property_name_in_an_interface_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type);if(n.parent.kind===187)return _M(n.name,f.A_computed_property_name_in_a_type_literal_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type)}}function ybt(n){let a=n;for(;a;){if(tR(a))return En(n,f.Jump_target_cannot_cross_function_boundary);switch(a.kind){case 256:if(n.label&&a.label.escapedText===n.label.escapedText)return n.kind===251&&!Wy(a.statement,!0)?En(n,f.A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement):!1;break;case 255:if(n.kind===252&&!n.label)return!1;break;default:if(Wy(a,!1)&&!n.label)return!1;break}a=a.parent}if(n.label){const c=n.kind===252?f.A_break_statement_can_only_jump_to_a_label_of_an_enclosing_statement:f.A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement;return En(n,c)}else{const c=n.kind===252?f.A_break_statement_can_only_be_used_within_an_enclosing_iteration_or_switch_statement:f.A_continue_statement_can_only_be_used_within_an_enclosing_iteration_statement;return En(n,c)}}function bbt(n){if(n.dotDotDotToken){const a=n.parent.elements;if(n!==Ya(a))return En(n,f.A_rest_element_must_be_last_in_a_destructuring_pattern);if(xx(a,f.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma),n.propertyName)return En(n.name,f.A_rest_element_cannot_have_a_property_name)}if(n.dotDotDotToken&&n.initializer)return oS(n,n.initializer.pos-1,1,f.A_rest_element_cannot_have_an_initializer)}function y7e(n){return nf(n)||n.kind===224&&n.operator===41&&n.operand.kind===9}function Ebt(n){return n.kind===10||n.kind===224&&n.operator===41&&n.operand.kind===10}function Sbt(n){if((Fr(n)||Qs(n)&&y7e(n.argumentExpression))&&Gl(n.expression))return!!(nc(n).flags&1056)}function b7e(n){const a=n.initializer;if(a){const c=!(y7e(a)||Sbt(a)||a.kind===112||a.kind===97||Ebt(a));if((K4(n)||wi(n)&&MB(n))&&!n.type){if(c)return En(a,f.A_const_initializer_in_an_ambient_context_must_be_a_string_or_numeric_literal_or_literal_enum_reference)}else return En(a,f.Initializers_are_not_allowed_in_ambient_contexts)}}function Tbt(n){const a=aS(n),c=a&7;if(ta(n.name))switch(c){case 6:return En(n,f._0_declarations_may_not_have_binding_patterns,"await using");case 4:return En(n,f._0_declarations_may_not_have_binding_patterns,"using")}if(n.parent.parent.kind!==249&&n.parent.parent.kind!==250){if(a&33554432)b7e(n);else if(!n.initializer){if(ta(n.name)&&!ta(n.parent))return En(n,f.A_destructuring_declaration_must_have_an_initializer);switch(c){case 6:return En(n,f._0_declarations_must_be_initialized,"await using");case 4:return En(n,f._0_declarations_must_be_initialized,"using");case 2:return En(n,f._0_declarations_must_be_initialized,"const")}}}if(n.exclamationToken&&(n.parent.parent.kind!==243||!n.type||n.initializer||a&33554432)){const d=n.initializer?f.Declarations_with_initializers_cannot_also_have_definite_assignment_assertions:n.type?f.A_definite_assignment_assertion_is_not_permitted_in_this_context:f.Declarations_with_definite_assignment_assertions_must_also_have_type_annotations;return En(n.exclamationToken,d)}return(G<5||jn(n).impliedNodeFormat===1)&&G!==4&&!(n.parent.parent.flags&33554432)&&Zr(n.parent.parent,32)&&E7e(n.name),!!c&&S7e(n.name)}function E7e(n){if(n.kind===80){if(Sr(n)==="__esModule")return xbt("noEmit",n,f.Identifier_expected_esModule_is_reserved_as_an_exported_marker_when_transforming_ECMAScript_modules)}else{const a=n.elements;for(const c of a)if(!jc(c))return E7e(c.name)}return!1}function S7e(n){if(n.kind===80){if(n.escapedText==="let")return En(n,f.let_is_not_allowed_to_be_used_as_a_name_in_let_or_const_declarations)}else{const a=n.elements;for(const c of a)jc(c)||S7e(c.name)}return!1}function Bbe(n){const a=n.declarations;if(xx(n.declarations))return!0;if(!n.declarations.length)return oS(n,a.pos,a.end-a.pos,f.Variable_declaration_list_cannot_be_empty);const c=n.flags&7;return(c===4||c===6)&&aF(n.parent)?En(n,c===4?f.The_left_hand_side_of_a_for_in_statement_cannot_be_a_using_declaration:f.The_left_hand_side_of_a_for_in_statement_cannot_be_an_await_using_declaration):c===6?zWe(n):!1}function T7e(n){switch(n.kind){case 245:case 246:case 247:case 254:case 248:case 249:case 250:return!1;case 256:return T7e(n.parent)}return!0}function Abt(n){if(!T7e(n.parent)){const a=aS(n.declarationList)&7;if(a){const c=a===1?"let":a===2?"const":a===4?"using":a===6?"await using":N.fail("Unknown BlockScope flag");return En(n,f._0_declarations_can_only_be_declared_inside_a_block,c)}}}function Ibt(n){const a=n.name.escapedText;switch(n.keywordToken){case 105:if(a!=="target")return En(n.name,f._0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2,Vi(n.name.escapedText),fa(n.keywordToken),"target");break;case 102:if(a!=="meta")return En(n.name,f._0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2,Vi(n.name.escapedText),fa(n.keywordToken),"meta");break}}function iS(n){return n.parseDiagnostics.length>0}function hu(n,a,...c){const d=jn(n);if(!iS(d)){const _=gh(d,n.pos);return ts.add(Qc(d,_.start,_.length,a,...c)),!0}return!1}function oS(n,a,c,d,..._){const y=jn(n);return iS(y)?!1:(ts.add(Qc(y,a,c,d,..._)),!0)}function xbt(n,a,c,...d){const _=jn(a);return iS(_)?!1:(a_(n,a,c,...d),!0)}function En(n,a,...c){const d=jn(n);return iS(d)?!1:(ts.add(wr(n,a,...c)),!0)}function Rbt(n){const a=lr(n)?N3(n):void 0,c=n.typeParameters||a&&Xc(a);if(c){const d=c.pos===c.end?c.pos:ka(jn(n).text,c.pos);return oS(n,d,c.end-d,f.Type_parameters_cannot_appear_on_a_constructor_declaration)}}function Dbt(n){const a=n.type||nm(n);if(a)return En(a,f.Type_annotation_cannot_appear_on_a_constructor_declaration)}function Nbt(n){if(Za(n.name)&&mr(n.name.expression)&&n.name.expression.operatorToken.kind===103)return En(n.parent.members[0],f.A_mapped_type_may_not_declare_properties_or_methods);if(ui(n.parent)){if(Ma(n.name)&&n.name.text==="constructor")return En(n.name,f.Classes_may_not_have_a_field_named_constructor);if(_M(n.name,f.A_computed_property_name_in_a_class_property_declaration_must_have_a_simple_literal_type_or_a_unique_symbol_type))return!0;if(se<2&&Ji(n.name))return En(n.name,f.Private_identifiers_are_only_available_when_targeting_ECMAScript_2015_and_higher);if(se<2&&Wd(n))return En(n.name,f.Properties_with_the_accessor_modifier_are_only_available_when_targeting_ECMAScript_2015_and_higher);if(Wd(n)&&Fbe(n.questionToken,f.An_accessor_property_cannot_be_declared_optional))return!0}else if(n.parent.kind===264){if(_M(n.name,f.A_computed_property_name_in_an_interface_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type))return!0;if(N.assertNode(n,yp),n.initializer)return En(n.initializer,f.An_interface_property_cannot_have_an_initializer)}else if(Ep(n.parent)){if(_M(n.name,f.A_computed_property_name_in_a_type_literal_must_refer_to_an_expression_whose_type_is_a_literal_type_or_a_unique_symbol_type))return!0;if(N.assertNode(n,yp),n.initializer)return En(n.initializer,f.A_type_literal_property_cannot_have_an_initializer)}if(n.flags&33554432&&b7e(n),Jo(n)&&n.exclamationToken&&(!ui(n.parent)||!n.type||n.initializer||n.flags&33554432||sa(n)||XE(n))){const a=n.initializer?f.Declarations_with_initializers_cannot_also_have_definite_assignment_assertions:n.type?f.A_definite_assignment_assertion_is_not_permitted_in_this_context:f.Declarations_with_definite_assignment_assertions_must_also_have_type_annotations;return En(n.exclamationToken,a)}}function Cbt(n){return n.kind===264||n.kind===265||n.kind===272||n.kind===271||n.kind===278||n.kind===277||n.kind===270||Zr(n,2208)?!1:hu(n,f.Top_level_declarations_in_d_ts_files_must_start_with_either_a_declare_or_export_modifier)}function Pbt(n){for(const a of n.statements)if((Ju(a)||a.kind===243)&&Cbt(a))return!0;return!1}function Mbt(n){return!!(n.flags&33554432)&&Pbt(n)}function Tv(n){if(n.flags&33554432){if(!ei(n).hasReportedStatementInAmbientContext&&(ea(n.parent)||Oy(n.parent)))return ei(n).hasReportedStatementInAmbientContext=hu(n,f.An_implementation_cannot_be_declared_in_ambient_contexts);if(n.parent.kind===241||n.parent.kind===268||n.parent.kind===312){const c=ei(n.parent);if(!c.hasReportedStatementInAmbientContext)return c.hasReportedStatementInAmbientContext=hu(n,f.Statements_are_not_allowed_in_ambient_contexts)}}return!1}function Gbe(n){const a=fc(n).includes("."),c=n.numericLiteralFlags&16;a||c||+n.text<=2**53-1||s_(!1,wr(n,f.Numeric_literals_with_absolute_values_equal_to_2_53_or_greater_are_too_large_to_be_represented_accurately_as_integers))}function Lbt(n){return!!(!(Zy(n.parent)||tb(n.parent)&&Zy(n.parent.parent))&&se<7&&En(n,f.BigInt_literals_are_not_available_when_targeting_lower_than_ES2020))}function kbt(n,a,...c){const d=jn(n);if(!iS(d)){const _=gh(d,n.pos);return ts.add(Qc(d,Kl(_),0,a,...c)),!0}return!1}function wbt(){return Wc||(Wc=[],Se.forEach((n,a)=>{Yq.test(a)&&Wc.push(n)})),Wc}function Obt(n){var a;return n.isTypeOnly&&n.name&&n.namedBindings?En(n,f.A_type_only_import_can_specify_a_default_import_or_named_bindings_but_not_both):n.isTypeOnly&&((a=n.namedBindings)==null?void 0:a.kind)===275?A7e(n.namedBindings):!1}function A7e(n){return!!Ue(n.elements,a=>{if(a.isTypeOnly)return hu(a,a.kind===276?f.The_type_modifier_cannot_be_used_on_a_named_import_when_import_type_is_used_on_its_import_statement:f.The_type_modifier_cannot_be_used_on_a_named_export_when_export_type_is_used_on_its_export_statement)})}function Wbt(n){if(V.verbatimModuleSyntax&&G===1)return En(n,f.ESM_syntax_is_not_allowed_in_a_CommonJS_module_when_verbatimModuleSyntax_is_enabled);if(G===5)return En(n,f.Dynamic_imports_are_only_supported_when_the_module_flag_is_set_to_es2020_es2022_esnext_commonjs_amd_system_umd_node16_or_nodenext);if(n.typeArguments)return En(n,f.This_use_of_import_is_invalid_import_calls_can_be_written_but_they_must_have_parentheses_and_cannot_have_type_arguments);const a=n.arguments;if(G!==99&&G!==199&&G!==100&&(xx(a),a.length>1)){const d=a[1];return En(d,f.Dynamic_imports_only_support_a_second_argument_when_the_module_option_is_set_to_esnext_node16_or_nodenext)}if(a.length===0||a.length>2)return En(n,f.Dynamic_imports_can_only_accept_a_module_specifier_and_an_optional_set_of_attributes_as_arguments);const c=An(a,e_);return c?En(c,f.Argument_of_dynamic_import_cannot_be_spread_element):!1}function Fbt(n,a){const c=Wr(n);if(c&20&&a.flags&1048576)return An(a.types,d=>{if(d.flags&524288){const _=c&Wr(d);if(_&4)return n.target===d.target;if(_&16)return!!n.aliasSymbol&&n.aliasSymbol===d.aliasSymbol}return!1})}function zbt(n,a){if(Wr(n)&128&&Um(a,by))return An(a.types,c=>!by(c))}function Bbt(n,a){let c=0;if(Yo(n,c).length>0||(c=1,Yo(n,c).length>0))return An(a.types,_=>Yo(_,c).length>0)}function Gbt(n,a){let c;if(!(n.flags&406978556)){let d=0;for(const _ of a.types)if(!(_.flags&406978556)){const y=ba([Q_(n),Q_(_)]);if(y.flags&4194304)return _;if(v_(y)||y.flags&1048576){const x=y.flags&1048576?au(y.types,v_):1;x>=d&&(c=_,d=x)}}}return c}function Vbt(n){if(Cl(n,67108864)){const a=dc(n,c=>!(c.flags&402784252));if(!(a.flags&131072))return a}return n}function I7e(n,a,c){if(a.flags&1048576&&n.flags&2621440){const d=W4e(a,n);if(d)return d;const _=gs(n);if(_){const y=O4e(_,a);if(y){const x=Nve(a,wt(y,k=>[()=>dr(k),k.escapedName]),c);if(x!==a)return x}}}}function Vbe(n){const a=PS(n);return a||(Za(n)?eye(Ru(n.expression)):void 0)}function kte(n){return Xn===n||(Xn=n,hn=c0(n)),hn}function aS(n){return rn===n||(rn=n,Pn=Ov(n)),Pn}function MB(n){const a=aS(n)&7;return a===2||a===4||a===6}}function Oje(e){return!Oy(e)}function Pxe(e){return e.kind!==262&&e.kind!==174||!!e.body}function Mxe(e){switch(e.parent.kind){case 276:case 281:return Ve(e);default:return Bg(e)}}function Lxe(e){switch(e){case 0:return"yieldType";case 1:return"returnType";case 2:return"nextType"}}function Yu(e){return!!(e.flags&1)}function Xq(e){return!!(e.flags&2)}function Wje(e){return{getCommonSourceDirectory:e.getCommonSourceDirectory?()=>e.getCommonSourceDirectory():()=>"",getCurrentDirectory:()=>e.getCurrentDirectory(),getSymlinkCache:oa(e,e.getSymlinkCache),getPackageJsonInfoCache:()=>{var t;return(t=e.getPackageJsonInfoCache)==null?void 0:t.call(e)},useCaseSensitiveFileNames:oa(e,e.useCaseSensitiveFileNames),redirectTargetsMap:e.redirectTargetsMap,getProjectReferenceRedirect:t=>e.getProjectReferenceRedirect(t),isSourceOfProjectReferenceRedirect:t=>e.isSourceOfProjectReferenceRedirect(t),fileExists:t=>e.fileExists(t),getFileIncludeReasons:()=>e.getFileIncludeReasons(),readFile:e.readFile?t=>e.readFile(t):void 0}}var Yq,KF,gle,vle,yle,ble,XF,$q,YF,$F,kxe,QF,Ele,sf,Qq,Fje=T({"src/compiler/checker.ts"(){ra(),_le(),fS(),Yq=/^".+"$/,KF="(anonymous)",gle=1,vle=1,yle=1,ble=1,XF=(e=>(e[e.None=0]="None",e[e.TypeofEQString=1]="TypeofEQString",e[e.TypeofEQNumber=2]="TypeofEQNumber",e[e.TypeofEQBigInt=4]="TypeofEQBigInt",e[e.TypeofEQBoolean=8]="TypeofEQBoolean",e[e.TypeofEQSymbol=16]="TypeofEQSymbol",e[e.TypeofEQObject=32]="TypeofEQObject",e[e.TypeofEQFunction=64]="TypeofEQFunction",e[e.TypeofEQHostObject=128]="TypeofEQHostObject",e[e.TypeofNEString=256]="TypeofNEString",e[e.TypeofNENumber=512]="TypeofNENumber",e[e.TypeofNEBigInt=1024]="TypeofNEBigInt",e[e.TypeofNEBoolean=2048]="TypeofNEBoolean",e[e.TypeofNESymbol=4096]="TypeofNESymbol",e[e.TypeofNEObject=8192]="TypeofNEObject",e[e.TypeofNEFunction=16384]="TypeofNEFunction",e[e.TypeofNEHostObject=32768]="TypeofNEHostObject",e[e.EQUndefined=65536]="EQUndefined",e[e.EQNull=131072]="EQNull",e[e.EQUndefinedOrNull=262144]="EQUndefinedOrNull",e[e.NEUndefined=524288]="NEUndefined",e[e.NENull=1048576]="NENull",e[e.NEUndefinedOrNull=2097152]="NEUndefinedOrNull",e[e.Truthy=4194304]="Truthy",e[e.Falsy=8388608]="Falsy",e[e.IsUndefined=16777216]="IsUndefined",e[e.IsNull=33554432]="IsNull",e[e.IsUndefinedOrNull=50331648]="IsUndefinedOrNull",e[e.All=134217727]="All",e[e.BaseStringStrictFacts=3735041]="BaseStringStrictFacts",e[e.BaseStringFacts=12582401]="BaseStringFacts",e[e.StringStrictFacts=16317953]="StringStrictFacts",e[e.StringFacts=16776705]="StringFacts",e[e.EmptyStringStrictFacts=12123649]="EmptyStringStrictFacts",e[e.EmptyStringFacts=12582401]="EmptyStringFacts",e[e.NonEmptyStringStrictFacts=7929345]="NonEmptyStringStrictFacts",e[e.NonEmptyStringFacts=16776705]="NonEmptyStringFacts",e[e.BaseNumberStrictFacts=3734786]="BaseNumberStrictFacts",e[e.BaseNumberFacts=12582146]="BaseNumberFacts",e[e.NumberStrictFacts=16317698]="NumberStrictFacts",e[e.NumberFacts=16776450]="NumberFacts",e[e.ZeroNumberStrictFacts=12123394]="ZeroNumberStrictFacts",e[e.ZeroNumberFacts=12582146]="ZeroNumberFacts",e[e.NonZeroNumberStrictFacts=7929090]="NonZeroNumberStrictFacts",e[e.NonZeroNumberFacts=16776450]="NonZeroNumberFacts",e[e.BaseBigIntStrictFacts=3734276]="BaseBigIntStrictFacts",e[e.BaseBigIntFacts=12581636]="BaseBigIntFacts",e[e.BigIntStrictFacts=16317188]="BigIntStrictFacts",e[e.BigIntFacts=16775940]="BigIntFacts",e[e.ZeroBigIntStrictFacts=12122884]="ZeroBigIntStrictFacts",e[e.ZeroBigIntFacts=12581636]="ZeroBigIntFacts",e[e.NonZeroBigIntStrictFacts=7928580]="NonZeroBigIntStrictFacts",e[e.NonZeroBigIntFacts=16775940]="NonZeroBigIntFacts",e[e.BaseBooleanStrictFacts=3733256]="BaseBooleanStrictFacts",e[e.BaseBooleanFacts=12580616]="BaseBooleanFacts",e[e.BooleanStrictFacts=16316168]="BooleanStrictFacts",e[e.BooleanFacts=16774920]="BooleanFacts",e[e.FalseStrictFacts=12121864]="FalseStrictFacts",e[e.FalseFacts=12580616]="FalseFacts",e[e.TrueStrictFacts=7927560]="TrueStrictFacts",e[e.TrueFacts=16774920]="TrueFacts",e[e.SymbolStrictFacts=7925520]="SymbolStrictFacts",e[e.SymbolFacts=16772880]="SymbolFacts",e[e.ObjectStrictFacts=7888800]="ObjectStrictFacts",e[e.ObjectFacts=16736160]="ObjectFacts",e[e.FunctionStrictFacts=7880640]="FunctionStrictFacts",e[e.FunctionFacts=16728e3]="FunctionFacts",e[e.VoidFacts=9830144]="VoidFacts",e[e.UndefinedFacts=26607360]="UndefinedFacts",e[e.NullFacts=42917664]="NullFacts",e[e.EmptyObjectStrictFacts=83427327]="EmptyObjectStrictFacts",e[e.EmptyObjectFacts=83886079]="EmptyObjectFacts",e[e.UnknownFacts=83886079]="UnknownFacts",e[e.AllTypeofNE=556800]="AllTypeofNE",e[e.OrFactsMask=8256]="OrFactsMask",e[e.AndFactsMask=134209471]="AndFactsMask",e))(XF||{}),$q=new Map(Object.entries({string:256,number:512,bigint:1024,boolean:2048,symbol:4096,undefined:524288,object:8192,function:16384})),YF=(e=>(e[e.Normal=0]="Normal",e[e.Contextual=1]="Contextual",e[e.Inferential=2]="Inferential",e[e.SkipContextSensitive=4]="SkipContextSensitive",e[e.SkipGenericFunctions=8]="SkipGenericFunctions",e[e.IsForSignatureHelp=16]="IsForSignatureHelp",e[e.RestBindingElement=32]="RestBindingElement",e[e.TypeOnly=64]="TypeOnly",e))(YF||{}),$F=(e=>(e[e.None=0]="None",e[e.BivariantCallback=1]="BivariantCallback",e[e.StrictCallback=2]="StrictCallback",e[e.IgnoreReturnTypes=4]="IgnoreReturnTypes",e[e.StrictArity=8]="StrictArity",e[e.StrictTopSignature=16]="StrictTopSignature",e[e.Callback=3]="Callback",e))($F||{}),kxe=B6(Pxe,Oje),QF=new Map(Object.entries({Uppercase:0,Lowercase:1,Capitalize:2,Uncapitalize:3,NoInfer:4})),Ele=class{},(e=>{e.JSX="JSX",e.IntrinsicElements="IntrinsicElements",e.ElementClass="ElementClass",e.ElementAttributesPropertyNameContainer="ElementAttributesProperty",e.ElementChildrenAttributeNameContainer="ElementChildrenAttribute",e.Element="Element",e.ElementType="ElementType",e.IntrinsicAttributes="IntrinsicAttributes",e.IntrinsicClassAttributes="IntrinsicClassAttributes",e.LibraryManagedAttributes="LibraryManagedAttributes"})(sf||(sf={})),Qq=class R7e{constructor(t,r,i){this.moduleResolverHost=void 0,this.inner=void 0,this.disableTrackSymbol=!1;for(var o;r instanceof R7e;)r=r.inner;this.inner=r,this.moduleResolverHost=i,this.context=t,this.canTrackSymbol=!!((o=this.inner)!=null&&o.trackSymbol)}trackSymbol(t,r,i){var o,s;if((o=this.inner)!=null&&o.trackSymbol&&!this.disableTrackSymbol){if(this.inner.trackSymbol(t,r,i))return this.onDiagnosticReported(),!0;t.flags&262144||((s=this.context).trackedSymbols??(s.trackedSymbols=[])).push([t,r,i])}return!1}reportInaccessibleThisError(){var t;(t=this.inner)!=null&&t.reportInaccessibleThisError&&(this.onDiagnosticReported(),this.inner.reportInaccessibleThisError())}reportPrivateInBaseOfClassExpression(t){var r;(r=this.inner)!=null&&r.reportPrivateInBaseOfClassExpression&&(this.onDiagnosticReported(),this.inner.reportPrivateInBaseOfClassExpression(t))}reportInaccessibleUniqueSymbolError(){var t;(t=this.inner)!=null&&t.reportInaccessibleUniqueSymbolError&&(this.onDiagnosticReported(),this.inner.reportInaccessibleUniqueSymbolError())}reportCyclicStructureError(){var t;(t=this.inner)!=null&&t.reportCyclicStructureError&&(this.onDiagnosticReported(),this.inner.reportCyclicStructureError())}reportLikelyUnsafeImportRequiredError(t){var r;(r=this.inner)!=null&&r.reportLikelyUnsafeImportRequiredError&&(this.onDiagnosticReported(),this.inner.reportLikelyUnsafeImportRequiredError(t))}reportTruncationError(){var t;(t=this.inner)!=null&&t.reportTruncationError&&(this.onDiagnosticReported(),this.inner.reportTruncationError())}trackReferencedAmbientModule(t,r){var i;(i=this.inner)!=null&&i.trackReferencedAmbientModule&&(this.onDiagnosticReported(),this.inner.trackReferencedAmbientModule(t,r))}trackExternalModuleSymbolOfImportTypeNode(t){var r;(r=this.inner)!=null&&r.trackExternalModuleSymbolOfImportTypeNode&&(this.onDiagnosticReported(),this.inner.trackExternalModuleSymbolOfImportTypeNode(t))}reportNonlocalAugmentation(t,r,i){var o;(o=this.inner)!=null&&o.reportNonlocalAugmentation&&(this.onDiagnosticReported(),this.inner.reportNonlocalAugmentation(t,r,i))}reportNonSerializableProperty(t){var r;(r=this.inner)!=null&&r.reportNonSerializableProperty&&(this.onDiagnosticReported(),this.inner.reportNonSerializableProperty(t))}onDiagnosticReported(){this.context.reportedDiagnostic=!0}}}});function nt(e,t,r,i){if(e===void 0)return e;const o=t(e);let s;if(o!==void 0)return To(o)?s=(i||jje)(o):s=o,N.assertNode(s,r),s}function Vn(e,t,r,i,o){if(e===void 0)return e;const s=e.length;(i===void 0||i<0)&&(i=0),(o===void 0||o>s-i)&&(o=s-i);let l,u=-1,p=-1;i>0||o<s?l=e.hasTrailingComma&&i+o===s:(u=e.pos,p=e.end,l=e.hasTrailingComma);const h=wxe(e,t,r,i,o);if(h!==e){const m=w.createNodeArray(h,l);return vh(m,u,p),m}return e}function kw(e,t,r,i,o){if(e===void 0)return e;const s=e.length;return(i===void 0||i<0)&&(i=0),(o===void 0||o>s-i)&&(o=s-i),wxe(e,t,r,i,o)}function wxe(e,t,r,i,o){let s;const l=e.length;(i>0||o<l)&&(s=[]);for(let u=0;u<o;u++){const p=e[u+i],h=p!==void 0?t?t(p):p:void 0;if((s!==void 0||h===void 0||h!==p)&&(s===void 0&&(s=e.slice(0,u),N.assertEachNode(s,r)),h))if(To(h))for(const m of h)N.assertNode(m,r),s.push(m);else N.assertNode(h,r),s.push(h)}return s||(N.assertEachNode(e,r),e)}function Zq(e,t,r,i,o,s=Vn){return r.startLexicalEnvironment(),e=s(e,t,qi,i),o&&(e=r.factory.ensureUseStrict(e)),w.mergeLexicalEnvironment(e,r.endLexicalEnvironment())}function Dl(e,t,r,i=Vn){let o;return r.startLexicalEnvironment(),e&&(r.setLexicalEnvironmentFlags(1,!0),o=i(e,t,Ao),r.getLexicalEnvironmentFlags()&2&&os(r.getCompilerOptions())>=2&&(o=zje(o,r)),r.setLexicalEnvironmentFlags(1,!1)),r.suspendLexicalEnvironment(),o}function zje(e,t){let r;for(let i=0;i<e.length;i++){const o=e[i],s=Bje(o,t);(r||s!==o)&&(r||(r=e.slice(0,i)),r[i]=s)}return r?dt(t.factory.createNodeArray(r,e.hasTrailingComma),e):e}function Bje(e,t){return e.dotDotDotToken?e:ta(e.name)?Gje(e,t):e.initializer?Vje(e,e.name,e.initializer,t):e}function Gje(e,t){const{factory:r}=t;return t.addInitializationStatement(r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(e.name,void 0,e.type,e.initializer?r.createConditionalExpression(r.createStrictEquality(r.getGeneratedNameForNode(e),r.createVoidZero()),void 0,e.initializer,void 0,r.getGeneratedNameForNode(e)):r.getGeneratedNameForNode(e))]))),r.updateParameterDeclaration(e,e.modifiers,e.dotDotDotToken,r.getGeneratedNameForNode(e),e.questionToken,e.type,void 0)}function Vje(e,t,r,i){const o=i.factory;return i.addInitializationStatement(o.createIfStatement(o.createTypeCheck(o.cloneNode(t),"undefined"),pr(dt(o.createBlock([o.createExpressionStatement(pr(dt(o.createAssignment(pr(o.cloneNode(t),96),pr(r,96|ja(r)|3072)),e),3072))]),e),3905))),o.updateParameterDeclaration(e,e.modifiers,e.dotDotDotToken,e.name,e.questionToken,e.type,void 0)}function lf(e,t,r,i=nt){r.resumeLexicalEnvironment();const o=i(e,t,P4),s=r.endLexicalEnvironment();if(bt(s)){if(!o)return r.factory.createBlock(s);const l=r.factory.converters.convertToFunctionBlock(o),u=w.mergeLexicalEnvironment(l.statements,s);return r.factory.updateBlock(l,u)}return o}function Rd(e,t,r,i=nt){r.startBlockScope();const o=i(e,t,qi,r.factory.liftToBlock);N.assert(o);const s=r.endBlockScope();return bt(s)?Xo(o)?(s.push(...o.statements),r.factory.updateBlock(o,s)):(s.push(o),r.factory.createBlock(s)):o}function ZF(e,t,r=t){if(r===t||e.length<=1)return Vn(e,t,yt);let i=0;const o=e.length;return Vn(e,s=>{const l=i<o-1;return i++,l?r(s):t(s)},yt)}function In(e,t,r=JP,i=Vn,o,s=nt){if(e===void 0)return;const l=Oxe[e.kind];return l===void 0?e:l(e,t,r,i,s,o)}function jje(e){return N.assert(e.length<=1,"Too many nodes written to output."),sh(e)}var Oxe,Uje=T({"src/compiler/visitorPublic.ts"(){ra(),Oxe={166:function(t,r,i,o,s,l){return i.factory.updateQualifiedName(t,N.checkDefined(s(t.left,r,Zd)),N.checkDefined(s(t.right,r,Ve)))},167:function(t,r,i,o,s,l){return i.factory.updateComputedPropertyName(t,N.checkDefined(s(t.expression,r,yt)))},168:function(t,r,i,o,s,l){return i.factory.updateTypeParameterDeclaration(t,o(t.modifiers,r,xa),N.checkDefined(s(t.name,r,Ve)),s(t.constraint,r,ji),s(t.default,r,ji))},169:function(t,r,i,o,s,l){return i.factory.updateParameterDeclaration(t,o(t.modifiers,r,ll),l?s(t.dotDotDotToken,l,QW):t.dotDotDotToken,N.checkDefined(s(t.name,r,vS)),l?s(t.questionToken,l,$y):t.questionToken,s(t.type,r,ji),s(t.initializer,r,yt))},170:function(t,r,i,o,s,l){return i.factory.updateDecorator(t,N.checkDefined(s(t.expression,r,yt)))},171:function(t,r,i,o,s,l){return i.factory.updatePropertySignature(t,o(t.modifiers,r,xa),N.checkDefined(s(t.name,r,oc)),l?s(t.questionToken,l,$y):t.questionToken,s(t.type,r,ji))},172:function(t,r,i,o,s,l){return i.factory.updatePropertyDeclaration(t,o(t.modifiers,r,ll),N.checkDefined(s(t.name,r,oc)),l?s(t.questionToken??t.exclamationToken,l,pse):t.questionToken??t.exclamationToken,s(t.type,r,ji),s(t.initializer,r,yt))},173:function(t,r,i,o,s,l){return i.factory.updateMethodSignature(t,o(t.modifiers,r,xa),N.checkDefined(s(t.name,r,oc)),l?s(t.questionToken,l,$y):t.questionToken,o(t.typeParameters,r,gl),o(t.parameters,r,Ao),s(t.type,r,ji))},174:function(t,r,i,o,s,l){return i.factory.updateMethodDeclaration(t,o(t.modifiers,r,ll),l?s(t.asteriskToken,l,Hk):t.asteriskToken,N.checkDefined(s(t.name,r,oc)),l?s(t.questionToken,l,$y):t.questionToken,o(t.typeParameters,r,gl),Dl(t.parameters,r,i,o),s(t.type,r,ji),lf(t.body,r,i,s))},176:function(t,r,i,o,s,l){return i.factory.updateConstructorDeclaration(t,o(t.modifiers,r,ll),Dl(t.parameters,r,i,o),lf(t.body,r,i,s))},177:function(t,r,i,o,s,l){return i.factory.updateGetAccessorDeclaration(t,o(t.modifiers,r,ll),N.checkDefined(s(t.name,r,oc)),Dl(t.parameters,r,i,o),s(t.type,r,ji),lf(t.body,r,i,s))},178:function(t,r,i,o,s,l){return i.factory.updateSetAccessorDeclaration(t,o(t.modifiers,r,ll),N.checkDefined(s(t.name,r,oc)),Dl(t.parameters,r,i,o),lf(t.body,r,i,s))},175:function(t,r,i,o,s,l){return i.startLexicalEnvironment(),i.suspendLexicalEnvironment(),i.factory.updateClassStaticBlockDeclaration(t,lf(t.body,r,i,s))},179:function(t,r,i,o,s,l){return i.factory.updateCallSignature(t,o(t.typeParameters,r,gl),o(t.parameters,r,Ao),s(t.type,r,ji))},180:function(t,r,i,o,s,l){return i.factory.updateConstructSignature(t,o(t.typeParameters,r,gl),o(t.parameters,r,Ao),s(t.type,r,ji))},181:function(t,r,i,o,s,l){return i.factory.updateIndexSignature(t,o(t.modifiers,r,ll),o(t.parameters,r,Ao),N.checkDefined(s(t.type,r,ji)))},182:function(t,r,i,o,s,l){return i.factory.updateTypePredicateNode(t,s(t.assertsModifier,r,kae),N.checkDefined(s(t.parameterName,r,fse)),s(t.type,r,ji))},183:function(t,r,i,o,s,l){return i.factory.updateTypeReferenceNode(t,N.checkDefined(s(t.typeName,r,Zd)),o(t.typeArguments,r,ji))},184:function(t,r,i,o,s,l){return i.factory.updateFunctionTypeNode(t,o(t.typeParameters,r,gl),o(t.parameters,r,Ao),N.checkDefined(s(t.type,r,ji)))},185:function(t,r,i,o,s,l){return i.factory.updateConstructorTypeNode(t,o(t.modifiers,r,xa),o(t.typeParameters,r,gl),o(t.parameters,r,Ao),N.checkDefined(s(t.type,r,ji)))},186:function(t,r,i,o,s,l){return i.factory.updateTypeQueryNode(t,N.checkDefined(s(t.exprName,r,Zd)),o(t.typeArguments,r,ji))},187:function(t,r,i,o,s,l){return i.factory.updateTypeLiteralNode(t,o(t.members,r,yS))},188:function(t,r,i,o,s,l){return i.factory.updateArrayTypeNode(t,N.checkDefined(s(t.elementType,r,ji)))},189:function(t,r,i,o,s,l){return i.factory.updateTupleTypeNode(t,o(t.elements,r,ji))},190:function(t,r,i,o,s,l){return i.factory.updateOptionalTypeNode(t,N.checkDefined(s(t.type,r,ji)))},191:function(t,r,i,o,s,l){return i.factory.updateRestTypeNode(t,N.checkDefined(s(t.type,r,ji)))},192:function(t,r,i,o,s,l){return i.factory.updateUnionTypeNode(t,o(t.types,r,ji))},193:function(t,r,i,o,s,l){return i.factory.updateIntersectionTypeNode(t,o(t.types,r,ji))},194:function(t,r,i,o,s,l){return i.factory.updateConditionalTypeNode(t,N.checkDefined(s(t.checkType,r,ji)),N.checkDefined(s(t.extendsType,r,ji)),N.checkDefined(s(t.trueType,r,ji)),N.checkDefined(s(t.falseType,r,ji)))},195:function(t,r,i,o,s,l){return i.factory.updateInferTypeNode(t,N.checkDefined(s(t.typeParameter,r,gl)))},205:function(t,r,i,o,s,l){return i.factory.updateImportTypeNode(t,N.checkDefined(s(t.argument,r,ji)),s(t.attributes,r,mI),s(t.qualifier,r,Zd),o(t.typeArguments,r,ji),t.isTypeOf)},302:function(t,r,i,o,s,l){return i.factory.updateImportTypeAssertionContainer(t,N.checkDefined(s(t.assertClause,r,Hae)),t.multiLine)},202:function(t,r,i,o,s,l){return i.factory.updateNamedTupleMember(t,l?s(t.dotDotDotToken,l,QW):t.dotDotDotToken,N.checkDefined(s(t.name,r,Ve)),l?s(t.questionToken,l,$y):t.questionToken,N.checkDefined(s(t.type,r,ji)))},196:function(t,r,i,o,s,l){return i.factory.updateParenthesizedType(t,N.checkDefined(s(t.type,r,ji)))},198:function(t,r,i,o,s,l){return i.factory.updateTypeOperatorNode(t,N.checkDefined(s(t.type,r,ji)))},199:function(t,r,i,o,s,l){return i.factory.updateIndexedAccessTypeNode(t,N.checkDefined(s(t.objectType,r,ji)),N.checkDefined(s(t.indexType,r,ji)))},200:function(t,r,i,o,s,l){return i.factory.updateMappedTypeNode(t,l?s(t.readonlyToken,l,mse):t.readonlyToken,N.checkDefined(s(t.typeParameter,r,gl)),s(t.nameType,r,ji),l?s(t.questionToken,l,_se):t.questionToken,s(t.type,r,ji),o(t.members,r,yS))},201:function(t,r,i,o,s,l){return i.factory.updateLiteralTypeNode(t,N.checkDefined(s(t.literal,r,qre)))},203:function(t,r,i,o,s,l){return i.factory.updateTemplateLiteralType(t,N.checkDefined(s(t.head,r,iI)),o(t.templateSpans,r,CH))},204:function(t,r,i,o,s,l){return i.factory.updateTemplateLiteralTypeSpan(t,N.checkDefined(s(t.type,r,ji)),N.checkDefined(s(t.literal,r,R4)))},206:function(t,r,i,o,s,l){return i.factory.updateObjectBindingPattern(t,o(t.elements,r,Qa))},207:function(t,r,i,o,s,l){return i.factory.updateArrayBindingPattern(t,o(t.elements,r,N4))},208:function(t,r,i,o,s,l){return i.factory.updateBindingElement(t,l?s(t.dotDotDotToken,l,QW):t.dotDotDotToken,s(t.propertyName,r,oc),N.checkDefined(s(t.name,r,vS)),s(t.initializer,r,yt))},209:function(t,r,i,o,s,l){return i.factory.updateArrayLiteralExpression(t,o(t.elements,r,yt))},210:function(t,r,i,o,s,l){return i.factory.updateObjectLiteralExpression(t,o(t.properties,r,Wg))},211:function(t,r,i,o,s,l){return A4(t)?i.factory.updatePropertyAccessChain(t,N.checkDefined(s(t.expression,r,yt)),l?s(t.questionDotToken,l,ZW):t.questionDotToken,N.checkDefined(s(t.name,r,Qh))):i.factory.updatePropertyAccessExpression(t,N.checkDefined(s(t.expression,r,yt)),N.checkDefined(s(t.name,r,Qh)))},212:function(t,r,i,o,s,l){return QV(t)?i.factory.updateElementAccessChain(t,N.checkDefined(s(t.expression,r,yt)),l?s(t.questionDotToken,l,ZW):t.questionDotToken,N.checkDefined(s(t.argumentExpression,r,yt))):i.factory.updateElementAccessExpression(t,N.checkDefined(s(t.expression,r,yt)),N.checkDefined(s(t.argumentExpression,r,yt)))},213:function(t,r,i,o,s,l){return hS(t)?i.factory.updateCallChain(t,N.checkDefined(s(t.expression,r,yt)),l?s(t.questionDotToken,l,ZW):t.questionDotToken,o(t.typeArguments,r,ji),o(t.arguments,r,yt)):i.factory.updateCallExpression(t,N.checkDefined(s(t.expression,r,yt)),o(t.typeArguments,r,ji),o(t.arguments,r,yt))},214:function(t,r,i,o,s,l){return i.factory.updateNewExpression(t,N.checkDefined(s(t.expression,r,yt)),o(t.typeArguments,r,ji),o(t.arguments,r,yt))},215:function(t,r,i,o,s,l){return i.factory.updateTaggedTemplateExpression(t,N.checkDefined(s(t.tag,r,yt)),o(t.typeArguments,r,ji),N.checkDefined(s(t.template,r,LA)))},216:function(t,r,i,o,s,l){return i.factory.updateTypeAssertion(t,N.checkDefined(s(t.type,r,ji)),N.checkDefined(s(t.expression,r,yt)))},217:function(t,r,i,o,s,l){return i.factory.updateParenthesizedExpression(t,N.checkDefined(s(t.expression,r,yt)))},218:function(t,r,i,o,s,l){return i.factory.updateFunctionExpression(t,o(t.modifiers,r,xa),l?s(t.asteriskToken,l,Hk):t.asteriskToken,s(t.name,r,Ve),o(t.typeParameters,r,gl),Dl(t.parameters,r,i,o),s(t.type,r,ji),lf(t.body,r,i,s))},219:function(t,r,i,o,s,l){return i.factory.updateArrowFunction(t,o(t.modifiers,r,xa),o(t.typeParameters,r,gl),Dl(t.parameters,r,i,o),s(t.type,r,ji),l?N.checkDefined(s(t.equalsGreaterThanToken,l,Lae)):t.equalsGreaterThanToken,lf(t.body,r,i,s))},220:function(t,r,i,o,s,l){return i.factory.updateDeleteExpression(t,N.checkDefined(s(t.expression,r,yt)))},221:function(t,r,i,o,s,l){return i.factory.updateTypeOfExpression(t,N.checkDefined(s(t.expression,r,yt)))},222:function(t,r,i,o,s,l){return i.factory.updateVoidExpression(t,N.checkDefined(s(t.expression,r,yt)))},223:function(t,r,i,o,s,l){return i.factory.updateAwaitExpression(t,N.checkDefined(s(t.expression,r,yt)))},224:function(t,r,i,o,s,l){return i.factory.updatePrefixUnaryExpression(t,N.checkDefined(s(t.operand,r,yt)))},225:function(t,r,i,o,s,l){return i.factory.updatePostfixUnaryExpression(t,N.checkDefined(s(t.operand,r,yt)))},226:function(t,r,i,o,s,l){return i.factory.updateBinaryExpression(t,N.checkDefined(s(t.left,r,yt)),l?N.checkDefined(s(t.operatorToken,l,gse)):t.operatorToken,N.checkDefined(s(t.right,r,yt)))},227:function(t,r,i,o,s,l){return i.factory.updateConditionalExpression(t,N.checkDefined(s(t.condition,r,yt)),l?N.checkDefined(s(t.questionToken,l,$y)):t.questionToken,N.checkDefined(s(t.whenTrue,r,yt)),l?N.checkDefined(s(t.colonToken,l,Mae)):t.colonToken,N.checkDefined(s(t.whenFalse,r,yt)))},228:function(t,r,i,o,s,l){return i.factory.updateTemplateExpression(t,N.checkDefined(s(t.head,r,iI)),o(t.templateSpans,r,bP))},229:function(t,r,i,o,s,l){return i.factory.updateYieldExpression(t,l?s(t.asteriskToken,l,Hk):t.asteriskToken,s(t.expression,r,yt))},230:function(t,r,i,o,s,l){return i.factory.updateSpreadElement(t,N.checkDefined(s(t.expression,r,yt)))},231:function(t,r,i,o,s,l){return i.factory.updateClassExpression(t,o(t.modifiers,r,ll),s(t.name,r,Ve),o(t.typeParameters,r,gl),o(t.heritageClauses,r,of),o(t.members,r,$c))},233:function(t,r,i,o,s,l){return i.factory.updateExpressionWithTypeArguments(t,N.checkDefined(s(t.expression,r,yt)),o(t.typeArguments,r,ji))},234:function(t,r,i,o,s,l){return i.factory.updateAsExpression(t,N.checkDefined(s(t.expression,r,yt)),N.checkDefined(s(t.type,r,ji)))},238:function(t,r,i,o,s,l){return i.factory.updateSatisfiesExpression(t,N.checkDefined(s(t.expression,r,yt)),N.checkDefined(s(t.type,r,ji)))},235:function(t,r,i,o,s,l){return qu(t)?i.factory.updateNonNullChain(t,N.checkDefined(s(t.expression,r,yt))):i.factory.updateNonNullExpression(t,N.checkDefined(s(t.expression,r,yt)))},236:function(t,r,i,o,s,l){return i.factory.updateMetaProperty(t,N.checkDefined(s(t.name,r,Ve)))},239:function(t,r,i,o,s,l){return i.factory.updateTemplateSpan(t,N.checkDefined(s(t.expression,r,yt)),N.checkDefined(s(t.literal,r,R4)))},241:function(t,r,i,o,s,l){return i.factory.updateBlock(t,o(t.statements,r,qi))},243:function(t,r,i,o,s,l){return i.factory.updateVariableStatement(t,o(t.modifiers,r,ll),N.checkDefined(s(t.declarationList,r,Uc)))},244:function(t,r,i,o,s,l){return i.factory.updateExpressionStatement(t,N.checkDefined(s(t.expression,r,yt)))},245:function(t,r,i,o,s,l){return i.factory.updateIfStatement(t,N.checkDefined(s(t.expression,r,yt)),N.checkDefined(s(t.thenStatement,r,qi,i.factory.liftToBlock)),s(t.elseStatement,r,qi,i.factory.liftToBlock))},246:function(t,r,i,o,s,l){return i.factory.updateDoStatement(t,Rd(t.statement,r,i,s),N.checkDefined(s(t.expression,r,yt)))},247:function(t,r,i,o,s,l){return i.factory.updateWhileStatement(t,N.checkDefined(s(t.expression,r,yt)),Rd(t.statement,r,i,s))},248:function(t,r,i,o,s,l){return i.factory.updateForStatement(t,s(t.initializer,r,Af),s(t.condition,r,yt),s(t.incrementor,r,yt),Rd(t.statement,r,i,s))},249:function(t,r,i,o,s,l){return i.factory.updateForInStatement(t,N.checkDefined(s(t.initializer,r,Af)),N.checkDefined(s(t.expression,r,yt)),Rd(t.statement,r,i,s))},250:function(t,r,i,o,s,l){return i.factory.updateForOfStatement(t,l?s(t.awaitModifier,l,NH):t.awaitModifier,N.checkDefined(s(t.initializer,r,Af)),N.checkDefined(s(t.expression,r,yt)),Rd(t.statement,r,i,s))},251:function(t,r,i,o,s,l){return i.factory.updateContinueStatement(t,s(t.label,r,Ve))},252:function(t,r,i,o,s,l){return i.factory.updateBreakStatement(t,s(t.label,r,Ve))},253:function(t,r,i,o,s,l){return i.factory.updateReturnStatement(t,s(t.expression,r,yt))},254:function(t,r,i,o,s,l){return i.factory.updateWithStatement(t,N.checkDefined(s(t.expression,r,yt)),N.checkDefined(s(t.statement,r,qi,i.factory.liftToBlock)))},255:function(t,r,i,o,s,l){return i.factory.updateSwitchStatement(t,N.checkDefined(s(t.expression,r,yt)),N.checkDefined(s(t.caseBlock,r,SP)))},256:function(t,r,i,o,s,l){return i.factory.updateLabeledStatement(t,N.checkDefined(s(t.label,r,Ve)),N.checkDefined(s(t.statement,r,qi,i.factory.liftToBlock)))},257:function(t,r,i,o,s,l){return i.factory.updateThrowStatement(t,N.checkDefined(s(t.expression,r,yt)))},258:function(t,r,i,o,s,l){return i.factory.updateTryStatement(t,N.checkDefined(s(t.tryBlock,r,Xo)),s(t.catchClause,r,c1),s(t.finallyBlock,r,Xo))},260:function(t,r,i,o,s,l){return i.factory.updateVariableDeclaration(t,N.checkDefined(s(t.name,r,vS)),l?s(t.exclamationToken,l,qk):t.exclamationToken,s(t.type,r,ji),s(t.initializer,r,yt))},261:function(t,r,i,o,s,l){return i.factory.updateVariableDeclarationList(t,o(t.declarations,r,wi))},262:function(t,r,i,o,s,l){return i.factory.updateFunctionDeclaration(t,o(t.modifiers,r,xa),l?s(t.asteriskToken,l,Hk):t.asteriskToken,s(t.name,r,Ve),o(t.typeParameters,r,gl),Dl(t.parameters,r,i,o),s(t.type,r,ji),lf(t.body,r,i,s))},263:function(t,r,i,o,s,l){return i.factory.updateClassDeclaration(t,o(t.modifiers,r,ll),s(t.name,r,Ve),o(t.typeParameters,r,gl),o(t.heritageClauses,r,of),o(t.members,r,$c))},264:function(t,r,i,o,s,l){return i.factory.updateInterfaceDeclaration(t,o(t.modifiers,r,ll),N.checkDefined(s(t.name,r,Ve)),o(t.typeParameters,r,gl),o(t.heritageClauses,r,of),o(t.members,r,yS))},265:function(t,r,i,o,s,l){return i.factory.updateTypeAliasDeclaration(t,o(t.modifiers,r,ll),N.checkDefined(s(t.name,r,Ve)),o(t.typeParameters,r,gl),N.checkDefined(s(t.type,r,ji)))},266:function(t,r,i,o,s,l){return i.factory.updateEnumDeclaration(t,o(t.modifiers,r,ll),N.checkDefined(s(t.name,r,Ve)),o(t.members,r,u1))},267:function(t,r,i,o,s,l){return i.factory.updateModuleDeclaration(t,o(t.modifiers,r,ll),N.checkDefined(s(t.name,r,hse)),s(t.body,r,Xre))},268:function(t,r,i,o,s,l){return i.factory.updateModuleBlock(t,o(t.statements,r,qi))},269:function(t,r,i,o,s,l){return i.factory.updateCaseBlock(t,o(t.clauses,r,L4))},270:function(t,r,i,o,s,l){return i.factory.updateNamespaceExportDeclaration(t,N.checkDefined(s(t.name,r,Ve)))},271:function(t,r,i,o,s,l){return i.factory.updateImportEqualsDeclaration(t,o(t.modifiers,r,ll),t.isTypeOnly,N.checkDefined(s(t.name,r,Ve)),N.checkDefined(s(t.moduleReference,r,eie)))},272:function(t,r,i,o,s,l){return i.factory.updateImportDeclaration(t,o(t.modifiers,r,ll),s(t.importClause,r,Sh),N.checkDefined(s(t.moduleSpecifier,r,yt)),s(t.attributes,r,mI))},300:function(t,r,i,o,s,l){return i.factory.updateImportAttributes(t,o(t.elements,r,qae),t.multiLine)},301:function(t,r,i,o,s,l){return i.factory.updateImportAttribute(t,N.checkDefined(s(t.name,r,zre)),N.checkDefined(s(t.value,r,yt)))},273:function(t,r,i,o,s,l){return i.factory.updateImportClause(t,t.isTypeOnly,s(t.name,r,Ve),s(t.namedBindings,r,pj))},274:function(t,r,i,o,s,l){return i.factory.updateNamespaceImport(t,N.checkDefined(s(t.name,r,Ve)))},280:function(t,r,i,o,s,l){return i.factory.updateNamespaceExport(t,N.checkDefined(s(t.name,r,Ve)))},275:function(t,r,i,o,s,l){return i.factory.updateNamedImports(t,o(t.elements,r,np))},276:function(t,r,i,o,s,l){return i.factory.updateImportSpecifier(t,t.isTypeOnly,s(t.propertyName,r,Ve),N.checkDefined(s(t.name,r,Ve)))},277:function(t,r,i,o,s,l){return i.factory.updateExportAssignment(t,o(t.modifiers,r,ll),N.checkDefined(s(t.expression,r,yt)))},278:function(t,r,i,o,s,l){return i.factory.updateExportDeclaration(t,o(t.modifiers,r,ll),t.isTypeOnly,s(t.exportClause,r,ej),s(t.moduleSpecifier,r,yt),s(t.attributes,r,mI))},279:function(t,r,i,o,s,l){return i.factory.updateNamedExports(t,o(t.elements,r,Ku))},281:function(t,r,i,o,s,l){return i.factory.updateExportSpecifier(t,t.isTypeOnly,s(t.propertyName,r,Ve),N.checkDefined(s(t.name,r,Ve)))},283:function(t,r,i,o,s,l){return i.factory.updateExternalModuleReference(t,N.checkDefined(s(t.expression,r,yt)))},284:function(t,r,i,o,s,l){return i.factory.updateJsxElement(t,N.checkDefined(s(t.openingElement,r,W_)),o(t.children,r,AL),N.checkDefined(s(t.closingElement,r,a1)))},285:function(t,r,i,o,s,l){return i.factory.updateJsxSelfClosingElement(t,N.checkDefined(s(t.tagName,r,vC)),o(t.typeArguments,r,ji),N.checkDefined(s(t.attributes,r,l1)))},286:function(t,r,i,o,s,l){return i.factory.updateJsxOpeningElement(t,N.checkDefined(s(t.tagName,r,vC)),o(t.typeArguments,r,ji),N.checkDefined(s(t.attributes,r,l1)))},287:function(t,r,i,o,s,l){return i.factory.updateJsxClosingElement(t,N.checkDefined(s(t.tagName,r,vC)))},295:function(t,r,i,o,s,l){return i.factory.updateJsxNamespacedName(t,N.checkDefined(s(t.namespace,r,Ve)),N.checkDefined(s(t.name,r,Ve)))},288:function(t,r,i,o,s,l){return i.factory.updateJsxFragment(t,N.checkDefined(s(t.openingFragment,r,hI)),o(t.children,r,AL),N.checkDefined(s(t.closingFragment,r,Jae)))},291:function(t,r,i,o,s,l){return i.factory.updateJsxAttribute(t,N.checkDefined(s(t.name,r,sae)),s(t.initializer,r,tie))},292:function(t,r,i,o,s,l){return i.factory.updateJsxAttributes(t,o(t.properties,r,M4))},293:function(t,r,i,o,s,l){return i.factory.updateJsxSpreadAttribute(t,N.checkDefined(s(t.expression,r,yt)))},294:function(t,r,i,o,s,l){return i.factory.updateJsxExpression(t,s(t.expression,r,yt))},296:function(t,r,i,o,s,l){return i.factory.updateCaseClause(t,N.checkDefined(s(t.expression,r,yt)),o(t.statements,r,qi))},297:function(t,r,i,o,s,l){return i.factory.updateDefaultClause(t,o(t.statements,r,qi))},298:function(t,r,i,o,s,l){return i.factory.updateHeritageClause(t,o(t.types,r,Kv))},299:function(t,r,i,o,s,l){return i.factory.updateCatchClause(t,s(t.variableDeclaration,r,wi),N.checkDefined(s(t.block,r,Xo)))},303:function(t,r,i,o,s,l){return i.factory.updatePropertyAssignment(t,N.checkDefined(s(t.name,r,oc)),N.checkDefined(s(t.initializer,r,yt)))},304:function(t,r,i,o,s,l){return i.factory.updateShorthandPropertyAssignment(t,N.checkDefined(s(t.name,r,Ve)),s(t.objectAssignmentInitializer,r,yt))},305:function(t,r,i,o,s,l){return i.factory.updateSpreadAssignment(t,N.checkDefined(s(t.expression,r,yt)))},306:function(t,r,i,o,s,l){return i.factory.updateEnumMember(t,N.checkDefined(s(t.name,r,oc)),s(t.initializer,r,yt))},312:function(t,r,i,o,s,l){return i.factory.updateSourceFile(t,Zq(t.statements,r,i))},360:function(t,r,i,o,s,l){return i.factory.updatePartiallyEmittedExpression(t,N.checkDefined(s(t.expression,r,yt)))},361:function(t,r,i,o,s,l){return i.factory.updateCommaListExpression(t,o(t.elements,r,yt))}}}});function Sle(e,t,r,i,o){var{enter:s,exit:l}=o.extendedDiagnostics?PG("Source Map","beforeSourcemap","afterSourcemap"):MG,u=[],p=[],h=new Map,m,b=[],A,I=[],R="",M=0,C=0,O=0,U=0,K=0,Z=0,V=!1,se=0,G=0,ne=0,he=0,ye=0,$=0,Y=!1,oe=!1,fe=!1;return{getSources:()=>u,addSource:Ne,setSourceContent:pe,addName:ie,addMapping:He,appendSourceMap:Ee,toJSON:Se,toString:()=>JSON.stringify(Se())};function Ne(at){s();const Gt=RA(i,at,e.getCurrentDirectory(),e.getCanonicalFileName,!0);let vt=h.get(Gt);return vt===void 0&&(vt=p.length,p.push(Gt),u.push(at),h.set(Gt,vt)),l(),vt}function pe(at,Gt){if(s(),Gt!==null){for(m||(m=[]);m.length<at;)m.push(null);m[at]=Gt}l()}function ie(at){s(),A||(A=new Map);let Gt=A.get(at);return Gt===void 0&&(Gt=b.length,b.push(at),A.set(at,Gt)),l(),Gt}function ve(at,Gt){return!Y||se!==at||G!==Gt}function Le(at,Gt,vt){return at!==void 0&&Gt!==void 0&&vt!==void 0&&ne===at&&(he>Gt||he===Gt&&ye>vt)}function He(at,Gt,vt,$e,It,tn){N.assert(at>=se,"generatedLine cannot backtrack"),N.assert(Gt>=0,"generatedCharacter cannot be negative"),N.assert(vt===void 0||vt>=0,"sourceIndex cannot be negative"),N.assert($e===void 0||$e>=0,"sourceLine cannot be negative"),N.assert(It===void 0||It>=0,"sourceCharacter cannot be negative"),s(),(ve(at,Gt)||Le(vt,$e,It))&&(We(),se=at,G=Gt,oe=!1,fe=!1,Y=!0),vt!==void 0&&$e!==void 0&&It!==void 0&&(ne=vt,he=$e,ye=It,oe=!0,tn!==void 0&&($=tn,fe=!0)),l()}function Ee(at,Gt,vt,$e,It,tn){N.assert(at>=se,"generatedLine cannot backtrack"),N.assert(Gt>=0,"generatedCharacter cannot be negative"),s();const rn=[];let Pn;const Xn=nJ(vt.mappings);for(const hn of Xn){if(tn&&(hn.generatedLine>tn.line||hn.generatedLine===tn.line&&hn.generatedCharacter>tn.character))break;if(It&&(hn.generatedLine<It.line||It.line===hn.generatedLine&&hn.generatedCharacter<It.character))continue;let Qt,$t,_i,ao;if(hn.sourceIndex!==void 0){if(Qt=rn[hn.sourceIndex],Qt===void 0){const Ar=vt.sources[hn.sourceIndex],sn=vt.sourceRoot?Qr(vt.sourceRoot,Ar):Ar,tt=Qr(ai($e),sn);rn[hn.sourceIndex]=Qt=Ne(tt),vt.sourcesContent&&typeof vt.sourcesContent[hn.sourceIndex]=="string"&&pe(Qt,vt.sourcesContent[hn.sourceIndex])}$t=hn.sourceLine,_i=hn.sourceCharacter,vt.names&&hn.nameIndex!==void 0&&(Pn||(Pn=[]),ao=Pn[hn.nameIndex],ao===void 0&&(Pn[hn.nameIndex]=ao=ie(vt.names[hn.nameIndex])))}const Oi=hn.generatedLine-(It?It.line:0),No=Oi+at,Mn=It&&It.line===hn.generatedLine?hn.generatedCharacter-It.character:hn.generatedCharacter,Di=Oi===0?Mn+Gt:Mn;He(No,Di,Qt,$t,_i,ao)}l()}function De(){return!V||M!==se||C!==G||O!==ne||U!==he||K!==ye||Z!==$}function Pe(at){I.push(at),I.length>=1024&&At()}function We(){if(!(!Y||!De())){if(s(),M<se){do Pe(59),M++;while(M<se);C=0}else N.assertEqual(M,se,"generatedLine cannot backtrack"),V&&Pe(44);je(G-C),C=G,oe&&(je(ne-O),O=ne,je(he-U),U=he,je(ye-K),K=ye,fe&&(je($-Z),Z=$)),V=!0,l()}}function At(){I.length>0&&(R+=String.fromCharCode.apply(void 0,I),I.length=0)}function Se(){return We(),At(),{version:3,file:t,sourceRoot:r,sources:p,names:b,mappings:R,sourcesContent:m}}function je(at){at<0?at=(-at<<1)+1:at=at<<1;do{let Gt=at&31;at=at>>5,at>0&&(Gt=Gt|32),Pe(qje(Gt))}while(at>0)}}function eJ(e,t){return{getLineCount:()=>t.length,getLineText:r=>e.substring(t[r],t[r+1])}}function Tle(e){for(let t=e.getLineCount()-1;t>=0;t--){const r=e.getLineText(t),i=e7.exec(r);if(i)return i[1].trimEnd();if(!r.match(t7))break}}function Hje(e){return typeof e=="string"||e===null}function Ale(e){return e!==null&&typeof e=="object"&&e.version===3&&typeof e.file=="string"&&typeof e.mappings=="string"&&To(e.sources)&&Zn(e.sources,Po)&&(e.sourceRoot===void 0||e.sourceRoot===null||typeof e.sourceRoot=="string")&&(e.sourcesContent===void 0||e.sourcesContent===null||To(e.sourcesContent)&&Zn(e.sourcesContent,Hje))&&(e.names===void 0||e.names===null||To(e.names)&&Zn(e.names,Po))}function tJ(e){try{const t=JSON.parse(e);if(Ale(t))return t}catch{}}function nJ(e){let t=!1,r=0,i=0,o=0,s=0,l=0,u=0,p=0,h;return{get pos(){return r},get error(){return h},get state(){return m(!0,!0)},next(){for(;!t&&r<e.length;){const O=e.charCodeAt(r);if(O===59){i++,o=0,r++;continue}if(O===44){r++;continue}let U=!1,K=!1;if(o+=C(),R())return b();if(o<0)return I("Invalid generatedCharacter found");if(!M()){if(U=!0,s+=C(),R())return b();if(s<0)return I("Invalid sourceIndex found");if(M())return I("Unsupported Format: No entries after sourceIndex");if(l+=C(),R())return b();if(l<0)return I("Invalid sourceLine found");if(M())return I("Unsupported Format: No entries after sourceLine");if(u+=C(),R())return b();if(u<0)return I("Invalid sourceCharacter found");if(!M()){if(K=!0,p+=C(),R())return b();if(p<0)return I("Invalid nameIndex found");if(!M())return I("Unsupported Error Format: Entries after nameIndex")}}return{value:m(U,K),done:t}}return b()},[Symbol.iterator](){return this}};function m(O,U){return{generatedLine:i,generatedCharacter:o,sourceIndex:O?s:void 0,sourceLine:O?l:void 0,sourceCharacter:O?u:void 0,nameIndex:U?p:void 0}}function b(){return t=!0,{value:void 0,done:!0}}function A(O){h===void 0&&(h=O)}function I(O){return A(O),b()}function R(){return h!==void 0}function M(){return r===e.length||e.charCodeAt(r)===44||e.charCodeAt(r)===59}function C(){let O=!0,U=0,K=0;for(;O;r++){if(r>=e.length)return A("Error in decoding base64VLQFormatDecode, past the mapping string"),-1;const Z=Jje(e.charCodeAt(r));if(Z===-1)return A("Invalid character in VLQ"),-1;O=(Z&32)!==0,K=K|(Z&31)<<U,U+=5}return K&1?(K=K>>1,K=-K):K=K>>1,K}}function Wxe(e,t){return e===t||e.generatedLine===t.generatedLine&&e.generatedCharacter===t.generatedCharacter&&e.sourceIndex===t.sourceIndex&&e.sourceLine===t.sourceLine&&e.sourceCharacter===t.sourceCharacter&&e.nameIndex===t.nameIndex}function Ile(e){return e.sourceIndex!==void 0&&e.sourceLine!==void 0&&e.sourceCharacter!==void 0}function qje(e){return e>=0&&e<26?65+e:e>=26&&e<52?97+e-26:e>=52&&e<62?48+e-52:e===62?43:e===63?47:N.fail(`${e}: not a base64 value`)}function Jje(e){return e>=65&&e<=90?e-65:e>=97&&e<=122?e-97+26:e>=48&&e<=57?e-48+52:e===43?62:e===47?63:-1}function Fxe(e){return e.sourceIndex!==void 0&&e.sourcePosition!==void 0}function zxe(e,t){return e.generatedPosition===t.generatedPosition&&e.sourceIndex===t.sourceIndex&&e.sourcePosition===t.sourcePosition}function Kje(e,t){return N.assert(e.sourceIndex===t.sourceIndex),rl(e.sourcePosition,t.sourcePosition)}function Xje(e,t){return rl(e.generatedPosition,t.generatedPosition)}function Yje(e){return e.sourcePosition}function $je(e){return e.generatedPosition}function xle(e,t,r){const i=ai(r),o=t.sourceRoot?go(t.sourceRoot,i):i,s=go(t.file,i),l=e.getSourceFileLike(s),u=t.sources.map(U=>go(U,o)),p=new Map(u.map((U,K)=>[e.getCanonicalFileName(U),K]));let h,m,b;return{getSourcePosition:O,getGeneratedPosition:C};function A(U){const K=l!==void 0?tL(l,U.generatedLine,U.generatedCharacter,!0):-1;let Z,V;if(Ile(U)){const se=e.getSourceFileLike(u[U.sourceIndex]);Z=t.sources[U.sourceIndex],V=se!==void 0?tL(se,U.sourceLine,U.sourceCharacter,!0):-1}return{generatedPosition:K,source:Z,sourceIndex:U.sourceIndex,sourcePosition:V,nameIndex:U.nameIndex}}function I(){if(h===void 0){const U=nJ(t.mappings),K=zo(U,A);U.error!==void 0?(e.log&&e.log(`Encountered error while decoding sourcemap: ${U.error}`),h=et):h=K}return h}function R(U){if(b===void 0){const K=[];for(const Z of I()){if(!Fxe(Z))continue;let V=K[Z.sourceIndex];V||(K[Z.sourceIndex]=V=[]),V.push(Z)}b=K.map(Z=>KN(Z,Kje,zxe))}return b[U]}function M(){if(m===void 0){const U=[];for(const K of I())U.push(K);m=KN(U,Xje,zxe)}return m}function C(U){const K=p.get(e.getCanonicalFileName(U.fileName));if(K===void 0)return U;const Z=R(K);if(!bt(Z))return U;let V=bA(Z,U.pos,Yje,rl);V<0&&(V=~V);const se=Z[V];return se===void 0||se.sourceIndex!==K?U:{fileName:s,pos:se.generatedPosition}}function O(U){const K=M();if(!bt(K))return U;let Z=bA(K,U.pos,$je,rl);Z<0&&(Z=~Z);const V=K[Z];return V===void 0||!Fxe(V)?U:{fileName:u[V.sourceIndex],pos:V.sourcePosition}}}var rJ,e7,t7,n7,Qje=T({"src/compiler/sourcemap.ts"(){ra(),fS(),rJ=/\/\/[@#] source[M]appingURL=(.+)\r?\n?$/,e7=/^\/\/[@#] source[M]appingURL=(.+)\r?\n?$/,t7=/^\s*(\/\/[@#] .*)?$/,n7={getSourcePosition:nl,getGeneratedPosition:nl}}});function Wu(e){return e=Pl(e),e?as(e):0}function Zje(e){return!e||!Hg(e)?!1:bt(e.elements,Bxe)}function Bxe(e){return e.propertyName!==void 0&&e.propertyName.escapedText==="default"}function Pm(e,t){return r;function r(o){return o.kind===312?t(o):i(o)}function i(o){return e.factory.createBundle(wt(o.sourceFiles,t),o.prepends)}}function Rle(e){return!!bR(e)}function r7(e){if(bR(e))return!0;const t=e.importClause&&e.importClause.namedBindings;if(!t||!Hg(t))return!1;let r=0;for(const i of t.elements)Bxe(i)&&r++;return r>0&&r!==t.elements.length||!!(t.elements.length-r)&&WA(e)}function iJ(e){return!r7(e)&&(WA(e)||!!e.importClause&&Hg(e.importClause.namedBindings)&&Zje(e.importClause.namedBindings))}function oJ(e,t){const r=e.getEmitResolver(),i=e.getCompilerOptions(),o=[],s=new cJ,l=[],u=new Map;let p,h=!1,m,b=!1,A=!1,I=!1;for(const C of t.statements)switch(C.kind){case 272:o.push(C),!A&&r7(C)&&(A=!0),!I&&iJ(C)&&(I=!0);break;case 271:C.moduleReference.kind===283&&o.push(C);break;case 278:if(C.moduleSpecifier)if(!C.exportClause)o.push(C),b=!0;else if(o.push(C),Pf(C.exportClause))M(C);else{const O=C.exportClause.name;u.get(Sr(O))||(zP(l,Wu(C),O),u.set(Sr(O),!0),p=xn(p,O)),A=!0}else M(C);break;case 277:C.isExportEquals&&!m&&(m=C);break;case 243:if(Zr(C,32))for(const O of C.declarationList.declarations)p=Gxe(O,u,p,l);break;case 262:if(Zr(C,32))if(Zr(C,2048))h||(zP(l,Wu(C),e.factory.getDeclarationName(C)),h=!0);else{const O=C.name;u.get(Sr(O))||(zP(l,Wu(C),O),u.set(Sr(O),!0),p=xn(p,O))}break;case 263:if(Zr(C,32))if(Zr(C,2048))h||(zP(l,Wu(C),e.factory.getDeclarationName(C)),h=!0);else{const O=C.name;O&&!u.get(Sr(O))&&(zP(l,Wu(C),O),u.set(Sr(O),!0),p=xn(p,O))}break}const R=YH(e.factory,e.getEmitHelperFactory(),t,i,b,A,I);return R&&o.unshift(R),{externalImports:o,exportSpecifiers:s,exportEquals:m,hasExportStarsToExportValues:b,exportedBindings:l,exportedNames:p,externalHelpersImportDeclaration:R};function M(C){for(const O of aa(C.exportClause,Pf).elements)if(!u.get(Sr(O.name))){const U=O.propertyName||O.name;C.moduleSpecifier||s.add(U,O);const K=r.getReferencedImportDeclaration(U)||r.getReferencedValueDeclaration(U);K&&zP(l,Wu(K),O.name),u.set(Sr(O.name),!0),p=xn(p,O.name)}}}function Gxe(e,t,r,i){if(ta(e.name))for(const o of e.name.elements)jc(o)||(r=Gxe(o,t,r,i));else if(!sl(e.name)){const o=Sr(e.name);t.get(o)||(t.set(o,!0),r=xn(r,e.name),qg(e.name)&&zP(i,Wu(e),e.name))}return r}function zP(e,t,r){let i=e[t];return i?i.push(r):e[t]=i=[r],i}function _1(e){return ls(e)||e.kind===9||Bd(e.kind)||Ve(e)}function Rh(e){return!Ve(e)&&_1(e)}function BP(e){return e>=65&&e<=79}function GP(e){switch(e){case 65:return 40;case 66:return 41;case 67:return 42;case 68:return 43;case 69:return 44;case 70:return 45;case 71:return 48;case 72:return 49;case 73:return 50;case 74:return 51;case 75:return 52;case 79:return 53;case 76:return 57;case 77:return 56;case 78:return 61}}function i7(e){if(!eu(e))return;const t=hs(e.expression);return IS(t)?t:void 0}function Vxe(e,t,r){for(let i=t;i<e.length;i+=1){const o=e[i];if(i7(o))return r.unshift(i),!0;if(qS(o)&&Vxe(o.tryBlock.statements,0,r))return r.unshift(i),!0}return!1}function o7(e,t){const r=[];return Vxe(e,t,r),r}function aJ(e,t,r){return nr(e.members,i=>tUe(i,t,r))}function eUe(e){return nUe(e)||Rl(e)}function a7(e){return nr(e.members,eUe)}function tUe(e,t,r){return Jo(e)&&(!!e.initializer||!t)&&mc(e)===r}function nUe(e){return Jo(e)&&mc(e)}function ww(e){return e.kind===172&&e.initializer!==void 0}function Dle(e){return!sa(e)&&(MA(e)||Wd(e))&&Ji(e.name)}function Nle(e){let t;if(e){const r=e.parameters,i=r.length>0&&JE(r[0]),o=i?1:0,s=i?r.length-1:r.length;for(let l=0;l<s;l++){const u=r[l+o];(t||If(u))&&(t||(t=new Array(s)),t[l]=Ly(u))}}return t}function sJ(e){const t=Ly(e),r=Nle(ag(e));if(!(!bt(t)&&!bt(r)))return{decorators:t,parameters:r}}function s7(e,t,r){switch(e.kind){case 177:case 178:return r?rUe(e,t):jxe(e);case 174:return jxe(e);case 172:return iUe(e);default:return}}function rUe(e,t){if(!e.body)return;const{firstAccessor:r,secondAccessor:i,getAccessor:o,setAccessor:s}=wS(t.members,e),l=If(r)?r:i&&If(i)?i:void 0;if(!l||e!==l)return;const u=Ly(l),p=Nle(s);if(!(!bt(u)&&!bt(p)))return{decorators:u,parameters:p,getDecorators:o&&Ly(o),setDecorators:s&&Ly(s)}}function jxe(e){if(!e.body)return;const t=Ly(e),r=Nle(e);if(!(!bt(t)&&!bt(r)))return{decorators:t,parameters:r}}function iUe(e){const t=Ly(e);if(bt(t))return{decorators:t}}function Cle(e,t){for(;e;){const r=t(e);if(r!==void 0)return r;e=e.previous}}function Ple(e){return{data:e}}function lJ(e,t){var r,i;return gS(t)?(r=e==null?void 0:e.generatedIdentifiers)==null?void 0:r.get(lw(t)):(i=e==null?void 0:e.identifiers)==null?void 0:i.get(t.escapedText)}function eT(e,t,r){gS(t)?(e.generatedIdentifiers??(e.generatedIdentifiers=new Map),e.generatedIdentifiers.set(lw(t),r)):(e.identifiers??(e.identifiers=new Map),e.identifiers.set(t.escapedText,r))}function Mle(e,t){return Cle(e,r=>lJ(r.privateEnv,t))}function Lle(e){return!e.initializer&&Ve(e.name)}function Ow(e){return Zn(e,Lle)}var II,cJ,oUe=T({"src/compiler/transformers/utilities.ts"(){ra(),II=class UN{constructor(){this._map=new Map}get size(){return this._map.size}has(t){return this._map.has(UN.toKey(t))}get(t){return this._map.get(UN.toKey(t))}set(t,r){return this._map.set(UN.toKey(t),r),this}delete(t){var r;return((r=this._map)==null?void 0:r.delete(UN.toKey(t)))??!1}clear(){this._map.clear()}values(){return this._map.values()}static toKey(t){if(gS(t)||sl(t)){const r=t.emitNode.autoGenerate;if((r.flags&7)===4){const i=lw(t),o=Qh(i)&&i!==t?UN.toKey(i):`(generated@${as(i)})`;return N0(!1,r.prefix,o,r.suffix,UN.toKey)}else{const i=`(auto@${r.id})`;return N0(!1,r.prefix,i,r.suffix,UN.toKey)}}return Ji(t)?Sr(t).slice(1):Sr(t)}},cJ=class extends II{add(e,t){let r=this.get(e);return r?r.push(t):this.set(e,r=[t]),r}remove(e,t){const r=this.get(e);r&&(TA(r,t),r.length||this.delete(e))}}}});function tT(e,t,r,i,o,s){let l=e,u;if(jv(e))for(u=e.right;boe(e.left)||TU(e.left);)if(jv(u))l=e=u,u=e.right;else return N.checkDefined(nt(u,t,yt));let p;const h={context:r,level:i,downlevelIteration:!!r.getCompilerOptions().downlevelIteration,hoistTempVariables:!0,emitExpression:m,emitBindingOrAssignment:b,createArrayBindingOrAssignmentPattern:A=>fUe(r.factory,A),createObjectBindingOrAssignmentPattern:A=>_Ue(r.factory,A),createArrayBindingOrAssignmentElement:gUe,visitor:t};if(u&&(u=nt(u,t,yt),N.assert(u),Ve(u)&&kle(e,u.escapedText)||wle(e)?u=xI(h,u,!1,l):o?u=xI(h,u,!0,l):$s(e)&&(l=u)),VP(h,e,u,l,jv(e)),u&&o){if(!bt(p))return u;p.push(u)}return r.factory.inlineExpressions(p)||r.factory.createOmittedExpression();function m(A){p=xn(p,A)}function b(A,I,R,M){N.assertNode(A,s?Ve:yt);const C=s?s(A,I,R):dt(r.factory.createAssignment(N.checkDefined(nt(A,t,yt)),I),R);C.original=M,m(C)}}function kle(e,t){const r=rb(e);return vL(r)?aUe(r,t):Ve(r)?r.escapedText===t:!1}function aUe(e,t){const r=rD(e);for(const i of r)if(kle(i,t))return!0;return!1}function wle(e){const t=vF(e);if(t&&Za(t)&&!kE(t.expression))return!0;const r=rb(e);return!!r&&vL(r)&&sUe(r)}function sUe(e){return!!Ue(rD(e),wle)}function h1(e,t,r,i,o,s=!1,l){let u;const p=[],h=[],m={context:r,level:i,downlevelIteration:!!r.getCompilerOptions().downlevelIteration,hoistTempVariables:s,emitExpression:b,emitBindingOrAssignment:A,createArrayBindingOrAssignmentPattern:I=>pUe(r.factory,I),createObjectBindingOrAssignmentPattern:I=>mUe(r.factory,I),createArrayBindingOrAssignmentElement:I=>hUe(r.factory,I),visitor:t};if(wi(e)){let I=aw(e);I&&(Ve(I)&&kle(e,I.escapedText)||wle(e))&&(I=xI(m,N.checkDefined(nt(I,m.visitor,yt)),!1,I),e=r.factory.updateVariableDeclaration(e,e.name,void 0,void 0,I))}if(VP(m,e,o,e,l),u){const I=r.factory.createTempVariable(void 0);if(s){const R=r.factory.inlineExpressions(u);u=void 0,A(I,R,void 0,void 0)}else{r.hoistVariableDeclaration(I);const R=Ya(p);R.pendingExpressions=xn(R.pendingExpressions,r.factory.createAssignment(I,R.value)),Jr(R.pendingExpressions,u),R.value=I}}for(const{pendingExpressions:I,name:R,value:M,location:C,original:O}of p){const U=r.factory.createVariableDeclaration(R,void 0,void 0,I?r.factory.inlineExpressions(xn(I,M)):M);U.original=O,dt(U,C),h.push(U)}return h;function b(I){u=xn(u,I)}function A(I,R,M,C){N.assertNode(I,vS),u&&(R=r.factory.inlineExpressions(xn(u,R)),u=void 0),p.push({pendingExpressions:u,name:I,value:R,location:M,original:C})}}function VP(e,t,r,i,o){const s=rb(t);if(!o){const l=nt(aw(t),e.visitor,yt);l?r?(r=uUe(e,r,l,i),!Rh(l)&&vL(s)&&(r=xI(e,r,!0,i))):r=l:r||(r=e.context.factory.createVoidZero())}sj(s)?lUe(e,t,s,r,i):lj(s)?cUe(e,t,s,r,i):e.emitBindingOrAssignment(s,r,i,t)}function lUe(e,t,r,i,o){const s=rD(r),l=s.length;if(l!==1){const h=!gL(t)||l!==0;i=xI(e,i,h,o)}let u,p;for(let h=0;h<l;h++){const m=s[h];if(gF(m)){if(h===l-1){u&&(e.emitBindingOrAssignment(e.createObjectBindingOrAssignmentPattern(u),i,o,r),u=void 0);const b=e.context.getEmitHelperFactory().createRestHelper(i,s,p,r);VP(e,m,b,m)}}else{const b=$H(m);if(e.level>=1&&!(m.transformFlags&98304)&&!(rb(m).transformFlags&98304)&&!Za(b))u=xn(u,nt(m,e.visitor,Vre));else{u&&(e.emitBindingOrAssignment(e.createObjectBindingOrAssignmentPattern(u),i,o,r),u=void 0);const A=dUe(e,i,b);Za(b)&&(p=xn(p,A.argumentExpression)),VP(e,m,A,m)}}}u&&e.emitBindingOrAssignment(e.createObjectBindingOrAssignmentPattern(u),i,o,r)}function cUe(e,t,r,i,o){const s=rD(r),l=s.length;if(e.level<1&&e.downlevelIteration)i=xI(e,dt(e.context.getEmitHelperFactory().createReadHelper(i,l>0&&gF(s[l-1])?void 0:l),o),!1,o);else if(l!==1&&(e.level<1||l===0)||Zn(s,jc)){const h=!gL(t)||l!==0;i=xI(e,i,h,o)}let u,p;for(let h=0;h<l;h++){const m=s[h];if(e.level>=1)if(m.transformFlags&65536||e.hasTransformedPriorElement&&!Uxe(m)){e.hasTransformedPriorElement=!0;const b=e.context.factory.createTempVariable(void 0);e.hoistTempVariables&&e.context.hoistVariableDeclaration(b),p=xn(p,[b,m]),u=xn(u,e.createArrayBindingOrAssignmentElement(b))}else u=xn(u,m);else{if(jc(m))continue;if(gF(m)){if(h===l-1){const b=e.context.factory.createArraySliceCall(i,h);VP(e,m,b,m)}}else{const b=e.context.factory.createElementAccessExpression(i,h);VP(e,m,b,m)}}}if(u&&e.emitBindingOrAssignment(e.createArrayBindingOrAssignmentPattern(u),i,o,r),p)for(const[h,m]of p)VP(e,m,h,m)}function Uxe(e){const t=rb(e);if(!t||jc(t))return!0;const r=vF(e);if(r&&!N_(r))return!1;const i=aw(e);return i&&!Rh(i)?!1:vL(t)?Zn(rD(t),Uxe):Ve(t)}function uUe(e,t,r,i){return t=xI(e,t,!0,i),e.context.factory.createConditionalExpression(e.context.factory.createTypeCheck(t,"undefined"),void 0,r,void 0,t)}function dUe(e,t,r){const{factory:i}=e.context;if(Za(r)){const o=xI(e,N.checkDefined(nt(r.expression,e.visitor,yt)),!1,r);return e.context.factory.createElementAccessExpression(t,o)}else if(nf(r)){const o=i.cloneNode(r);return e.context.factory.createElementAccessExpression(t,o)}else{const o=e.context.factory.createIdentifier(Sr(r));return e.context.factory.createPropertyAccessExpression(t,o)}}function xI(e,t,r,i){if(Ve(t)&&r)return t;{const o=e.context.factory.createTempVariable(void 0);return e.hoistTempVariables?(e.context.hoistVariableDeclaration(o),e.emitExpression(dt(e.context.factory.createAssignment(o,t),i))):e.emitBindingOrAssignment(o,t,i,void 0),o}}function pUe(e,t){return N.assertEachNode(t,N4),e.createArrayBindingPattern(t)}function fUe(e,t){return N.assertEachNode(t,bL),e.createArrayLiteralExpression(wt(t,e.converters.convertToArrayAssignmentElement))}function mUe(e,t){return N.assertEachNode(t,Qa),e.createObjectBindingPattern(t)}function _Ue(e,t){return N.assertEachNode(t,yL),e.createObjectLiteralExpression(wt(t,e.converters.convertToObjectAssignmentElement))}function hUe(e,t){return e.createBindingElement(void 0,void 0,t)}function gUe(e){return e}var uJ,vUe=T({"src/compiler/transformers/destructuring.ts"(){ra(),uJ=(e=>(e[e.All=0]="All",e[e.ObjectRest=1]="ObjectRest",e))(uJ||{})}});function Ole(e,t,r=e.createThis()){const i=e.createAssignment(t,r),o=e.createExpressionStatement(i),s=e.createBlock([o],!1),l=e.createClassStaticBlockDeclaration(s);return Ou(l).classThis=t,l}function jP(e){var t;if(!Rl(e)||e.body.statements.length!==1)return!1;const r=e.body.statements[0];return eu(r)&&Lc(r.expression,!0)&&Ve(r.expression.left)&&((t=e.emitNode)==null?void 0:t.classThis)===r.expression.left&&r.expression.right.kind===110}function dJ(e){var t;return!!((t=e.emitNode)!=null&&t.classThis)&&bt(e.members,jP)}function Wle(e,t,r,i){if(dJ(t))return t;const o=Ole(e,r,i);t.name&&Pa(o.body.statements[0],t.name);const s=e.createNodeArray([o,...t.members]);dt(s,t.members);const l=Ic(t)?e.updateClassDeclaration(t,t.modifiers,t.name,t.typeParameters,t.heritageClauses,s):e.updateClassExpression(t,t.modifiers,t.name,t.typeParameters,t.heritageClauses,s);return Ou(l).classThis=r,l}var yUe=T({"src/compiler/transformers/classThis.ts"(){ra()}});function UP(e,t,r){const i=Pl($l(r));return(Ic(i)||Ac(i))&&!i.name&&Zr(i,2048)?e.createStringLiteral("default"):e.createStringLiteralFromNode(t)}function Hxe(e,t,r){const{factory:i}=e;if(r!==void 0)return{assignedName:i.createStringLiteral(r),name:t};if(N_(t)||Ji(t))return{assignedName:i.createStringLiteralFromNode(t),name:t};if(N_(t.expression)&&!Ve(t.expression))return{assignedName:i.createStringLiteralFromNode(t.expression),name:t};const o=i.getGeneratedNameForNode(t);e.hoistVariableDeclaration(o);const s=e.getEmitHelperFactory().createPropKeyHelper(t.expression),l=i.createAssignment(o,s),u=i.updateComputedPropertyName(t,l);return{assignedName:o,name:u}}function Fle(e,t,r=e.factory.createThis()){const{factory:i}=e,o=e.getEmitHelperFactory().createSetFunctionNameHelper(r,t),s=i.createExpressionStatement(o),l=i.createBlock([s],!1),u=i.createClassStaticBlockDeclaration(l);return Ou(u).assignedName=t,u}function RI(e){var t;if(!Rl(e)||e.body.statements.length!==1)return!1;const r=e.body.statements[0];return eu(r)&&mP(r.expression,"___setFunctionName")&&r.expression.arguments.length>=2&&r.expression.arguments[1]===((t=e.emitNode)==null?void 0:t.assignedName)}function l7(e){var t;return!!((t=e.emitNode)!=null&&t.assignedName)&&bt(e.members,RI)}function pJ(e){return!!e.name||l7(e)}function c7(e,t,r,i){if(l7(t))return t;const{factory:o}=e,s=Fle(e,r,i);t.name&&Pa(s.body.statements[0],t.name);const l=Gr(t.members,jP)+1,u=t.members.slice(0,l),p=t.members.slice(l),h=o.createNodeArray([...u,s,...p]);return dt(h,t.members),t=Ic(t)?o.updateClassDeclaration(t,t.modifiers,t.name,t.typeParameters,t.heritageClauses,h):o.updateClassExpression(t,t.modifiers,t.name,t.typeParameters,t.heritageClauses,h),Ou(t).assignedName=r,t}function mD(e,t,r,i){if(i&&Ma(r)&&zj(r))return t;const{factory:o}=e,s=$l(t),l=Zc(s)?aa(c7(e,s,r),Zc):e.getEmitHelperFactory().createSetFunctionNameHelper(s,r);return o.restoreOuterExpressions(t,l)}function bUe(e,t,r,i){const{factory:o}=e,{assignedName:s,name:l}=Hxe(e,t.name,i),u=mD(e,t.initializer,s,r);return o.updatePropertyAssignment(t,l,u)}function EUe(e,t,r,i){const{factory:o}=e,s=i!==void 0?o.createStringLiteral(i):UP(o,t.name,t.objectAssignmentInitializer),l=mD(e,t.objectAssignmentInitializer,s,r);return o.updateShorthandPropertyAssignment(t,t.name,l)}function SUe(e,t,r,i){const{factory:o}=e,s=i!==void 0?o.createStringLiteral(i):UP(o,t.name,t.initializer),l=mD(e,t.initializer,s,r);return o.updateVariableDeclaration(t,t.name,t.exclamationToken,t.type,l)}function TUe(e,t,r,i){const{factory:o}=e,s=i!==void 0?o.createStringLiteral(i):UP(o,t.name,t.initializer),l=mD(e,t.initializer,s,r);return o.updateParameterDeclaration(t,t.modifiers,t.dotDotDotToken,t.name,t.questionToken,t.type,l)}function AUe(e,t,r,i){const{factory:o}=e,s=i!==void 0?o.createStringLiteral(i):UP(o,t.name,t.initializer),l=mD(e,t.initializer,s,r);return o.updateBindingElement(t,t.dotDotDotToken,t.propertyName,t.name,l)}function IUe(e,t,r,i){const{factory:o}=e,{assignedName:s,name:l}=Hxe(e,t.name,i),u=mD(e,t.initializer,s,r);return o.updatePropertyDeclaration(t,t.modifiers,l,t.questionToken??t.exclamationToken,t.type,u)}function xUe(e,t,r,i){const{factory:o}=e,s=i!==void 0?o.createStringLiteral(i):UP(o,t.left,t.right),l=mD(e,t.right,s,r);return o.updateBinaryExpression(t,t.left,t.operatorToken,l)}function RUe(e,t,r,i){const{factory:o}=e,s=i!==void 0?o.createStringLiteral(i):o.createStringLiteral(t.isExportEquals?"":"default"),l=mD(e,t.expression,s,r);return o.updateExportAssignment(t,t.modifiers,l)}function Sp(e,t,r,i){switch(t.kind){case 303:return bUe(e,t,r,i);case 304:return EUe(e,t,r,i);case 260:return SUe(e,t,r,i);case 169:return TUe(e,t,r,i);case 208:return AUe(e,t,r,i);case 172:return IUe(e,t,r,i);case 226:return xUe(e,t,r,i);case 277:return RUe(e,t,r,i)}}var DUe=T({"src/compiler/transformers/namedEvaluation.ts"(){ra()}});function fJ(e,t,r,i,o,s){const l=nt(t.tag,r,yt);N.assert(l);const u=[void 0],p=[],h=[],m=t.template;if(s===0&&!uU(m))return In(t,r,e);const{factory:b}=e;if(rI(m))p.push(zle(b,m)),h.push(Ble(b,m,i));else{p.push(zle(b,m.head)),h.push(Ble(b,m.head,i));for(const I of m.templateSpans)p.push(zle(b,I.literal)),h.push(Ble(b,I.literal,i)),u.push(N.checkDefined(nt(I.expression,r,yt)))}const A=e.getEmitHelperFactory().createTemplateObjectHelper(b.createArrayLiteralExpression(p),b.createArrayLiteralExpression(h));if(sc(i)){const I=b.createUniqueName("templateObject");o(I),u[0]=b.createLogicalOr(I,b.createAssignment(I,A))}else u[0]=A;return b.createCallExpression(l,void 0,u)}function zle(e,t){return t.templateFlags&26656?e.createVoidZero():e.createStringLiteral(t.text)}function Ble(e,t,r){let i=t.rawText;if(i===void 0){N.assertIsDefined(r,"Template literal node is missing 'rawText' and does not have a source file. Possibly bad transform."),i=OE(r,t);const o=t.kind===15||t.kind===18;i=i.substring(1,i.length-(o?1:2))}return i=i.replace(/\r\n?/g,`
+`),dt(e.createStringLiteral(i),t)}var mJ,NUe=T({"src/compiler/transformers/taggedTemplate.ts"(){ra(),mJ=(e=>(e[e.LiftRestriction=0]="LiftRestriction",e[e.All=1]="All",e))(mJ||{})}});function Gle(e){const{factory:t,getEmitHelperFactory:r,startLexicalEnvironment:i,resumeLexicalEnvironment:o,endLexicalEnvironment:s,hoistVariableDeclaration:l}=e,u=e.getEmitResolver(),p=e.getCompilerOptions(),h=os(p),m=wu(p),b=!!p.experimentalDecorators,A=p.emitDecoratorMetadata?jle(e):void 0,I=e.onEmitNode,R=e.onSubstituteNode;e.onEmitNode=lc,e.onSubstituteNode=Nl,e.enableSubstitution(211),e.enableSubstitution(212);let M,C,O,U,K,Z,V,se;return G;function G(ee){return ee.kind===313?ne(ee):he(ee)}function ne(ee){return t.createBundle(ee.sourceFiles.map(he),Hi(ee.prepends,we=>we.kind===315?mH(we,"js"):we))}function he(ee){if(ee.isDeclarationFile)return ee;M=ee;const we=ye(ee,at);return Ug(we,e.readEmitHelpers()),M=void 0,we}function ye(ee,we){const Et=U,un=K,Er=Z;$(ee);const vr=we(ee);return U!==Et&&(K=un),U=Et,Z=Er,vr}function $(ee){switch(ee.kind){case 312:case 269:case 268:case 241:U=ee,K=void 0;break;case 263:case 262:if(Zr(ee,128))break;ee.name?J(ee):N.assert(ee.kind===263||Zr(ee,2048));break}}function Y(ee){return ye(ee,oe)}function oe(ee){return ee.transformFlags&1?je(ee):ee}function fe(ee){return ye(ee,Ne)}function Ne(ee){switch(ee.kind){case 272:case 271:case 277:case 278:return ie(ee);default:return oe(ee)}}function pe(ee){const we=Do(ee);if(we===ee||kl(ee))return!1;if(!we||we.kind!==ee.kind)return!0;switch(ee.kind){case 272:if(N.assertNode(we,kc),ee.importClause!==we.importClause||ee.attributes!==we.attributes)return!0;break;case 271:if(N.assertNode(we,tu),ee.name!==we.name||ee.isTypeOnly!==we.isTypeOnly||ee.moduleReference!==we.moduleReference&&(Zd(ee.moduleReference)||Zd(we.moduleReference)))return!0;break;case 278:if(N.assertNode(we,Yl),ee.exportClause!==we.exportClause||ee.attributes!==we.attributes)return!0;break}return!1}function ie(ee){if(pe(ee))return ee.transformFlags&1?In(ee,Y,e):ee;switch(ee.kind){case 272:return bn(ee);case 271:return xo(ee);case 277:return Ai(ee);case 278:return ir(ee);default:N.fail("Unhandled ellided statement")}}function ve(ee){return ye(ee,Le)}function Le(ee){if(!(ee.kind===278||ee.kind===272||ee.kind===273||ee.kind===271&&ee.moduleReference.kind===283))return ee.transformFlags&1||Zr(ee,32)?je(ee):ee}function He(ee){return we=>ye(we,Et=>Ee(Et,ee))}function Ee(ee,we){switch(ee.kind){case 176:return Rn(ee);case 172:return zt(ee,we);case 177:return Wa(ee,we);case 178:return Sn(ee,we);case 174:return Io(ee,we);case 175:return In(ee,Y,e);case 240:return ee;case 181:return;default:return N.failBadSyntaxKind(ee)}}function De(ee){return we=>ye(we,Et=>Pe(Et,ee))}function Pe(ee,we){switch(ee.kind){case 303:case 304:case 305:return Y(ee);case 177:return Wa(ee,we);case 178:return Sn(ee,we);case 174:return Io(ee,we);default:return N.failBadSyntaxKind(ee)}}function We(ee){return Eu(ee)?void 0:Y(ee)}function At(ee){return xa(ee)?void 0:Y(ee)}function Se(ee){if(!Eu(ee)&&!(UA(ee.kind)&28895)&&!(C&&ee.kind===95))return ee}function je(ee){if(qi(ee)&&Zr(ee,128))return t.createNotEmittedStatement(ee);switch(ee.kind){case 95:case 90:return C?void 0:ee;case 125:case 123:case 124:case 128:case 164:case 87:case 138:case 148:case 103:case 147:case 188:case 189:case 190:case 191:case 187:case 182:case 168:case 133:case 159:case 136:case 154:case 150:case 146:case 116:case 155:case 185:case 184:case 186:case 183:case 192:case 193:case 194:case 196:case 197:case 198:case 199:case 200:case 201:case 181:return;case 265:return t.createNotEmittedStatement(ee);case 270:return;case 264:return t.createNotEmittedStatement(ee);case 263:return tn(ee);case 231:return rn(ee);case 298:return Ar(ee);case 233:return sn(ee);case 210:return Gt(ee);case 176:case 172:case 174:case 177:case 178:case 175:return N.fail("Class and object literal elements must be visited with their respective visitors");case 262:return kn(ee);case 218:return qe(ee);case 219:return mt(ee);case 169:return ft(ee);case 217:return pt(ee);case 216:case 234:return j(ee);case 238:return Rt(ee);case 213:return Ye(ee);case 214:return _t(ee);case 215:return Vt(ee);case 235:return ot(ee);case 266:return q(ee);case 243:return Ct(ee);case 260:return Fe(ee);case 267:return Tt(ee);case 271:return xo(ee);case 285:return vn(ee);case 286:return fn(ee);default:return In(ee,Y,e)}}function at(ee){const we=fd(p,"alwaysStrict")&&!(sc(ee)&&m>=5)&&!Qf(ee);return t.updateSourceFile(ee,Zq(ee.statements,fe,e,0,we))}function Gt(ee){return t.updateObjectLiteralExpression(ee,Vn(ee.properties,De(ee),Wg))}function vt(ee){let we=0;bt(aJ(ee,!0,!0))&&(we|=1);const Et=D_(ee);return Et&&$l(Et.expression).kind!==106&&(we|=64),zv(b,ee)&&(we|=2),IC(b,ee)&&(we|=4),Dn(ee)?we|=8:Lo(ee)?we|=32:Ql(ee)&&(we|=16),we}function $e(ee){return!!(ee.transformFlags&8192)}function It(ee){return If(ee)||bt(ee.typeParameters)||bt(ee.heritageClauses,$e)||bt(ee.members,$e)}function tn(ee){const we=vt(ee),Et=h<=1&&!!(we&7);if(!It(ee)&&!zv(b,ee)&&!Dn(ee))return t.updateClassDeclaration(ee,Vn(ee.modifiers,Se,xa),ee.name,void 0,Vn(ee.heritageClauses,Y,of),Vn(ee.members,He(ee),$c));Et&&e.startLexicalEnvironment();const un=Et||we&8;let Er=un?Vn(ee.modifiers,At,ll):Vn(ee.modifiers,Y,ll);we&2&&(Er=Xn(Er,ee));const Ur=un&&!ee.name||we&4||we&1?ee.name??t.getGeneratedNameForNode(ee):ee.name,xi=t.updateClassDeclaration(ee,Er,Ur,void 0,Vn(ee.heritageClauses,Y,of),Pn(ee));let Lr=ja(ee);we&1&&(Lr|=64),pr(xi,Lr);let $o;if(Et){const Tl=[xi],Hc=IU(ka(M.text,ee.members.end),20),Us=t.getInternalName(ee),vc=t.createPartiallyEmittedExpression(Us);FR(vc,Hc.end),pr(vc,3072);const Ss=t.createReturnStatement(vc);tP(Ss,Hc.pos),pr(Ss,3840),Tl.push(Ss),eg(Tl,e.endLexicalEnvironment());const qc=t.createImmediatelyInvokedArrowFunction(Tl);zk(qc,1);const op=t.createVariableDeclaration(t.getLocalName(ee,!1,!1),void 0,void 0,qc);Cr(op,ee);const Wc=t.createVariableStatement(void 0,t.createVariableDeclarationList([op],1));Cr(Wc,ee),ac(Wc,ee),Pa(Wc,Gg(ee)),Xu(Wc),$o=Wc}else $o=xi;if(un){if(we&8)return[$o,cs(ee)];if(we&32)return[$o,t.createExportDefault(t.getLocalName(ee,!1,!0))];if(we&16)return[$o,t.createExternalModuleExport(t.getDeclarationName(ee,!1,!0))]}return $o}function rn(ee){let we=Vn(ee.modifiers,At,ll);return zv(b,ee)&&(we=Xn(we,ee)),t.updateClassExpression(ee,we,ee.name,void 0,Vn(ee.heritageClauses,Y,of),Pn(ee))}function Pn(ee){const we=Vn(ee.members,He(ee),$c);let Et;const un=ag(ee),Er=un&&nr(un.parameters,vr=>mp(vr,un));if(Er)for(const vr of Er){const Ur=t.createPropertyDeclaration(void 0,vr.name,void 0,void 0,void 0);Cr(Ur,vr),Et=xn(Et,Ur)}return Et?(Et=Jr(Et,we),dt(t.createNodeArray(Et),ee.members)):we}function Xn(ee,we){const Et=Qt(we,we);if(bt(Et)){const un=[];Jr(un,j6(ee,sw)),Jr(un,nr(ee,Eu)),Jr(un,Et),Jr(un,nr(Bne(ee,sw),xa)),ee=dt(t.createNodeArray(un),ee)}return ee}function hn(ee,we,Et){if(ui(Et)&&Fj(b,we,Et)){const un=Qt(we,Et);if(bt(un)){const Er=[];Jr(Er,nr(ee,Eu)),Jr(Er,un),Jr(Er,nr(ee,xa)),ee=dt(t.createNodeArray(Er),ee)}}return ee}function Qt(ee,we){if(b)return qxe?_i(ee,we):$t(ee,we)}function $t(ee,we){if(A){let Et;if(ao(ee)){const un=r().createMetadataHelper("design:type",A.serializeTypeOfNode({currentLexicalScope:U,currentNameScope:we},ee));Et=xn(Et,t.createDecorator(un))}if(No(ee)){const un=r().createMetadataHelper("design:paramtypes",A.serializeParameterTypesOfNode({currentLexicalScope:U,currentNameScope:we},ee,we));Et=xn(Et,t.createDecorator(un))}if(Oi(ee)){const un=r().createMetadataHelper("design:returntype",A.serializeReturnTypeOfNode({currentLexicalScope:U,currentNameScope:we},ee));Et=xn(Et,t.createDecorator(un))}return Et}}function _i(ee,we){if(A){let Et;if(ao(ee)){const un=t.createPropertyAssignment("type",t.createArrowFunction(void 0,void 0,[],void 0,t.createToken(39),A.serializeTypeOfNode({currentLexicalScope:U,currentNameScope:we},ee)));Et=xn(Et,un)}if(No(ee)){const un=t.createPropertyAssignment("paramTypes",t.createArrowFunction(void 0,void 0,[],void 0,t.createToken(39),A.serializeParameterTypesOfNode({currentLexicalScope:U,currentNameScope:we},ee,we)));Et=xn(Et,un)}if(Oi(ee)){const un=t.createPropertyAssignment("returnType",t.createArrowFunction(void 0,void 0,[],void 0,t.createToken(39),A.serializeReturnTypeOfNode({currentLexicalScope:U,currentNameScope:we},ee)));Et=xn(Et,un)}if(Et){const un=r().createMetadataHelper("design:typeinfo",t.createObjectLiteralExpression(Et,!0));return[t.createDecorator(un)]}}}function ao(ee){const we=ee.kind;return we===174||we===177||we===178||we===172}function Oi(ee){return ee.kind===174}function No(ee){switch(ee.kind){case 263:case 231:return ag(ee)!==void 0;case 174:case 177:case 178:return!0}return!1}function Mn(ee,we){const Et=ee.name;return Ji(Et)?t.createIdentifier(""):Za(Et)?Et.expression:Ve(Et)?t.createStringLiteral(Sr(Et)):t.cloneNode(Et)}function Di(ee){const we=ee.name;if(Za(we)&&(!mc(ee)&&Z||If(ee)&&b)){const Et=nt(we.expression,Y,yt);N.assert(Et);const un=Tm(Et);if(!Rh(un)){const Er=t.getGeneratedNameForNode(we);return l(Er),t.updateComputedPropertyName(we,t.createAssignment(Er,Et))}}return N.checkDefined(nt(we,Y,oc))}function Ar(ee){if(ee.token!==119)return In(ee,Y,e)}function sn(ee){return t.updateExpressionWithTypeArguments(ee,N.checkDefined(nt(ee.expression,Y,ep)),void 0)}function tt(ee){return!zl(ee.body)}function zt(ee,we){const Et=ee.flags&33554432||Zr(ee,64);if(Et&&!(b&&If(ee)))return;let un=ui(we)?Et?Vn(ee.modifiers,At,ll):Vn(ee.modifiers,Y,ll):Vn(ee.modifiers,We,ll);return un=hn(un,ee,we),Et?t.updatePropertyDeclaration(ee,So(un,t.createModifiersFromModifierFlags(128)),N.checkDefined(nt(ee.name,Y,oc)),void 0,void 0,void 0):t.updatePropertyDeclaration(ee,un,Di(ee),void 0,void 0,nt(ee.initializer,Y,yt))}function Rn(ee){if(tt(ee))return t.updateConstructorDeclaration(ee,void 0,Dl(ee.parameters,Y,e),hi(ee.body,ee))}function li(ee,we,Et,un,Er,vr){const Ur=un[Er],xi=we[Ur];if(Jr(ee,Vn(we,Y,qi,Et,Ur-Et)),qS(xi)){const Lr=[];li(Lr,xi.tryBlock.statements,0,un,Er+1,vr);const $o=t.createNodeArray(Lr);dt($o,xi.tryBlock.statements),ee.push(t.updateTryStatement(xi,t.updateBlock(xi.tryBlock,Lr),nt(xi.catchClause,Y,c1),nt(xi.finallyBlock,Y,Xo)))}else Jr(ee,Vn(we,Y,qi,Ur,1)),Jr(ee,vr);Jr(ee,Vn(we,Y,qi,Ur+1))}function hi(ee,we){const Et=we&&nr(we.parameters,Lr=>mp(Lr,we));if(!bt(Et))return lf(ee,Y,e);let un=[];o();const Er=t.copyPrologue(ee.statements,un,!1,Y),vr=o7(ee.statements,Er),Ur=Hi(Et,Qi);vr.length?li(un,ee.statements,Er,vr,0,Ur):(Jr(un,Ur),Jr(un,Vn(ee.statements,Y,qi,Er))),un=t.mergeLexicalEnvironment(un,s());const xi=t.createBlock(dt(t.createNodeArray(un),ee.statements),!0);return dt(xi,ee),Cr(xi,ee),xi}function Qi(ee){const we=ee.name;if(!Ve(we))return;const Et=qa(dt(t.cloneNode(we),we),we.parent);pr(Et,3168);const un=qa(dt(t.cloneNode(we),we),we.parent);return pr(un,3072),Xu(Fk(dt(Cr(t.createExpressionStatement(t.createAssignment(dt(t.createPropertyAccessExpression(t.createThis(),Et),ee.name),un)),ee),E0(ee,-1))))}function Io(ee,we){if(!(ee.transformFlags&1))return ee;if(!tt(ee))return;let Et=ui(we)?Vn(ee.modifiers,Y,ll):Vn(ee.modifiers,We,ll);return Et=hn(Et,ee,we),t.updateMethodDeclaration(ee,Et,ee.asteriskToken,Di(ee),void 0,void 0,Dl(ee.parameters,Y,e),void 0,lf(ee.body,Y,e))}function ma(ee){return!(zl(ee.body)&&Zr(ee,64))}function Wa(ee,we){if(!(ee.transformFlags&1))return ee;if(!ma(ee))return;let Et=ui(we)?Vn(ee.modifiers,Y,ll):Vn(ee.modifiers,We,ll);return Et=hn(Et,ee,we),t.updateGetAccessorDeclaration(ee,Et,Di(ee),Dl(ee.parameters,Y,e),void 0,lf(ee.body,Y,e)||t.createBlock([]))}function Sn(ee,we){if(!(ee.transformFlags&1))return ee;if(!ma(ee))return;let Et=ui(we)?Vn(ee.modifiers,Y,ll):Vn(ee.modifiers,We,ll);return Et=hn(Et,ee,we),t.updateSetAccessorDeclaration(ee,Et,Di(ee),Dl(ee.parameters,Y,e),lf(ee.body,Y,e)||t.createBlock([]))}function kn(ee){if(!tt(ee))return t.createNotEmittedStatement(ee);const we=t.updateFunctionDeclaration(ee,Vn(ee.modifiers,Se,xa),ee.asteriskToken,ee.name,void 0,Dl(ee.parameters,Y,e),void 0,lf(ee.body,Y,e)||t.createBlock([]));if(Dn(ee)){const Et=[we];return Vs(Et,ee),Et}return we}function qe(ee){return tt(ee)?t.updateFunctionExpression(ee,Vn(ee.modifiers,Se,xa),ee.asteriskToken,ee.name,void 0,Dl(ee.parameters,Y,e),void 0,lf(ee.body,Y,e)||t.createBlock([])):t.createOmittedExpression()}function mt(ee){return t.updateArrowFunction(ee,Vn(ee.modifiers,Se,xa),void 0,Dl(ee.parameters,Y,e),void 0,ee.equalsGreaterThanToken,lf(ee.body,Y,e))}function ft(ee){if(JE(ee))return;const we=t.updateParameterDeclaration(ee,Vn(ee.modifiers,Et=>Eu(Et)?Y(Et):void 0,ll),ee.dotDotDotToken,N.checkDefined(nt(ee.name,Y,vS)),void 0,void 0,nt(ee.initializer,Y,yt));return we!==ee&&(ac(we,ee),dt(we,L_(ee)),Pa(we,L_(ee)),pr(we.name,64)),we}function Ct(ee){if(Dn(ee)){const we=HC(ee.declarationList);return we.length===0?void 0:dt(t.createExpressionStatement(t.inlineExpressions(wt(we,le))),ee)}else return In(ee,Y,e)}function le(ee){const we=ee.name;return ta(we)?tT(ee,Y,e,0,!1,uu):dt(t.createAssignment(ho(we),N.checkDefined(nt(ee.initializer,Y,yt))),ee)}function Fe(ee){const we=t.updateVariableDeclaration(ee,N.checkDefined(nt(ee.name,Y,vS)),void 0,void 0,nt(ee.initializer,Y,yt));return ee.type&&Iae(we.name,ee.type),we}function pt(ee){const we=$l(ee.expression,-7);if(bS(we)){const Et=nt(ee.expression,Y,yt);return N.assert(Et),t.createPartiallyEmittedExpression(Et,ee)}return In(ee,Y,e)}function j(ee){const we=nt(ee.expression,Y,yt);return N.assert(we),t.createPartiallyEmittedExpression(we,ee)}function ot(ee){const we=nt(ee.expression,Y,ep);return N.assert(we),t.createPartiallyEmittedExpression(we,ee)}function Rt(ee){const we=nt(ee.expression,Y,yt);return N.assert(we),t.createPartiallyEmittedExpression(we,ee)}function Ye(ee){return t.updateCallExpression(ee,N.checkDefined(nt(ee.expression,Y,yt)),void 0,Vn(ee.arguments,Y,yt))}function _t(ee){return t.updateNewExpression(ee,N.checkDefined(nt(ee.expression,Y,yt)),void 0,Vn(ee.arguments,Y,yt))}function Vt(ee){return t.updateTaggedTemplateExpression(ee,N.checkDefined(nt(ee.tag,Y,yt)),void 0,N.checkDefined(nt(ee.template,Y,LA)))}function vn(ee){return t.updateJsxSelfClosingElement(ee,N.checkDefined(nt(ee.tagName,Y,vC)),void 0,N.checkDefined(nt(ee.attributes,Y,l1)))}function fn(ee){return t.updateJsxOpeningElement(ee,N.checkDefined(nt(ee.tagName,Y,vC)),void 0,N.checkDefined(nt(ee.attributes,Y,l1)))}function _n(ee){return!FE(ee)||e1(p)}function q(ee){if(!_n(ee))return t.createNotEmittedStatement(ee);const we=[];let Et=4;const un=ke(we,ee);un&&(m!==4||U!==M)&&(Et|=1024);const Er=pa(ee),vr=du(ee),Ur=Dn(ee)?t.getExternalModuleOrNamespaceExportName(O,ee,!1,!0):t.getDeclarationName(ee,!1,!0);let xi=t.createLogicalOr(Ur,t.createAssignment(Ur,t.createObjectLiteralExpression()));if(Dn(ee)){const $o=t.getLocalName(ee,!1,!0);xi=t.createAssignment($o,xi)}const Lr=t.createExpressionStatement(t.createCallExpression(t.createFunctionExpression(void 0,void 0,void 0,void 0,[t.createParameterDeclaration(void 0,void 0,Er)],void 0,Oe(ee,vr)),void 0,[xi]));return Cr(Lr,ee),un&&(I0(Lr,void 0),ZA(Lr,void 0)),dt(Lr,ee),k_(Lr,Et),we.push(Lr),we}function Oe(ee,we){const Et=O;O=we;const un=[];i();const Er=wt(ee.members,Lt);return eg(un,s()),Jr(un,Er),O=Et,t.createBlock(dt(t.createNodeArray(un),ee.members),!0)}function Lt(ee){const we=Mn(ee),Et=L(ee),un=t.createAssignment(t.createElementAccessExpression(O,we),Et),Er=Et.kind===11?un:t.createAssignment(t.createElementAccessExpression(O,un),we);return dt(t.createExpressionStatement(dt(Er,ee)),ee)}function L(ee){const we=u.getConstantValue(ee);return we!==void 0?typeof we=="string"?t.createStringLiteral(we):we<0?t.createPrefixUnaryExpression(41,t.createNumericLiteral(-we)):t.createNumericLiteral(we):(As(),ee.initializer?N.checkDefined(nt(ee.initializer,Y,yt)):t.createVoidZero())}function _e(ee){const we=Do(ee,Xl);return we?Kq(we,e1(p)):!0}function J(ee){K||(K=new Map);const we=Ge(ee);K.has(we)||K.set(we,ee)}function me(ee){if(K){const we=Ge(ee);return K.get(we)===ee}return!0}function Ge(ee){return N.assertNode(ee.name,Ve),ee.name.escapedText}function ke(ee,we){const Et=t.createVariableDeclaration(t.getLocalName(we,!1,!0)),un=U.kind===312?0:1,Er=t.createVariableStatement(Vn(we.modifiers,Se,xa),t.createVariableDeclarationList([Et],un));return Cr(Et,we),I0(Et,void 0),ZA(Et,void 0),Cr(Er,we),J(we),me(we)?(we.kind===266?Pa(Er.declarationList,we):Pa(Er,we),ac(Er,we),k_(Er,2048),ee.push(Er),!0):!1}function Tt(ee){if(!_e(ee))return t.createNotEmittedStatement(ee);N.assertNode(ee.name,Ve,"A TypeScript namespace should have an Identifier name."),La();const we=[];let Et=4;const un=ke(we,ee);un&&(m!==4||U!==M)&&(Et|=1024);const Er=pa(ee),vr=du(ee),Ur=Dn(ee)?t.getExternalModuleOrNamespaceExportName(O,ee,!1,!0):t.getDeclarationName(ee,!1,!0);let xi=t.createLogicalOr(Ur,t.createAssignment(Ur,t.createObjectLiteralExpression()));if(Dn(ee)){const $o=t.getLocalName(ee,!1,!0);xi=t.createAssignment($o,xi)}const Lr=t.createExpressionStatement(t.createCallExpression(t.createFunctionExpression(void 0,void 0,void 0,void 0,[t.createParameterDeclaration(void 0,void 0,Er)],void 0,kt(ee,vr)),void 0,[xi]));return Cr(Lr,ee),un&&(I0(Lr,void 0),ZA(Lr,void 0)),dt(Lr,ee),k_(Lr,Et),we.push(Lr),we}function kt(ee,we){const Et=O,un=C,Er=K;O=we,C=ee,K=void 0;const vr=[];i();let Ur,xi;if(ee.body)if(ee.body.kind===268)ye(ee.body,$o=>Jr(vr,Vn($o.statements,ve,qi))),Ur=ee.body.statements,xi=ee.body;else{const $o=Tt(ee.body);$o&&(To($o)?Jr(vr,$o):vr.push($o));const Tl=en(ee).body;Ur=E0(Tl.statements,-1)}eg(vr,s()),O=Et,C=un,K=Er;const Lr=t.createBlock(dt(t.createNodeArray(vr),Ur),!0);return dt(Lr,xi),(!ee.body||ee.body.kind!==268)&&pr(Lr,ja(Lr)|3072),Lr}function en(ee){if(ee.body.kind===267)return en(ee.body)||ee.body}function bn(ee){if(!ee.importClause)return ee;if(ee.importClause.isTypeOnly)return;const we=nt(ee.importClause,Bn,Sh);return we||p.importsNotUsedAsValues===1||p.importsNotUsedAsValues===2?t.updateImportDeclaration(ee,void 0,we,ee.moduleSpecifier,ee.attributes):void 0}function Bn(ee){N.assert(!ee.isTypeOnly);const we=de(ee)?ee.name:void 0,Et=nt(ee.namedBindings,Hn,pj);return we||Et?t.updateImportClause(ee,!1,we,Et):void 0}function Hn(ee){if(ee.kind===274)return de(ee)?ee:void 0;{const we=p.verbatimModuleSyntax||p.preserveValueImports&&(p.importsNotUsedAsValues===1||p.importsNotUsedAsValues===2),Et=Vn(ee.elements,Ni,np);return we||bt(Et)?t.updateNamedImports(ee,Et):void 0}}function Ni(ee){return!ee.isTypeOnly&&de(ee)?ee:void 0}function Ai(ee){return p.verbatimModuleSyntax||u.isValueAliasDeclaration(ee)?In(ee,Y,e):void 0}function ir(ee){if(ee.isTypeOnly)return;if(!ee.exportClause||Th(ee.exportClause))return ee;const we=p.verbatimModuleSyntax||!!ee.moduleSpecifier&&(p.importsNotUsedAsValues===1||p.importsNotUsedAsValues===2),Et=nt(ee.exportClause,un=>Ci(un,we),ej);return Et?t.updateExportDeclaration(ee,void 0,ee.isTypeOnly,Et,ee.moduleSpecifier,ee.attributes):void 0}function jr(ee,we){const Et=Vn(ee.elements,Ii,Ku);return we||bt(Et)?t.updateNamedExports(ee,Et):void 0}function vo(ee){return t.updateNamespaceExport(ee,N.checkDefined(nt(ee.name,Y,Ve)))}function Ci(ee,we){return Th(ee)?vo(ee):jr(ee,we)}function Ii(ee){return!ee.isTypeOnly&&(p.verbatimModuleSyntax||u.isValueAliasDeclaration(ee))?ee:void 0}function Kr(ee){return de(ee)||!sc(M)&&u.isTopLevelValueImportEqualsWithEntityName(ee)}function xo(ee){if(ee.isTypeOnly)return;if(h0(ee)){const Et=de(ee);return!Et&&p.importsNotUsedAsValues===1?Cr(dt(t.createImportDeclaration(void 0,void 0,ee.moduleReference.expression,void 0),ee),ee):Et?In(ee,Y,e):void 0}if(!Kr(ee))return;const we=nw(t,ee.moduleReference);return pr(we,7168),Ql(ee)||!Dn(ee)?Cr(dt(t.createVariableStatement(Vn(ee.modifiers,Se,xa),t.createVariableDeclarationList([Cr(t.createVariableDeclaration(ee.name,void 0,void 0,we),ee)])),ee),ee):Cr(vl(ee.name,we,ee),ee)}function Dn(ee){return C!==void 0&&Zr(ee,32)}function Fs(ee){return C===void 0&&Zr(ee,32)}function Ql(ee){return Fs(ee)&&!Zr(ee,2048)}function Lo(ee){return Fs(ee)&&Zr(ee,2048)}function cs(ee){const we=t.createAssignment(t.getExternalModuleOrNamespaceExportName(O,ee,!1,!0),t.getLocalName(ee));Pa(we,xf(ee.name?ee.name.pos:ee.pos,ee.end));const Et=t.createExpressionStatement(we);return Pa(Et,xf(-1,ee.end)),Et}function Vs(ee,we){ee.push(cs(we))}function vl(ee,we,Et){return dt(t.createExpressionStatement(t.createAssignment(t.getNamespaceMemberName(O,ee,!1,!0),we)),Et)}function uu(ee,we,Et){return dt(t.createAssignment(ho(ee),we),Et)}function ho(ee){return t.getNamespaceMemberName(O,ee,!1,!0)}function pa(ee){const we=t.getGeneratedNameForNode(ee);return Pa(we,ee.name),we}function du(ee){return t.getGeneratedNameForNode(ee)}function As(){V&8||(V|=8,e.enableSubstitution(80))}function La(){V&2||(V|=2,e.enableSubstitution(80),e.enableSubstitution(304),e.enableEmitNotification(267))}function _l(ee){return Pl(ee).kind===267}function wf(ee){return Pl(ee).kind===266}function lc(ee,we,Et){const un=se,Er=M;$i(we)&&(M=we),V&2&&_l(we)&&(se|=2),V&8&&wf(we)&&(se|=8),I(ee,we,Et),se=un,M=Er}function Nl(ee,we){return we=R(ee,we),ee===1?ko(we):rp(we)?ul(we):we}function ul(ee){if(V&2){const we=ee.name,Et=js(we);if(Et){if(ee.objectAssignmentInitializer){const un=t.createAssignment(Et,ee.objectAssignmentInitializer);return dt(t.createPropertyAssignment(we,un),ee)}return dt(t.createPropertyAssignment(we,Et),ee)}}return ee}function ko(ee){switch(ee.kind){case 80:return nn(ee);case 211:return nu(ee);case 212:return pu(ee)}return ee}function nn(ee){return js(ee)||ee}function js(ee){if(V&se&&!sl(ee)&&!qg(ee)){const we=u.getReferencedExportContainer(ee,!1);if(we&&we.kind!==312&&(se&2&&we.kind===267||se&8&&we.kind===266))return dt(t.createPropertyAccessExpression(t.getGeneratedNameForNode(we),ee),ee)}}function nu(ee){return Ms(ee)}function pu(ee){return Ms(ee)}function Ip(ee){return ee.replace(/\*\//g,"*_/")}function Ms(ee){const we=Rc(ee);if(we!==void 0){Tae(ee,we);const Et=typeof we=="string"?t.createStringLiteral(we):we<0?t.createPrefixUnaryExpression(41,t.createNumericLiteral(-we)):t.createNumericLiteral(we);if(!p.removeComments){const un=Pl(ee,ws);EW(Et,3,` ${Ip(fc(un))} `)}return Et}return ee}function Rc(ee){if(!om(p))return Fr(ee)||Qs(ee)?u.getConstantValue(ee):void 0}function de(ee){return p.verbatimModuleSyntax||lr(ee)||(p.preserveValueImports?u.isValueAliasDeclaration(ee):u.isReferencedAliasDeclaration(ee))}}var qxe,CUe=T({"src/compiler/transformers/ts.ts"(){ra(),qxe=!1}});function Vle(e){const{factory:t,getEmitHelperFactory:r,hoistVariableDeclaration:i,endLexicalEnvironment:o,startLexicalEnvironment:s,resumeLexicalEnvironment:l,addBlockScopedVariable:u}=e,p=e.getEmitResolver(),h=e.getCompilerOptions(),m=os(h),b=dP(h),A=!!h.experimentalDecorators,I=!b,R=b&&m<9,M=I||R,C=m<9,O=m<99?-1:b?0:3,U=m<9,K=U&&m>=2,Z=M||C||O===-1,V=e.onSubstituteNode;e.onSubstituteNode=nu;const se=e.onEmitNode;e.onEmitNode=js;let G=!1,ne,he,ye,$,Y;const oe=new Map,fe=new Set;let Ne,pe,ie=!1,ve=!1;return Pm(e,Le);function Le(de){if(de.isDeclarationFile||(Y=void 0,G=!!(Am(de)&32),!Z&&!G))return de;const ee=In(de,Ee,e);return Ug(ee,e.readEmitHelpers()),ee}function He(de){switch(de.kind){case 129:return zt()?void 0:de;default:return ii(de,xa)}}function Ee(de){if(!(de.transformFlags&16777216)&&!(de.transformFlags&134234112))return de;switch(de.kind){case 129:return N.fail("Use `modifierVisitor` instead.");case 263:return fn(de);case 231:return q(de);case 175:case 172:return N.fail("Use `classElementVisitor` instead.");case 303:return $e(de);case 243:return It(de);case 260:return tn(de);case 169:return rn(de);case 208:return Pn(de);case 277:return Xn(de);case 81:return Gt(de);case 211:return ma(de);case 212:return Wa(de);case 224:case 225:return Sn(de,!1);case 226:return pt(de,!1);case 217:return ot(de,!1);case 213:return ft(de);case 244:return qe(de);case 215:return Ct(de);case 248:return kn(de);case 110:return L(de);case 262:case 218:return Oi(void 0,De,de);case 176:case 174:case 177:case 178:return Oi(de,De,de);default:return De(de)}}function De(de){return In(de,Ee,e)}function Pe(de){switch(de.kind){case 224:case 225:return Sn(de,!0);case 226:return pt(de,!0);case 361:return j(de);case 217:return ot(de,!0);default:return Ee(de)}}function We(de){switch(de.kind){case 298:return In(de,We,e);case 233:return Vt(de);default:return Ee(de)}}function At(de){switch(de.kind){case 210:case 209:return nn(de);default:return Ee(de)}}function Se(de){switch(de.kind){case 176:return Oi(de,$t,de);case 177:case 178:case 174:return Oi(de,ao,de);case 172:return Oi(de,Rn,de);case 175:return Oi(de,Lt,de);case 167:return Qt(de);case 240:return de;default:return ll(de)?He(de):Ee(de)}}function je(de){switch(de.kind){case 167:return Qt(de);default:return Ee(de)}}function at(de){switch(de.kind){case 172:return tt(de);case 177:case 178:return Se(de);default:N.assertMissingNode(de,"Expected node to either be a PropertyDeclaration, GetAccessorDeclaration, or SetAccessorDeclaration");break}}function Gt(de){return!C||qi(de.parent)?de:Cr(t.createIdentifier(""),de)}function vt(de){const ee=pa(de.left);if(ee){const we=nt(de.right,Ee,yt);return Cr(r().createClassPrivateFieldInHelper(ee.brandCheckIdentifier,we),de)}return In(de,Ee,e)}function $e(de){return hp(de,Fe)&&(de=Sp(e,de)),In(de,Ee,e)}function It(de){const ee=$;$=[];const we=In(de,Ee,e),Et=bt($)?[we,...$]:we;return $=ee,Et}function tn(de){return hp(de,Fe)&&(de=Sp(e,de)),In(de,Ee,e)}function rn(de){return hp(de,Fe)&&(de=Sp(e,de)),In(de,Ee,e)}function Pn(de){return hp(de,Fe)&&(de=Sp(e,de)),In(de,Ee,e)}function Xn(de){return hp(de,Fe)&&(de=Sp(e,de,!0,de.isExportEquals?"":"default")),In(de,Ee,e)}function hn(de){return bt(ye)&&(Gd(de)?(ye.push(de.expression),de=t.updateParenthesizedExpression(de,t.inlineExpressions(ye))):(ye.push(de),de=t.inlineExpressions(ye)),ye=void 0),de}function Qt(de){const ee=nt(de.expression,Ee,yt);return t.updateComputedPropertyName(de,hn(ee))}function $t(de){return Ne?me(de,Ne):De(de)}function _i(de){return!!(C||mc(de)&&Am(de)&32)}function ao(de){if(N.assert(!If(de)),!cd(de)||!_i(de))return In(de,Se,e);const ee=pa(de.name);if(N.assert(ee,"Undeclared private name for property declaration."),!ee.isValid)return de;const we=No(de);we&&xo().push(t.createAssignment(we,t.createFunctionExpression(nr(de.modifiers,Et=>xa(Et)&&!aI(Et)&&!Fae(Et)),de.asteriskToken,we,void 0,Dl(de.parameters,Ee,e),void 0,lf(de.body,Ee,e))))}function Oi(de,ee,we){if(de!==pe){const Et=pe;pe=de;const un=ee(we);return pe=Et,un}return ee(we)}function No(de){N.assert(Ji(de.name));const ee=pa(de.name);if(N.assert(ee,"Undeclared private name for property declaration."),ee.kind==="m")return ee.methodName;if(ee.kind==="a"){if(Fy(de))return ee.getterName;if(Fv(de))return ee.setterName}}function Mn(){const de=Ii(),ee=de.classThis??de.classConstructor??(Ne==null?void 0:Ne.name);return N.checkDefined(ee)}function Di(de){const ee=w_(de),we=qv(de),Et=de.name;let un=Et,Er=Et;if(Za(Et)&&!Rh(Et.expression)){const Hc=bF(Et);if(Hc)un=t.updateComputedPropertyName(Et,nt(Et.expression,Ee,yt)),Er=t.updateComputedPropertyName(Et,Hc.left);else{const Us=t.createTempVariable(i);Pa(Us,Et.expression);const vc=nt(Et.expression,Ee,yt),Ss=t.createAssignment(Us,vc);Pa(Ss,Et.expression),un=t.updateComputedPropertyName(Et,Ss),Er=t.updateComputedPropertyName(Et,Us)}}const vr=Vn(de.modifiers,He,xa),Ur=tq(t,de,vr,de.initializer);Cr(Ur,de),pr(Ur,3072),Pa(Ur,we);const xi=sa(de)?Mn():t.createThis(),Lr=yse(t,de,vr,un,xi);Cr(Lr,de),ac(Lr,ee),Pa(Lr,we);const $o=t.createModifiersFromModifierFlags(M_(vr)),Tl=bse(t,de,$o,Er,xi);return Cr(Tl,de),pr(Tl,3072),Pa(Tl,we),kw([Ur,Lr,Tl],at,$c)}function Ar(de){if(_i(de)){const ee=pa(de.name);if(N.assert(ee,"Undeclared private name for property declaration."),!ee.isValid)return de;if(ee.isStatic&&!C){const we=kt(de,t.createThis());if(we)return t.createClassStaticBlockDeclaration(t.createBlock([we],!0))}return}return I&&!sa(de)&&(Y!=null&&Y.data)&&Y.data.facts&16?t.updatePropertyDeclaration(de,Vn(de.modifiers,Ee,ll),de.name,void 0,void 0,void 0):(hp(de,Fe)&&(de=Sp(e,de)),t.updatePropertyDeclaration(de,Vn(de.modifiers,He,xa),nt(de.name,je,oc),void 0,void 0,nt(de.initializer,Ee,yt)))}function sn(de){if(M&&!Wd(de)){const ee=jr(de.name,!!de.initializer||b);if(ee&&xo().push(...Ese(ee)),sa(de)&&!C){const we=kt(de,t.createThis());if(we){const Et=t.createClassStaticBlockDeclaration(t.createBlock([we]));return Cr(Et,de),ac(Et,de),ac(we,{pos:-1,end:-1}),I0(we,void 0),ZA(we,void 0),Et}}return}return t.updatePropertyDeclaration(de,Vn(de.modifiers,He,xa),nt(de.name,je,oc),void 0,void 0,nt(de.initializer,Ee,yt))}function tt(de){return N.assert(!If(de),"Decorators should already have been transformed and elided."),cd(de)?Ar(de):sn(de)}function zt(){return O===-1||O===3&&!!(Y!=null&&Y.data)&&!!(Y.data.facts&16)}function Rn(de){return Wd(de)&&(zt()||mc(de)&&Am(de)&32)?Di(de):tt(de)}function li(){return!!pe&&mc(pe)&&Oy(pe)&&Wd(Pl(pe))}function hi(de){if(li()){const ee=$l(de);ee.kind===110&&fe.add(ee)}}function Qi(de,ee){return ee=nt(ee,Ee,yt),hi(ee),Io(de,ee)}function Io(de,ee){switch(ac(ee,E0(ee,-1)),de.kind){case"a":return r().createClassPrivateFieldGetHelper(ee,de.brandCheckIdentifier,de.kind,de.getterName);case"m":return r().createClassPrivateFieldGetHelper(ee,de.brandCheckIdentifier,de.kind,de.methodName);case"f":return r().createClassPrivateFieldGetHelper(ee,de.brandCheckIdentifier,de.kind,de.isStatic?de.variableName:void 0);case"untransformed":return N.fail("Access helpers should not be created for untransformed private elements");default:N.assertNever(de,"Unknown private element type")}}function ma(de){if(Ji(de.name)){const ee=pa(de.name);if(ee)return dt(Cr(Qi(ee,de.expression),de),de)}if(K&&pe&&zd(de)&&Ve(de.name)&&HP(pe)&&(Y!=null&&Y.data)){const{classConstructor:ee,superClassReference:we,facts:Et}=Y.data;if(Et&1)return ir(de);if(ee&&we){const un=t.createReflectGetCall(we,t.createStringLiteralFromNode(de.name),ee);return Cr(un,de.expression),dt(un,de.expression),un}}return In(de,Ee,e)}function Wa(de){if(K&&pe&&zd(de)&&HP(pe)&&(Y!=null&&Y.data)){const{classConstructor:ee,superClassReference:we,facts:Et}=Y.data;if(Et&1)return ir(de);if(ee&&we){const un=t.createReflectGetCall(we,nt(de.argumentExpression,Ee,yt),ee);return Cr(un,de.expression),dt(un,de.expression),un}}return In(de,Ee,e)}function Sn(de,ee){if(de.operator===46||de.operator===47){const we=hs(de.operand);if(eR(we)){let Et;if(Et=pa(we.name)){const un=nt(we.expression,Ee,yt);hi(un);const{readExpression:Er,initializeExpression:vr}=mt(un);let Ur=Qi(Et,Er);const xi=tb(de)||ee?void 0:t.createTempVariable(i);return Ur=fF(t,de,Ur,i,xi),Ur=Rt(Et,vr||Er,Ur,64),Cr(Ur,de),dt(Ur,de),xi&&(Ur=t.createComma(Ur,xi),dt(Ur,de)),Ur}}else if(K&&pe&&zd(we)&&HP(pe)&&(Y!=null&&Y.data)){const{classConstructor:Et,superClassReference:un,facts:Er}=Y.data;if(Er&1){const vr=ir(we);return tb(de)?t.updatePrefixUnaryExpression(de,vr):t.updatePostfixUnaryExpression(de,vr)}if(Et&&un){let vr,Ur;if(Fr(we)?Ve(we.name)&&(Ur=vr=t.createStringLiteralFromNode(we.name)):Rh(we.argumentExpression)?Ur=vr=we.argumentExpression:(Ur=t.createTempVariable(i),vr=t.createAssignment(Ur,nt(we.argumentExpression,Ee,yt))),vr&&Ur){let xi=t.createReflectGetCall(un,Ur,Et);dt(xi,we);const Lr=ee?void 0:t.createTempVariable(i);return xi=fF(t,de,xi,i,Lr),xi=t.createReflectSetCall(un,vr,xi,Et),Cr(xi,de),dt(xi,de),Lr&&(xi=t.createComma(xi,Lr),dt(xi,de)),xi}}}}return In(de,Ee,e)}function kn(de){return t.updateForStatement(de,nt(de.initializer,Pe,Af),nt(de.condition,Ee,yt),nt(de.incrementor,Pe,yt),Rd(de.statement,Ee,e))}function qe(de){return t.updateExpressionStatement(de,nt(de.expression,Pe,yt))}function mt(de){const ee=$s(de)?de:t.cloneNode(de);if(de.kind===110&&fe.has(de)&&fe.add(ee),Rh(de))return{readExpression:ee,initializeExpression:void 0};const we=t.createTempVariable(i),Et=t.createAssignment(we,ee);return{readExpression:we,initializeExpression:Et}}function ft(de){var ee;if(eR(de.expression)&&pa(de.expression.name)){const{thisArg:we,target:Et}=t.createCallBinding(de.expression,i,m);return hS(de)?t.updateCallChain(de,t.createPropertyAccessChain(nt(Et,Ee,yt),de.questionDotToken,"call"),void 0,void 0,[nt(we,Ee,yt),...Vn(de.arguments,Ee,yt)]):t.updateCallExpression(de,t.createPropertyAccessExpression(nt(Et,Ee,yt),"call"),void 0,[nt(we,Ee,yt),...Vn(de.arguments,Ee,yt)])}if(K&&pe&&zd(de.expression)&&HP(pe)&&((ee=Y==null?void 0:Y.data)!=null&&ee.classConstructor)){const we=t.createFunctionCallCall(nt(de.expression,Ee,yt),Y.data.classConstructor,Vn(de.arguments,Ee,yt));return Cr(we,de),dt(we,de),we}return In(de,Ee,e)}function Ct(de){var ee;if(eR(de.tag)&&pa(de.tag.name)){const{thisArg:we,target:Et}=t.createCallBinding(de.tag,i,m);return t.updateTaggedTemplateExpression(de,t.createCallExpression(t.createPropertyAccessExpression(nt(Et,Ee,yt),"bind"),void 0,[nt(we,Ee,yt)]),void 0,nt(de.template,Ee,LA))}if(K&&pe&&zd(de.tag)&&HP(pe)&&((ee=Y==null?void 0:Y.data)!=null&&ee.classConstructor)){const we=t.createFunctionBindCall(nt(de.tag,Ee,yt),Y.data.classConstructor,[]);return Cr(we,de),dt(we,de),t.updateTaggedTemplateExpression(de,we,void 0,nt(de.template,Ee,LA))}return In(de,Ee,e)}function le(de){if(Y&&oe.set(Pl(de),Y),C){if(jP(de)){const Et=nt(de.body.statements[0].expression,Ee,yt);return Lc(Et,!0)&&Et.left===Et.right?void 0:Et}if(RI(de))return nt(de.body.statements[0].expression,Ee,yt);s();let ee=Oi(de,Et=>Vn(Et,Ee,qi),de.body.statements);ee=t.mergeLexicalEnvironment(ee,o());const we=t.createImmediatelyInvokedArrowFunction(ee);return Cr(hs(we.expression),de),k_(hs(we.expression),4),Cr(we,de),dt(we,de),we}}function Fe(de){if(Zc(de)&&!de.name){const ee=a7(de);return bt(ee,RI)?!1:(C||!!Am(de))&&bt(ee,Et=>Rl(Et)||cd(Et)||M&&ww(Et))}return!1}function pt(de,ee){if(jv(de)){const we=ye;ye=void 0,de=t.updateBinaryExpression(de,nt(de.left,At,yt),de.operatorToken,nt(de.right,Ee,yt));const Et=bt(ye)?t.inlineExpressions(WM([...ye,de])):de;return ye=we,Et}if(Lc(de)){hp(de,Fe)&&(de=Sp(e,de),N.assertNode(de,Lc));const we=$l(de.left,9);if(eR(we)){const Et=pa(we.name);if(Et)return dt(Cr(Rt(Et,we.expression,de.right,de.operatorToken.kind),de),de)}else if(K&&pe&&zd(de.left)&&HP(pe)&&(Y!=null&&Y.data)){const{classConstructor:Et,superClassReference:un,facts:Er}=Y.data;if(Er&1)return t.updateBinaryExpression(de,ir(de.left),de.operatorToken,nt(de.right,Ee,yt));if(Et&&un){let vr=Qs(de.left)?nt(de.left.argumentExpression,Ee,yt):Ve(de.left.name)?t.createStringLiteralFromNode(de.left.name):void 0;if(vr){let Ur=nt(de.right,Ee,yt);if(BP(de.operatorToken.kind)){let Lr=vr;Rh(vr)||(Lr=t.createTempVariable(i),vr=t.createAssignment(Lr,vr));const $o=t.createReflectGetCall(un,Lr,Et);Cr($o,de.left),dt($o,de.left),Ur=t.createBinaryExpression($o,GP(de.operatorToken.kind),Ur),dt(Ur,de)}const xi=ee?void 0:t.createTempVariable(i);return xi&&(Ur=t.createAssignment(xi,Ur),dt(xi,de)),Ur=t.createReflectSetCall(un,vr,Ur,Et),Cr(Ur,de),dt(Ur,de),xi&&(Ur=t.createComma(Ur,xi),dt(Ur,de)),Ur}}}}return wUe(de)?vt(de):In(de,Ee,e)}function j(de,ee){const we=ZF(de.elements,Pe);return t.updateCommaListExpression(de,we)}function ot(de,ee){const we=ee?Pe:Ee,Et=nt(de.expression,we,yt);return t.updateParenthesizedExpression(de,Et)}function Rt(de,ee,we,Et){if(ee=nt(ee,Ee,yt),we=nt(we,Ee,yt),hi(ee),BP(Et)){const{readExpression:un,initializeExpression:Er}=mt(ee);ee=Er||un,we=t.createBinaryExpression(Io(de,un),GP(Et),we)}switch(ac(ee,E0(ee,-1)),de.kind){case"a":return r().createClassPrivateFieldSetHelper(ee,de.brandCheckIdentifier,we,de.kind,de.setterName);case"m":return r().createClassPrivateFieldSetHelper(ee,de.brandCheckIdentifier,we,de.kind,void 0);case"f":return r().createClassPrivateFieldSetHelper(ee,de.brandCheckIdentifier,we,de.kind,de.isStatic?de.variableName:void 0);case"untransformed":return N.fail("Access helpers should not be created for untransformed private elements");default:N.assertNever(de,"Unknown private element type")}}function Ye(de){return nr(de.members,Dle)}function _t(de){var ee;let we=0;const Et=Pl(de);Ic(Et)&&zv(A,Et)&&(we|=1),C&&(dJ(de)||l7(de))&&(we|=2);let un=!1,Er=!1,vr=!1,Ur=!1;for(const Lr of de.members)sa(Lr)?((Lr.name&&(Ji(Lr.name)||Wd(Lr))&&C||Wd(Lr)&&O===-1&&!de.name&&!((ee=de.emitNode)!=null&&ee.classThis))&&(we|=2),(Jo(Lr)||Rl(Lr))&&(U&&Lr.transformFlags&16384&&(we|=8,we&1||(we|=2)),K&&Lr.transformFlags&134217728&&(we&1||(we|=6)))):XE(Pl(Lr))||(Wd(Lr)?(Ur=!0,vr||(vr=cd(Lr))):cd(Lr)?(vr=!0,p.getNodeCheckFlags(Lr)&262144&&(we|=2)):Jo(Lr)&&(un=!0,Er||(Er=!!Lr.initializer)));return(R&&un||I&&Er||C&&vr||C&&Ur&&O===-1)&&(we|=16),we}function Vt(de){var ee;if((((ee=Y==null?void 0:Y.data)==null?void 0:ee.facts)||0)&4){const Et=t.createTempVariable(i,!0);return Ii().superClassReference=Et,t.updateExpressionWithTypeArguments(de,t.createAssignment(Et,nt(de.expression,Ee,yt)),void 0)}return In(de,Ee,e)}function vn(de,ee){var we;const Et=Ne,un=ye,Er=Y;Ne=de,ye=void 0,vo();const vr=Am(de)&32;if(C||vr){const Lr=Mo(de);if(Lr&&Ve(Lr))Kr().data.className=Lr;else if((we=de.emitNode)!=null&&we.assignedName&&Ma(de.emitNode.assignedName)){if(de.emitNode.assignedName.textSourceNode&&Ve(de.emitNode.assignedName.textSourceNode))Kr().data.className=de.emitNode.assignedName.textSourceNode;else if(tf(de.emitNode.assignedName.text,m)){const $o=t.createIdentifier(de.emitNode.assignedName.text);Kr().data.className=$o}}}if(C){const Lr=Ye(de);bt(Lr)&&(Kr().data.weakSetName=uu("instances",Lr[0].name))}const Ur=_t(de);Ur&&(Ii().facts=Ur),Ur&8&&Ni();const xi=ee(de,Ur);return Ci(),N.assert(Y===Er),Ne=Et,ye=un,xi}function fn(de){return vn(de,_n)}function _n(de,ee){var we,Et;let un;if(ee&2)if(C&&((we=de.emitNode)!=null&&we.classThis))Ii().classConstructor=de.emitNode.classThis,un=t.createAssignment(de.emitNode.classThis,t.getInternalName(de));else{const Ss=t.createTempVariable(i,!0);Ii().classConstructor=t.cloneNode(Ss),un=t.createAssignment(Ss,t.getInternalName(de))}(Et=de.emitNode)!=null&&Et.classThis&&(Ii().classThis=de.emitNode.classThis);const Er=p.getNodeCheckFlags(de)&262144,vr=Zr(de,32),Ur=Zr(de,2048);let xi=Vn(de.modifiers,He,xa);const Lr=Vn(de.heritageClauses,We,of),{members:$o,prologue:Tl}=_e(de),Hc=[];if(un&&xo().unshift(un),bt(ye)&&Hc.push(t.createExpressionStatement(t.inlineExpressions(ye))),I||C||Am(de)&32){const Ss=a7(de);bt(Ss)&&Tt(Hc,Ss,t.getInternalName(de))}Hc.length>0&&vr&&Ur&&(xi=Vn(xi,Ss=>sw(Ss)?void 0:Ss,xa),Hc.push(t.createExportAssignment(void 0,!1,t.getLocalName(de,!1,!0))));const Us=Ii().classConstructor;Er&&Us&&(Hn(),he[Wu(de)]=Us);const vc=t.updateClassDeclaration(de,xi,de.name,void 0,Lr,$o);return Hc.unshift(vc),Tl&&Hc.unshift(t.createExpressionStatement(Tl)),Hc}function q(de){return vn(de,Oe)}function Oe(de,ee){var we,Et,un;const Er=!!(ee&1),vr=a7(de),Ur=p.getNodeCheckFlags(de),xi=Ur&262144;let Lr;function $o(){var Wc;if(C&&((Wc=de.emitNode)!=null&&Wc.classThis))return Ii().classConstructor=de.emitNode.classThis;const cm=Ur&32768,gd=t.createTempVariable(cm?u:i,!0);return Ii().classConstructor=t.cloneNode(gd),gd}(we=de.emitNode)!=null&&we.classThis&&(Ii().classThis=de.emitNode.classThis),ee&2&&(Lr??(Lr=$o()));const Tl=Vn(de.modifiers,He,xa),Hc=Vn(de.heritageClauses,We,of),{members:Us,prologue:vc}=_e(de),Ss=t.updateClassExpression(de,Tl,de.name,void 0,Hc,Us),qc=[];if(vc&&qc.push(vc),(C||Am(de)&32)&&bt(vr,Wc=>Rl(Wc)||cd(Wc)||M&&ww(Wc))||bt(ye))if(Er)N.assertIsDefined($,"Decorated classes transformed by TypeScript are expected to be within a variable declaration."),bt(ye)&&Jr($,wt(ye,t.createExpressionStatement)),bt(vr)&&Tt($,vr,((Et=de.emitNode)==null?void 0:Et.classThis)??t.getInternalName(de)),Lr?qc.push(t.createAssignment(Lr,Ss)):C&&((un=de.emitNode)!=null&&un.classThis)?qc.push(t.createAssignment(de.emitNode.classThis,Ss)):qc.push(Ss);else{if(Lr??(Lr=$o()),xi){Hn();const Wc=t.cloneNode(Lr);Wc.emitNode.autoGenerate.flags&=-9,he[Wu(de)]=Wc}qc.push(t.createAssignment(Lr,Ss)),Jr(qc,ye),Jr(qc,en(vr,Lr)),qc.push(t.cloneNode(Lr))}else qc.push(Ss);return qc.length>1&&(k_(Ss,131072),qc.forEach(Xu)),t.inlineExpressions(qc)}function Lt(de){if(!C)return In(de,Ee,e)}function L(de){if(U&&pe&&Rl(pe)&&(Y!=null&&Y.data)){const{classThis:ee,classConstructor:we}=Y.data;return ee??we??de}return de}function _e(de){const ee=!!(Am(de)&32);if(C||G){for(const vr of de.members)if(cd(vr))if(_i(vr))vl(vr,vr.name,Dn);else{const Ur=Kr();eT(Ur,vr.name,{kind:"untransformed"})}if(C&&bt(Ye(de))&&J(),zt()){for(const vr of de.members)if(Wd(vr)){const Ur=t.getGeneratedPrivateNameForNode(vr.name,void 0,"_accessor_storage");if(C||ee&&mc(vr))vl(vr,Ur,Fs);else{const xi=Kr();eT(xi,Ur,{kind:"untransformed"})}}}}let we=Vn(de.members,Se,$c),Et;bt(we,Ml)||(Et=me(void 0,de));let un,Er;if(!C&&bt(ye)){let vr=t.createExpressionStatement(t.inlineExpressions(ye));if(vr.transformFlags&134234112){const xi=t.createTempVariable(i),Lr=t.createArrowFunction(void 0,void 0,[],void 0,void 0,t.createBlock([vr]));un=t.createAssignment(xi,Lr),vr=t.createExpressionStatement(t.createCallExpression(xi,void 0,[]))}const Ur=t.createBlock([vr]);Er=t.createClassStaticBlockDeclaration(Ur),ye=void 0}if(Et||Er){let vr;const Ur=An(we,jP),xi=An(we,RI);vr=xn(vr,Ur),vr=xn(vr,xi),vr=xn(vr,Et),vr=xn(vr,Er);const Lr=Ur||xi?nr(we,$o=>$o!==Ur&&$o!==xi):we;vr=Jr(vr,Lr),we=dt(t.createNodeArray(vr),de.members)}return{members:we,prologue:un}}function J(){const{weakSetName:de}=Kr().data;N.assert(de,"weakSetName should be set in private identifier environment"),xo().push(t.createAssignment(de,t.createNewExpression(t.createIdentifier("WeakSet"),void 0,[])))}function me(de,ee){if(de=nt(de,Ee,Ml),!(Y!=null&&Y.data)||!(Y.data.facts&16))return de;const we=D_(ee),Et=!!(we&&$l(we.expression).kind!==106),un=Dl(de?de.parameters:void 0,Ee,e),Er=ke(ee,de,Et);return Er?de?(N.assert(un),t.updateConstructorDeclaration(de,void 0,un,Er)):Xu(Cr(dt(t.createConstructorDeclaration(void 0,un??[],Er),de||ee),de)):de}function Ge(de,ee,we,Et,un,Er,vr){const Ur=Et[un],xi=ee[Ur];if(Jr(de,Vn(ee,Ee,qi,we,Ur-we)),we=Ur+1,qS(xi)){const Lr=[];Ge(Lr,xi.tryBlock.statements,0,Et,un+1,Er,vr);const $o=t.createNodeArray(Lr);dt($o,xi.tryBlock.statements),de.push(t.updateTryStatement(xi,t.updateBlock(xi.tryBlock,Lr),nt(xi.catchClause,Ee,c1),nt(xi.finallyBlock,Ee,Xo)))}else{for(Jr(de,Vn(ee,Ee,qi,Ur,1));we<ee.length;){const Lr=ee[we];if(mp(Pl(Lr),vr))we++;else break}Jr(de,Er)}Jr(de,Vn(ee,Ee,qi,we))}function ke(de,ee,we){const Et=aJ(de,!1,!1);let un=Et;b||(un=nr(un,Us=>!!Us.initializer||Ji(Us.name)||P_(Us)));const Er=Ye(de),vr=bt(un)||bt(Er);if(!ee&&!vr)return lf(void 0,Ee,e);l();const Ur=!ee&&we;let xi=0,Lr=[];const $o=[],Tl=t.createThis();if(Ai($o,Er,Tl),ee){const Us=nr(Et,Ss=>mp(Pl(Ss),ee)),vc=nr(un,Ss=>!mp(Pl(Ss),ee));Tt($o,Us,Tl),Tt($o,vc,Tl)}else Tt($o,un,Tl);if(ee!=null&&ee.body){xi=t.copyPrologue(ee.body.statements,Lr,!1,Ee);const Us=o7(ee.body.statements,xi);if(Us.length)Ge(Lr,ee.body.statements,xi,Us,0,$o,ee);else{for(;xi<ee.body.statements.length;){const vc=ee.body.statements[xi];if(mp(Pl(vc),ee))xi++;else break}Jr(Lr,$o),Jr(Lr,Vn(ee.body.statements,Ee,qi,xi))}}else Ur&&Lr.push(t.createExpressionStatement(t.createCallExpression(t.createSuper(),void 0,[t.createSpreadElement(t.createIdentifier("arguments"))]))),Jr(Lr,$o);if(Lr=t.mergeLexicalEnvironment(Lr,o()),Lr.length===0&&!ee)return;const Hc=ee!=null&&ee.body&&ee.body.statements.length>=Lr.length?ee.body.multiLine??Lr.length>0:Lr.length>0;return dt(t.createBlock(dt(t.createNodeArray(Lr),ee?ee.body.statements:de.members),Hc),ee?ee.body:void 0)}function Tt(de,ee,we){for(const Et of ee){if(sa(Et)&&!C)continue;const un=kt(Et,we);un&&de.push(un)}}function kt(de,ee){const we=Rl(de)?Oi(de,le,de):bn(de,ee);if(!we)return;const Et=t.createExpressionStatement(we);Cr(Et,de),k_(Et,ja(de)&3072),ac(Et,de);const un=Pl(de);return Ao(un)?(Pa(Et,un),Fk(Et)):Pa(Et,L_(de)),I0(we,void 0),ZA(we,void 0),P_(un)&&k_(Et,3072),Et}function en(de,ee){const we=[];for(const Et of de){const un=Rl(Et)?Oi(Et,le,Et):Oi(Et,()=>bn(Et,ee),void 0);un&&(Xu(un),Cr(un,Et),k_(un,ja(Et)&3072),Pa(un,L_(Et)),ac(un,Et),we.push(un))}return we}function bn(de,ee){var we;const Et=pe,un=Bn(de,ee);return un&&mc(de)&&((we=Y==null?void 0:Y.data)!=null&&we.facts)&&(Cr(un,de),k_(un,4),Pa(un,qv(de.name)),oe.set(Pl(de),Y)),pe=Et,un}function Bn(de,ee){const we=!b;hp(de,Fe)&&(de=Sp(e,de));const Et=P_(de)?t.getGeneratedPrivateNameForNode(de.name):Za(de.name)&&!Rh(de.name.expression)?t.updateComputedPropertyName(de.name,t.getGeneratedNameForNode(de.name)):de.name;if(mc(de)&&(pe=de),Ji(Et)&&_i(de)){const vr=pa(Et);if(vr)return vr.kind==="f"?vr.isStatic?PUe(t,vr.variableName,nt(de.initializer,Ee,yt)):MUe(t,ee,nt(de.initializer,Ee,yt),vr.brandCheckIdentifier):void 0;N.fail("Undeclared private name for property declaration.")}if((Ji(Et)||mc(de))&&!de.initializer)return;const un=Pl(de);if(Zr(un,64))return;let Er=nt(de.initializer,Ee,yt);if(mp(un,un.parent)&&Ve(Et)){const vr=t.cloneNode(Et);Er?(Gd(Er)&&rw(Er.expression)&&mP(Er.expression.left,"___runInitializers")&&pI(Er.expression.right)&&vp(Er.expression.right.expression)&&(Er=Er.expression.left),Er=t.inlineExpressions([Er,vr])):Er=vr,pr(Et,3168),Pa(vr,un.name),pr(vr,3072)}else Er??(Er=t.createVoidZero());if(we||Ji(Et)){const vr=$S(t,ee,Et,Et);return k_(vr,1024),t.createAssignment(vr,Er)}else{const vr=Za(Et)?Et.expression:Ve(Et)?t.createStringLiteral(Vi(Et.escapedText)):Et,Ur=t.createPropertyDescriptor({value:Er,configurable:!0,writable:!0,enumerable:!0});return t.createObjectDefinePropertyCall(ee,vr,Ur)}}function Hn(){ne&1||(ne|=1,e.enableSubstitution(80),he=[])}function Ni(){ne&2||(ne|=2,e.enableSubstitution(110),e.enableEmitNotification(262),e.enableEmitNotification(218),e.enableEmitNotification(176),e.enableEmitNotification(177),e.enableEmitNotification(178),e.enableEmitNotification(174),e.enableEmitNotification(172),e.enableEmitNotification(167))}function Ai(de,ee,we){if(!C||!bt(ee))return;const{weakSetName:Et}=Kr().data;N.assert(Et,"weakSetName should be set in private identifier environment"),de.push(t.createExpressionStatement(LUe(t,we,Et)))}function ir(de){return Fr(de)?t.updatePropertyAccessExpression(de,t.createVoidZero(),de.name):t.updateElementAccessExpression(de,t.createVoidZero(),nt(de.argumentExpression,Ee,yt))}function jr(de,ee){if(Za(de)){const we=bF(de),Et=nt(de.expression,Ee,yt),un=Tm(Et),Er=Rh(un);if(!(!!we||Lc(un)&&sl(un.left))&&!Er&&ee){const Ur=t.getGeneratedNameForNode(de);return p.getNodeCheckFlags(de)&32768?u(Ur):i(Ur),t.createAssignment(Ur,Et)}return Er||Ve(un)?void 0:Et}}function vo(){Y={previous:Y,data:void 0}}function Ci(){Y=Y==null?void 0:Y.previous}function Ii(){return N.assert(Y),Y.data??(Y.data={facts:0,classConstructor:void 0,classThis:void 0,superClassReference:void 0})}function Kr(){return N.assert(Y),Y.privateEnv??(Y.privateEnv=Ple({className:void 0,weakSetName:void 0}))}function xo(){return ye??(ye=[])}function Dn(de,ee,we,Et,un,Er,vr){Wd(de)?Vs(de,ee,we,Et,un,Er):Jo(de)?Fs(de,ee,we,Et,un,Er):ql(de)?Ql(de,ee,we,Et,un,Er):rf(de)?Lo(de,ee,we,Et,un,Er,vr):bp(de)&&cs(de,ee,we,Et,un,Er,vr)}function Fs(de,ee,we,Et,un,Er,vr){if(un){const Ur=N.checkDefined(we.classThis??we.classConstructor,"classConstructor should be set in private identifier environment"),xi=ho(ee);eT(Et,ee,{kind:"f",isStatic:!0,brandCheckIdentifier:Ur,variableName:xi,isValid:Er})}else{const Ur=ho(ee);eT(Et,ee,{kind:"f",isStatic:!1,brandCheckIdentifier:Ur,isValid:Er}),xo().push(t.createAssignment(Ur,t.createNewExpression(t.createIdentifier("WeakMap"),void 0,[])))}}function Ql(de,ee,we,Et,un,Er,vr){const Ur=ho(ee),xi=un?N.checkDefined(we.classThis??we.classConstructor,"classConstructor should be set in private identifier environment"):N.checkDefined(Et.data.weakSetName,"weakSetName should be set in private identifier environment");eT(Et,ee,{kind:"m",methodName:Ur,brandCheckIdentifier:xi,isStatic:un,isValid:Er})}function Lo(de,ee,we,Et,un,Er,vr){const Ur=ho(ee,"_get"),xi=un?N.checkDefined(we.classThis??we.classConstructor,"classConstructor should be set in private identifier environment"):N.checkDefined(Et.data.weakSetName,"weakSetName should be set in private identifier environment");(vr==null?void 0:vr.kind)==="a"&&vr.isStatic===un&&!vr.getterName?vr.getterName=Ur:eT(Et,ee,{kind:"a",getterName:Ur,setterName:void 0,brandCheckIdentifier:xi,isStatic:un,isValid:Er})}function cs(de,ee,we,Et,un,Er,vr){const Ur=ho(ee,"_set"),xi=un?N.checkDefined(we.classThis??we.classConstructor,"classConstructor should be set in private identifier environment"):N.checkDefined(Et.data.weakSetName,"weakSetName should be set in private identifier environment");(vr==null?void 0:vr.kind)==="a"&&vr.isStatic===un&&!vr.setterName?vr.setterName=Ur:eT(Et,ee,{kind:"a",getterName:void 0,setterName:Ur,brandCheckIdentifier:xi,isStatic:un,isValid:Er})}function Vs(de,ee,we,Et,un,Er,vr){const Ur=ho(ee,"_get"),xi=ho(ee,"_set"),Lr=un?N.checkDefined(we.classThis??we.classConstructor,"classConstructor should be set in private identifier environment"):N.checkDefined(Et.data.weakSetName,"weakSetName should be set in private identifier environment");eT(Et,ee,{kind:"a",getterName:Ur,setterName:xi,brandCheckIdentifier:Lr,isStatic:un,isValid:Er})}function vl(de,ee,we){const Et=Ii(),un=Kr(),Er=lJ(un,ee),vr=mc(de),Ur=!kUe(ee)&&Er===void 0;we(de,ee,Et,un,vr,Ur,Er)}function uu(de,ee,we){const{className:Et}=Kr().data,un=Et?{prefix:"_",node:Et,suffix:"_"}:"_",Er=typeof de=="object"?t.getGeneratedNameForNode(de,24,un,we):typeof de=="string"?t.createUniqueName(de,16,un,we):t.createTempVariable(void 0,!0,un,we);return p.getNodeCheckFlags(ee)&32768?u(Er):i(Er),Er}function ho(de,ee){const we=SC(de);return uu((we==null?void 0:we.substring(1))??de,de,ee)}function pa(de){const ee=Mle(Y,de);return(ee==null?void 0:ee.kind)==="untransformed"?void 0:ee}function du(de){const ee=t.getGeneratedNameForNode(de),we=pa(de.name);if(!we)return In(de,Ee,e);let Et=de.expression;return(FL(de)||zd(de)||!_1(de.expression))&&(Et=t.createTempVariable(i,!0),xo().push(t.createBinaryExpression(Et,64,nt(de.expression,Ee,yt)))),t.createAssignmentTargetWrapper(ee,Rt(we,Et,ee,64))}function As(de){if(Oa(de)||_d(de))return nn(de);if(eR(de))return du(de);if(K&&pe&&zd(de)&&HP(pe)&&(Y!=null&&Y.data)){const{classConstructor:ee,superClassReference:we,facts:Et}=Y.data;if(Et&1)return ir(de);if(ee&&we){const un=Qs(de)?nt(de.argumentExpression,Ee,yt):Ve(de.name)?t.createStringLiteralFromNode(de.name):void 0;if(un){const Er=t.createTempVariable(void 0);return t.createAssignmentTargetWrapper(Er,t.createReflectSetCall(we,un,Er,ee))}}}return In(de,Ee,e)}function La(de){if(hp(de,Fe)&&(de=Sp(e,de)),Lc(de,!0)){const ee=As(de.left),we=nt(de.right,Ee,yt);return t.updateBinaryExpression(de,ee,de.operatorToken,we)}return As(de)}function _l(de){if(ep(de.expression)){const ee=As(de.expression);return t.updateSpreadElement(de,ee)}return In(de,Ee,e)}function wf(de){if(bL(de)){if(e_(de))return _l(de);if(!jc(de))return La(de)}return In(de,Ee,e)}function lc(de){const ee=nt(de.name,Ee,oc);if(Lc(de.initializer,!0)){const we=La(de.initializer);return t.updatePropertyAssignment(de,ee,we)}if(ep(de.initializer)){const we=As(de.initializer);return t.updatePropertyAssignment(de,ee,we)}return In(de,Ee,e)}function Nl(de){return hp(de,Fe)&&(de=Sp(e,de)),In(de,Ee,e)}function ul(de){if(ep(de.expression)){const ee=As(de.expression);return t.updateSpreadAssignment(de,ee)}return In(de,Ee,e)}function ko(de){return N.assertNode(de,yL),Xv(de)?ul(de):rp(de)?Nl(de):hc(de)?lc(de):In(de,Ee,e)}function nn(de){return _d(de)?t.updateArrayLiteralExpression(de,Vn(de.elements,wf,yt)):t.updateObjectLiteralExpression(de,Vn(de.properties,ko,Wg))}function js(de,ee,we){const Et=Pl(ee),un=oe.get(Et);if(un){const Er=Y,vr=ve;Y=un,ve=ie,ie=!Rl(Et)||!(Am(Et)&32),se(de,ee,we),ie=ve,ve=vr,Y=Er;return}switch(ee.kind){case 218:if(Gs(Et)||ja(ee)&524288)break;case 262:case 176:case 177:case 178:case 174:case 172:{const Er=Y,vr=ve;Y=void 0,ve=ie,ie=!1,se(de,ee,we),ie=ve,ve=vr,Y=Er;return}case 167:{const Er=Y,vr=ie;Y=Y==null?void 0:Y.previous,ie=ve,se(de,ee,we),ie=vr,Y=Er;return}}se(de,ee,we)}function nu(de,ee){return ee=V(de,ee),de===1?pu(ee):ee}function pu(de){switch(de.kind){case 80:return Ms(de);case 110:return Ip(de)}return de}function Ip(de){if(ne&2&&(Y!=null&&Y.data)&&!fe.has(de)){const{facts:ee,classConstructor:we,classThis:Et}=Y.data,un=ie?Et??we:we;if(un)return dt(Cr(t.cloneNode(un),de),de);if(ee&1&&A)return t.createParenthesizedExpression(t.createVoidZero())}return de}function Ms(de){return Rc(de)||de}function Rc(de){if(ne&1&&p.getNodeCheckFlags(de)&536870912){const ee=p.getReferencedValueDeclaration(de);if(ee){const we=he[ee.id];if(we){const Et=t.cloneNode(we);return Pa(Et,de),ac(Et,de),Et}}}}}function PUe(e,t,r){return e.createAssignment(t,e.createObjectLiteralExpression([e.createPropertyAssignment("value",r||e.createVoidZero())]))}function MUe(e,t,r,i){return e.createCallExpression(e.createPropertyAccessExpression(i,"set"),void 0,[t,r||e.createVoidZero()])}function LUe(e,t,r){return e.createCallExpression(e.createPropertyAccessExpression(r,"add"),void 0,[t])}function kUe(e){return!gS(e)&&e.escapedText==="#constructor"}function wUe(e){return Ji(e.left)&&e.operatorToken.kind===103}function OUe(e){return Jo(e)&&mc(e)}function HP(e){return Rl(e)||OUe(e)}var WUe=T({"src/compiler/transformers/classFields.ts"(){ra()}});function jle(e){const{factory:t,hoistVariableDeclaration:r}=e,i=e.getEmitResolver(),o=e.getCompilerOptions(),s=os(o),l=fd(o,"strictNullChecks");let u,p;return{serializeTypeNode:(ye,$)=>h(ye,M,$),serializeTypeOfNode:(ye,$)=>h(ye,b,$),serializeParameterTypesOfNode:(ye,$,Y)=>h(ye,A,$,Y),serializeReturnTypeOfNode:(ye,$)=>h(ye,R,$)};function h(ye,$,Y,oe){const fe=u,Ne=p;u=ye.currentLexicalScope,p=ye.currentNameScope;const pe=oe===void 0?$(Y):$(Y,oe);return u=fe,p=Ne,pe}function m(ye){const $=i.getAllAccessorDeclarations(ye);return $.setAccessor&&ioe($.setAccessor)||$.getAccessor&&nm($.getAccessor)}function b(ye){switch(ye.kind){case 172:case 169:return M(ye.type);case 178:case 177:return M(m(ye));case 263:case 231:case 174:return t.createIdentifier("Function");default:return t.createVoidZero()}}function A(ye,$){const Y=ui(ye)?ag(ye):ea(ye)&&Yf(ye.body)?ye:void 0,oe=[];if(Y){const fe=I(Y,$),Ne=fe.length;for(let pe=0;pe<Ne;pe++){const ie=fe[pe];pe===0&&Ve(ie.name)&&ie.name.escapedText==="this"||(ie.dotDotDotToken?oe.push(M(Oj(ie.type))):oe.push(b(ie)))}}return t.createArrayLiteralExpression(oe)}function I(ye,$){if($&&ye.kind===177){const{setAccessor:Y}=wS($.members,ye);if(Y)return Y.parameters}return ye.parameters}function R(ye){return ea(ye)&&ye.type?M(ye.type):MC(ye)?t.createIdentifier("Promise"):t.createVoidZero()}function M(ye){if(ye===void 0)return t.createIdentifier("Object");switch(ye=rk(ye),ye.kind){case 116:case 157:case 146:return t.createVoidZero();case 184:case 185:return t.createIdentifier("Function");case 188:case 189:return t.createIdentifier("Array");case 182:return ye.assertsModifier?t.createVoidZero():t.createIdentifier("Boolean");case 136:return t.createIdentifier("Boolean");case 203:case 154:return t.createIdentifier("String");case 151:return t.createIdentifier("Object");case 201:return C(ye.literal);case 150:return t.createIdentifier("Number");case 163:return he("BigInt",7);case 155:return he("Symbol",2);case 183:return K(ye);case 193:return O(ye.types,!0);case 192:return O(ye.types,!1);case 194:return O([ye.trueType,ye.falseType],!1);case 198:if(ye.operator===148)return M(ye.type);break;case 186:case 199:case 200:case 187:case 133:case 159:case 197:case 205:break;case 319:case 320:case 324:case 325:case 326:break;case 321:case 322:case 323:return M(ye.type);default:return N.failBadSyntaxKind(ye)}return t.createIdentifier("Object")}function C(ye){switch(ye.kind){case 11:case 15:return t.createIdentifier("String");case 224:{const $=ye.operand;switch($.kind){case 9:case 10:return C($);default:return N.failBadSyntaxKind($)}}case 9:return t.createIdentifier("Number");case 10:return he("BigInt",7);case 112:case 97:return t.createIdentifier("Boolean");case 106:return t.createVoidZero();default:return N.failBadSyntaxKind(ye)}}function O(ye,$){let Y;for(let oe of ye){if(oe=rk(oe),oe.kind===146){if($)return t.createVoidZero();continue}if(oe.kind===159){if(!$)return t.createIdentifier("Object");continue}if(oe.kind===133)return t.createIdentifier("Object");if(!l&&(Zy(oe)&&oe.literal.kind===106||oe.kind===157))continue;const fe=M(oe);if(Ve(fe)&&fe.escapedText==="Object")return fe;if(Y){if(!U(Y,fe))return t.createIdentifier("Object")}else Y=fe}return Y??t.createVoidZero()}function U(ye,$){return sl(ye)?sl($):Ve(ye)?Ve($)&&ye.escapedText===$.escapedText:Fr(ye)?Fr($)&&U(ye.expression,$.expression)&&U(ye.name,$.name):pI(ye)?pI($)&&vp(ye.expression)&&ye.expression.text==="0"&&vp($.expression)&&$.expression.text==="0":Ma(ye)?Ma($)&&ye.text===$.text:KR(ye)?KR($)&&U(ye.expression,$.expression):Gd(ye)?Gd($)&&U(ye.expression,$.expression):XR(ye)?XR($)&&U(ye.condition,$.condition)&&U(ye.whenTrue,$.whenTrue)&&U(ye.whenFalse,$.whenFalse):mr(ye)?mr($)&&ye.operatorToken.kind===$.operatorToken.kind&&U(ye.left,$.left)&&U(ye.right,$.right):!1}function K(ye){const $=i.getTypeReferenceSerializationKind(ye.typeName,p??u);switch($){case 0:if(Gn(ye,fe=>fe.parent&&dI(fe.parent)&&(fe.parent.trueType===fe||fe.parent.falseType===fe)))return t.createIdentifier("Object");const Y=V(ye.typeName),oe=t.createTempVariable(r);return t.createConditionalExpression(t.createTypeCheck(t.createAssignment(oe,Y),"function"),void 0,oe,void 0,t.createIdentifier("Object"));case 1:return se(ye.typeName);case 2:return t.createVoidZero();case 4:return he("BigInt",7);case 6:return t.createIdentifier("Boolean");case 3:return t.createIdentifier("Number");case 5:return t.createIdentifier("String");case 7:return t.createIdentifier("Array");case 8:return he("Symbol",2);case 10:return t.createIdentifier("Function");case 9:return t.createIdentifier("Promise");case 11:return t.createIdentifier("Object");default:return N.assertNever($)}}function Z(ye,$){return t.createLogicalAnd(t.createStrictInequality(t.createTypeOfExpression(ye),t.createStringLiteral("undefined")),$)}function V(ye){if(ye.kind===80){const oe=se(ye);return Z(oe,oe)}if(ye.left.kind===80)return Z(se(ye.left),se(ye));const $=V(ye.left),Y=t.createTempVariable(r);return t.createLogicalAnd(t.createLogicalAnd($.left,t.createStrictInequality(t.createAssignment(Y,$.right),t.createVoidZero())),t.createPropertyAccessExpression(Y,ye.right))}function se(ye){switch(ye.kind){case 80:const $=qa(dt(Ih.cloneNode(ye),ye),ye.parent);return $.original=void 0,qa($,Do(u)),$;case 166:return G(ye)}}function G(ye){return t.createPropertyAccessExpression(se(ye.left),ye.right)}function ne(ye){return t.createConditionalExpression(t.createTypeCheck(t.createIdentifier(ye),"function"),void 0,t.createIdentifier(ye),void 0,t.createIdentifier("Object"))}function he(ye,$){return s<$?ne(ye):t.createIdentifier(ye)}}var FUe=T({"src/compiler/transformers/typeSerializer.ts"(){ra()}});function Ule(e){const{factory:t,getEmitHelperFactory:r,hoistVariableDeclaration:i}=e,o=e.getEmitResolver(),s=e.getCompilerOptions(),l=os(s),u=e.onSubstituteNode;e.onSubstituteNode=je;let p;return Pm(e,h);function h($e){const It=In($e,b,e);return Ug(It,e.readEmitHelpers()),It}function m($e){return Eu($e)?void 0:$e}function b($e){if(!($e.transformFlags&33554432))return $e;switch($e.kind){case 170:return;case 263:return A($e);case 231:return K($e);case 176:return Z($e);case 174:return se($e);case 178:return ne($e);case 177:return G($e);case 172:return he($e);case 169:return ye($e);default:return In($e,b,e)}}function A($e){if(!(zv(!0,$e)||IC(!0,$e)))return In($e,b,e);const It=zv(!0,$e)?U($e,$e.name):O($e,$e.name);return lh(It)}function I($e){return!!($e.transformFlags&536870912)}function R($e){return bt($e,I)}function M($e){for(const It of $e.members){if(!QS(It))continue;const tn=s7(It,$e,!0);if(bt(tn==null?void 0:tn.decorators,I)||bt(tn==null?void 0:tn.parameters,R))return!0}return!1}function C($e,It){let tn=[];return oe(tn,$e,!1),oe(tn,$e,!0),M($e)&&(It=dt(t.createNodeArray([...It,t.createClassStaticBlockDeclaration(t.createBlock(tn,!0))]),It),tn=void 0),{decorationStatements:tn,members:It}}function O($e,It){const tn=Vn($e.modifiers,m,xa),rn=Vn($e.heritageClauses,b,of);let Pn=Vn($e.members,b,$c),Xn=[];({members:Pn,decorationStatements:Xn}=C($e,Pn));const hn=t.updateClassDeclaration($e,tn,It,void 0,rn,Pn);return Jr([hn],Xn)}function U($e,It){const tn=Zr($e,32),rn=Zr($e,2048),Pn=Vn($e.modifiers,zt=>sw(zt)||Eu(zt)?void 0:zt,ll),Xn=L_($e),hn=We($e),Qt=l<2?t.getInternalName($e,!1,!0):t.getLocalName($e,!1,!0),$t=Vn($e.heritageClauses,b,of);let _i=Vn($e.members,b,$c),ao=[];({members:_i,decorationStatements:ao}=C($e,_i));const Oi=l>=9&&!!hn&&bt(_i,zt=>Jo(zt)&&Zr(zt,256)||Rl(zt));Oi&&(_i=dt(t.createNodeArray([t.createClassStaticBlockDeclaration(t.createBlock([t.createExpressionStatement(t.createAssignment(hn,t.createThis()))])),..._i]),_i));const No=t.createClassExpression(Pn,It&&sl(It)?void 0:It,void 0,$t,_i);Cr(No,$e),dt(No,Xn);const Mn=hn&&!Oi?t.createAssignment(hn,No):No,Di=t.createVariableDeclaration(Qt,void 0,void 0,Mn);Cr(Di,$e);const Ar=t.createVariableDeclarationList([Di],1),sn=t.createVariableStatement(void 0,Ar);Cr(sn,$e),dt(sn,Xn),ac(sn,$e);const tt=[sn];if(Jr(tt,ao),ve(tt,$e),tn)if(rn){const zt=t.createExportDefault(Qt);tt.push(zt)}else{const zt=t.createExternalModuleExport(t.getDeclarationName($e));tt.push(zt)}return tt}function K($e){return t.updateClassExpression($e,Vn($e.modifiers,m,xa),$e.name,void 0,Vn($e.heritageClauses,b,of),Vn($e.members,b,$c))}function Z($e){return t.updateConstructorDeclaration($e,Vn($e.modifiers,m,xa),Vn($e.parameters,b,Ao),nt($e.body,b,Xo))}function V($e,It){return $e!==It&&(ac($e,It),Pa($e,L_(It))),$e}function se($e){return V(t.updateMethodDeclaration($e,Vn($e.modifiers,m,xa),$e.asteriskToken,N.checkDefined(nt($e.name,b,oc)),void 0,void 0,Vn($e.parameters,b,Ao),void 0,nt($e.body,b,Xo)),$e)}function G($e){return V(t.updateGetAccessorDeclaration($e,Vn($e.modifiers,m,xa),N.checkDefined(nt($e.name,b,oc)),Vn($e.parameters,b,Ao),void 0,nt($e.body,b,Xo)),$e)}function ne($e){return V(t.updateSetAccessorDeclaration($e,Vn($e.modifiers,m,xa),N.checkDefined(nt($e.name,b,oc)),Vn($e.parameters,b,Ao),nt($e.body,b,Xo)),$e)}function he($e){if(!($e.flags&33554432||Zr($e,128)))return V(t.updatePropertyDeclaration($e,Vn($e.modifiers,m,xa),N.checkDefined(nt($e.name,b,oc)),void 0,void 0,nt($e.initializer,b,yt)),$e)}function ye($e){const It=t.updateParameterDeclaration($e,vse(t,$e.modifiers),$e.dotDotDotToken,N.checkDefined(nt($e.name,b,vS)),void 0,void 0,nt($e.initializer,b,yt));return It!==$e&&(ac(It,$e),dt(It,L_($e)),Pa(It,L_($e)),pr(It.name,64)),It}function $($e){return mP($e.expression,"___metadata")}function Y($e){if(!$e)return;const{false:It,true:tn}=w6($e.decorators,$),rn=[];return Jr(rn,wt(It,He)),Jr(rn,Pi($e.parameters,Ee)),Jr(rn,wt(tn,He)),rn}function oe($e,It,tn){Jr($e,wt(pe(It,tn),rn=>t.createExpressionStatement(rn)))}function fe($e,It,tn){return BL(!0,$e,tn)&&It===sa($e)}function Ne($e,It){return nr($e.members,tn=>fe(tn,It,$e))}function pe($e,It){const tn=Ne($e,It);let rn;for(const Pn of tn)rn=xn(rn,ie($e,Pn));return rn}function ie($e,It){const tn=s7(It,$e,!0),rn=Y(tn);if(!rn)return;const Pn=Se($e,It),Xn=De(It,!Zr(It,128)),hn=l>0?Jo(It)&&!P_(It)?t.createVoidZero():t.createNull():void 0,Qt=r().createDecorateHelper(rn,Pn,Xn,hn);return pr(Qt,3072),Pa(Qt,L_(It)),Qt}function ve($e,It){const tn=Le(It);tn&&$e.push(Cr(t.createExpressionStatement(tn),It))}function Le($e){const It=sJ($e),tn=Y(It);if(!tn)return;const rn=p&&p[Wu($e)],Pn=l<2?t.getInternalName($e,!1,!0):t.getDeclarationName($e,!1,!0),Xn=r().createDecorateHelper(tn,Pn),hn=t.createAssignment(Pn,rn?t.createAssignment(rn,Xn):Xn);return pr(hn,3072),Pa(hn,L_($e)),hn}function He($e){return N.checkDefined(nt($e.expression,b,yt))}function Ee($e,It){let tn;if($e){tn=[];for(const rn of $e){const Pn=r().createParamHelper(He(rn),It);dt(Pn,rn.expression),pr(Pn,3072),tn.push(Pn)}}return tn}function De($e,It){const tn=$e.name;return Ji(tn)?t.createIdentifier(""):Za(tn)?It&&!Rh(tn.expression)?t.getGeneratedNameForNode(tn):tn.expression:Ve(tn)?t.createStringLiteral(Sr(tn)):t.cloneNode(tn)}function Pe(){p||(e.enableSubstitution(80),p=[])}function We($e){if(o.getNodeCheckFlags($e)&262144){Pe();const It=t.createUniqueName($e.name&&!sl($e.name)?Sr($e.name):"default");return p[Wu($e)]=It,i(It),It}}function At($e){return t.createPropertyAccessExpression(t.getDeclarationName($e),"prototype")}function Se($e,It){return sa(It)?t.getDeclarationName($e):At($e)}function je($e,It){return It=u($e,It),$e===1?at(It):It}function at($e){switch($e.kind){case 80:return Gt($e)}return $e}function Gt($e){return vt($e)??$e}function vt($e){if(p&&o.getNodeCheckFlags($e)&536870912){const It=o.getReferencedValueDeclaration($e);if(It){const tn=p[It.id];if(tn){const rn=t.cloneNode(tn);return Pa(rn,$e),ac(rn,$e),rn}}}}}var zUe=T({"src/compiler/transformers/legacyDecorators.ts"(){ra()}});function Hle(e){const{factory:t,getEmitHelperFactory:r,startLexicalEnvironment:i,endLexicalEnvironment:o,hoistVariableDeclaration:s}=e,l=os(e.getCompilerOptions());let u,p,h,m,b,A;return Pm(e,I);function I(L){u=void 0,A=!1;const _e=In(L,ne,e);return Ug(_e,e.readEmitHelpers()),A&&(QA(_e,32),A=!1),_e}function R(){switch(p=void 0,h=void 0,m=void 0,u==null?void 0:u.kind){case"class":p=u.classInfo;break;case"class-element":p=u.next.classInfo,h=u.classThis,m=u.classSuper;break;case"name":const L=u.next.next.next;(L==null?void 0:L.kind)==="class-element"&&(p=L.next.classInfo,h=L.classThis,m=L.classSuper);break}}function M(L){u={kind:"class",next:u,classInfo:L,savedPendingExpressions:b},b=void 0,R()}function C(){N.assert((u==null?void 0:u.kind)==="class","Incorrect value for top.kind.",()=>`Expected top.kind to be 'class' but got '${u==null?void 0:u.kind}' instead.`),b=u.savedPendingExpressions,u=u.next,R()}function O(L){var _e,J;N.assert((u==null?void 0:u.kind)==="class","Incorrect value for top.kind.",()=>`Expected top.kind to be 'class' but got '${u==null?void 0:u.kind}' instead.`),u={kind:"class-element",next:u},(Rl(L)||Jo(L)&&mc(L))&&(u.classThis=(_e=u.next.classInfo)==null?void 0:_e.classThis,u.classSuper=(J=u.next.classInfo)==null?void 0:J.classSuper),R()}function U(){var L;N.assert((u==null?void 0:u.kind)==="class-element","Incorrect value for top.kind.",()=>`Expected top.kind to be 'class-element' but got '${u==null?void 0:u.kind}' instead.`),N.assert(((L=u.next)==null?void 0:L.kind)==="class","Incorrect value for top.next.kind.",()=>{var _e;return`Expected top.next.kind to be 'class' but got '${(_e=u.next)==null?void 0:_e.kind}' instead.`}),u=u.next,R()}function K(){N.assert((u==null?void 0:u.kind)==="class-element","Incorrect value for top.kind.",()=>`Expected top.kind to be 'class-element' but got '${u==null?void 0:u.kind}' instead.`),u={kind:"name",next:u},R()}function Z(){N.assert((u==null?void 0:u.kind)==="name","Incorrect value for top.kind.",()=>`Expected top.kind to be 'name' but got '${u==null?void 0:u.kind}' instead.`),u=u.next,R()}function V(){(u==null?void 0:u.kind)==="other"?(N.assert(!b),u.depth++):(u={kind:"other",next:u,depth:0,savedPendingExpressions:b},b=void 0,R())}function se(){N.assert((u==null?void 0:u.kind)==="other","Incorrect value for top.kind.",()=>`Expected top.kind to be 'other' but got '${u==null?void 0:u.kind}' instead.`),u.depth>0?(N.assert(!b),u.depth--):(b=u.savedPendingExpressions,u=u.next,R())}function G(L){return!!(L.transformFlags&33554432)||!!h&&!!(L.transformFlags&16384)||!!h&&!!m&&!!(L.transformFlags&134217728)}function ne(L){if(!G(L))return L;switch(L.kind){case 170:return N.fail("Use `modifierVisitor` instead.");case 263:return Le(L);case 231:return He(L);case 176:case 172:case 175:return N.fail("Not supported outside of a class. Use 'classElementVisitor' instead.");case 169:return Xn(L);case 226:return ao(L,!1);case 303:return sn(L);case 260:return tt(L);case 208:return zt(L);case 277:return qe(L);case 110:return $e(L);case 248:return $t(L);case 244:return _i(L);case 361:return No(L,!1);case 217:return mt(L,!1);case 360:return ft(L);case 213:return It(L);case 215:return tn(L);case 224:case 225:return Oi(L,!1);case 211:return rn(L);case 212:return Pn(L);case 167:return Ar(L);case 174:case 178:case 177:case 218:case 262:{V();const _e=In(L,he,e);return se(),_e}default:return In(L,he,e)}}function he(L){switch(L.kind){case 170:return;default:return ne(L)}}function ye(L){switch(L.kind){case 170:return;default:return L}}function $(L){switch(L.kind){case 176:return Pe(L);case 174:return Se(L);case 177:return je(L);case 178:return at(L);case 172:return vt(L);case 175:return Gt(L);default:return ne(L)}}function Y(L){switch(L.kind){case 224:case 225:return Oi(L,!0);case 226:return ao(L,!0);case 361:return No(L,!0);case 217:return mt(L,!0);default:return ne(L)}}function oe(L){let _e=L.name&&Ve(L.name)&&!sl(L.name)?Sr(L.name):L.name&&Ji(L.name)&&!sl(L.name)?Sr(L.name).slice(1):L.name&&Ma(L.name)&&tf(L.name.text,99)?L.name.text:ui(L)?"class":"member";return Fy(L)&&(_e=`get_${_e}`),Fv(L)&&(_e=`set_${_e}`),L.name&&Ji(L.name)&&(_e=`private_${_e}`),sa(L)&&(_e=`static_${_e}`),"_"+_e}function fe(L,_e){return t.createUniqueName(`${oe(L)}_${_e}`,24)}function Ne(L,_e){return t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(L,void 0,void 0,_e)],1))}function pe(L){const _e=t.createUniqueName("_metadata",48);let J,me,Ge=!1,ke=!1,Tt=!1,kt,en,bn;if(mR(!1,L)){const Bn=bt(L.members,Hn=>(cd(Hn)||Wd(Hn))&&mc(Hn));kt=t.createUniqueName("_classThis",Bn?24:48)}for(const Bn of L.members){if(MA(Bn)&&BL(!1,Bn,L))if(mc(Bn)){if(!me){me=t.createUniqueName("_staticExtraInitializers",48);const Hn=r().createRunInitializersHelper(kt??t.createThis(),me);Pa(Hn,L.name??Gg(L)),en??(en=[]),en.push(Hn)}}else{if(!J){J=t.createUniqueName("_instanceExtraInitializers",48);const Hn=r().createRunInitializersHelper(t.createThis(),J);Pa(Hn,L.name??Gg(L)),bn??(bn=[]),bn.push(Hn)}J??(J=t.createUniqueName("_instanceExtraInitializers",48))}if(Rl(Bn)?RI(Bn)||(Ge=!0):Jo(Bn)&&(mc(Bn)?Ge||(Ge=!!Bn.initializer||If(Bn)):ke||(ke=!Dj(Bn))),(cd(Bn)||Wd(Bn))&&mc(Bn)&&(Tt=!0),me&&J&&Ge&&ke&&Tt)break}return{class:L,classThis:kt,metadataReference:_e,instanceMethodExtraInitializersName:J,staticMethodExtraInitializersName:me,hasStaticInitializers:Ge,hasNonAmbientInstanceFields:ke,hasStaticPrivateClassElements:Tt,pendingStaticInitializers:en,pendingInstanceInitializers:bn}}function ie(L){i(),!pJ(L)&&zv(!1,L)&&(L=c7(e,L,t.createStringLiteral("")));const _e=t.getLocalName(L,!1,!1,!0),J=pe(L),me=[];let Ge,ke,Tt,kt,en=!1;const bn=pt(sJ(L));bn&&(J.classDecoratorsName=t.createUniqueName("_classDecorators",48),J.classDescriptorName=t.createUniqueName("_classDescriptor",48),J.classExtraInitializersName=t.createUniqueName("_classExtraInitializers",48),N.assertIsDefined(J.classThis),me.push(Ne(J.classDecoratorsName,t.createArrayLiteralExpression(bn)),Ne(J.classDescriptorName),Ne(J.classExtraInitializersName,t.createArrayLiteralExpression()),Ne(J.classThis)),J.hasStaticPrivateClassElements&&(en=!0,A=!0));const Bn=ak(L.heritageClauses,96),Hn=Bn&&Xc(Bn.types),Ni=Hn&&nt(Hn.expression,ne,yt);if(Ni){J.classSuper=t.createUniqueName("_classSuper",48);const Kr=$l(Ni),xo=Zc(Kr)&&!Kr.name||Os(Kr)&&!Kr.name||Gs(Kr)?t.createComma(t.createNumericLiteral(0),Ni):Ni;me.push(Ne(J.classSuper,xo));const Dn=t.updateExpressionWithTypeArguments(Hn,J.classSuper,void 0),Fs=t.updateHeritageClause(Bn,[Dn]);kt=t.createNodeArray([Fs])}const Ai=J.classThis??t.createThis();M(J),Ge=xn(Ge,q(J.metadataReference,J.classSuper));let ir=L.members;if(ir=Vn(ir,Kr=>Ml(Kr)?Kr:$(Kr),$c),ir=Vn(ir,Kr=>Ml(Kr)?$(Kr):Kr,$c),b){let Kr;for(let xo of b){xo=nt(xo,function Fs(Ql){if(!(Ql.transformFlags&16384))return Ql;switch(Ql.kind){case 110:return Kr||(Kr=t.createUniqueName("_outerThis",16),me.unshift(Ne(Kr,t.createThis()))),Kr;default:return In(Ql,Fs,e)}},yt);const Dn=t.createExpressionStatement(xo);Ge=xn(Ge,Dn)}b=void 0}if(C(),bt(J.pendingInstanceInitializers)&&!ag(L)){const Kr=Ee(L,J);if(Kr){const xo=D_(L),Dn=!!(xo&&$l(xo.expression).kind!==106),Fs=[];if(Dn){const Lo=t.createSpreadElement(t.createIdentifier("arguments")),cs=t.createCallExpression(t.createSuper(),void 0,[Lo]);Fs.push(t.createExpressionStatement(cs))}Jr(Fs,Kr);const Ql=t.createBlock(Fs,!0);Tt=t.createConstructorDeclaration(void 0,[],Ql)}}if(J.staticMethodExtraInitializersName&&me.push(Ne(J.staticMethodExtraInitializersName,t.createArrayLiteralExpression())),J.instanceMethodExtraInitializersName&&me.push(Ne(J.instanceMethodExtraInitializersName,t.createArrayLiteralExpression())),J.memberInfos&&Gc(J.memberInfos,(Kr,xo)=>{sa(xo)&&(me.push(Ne(Kr.memberDecoratorsName)),Kr.memberInitializersName&&me.push(Ne(Kr.memberInitializersName,t.createArrayLiteralExpression())),Kr.memberExtraInitializersName&&me.push(Ne(Kr.memberExtraInitializersName,t.createArrayLiteralExpression())),Kr.memberDescriptorName&&me.push(Ne(Kr.memberDescriptorName)))}),J.memberInfos&&Gc(J.memberInfos,(Kr,xo)=>{sa(xo)||(me.push(Ne(Kr.memberDecoratorsName)),Kr.memberInitializersName&&me.push(Ne(Kr.memberInitializersName,t.createArrayLiteralExpression())),Kr.memberExtraInitializersName&&me.push(Ne(Kr.memberExtraInitializersName,t.createArrayLiteralExpression())),Kr.memberDescriptorName&&me.push(Ne(Kr.memberDescriptorName)))}),Ge=Jr(Ge,J.staticNonFieldDecorationStatements),Ge=Jr(Ge,J.nonStaticNonFieldDecorationStatements),Ge=Jr(Ge,J.staticFieldDecorationStatements),Ge=Jr(Ge,J.nonStaticFieldDecorationStatements),J.classDescriptorName&&J.classDecoratorsName&&J.classExtraInitializersName&&J.classThis){Ge??(Ge=[]);const Kr=t.createPropertyAssignment("value",Ai),xo=t.createObjectLiteralExpression([Kr]),Dn=t.createAssignment(J.classDescriptorName,xo),Fs=t.createPropertyAccessExpression(Ai,"name"),Ql=r().createESDecorateHelper(t.createNull(),Dn,J.classDecoratorsName,{kind:"class",name:Fs,metadata:J.metadataReference},t.createNull(),J.classExtraInitializersName),Lo=t.createExpressionStatement(Ql);Pa(Lo,Gg(L)),Ge.push(Lo);const cs=t.createPropertyAccessExpression(J.classDescriptorName,"value"),Vs=t.createAssignment(J.classThis,cs),vl=t.createAssignment(_e,Vs);Ge.push(t.createExpressionStatement(vl))}if(Ge.push(Oe(Ai,J.metadataReference)),bt(J.pendingStaticInitializers)){for(const Kr of J.pendingStaticInitializers){const xo=t.createExpressionStatement(Kr);Pa(xo,qv(Kr)),ke=xn(ke,xo)}J.pendingStaticInitializers=void 0}if(J.classExtraInitializersName){const Kr=r().createRunInitializersHelper(Ai,J.classExtraInitializersName),xo=t.createExpressionStatement(Kr);Pa(xo,L.name??Gg(L)),ke=xn(ke,xo)}Ge&&ke&&!J.hasStaticInitializers&&(Jr(Ge,ke),ke=void 0);const jr=Ge&&t.createClassStaticBlockDeclaration(t.createBlock(Ge,!0));jr&&en&&zk(jr,32);const vo=ke&&t.createClassStaticBlockDeclaration(t.createBlock(ke,!0));if(jr||Tt||vo){const Kr=[],xo=ir.findIndex(RI);jr?(Jr(Kr,ir,0,xo+1),Kr.push(jr),Jr(Kr,ir,xo+1)):Jr(Kr,ir),Tt&&Kr.push(Tt),vo&&Kr.push(vo),ir=dt(t.createNodeArray(Kr),ir)}const Ci=o();let Ii;if(bn){Ii=t.createClassExpression(void 0,void 0,void 0,kt,ir),J.classThis&&(Ii=Wle(t,Ii,J.classThis));const Kr=t.createVariableDeclaration(_e,void 0,void 0,Ii),xo=t.createVariableDeclarationList([Kr]),Dn=J.classThis?t.createAssignment(_e,J.classThis):_e;me.push(t.createVariableStatement(void 0,xo),t.createReturnStatement(Dn))}else Ii=t.createClassExpression(void 0,L.name,void 0,kt,ir),me.push(t.createReturnStatement(Ii));if(en){QA(Ii,32);for(const Kr of Ii.members)(cd(Kr)||Wd(Kr))&&mc(Kr)&&QA(Kr,32)}return Cr(Ii,L),t.createImmediatelyInvokedArrowFunction(t.mergeLexicalEnvironment(me,Ci))}function ve(L){return zv(!1,L)||IC(!1,L)}function Le(L){if(ve(L)){const _e=[],J=Pl(L,ui)??L,me=J.name?t.createStringLiteralFromNode(J.name):t.createStringLiteral("default"),Ge=Zr(L,32),ke=Zr(L,2048);if(L.name||(L=c7(e,L,me)),Ge&&ke){const Tt=ie(L);if(L.name){const kt=t.createVariableDeclaration(t.getLocalName(L),void 0,void 0,Tt);Cr(kt,L);const en=t.createVariableDeclarationList([kt],1),bn=t.createVariableStatement(void 0,en);_e.push(bn);const Bn=t.createExportDefault(t.getDeclarationName(L));Cr(Bn,L),ac(Bn,w_(L)),Pa(Bn,Gg(L)),_e.push(Bn)}else{const kt=t.createExportDefault(Tt);Cr(kt,L),ac(kt,w_(L)),Pa(kt,Gg(L)),_e.push(kt)}}else{N.assertIsDefined(L.name,"A class declaration that is not a default export must have a name.");const Tt=ie(L),kt=Ge?Ai=>oI(Ai)?void 0:ye(Ai):ye,en=Vn(L.modifiers,kt,xa),bn=t.getLocalName(L,!1,!0),Bn=t.createVariableDeclaration(bn,void 0,void 0,Tt);Cr(Bn,L);const Hn=t.createVariableDeclarationList([Bn],1),Ni=t.createVariableStatement(en,Hn);if(Cr(Ni,L),ac(Ni,w_(L)),_e.push(Ni),Ge){const Ai=t.createExternalModuleExport(bn);Cr(Ai,L),_e.push(Ai)}}return lh(_e)}else{const _e=Vn(L.modifiers,ye,xa),J=Vn(L.heritageClauses,ne,of);M(void 0);const me=Vn(L.members,$,$c);return C(),t.updateClassDeclaration(L,_e,L.name,void 0,J,me)}}function He(L){if(ve(L)){const _e=ie(L);return Cr(_e,L),_e}else{const _e=Vn(L.modifiers,ye,xa),J=Vn(L.heritageClauses,ne,of);M(void 0);const me=Vn(L.members,$,$c);return C(),t.updateClassExpression(L,_e,L.name,void 0,J,me)}}function Ee(L,_e){if(bt(_e.pendingInstanceInitializers)){const J=[];return J.push(t.createExpressionStatement(t.inlineExpressions(_e.pendingInstanceInitializers))),_e.pendingInstanceInitializers=void 0,J}}function De(L,_e,J,me,Ge,ke){const Tt=me[Ge],kt=_e[Tt];if(Jr(L,Vn(_e,ne,qi,J,Tt-J)),qS(kt)){const en=[];De(en,kt.tryBlock.statements,0,me,Ge+1,ke);const bn=t.createNodeArray(en);dt(bn,kt.tryBlock.statements),L.push(t.updateTryStatement(kt,t.updateBlock(kt.tryBlock,en),nt(kt.catchClause,ne,c1),nt(kt.finallyBlock,ne,Xo)))}else Jr(L,Vn(_e,ne,qi,Tt,1)),Jr(L,ke);Jr(L,Vn(_e,ne,qi,Tt+1))}function Pe(L){O(L);const _e=Vn(L.modifiers,ye,xa),J=Vn(L.parameters,ne,Ao);let me;if(L.body&&p){const Ge=Ee(p.class,p);if(Ge){const ke=[],Tt=t.copyPrologue(L.body.statements,ke,!1,ne),kt=o7(L.body.statements,Tt);kt.length>0?De(ke,L.body.statements,Tt,kt,0,Ge):(Jr(ke,Ge),Jr(ke,Vn(L.body.statements,ne,qi))),me=t.createBlock(ke,!0),Cr(me,L.body),dt(me,L.body)}}return me??(me=nt(L.body,ne,Xo)),U(),t.updateConstructorDeclaration(L,_e,J,me)}function We(L,_e){return L!==_e&&(ac(L,_e),Pa(L,Gg(_e))),L}function At(L,_e,J){let me,Ge,ke,Tt,kt,en;if(!_e){const Hn=Vn(L.modifiers,ye,xa);return K(),Ge=Di(L.name),Z(),{modifiers:Hn,referencedName:me,name:Ge,initializersName:ke,descriptorName:en,thisArg:kt}}const bn=pt(s7(L,_e.class,!1)),Bn=Vn(L.modifiers,ye,xa);if(bn){const Hn=fe(L,"decorators"),Ni=t.createArrayLiteralExpression(bn),Ai=t.createAssignment(Hn,Ni),ir={memberDecoratorsName:Hn};_e.memberInfos??(_e.memberInfos=new Map),_e.memberInfos.set(L,ir),b??(b=[]),b.push(Ai);const jr=MA(L)||Wd(L)?sa(L)?_e.staticNonFieldDecorationStatements??(_e.staticNonFieldDecorationStatements=[]):_e.nonStaticNonFieldDecorationStatements??(_e.nonStaticNonFieldDecorationStatements=[]):Jo(L)&&!Wd(L)?sa(L)?_e.staticFieldDecorationStatements??(_e.staticFieldDecorationStatements=[]):_e.nonStaticFieldDecorationStatements??(_e.nonStaticFieldDecorationStatements=[]):N.fail(),vo=rf(L)?"getter":bp(L)?"setter":ql(L)?"method":Wd(L)?"accessor":Jo(L)?"field":N.fail();let Ci;if(Ve(L.name)||Ji(L.name))Ci={computed:!1,name:L.name};else if(N_(L.name))Ci={computed:!0,name:t.createStringLiteralFromNode(L.name)};else{const Kr=L.name.expression;N_(Kr)&&!Ve(Kr)?Ci={computed:!0,name:t.createStringLiteralFromNode(Kr)}:(K(),{referencedName:me,name:Ge}=Mn(L.name),Ci={computed:!0,name:me},Z())}const Ii={kind:vo,name:Ci,static:sa(L),private:Ji(L.name),access:{get:Jo(L)||rf(L)||ql(L),set:Jo(L)||bp(L)},metadata:_e.metadataReference};if(MA(L)){const Kr=sa(L)?_e.staticMethodExtraInitializersName:_e.instanceMethodExtraInitializersName;N.assertIsDefined(Kr);let xo;cd(L)&&J&&(xo=J(L,Vn(Bn,Ql=>ii(Ql,_P),xa)),ir.memberDescriptorName=en=fe(L,"descriptor"),xo=t.createAssignment(en,xo));const Dn=r().createESDecorateHelper(t.createThis(),xo??t.createNull(),Hn,Ii,t.createNull(),Kr),Fs=t.createExpressionStatement(Dn);Pa(Fs,Gg(L)),jr.push(Fs)}else if(Jo(L)){ke=ir.memberInitializersName??(ir.memberInitializersName=fe(L,"initializers")),Tt=ir.memberExtraInitializersName??(ir.memberExtraInitializersName=fe(L,"extraInitializers")),sa(L)&&(kt=_e.classThis);let Kr;cd(L)&&P_(L)&&J&&(Kr=J(L,void 0),ir.memberDescriptorName=en=fe(L,"descriptor"),Kr=t.createAssignment(en,Kr));const xo=r().createESDecorateHelper(Wd(L)?t.createThis():t.createNull(),Kr??t.createNull(),Hn,Ii,ke,Tt),Dn=t.createExpressionStatement(xo);Pa(Dn,Gg(L)),jr.push(Dn)}}return Ge===void 0&&(K(),Ge=Di(L.name),Z()),!bt(Bn)&&(ql(L)||Jo(L))&&pr(Ge,1024),{modifiers:Bn,referencedName:me,name:Ge,initializersName:ke,extraInitializersName:Tt,descriptorName:en,thisArg:kt}}function Se(L){O(L);const{modifiers:_e,name:J,descriptorName:me}=At(L,p,Rt);if(me)return U(),We(vn(_e,J,me),L);{const Ge=Vn(L.parameters,ne,Ao),ke=nt(L.body,ne,Xo);return U(),We(t.updateMethodDeclaration(L,_e,L.asteriskToken,J,void 0,void 0,Ge,void 0,ke),L)}}function je(L){O(L);const{modifiers:_e,name:J,descriptorName:me}=At(L,p,Ye);if(me)return U(),We(fn(_e,J,me),L);{const Ge=Vn(L.parameters,ne,Ao),ke=nt(L.body,ne,Xo);return U(),We(t.updateGetAccessorDeclaration(L,_e,J,Ge,void 0,ke),L)}}function at(L){O(L);const{modifiers:_e,name:J,descriptorName:me}=At(L,p,_t);if(me)return U(),We(_n(_e,J,me),L);{const Ge=Vn(L.parameters,ne,Ao),ke=nt(L.body,ne,Xo);return U(),We(t.updateSetAccessorDeclaration(L,_e,J,Ge,ke),L)}}function Gt(L){O(L);let _e;if(RI(L))_e=In(L,ne,e);else if(jP(L)){const J=h;h=void 0,_e=In(L,ne,e),h=J}else if(L=In(L,ne,e),_e=L,p&&(p.hasStaticInitializers=!0,bt(p.pendingStaticInitializers))){const J=[];for(const ke of p.pendingStaticInitializers){const Tt=t.createExpressionStatement(ke);Pa(Tt,qv(ke)),J.push(Tt)}const me=t.createBlock(J,!0);_e=[t.createClassStaticBlockDeclaration(me),_e],p.pendingStaticInitializers=void 0}return U(),_e}function vt(L){hp(L,hn)&&(L=Sp(e,L,Qt(L.initializer))),O(L),N.assert(!Dj(L),"Not yet implemented.");const{modifiers:_e,name:J,initializersName:me,extraInitializersName:Ge,descriptorName:ke,thisArg:Tt}=At(L,p,P_(L)?Vt:void 0);i();let kt=nt(L.initializer,ne,yt);me&&(kt=r().createRunInitializersHelper(Tt??t.createThis(),me,kt??t.createVoidZero())),sa(L)&&p&&kt&&(p.hasStaticInitializers=!0);const en=o();if(bt(en)&&(kt=t.createImmediatelyInvokedArrowFunction([...en,t.createReturnStatement(kt)])),p&&(sa(L)?(kt=Fe(p,!0,kt),Ge&&(p.pendingStaticInitializers??(p.pendingStaticInitializers=[]),p.pendingStaticInitializers.push(r().createRunInitializersHelper(p.classThis??t.createThis(),Ge)))):(kt=Fe(p,!1,kt),Ge&&(p.pendingInstanceInitializers??(p.pendingInstanceInitializers=[]),p.pendingInstanceInitializers.push(r().createRunInitializersHelper(t.createThis(),Ge))))),U(),P_(L)&&ke){const bn=w_(L),Bn=qv(L),Hn=L.name;let Ni=Hn,Ai=Hn;if(Za(Hn)&&!Rh(Hn.expression)){const Ii=bF(Hn);if(Ii)Ni=t.updateComputedPropertyName(Hn,nt(Hn.expression,ne,yt)),Ai=t.updateComputedPropertyName(Hn,Ii.left);else{const Kr=t.createTempVariable(s);Pa(Kr,Hn.expression);const xo=nt(Hn.expression,ne,yt),Dn=t.createAssignment(Kr,xo);Pa(Dn,Hn.expression),Ni=t.updateComputedPropertyName(Hn,Dn),Ai=t.updateComputedPropertyName(Hn,Kr)}}const ir=Vn(_e,Ii=>Ii.kind!==129?Ii:void 0,xa),jr=tq(t,L,ir,kt);Cr(jr,L),pr(jr,3072),Pa(jr,Bn),Pa(jr.name,L.name);const vo=fn(ir,Ni,ke);Cr(vo,L),ac(vo,bn),Pa(vo,Bn);const Ci=_n(ir,Ai,ke);return Cr(Ci,L),pr(Ci,3072),Pa(Ci,Bn),[jr,vo,Ci]}return We(t.updatePropertyDeclaration(L,_e,J,void 0,void 0,kt),L)}function $e(L){return h??L}function It(L){if(zd(L.expression)&&h){const _e=nt(L.expression,ne,yt),J=Vn(L.arguments,ne,yt),me=t.createFunctionCallCall(_e,h,J);return Cr(me,L),dt(me,L),me}return In(L,ne,e)}function tn(L){if(zd(L.tag)&&h){const _e=nt(L.tag,ne,yt),J=t.createFunctionBindCall(_e,h,[]);Cr(J,L),dt(J,L);const me=nt(L.template,ne,LA);return t.updateTaggedTemplateExpression(L,J,void 0,me)}return In(L,ne,e)}function rn(L){if(zd(L)&&Ve(L.name)&&h&&m){const _e=t.createStringLiteralFromNode(L.name),J=t.createReflectGetCall(m,_e,h);return Cr(J,L.expression),dt(J,L.expression),J}return In(L,ne,e)}function Pn(L){if(zd(L)&&h&&m){const _e=nt(L.argumentExpression,ne,yt),J=t.createReflectGetCall(m,_e,h);return Cr(J,L.expression),dt(J,L.expression),J}return In(L,ne,e)}function Xn(L){hp(L,hn)&&(L=Sp(e,L,Qt(L.initializer)));const _e=t.updateParameterDeclaration(L,void 0,L.dotDotDotToken,nt(L.name,ne,vS),void 0,void 0,nt(L.initializer,ne,yt));return _e!==L&&(ac(_e,L),dt(_e,L_(L)),Pa(_e,L_(L)),pr(_e.name,64)),_e}function hn(L){return Zc(L)&&!L.name&&ve(L)}function Qt(L){const _e=$l(L);return Zc(_e)&&!_e.name&&!zv(!1,_e)}function $t(L){return t.updateForStatement(L,nt(L.initializer,Y,Af),nt(L.condition,ne,yt),nt(L.incrementor,Y,yt),Rd(L.statement,ne,e))}function _i(L){return In(L,Y,e)}function ao(L,_e){if(jv(L)){const J=kn(L.left),me=nt(L.right,ne,yt);return t.updateBinaryExpression(L,J,L.operatorToken,me)}if(Lc(L)){if(hp(L,hn))return L=Sp(e,L,Qt(L.right)),In(L,ne,e);if(zd(L.left)&&h&&m){let J=Qs(L.left)?nt(L.left.argumentExpression,ne,yt):Ve(L.left.name)?t.createStringLiteralFromNode(L.left.name):void 0;if(J){let me=nt(L.right,ne,yt);if(BP(L.operatorToken.kind)){let ke=J;Rh(J)||(ke=t.createTempVariable(s),J=t.createAssignment(ke,J));const Tt=t.createReflectGetCall(m,ke,h);Cr(Tt,L.left),dt(Tt,L.left),me=t.createBinaryExpression(Tt,GP(L.operatorToken.kind),me),dt(me,L)}const Ge=_e?void 0:t.createTempVariable(s);return Ge&&(me=t.createAssignment(Ge,me),dt(Ge,L)),me=t.createReflectSetCall(m,J,me,h),Cr(me,L),dt(me,L),Ge&&(me=t.createComma(me,Ge),dt(me,L)),me}}}if(L.operatorToken.kind===28){const J=nt(L.left,Y,yt),me=nt(L.right,_e?Y:ne,yt);return t.updateBinaryExpression(L,J,L.operatorToken,me)}return In(L,ne,e)}function Oi(L,_e){if(L.operator===46||L.operator===47){const J=hs(L.operand);if(zd(J)&&h&&m){let me=Qs(J)?nt(J.argumentExpression,ne,yt):Ve(J.name)?t.createStringLiteralFromNode(J.name):void 0;if(me){let Ge=me;Rh(me)||(Ge=t.createTempVariable(s),me=t.createAssignment(Ge,me));let ke=t.createReflectGetCall(m,Ge,h);Cr(ke,L),dt(ke,L);const Tt=_e?void 0:t.createTempVariable(s);return ke=fF(t,L,ke,s,Tt),ke=t.createReflectSetCall(m,me,ke,h),Cr(ke,L),dt(ke,L),Tt&&(ke=t.createComma(ke,Tt),dt(ke,L)),ke}}}return In(L,ne,e)}function No(L,_e){const J=_e?ZF(L.elements,Y):ZF(L.elements,ne,Y);return t.updateCommaListExpression(L,J)}function Mn(L){if(N_(L)||Ji(L)){const ke=t.createStringLiteralFromNode(L),Tt=nt(L,ne,oc);return{referencedName:ke,name:Tt}}if(N_(L.expression)&&!Ve(L.expression)){const ke=t.createStringLiteralFromNode(L.expression),Tt=nt(L,ne,oc);return{referencedName:ke,name:Tt}}const _e=t.getGeneratedNameForNode(L);s(_e);const J=r().createPropKeyHelper(nt(L.expression,ne,yt)),me=t.createAssignment(_e,J),Ge=t.updateComputedPropertyName(L,le(me));return{referencedName:_e,name:Ge}}function Di(L){return Za(L)?Ar(L):nt(L,ne,oc)}function Ar(L){let _e=nt(L.expression,ne,yt);return Rh(_e)||(_e=le(_e)),t.updateComputedPropertyName(L,_e)}function sn(L){return hp(L,hn)&&(L=Sp(e,L,Qt(L.initializer))),In(L,ne,e)}function tt(L){return hp(L,hn)&&(L=Sp(e,L,Qt(L.initializer))),In(L,ne,e)}function zt(L){return hp(L,hn)&&(L=Sp(e,L,Qt(L.initializer))),In(L,ne,e)}function Rn(L){if(Oa(L)||_d(L))return kn(L);if(zd(L)&&h&&m){const _e=Qs(L)?nt(L.argumentExpression,ne,yt):Ve(L.name)?t.createStringLiteralFromNode(L.name):void 0;if(_e){const J=t.createTempVariable(void 0),me=t.createAssignmentTargetWrapper(J,t.createReflectSetCall(m,_e,J,h));return Cr(me,L),dt(me,L),me}}return In(L,ne,e)}function li(L){if(Lc(L,!0)){hp(L,hn)&&(L=Sp(e,L,Qt(L.right)));const _e=Rn(L.left),J=nt(L.right,ne,yt);return t.updateBinaryExpression(L,_e,L.operatorToken,J)}else return Rn(L)}function hi(L){if(ep(L.expression)){const _e=Rn(L.expression);return t.updateSpreadElement(L,_e)}return In(L,ne,e)}function Qi(L){return N.assertNode(L,bL),e_(L)?hi(L):jc(L)?In(L,ne,e):li(L)}function Io(L){const _e=nt(L.name,ne,oc);if(Lc(L.initializer,!0)){const J=li(L.initializer);return t.updatePropertyAssignment(L,_e,J)}if(ep(L.initializer)){const J=Rn(L.initializer);return t.updatePropertyAssignment(L,_e,J)}return In(L,ne,e)}function ma(L){return hp(L,hn)&&(L=Sp(e,L,Qt(L.objectAssignmentInitializer))),In(L,ne,e)}function Wa(L){if(ep(L.expression)){const _e=Rn(L.expression);return t.updateSpreadAssignment(L,_e)}return In(L,ne,e)}function Sn(L){return N.assertNode(L,yL),Xv(L)?Wa(L):rp(L)?ma(L):hc(L)?Io(L):In(L,ne,e)}function kn(L){if(_d(L)){const _e=Vn(L.elements,Qi,yt);return t.updateArrayLiteralExpression(L,_e)}else{const _e=Vn(L.properties,Sn,Wg);return t.updateObjectLiteralExpression(L,_e)}}function qe(L){return hp(L,hn)&&(L=Sp(e,L,Qt(L.expression))),In(L,ne,e)}function mt(L,_e){const J=_e?Y:ne,me=nt(L.expression,J,yt);return t.updateParenthesizedExpression(L,me)}function ft(L,_e){const J=ne,me=nt(L.expression,J,yt);return t.updatePartiallyEmittedExpression(L,me)}function Ct(L,_e){return bt(L)&&(_e?Gd(_e)?(L.push(_e.expression),_e=t.updateParenthesizedExpression(_e,t.inlineExpressions(L))):(L.push(_e),_e=t.inlineExpressions(L)):_e=t.inlineExpressions(L)),_e}function le(L){const _e=Ct(b,L);return N.assertIsDefined(_e),_e!==L&&(b=void 0),_e}function Fe(L,_e,J){const me=Ct(_e?L.pendingStaticInitializers:L.pendingInstanceInitializers,J);return me!==J&&(_e?L.pendingStaticInitializers=void 0:L.pendingInstanceInitializers=void 0),me}function pt(L){if(!L)return;const _e=[];return Jr(_e,wt(L.decorators,j)),_e}function j(L){const _e=nt(L.expression,ne,yt);pr(_e,3072);const J=$l(_e);if(ws(J)){const{target:me,thisArg:Ge}=t.createCallBinding(_e,s,l,!0);return t.restoreOuterExpressions(_e,t.createFunctionBindCall(me,Ge,[]))}return _e}function ot(L,_e,J,me,Ge,ke,Tt){const kt=t.createFunctionExpression(J,me,void 0,void 0,ke,void 0,Tt??t.createBlock([]));Cr(kt,L),Pa(kt,Gg(L)),pr(kt,3072);const en=Ge==="get"||Ge==="set"?Ge:void 0,bn=t.createStringLiteralFromNode(_e,void 0),Bn=r().createSetFunctionNameHelper(kt,bn,en),Hn=t.createPropertyAssignment(t.createIdentifier(Ge),Bn);return Cr(Hn,L),Pa(Hn,Gg(L)),pr(Hn,3072),Hn}function Rt(L,_e){return t.createObjectLiteralExpression([ot(L,L.name,_e,L.asteriskToken,"value",Vn(L.parameters,ne,Ao),nt(L.body,ne,Xo))])}function Ye(L,_e){return t.createObjectLiteralExpression([ot(L,L.name,_e,void 0,"get",[],nt(L.body,ne,Xo))])}function _t(L,_e){return t.createObjectLiteralExpression([ot(L,L.name,_e,void 0,"set",Vn(L.parameters,ne,Ao),nt(L.body,ne,Xo))])}function Vt(L,_e){return t.createObjectLiteralExpression([ot(L,L.name,_e,void 0,"get",[],t.createBlock([t.createReturnStatement(t.createPropertyAccessExpression(t.createThis(),t.getGeneratedPrivateNameForNode(L.name)))])),ot(L,L.name,_e,void 0,"set",[t.createParameterDeclaration(void 0,void 0,"value")],t.createBlock([t.createExpressionStatement(t.createAssignment(t.createPropertyAccessExpression(t.createThis(),t.getGeneratedPrivateNameForNode(L.name)),t.createIdentifier("value")))]))])}function vn(L,_e,J){return L=Vn(L,me=>aI(me)?me:void 0,xa),t.createGetAccessorDeclaration(L,_e,[],void 0,t.createBlock([t.createReturnStatement(t.createPropertyAccessExpression(J,t.createIdentifier("value")))]))}function fn(L,_e,J){return L=Vn(L,me=>aI(me)?me:void 0,xa),t.createGetAccessorDeclaration(L,_e,[],void 0,t.createBlock([t.createReturnStatement(t.createFunctionCallCall(t.createPropertyAccessExpression(J,t.createIdentifier("get")),t.createThis(),[]))]))}function _n(L,_e,J){return L=Vn(L,me=>aI(me)?me:void 0,xa),t.createSetAccessorDeclaration(L,_e,[t.createParameterDeclaration(void 0,void 0,"value")],t.createBlock([t.createReturnStatement(t.createFunctionCallCall(t.createPropertyAccessExpression(J,t.createIdentifier("set")),t.createThis(),[t.createIdentifier("value")]))]))}function q(L,_e){const J=t.createVariableDeclaration(L,void 0,void 0,t.createConditionalExpression(t.createLogicalAnd(t.createTypeCheck(t.createIdentifier("Symbol"),"function"),t.createPropertyAccessExpression(t.createIdentifier("Symbol"),"metadata")),t.createToken(58),t.createCallExpression(t.createPropertyAccessExpression(t.createIdentifier("Object"),"create"),void 0,[_e?Lt(_e):t.createNull()]),t.createToken(59),t.createVoidZero()));return t.createVariableStatement(void 0,t.createVariableDeclarationList([J],2))}function Oe(L,_e){const J=t.createObjectDefinePropertyCall(L,t.createPropertyAccessExpression(t.createIdentifier("Symbol"),"metadata"),t.createPropertyDescriptor({configurable:!0,writable:!0,enumerable:!0,value:_e},!0));return pr(t.createIfStatement(_e,t.createExpressionStatement(J)),1)}function Lt(L){return t.createBinaryExpression(t.createElementAccessExpression(L,t.createPropertyAccessExpression(t.createIdentifier("Symbol"),"metadata")),61,t.createNull())}}var BUe=T({"src/compiler/transformers/esDecorators.ts"(){ra()}});function qle(e){const{factory:t,getEmitHelperFactory:r,resumeLexicalEnvironment:i,endLexicalEnvironment:o,hoistVariableDeclaration:s}=e,l=e.getEmitResolver(),u=e.getCompilerOptions(),p=os(u);let h,m=0,b,A,I,R;const M=[];let C=0;const O=e.onEmitNode,U=e.onSubstituteNode;return e.onEmitNode=_i,e.onSubstituteNode=ao,Pm(e,K);function K(tt){if(tt.isDeclarationFile)return tt;Z(1,!1),Z(2,!Rj(tt,u));const zt=In(tt,$,e);return Ug(zt,e.readEmitHelpers()),zt}function Z(tt,zt){C=zt?C|tt:C&~tt}function V(tt){return(C&tt)!==0}function se(){return!V(1)}function G(){return V(2)}function ne(tt,zt,Rn){const li=tt&~C;if(li){Z(li,!0);const hi=zt(Rn);return Z(li,!1),hi}return zt(Rn)}function he(tt){return In(tt,$,e)}function ye(tt){switch(tt.kind){case 218:case 262:case 174:case 177:case 178:case 176:return tt;case 169:case 208:case 260:break;case 80:if(R&&l.isArgumentsLocalBinding(tt))return R;break}return In(tt,ye,e)}function $(tt){if(!(tt.transformFlags&256))return R?ye(tt):tt;switch(tt.kind){case 134:return;case 223:return ve(tt);case 174:return ne(3,He,tt);case 262:return ne(3,Pe,tt);case 218:return ne(3,We,tt);case 219:return ne(1,At,tt);case 211:return A&&Fr(tt)&&tt.expression.kind===108&&A.add(tt.name.escapedText),In(tt,$,e);case 212:return A&&tt.expression.kind===108&&(I=!0),In(tt,$,e);case 177:return ne(3,Ee,tt);case 178:return ne(3,De,tt);case 176:return ne(3,Le,tt);case 263:case 231:return ne(3,he,tt);default:return In(tt,$,e)}}function Y(tt){if(qie(tt))switch(tt.kind){case 243:return fe(tt);case 248:return ie(tt);case 249:return Ne(tt);case 250:return pe(tt);case 299:return oe(tt);case 241:case 255:case 269:case 296:case 297:case 258:case 246:case 247:case 245:case 254:case 256:return In(tt,Y,e);default:return N.assertNever(tt,"Unhandled node.")}return $(tt)}function oe(tt){const zt=new Set;Se(tt.variableDeclaration,zt);let Rn;if(zt.forEach((li,hi)=>{b.has(hi)&&(Rn||(Rn=new Set(b)),Rn.delete(hi))}),Rn){const li=b;b=Rn;const hi=In(tt,Y,e);return b=li,hi}else return In(tt,Y,e)}function fe(tt){if(je(tt.declarationList)){const zt=at(tt.declarationList,!1);return zt?t.createExpressionStatement(zt):void 0}return In(tt,$,e)}function Ne(tt){return t.updateForInStatement(tt,je(tt.initializer)?at(tt.initializer,!0):N.checkDefined(nt(tt.initializer,$,Af)),N.checkDefined(nt(tt.expression,$,yt)),Rd(tt.statement,Y,e))}function pe(tt){return t.updateForOfStatement(tt,nt(tt.awaitModifier,$,NH),je(tt.initializer)?at(tt.initializer,!0):N.checkDefined(nt(tt.initializer,$,Af)),N.checkDefined(nt(tt.expression,$,yt)),Rd(tt.statement,Y,e))}function ie(tt){const zt=tt.initializer;return t.updateForStatement(tt,je(zt)?at(zt,!1):nt(tt.initializer,$,Af),nt(tt.condition,$,yt),nt(tt.incrementor,$,yt),Rd(tt.statement,Y,e))}function ve(tt){return se()?In(tt,$,e):Cr(dt(t.createYieldExpression(void 0,nt(tt.expression,$,yt)),tt),tt)}function Le(tt){const zt=R;R=void 0;const Rn=t.updateConstructorDeclaration(tt,Vn(tt.modifiers,$,xa),Dl(tt.parameters,$,e),tn(tt));return R=zt,Rn}function He(tt){let zt;const Rn=Vc(tt),li=R;R=void 0;const hi=t.updateMethodDeclaration(tt,Vn(tt.modifiers,$,ll),tt.asteriskToken,tt.name,void 0,void 0,zt=Rn&2?Pn(tt):Dl(tt.parameters,$,e),void 0,Rn&2?Xn(tt,zt):tn(tt));return R=li,hi}function Ee(tt){const zt=R;R=void 0;const Rn=t.updateGetAccessorDeclaration(tt,Vn(tt.modifiers,$,ll),tt.name,Dl(tt.parameters,$,e),void 0,tn(tt));return R=zt,Rn}function De(tt){const zt=R;R=void 0;const Rn=t.updateSetAccessorDeclaration(tt,Vn(tt.modifiers,$,ll),tt.name,Dl(tt.parameters,$,e),tn(tt));return R=zt,Rn}function Pe(tt){let zt;const Rn=R;R=void 0;const li=Vc(tt),hi=t.updateFunctionDeclaration(tt,Vn(tt.modifiers,$,ll),tt.asteriskToken,tt.name,void 0,zt=li&2?Pn(tt):Dl(tt.parameters,$,e),void 0,li&2?Xn(tt,zt):lf(tt.body,$,e));return R=Rn,hi}function We(tt){let zt;const Rn=R;R=void 0;const li=Vc(tt),hi=t.updateFunctionExpression(tt,Vn(tt.modifiers,$,xa),tt.asteriskToken,tt.name,void 0,zt=li&2?Pn(tt):Dl(tt.parameters,$,e),void 0,li&2?Xn(tt,zt):lf(tt.body,$,e));return R=Rn,hi}function At(tt){let zt;const Rn=Vc(tt);return t.updateArrowFunction(tt,Vn(tt.modifiers,$,xa),void 0,zt=Rn&2?Pn(tt):Dl(tt.parameters,$,e),void 0,tt.equalsGreaterThanToken,Rn&2?Xn(tt,zt):lf(tt.body,$,e))}function Se({name:tt},zt){if(Ve(tt))zt.add(tt.escapedText);else for(const Rn of tt.elements)jc(Rn)||Se(Rn,zt)}function je(tt){return!!tt&&Uc(tt)&&!(tt.flags&7)&&tt.declarations.some(It)}function at(tt,zt){Gt(tt);const Rn=HC(tt);return Rn.length===0?zt?nt(t.converters.convertToAssignmentElementTarget(tt.declarations[0].name),$,yt):void 0:t.inlineExpressions(wt(Rn,$e))}function Gt(tt){Ue(tt.declarations,vt)}function vt({name:tt}){if(Ve(tt))s(tt);else for(const zt of tt.elements)jc(zt)||vt(zt)}function $e(tt){const zt=Pa(t.createAssignment(t.converters.convertToAssignmentElementTarget(tt.name),tt.initializer),tt);return N.checkDefined(nt(zt,$,yt))}function It({name:tt}){if(Ve(tt))return b.has(tt.escapedText);for(const zt of tt.elements)if(!jc(zt)&&It(zt))return!0;return!1}function tn(tt){N.assertIsDefined(tt.body);const zt=A,Rn=I;A=new Set,I=!1;let li=lf(tt.body,$,e);const hi=Pl(tt,Bs);if(p>=2&&l.getNodeCheckFlags(tt)&384&&(Vc(hi)&3)!==3){if($t(),A.size){const Io=u7(t,l,tt,A);M[as(Io)]=!0;const ma=li.statements.slice();eg(ma,[Io]),li=t.updateBlock(li,ma)}I&&(l.getNodeCheckFlags(tt)&256?eI(li,Uk):l.getNodeCheckFlags(tt)&128&&eI(li,jk))}return A=zt,I=Rn,li}function rn(){N.assert(R);const tt=t.createVariableDeclaration(R,void 0,void 0,t.createIdentifier("arguments")),zt=t.createVariableStatement(void 0,[tt]);return Xu(zt),k_(zt,2097152),zt}function Pn(tt){if(Ow(tt.parameters))return Dl(tt.parameters,$,e);const zt=[];for(const li of tt.parameters){if(li.initializer||li.dotDotDotToken){if(tt.kind===219){const Qi=t.createParameterDeclaration(void 0,t.createToken(26),t.createUniqueName("args",8));zt.push(Qi)}break}const hi=t.createParameterDeclaration(void 0,void 0,t.getGeneratedNameForNode(li.name,8));zt.push(hi)}const Rn=t.createNodeArray(zt);return dt(Rn,tt.parameters),Rn}function Xn(tt,zt){const Rn=Ow(tt.parameters)?void 0:Dl(tt.parameters,$,e);i();const hi=Pl(tt,ea).type,Qi=p<2?Qt(hi):void 0,Io=tt.kind===219,ma=R,Sn=(l.getNodeCheckFlags(tt)&512)!==0&&!R;Sn&&(R=t.createUniqueName("arguments"));let kn;if(Rn)if(Io){const pt=[];N.assert(zt.length<=tt.parameters.length);for(let j=0;j<tt.parameters.length;j++){N.assert(j<zt.length);const ot=tt.parameters[j],Rt=zt[j];if(N.assertNode(Rt.name,Ve),ot.initializer||ot.dotDotDotToken){N.assert(j===zt.length-1),pt.push(t.createSpreadElement(Rt.name));break}pt.push(Rt.name)}kn=t.createArrayLiteralExpression(pt)}else kn=t.createIdentifier("arguments");const qe=b;b=new Set;for(const pt of tt.parameters)Se(pt,b);const mt=A,ft=I;Io||(A=new Set,I=!1);const Ct=G();let le=hn(tt.body);le=t.updateBlock(le,t.mergeLexicalEnvironment(le.statements,o()));let Fe;if(Io){if(Fe=r().createAwaiterHelper(Ct,kn,Qi,Rn,le),Sn){const pt=t.converters.convertToFunctionBlock(Fe);Fe=t.updateBlock(pt,t.mergeLexicalEnvironment(pt.statements,[rn()]))}}else{const pt=[];pt.push(t.createReturnStatement(r().createAwaiterHelper(Ct,kn,Qi,Rn,le)));const j=p>=2&&l.getNodeCheckFlags(tt)&384;if(j&&($t(),A.size)){const Rt=u7(t,l,tt,A);M[as(Rt)]=!0,eg(pt,[Rt])}Sn&&eg(pt,[rn()]);const ot=t.createBlock(pt,!0);dt(ot,tt.body),j&&I&&(l.getNodeCheckFlags(tt)&256?eI(ot,Uk):l.getNodeCheckFlags(tt)&128&&eI(ot,jk)),Fe=ot}return b=qe,Io||(A=mt,I=ft,R=ma),Fe}function hn(tt,zt){return Xo(tt)?t.updateBlock(tt,Vn(tt.statements,Y,qi,zt)):t.converters.convertToFunctionBlock(N.checkDefined(nt(tt,Y,P4)))}function Qt(tt){const zt=tt&&zL(tt);if(zt&&Zd(zt)){const Rn=l.getTypeReferenceSerializationKind(zt);if(Rn===1||Rn===0)return zt}}function $t(){h&1||(h|=1,e.enableSubstitution(213),e.enableSubstitution(211),e.enableSubstitution(212),e.enableEmitNotification(263),e.enableEmitNotification(174),e.enableEmitNotification(177),e.enableEmitNotification(178),e.enableEmitNotification(176),e.enableEmitNotification(243))}function _i(tt,zt,Rn){if(h&1&&Ar(zt)){const li=l.getNodeCheckFlags(zt)&384;if(li!==m){const hi=m;m=li,O(tt,zt,Rn),m=hi;return}}else if(h&&M[as(zt)]){const li=m;m=0,O(tt,zt,Rn),m=li;return}O(tt,zt,Rn)}function ao(tt,zt){return zt=U(tt,zt),tt===1&&m?Oi(zt):zt}function Oi(tt){switch(tt.kind){case 211:return No(tt);case 212:return Mn(tt);case 213:return Di(tt)}return tt}function No(tt){return tt.expression.kind===108?dt(t.createPropertyAccessExpression(t.createUniqueName("_super",48),tt.name),tt):tt}function Mn(tt){return tt.expression.kind===108?sn(tt.argumentExpression,tt):tt}function Di(tt){const zt=tt.expression;if(zd(zt)){const Rn=Fr(zt)?No(zt):Mn(zt);return t.createCallExpression(t.createPropertyAccessExpression(Rn,"call"),void 0,[t.createThis(),...tt.arguments])}return tt}function Ar(tt){const zt=tt.kind;return zt===263||zt===176||zt===174||zt===177||zt===178}function sn(tt,zt){return m&256?dt(t.createPropertyAccessExpression(t.createCallExpression(t.createUniqueName("_superIndex",48),void 0,[tt]),"value"),zt):dt(t.createCallExpression(t.createUniqueName("_superIndex",48),void 0,[tt]),zt)}}function u7(e,t,r,i){const o=(t.getNodeCheckFlags(r)&256)!==0,s=[];return i.forEach((l,u)=>{const p=Vi(u),h=[];h.push(e.createPropertyAssignment("get",e.createArrowFunction(void 0,void 0,[],void 0,void 0,pr(e.createPropertyAccessExpression(pr(e.createSuper(),8),p),8)))),o&&h.push(e.createPropertyAssignment("set",e.createArrowFunction(void 0,void 0,[e.createParameterDeclaration(void 0,void 0,"v",void 0,void 0,void 0)],void 0,void 0,e.createAssignment(pr(e.createPropertyAccessExpression(pr(e.createSuper(),8),p),8),e.createIdentifier("v"))))),s.push(e.createPropertyAssignment(p,e.createObjectLiteralExpression(h)))}),e.createVariableStatement(void 0,e.createVariableDeclarationList([e.createVariableDeclaration(e.createUniqueName("_super",48),void 0,void 0,e.createCallExpression(e.createPropertyAccessExpression(e.createIdentifier("Object"),"create"),void 0,[e.createNull(),e.createObjectLiteralExpression(s,!0)]))],2))}var GUe=T({"src/compiler/transformers/es2017.ts"(){ra()}});function Jle(e){const{factory:t,getEmitHelperFactory:r,resumeLexicalEnvironment:i,endLexicalEnvironment:o,hoistVariableDeclaration:s}=e,l=e.getEmitResolver(),u=e.getCompilerOptions(),p=os(u),h=e.onEmitNode;e.onEmitNode=ma;const m=e.onSubstituteNode;e.onSubstituteNode=Wa;let b=!1,A,I,R,M=0,C=0,O,U,K,Z;const V=[];return Pm(e,ye);function se(le,Fe){return C!==(C&~le|Fe)}function G(le,Fe){const pt=C;return C=(C&~le|Fe)&3,pt}function ne(le){C=le}function he(le){U=xn(U,t.createVariableDeclaration(le))}function ye(le){if(le.isDeclarationFile)return le;O=le;const Fe=At(le);return Ug(Fe,e.readEmitHelpers()),O=void 0,U=void 0,Fe}function $(le){return pe(le,!1)}function Y(le){return pe(le,!0)}function oe(le){if(le.kind!==134)return le}function fe(le,Fe,pt,j){if(se(pt,j)){const ot=G(pt,j),Rt=le(Fe);return ne(ot),Rt}return le(Fe)}function Ne(le){return In(le,$,e)}function pe(le,Fe){if(!(le.transformFlags&128))return le;switch(le.kind){case 223:return ie(le);case 229:return ve(le);case 253:return Le(le);case 256:return He(le);case 210:return De(le);case 226:return je(le,Fe);case 361:return at(le,Fe);case 299:return Gt(le);case 243:return vt(le);case 260:return $e(le);case 246:case 247:case 249:return fe(Ne,le,0,2);case 250:return Pn(le,void 0);case 248:return fe(tn,le,0,2);case 222:return rn(le);case 176:return fe(No,le,2,1);case 174:return fe(Ar,le,2,1);case 177:return fe(Mn,le,2,1);case 178:return fe(Di,le,2,1);case 262:return fe(sn,le,2,1);case 218:return fe(zt,le,2,1);case 219:return fe(tt,le,2,0);case 169:return ao(le);case 244:return Pe(le);case 217:return We(le,Fe);case 215:return Se(le);case 211:return K&&Fr(le)&&le.expression.kind===108&&K.add(le.name.escapedText),In(le,$,e);case 212:return K&&le.expression.kind===108&&(Z=!0),In(le,$,e);case 263:case 231:return fe(Ne,le,2,1);default:return In(le,$,e)}}function ie(le){return I&2&&I&1?Cr(dt(t.createYieldExpression(void 0,r().createAwaitHelper(nt(le.expression,$,yt))),le),le):In(le,$,e)}function ve(le){if(I&2&&I&1){if(le.asteriskToken){const Fe=nt(N.checkDefined(le.expression),$,yt);return Cr(dt(t.createYieldExpression(void 0,r().createAwaitHelper(t.updateYieldExpression(le,le.asteriskToken,dt(r().createAsyncDelegatorHelper(dt(r().createAsyncValuesHelper(Fe),Fe)),Fe)))),le),le)}return Cr(dt(t.createYieldExpression(void 0,Qt(le.expression?nt(le.expression,$,yt):t.createVoidZero())),le),le)}return In(le,$,e)}function Le(le){return I&2&&I&1?t.updateReturnStatement(le,Qt(le.expression?nt(le.expression,$,yt):t.createVoidZero())):In(le,$,e)}function He(le){if(I&2){const Fe=Wj(le);return Fe.kind===250&&Fe.awaitModifier?Pn(Fe,le):t.restoreEnclosingLabel(nt(Fe,$,qi,t.liftToBlock),le)}return In(le,$,e)}function Ee(le){let Fe;const pt=[];for(const j of le)if(j.kind===305){Fe&&(pt.push(t.createObjectLiteralExpression(Fe)),Fe=void 0);const ot=j.expression;pt.push(nt(ot,$,yt))}else Fe=xn(Fe,j.kind===303?t.createPropertyAssignment(j.name,nt(j.initializer,$,yt)):nt(j,$,Wg));return Fe&&pt.push(t.createObjectLiteralExpression(Fe)),pt}function De(le){if(le.transformFlags&65536){const Fe=Ee(le.properties);Fe.length&&Fe[0].kind!==210&&Fe.unshift(t.createObjectLiteralExpression());let pt=Fe[0];if(Fe.length>1){for(let j=1;j<Fe.length;j++)pt=r().createAssignHelper([pt,Fe[j]]);return pt}else return r().createAssignHelper(Fe)}return In(le,$,e)}function Pe(le){return In(le,Y,e)}function We(le,Fe){return In(le,Fe?Y:$,e)}function At(le){const Fe=G(2,Rj(le,u)?0:1);b=!1;const pt=In(le,$,e),j=So(pt.statements,U&&[t.createVariableStatement(void 0,t.createVariableDeclarationList(U))]),ot=t.updateSourceFile(pt,dt(t.createNodeArray(j),le.statements));return ne(Fe),ot}function Se(le){return fJ(e,le,$,O,he,0)}function je(le,Fe){return jv(le)&&cw(le.left)?tT(le,$,e,1,!Fe):le.operatorToken.kind===28?t.updateBinaryExpression(le,nt(le.left,Y,yt),le.operatorToken,nt(le.right,Fe?Y:$,yt)):In(le,$,e)}function at(le,Fe){if(Fe)return In(le,Y,e);let pt;for(let ot=0;ot<le.elements.length;ot++){const Rt=le.elements[ot],Ye=nt(Rt,ot<le.elements.length-1?Y:$,yt);(pt||Ye!==Rt)&&(pt||(pt=le.elements.slice(0,ot)),pt.push(Ye))}const j=pt?dt(t.createNodeArray(pt),le.elements):le.elements;return t.updateCommaListExpression(le,j)}function Gt(le){if(le.variableDeclaration&&ta(le.variableDeclaration.name)&&le.variableDeclaration.name.transformFlags&65536){const Fe=t.getGeneratedNameForNode(le.variableDeclaration.name),pt=t.updateVariableDeclaration(le.variableDeclaration,le.variableDeclaration.name,void 0,void 0,Fe),j=h1(pt,$,e,1);let ot=nt(le.block,$,Xo);return bt(j)&&(ot=t.updateBlock(ot,[t.createVariableStatement(void 0,j),...ot.statements])),t.updateCatchClause(le,t.updateVariableDeclaration(le.variableDeclaration,Fe,void 0,void 0,void 0),ot)}return In(le,$,e)}function vt(le){if(Zr(le,32)){const Fe=b;b=!0;const pt=In(le,$,e);return b=Fe,pt}return In(le,$,e)}function $e(le){if(b){const Fe=b;b=!1;const pt=It(le,!0);return b=Fe,pt}return It(le,!1)}function It(le,Fe){return ta(le.name)&&le.name.transformFlags&65536?h1(le,$,e,1,void 0,Fe):In(le,$,e)}function tn(le){return t.updateForStatement(le,nt(le.initializer,Y,Af),nt(le.condition,$,yt),nt(le.incrementor,Y,yt),Rd(le.statement,$,e))}function rn(le){return In(le,Y,e)}function Pn(le,Fe){const pt=G(0,2);(le.initializer.transformFlags&65536||gC(le.initializer)&&cw(le.initializer))&&(le=Xn(le));const j=le.awaitModifier?$t(le,Fe,pt):t.restoreEnclosingLabel(In(le,$,e),Fe);return ne(pt),j}function Xn(le){const Fe=hs(le.initializer);if(Uc(Fe)||gC(Fe)){let pt,j;const ot=t.createTempVariable(void 0),Rt=[qH(t,Fe,ot)];return Xo(le.statement)?(Jr(Rt,le.statement.statements),pt=le.statement,j=le.statement.statements):le.statement&&(xn(Rt,le.statement),pt=le.statement,j=le.statement),t.updateForOfStatement(le,le.awaitModifier,dt(t.createVariableDeclarationList([dt(t.createVariableDeclaration(ot),le.initializer)],1),le.initializer),le.expression,dt(t.createBlock(dt(t.createNodeArray(Rt),j),!0),pt))}return le}function hn(le,Fe,pt){const j=t.createTempVariable(s),ot=t.createAssignment(j,Fe),Rt=t.createExpressionStatement(ot);Pa(Rt,le.expression);const Ye=t.createAssignment(pt,t.createFalse()),_t=t.createExpressionStatement(Ye);Pa(_t,le.expression);const Vt=[Rt,_t],vn=qH(t,le.initializer,j);Vt.push(nt(vn,$,qi));let fn,_n;const q=Rd(le.statement,$,e);return Xo(q)?(Jr(Vt,q.statements),fn=q,_n=q.statements):Vt.push(q),dt(t.createBlock(dt(t.createNodeArray(Vt),_n),!0),fn)}function Qt(le){return I&1?t.createYieldExpression(void 0,r().createAwaitHelper(le)):t.createAwaitExpression(le)}function $t(le,Fe,pt){const j=nt(le.expression,$,yt),ot=Ve(j)?t.getGeneratedNameForNode(j):t.createTempVariable(void 0),Rt=Ve(j)?t.getGeneratedNameForNode(ot):t.createTempVariable(void 0),Ye=t.createTempVariable(void 0),_t=t.createTempVariable(s),Vt=t.createUniqueName("e"),vn=t.getGeneratedNameForNode(Vt),fn=t.createTempVariable(void 0),_n=dt(r().createAsyncValuesHelper(j),le.expression),q=t.createCallExpression(t.createPropertyAccessExpression(ot,"next"),void 0,[]),Oe=t.createPropertyAccessExpression(Rt,"done"),Lt=t.createPropertyAccessExpression(Rt,"value"),L=t.createFunctionCallCall(fn,ot,[]);s(Vt),s(fn);const _e=pt&2?t.inlineExpressions([t.createAssignment(Vt,t.createVoidZero()),_n]):_n,J=pr(dt(t.createForStatement(pr(dt(t.createVariableDeclarationList([t.createVariableDeclaration(Ye,void 0,void 0,t.createTrue()),dt(t.createVariableDeclaration(ot,void 0,void 0,_e),le.expression),t.createVariableDeclaration(Rt)]),le.expression),4194304),t.inlineExpressions([t.createAssignment(Rt,Qt(q)),t.createAssignment(_t,Oe),t.createLogicalNot(_t)]),t.createAssignment(Ye,t.createTrue()),hn(le,Lt,Ye)),le),512);return Cr(J,le),t.createTryStatement(t.createBlock([t.restoreEnclosingLabel(J,Fe)]),t.createCatchClause(t.createVariableDeclaration(vn),pr(t.createBlock([t.createExpressionStatement(t.createAssignment(Vt,t.createObjectLiteralExpression([t.createPropertyAssignment("error",vn)])))]),1)),t.createBlock([t.createTryStatement(t.createBlock([pr(t.createIfStatement(t.createLogicalAnd(t.createLogicalAnd(t.createLogicalNot(Ye),t.createLogicalNot(_t)),t.createAssignment(fn,t.createPropertyAccessExpression(ot,"return"))),t.createExpressionStatement(Qt(L))),1)]),void 0,pr(t.createBlock([pr(t.createIfStatement(Vt,t.createThrowStatement(t.createPropertyAccessExpression(Vt,"error"))),1)]),1))]))}function _i(le){return N.assertNode(le,Ao),ao(le)}function ao(le){return R!=null&&R.has(le)?t.updateParameterDeclaration(le,void 0,le.dotDotDotToken,ta(le.name)?t.getGeneratedNameForNode(le):le.name,void 0,void 0,void 0):le.transformFlags&65536?t.updateParameterDeclaration(le,void 0,le.dotDotDotToken,t.getGeneratedNameForNode(le),void 0,void 0,nt(le.initializer,$,yt)):In(le,$,e)}function Oi(le){let Fe;for(const pt of le.parameters)Fe?Fe.add(pt):pt.transformFlags&65536&&(Fe=new Set);return Fe}function No(le){const Fe=I,pt=R;I=Vc(le),R=Oi(le);const j=t.updateConstructorDeclaration(le,le.modifiers,Dl(le.parameters,_i,e),hi(le));return I=Fe,R=pt,j}function Mn(le){const Fe=I,pt=R;I=Vc(le),R=Oi(le);const j=t.updateGetAccessorDeclaration(le,le.modifiers,nt(le.name,$,oc),Dl(le.parameters,_i,e),void 0,hi(le));return I=Fe,R=pt,j}function Di(le){const Fe=I,pt=R;I=Vc(le),R=Oi(le);const j=t.updateSetAccessorDeclaration(le,le.modifiers,nt(le.name,$,oc),Dl(le.parameters,_i,e),hi(le));return I=Fe,R=pt,j}function Ar(le){const Fe=I,pt=R;I=Vc(le),R=Oi(le);const j=t.updateMethodDeclaration(le,I&1?Vn(le.modifiers,oe,ll):le.modifiers,I&2?void 0:le.asteriskToken,nt(le.name,$,oc),nt(void 0,$,$y),void 0,I&2&&I&1?Rn(le):Dl(le.parameters,_i,e),void 0,I&2&&I&1?li(le):hi(le));return I=Fe,R=pt,j}function sn(le){const Fe=I,pt=R;I=Vc(le),R=Oi(le);const j=t.updateFunctionDeclaration(le,I&1?Vn(le.modifiers,oe,xa):le.modifiers,I&2?void 0:le.asteriskToken,le.name,void 0,I&2&&I&1?Rn(le):Dl(le.parameters,_i,e),void 0,I&2&&I&1?li(le):hi(le));return I=Fe,R=pt,j}function tt(le){const Fe=I,pt=R;I=Vc(le),R=Oi(le);const j=t.updateArrowFunction(le,le.modifiers,void 0,Dl(le.parameters,_i,e),void 0,le.equalsGreaterThanToken,hi(le));return I=Fe,R=pt,j}function zt(le){const Fe=I,pt=R;I=Vc(le),R=Oi(le);const j=t.updateFunctionExpression(le,I&1?Vn(le.modifiers,oe,xa):le.modifiers,I&2?void 0:le.asteriskToken,le.name,void 0,I&2&&I&1?Rn(le):Dl(le.parameters,_i,e),void 0,I&2&&I&1?li(le):hi(le));return I=Fe,R=pt,j}function Rn(le){if(Ow(le.parameters))return Dl(le.parameters,$,e);const Fe=[];for(const j of le.parameters){if(j.initializer||j.dotDotDotToken)break;const ot=t.createParameterDeclaration(void 0,void 0,t.getGeneratedNameForNode(j.name,8));Fe.push(ot)}const pt=t.createNodeArray(Fe);return dt(pt,le.parameters),pt}function li(le){const Fe=Ow(le.parameters)?void 0:Dl(le.parameters,$,e);i();const pt=K,j=Z;K=new Set,Z=!1;const ot=[];let Rt=t.updateBlock(le.body,Vn(le.body.statements,$,qi));Rt=t.updateBlock(Rt,t.mergeLexicalEnvironment(Rt.statements,Qi(o(),le)));const Ye=t.createReturnStatement(r().createAsyncGeneratorHelper(t.createFunctionExpression(void 0,t.createToken(42),le.name&&t.getGeneratedNameForNode(le.name),void 0,Fe??[],void 0,Rt),!!(C&1))),_t=p>=2&&l.getNodeCheckFlags(le)&384;if(_t){Io();const vn=u7(t,l,le,K);V[as(vn)]=!0,eg(ot,[vn])}ot.push(Ye);const Vt=t.updateBlock(le.body,ot);return _t&&Z&&(l.getNodeCheckFlags(le)&256?eI(Vt,Uk):l.getNodeCheckFlags(le)&128&&eI(Vt,jk)),K=pt,Z=j,Vt}function hi(le){i();let Fe=0;const pt=[],j=nt(le.body,$,P4)??t.createBlock([]);Xo(j)&&(Fe=t.copyPrologue(j.statements,pt,!1,$)),Jr(pt,Qi(void 0,le));const ot=o();if(Fe>0||bt(pt)||bt(ot)){const Rt=t.converters.convertToFunctionBlock(j,!0);return eg(pt,ot),Jr(pt,Rt.statements.slice(Fe)),t.updateBlock(Rt,dt(t.createNodeArray(pt),Rt.statements))}return j}function Qi(le,Fe){let pt=!1;for(const j of Fe.parameters)if(pt){if(ta(j.name)){if(j.name.elements.length>0){const ot=h1(j,$,e,0,t.getGeneratedNameForNode(j));if(bt(ot)){const Rt=t.createVariableDeclarationList(ot),Ye=t.createVariableStatement(void 0,Rt);pr(Ye,2097152),le=xn(le,Ye)}}else if(j.initializer){const ot=t.getGeneratedNameForNode(j),Rt=nt(j.initializer,$,yt),Ye=t.createAssignment(ot,Rt),_t=t.createExpressionStatement(Ye);pr(_t,2097152),le=xn(le,_t)}}else if(j.initializer){const ot=t.cloneNode(j.name);dt(ot,j.name),pr(ot,96);const Rt=nt(j.initializer,$,yt);k_(Rt,3168);const Ye=t.createAssignment(ot,Rt);dt(Ye,j),pr(Ye,3072);const _t=t.createBlock([t.createExpressionStatement(Ye)]);dt(_t,j),pr(_t,3905);const Vt=t.createTypeCheck(t.cloneNode(j.name),"undefined"),vn=t.createIfStatement(Vt,_t);Xu(vn),dt(vn,j),pr(vn,2101056),le=xn(le,vn)}}else if(j.transformFlags&65536){pt=!0;const ot=h1(j,$,e,1,t.getGeneratedNameForNode(j),!1,!0);if(bt(ot)){const Rt=t.createVariableDeclarationList(ot),Ye=t.createVariableStatement(void 0,Rt);pr(Ye,2097152),le=xn(le,Ye)}}return le}function Io(){A&1||(A|=1,e.enableSubstitution(213),e.enableSubstitution(211),e.enableSubstitution(212),e.enableEmitNotification(263),e.enableEmitNotification(174),e.enableEmitNotification(177),e.enableEmitNotification(178),e.enableEmitNotification(176),e.enableEmitNotification(243))}function ma(le,Fe,pt){if(A&1&&ft(Fe)){const j=l.getNodeCheckFlags(Fe)&384;if(j!==M){const ot=M;M=j,h(le,Fe,pt),M=ot;return}}else if(A&&V[as(Fe)]){const j=M;M=0,h(le,Fe,pt),M=j;return}h(le,Fe,pt)}function Wa(le,Fe){return Fe=m(le,Fe),le===1&&M?Sn(Fe):Fe}function Sn(le){switch(le.kind){case 211:return kn(le);case 212:return qe(le);case 213:return mt(le)}return le}function kn(le){return le.expression.kind===108?dt(t.createPropertyAccessExpression(t.createUniqueName("_super",48),le.name),le):le}function qe(le){return le.expression.kind===108?Ct(le.argumentExpression,le):le}function mt(le){const Fe=le.expression;if(zd(Fe)){const pt=Fr(Fe)?kn(Fe):qe(Fe);return t.createCallExpression(t.createPropertyAccessExpression(pt,"call"),void 0,[t.createThis(),...le.arguments])}return le}function ft(le){const Fe=le.kind;return Fe===263||Fe===176||Fe===174||Fe===177||Fe===178}function Ct(le,Fe){return M&256?dt(t.createPropertyAccessExpression(t.createCallExpression(t.createIdentifier("_superIndex"),void 0,[le]),"value"),Fe):dt(t.createCallExpression(t.createIdentifier("_superIndex"),void 0,[le]),Fe)}}var VUe=T({"src/compiler/transformers/es2018.ts"(){ra()}});function Kle(e){const t=e.factory;return Pm(e,r);function r(s){return s.isDeclarationFile?s:In(s,i,e)}function i(s){if(!(s.transformFlags&64))return s;switch(s.kind){case 299:return o(s);default:return In(s,i,e)}}function o(s){return s.variableDeclaration?In(s,i,e):t.updateCatchClause(s,t.createVariableDeclaration(t.createTempVariable(void 0)),nt(s.block,i,Xo))}}var jUe=T({"src/compiler/transformers/es2019.ts"(){ra()}});function Xle(e){const{factory:t,hoistVariableDeclaration:r}=e;return Pm(e,i);function i(R){return R.isDeclarationFile?R:In(R,o,e)}function o(R){if(!(R.transformFlags&32))return R;switch(R.kind){case 213:{const M=p(R,!1);return N.assertNotNode(M,_I),M}case 211:case 212:if(qu(R)){const M=m(R,!1,!1);return N.assertNotNode(M,_I),M}return In(R,o,e);case 226:return R.operatorToken.kind===61?A(R):In(R,o,e);case 220:return I(R);default:return In(R,o,e)}}function s(R){N.assertNotNode(R,x4);const M=[R];for(;!R.questionDotToken&&!i1(R);)R=aa(Tm(R.expression),qu),N.assertNotNode(R,x4),M.unshift(R);return{expression:R.expression,chain:M}}function l(R,M,C){const O=h(R.expression,M,C);return _I(O)?t.createSyntheticReferenceExpression(t.updateParenthesizedExpression(R,O.expression),O.thisArg):t.updateParenthesizedExpression(R,O)}function u(R,M,C){if(qu(R))return m(R,M,C);let O=nt(R.expression,o,yt);N.assertNotNode(O,_I);let U;return M&&(_1(O)?U=O:(U=t.createTempVariable(r),O=t.createAssignment(U,O))),O=R.kind===211?t.updatePropertyAccessExpression(R,O,nt(R.name,o,Ve)):t.updateElementAccessExpression(R,O,nt(R.argumentExpression,o,yt)),U?t.createSyntheticReferenceExpression(O,U):O}function p(R,M){if(qu(R))return m(R,M,!1);if(Gd(R.expression)&&qu(hs(R.expression))){const C=l(R.expression,!0,!1),O=Vn(R.arguments,o,yt);return _I(C)?dt(t.createFunctionCallCall(C.expression,C.thisArg,O),R):t.updateCallExpression(R,C,void 0,O)}return In(R,o,e)}function h(R,M,C){switch(R.kind){case 217:return l(R,M,C);case 211:case 212:return u(R,M,C);case 213:return p(R,M);default:return nt(R,o,yt)}}function m(R,M,C){const{expression:O,chain:U}=s(R),K=h(Tm(O),hS(U[0]),!1);let Z=_I(K)?K.thisArg:void 0,V=_I(K)?K.expression:K,se=t.restoreOuterExpressions(O,V,8);_1(V)||(V=t.createTempVariable(r),se=t.createAssignment(V,se));let G=V,ne;for(let ye=0;ye<U.length;ye++){const $=U[ye];switch($.kind){case 211:case 212:ye===U.length-1&&M&&(_1(G)?ne=G:(ne=t.createTempVariable(r),G=t.createAssignment(ne,G))),G=$.kind===211?t.createPropertyAccessExpression(G,nt($.name,o,Ve)):t.createElementAccessExpression(G,nt($.argumentExpression,o,yt));break;case 213:ye===0&&Z?(sl(Z)||(Z=t.cloneNode(Z),k_(Z,3072)),G=t.createFunctionCallCall(G,Z.kind===108?t.createThis():Z,Vn($.arguments,o,yt))):G=t.createCallExpression(G,void 0,Vn($.arguments,o,yt));break}Cr(G,$)}const he=C?t.createConditionalExpression(b(se,V,!0),void 0,t.createTrue(),void 0,t.createDeleteExpression(G)):t.createConditionalExpression(b(se,V,!0),void 0,t.createVoidZero(),void 0,G);return dt(he,R),ne?t.createSyntheticReferenceExpression(he,ne):he}function b(R,M,C){return t.createBinaryExpression(t.createBinaryExpression(R,t.createToken(C?37:38),t.createNull()),t.createToken(C?57:56),t.createBinaryExpression(M,t.createToken(C?37:38),t.createVoidZero()))}function A(R){let M=nt(R.left,o,yt),C=M;return _1(M)||(C=t.createTempVariable(r),M=t.createAssignment(C,M)),dt(t.createConditionalExpression(b(M,C),void 0,C,void 0,nt(R.right,o,yt)),R)}function I(R){return qu(hs(R.expression))?Cr(h(R.expression,!1,!0),R):t.updateDeleteExpression(R,nt(R.expression,o,yt))}}var UUe=T({"src/compiler/transformers/es2020.ts"(){ra()}});function Yle(e){const{hoistVariableDeclaration:t,factory:r}=e;return Pm(e,i);function i(l){return l.isDeclarationFile?l:In(l,o,e)}function o(l){return l.transformFlags&16?yU(l)?s(l):In(l,o,e):l}function s(l){const u=l.operatorToken,p=GP(u.kind);let h=hs(nt(l.left,o,ep)),m=h;const b=hs(nt(l.right,o,yt));if(ws(h)){const A=_1(h.expression),I=A?h.expression:r.createTempVariable(t),R=A?h.expression:r.createAssignment(I,h.expression);if(Fr(h))m=r.createPropertyAccessExpression(I,h.name),h=r.createPropertyAccessExpression(R,h.name);else{const M=_1(h.argumentExpression),C=M?h.argumentExpression:r.createTempVariable(t);m=r.createElementAccessExpression(I,C),h=r.createElementAccessExpression(R,M?h.argumentExpression:r.createAssignment(C,h.argumentExpression))}}return r.createBinaryExpression(h,p,r.createParenthesizedExpression(r.createAssignment(m,b)))}}var HUe=T({"src/compiler/transformers/es2021.ts"(){ra()}});function $le(e){const{factory:t,getEmitHelperFactory:r,hoistVariableDeclaration:i,startLexicalEnvironment:o,endLexicalEnvironment:s}=e;let l,u,p,h;return Pm(e,m);function m(fe){if(fe.isDeclarationFile)return fe;const Ne=nt(fe,b,$i);return Ug(Ne,e.readEmitHelpers()),u=void 0,l=void 0,p=void 0,Ne}function b(fe){if(!(fe.transformFlags&4))return fe;switch(fe.kind){case 312:return A(fe);case 241:return I(fe);case 248:return R(fe);case 250:return M(fe);case 255:return O(fe);default:return In(fe,b,e)}}function A(fe){const Ne=_J(fe.statements);if(Ne){o(),l=new II,u=[];const pe=Jxe(fe.statements),ie=[];Jr(ie,kw(fe.statements,b,qi,0,pe));let ve=pe;for(;ve<fe.statements.length;){const Ee=fe.statements[ve];if(ece(Ee)!==0){ve>pe&&Jr(ie,Vn(fe.statements,b,qi,pe,ve-pe));break}ve++}N.assert(ve<fe.statements.length,"Should have encountered at least one 'using' statement.");const Le=Y(),He=U(fe.statements,ve,fe.statements.length,Le,ie);return l.size&&xn(ie,t.createExportDeclaration(void 0,!1,t.createNamedExports(zo(l.values())))),Jr(ie,s()),u.length&&ie.push(t.createVariableStatement(t.createModifiersFromModifierFlags(32),t.createVariableDeclarationList(u,1))),Jr(ie,oe(He,Le,Ne===2)),h&&ie.push(t.createExportAssignment(void 0,!0,h)),t.updateSourceFile(fe,ie)}return In(fe,b,e)}function I(fe){const Ne=_J(fe.statements);if(Ne){const pe=Jxe(fe.statements),ie=Y();return t.updateBlock(fe,[...kw(fe.statements,b,qi,0,pe),...oe(U(fe.statements,pe,fe.statements.length,ie,void 0),ie,Ne===2)])}return In(fe,b,e)}function R(fe){return fe.initializer&&Qle(fe.initializer)?nt(t.createBlock([t.createVariableStatement(void 0,fe.initializer),t.updateForStatement(fe,void 0,fe.condition,fe.incrementor,fe.statement)]),b,qi):In(fe,b,e)}function M(fe){if(Qle(fe.initializer)){const Ne=fe.initializer;N.assertNode(Ne,Qle),N.assert(Ne.declarations.length===1,"ForInitializer may only have one declaration");const pe=Ne.declarations[0];N.assert(!pe.initializer,"ForInitializer may not have an initializer");const ie=Zle(Ne)===2,ve=t.getGeneratedNameForNode(pe.name),Le=t.updateVariableDeclaration(pe,pe.name,void 0,void 0,ve),He=t.createVariableDeclarationList([Le],ie?6:4),Ee=t.createVariableStatement(void 0,He);return nt(t.updateForOfStatement(fe,fe.awaitModifier,t.createVariableDeclarationList([t.createVariableDeclaration(ve)],2),fe.expression,Xo(fe.statement)?t.updateBlock(fe.statement,[Ee,...fe.statement.statements]):t.createBlock([Ee,fe.statement],!0)),b,qi)}return In(fe,b,e)}function C(fe,Ne){return _J(fe.statements)!==0?YR(fe)?t.updateCaseClause(fe,nt(fe.expression,b,yt),U(fe.statements,0,fe.statements.length,Ne,void 0)):t.updateDefaultClause(fe,U(fe.statements,0,fe.statements.length,Ne,void 0)):In(fe,b,e)}function O(fe){const Ne=JUe(fe.caseBlock.clauses);if(Ne){const pe=Y();return oe([t.updateSwitchStatement(fe,nt(fe.expression,b,yt),t.updateCaseBlock(fe.caseBlock,fe.caseBlock.clauses.map(ie=>C(ie,pe))))],pe,Ne===2)}return In(fe,b,e)}function U(fe,Ne,pe,ie,ve){const Le=[];for(let De=Ne;De<pe;De++){const Pe=fe[De],We=ece(Pe);if(We){N.assertNode(Pe,Ll);const Se=[];for(let je of Pe.declarationList.declarations){if(!Ve(je.name)){Se.length=0;break}hp(je)&&(je=Sp(e,je));const at=nt(je.initializer,b,yt)??t.createVoidZero();Se.push(t.updateVariableDeclaration(je,je.name,void 0,void 0,r().createAddDisposableResourceHelper(ie,at,We===2)))}if(Se.length){const je=t.createVariableDeclarationList(Se,2);Cr(je,Pe.declarationList),dt(je,Pe.declarationList),He(t.updateVariableStatement(Pe,void 0,je));continue}}const At=b(Pe);To(At)?At.forEach(He):At&&He(At)}return Le;function He(De){N.assertNode(De,qi),xn(Le,Ee(De))}function Ee(De){if(!ve)return De;switch(De.kind){case 272:case 271:case 278:case 262:return K(De,ve);case 277:return Z(De);case 263:return G(De);case 243:return ne(De)}return De}}function K(fe,Ne){Ne.push(fe)}function Z(fe){return fe.isExportEquals?se(fe):V(fe)}function V(fe){if(p)return fe;p=t.createUniqueName("_default",56),$(p,!0,"default",fe);let Ne=fe.expression,pe=$l(Ne);hp(pe)&&(pe=Sp(e,pe,!1,"default"),Ne=t.restoreOuterExpressions(Ne,pe));const ie=t.createAssignment(p,Ne);return t.createExpressionStatement(ie)}function se(fe){if(h)return fe;h=t.createUniqueName("_default",56),i(h);const Ne=t.createAssignment(h,fe.expression);return t.createExpressionStatement(Ne)}function G(fe){if(!fe.name&&p)return fe;const Ne=Zr(fe,32),pe=Zr(fe,2048);let ie=t.converters.convertToClassExpression(fe);return fe.name&&($(t.getLocalName(fe),Ne&&!pe,void 0,fe),ie=t.createAssignment(t.getDeclarationName(fe),ie),hp(ie)&&(ie=Sp(e,ie,!1)),Cr(ie,fe),Pa(ie,fe),ac(ie,fe)),pe&&!p&&(p=t.createUniqueName("_default",56),$(p,!0,"default",fe),ie=t.createAssignment(p,ie),hp(ie)&&(ie=Sp(e,ie,!1,"default")),Cr(ie,fe)),t.createExpressionStatement(ie)}function ne(fe){let Ne;const pe=Zr(fe,32);for(const ie of fe.declarationList.declarations)ye(ie,pe,ie),ie.initializer&&(Ne=xn(Ne,he(ie)));if(Ne){const ie=t.createExpressionStatement(t.inlineExpressions(Ne));return Cr(ie,fe),ac(ie,fe),Pa(ie,fe),ie}}function he(fe){N.assertIsDefined(fe.initializer);let Ne;Ve(fe.name)?(Ne=t.cloneNode(fe.name),pr(Ne,ja(Ne)&-114689)):Ne=t.converters.convertToAssignmentPattern(fe.name);const pe=t.createAssignment(Ne,fe.initializer);return Cr(pe,fe),ac(pe,fe),Pa(pe,fe),pe}function ye(fe,Ne,pe){if(ta(fe.name))for(const ie of fe.name.elements)jc(ie)||ye(ie,Ne,pe);else $(fe.name,Ne,void 0,pe)}function $(fe,Ne,pe,ie){const ve=sl(fe)?fe:t.cloneNode(fe);if(Ne){if(pe===void 0&&!qg(ve)){const De=t.createVariableDeclaration(ve);ie&&Cr(De,ie),u.push(De);return}const Le=pe!==void 0?ve:void 0,He=pe!==void 0?pe:ve,Ee=t.createExportSpecifier(!1,Le,He);ie&&Cr(Ee,ie),l.set(ve,Ee)}i(ve)}function Y(){return t.createUniqueName("env")}function oe(fe,Ne,pe){const ie=[],ve=t.createObjectLiteralExpression([t.createPropertyAssignment("stack",t.createArrayLiteralExpression()),t.createPropertyAssignment("error",t.createVoidZero()),t.createPropertyAssignment("hasError",t.createFalse())]),Le=t.createVariableDeclaration(Ne,void 0,void 0,ve),He=t.createVariableDeclarationList([Le],2),Ee=t.createVariableStatement(void 0,He);ie.push(Ee);const De=t.createBlock(fe,!0),Pe=t.createUniqueName("e"),We=t.createCatchClause(Pe,t.createBlock([t.createExpressionStatement(t.createAssignment(t.createPropertyAccessExpression(Ne,"error"),Pe)),t.createExpressionStatement(t.createAssignment(t.createPropertyAccessExpression(Ne,"hasError"),t.createTrue()))],!0));let At;if(pe){const je=t.createUniqueName("result");At=t.createBlock([t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(je,void 0,void 0,r().createDisposeResourcesHelper(Ne))],2)),t.createIfStatement(je,t.createExpressionStatement(t.createAwaitExpression(je)))],!0)}else At=t.createBlock([t.createExpressionStatement(r().createDisposeResourcesHelper(Ne))],!0);const Se=t.createTryStatement(De,We,At);return ie.push(Se),ie}}function Jxe(e){for(let t=0;t<e.length;t++)if(!Im(e[t])&&!wL(e[t]))return t;return 0}function Qle(e){return Uc(e)&&Zle(e)!==0}function Zle(e){return(e.flags&7)===6?2:(e.flags&7)===4?1:0}function qUe(e){return Zle(e.declarationList)}function ece(e){return Ll(e)?qUe(e):0}function _J(e){let t=0;for(const r of e){const i=ece(r);if(i===2)return 2;i>t&&(t=i)}return t}function JUe(e){let t=0;for(const r of e){const i=_J(r.statements);if(i===2)return 2;i>t&&(t=i)}return t}var KUe=T({"src/compiler/transformers/esnext.ts"(){ra()}});function tce(e){const{factory:t,getEmitHelperFactory:r}=e,i=e.getCompilerOptions();let o,s;return Pm(e,b);function l(){if(s.filenameDeclaration)return s.filenameDeclaration.name;const Se=t.createVariableDeclaration(t.createUniqueName("_jsxFileName",48),void 0,void 0,t.createStringLiteral(o.fileName));return s.filenameDeclaration=Se,s.filenameDeclaration.name}function u(Se){return i.jsx===5?"jsxDEV":Se?"jsxs":"jsx"}function p(Se){const je=u(Se);return m(je)}function h(){return m("Fragment")}function m(Se){var je,at;const Gt=Se==="createElement"?s.importSpecifier:K3(s.importSpecifier,i),vt=(at=(je=s.utilizedImplicitRuntimeImports)==null?void 0:je.get(Gt))==null?void 0:at.get(Se);if(vt)return vt.name;s.utilizedImplicitRuntimeImports||(s.utilizedImplicitRuntimeImports=new Map);let $e=s.utilizedImplicitRuntimeImports.get(Gt);$e||($e=new Map,s.utilizedImplicitRuntimeImports.set(Gt,$e));const It=t.createUniqueName(`_${Se}`,112),tn=t.createImportSpecifier(!1,t.createIdentifier(Se),It);return Rae(It,tn),$e.set(Se,tn),It}function b(Se){if(Se.isDeclarationFile)return Se;o=Se,s={},s.importSpecifier=J3(i,Se);let je=In(Se,A,e);Ug(je,e.readEmitHelpers());let at=je.statements;if(s.filenameDeclaration&&(at=SS(at.slice(),t.createVariableStatement(void 0,t.createVariableDeclarationList([s.filenameDeclaration],2)))),s.utilizedImplicitRuntimeImports){for(const[Gt,vt]of zo(s.utilizedImplicitRuntimeImports.entries()))if(sc(Se)){const $e=t.createImportDeclaration(void 0,t.createImportClause(!1,void 0,t.createNamedImports(zo(vt.values()))),t.createStringLiteral(Gt),void 0);Jy($e,!1),at=SS(at.slice(),$e)}else if(zp(Se)){const $e=t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(t.createObjectBindingPattern(zo(vt.values(),It=>t.createBindingElement(void 0,It.propertyName,It.name))),void 0,void 0,t.createCallExpression(t.createIdentifier("require"),void 0,[t.createStringLiteral(Gt)]))],2));Jy($e,!1),at=SS(at.slice(),$e)}}return at!==je.statements&&(je=t.updateSourceFile(je,at)),s=void 0,je}function A(Se){return Se.transformFlags&2?I(Se):Se}function I(Se){switch(Se.kind){case 284:return U(Se,!1);case 285:return K(Se,!1);case 288:return Z(Se,!1);case 294:return At(Se);default:return In(Se,A,e)}}function R(Se){switch(Se.kind){case 12:return ve(Se);case 294:return At(Se);case 284:return U(Se,!0);case 285:return K(Se,!0);case 288:return Z(Se,!0);default:return N.failBadSyntaxKind(Se)}}function M(Se){return Se.properties.some(je=>hc(je)&&(Ve(je.name)&&Sr(je.name)==="__proto__"||Ma(je.name)&&je.name.text==="__proto__"))}function C(Se){let je=!1;for(const at of Se.attributes.properties)if(gI(at)&&(!Oa(at.expression)||at.expression.properties.some(Xv)))je=!0;else if(je&&F_(at)&&Ve(at.name)&&at.name.escapedText==="key")return!0;return!1}function O(Se){return s.importSpecifier===void 0||C(Se)}function U(Se,je){return(O(Se.openingElement)?he:G)(Se.openingElement,Se.children,je,Se)}function K(Se,je){return(O(Se)?he:G)(Se,void 0,je,Se)}function Z(Se,je){return(s.importSpecifier===void 0?$:ye)(Se.openingFragment,Se.children,je,Se)}function V(Se){const je=se(Se);return je&&t.createObjectLiteralExpression([je])}function se(Se){const je=xR(Se);if(Me(je)===1&&!je[0].dotDotDotToken){const Gt=R(je[0]);return Gt&&t.createPropertyAssignment("children",Gt)}const at=Hi(Se,R);return Me(at)?t.createPropertyAssignment("children",t.createArrayLiteralExpression(at)):void 0}function G(Se,je,at,Gt){const vt=Pe(Se),$e=je&&je.length?se(je):void 0,It=An(Se.attributes.properties,Pn=>!!Pn.name&&Ve(Pn.name)&&Pn.name.escapedText==="key"),tn=It?nr(Se.attributes.properties,Pn=>Pn!==It):Se.attributes.properties,rn=Me(tn)?oe(tn,$e):t.createObjectLiteralExpression($e?[$e]:et);return ne(vt,rn,It,je||et,at,Gt)}function ne(Se,je,at,Gt,vt,$e){var It;const tn=xR(Gt),rn=Me(tn)>1||!!((It=tn[0])!=null&&It.dotDotDotToken),Pn=[Se,je];if(at&&Pn.push(ie(at.initializer)),i.jsx===5){const hn=Pl(o);if(hn&&$i(hn)){at===void 0&&Pn.push(t.createVoidZero()),Pn.push(rn?t.createTrue():t.createFalse());const Qt=bs(hn,$e.pos);Pn.push(t.createObjectLiteralExpression([t.createPropertyAssignment("fileName",l()),t.createPropertyAssignment("lineNumber",t.createNumericLiteral(Qt.line+1)),t.createPropertyAssignment("columnNumber",t.createNumericLiteral(Qt.character+1))])),Pn.push(t.createThis())}}const Xn=dt(t.createCallExpression(p(rn),void 0,Pn),$e);return vt&&Xu(Xn),Xn}function he(Se,je,at,Gt){const vt=Pe(Se),$e=Se.attributes.properties,It=Me($e)?oe($e):t.createNull(),tn=s.importSpecifier===void 0?HH(t,e.getEmitResolver().getJsxFactoryEntity(o),i.reactNamespace,Se):m("createElement"),rn=rse(t,tn,vt,It,Hi(je,R),Gt);return at&&Xu(rn),rn}function ye(Se,je,at,Gt){let vt;if(je&&je.length){const $e=V(je);$e&&(vt=$e)}return ne(h(),vt||t.createObjectLiteralExpression([]),void 0,je,at,Gt)}function $(Se,je,at,Gt){const vt=ise(t,e.getEmitResolver().getJsxFactoryEntity(o),e.getEmitResolver().getJsxFragmentFactoryEntity(o),i.reactNamespace,Hi(je,R),Se,Gt);return at&&Xu(vt),vt}function Y(Se){return Oa(Se.expression)&&!M(Se.expression)?eo(Se.expression.properties,je=>N.checkDefined(nt(je,A,Wg))):t.createSpreadAssignment(N.checkDefined(nt(Se.expression,A,yt)))}function oe(Se,je){const at=os(i);return at&&at>=5?t.createObjectLiteralExpression(fe(Se,je)):Ne(Se,je)}function fe(Se,je){const at=ia(lG(Se,gI,(Gt,vt)=>ia(wt(Gt,$e=>vt?Y($e):pe($e)))));return je&&at.push(je),at}function Ne(Se,je){const at=[];let Gt=[];for(const $e of Se){if(gI($e)){if(Oa($e.expression)&&!M($e.expression)){for(const It of $e.expression.properties){if(Xv(It)){vt(),at.push(N.checkDefined(nt(It.expression,A,yt)));continue}Gt.push(N.checkDefined(nt(It,A)))}continue}vt(),at.push(N.checkDefined(nt($e.expression,A,yt)));continue}Gt.push(pe($e))}return je&&Gt.push(je),vt(),at.length&&!Oa(at[0])&&at.unshift(t.createObjectLiteralExpression()),sh(at)||r().createAssignHelper(at);function vt(){Gt.length&&(at.push(t.createObjectLiteralExpression(Gt)),Gt=[])}}function pe(Se){const je=We(Se),at=ie(Se.initializer);return t.createPropertyAssignment(je,at)}function ie(Se){if(Se===void 0)return t.createTrue();if(Se.kind===11){const je=Se.singleQuote!==void 0?Se.singleQuote:!p3(Se,o),at=t.createStringLiteral(De(Se.text)||Se.text,je);return dt(at,Se)}return Se.kind===294?Se.expression===void 0?t.createTrue():N.checkDefined(nt(Se.expression,A,yt)):dg(Se)?U(Se,!1):JS(Se)?K(Se,!1):s1(Se)?Z(Se,!1):N.failBadSyntaxKind(Se)}function ve(Se){const je=Le(Se.text);return je===void 0?void 0:t.createStringLiteral(je)}function Le(Se){let je,at=0,Gt=-1;for(let vt=0;vt<Se.length;vt++){const $e=Se.charCodeAt(vt);Hu($e)?(at!==-1&&Gt!==-1&&(je=He(je,Se.substr(at,Gt-at+1))),at=-1):A_($e)||(Gt=vt,at===-1&&(at=vt))}return at!==-1?He(je,Se.substr(at)):je}function He(Se,je){const at=Ee(je);return Se===void 0?at:Se+" "+at}function Ee(Se){return Se.replace(/&((#((\d+)|x([\da-fA-F]+)))|(\w+));/g,(je,at,Gt,vt,$e,It,tn)=>{if($e)return Xx(parseInt($e,10));if(It)return Xx(parseInt(It,16));{const rn=Kxe.get(tn);return rn?Xx(rn):je}})}function De(Se){const je=Ee(Se);return je===Se?void 0:je}function Pe(Se){if(Se.kind===284)return Pe(Se.openingElement);{const je=Se.tagName;return Ve(je)&&DR(je.escapedText)?t.createStringLiteral(Sr(je)):t_(je)?t.createStringLiteral(Sr(je.namespace)+":"+Sr(je.name)):nw(t,je)}}function We(Se){const je=Se.name;if(Ve(je)){const at=Sr(je);return/^[A-Za-z_]\w*$/.test(at)?je:t.createStringLiteral(at)}return t.createStringLiteral(Sr(je.namespace)+":"+Sr(je.name))}function At(Se){const je=nt(Se.expression,A,yt);return Se.dotDotDotToken?t.createSpreadElement(je):je}}var Kxe,XUe=T({"src/compiler/transformers/jsx.ts"(){ra(),Kxe=new Map(Object.entries({quot:34,amp:38,apos:39,lt:60,gt:62,nbsp:160,iexcl:161,cent:162,pound:163,curren:164,yen:165,brvbar:166,sect:167,uml:168,copy:169,ordf:170,laquo:171,not:172,shy:173,reg:174,macr:175,deg:176,plusmn:177,sup2:178,sup3:179,acute:180,micro:181,para:182,middot:183,cedil:184,sup1:185,ordm:186,raquo:187,frac14:188,frac12:189,frac34:190,iquest:191,Agrave:192,Aacute:193,Acirc:194,Atilde:195,Auml:196,Aring:197,AElig:198,Ccedil:199,Egrave:200,Eacute:201,Ecirc:202,Euml:203,Igrave:204,Iacute:205,Icirc:206,Iuml:207,ETH:208,Ntilde:209,Ograve:210,Oacute:211,Ocirc:212,Otilde:213,Ouml:214,times:215,Oslash:216,Ugrave:217,Uacute:218,Ucirc:219,Uuml:220,Yacute:221,THORN:222,szlig:223,agrave:224,aacute:225,acirc:226,atilde:227,auml:228,aring:229,aelig:230,ccedil:231,egrave:232,eacute:233,ecirc:234,euml:235,igrave:236,iacute:237,icirc:238,iuml:239,eth:240,ntilde:241,ograve:242,oacute:243,ocirc:244,otilde:245,ouml:246,divide:247,oslash:248,ugrave:249,uacute:250,ucirc:251,uuml:252,yacute:253,thorn:254,yuml:255,OElig:338,oelig:339,Scaron:352,scaron:353,Yuml:376,fnof:402,circ:710,tilde:732,Alpha:913,Beta:914,Gamma:915,Delta:916,Epsilon:917,Zeta:918,Eta:919,Theta:920,Iota:921,Kappa:922,Lambda:923,Mu:924,Nu:925,Xi:926,Omicron:927,Pi:928,Rho:929,Sigma:931,Tau:932,Upsilon:933,Phi:934,Chi:935,Psi:936,Omega:937,alpha:945,beta:946,gamma:947,delta:948,epsilon:949,zeta:950,eta:951,theta:952,iota:953,kappa:954,lambda:955,mu:956,nu:957,xi:958,omicron:959,pi:960,rho:961,sigmaf:962,sigma:963,tau:964,upsilon:965,phi:966,chi:967,psi:968,omega:969,thetasym:977,upsih:978,piv:982,ensp:8194,emsp:8195,thinsp:8201,zwnj:8204,zwj:8205,lrm:8206,rlm:8207,ndash:8211,mdash:8212,lsquo:8216,rsquo:8217,sbquo:8218,ldquo:8220,rdquo:8221,bdquo:8222,dagger:8224,Dagger:8225,bull:8226,hellip:8230,permil:8240,prime:8242,Prime:8243,lsaquo:8249,rsaquo:8250,oline:8254,frasl:8260,euro:8364,image:8465,weierp:8472,real:8476,trade:8482,alefsym:8501,larr:8592,uarr:8593,rarr:8594,darr:8595,harr:8596,crarr:8629,lArr:8656,uArr:8657,rArr:8658,dArr:8659,hArr:8660,forall:8704,part:8706,exist:8707,empty:8709,nabla:8711,isin:8712,notin:8713,ni:8715,prod:8719,sum:8721,minus:8722,lowast:8727,radic:8730,prop:8733,infin:8734,ang:8736,and:8743,or:8744,cap:8745,cup:8746,int:8747,there4:8756,sim:8764,cong:8773,asymp:8776,ne:8800,equiv:8801,le:8804,ge:8805,sub:8834,sup:8835,nsub:8836,sube:8838,supe:8839,oplus:8853,otimes:8855,perp:8869,sdot:8901,lceil:8968,rceil:8969,lfloor:8970,rfloor:8971,lang:9001,rang:9002,loz:9674,spades:9824,clubs:9827,hearts:9829,diams:9830}))}});function nce(e){const{factory:t,hoistVariableDeclaration:r}=e;return Pm(e,i);function i(p){return p.isDeclarationFile?p:In(p,o,e)}function o(p){if(!(p.transformFlags&512))return p;switch(p.kind){case 226:return s(p);default:return In(p,o,e)}}function s(p){switch(p.operatorToken.kind){case 68:return l(p);case 43:return u(p);default:return In(p,o,e)}}function l(p){let h,m;const b=nt(p.left,o,yt),A=nt(p.right,o,yt);if(Qs(b)){const I=t.createTempVariable(r),R=t.createTempVariable(r);h=dt(t.createElementAccessExpression(dt(t.createAssignment(I,b.expression),b.expression),dt(t.createAssignment(R,b.argumentExpression),b.argumentExpression)),b),m=dt(t.createElementAccessExpression(I,R),b)}else if(Fr(b)){const I=t.createTempVariable(r);h=dt(t.createPropertyAccessExpression(dt(t.createAssignment(I,b.expression),b.expression),b.name),b),m=dt(t.createPropertyAccessExpression(I,b.name),b)}else h=b,m=b;return dt(t.createAssignment(h,dt(t.createGlobalMethodCall("Math","pow",[m,A]),p)),p)}function u(p){const h=nt(p.left,o,yt),m=nt(p.right,o,yt);return dt(t.createGlobalMethodCall("Math","pow",[h,m]),p)}}var YUe=T({"src/compiler/transformers/es2016.ts"(){ra()}});function Xxe(e,t){return{kind:e,expression:t}}function rce(e){const{factory:t,getEmitHelperFactory:r,startLexicalEnvironment:i,resumeLexicalEnvironment:o,endLexicalEnvironment:s,hoistVariableDeclaration:l}=e,u=e.getCompilerOptions(),p=e.getEmitResolver(),h=e.onSubstituteNode,m=e.onEmitNode;e.onEmitNode=ff,e.onSubstituteNode=Of;let b,A,I,R;function M(te){R=xn(R,t.createVariableDeclaration(te))}let C,O;return Pm(e,U);function U(te){if(te.isDeclarationFile)return te;b=te,A=te.text;const ct=oe(te);return Ug(ct,e.readEmitHelpers()),b=void 0,A=void 0,R=void 0,I=0,ct}function K(te,ct){const Ft=I;return I=(I&~te|ct)&32767,Ft}function Z(te,ct,Ft){I=(I&~ct|Ft)&-32768|te}function V(te){return(I&8192)!==0&&te.kind===253&&!te.expression}function se(te){return te.transformFlags&4194304&&(Dm(te)||US(te)||Uae(te)||EP(te)||SP(te)||YR(te)||AP(te)||qS(te)||c1(te)||o1(te)||Wy(te,!1)||Xo(te))}function G(te){return(te.transformFlags&1024)!==0||C!==void 0||I&8192&&se(te)||Wy(te,!1)&&ho(te)||(Am(te)&1)!==0}function ne(te){return G(te)?Y(te,!1):te}function he(te){return G(te)?Y(te,!0):te}function ye(te){if(G(te)){const ct=Pl(te);if(Jo(ct)&&mc(ct)){const Ft=K(32670,16449),jt=Y(te,!1);return Z(Ft,229376,0),jt}return Y(te,!1)}return te}function $(te){return te.kind===108?ec(te,!0):ne(te)}function Y(te,ct){switch(te.kind){case 126:return;case 263:return Pe(te);case 231:return We(te);case 169:return Wa(te);case 262:return fn(te);case 219:return Vt(te);case 218:return vn(te);case 260:return bn(te);case 80:return Ee(te);case 261:return ke(te);case 255:return fe(te);case 269:return Ne(te);case 241:return Oe(te);case 252:case 251:return De(te);case 256:return Ni(te);case 246:case 247:return jr(te,void 0);case 248:return vo(te,void 0);case 249:return Ii(te,void 0);case 250:return Kr(te,void 0);case 244:return Lt(te);case 210:return Lo(te);case 299:return xi(te);case 304:return Hc(te);case 167:return Us(te);case 209:return Ss(te);case 213:return qc(te);case 214:return cm(te);case 217:return L(te,ct);case 226:return _e(te,ct);case 361:return J(te,ct);case 15:case 16:case 17:case 18:return na(te);case 11:return Zl(te);case 9:return yc(te);case 215:return dl(te);case 228:return yl(te);case 229:return vc(te);case 230:return Qo(te);case 108:return ec(te,!1);case 110:return Le(te);case 236:return Jc(te);case 174:return $o(te);case 177:case 178:return Tl(te);case 243:return Ge(te);case 253:return ve(te);case 222:return He(te);default:return In(te,ne,e)}}function oe(te){const ct=K(8064,64),Ft=[],jt=[];i();const F=t.copyPrologue(te.statements,Ft,!1,ne);return Jr(jt,Vn(te.statements,ne,qi,F)),R&&jt.push(t.createVariableStatement(void 0,t.createVariableDeclarationList(R))),t.mergeLexicalEnvironment(Ft,s()),le(Ft,te),Z(ct,0,0),t.updateSourceFile(te,dt(t.createNodeArray(So(Ft,jt)),te.statements))}function fe(te){if(C!==void 0){const ct=C.allowedNonLabeledJumps;C.allowedNonLabeledJumps|=2;const Ft=In(te,ne,e);return C.allowedNonLabeledJumps=ct,Ft}return In(te,ne,e)}function Ne(te){const ct=K(7104,0),Ft=In(te,ne,e);return Z(ct,0,0),Ft}function pe(te){return Cr(t.createReturnStatement(ie()),te)}function ie(){return t.createUniqueName("_this",48)}function ve(te){return C?(C.nonLocalJumps|=8,V(te)&&(te=pe(te)),t.createReturnStatement(t.createObjectLiteralExpression([t.createPropertyAssignment(t.createIdentifier("value"),te.expression?N.checkDefined(nt(te.expression,ne,yt)):t.createVoidZero())]))):V(te)?pe(te):In(te,ne,e)}function Le(te){return I|=65536,I&2&&!(I&16384)&&(I|=131072),C?I&2?(C.containsLexicalThis=!0,te):C.thisName||(C.thisName=t.createUniqueName("this")):te}function He(te){return In(te,he,e)}function Ee(te){return C&&p.isArgumentsLocalBinding(te)?C.argumentsName||(C.argumentsName=t.createUniqueName("arguments")):te.flags&256?Cr(dt(t.createIdentifier(Vi(te.escapedText)),te),te):te}function De(te){if(C){const ct=te.kind===252?2:4;if(!(te.label&&C.labels&&C.labels.get(Sr(te.label))||!te.label&&C.allowedNonLabeledJumps&ct)){let jt;const F=te.label;F?te.kind===252?(jt=`break-${F.escapedText}`,ee(C,!0,Sr(F),jt)):(jt=`continue-${F.escapedText}`,ee(C,!1,Sr(F),jt)):te.kind===252?(C.nonLocalJumps|=2,jt="break"):(C.nonLocalJumps|=4,jt="continue");let Te=t.createStringLiteral(jt);if(C.loopOutParameters.length){const st=C.loopOutParameters;let Jt;for(let rr=0;rr<st.length;rr++){const oi=Ip(st[rr],1);rr===0?Jt=oi:Jt=t.createBinaryExpression(Jt,28,oi)}Te=t.createBinaryExpression(Jt,28,Te)}return t.createReturnStatement(Te)}}return In(te,ne,e)}function Pe(te){const ct=t.createVariableDeclaration(t.getLocalName(te,!0),void 0,void 0,At(te));Cr(ct,te);const Ft=[],jt=t.createVariableStatement(void 0,t.createVariableDeclarationList([ct]));if(Cr(jt,te),dt(jt,te),Xu(jt),Ft.push(jt),Zr(te,32)){const F=Zr(te,2048)?t.createExportDefault(t.getLocalName(te)):t.createExternalModuleExport(t.getLocalName(te));Cr(F,jt),Ft.push(F)}return lh(Ft)}function We(te){return At(te)}function At(te){te.name&&jd();const ct=UE(te),Ft=t.createFunctionExpression(void 0,void 0,void 0,void 0,ct?[t.createParameterDeclaration(void 0,void 0,Vl())]:[],void 0,Se(te,ct));pr(Ft,ja(te)&131072|1048576);const jt=t.createPartiallyEmittedExpression(Ft);FR(jt,te.end),pr(jt,3072);const F=t.createPartiallyEmittedExpression(jt);FR(F,ka(A,te.pos)),pr(F,3072);const Te=t.createParenthesizedExpression(t.createCallExpression(F,void 0,ct?[N.checkDefined(nt(ct.expression,ne,yt))]:[]));return fP(Te,3,"* @class "),Te}function Se(te,ct){const Ft=[],jt=t.getInternalName(te),F=nU(jt)?t.getGeneratedNameForNode(jt):jt;i(),je(Ft,te,ct),at(Ft,te,F,ct),j(Ft,te);const Te=IU(ka(A,te.members.end),20),st=t.createPartiallyEmittedExpression(F);FR(st,Te.end),pr(st,3072);const Jt=t.createReturnStatement(st);tP(Jt,Te.pos),pr(Jt,3840),Ft.push(Jt),eg(Ft,s());const rr=t.createBlock(dt(t.createNodeArray(Ft),te.members),!0);return pr(rr,3072),rr}function je(te,ct,Ft){Ft&&te.push(dt(t.createExpressionStatement(r().createExtendsHelper(t.getInternalName(ct))),Ft))}function at(te,ct,Ft,jt){const F=C;C=void 0;const Te=K(32662,73),st=ag(ct),Jt=Ud(st,jt!==void 0),rr=t.createFunctionDeclaration(void 0,void 0,Ft,void 0,Gt(st,Jt),void 0,tn(st,ct,jt,Jt));dt(rr,st||ct),jt&&pr(rr,16),te.push(rr),Z(Te,229376,0),C=F}function Gt(te,ct){return Dl(te&&!ct?te.parameters:void 0,ne,e)||[]}function vt(te,ct){const Ft=[];o(),t.mergeLexicalEnvironment(Ft,s()),ct&&Ft.push(t.createReturnStatement(ma()));const jt=t.createNodeArray(Ft);dt(jt,te.members);const F=t.createBlock(jt,!0);return dt(F,te),pr(F,3072),F}function $e(te){return Ll(te)&&Zn(te.declarationList.declarations,ct=>Ve(ct.name)&&!ct.initializer)}function It(te){if(IS(te))return!0;if(!(te.transformFlags&134217728))return!1;switch(te.kind){case 219:case 218:case 262:case 176:case 175:return!1;case 177:case 178:case 174:case 172:{const ct=te;return Za(ct.name)?!!Ho(ct.name,It):!1}}return!!Ho(te,It)}function tn(te,ct,Ft,jt){const F=!!Ft&&$l(Ft.expression).kind!==106;if(!te)return vt(ct,F);const Te=[],st=[];o();const Jt=t.copyStandardPrologue(te.body.statements,Te,0);(jt||It(te.body))&&(I|=8192),Jr(st,Vn(te.body.statements,ne,qi,Jt));const rr=F||I&8192;kn(Te,te),Ct(Te,te,jt),pt(Te,te),rr?Fe(Te,te,Io()):le(Te,te),t.mergeLexicalEnvironment(Te,s()),rr&&!Qi(te.body)&&st.push(t.createReturnStatement(ie()));const oi=t.createBlock(dt(t.createNodeArray([...Te,...st]),te.body.statements),!0);return dt(oi,te.body),hi(oi,te.body,jt)}function rn(te){return sl(te)&&Sr(te)==="_this"}function Pn(te){return sl(te)&&Sr(te)==="_super"}function Xn(te){return Ll(te)&&te.declarationList.declarations.length===1&&hn(te.declarationList.declarations[0])}function hn(te){return wi(te)&&rn(te.name)&&!!te.initializer}function Qt(te){return Lc(te,!0)&&rn(te.left)}function $t(te){return la(te)&&Fr(te.expression)&&Pn(te.expression.expression)&&Ve(te.expression.name)&&(Sr(te.expression.name)==="call"||Sr(te.expression.name)==="apply")&&te.arguments.length>=1&&te.arguments[0].kind===110}function _i(te){return mr(te)&&te.operatorToken.kind===57&&te.right.kind===110&&$t(te.left)}function ao(te){return mr(te)&&te.operatorToken.kind===56&&mr(te.left)&&te.left.operatorToken.kind===38&&Pn(te.left.left)&&te.left.right.kind===106&&$t(te.right)&&Sr(te.right.expression.name)==="apply"}function Oi(te){return mr(te)&&te.operatorToken.kind===57&&te.right.kind===110&&ao(te.left)}function No(te){return Qt(te)&&_i(te.right)}function Mn(te){return Qt(te)&&Oi(te.right)}function Di(te){return $t(te)||_i(te)||No(te)||ao(te)||Oi(te)||Mn(te)}function Ar(te){for(let ct=0;ct<te.statements.length-1;ct++){const Ft=te.statements[ct];if(!Xn(Ft))continue;const jt=Ft.declarationList.declarations[0];if(jt.initializer.kind!==110)continue;const F=ct;let Te=ct+1;for(;Te<te.statements.length;){const Fa=te.statements[Te];if(eu(Fa)&&Di($l(Fa.expression)))break;if($e(Fa)){Te++;continue}return te}const st=te.statements[Te];let Jt=st.expression;Qt(Jt)&&(Jt=Jt.right);const rr=t.updateVariableDeclaration(jt,jt.name,void 0,void 0,Jt),oi=t.updateVariableDeclarationList(Ft.declarationList,[rr]),$r=t.createVariableStatement(Ft.modifiers,oi);Cr($r,st),dt($r,st);const io=t.createNodeArray([...te.statements.slice(0,F),...te.statements.slice(F+1,Te),$r,...te.statements.slice(Te+1)]);return dt(io,te.statements),t.updateBlock(te,io)}return te}function sn(te,ct){for(const jt of ct.statements)if(jt.transformFlags&134217728&&!i7(jt))return te;const Ft=!(ct.transformFlags&16384)&&!(I&65536)&&!(I&131072);for(let jt=te.statements.length-1;jt>0;jt--){const F=te.statements[jt];if(Dm(F)&&F.expression&&rn(F.expression)){const Te=te.statements[jt-1];let st;if(eu(Te)&&No($l(Te.expression)))st=Te.expression;else if(Ft&&Xn(Te)){const oi=Te.declarationList.declarations[0];Di($l(oi.initializer))&&(st=t.createAssignment(ie(),oi.initializer))}if(!st)break;const Jt=t.createReturnStatement(st);Cr(Jt,Te),dt(Jt,Te);const rr=t.createNodeArray([...te.statements.slice(0,jt-1),Jt,...te.statements.slice(jt+1)]);return dt(rr,te.statements),t.updateBlock(te,rr)}}return te}function tt(te){if(Xn(te)){if(te.declarationList.declarations[0].initializer.kind===110)return}else if(Qt(te))return t.createPartiallyEmittedExpression(te.right,te);switch(te.kind){case 219:case 218:case 262:case 176:case 175:return te;case 177:case 178:case 174:case 172:{const ct=te;return Za(ct.name)?t.replacePropertyName(ct,In(ct.name,tt,void 0)):te}}return In(te,tt,void 0)}function zt(te,ct){if(ct.transformFlags&16384||I&65536||I&131072)return te;for(const Ft of ct.statements)if(Ft.transformFlags&134217728&&!i7(Ft))return te;return t.updateBlock(te,Vn(te.statements,tt,qi))}function Rn(te){if($t(te)&&te.arguments.length===2&&Ve(te.arguments[1])&&Sr(te.arguments[1])==="arguments")return t.createLogicalAnd(t.createStrictInequality(Vl(),t.createNull()),te);switch(te.kind){case 219:case 218:case 262:case 176:case 175:return te;case 177:case 178:case 174:case 172:{const ct=te;return Za(ct.name)?t.replacePropertyName(ct,In(ct.name,Rn,void 0)):te}}return In(te,Rn,void 0)}function li(te){return t.updateBlock(te,Vn(te.statements,Rn,qi))}function hi(te,ct,Ft){const jt=te;return te=Ar(te),te=sn(te,ct),te!==jt&&(te=zt(te,ct)),Ft&&(te=li(te)),te}function Qi(te){if(te.kind===253)return!0;if(te.kind===245){const ct=te;if(ct.elseStatement)return Qi(ct.thenStatement)&&Qi(ct.elseStatement)}else if(te.kind===241){const ct=tl(te.statements);if(ct&&Qi(ct))return!0}return!1}function Io(){return pr(t.createThis(),8)}function ma(){return t.createLogicalOr(t.createLogicalAnd(t.createStrictInequality(Vl(),t.createNull()),t.createFunctionApplyCall(Vl(),Io(),t.createIdentifier("arguments"))),Io())}function Wa(te){if(!te.dotDotDotToken)return ta(te.name)?Cr(dt(t.createParameterDeclaration(void 0,void 0,t.getGeneratedNameForNode(te),void 0,void 0,void 0),te),te):te.initializer?Cr(dt(t.createParameterDeclaration(void 0,void 0,te.name,void 0,void 0,void 0),te),te):te}function Sn(te){return te.initializer!==void 0||ta(te.name)}function kn(te,ct){if(!bt(ct.parameters,Sn))return!1;let Ft=!1;for(const jt of ct.parameters){const{name:F,initializer:Te,dotDotDotToken:st}=jt;st||(ta(F)?Ft=qe(te,jt,F,Te)||Ft:Te&&(mt(te,jt,F,Te),Ft=!0))}return Ft}function qe(te,ct,Ft,jt){return Ft.elements.length>0?(SS(te,pr(t.createVariableStatement(void 0,t.createVariableDeclarationList(h1(ct,ne,e,0,t.getGeneratedNameForNode(ct)))),2097152)),!0):jt?(SS(te,pr(t.createExpressionStatement(t.createAssignment(t.getGeneratedNameForNode(ct),N.checkDefined(nt(jt,ne,yt)))),2097152)),!0):!1}function mt(te,ct,Ft,jt){jt=N.checkDefined(nt(jt,ne,yt));const F=t.createIfStatement(t.createTypeCheck(t.cloneNode(Ft),"undefined"),pr(dt(t.createBlock([t.createExpressionStatement(pr(dt(t.createAssignment(pr(qa(dt(t.cloneNode(Ft),Ft),Ft.parent),96),pr(jt,96|ja(jt)|3072)),ct),3072))]),ct),3905));Xu(F),dt(F,ct),pr(F,2101056),SS(te,F)}function ft(te,ct){return!!(te&&te.dotDotDotToken&&!ct)}function Ct(te,ct,Ft){const jt=[],F=tl(ct.parameters);if(!ft(F,Ft))return!1;const Te=F.name.kind===80?qa(dt(t.cloneNode(F.name),F.name),F.name.parent):t.createTempVariable(void 0);pr(Te,96);const st=F.name.kind===80?t.cloneNode(F.name):Te,Jt=ct.parameters.length-1,rr=t.createLoopVariable();jt.push(pr(dt(t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(Te,void 0,void 0,t.createArrayLiteralExpression([]))])),F),2097152));const oi=t.createForStatement(dt(t.createVariableDeclarationList([t.createVariableDeclaration(rr,void 0,void 0,t.createNumericLiteral(Jt))]),F),dt(t.createLessThan(rr,t.createPropertyAccessExpression(t.createIdentifier("arguments"),"length")),F),dt(t.createPostfixIncrement(rr),F),t.createBlock([Xu(dt(t.createExpressionStatement(t.createAssignment(t.createElementAccessExpression(st,Jt===0?rr:t.createSubtract(rr,t.createNumericLiteral(Jt))),t.createElementAccessExpression(t.createIdentifier("arguments"),rr))),F))]));return pr(oi,2097152),Xu(oi),jt.push(oi),F.name.kind!==80&&jt.push(pr(dt(t.createVariableStatement(void 0,t.createVariableDeclarationList(h1(F,ne,e,0,st))),F),2097152)),yj(te,jt),!0}function le(te,ct){return I&131072&&ct.kind!==219?(Fe(te,ct,t.createThis()),!0):!1}function Fe(te,ct,Ft){Cd();const jt=t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(ie(),void 0,void 0,Ft)]));pr(jt,2100224),Pa(jt,ct),SS(te,jt)}function pt(te,ct){if(I&32768){let Ft;switch(ct.kind){case 219:return te;case 174:case 177:case 178:Ft=t.createVoidZero();break;case 176:Ft=t.createPropertyAccessExpression(pr(t.createThis(),8),"constructor");break;case 262:case 218:Ft=t.createConditionalExpression(t.createLogicalAnd(pr(t.createThis(),8),t.createBinaryExpression(pr(t.createThis(),8),104,t.getLocalName(ct))),void 0,t.createPropertyAccessExpression(pr(t.createThis(),8),"constructor"),void 0,t.createVoidZero());break;default:return N.failBadSyntaxKind(ct)}const jt=t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(t.createUniqueName("_newTarget",48),void 0,void 0,Ft)]));pr(jt,2100224),SS(te,jt)}return te}function j(te,ct){for(const Ft of ct.members)switch(Ft.kind){case 240:te.push(ot(Ft));break;case 174:te.push(Rt(_g(ct,Ft),Ft,ct));break;case 177:case 178:const jt=wS(ct.members,Ft);Ft===jt.firstAccessor&&te.push(Ye(_g(ct,Ft),jt,ct));break;case 176:case 175:break;default:N.failBadSyntaxKind(Ft,b&&b.fileName);break}}function ot(te){return dt(t.createEmptyStatement(),te)}function Rt(te,ct,Ft){const jt=w_(ct),F=qv(ct),Te=_n(ct,ct,void 0,Ft),st=nt(ct.name,ne,oc);N.assert(st);let Jt;if(!Ji(st)&&dP(e.getCompilerOptions())){const oi=Za(st)?st.expression:Ve(st)?t.createStringLiteral(Vi(st.escapedText)):st;Jt=t.createObjectDefinePropertyCall(te,oi,t.createPropertyDescriptor({value:Te,enumerable:!1,writable:!0,configurable:!0}))}else{const oi=$S(t,te,st,ct.name);Jt=t.createAssignment(oi,Te)}pr(Te,3072),Pa(Te,F);const rr=dt(t.createExpressionStatement(Jt),ct);return Cr(rr,ct),ac(rr,jt),pr(rr,96),rr}function Ye(te,ct,Ft){const jt=t.createExpressionStatement(_t(te,ct,Ft,!1));return pr(jt,3072),Pa(jt,qv(ct.firstAccessor)),jt}function _t(te,{firstAccessor:ct,getAccessor:Ft,setAccessor:jt},F,Te){const st=qa(dt(t.cloneNode(te),te),te.parent);pr(st,3136),Pa(st,ct.name);const Jt=nt(ct.name,ne,oc);if(N.assert(Jt),Ji(Jt))return N.failBadSyntaxKind(Jt,"Encountered unhandled private identifier while transforming ES2015.");const rr=JH(t,Jt);pr(rr,3104),Pa(rr,ct.name);const oi=[];if(Ft){const io=_n(Ft,void 0,void 0,F);Pa(io,qv(Ft)),pr(io,1024);const Fa=t.createPropertyAssignment("get",io);ac(Fa,w_(Ft)),oi.push(Fa)}if(jt){const io=_n(jt,void 0,void 0,F);Pa(io,qv(jt)),pr(io,1024);const Fa=t.createPropertyAssignment("set",io);ac(Fa,w_(jt)),oi.push(Fa)}oi.push(t.createPropertyAssignment("enumerable",Ft||jt?t.createFalse():t.createTrue()),t.createPropertyAssignment("configurable",t.createTrue()));const $r=t.createCallExpression(t.createPropertyAccessExpression(t.createIdentifier("Object"),"defineProperty"),void 0,[st,rr,t.createObjectLiteralExpression(oi,!0)]);return Te&&Xu($r),$r}function Vt(te){te.transformFlags&16384&&!(I&16384)&&(I|=131072);const ct=C;C=void 0;const Ft=K(15232,66),jt=t.createFunctionExpression(void 0,void 0,void 0,void 0,Dl(te.parameters,ne,e),void 0,q(te));return dt(jt,te),Cr(jt,te),pr(jt,16),Z(Ft,0,0),C=ct,jt}function vn(te){const ct=ja(te)&524288?K(32662,69):K(32670,65),Ft=C;C=void 0;const jt=Dl(te.parameters,ne,e),F=q(te),Te=I&32768?t.getLocalName(te):te.name;return Z(ct,229376,0),C=Ft,t.updateFunctionExpression(te,void 0,te.asteriskToken,Te,void 0,jt,void 0,F)}function fn(te){const ct=C;C=void 0;const Ft=K(32670,65),jt=Dl(te.parameters,ne,e),F=q(te),Te=I&32768?t.getLocalName(te):te.name;return Z(Ft,229376,0),C=ct,t.updateFunctionDeclaration(te,Vn(te.modifiers,ne,xa),te.asteriskToken,Te,void 0,jt,void 0,F)}function _n(te,ct,Ft,jt){const F=C;C=void 0;const Te=jt&&ui(jt)&&!sa(te)?K(32670,73):K(32670,65),st=Dl(te.parameters,ne,e),Jt=q(te);return I&32768&&!Ft&&(te.kind===262||te.kind===218)&&(Ft=t.getGeneratedNameForNode(te)),Z(Te,229376,0),C=F,Cr(dt(t.createFunctionExpression(void 0,te.asteriskToken,Ft,void 0,st,void 0,Jt),ct),te)}function q(te){let ct=!1,Ft=!1,jt,F;const Te=[],st=[],Jt=te.body;let rr;if(o(),Xo(Jt)&&(rr=t.copyStandardPrologue(Jt.statements,Te,0,!1),rr=t.copyCustomPrologue(Jt.statements,st,rr,ne,Y4),rr=t.copyCustomPrologue(Jt.statements,st,rr,ne,$4)),ct=kn(st,te)||ct,ct=Ct(st,te,!1)||ct,Xo(Jt))rr=t.copyCustomPrologue(Jt.statements,st,rr,ne),jt=Jt.statements,Jr(st,Vn(Jt.statements,ne,qi,rr)),!ct&&Jt.multiLine&&(ct=!0);else{N.assert(te.kind===219),jt=O3(Jt,-1);const $r=te.equalsGreaterThanToken;!$s($r)&&!$s(Jt)&&(gk($r,Jt,b)?Ft=!0:ct=!0);const io=nt(Jt,ne,yt),Fa=t.createReturnStatement(io);dt(Fa,Jt),Eae(Fa,Jt),pr(Fa,2880),st.push(Fa),F=Jt}if(t.mergeLexicalEnvironment(Te,s()),pt(Te,te),le(Te,te),bt(Te)&&(ct=!0),st.unshift(...Te),Xo(Jt)&&Km(st,Jt.statements))return Jt;const oi=t.createBlock(dt(t.createNodeArray(st),jt),ct);return dt(oi,te.body),!ct&&Ft&&pr(oi,1),F&&bae(oi,20,F),Cr(oi,te.body),oi}function Oe(te,ct){const Ft=I&256?K(7104,512):K(6976,128),jt=In(te,ne,e);return Z(Ft,0,0),jt}function Lt(te){return In(te,he,e)}function L(te,ct){return In(te,ct?he:ne,e)}function _e(te,ct){return jv(te)?tT(te,ne,e,0,!ct):te.operatorToken.kind===28?t.updateBinaryExpression(te,N.checkDefined(nt(te.left,he,yt)),te.operatorToken,N.checkDefined(nt(te.right,ct?he:ne,yt))):In(te,ne,e)}function J(te,ct){if(ct)return In(te,he,e);let Ft;for(let F=0;F<te.elements.length;F++){const Te=te.elements[F],st=nt(Te,F<te.elements.length-1?he:ne,yt);(Ft||st!==Te)&&(Ft||(Ft=te.elements.slice(0,F)),N.assert(st),Ft.push(st))}const jt=Ft?dt(t.createNodeArray(Ft),te.elements):te.elements;return t.updateCommaListExpression(te,jt)}function me(te){return te.declarationList.declarations.length===1&&!!te.declarationList.declarations[0].initializer&&!!(Am(te.declarationList.declarations[0].initializer)&1)}function Ge(te){const ct=K(0,Zr(te,32)?32:0);let Ft;if(C&&!(te.declarationList.flags&7)&&!me(te)){let jt;for(const F of te.declarationList.declarations)if(du(C,F),F.initializer){let Te;ta(F.name)?Te=tT(F,ne,e,0):(Te=t.createBinaryExpression(F.name,64,N.checkDefined(nt(F.initializer,ne,yt))),dt(Te,F)),jt=xn(jt,Te)}jt?Ft=dt(t.createExpressionStatement(t.inlineExpressions(jt)),te):Ft=void 0}else Ft=In(te,ne,e);return Z(ct,0,0),Ft}function ke(te){if(te.flags&7||te.transformFlags&524288){te.flags&7&&jd();const ct=Vn(te.declarations,te.flags&1?en:bn,wi),Ft=t.createVariableDeclarationList(ct);return Cr(Ft,te),dt(Ft,te),ac(Ft,te),te.transformFlags&524288&&(ta(te.declarations[0].name)||ta(Ya(te.declarations).name))&&Pa(Ft,Tt(ct)),Ft}return In(te,ne,e)}function Tt(te){let ct=-1,Ft=-1;for(const jt of te)ct=ct===-1?jt.pos:jt.pos===-1?ct:Math.min(ct,jt.pos),Ft=Math.max(Ft,jt.end);return xf(ct,Ft)}function kt(te){const ct=p.getNodeCheckFlags(te),Ft=ct&16384,jt=ct&32768;return!((I&64)!==0||Ft&&jt&&(I&512)!==0)&&(I&4096)===0&&(!p.isDeclarationWithCollidingName(te)||jt&&!Ft&&(I&6144)===0)}function en(te){const ct=te.name;return ta(ct)?bn(te):!te.initializer&&kt(te)?t.updateVariableDeclaration(te,te.name,void 0,void 0,t.createVoidZero()):In(te,ne,e)}function bn(te){const ct=K(32,0);let Ft;return ta(te.name)?Ft=h1(te,ne,e,0,void 0,(ct&32)!==0):Ft=In(te,ne,e),Z(ct,0,0),Ft}function Bn(te){C.labels.set(Sr(te.label),!0)}function Hn(te){C.labels.set(Sr(te.label),!1)}function Ni(te){C&&!C.labels&&(C.labels=new Map);const ct=Wj(te,C&&Bn);return Wy(ct,!1)?Ai(ct,te):t.restoreEnclosingLabel(N.checkDefined(nt(ct,ne,qi,t.liftToBlock)),te,C&&Hn)}function Ai(te,ct){switch(te.kind){case 246:case 247:return jr(te,ct);case 248:return vo(te,ct);case 249:return Ii(te,ct);case 250:return Kr(te,ct)}}function ir(te,ct,Ft,jt,F){const Te=K(te,ct),st=As(Ft,jt,Te,F);return Z(Te,0,0),st}function jr(te,ct){return ir(0,1280,te,ct)}function vo(te,ct){return ir(5056,3328,te,ct)}function Ci(te){return t.updateForStatement(te,nt(te.initializer,he,Af),nt(te.condition,ne,yt),nt(te.incrementor,he,yt),N.checkDefined(nt(te.statement,ne,qi,t.liftToBlock)))}function Ii(te,ct){return ir(3008,5376,te,ct)}function Kr(te,ct){return ir(3008,5376,te,ct,u.downlevelIteration?Ql:Fs)}function xo(te,ct,Ft){const jt=[],F=te.initializer;if(Uc(F)){te.initializer.flags&7&&jd();const Te=Xc(F.declarations);if(Te&&ta(Te.name)){const st=h1(Te,ne,e,0,ct),Jt=dt(t.createVariableDeclarationList(st),te.initializer);Cr(Jt,te.initializer),Pa(Jt,xf(st[0].pos,Ya(st).end)),jt.push(t.createVariableStatement(void 0,Jt))}else jt.push(dt(t.createVariableStatement(void 0,Cr(dt(t.createVariableDeclarationList([t.createVariableDeclaration(Te?Te.name:t.createTempVariable(void 0),void 0,void 0,ct)]),E0(F,-1)),F)),O3(F,-1)))}else{const Te=t.createAssignment(F,ct);jv(Te)?jt.push(t.createExpressionStatement(_e(Te,!0))):(FR(Te,F.end),jt.push(dt(t.createExpressionStatement(N.checkDefined(nt(Te,ne,yt))),O3(F,-1))))}if(Ft)return Dn(Jr(jt,Ft));{const Te=nt(te.statement,ne,qi,t.liftToBlock);return N.assert(Te),Xo(Te)?t.updateBlock(Te,dt(t.createNodeArray(So(jt,Te.statements)),Te.statements)):(jt.push(Te),Dn(jt))}}function Dn(te){return pr(t.createBlock(t.createNodeArray(te),!0),864)}function Fs(te,ct,Ft){const jt=nt(te.expression,ne,yt);N.assert(jt);const F=t.createLoopVariable(),Te=Ve(jt)?t.getGeneratedNameForNode(jt):t.createTempVariable(void 0);pr(jt,96|ja(jt));const st=dt(t.createForStatement(pr(dt(t.createVariableDeclarationList([dt(t.createVariableDeclaration(F,void 0,void 0,t.createNumericLiteral(0)),E0(te.expression,-1)),dt(t.createVariableDeclaration(Te,void 0,void 0,jt),te.expression)]),te.expression),4194304),dt(t.createLessThan(F,t.createPropertyAccessExpression(Te,"length")),te.expression),dt(t.createPostfixIncrement(F),te.expression),xo(te,t.createElementAccessExpression(Te,F),Ft)),te);return pr(st,512),dt(st,te),t.restoreEnclosingLabel(st,ct,C&&Hn)}function Ql(te,ct,Ft,jt){const F=nt(te.expression,ne,yt);N.assert(F);const Te=Ve(F)?t.getGeneratedNameForNode(F):t.createTempVariable(void 0),st=Ve(F)?t.getGeneratedNameForNode(Te):t.createTempVariable(void 0),Jt=t.createUniqueName("e"),rr=t.getGeneratedNameForNode(Jt),oi=t.createTempVariable(void 0),$r=dt(r().createValuesHelper(F),te.expression),io=t.createCallExpression(t.createPropertyAccessExpression(Te,"next"),void 0,[]);l(Jt),l(oi);const Fa=jt&1024?t.inlineExpressions([t.createAssignment(Jt,t.createVoidZero()),$r]):$r,za=pr(dt(t.createForStatement(pr(dt(t.createVariableDeclarationList([dt(t.createVariableDeclaration(Te,void 0,void 0,Fa),te.expression),t.createVariableDeclaration(st,void 0,void 0,io)]),te.expression),4194304),t.createLogicalNot(t.createPropertyAccessExpression(st,"done")),t.createAssignment(st,io),xo(te,t.createPropertyAccessExpression(st,"value"),Ft)),te),512);return t.createTryStatement(t.createBlock([t.restoreEnclosingLabel(za,ct,C&&Hn)]),t.createCatchClause(t.createVariableDeclaration(rr),pr(t.createBlock([t.createExpressionStatement(t.createAssignment(Jt,t.createObjectLiteralExpression([t.createPropertyAssignment("error",rr)])))]),1)),t.createBlock([t.createTryStatement(t.createBlock([pr(t.createIfStatement(t.createLogicalAnd(t.createLogicalAnd(st,t.createLogicalNot(t.createPropertyAccessExpression(st,"done"))),t.createAssignment(oi,t.createPropertyAccessExpression(Te,"return"))),t.createExpressionStatement(t.createFunctionCallCall(oi,Te,[]))),1)]),void 0,pr(t.createBlock([pr(t.createIfStatement(Jt,t.createThrowStatement(t.createPropertyAccessExpression(Jt,"error"))),1)]),1))]))}function Lo(te){const ct=te.properties;let Ft=-1,jt=!1;for(let Jt=0;Jt<ct.length;Jt++){const rr=ct[Jt];if(rr.transformFlags&1048576&&I&4||(jt=N.checkDefined(rr.name).kind===167)){Ft=Jt;break}}if(Ft<0)return In(te,ne,e);const F=t.createTempVariable(l),Te=[],st=t.createAssignment(F,pr(t.createObjectLiteralExpression(Vn(ct,ne,Wg,0,Ft),te.multiLine),jt?131072:0));return te.multiLine&&Xu(st),Te.push(st),un(Te,te,F,Ft),Te.push(te.multiLine?Xu(qa(dt(t.cloneNode(F),F),F.parent)):F),t.inlineExpressions(Te)}function cs(te){return(p.getNodeCheckFlags(te)&8192)!==0}function Vs(te){return HS(te)&&!!te.initializer&&cs(te.initializer)}function vl(te){return HS(te)&&!!te.condition&&cs(te.condition)}function uu(te){return HS(te)&&!!te.incrementor&&cs(te.incrementor)}function ho(te){return pa(te)||Vs(te)}function pa(te){return(p.getNodeCheckFlags(te)&4096)!==0}function du(te,ct){te.hoistedLocalVariables||(te.hoistedLocalVariables=[]),Ft(ct.name);function Ft(jt){if(jt.kind===80)te.hoistedLocalVariables.push(jt);else for(const F of jt.elements)jc(F)||Ft(F.name)}}function As(te,ct,Ft,jt){if(!ho(te)){let $r;C&&($r=C.allowedNonLabeledJumps,C.allowedNonLabeledJumps=6);const io=jt?jt(te,ct,void 0,Ft):t.restoreEnclosingLabel(HS(te)?Ci(te):In(te,ne,e),ct,C&&Hn);return C&&(C.allowedNonLabeledJumps=$r),io}const F=ko(te),Te=[],st=C;C=F;const Jt=Vs(te)?nu(te,F):void 0,rr=pa(te)?pu(te,F,st):void 0;C=st,Jt&&Te.push(Jt.functionDeclaration),rr&&Te.push(rr.functionDeclaration),nn(Te,F,st),Jt&&Te.push(Rc(Jt.functionName,Jt.containsYield));let oi;if(rr)if(jt)oi=jt(te,ct,rr.part,Ft);else{const $r=La(te,Jt,t.createBlock(rr.part,!0));oi=t.restoreEnclosingLabel($r,ct,C&&Hn)}else{const $r=La(te,Jt,N.checkDefined(nt(te.statement,ne,qi,t.liftToBlock)));oi=t.restoreEnclosingLabel($r,ct,C&&Hn)}return Te.push(oi),Te}function La(te,ct,Ft){switch(te.kind){case 248:return _l(te,ct,Ft);case 249:return lc(te,Ft);case 250:return wf(te,Ft);case 246:return Nl(te,Ft);case 247:return ul(te,Ft);default:return N.failBadSyntaxKind(te,"IterationStatement expected")}}function _l(te,ct,Ft){const jt=te.condition&&cs(te.condition),F=jt||te.incrementor&&cs(te.incrementor);return t.updateForStatement(te,nt(ct?ct.part:te.initializer,he,Af),nt(jt?void 0:te.condition,ne,yt),nt(F?void 0:te.incrementor,he,yt),Ft)}function wf(te,ct){return t.updateForOfStatement(te,void 0,N.checkDefined(nt(te.initializer,ne,Af)),N.checkDefined(nt(te.expression,ne,yt)),ct)}function lc(te,ct){return t.updateForInStatement(te,N.checkDefined(nt(te.initializer,ne,Af)),N.checkDefined(nt(te.expression,ne,yt)),ct)}function Nl(te,ct){return t.updateDoStatement(te,ct,N.checkDefined(nt(te.expression,ne,yt)))}function ul(te,ct){return t.updateWhileStatement(te,N.checkDefined(nt(te.expression,ne,yt)),ct)}function ko(te){let ct;switch(te.kind){case 248:case 249:case 250:const Te=te.initializer;Te&&Te.kind===261&&(ct=Te);break}const Ft=[],jt=[];if(ct&&Ov(ct)&7){const Te=Vs(te)||vl(te)||uu(te);for(const st of ct.declarations)Et(te,st,Ft,jt,Te)}const F={loopParameters:Ft,loopOutParameters:jt};return C&&(C.argumentsName&&(F.argumentsName=C.argumentsName),C.thisName&&(F.thisName=C.thisName),C.hoistedLocalVariables&&(F.hoistedLocalVariables=C.hoistedLocalVariables)),F}function nn(te,ct,Ft){let jt;if(ct.argumentsName&&(Ft?Ft.argumentsName=ct.argumentsName:(jt||(jt=[])).push(t.createVariableDeclaration(ct.argumentsName,void 0,void 0,t.createIdentifier("arguments")))),ct.thisName&&(Ft?Ft.thisName=ct.thisName:(jt||(jt=[])).push(t.createVariableDeclaration(ct.thisName,void 0,void 0,t.createIdentifier("this")))),ct.hoistedLocalVariables)if(Ft)Ft.hoistedLocalVariables=ct.hoistedLocalVariables;else{jt||(jt=[]);for(const F of ct.hoistedLocalVariables)jt.push(t.createVariableDeclaration(F))}if(ct.loopOutParameters.length){jt||(jt=[]);for(const F of ct.loopOutParameters)jt.push(t.createVariableDeclaration(F.outParamName))}ct.conditionVariable&&(jt||(jt=[]),jt.push(t.createVariableDeclaration(ct.conditionVariable,void 0,void 0,t.createFalse()))),jt&&te.push(t.createVariableStatement(void 0,t.createVariableDeclarationList(jt)))}function js(te){return t.createVariableDeclaration(te.originalName,void 0,void 0,te.outParamName)}function nu(te,ct){const Ft=t.createUniqueName("_loop_init"),jt=(te.initializer.transformFlags&1048576)!==0;let F=0;ct.containsLexicalThis&&(F|=16),jt&&I&4&&(F|=524288);const Te=[];Te.push(t.createVariableStatement(void 0,te.initializer)),Ms(ct.loopOutParameters,2,1,Te);const st=t.createVariableStatement(void 0,pr(t.createVariableDeclarationList([t.createVariableDeclaration(Ft,void 0,void 0,pr(t.createFunctionExpression(void 0,jt?t.createToken(42):void 0,void 0,void 0,void 0,void 0,N.checkDefined(nt(t.createBlock(Te,!0),ne,Xo))),F))]),4194304)),Jt=t.createVariableDeclarationList(wt(ct.loopOutParameters,js));return{functionName:Ft,containsYield:jt,functionDeclaration:st,part:Jt}}function pu(te,ct,Ft){const jt=t.createUniqueName("_loop");i();const F=nt(te.statement,ne,qi,t.liftToBlock),Te=s(),st=[];(vl(te)||uu(te))&&(ct.conditionVariable=t.createUniqueName("inc"),te.incrementor?st.push(t.createIfStatement(ct.conditionVariable,t.createExpressionStatement(N.checkDefined(nt(te.incrementor,ne,yt))),t.createExpressionStatement(t.createAssignment(ct.conditionVariable,t.createTrue())))):st.push(t.createIfStatement(t.createLogicalNot(ct.conditionVariable),t.createExpressionStatement(t.createAssignment(ct.conditionVariable,t.createTrue())))),vl(te)&&st.push(t.createIfStatement(t.createPrefixUnaryExpression(54,N.checkDefined(nt(te.condition,ne,yt))),N.checkDefined(nt(t.createBreakStatement(),ne,qi))))),N.assert(F),Xo(F)?Jr(st,F.statements):st.push(F),Ms(ct.loopOutParameters,1,1,st),eg(st,Te);const Jt=t.createBlock(st,!0);Xo(F)&&Cr(Jt,F);const rr=(te.statement.transformFlags&1048576)!==0;let oi=1048576;ct.containsLexicalThis&&(oi|=16),rr&&I&4&&(oi|=524288);const $r=t.createVariableStatement(void 0,pr(t.createVariableDeclarationList([t.createVariableDeclaration(jt,void 0,void 0,pr(t.createFunctionExpression(void 0,rr?t.createToken(42):void 0,void 0,void 0,ct.loopParameters,void 0,Jt),oi))]),4194304)),io=de(jt,ct,Ft,rr);return{functionName:jt,containsYield:rr,functionDeclaration:$r,part:io}}function Ip(te,ct){const Ft=ct===0?te.outParamName:te.originalName,jt=ct===0?te.originalName:te.outParamName;return t.createBinaryExpression(jt,64,Ft)}function Ms(te,ct,Ft,jt){for(const F of te)F.flags&ct&&jt.push(t.createExpressionStatement(Ip(F,Ft)))}function Rc(te,ct){const Ft=t.createCallExpression(te,void 0,[]),jt=ct?t.createYieldExpression(t.createToken(42),pr(Ft,8388608)):Ft;return t.createExpressionStatement(jt)}function de(te,ct,Ft,jt){const F=[],Te=!(ct.nonLocalJumps&-5)&&!ct.labeledNonLocalBreaks&&!ct.labeledNonLocalContinues,st=t.createCallExpression(te,void 0,wt(ct.loopParameters,rr=>rr.name)),Jt=jt?t.createYieldExpression(t.createToken(42),pr(st,8388608)):st;if(Te)F.push(t.createExpressionStatement(Jt)),Ms(ct.loopOutParameters,1,0,F);else{const rr=t.createUniqueName("state"),oi=t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(rr,void 0,void 0,Jt)]));if(F.push(oi),Ms(ct.loopOutParameters,1,0,F),ct.nonLocalJumps&8){let $r;Ft?(Ft.nonLocalJumps|=8,$r=t.createReturnStatement(rr)):$r=t.createReturnStatement(t.createPropertyAccessExpression(rr,"value")),F.push(t.createIfStatement(t.createTypeCheck(rr,"object"),$r))}if(ct.nonLocalJumps&2&&F.push(t.createIfStatement(t.createStrictEquality(rr,t.createStringLiteral("break")),t.createBreakStatement())),ct.labeledNonLocalBreaks||ct.labeledNonLocalContinues){const $r=[];we(ct.labeledNonLocalBreaks,!0,rr,Ft,$r),we(ct.labeledNonLocalContinues,!1,rr,Ft,$r),F.push(t.createSwitchStatement(rr,t.createCaseBlock($r)))}}return F}function ee(te,ct,Ft,jt){ct?(te.labeledNonLocalBreaks||(te.labeledNonLocalBreaks=new Map),te.labeledNonLocalBreaks.set(Ft,jt)):(te.labeledNonLocalContinues||(te.labeledNonLocalContinues=new Map),te.labeledNonLocalContinues.set(Ft,jt))}function we(te,ct,Ft,jt,F){te&&te.forEach((Te,st)=>{const Jt=[];if(!jt||jt.labels&&jt.labels.get(st)){const rr=t.createIdentifier(st);Jt.push(ct?t.createBreakStatement(rr):t.createContinueStatement(rr))}else ee(jt,ct,st,Te),Jt.push(t.createReturnStatement(Ft));F.push(t.createCaseClause(t.createStringLiteral(Te),Jt))})}function Et(te,ct,Ft,jt,F){const Te=ct.name;if(ta(Te))for(const st of Te.elements)jc(st)||Et(te,st,Ft,jt,F);else{Ft.push(t.createParameterDeclaration(void 0,void 0,Te));const st=p.getNodeCheckFlags(ct);if(st&65536||F){const Jt=t.createUniqueName("out_"+Sr(Te));let rr=0;st&65536&&(rr|=1),HS(te)&&(te.initializer&&p.isBindingCapturedByNode(te.initializer,ct)&&(rr|=2),(te.condition&&p.isBindingCapturedByNode(te.condition,ct)||te.incrementor&&p.isBindingCapturedByNode(te.incrementor,ct))&&(rr|=1)),jt.push({flags:rr,originalName:Te,outParamName:Jt})}}}function un(te,ct,Ft,jt){const F=ct.properties,Te=F.length;for(let st=jt;st<Te;st++){const Jt=F[st];switch(Jt.kind){case 177:case 178:const rr=wS(ct.properties,Jt);Jt===rr.firstAccessor&&te.push(_t(Ft,rr,ct,!!ct.multiLine));break;case 174:te.push(Ur(Jt,Ft,ct,ct.multiLine));break;case 303:te.push(Er(Jt,Ft,ct.multiLine));break;case 304:te.push(vr(Jt,Ft,ct.multiLine));break;default:N.failBadSyntaxKind(ct);break}}}function Er(te,ct,Ft){const jt=t.createAssignment($S(t,ct,N.checkDefined(nt(te.name,ne,oc))),N.checkDefined(nt(te.initializer,ne,yt)));return dt(jt,te),Ft&&Xu(jt),jt}function vr(te,ct,Ft){const jt=t.createAssignment($S(t,ct,N.checkDefined(nt(te.name,ne,oc))),t.cloneNode(te.name));return dt(jt,te),Ft&&Xu(jt),jt}function Ur(te,ct,Ft,jt){const F=t.createAssignment($S(t,ct,N.checkDefined(nt(te.name,ne,oc))),_n(te,te,void 0,Ft));return dt(F,te),jt&&Xu(F),F}function xi(te){const ct=K(7104,0);let Ft;if(N.assert(!!te.variableDeclaration,"Catch clause variable should always be present when downleveling ES2015."),ta(te.variableDeclaration.name)){const jt=t.createTempVariable(void 0),F=t.createVariableDeclaration(jt);dt(F,te.variableDeclaration);const Te=h1(te.variableDeclaration,ne,e,0,jt),st=t.createVariableDeclarationList(Te);dt(st,te.variableDeclaration);const Jt=t.createVariableStatement(void 0,st);Ft=t.updateCatchClause(te,F,Lr(te.block,Jt))}else Ft=In(te,ne,e);return Z(ct,0,0),Ft}function Lr(te,ct){const Ft=Vn(te.statements,ne,qi);return t.updateBlock(te,[ct,...Ft])}function $o(te){N.assert(!Za(te.name));const ct=_n(te,E0(te,-1),void 0,void 0);return pr(ct,1024|ja(ct)),dt(t.createPropertyAssignment(te.name,ct),te)}function Tl(te){N.assert(!Za(te.name));const ct=C;C=void 0;const Ft=K(32670,65);let jt;const F=Dl(te.parameters,ne,e),Te=q(te);return te.kind===177?jt=t.updateGetAccessorDeclaration(te,te.modifiers,te.name,F,te.type,Te):jt=t.updateSetAccessorDeclaration(te,te.modifiers,te.name,F,Te),Z(Ft,229376,0),C=ct,jt}function Hc(te){return dt(t.createPropertyAssignment(te.name,Ee(t.cloneNode(te.name))),te)}function Us(te){return In(te,ne,e)}function vc(te){return In(te,ne,e)}function Ss(te){return bt(te.elements,e_)?gd(te.elements,!1,!!te.multiLine,!!te.elements.hasTrailingComma):In(te,ne,e)}function qc(te){if(Am(te)&1)return op(te);const ct=$l(te.expression);return ct.kind===108||zd(ct)||bt(te.arguments,e_)?Wc(te):t.updateCallExpression(te,N.checkDefined(nt(te.expression,$,yt)),void 0,Vn(te.arguments,ne,yt))}function op(te){const ct=aa(aa($l(te.expression),Gs).body,Xo),Ft=Hd=>Ll(Hd)&&!!Ha(Hd.declarationList.declarations).initializer,jt=C;C=void 0;const F=Vn(ct.statements,ye,qi);C=jt;const Te=nr(F,Ft),st=nr(F,Hd=>!Ft(Hd)),rr=aa(Ha(Te),Ll).declarationList.declarations[0],oi=$l(rr.initializer);let $r=ii(oi,Lc);!$r&&mr(oi)&&oi.operatorToken.kind===28&&($r=ii(oi.left,Lc));const io=aa($r?$l($r.right):oi,la),Fa=aa($l(io.expression),Os),za=Fa.body.statements;let Ol=0,fu=-1;const Dc=[];if($r){const Hd=ii(za[Ol],eu);Hd&&(Dc.push(Hd),Ol++),Dc.push(za[Ol]),Ol++,Dc.push(t.createExpressionStatement(t.createAssignment($r.left,aa(rr.name,Ve))))}for(;!Dm(Lv(za,fu));)fu--;Jr(Dc,za,Ol,fu),fu<-1&&Jr(Dc,za,fu+1);const Rp=ii(Lv(za,fu),Dm);for(const Hd of st)Dm(Hd)&&(Rp!=null&&Rp.expression)&&!Ve(Rp.expression)?Dc.push(Rp):Dc.push(Hd);return Jr(Dc,Te,1),t.restoreOuterExpressions(te.expression,t.restoreOuterExpressions(rr.initializer,t.restoreOuterExpressions($r&&$r.right,t.updateCallExpression(io,t.restoreOuterExpressions(io.expression,t.updateFunctionExpression(Fa,void 0,void 0,void 0,void 0,Fa.parameters,void 0,t.updateBlock(Fa.body,Dc))),void 0,io.arguments))))}function Wc(te,ct){if(te.transformFlags&32768||te.expression.kind===108||zd($l(te.expression))){const{target:Ft,thisArg:jt}=t.createCallBinding(te.expression,l);te.expression.kind===108&&pr(jt,8);let F;if(te.transformFlags&32768?F=t.createFunctionApplyCall(N.checkDefined(nt(Ft,$,yt)),te.expression.kind===108?jt:N.checkDefined(nt(jt,ne,yt)),gd(te.arguments,!0,!1,!1)):F=dt(t.createFunctionCallCall(N.checkDefined(nt(Ft,$,yt)),te.expression.kind===108?jt:N.checkDefined(nt(jt,ne,yt)),Vn(te.arguments,ne,yt)),te),te.expression.kind===108){const Te=t.createLogicalOr(F,Io());F=t.createAssignment(ie(),Te)}return Cr(F,te)}return IS(te)&&(I|=131072),In(te,ne,e)}function cm(te){if(bt(te.arguments,e_)){const{target:ct,thisArg:Ft}=t.createCallBinding(t.createPropertyAccessExpression(te.expression,"bind"),l);return t.createNewExpression(t.createFunctionApplyCall(N.checkDefined(nt(ct,ne,yt)),Ft,gd(t.createNodeArray([t.createVoidZero(),...te.arguments]),!0,!1,!1)),void 0,[])}return In(te,ne,e)}function gd(te,ct,Ft,jt){const F=te.length,Te=ia(lG(te,Ce,(oi,$r,io,Fa)=>$r(oi,Ft,jt&&Fa===F)));if(Te.length===1){const oi=Te[0];if(ct&&!u.downlevelIteration||UU(oi.expression)||mP(oi.expression,"___spreadArray"))return oi.expression}const st=r(),Jt=Te[0].kind!==0;let rr=Jt?t.createArrayLiteralExpression():Te[0].expression;for(let oi=Jt?0:1;oi<Te.length;oi++){const $r=Te[oi];rr=st.createSpreadArrayHelper(rr,$r.expression,$r.kind===1&&!ct)}return rr}function Ce(te){return e_(te)?Wt:yo}function Wt(te){return wt(te,Jn)}function Jn(te){N.assertNode(te,e_);let ct=nt(te.expression,ne,yt);N.assert(ct);const Ft=mP(ct,"___read");let jt=Ft||UU(ct)?2:1;return u.downlevelIteration&&jt===1&&!_d(ct)&&!Ft&&(ct=r().createReadHelper(ct,void 0),jt=2),Xxe(jt,ct)}function yo(te,ct,Ft){const jt=t.createArrayLiteralExpression(Vn(t.createNodeArray(te,Ft),ne,yt),ct);return Xxe(0,jt)}function Qo(te){return nt(te.expression,ne,yt)}function na(te){return dt(t.createStringLiteral(te.text),te)}function Zl(te){return te.hasExtendedUnicodeEscape?dt(t.createStringLiteral(te.text),te):te}function yc(te){return te.numericLiteralFlags&384?dt(t.createNumericLiteral(te.text),te):te}function dl(te){return fJ(e,te,ne,b,M,1)}function yl(te){let ct=t.createStringLiteral(te.head.text);for(const Ft of te.templateSpans){const jt=[N.checkDefined(nt(Ft.expression,ne,yt))];Ft.literal.text.length>0&&jt.push(t.createStringLiteral(Ft.literal.text)),ct=t.createCallExpression(t.createPropertyAccessExpression(ct,"concat"),void 0,jt)}return dt(ct,te)}function Vl(){return t.createUniqueName("_super",48)}function ec(te,ct){const Ft=I&8&&!ct?t.createPropertyAccessExpression(Cr(Vl(),te),"prototype"):Vl();return Cr(Ft,te),ac(Ft,te),Pa(Ft,te),Ft}function Jc(te){return te.keywordToken===105&&te.name.escapedText==="target"?(I|=32768,t.createUniqueName("_newTarget",48)):te}function ff(te,ct,Ft){if(O&1&&ea(ct)){const jt=K(32670,ja(ct)&16?81:65);m(te,ct,Ft),Z(jt,0,0);return}m(te,ct,Ft)}function jd(){O&2||(O|=2,e.enableSubstitution(80))}function Cd(){O&1||(O|=1,e.enableSubstitution(110),e.enableEmitNotification(176),e.enableEmitNotification(174),e.enableEmitNotification(177),e.enableEmitNotification(178),e.enableEmitNotification(219),e.enableEmitNotification(218),e.enableEmitNotification(262))}function Of(te,ct){return ct=h(te,ct),te===1?$g(ct):Ve(ct)?U_(ct):ct}function U_(te){if(O&2&&!KH(te)){const ct=Do(te,Ve);if(ct&&Ch(ct))return dt(t.getGeneratedNameForNode(ct),te)}return te}function Ch(te){switch(te.parent.kind){case 208:case 263:case 266:case 260:return te.parent.name===te&&p.isDeclarationWithCollidingName(te.parent)}return!1}function $g(te){switch(te.kind){case 80:return Bu(te);case 110:return xp(te)}return te}function Bu(te){if(O&2&&!KH(te)){const ct=p.getReferencedDeclarationWithCollidingName(te);if(ct&&!(ui(ct)&&Qg(ct,te)))return dt(t.getGeneratedNameForNode(Mo(ct)),te)}return te}function Qg(te,ct){let Ft=Do(ct);if(!Ft||Ft===te||Ft.end<=te.pos||Ft.pos>=te.end)return!1;const jt=hh(te);for(;Ft;){if(Ft===jt||Ft===te)return!1;if($c(Ft)&&Ft.parent===te)return!0;Ft=Ft.parent}return!1}function xp(te){return O&1&&I&16?dt(ie(),te):te}function _g(te,ct){return sa(ct)?t.getInternalName(te):t.createPropertyAccessExpression(t.getInternalName(te),"prototype")}function Ud(te,ct){if(!te||!ct||bt(te.parameters))return!1;const Ft=Xc(te.body.statements);if(!Ft||!$s(Ft)||Ft.kind!==244)return!1;const jt=Ft.expression;if(!$s(jt)||jt.kind!==213)return!1;const F=jt.expression;if(!$s(F)||F.kind!==108)return!1;const Te=sh(jt.arguments);if(!Te||!$s(Te)||Te.kind!==230)return!1;const st=Te.expression;return Ve(st)&&st.escapedText==="arguments"}}var $Ue=T({"src/compiler/transformers/es2015.ts"(){ra()}});function ice(e){const{factory:t}=e,r=e.getCompilerOptions();let i,o;(r.jsx===1||r.jsx===3)&&(i=e.onEmitNode,e.onEmitNode=u,e.enableEmitNotification(286),e.enableEmitNotification(287),e.enableEmitNotification(285),o=[]);const s=e.onSubstituteNode;return e.onSubstituteNode=p,e.enableSubstitution(211),e.enableSubstitution(303),Pm(e,l);function l(A){return A}function u(A,I,R){switch(I.kind){case 286:case 287:case 285:const M=I.tagName;o[Wu(M)]=!0;break}i(A,I,R)}function p(A,I){return I.id&&o&&o[I.id]?s(A,I):(I=s(A,I),Fr(I)?h(I):hc(I)?m(I):I)}function h(A){if(Ji(A.name))return A;const I=b(A.name);return I?dt(t.createElementAccessExpression(A.expression,I),A):A}function m(A){const I=Ve(A.name)&&b(A.name);return I?t.updatePropertyAssignment(A,I,A.initializer):A}function b(A){const I=u0(A);if(I!==void 0&&I>=83&&I<=118)return dt(t.createStringLiteralFromNode(A),A)}}var QUe=T({"src/compiler/transformers/es5.ts"(){ra()}});function ZUe(e){switch(e){case 2:return"return";case 3:return"break";case 4:return"yield";case 5:return"yield*";case 7:return"endfinally";default:return}}function oce(e){const{factory:t,getEmitHelperFactory:r,resumeLexicalEnvironment:i,endLexicalEnvironment:o,hoistFunctionDeclaration:s,hoistVariableDeclaration:l}=e,u=e.getCompilerOptions(),p=os(u),h=e.getEmitResolver(),m=e.onSubstituteNode;e.onSubstituteNode=Lt;let b,A,I,R,M,C,O,U,K,Z,V=1,se,G,ne,he,ye=0,$=0,Y,oe,fe,Ne,pe,ie,ve,Le;return Pm(e,He);function He(Ce){if(Ce.isDeclarationFile||!(Ce.transformFlags&2048))return Ce;const Wt=In(Ce,Ee,e);return Ug(Wt,e.readEmitHelpers()),Wt}function Ee(Ce){const Wt=Ce.transformFlags;return R?De(Ce):I?Pe(Ce):Bs(Ce)&&Ce.asteriskToken?At(Ce):Wt&2048?In(Ce,Ee,e):Ce}function De(Ce){switch(Ce.kind){case 246:return ma(Ce);case 247:return Sn(Ce);case 255:return _t(Ce);case 256:return vn(Ce);default:return Pe(Ce)}}function Pe(Ce){switch(Ce.kind){case 262:return Se(Ce);case 218:return je(Ce);case 177:case 178:return at(Ce);case 243:return vt(Ce);case 248:return qe(Ce);case 249:return ft(Ce);case 252:return pt(Ce);case 251:return le(Ce);case 253:return ot(Ce);default:return Ce.transformFlags&1048576?We(Ce):Ce.transformFlags&4196352?In(Ce,Ee,e):Ce}}function We(Ce){switch(Ce.kind){case 226:return $e(Ce);case 361:return Pn(Ce);case 227:return hn(Ce);case 229:return Qt(Ce);case 209:return $t(Ce);case 210:return ao(Ce);case 212:return Oi(Ce);case 213:return No(Ce);case 214:return Mn(Ce);default:return In(Ce,Ee,e)}}function At(Ce){switch(Ce.kind){case 262:return Se(Ce);case 218:return je(Ce);default:return N.failBadSyntaxKind(Ce)}}function Se(Ce){if(Ce.asteriskToken)Ce=Cr(dt(t.createFunctionDeclaration(Ce.modifiers,void 0,Ce.name,void 0,Dl(Ce.parameters,Ee,e),void 0,Gt(Ce.body)),Ce),Ce);else{const Wt=I,Jn=R;I=!1,R=!1,Ce=In(Ce,Ee,e),I=Wt,R=Jn}if(I){s(Ce);return}else return Ce}function je(Ce){if(Ce.asteriskToken)Ce=Cr(dt(t.createFunctionExpression(void 0,void 0,Ce.name,void 0,Dl(Ce.parameters,Ee,e),void 0,Gt(Ce.body)),Ce),Ce);else{const Wt=I,Jn=R;I=!1,R=!1,Ce=In(Ce,Ee,e),I=Wt,R=Jn}return Ce}function at(Ce){const Wt=I,Jn=R;return I=!1,R=!1,Ce=In(Ce,Ee,e),I=Wt,R=Jn,Ce}function Gt(Ce){const Wt=[],Jn=I,yo=R,Qo=M,na=C,Zl=O,yc=U,dl=K,yl=Z,Vl=V,ec=se,Jc=G,ff=ne,jd=he;I=!0,R=!1,M=void 0,C=void 0,O=void 0,U=void 0,K=void 0,Z=void 0,V=1,se=void 0,G=void 0,ne=void 0,he=t.createTempVariable(void 0),i();const Cd=t.copyPrologue(Ce.statements,Wt,!1,Ee);Di(Ce.statements,Cd);const Of=ee();return eg(Wt,o()),Wt.push(t.createReturnStatement(Of)),I=Jn,R=yo,M=Qo,C=na,O=Zl,U=yc,K=dl,Z=yl,V=Vl,se=ec,G=Jc,ne=ff,he=jd,dt(t.createBlock(Wt,Ce.multiLine),Ce)}function vt(Ce){if(Ce.transformFlags&1048576){li(Ce.declarationList);return}else{if(ja(Ce)&2097152)return Ce;for(const Jn of Ce.declarationList.declarations)l(Jn.name);const Wt=HC(Ce.declarationList);return Wt.length===0?void 0:Pa(t.createExpressionStatement(t.inlineExpressions(wt(Wt,hi))),Ce)}}function $e(Ce){const Wt=aU(Ce);switch(Wt){case 0:return tn(Ce);case 1:return It(Ce);default:return N.assertNever(Wt)}}function It(Ce){const{left:Wt,right:Jn}=Ce;if(q(Jn)){let yo;switch(Wt.kind){case 211:yo=t.updatePropertyAccessExpression(Wt,J(N.checkDefined(nt(Wt.expression,Ee,ep))),Wt.name);break;case 212:yo=t.updateElementAccessExpression(Wt,J(N.checkDefined(nt(Wt.expression,Ee,ep))),J(N.checkDefined(nt(Wt.argumentExpression,Ee,yt))));break;default:yo=N.checkDefined(nt(Wt,Ee,yt));break}const Qo=Ce.operatorToken.kind;return BP(Qo)?dt(t.createAssignment(yo,dt(t.createBinaryExpression(J(yo),GP(Qo),N.checkDefined(nt(Jn,Ee,yt))),Ce)),Ce):t.updateBinaryExpression(Ce,yo,Ce.operatorToken,N.checkDefined(nt(Jn,Ee,yt)))}return In(Ce,Ee,e)}function tn(Ce){return q(Ce.right)?goe(Ce.operatorToken.kind)?Xn(Ce):Ce.operatorToken.kind===28?rn(Ce):t.updateBinaryExpression(Ce,J(N.checkDefined(nt(Ce.left,Ee,yt))),Ce.operatorToken,N.checkDefined(nt(Ce.right,Ee,yt))):In(Ce,Ee,e)}function rn(Ce){let Wt=[];return Jn(Ce.left),Jn(Ce.right),t.inlineExpressions(Wt);function Jn(yo){mr(yo)&&yo.operatorToken.kind===28?(Jn(yo.left),Jn(yo.right)):(q(yo)&&Wt.length>0&&(de(1,[t.createExpressionStatement(t.inlineExpressions(Wt))]),Wt=[]),Wt.push(N.checkDefined(nt(yo,Ee,yt))))}}function Pn(Ce){let Wt=[];for(const Jn of Ce.elements)mr(Jn)&&Jn.operatorToken.kind===28?Wt.push(rn(Jn)):(q(Jn)&&Wt.length>0&&(de(1,[t.createExpressionStatement(t.inlineExpressions(Wt))]),Wt=[]),Wt.push(N.checkDefined(nt(Jn,Ee,yt))));return t.inlineExpressions(Wt)}function Xn(Ce){const Wt=Ge(),Jn=me();return ul(Jn,N.checkDefined(nt(Ce.left,Ee,yt)),Ce.left),Ce.operatorToken.kind===56?js(Wt,Jn,Ce.left):nn(Wt,Jn,Ce.left),ul(Jn,N.checkDefined(nt(Ce.right,Ee,yt)),Ce.right),ke(Wt),Jn}function hn(Ce){if(q(Ce.whenTrue)||q(Ce.whenFalse)){const Wt=Ge(),Jn=Ge(),yo=me();return js(Wt,N.checkDefined(nt(Ce.condition,Ee,yt)),Ce.condition),ul(yo,N.checkDefined(nt(Ce.whenTrue,Ee,yt)),Ce.whenTrue),ko(Jn),ke(Wt),ul(yo,N.checkDefined(nt(Ce.whenFalse,Ee,yt)),Ce.whenFalse),ke(Jn),yo}return In(Ce,Ee,e)}function Qt(Ce){const Wt=Ge(),Jn=nt(Ce.expression,Ee,yt);if(Ce.asteriskToken){const yo=ja(Ce.expression)&8388608?Jn:dt(r().createValuesHelper(Jn),Ce);nu(yo,Ce)}else pu(Jn,Ce);return ke(Wt),wf(Ce)}function $t(Ce){return _i(Ce.elements,void 0,void 0,Ce.multiLine)}function _i(Ce,Wt,Jn,yo){const Qo=Oe(Ce);let na;if(Qo>0){na=me();const dl=Vn(Ce,Ee,yt,0,Qo);ul(na,t.createArrayLiteralExpression(Wt?[Wt,...dl]:dl)),Wt=void 0}const Zl=od(Ce,yc,[],Qo);return na?t.createArrayConcatCall(na,[t.createArrayLiteralExpression(Zl,yo)]):dt(t.createArrayLiteralExpression(Wt?[Wt,...Zl]:Zl,yo),Jn);function yc(dl,yl){if(q(yl)&&dl.length>0){const Vl=na!==void 0;na||(na=me()),ul(na,Vl?t.createArrayConcatCall(na,[t.createArrayLiteralExpression(dl,yo)]):t.createArrayLiteralExpression(Wt?[Wt,...dl]:dl,yo)),Wt=void 0,dl=[]}return dl.push(N.checkDefined(nt(yl,Ee,yt))),dl}}function ao(Ce){const Wt=Ce.properties,Jn=Ce.multiLine,yo=Oe(Wt),Qo=me();ul(Qo,t.createObjectLiteralExpression(Vn(Wt,Ee,Wg,0,yo),Jn));const na=od(Wt,Zl,[],yo);return na.push(Jn?Xu(qa(dt(t.cloneNode(Qo),Qo),Qo.parent)):Qo),t.inlineExpressions(na);function Zl(yc,dl){q(dl)&&yc.length>0&&(Nl(t.createExpressionStatement(t.inlineExpressions(yc))),yc=[]);const yl=ose(t,Ce,dl,Qo),Vl=nt(yl,Ee,yt);return Vl&&(Jn&&Xu(Vl),yc.push(Vl)),yc}}function Oi(Ce){return q(Ce.argumentExpression)?t.updateElementAccessExpression(Ce,J(N.checkDefined(nt(Ce.expression,Ee,ep))),N.checkDefined(nt(Ce.argumentExpression,Ee,yt))):In(Ce,Ee,e)}function No(Ce){if(!Bp(Ce)&&Ue(Ce.arguments,q)){const{target:Wt,thisArg:Jn}=t.createCallBinding(Ce.expression,l,p,!0);return Cr(dt(t.createFunctionApplyCall(J(N.checkDefined(nt(Wt,Ee,ep))),Jn,_i(Ce.arguments)),Ce),Ce)}return In(Ce,Ee,e)}function Mn(Ce){if(Ue(Ce.arguments,q)){const{target:Wt,thisArg:Jn}=t.createCallBinding(t.createPropertyAccessExpression(Ce.expression,"bind"),l);return Cr(dt(t.createNewExpression(t.createFunctionApplyCall(J(N.checkDefined(nt(Wt,Ee,yt))),Jn,_i(Ce.arguments,t.createVoidZero())),void 0,[]),Ce),Ce)}return In(Ce,Ee,e)}function Di(Ce,Wt=0){const Jn=Ce.length;for(let yo=Wt;yo<Jn;yo++)sn(Ce[yo])}function Ar(Ce){Xo(Ce)?Di(Ce.statements):sn(Ce)}function sn(Ce){const Wt=R;R||(R=q(Ce)),tt(Ce),R=Wt}function tt(Ce){switch(Ce.kind){case 241:return zt(Ce);case 244:return Rn(Ce);case 245:return Qi(Ce);case 246:return Io(Ce);case 247:return Wa(Ce);case 248:return kn(Ce);case 249:return mt(Ce);case 251:return Ct(Ce);case 252:return Fe(Ce);case 253:return j(Ce);case 254:return Rt(Ce);case 255:return Ye(Ce);case 256:return Vt(Ce);case 257:return fn(Ce);case 258:return _n(Ce);default:return Nl(nt(Ce,Ee,qi))}}function zt(Ce){q(Ce)?Di(Ce.statements):Nl(nt(Ce,Ee,qi))}function Rn(Ce){Nl(nt(Ce,Ee,qi))}function li(Ce){for(const na of Ce.declarations){const Zl=t.cloneNode(na.name);ac(Zl,na.name),l(Zl)}const Wt=HC(Ce),Jn=Wt.length;let yo=0,Qo=[];for(;yo<Jn;){for(let na=yo;na<Jn;na++){const Zl=Wt[na];if(q(Zl.initializer)&&Qo.length>0)break;Qo.push(hi(Zl))}Qo.length&&(Nl(t.createExpressionStatement(t.inlineExpressions(Qo))),yo+=Qo.length,Qo=[])}}function hi(Ce){return Pa(t.createAssignment(Pa(t.cloneNode(Ce.name),Ce.name),N.checkDefined(nt(Ce.initializer,Ee,yt))),Ce)}function Qi(Ce){if(q(Ce))if(q(Ce.thenStatement)||q(Ce.elseStatement)){const Wt=Ge(),Jn=Ce.elseStatement?Ge():void 0;js(Ce.elseStatement?Jn:Wt,N.checkDefined(nt(Ce.expression,Ee,yt)),Ce.expression),Ar(Ce.thenStatement),Ce.elseStatement&&(ko(Wt),ke(Jn),Ar(Ce.elseStatement)),ke(Wt)}else Nl(nt(Ce,Ee,qi));else Nl(nt(Ce,Ee,qi))}function Io(Ce){if(q(Ce)){const Wt=Ge(),Jn=Ge();Ci(Wt),ke(Jn),Ar(Ce.statement),ke(Wt),nn(Jn,N.checkDefined(nt(Ce.expression,Ee,yt))),Ii()}else Nl(nt(Ce,Ee,qi))}function ma(Ce){return R?(vo(),Ce=In(Ce,Ee,e),Ii(),Ce):In(Ce,Ee,e)}function Wa(Ce){if(q(Ce)){const Wt=Ge(),Jn=Ci(Wt);ke(Wt),js(Jn,N.checkDefined(nt(Ce.expression,Ee,yt))),Ar(Ce.statement),ko(Wt),Ii()}else Nl(nt(Ce,Ee,qi))}function Sn(Ce){return R?(vo(),Ce=In(Ce,Ee,e),Ii(),Ce):In(Ce,Ee,e)}function kn(Ce){if(q(Ce)){const Wt=Ge(),Jn=Ge(),yo=Ci(Jn);if(Ce.initializer){const Qo=Ce.initializer;Uc(Qo)?li(Qo):Nl(dt(t.createExpressionStatement(N.checkDefined(nt(Qo,Ee,yt))),Qo))}ke(Wt),Ce.condition&&js(yo,N.checkDefined(nt(Ce.condition,Ee,yt))),Ar(Ce.statement),ke(Jn),Ce.incrementor&&Nl(dt(t.createExpressionStatement(N.checkDefined(nt(Ce.incrementor,Ee,yt))),Ce.incrementor)),ko(Wt),Ii()}else Nl(nt(Ce,Ee,qi))}function qe(Ce){R&&vo();const Wt=Ce.initializer;if(Wt&&Uc(Wt)){for(const yo of Wt.declarations)l(yo.name);const Jn=HC(Wt);Ce=t.updateForStatement(Ce,Jn.length>0?t.inlineExpressions(wt(Jn,hi)):void 0,nt(Ce.condition,Ee,yt),nt(Ce.incrementor,Ee,yt),Rd(Ce.statement,Ee,e))}else Ce=In(Ce,Ee,e);return R&&Ii(),Ce}function mt(Ce){if(q(Ce)){const Wt=me(),Jn=me(),yo=me(),Qo=t.createLoopVariable(),na=Ce.initializer;l(Qo),ul(Wt,N.checkDefined(nt(Ce.expression,Ee,yt))),ul(Jn,t.createArrayLiteralExpression()),Nl(t.createForInStatement(yo,Wt,t.createExpressionStatement(t.createCallExpression(t.createPropertyAccessExpression(Jn,"push"),void 0,[yo])))),ul(Qo,t.createNumericLiteral(0));const Zl=Ge(),yc=Ge(),dl=Ci(yc);ke(Zl),js(dl,t.createLessThan(Qo,t.createPropertyAccessExpression(Jn,"length"))),ul(yo,t.createElementAccessExpression(Jn,Qo)),js(yc,t.createBinaryExpression(yo,103,Wt));let yl;if(Uc(na)){for(const Vl of na.declarations)l(Vl.name);yl=t.cloneNode(na.declarations[0].name)}else yl=N.checkDefined(nt(na,Ee,yt)),N.assert(ep(yl));ul(yl,yo),Ar(Ce.statement),ke(yc),Nl(t.createExpressionStatement(t.createPostfixIncrement(Qo))),ko(Zl),Ii()}else Nl(nt(Ce,Ee,qi))}function ft(Ce){R&&vo();const Wt=Ce.initializer;if(Uc(Wt)){for(const Jn of Wt.declarations)l(Jn.name);Ce=t.updateForInStatement(Ce,Wt.declarations[0].name,N.checkDefined(nt(Ce.expression,Ee,yt)),N.checkDefined(nt(Ce.statement,Ee,qi,t.liftToBlock)))}else Ce=In(Ce,Ee,e);return R&&Ii(),Ce}function Ct(Ce){const Wt=pa(Ce.label?Sr(Ce.label):void 0);Wt>0?ko(Wt,Ce):Nl(Ce)}function le(Ce){if(R){const Wt=pa(Ce.label&&Sr(Ce.label));if(Wt>0)return La(Wt,Ce)}return In(Ce,Ee,e)}function Fe(Ce){const Wt=ho(Ce.label?Sr(Ce.label):void 0);Wt>0?ko(Wt,Ce):Nl(Ce)}function pt(Ce){if(R){const Wt=ho(Ce.label&&Sr(Ce.label));if(Wt>0)return La(Wt,Ce)}return In(Ce,Ee,e)}function j(Ce){Ip(nt(Ce.expression,Ee,yt),Ce)}function ot(Ce){return _l(nt(Ce.expression,Ee,yt),Ce)}function Rt(Ce){q(Ce)?(Bn(J(N.checkDefined(nt(Ce.expression,Ee,yt)))),Ar(Ce.statement),Hn()):Nl(nt(Ce,Ee,qi))}function Ye(Ce){if(q(Ce.caseBlock)){const Wt=Ce.caseBlock,Jn=Wt.clauses.length,yo=xo(),Qo=J(N.checkDefined(nt(Ce.expression,Ee,yt))),na=[];let Zl=-1;for(let yl=0;yl<Jn;yl++){const Vl=Wt.clauses[yl];na.push(Ge()),Vl.kind===297&&Zl===-1&&(Zl=yl)}let yc=0,dl=[];for(;yc<Jn;){let yl=0;for(let Vl=yc;Vl<Jn;Vl++){const ec=Wt.clauses[Vl];if(ec.kind===296){if(q(ec.expression)&&dl.length>0)break;dl.push(t.createCaseClause(N.checkDefined(nt(ec.expression,Ee,yt)),[La(na[Vl],ec.expression)]))}else yl++}dl.length&&(Nl(t.createSwitchStatement(Qo,t.createCaseBlock(dl))),yc+=dl.length,dl=[]),yl>0&&(yc+=yl,yl=0)}Zl>=0?ko(na[Zl]):ko(yo);for(let yl=0;yl<Jn;yl++)ke(na[yl]),Di(Wt.clauses[yl].statements);Dn()}else Nl(nt(Ce,Ee,qi))}function _t(Ce){return R&&Kr(),Ce=In(Ce,Ee,e),R&&Dn(),Ce}function Vt(Ce){q(Ce)?(Ql(Sr(Ce.label)),Ar(Ce.statement),Lo()):Nl(nt(Ce,Ee,qi))}function vn(Ce){return R&&Fs(Sr(Ce.label)),Ce=In(Ce,Ee,e),R&&Lo(),Ce}function fn(Ce){Ms(N.checkDefined(nt(Ce.expression??t.createVoidZero(),Ee,yt)),Ce)}function _n(Ce){q(Ce)?(Ni(),Ar(Ce.tryBlock),Ce.catchClause&&(Ai(Ce.catchClause.variableDeclaration),Ar(Ce.catchClause.block)),Ce.finallyBlock&&(ir(),Ar(Ce.finallyBlock)),jr()):Nl(In(Ce,Ee,e))}function q(Ce){return!!Ce&&(Ce.transformFlags&1048576)!==0}function Oe(Ce){const Wt=Ce.length;for(let Jn=0;Jn<Wt;Jn++)if(q(Ce[Jn]))return Jn;return-1}function Lt(Ce,Wt){return Wt=m(Ce,Wt),Ce===1?L(Wt):Wt}function L(Ce){return Ve(Ce)?_e(Ce):Ce}function _e(Ce){if(!sl(Ce)&&b&&b.has(Sr(Ce))){const Wt=Pl(Ce);if(Ve(Wt)&&Wt.parent){const Jn=h.getReferencedValueDeclaration(Wt);if(Jn){const yo=A[Wu(Jn)];if(yo){const Qo=qa(dt(t.cloneNode(yo),yo),yo.parent);return Pa(Qo,Ce),ac(Qo,Ce),Qo}}}}return Ce}function J(Ce){if(sl(Ce)||ja(Ce)&8192)return Ce;const Wt=t.createTempVariable(l);return ul(Wt,Ce,Ce),Wt}function me(Ce){const Wt=Ce?t.createUniqueName(Ce):t.createTempVariable(void 0);return l(Wt),Wt}function Ge(){K||(K=[]);const Ce=V;return V++,K[Ce]=-1,Ce}function ke(Ce){N.assert(K!==void 0,"No labels were defined."),K[Ce]=se?se.length:0}function Tt(Ce){M||(M=[],O=[],C=[],U=[]);const Wt=O.length;return O[Wt]=0,C[Wt]=se?se.length:0,M[Wt]=Ce,U.push(Ce),Wt}function kt(){const Ce=en();if(Ce===void 0)return N.fail("beginBlock was never called.");const Wt=O.length;return O[Wt]=1,C[Wt]=se?se.length:0,M[Wt]=Ce,U.pop(),Ce}function en(){return tl(U)}function bn(){const Ce=en();return Ce&&Ce.kind}function Bn(Ce){const Wt=Ge(),Jn=Ge();ke(Wt),Tt({kind:1,expression:Ce,startLabel:Wt,endLabel:Jn})}function Hn(){N.assert(bn()===1);const Ce=kt();ke(Ce.endLabel)}function Ni(){const Ce=Ge(),Wt=Ge();return ke(Ce),Tt({kind:0,state:0,startLabel:Ce,endLabel:Wt}),lc(),Wt}function Ai(Ce){N.assert(bn()===0);let Wt;if(sl(Ce.name))Wt=Ce.name,l(Ce.name);else{const na=Sr(Ce.name);Wt=me(na),b||(b=new Map,A=[],e.enableSubstitution(80)),b.set(na,!0),A[Wu(Ce)]=Wt}const Jn=en();N.assert(Jn.state<1);const yo=Jn.endLabel;ko(yo);const Qo=Ge();ke(Qo),Jn.state=1,Jn.catchVariable=Wt,Jn.catchLabel=Qo,ul(Wt,t.createCallExpression(t.createPropertyAccessExpression(he,"sent"),void 0,[])),lc()}function ir(){N.assert(bn()===0);const Ce=en();N.assert(Ce.state<2);const Wt=Ce.endLabel;ko(Wt);const Jn=Ge();ke(Jn),Ce.state=2,Ce.finallyLabel=Jn}function jr(){N.assert(bn()===0);const Ce=kt();Ce.state<2?ko(Ce.endLabel):Rc(),ke(Ce.endLabel),lc(),Ce.state=3}function vo(){Tt({kind:3,isScript:!0,breakLabel:-1,continueLabel:-1})}function Ci(Ce){const Wt=Ge();return Tt({kind:3,isScript:!1,breakLabel:Wt,continueLabel:Ce}),Wt}function Ii(){N.assert(bn()===3);const Ce=kt(),Wt=Ce.breakLabel;Ce.isScript||ke(Wt)}function Kr(){Tt({kind:2,isScript:!0,breakLabel:-1})}function xo(){const Ce=Ge();return Tt({kind:2,isScript:!1,breakLabel:Ce}),Ce}function Dn(){N.assert(bn()===2);const Ce=kt(),Wt=Ce.breakLabel;Ce.isScript||ke(Wt)}function Fs(Ce){Tt({kind:4,isScript:!0,labelText:Ce,breakLabel:-1})}function Ql(Ce){const Wt=Ge();Tt({kind:4,isScript:!1,labelText:Ce,breakLabel:Wt})}function Lo(){N.assert(bn()===4);const Ce=kt();Ce.isScript||ke(Ce.breakLabel)}function cs(Ce){return Ce.kind===2||Ce.kind===3}function Vs(Ce){return Ce.kind===4}function vl(Ce){return Ce.kind===3}function uu(Ce,Wt){for(let Jn=Wt;Jn>=0;Jn--){const yo=U[Jn];if(Vs(yo)){if(yo.labelText===Ce)return!0}else break}return!1}function ho(Ce){if(U)if(Ce)for(let Wt=U.length-1;Wt>=0;Wt--){const Jn=U[Wt];if(Vs(Jn)&&Jn.labelText===Ce)return Jn.breakLabel;if(cs(Jn)&&uu(Ce,Wt-1))return Jn.breakLabel}else for(let Wt=U.length-1;Wt>=0;Wt--){const Jn=U[Wt];if(cs(Jn))return Jn.breakLabel}return 0}function pa(Ce){if(U)if(Ce)for(let Wt=U.length-1;Wt>=0;Wt--){const Jn=U[Wt];if(vl(Jn)&&uu(Ce,Wt-1))return Jn.continueLabel}else for(let Wt=U.length-1;Wt>=0;Wt--){const Jn=U[Wt];if(vl(Jn))return Jn.continueLabel}return 0}function du(Ce){if(Ce!==void 0&&Ce>0){Z===void 0&&(Z=[]);const Wt=t.createNumericLiteral(Number.MAX_SAFE_INTEGER);return Z[Ce]===void 0?Z[Ce]=[Wt]:Z[Ce].push(Wt),Wt}return t.createOmittedExpression()}function As(Ce){const Wt=t.createNumericLiteral(Ce);return EW(Wt,3,ZUe(Ce)),Wt}function La(Ce,Wt){return N.assertLessThan(0,Ce,"Invalid label"),dt(t.createReturnStatement(t.createArrayLiteralExpression([As(3),du(Ce)])),Wt)}function _l(Ce,Wt){return dt(t.createReturnStatement(t.createArrayLiteralExpression(Ce?[As(2),Ce]:[As(2)])),Wt)}function wf(Ce){return dt(t.createCallExpression(t.createPropertyAccessExpression(he,"sent"),void 0,[]),Ce)}function lc(){de(0)}function Nl(Ce){Ce?de(1,[Ce]):lc()}function ul(Ce,Wt,Jn){de(2,[Ce,Wt],Jn)}function ko(Ce,Wt){de(3,[Ce],Wt)}function nn(Ce,Wt,Jn){de(4,[Ce,Wt],Jn)}function js(Ce,Wt,Jn){de(5,[Ce,Wt],Jn)}function nu(Ce,Wt){de(7,[Ce],Wt)}function pu(Ce,Wt){de(6,[Ce],Wt)}function Ip(Ce,Wt){de(8,[Ce],Wt)}function Ms(Ce,Wt){de(9,[Ce],Wt)}function Rc(){de(10)}function de(Ce,Wt,Jn){se===void 0&&(se=[],G=[],ne=[]),K===void 0&&ke(Ge());const yo=se.length;se[yo]=Ce,G[yo]=Wt,ne[yo]=Jn}function ee(){ye=0,$=0,Y=void 0,oe=!1,fe=!1,Ne=void 0,pe=void 0,ie=void 0,ve=void 0,Le=void 0;const Ce=we();return r().createGeneratorHelper(pr(t.createFunctionExpression(void 0,void 0,void 0,void 0,[t.createParameterDeclaration(void 0,void 0,he)],void 0,t.createBlock(Ce,Ce.length>0)),1048576))}function we(){if(se){for(let Ce=0;Ce<se.length;Ce++)$o(Ce);un(se.length)}else un(0);if(Ne){const Ce=t.createPropertyAccessExpression(he,"label"),Wt=t.createSwitchStatement(Ce,t.createCaseBlock(Ne));return[Xu(Wt)]}return pe||[]}function Et(){pe&&(vr(!oe),oe=!1,fe=!1,$++)}function un(Ce){Er(Ce)&&(Ur(Ce),Le=void 0,vc(void 0,void 0)),pe&&Ne&&vr(!1),xi()}function Er(Ce){if(!fe)return!0;if(!K||!Z)return!1;for(let Wt=0;Wt<K.length;Wt++)if(K[Wt]===Ce&&Z[Wt])return!0;return!1}function vr(Ce){if(Ne||(Ne=[]),pe){if(Le)for(let Wt=Le.length-1;Wt>=0;Wt--){const Jn=Le[Wt];pe=[t.createWithStatement(Jn.expression,t.createBlock(pe))]}if(ve){const{startLabel:Wt,catchLabel:Jn,finallyLabel:yo,endLabel:Qo}=ve;pe.unshift(t.createExpressionStatement(t.createCallExpression(t.createPropertyAccessExpression(t.createPropertyAccessExpression(he,"trys"),"push"),void 0,[t.createArrayLiteralExpression([du(Wt),du(Jn),du(yo),du(Qo)])]))),ve=void 0}Ce&&pe.push(t.createExpressionStatement(t.createAssignment(t.createPropertyAccessExpression(he,"label"),t.createNumericLiteral($+1))))}Ne.push(t.createCaseClause(t.createNumericLiteral($),pe||[])),pe=void 0}function Ur(Ce){if(K)for(let Wt=0;Wt<K.length;Wt++)K[Wt]===Ce&&(Et(),Y===void 0&&(Y=[]),Y[$]===void 0?Y[$]=[Wt]:Y[$].push(Wt))}function xi(){if(Z!==void 0&&Y!==void 0)for(let Ce=0;Ce<Y.length;Ce++){const Wt=Y[Ce];if(Wt!==void 0)for(const Jn of Wt){const yo=Z[Jn];if(yo!==void 0)for(const Qo of yo)Qo.text=String(Ce)}}}function Lr(Ce){if(M)for(;ye<O.length&&C[ye]<=Ce;ye++){const Wt=M[ye],Jn=O[ye];switch(Wt.kind){case 0:Jn===0?(ie||(ie=[]),pe||(pe=[]),ie.push(ve),ve=Wt):Jn===1&&(ve=ie.pop());break;case 1:Jn===0?(Le||(Le=[]),Le.push(Wt)):Jn===1&&Le.pop();break}}}function $o(Ce){if(Ur(Ce),Lr(Ce),oe)return;oe=!1,fe=!1;const Wt=se[Ce];if(Wt===0)return;if(Wt===10)return gd();const Jn=G[Ce];if(Wt===1)return Tl(Jn[0]);const yo=ne[Ce];switch(Wt){case 2:return Hc(Jn[0],Jn[1],yo);case 3:return Ss(Jn[0],yo);case 4:return qc(Jn[0],Jn[1],yo);case 5:return op(Jn[0],Jn[1],yo);case 6:return Wc(Jn[0],yo);case 7:return cm(Jn[0],yo);case 8:return vc(Jn[0],yo);case 9:return Us(Jn[0],yo)}}function Tl(Ce){Ce&&(pe?pe.push(Ce):pe=[Ce])}function Hc(Ce,Wt,Jn){Tl(dt(t.createExpressionStatement(t.createAssignment(Ce,Wt)),Jn))}function Us(Ce,Wt){oe=!0,fe=!0,Tl(dt(t.createThrowStatement(Ce),Wt))}function vc(Ce,Wt){oe=!0,fe=!0,Tl(pr(dt(t.createReturnStatement(t.createArrayLiteralExpression(Ce?[As(2),Ce]:[As(2)])),Wt),768))}function Ss(Ce,Wt){oe=!0,Tl(pr(dt(t.createReturnStatement(t.createArrayLiteralExpression([As(3),du(Ce)])),Wt),768))}function qc(Ce,Wt,Jn){Tl(pr(t.createIfStatement(Wt,pr(dt(t.createReturnStatement(t.createArrayLiteralExpression([As(3),du(Ce)])),Jn),768)),1))}function op(Ce,Wt,Jn){Tl(pr(t.createIfStatement(t.createLogicalNot(Wt),pr(dt(t.createReturnStatement(t.createArrayLiteralExpression([As(3),du(Ce)])),Jn),768)),1))}function Wc(Ce,Wt){oe=!0,Tl(pr(dt(t.createReturnStatement(t.createArrayLiteralExpression(Ce?[As(4),Ce]:[As(4)])),Wt),768))}function cm(Ce,Wt){oe=!0,Tl(pr(dt(t.createReturnStatement(t.createArrayLiteralExpression([As(5),Ce])),Wt),768))}function gd(){oe=!0,Tl(t.createReturnStatement(t.createArrayLiteralExpression([As(7)])))}}var eHe=T({"src/compiler/transformers/generators.ts"(){ra()}});function hJ(e){function t(q){switch(q){case 2:return se;case 3:return G;default:return V}}const{factory:r,getEmitHelperFactory:i,startLexicalEnvironment:o,endLexicalEnvironment:s,hoistVariableDeclaration:l}=e,u=e.getCompilerOptions(),p=e.getEmitResolver(),h=e.getEmitHost(),m=os(u),b=wu(u),A=e.onSubstituteNode,I=e.onEmitNode;e.onSubstituteNode=ot,e.onEmitNode=j,e.enableSubstitution(213),e.enableSubstitution(215),e.enableSubstitution(80),e.enableSubstitution(226),e.enableSubstitution(304),e.enableEmitNotification(312);const R=[];let M,C;const O=[];let U;return Pm(e,K);function K(q){if(q.isDeclarationFile||!(wA(q,u)||q.transformFlags&8388608||Qf(q)&&U3(u)&&Ps(u)))return q;M=q,C=oJ(e,q),R[Wu(q)]=C;const Lt=t(b)(q);return M=void 0,C=void 0,U=!1,Lt}function Z(){return!!(!C.exportEquals&&sc(M))}function V(q){o();const Oe=[],Lt=fd(u,"alwaysStrict")||!u.noImplicitUseStrict&&sc(M),L=r.copyPrologue(q.statements,Oe,Lt&&!Qf(q),Y);if(Z()&&xn(Oe,Ct()),Me(C.exportedNames))for(let me=0;me<C.exportedNames.length;me+=50)xn(Oe,r.createExpressionStatement(od(C.exportedNames.slice(me,me+50),(Ge,ke)=>r.createAssignment(r.createPropertyAccessExpression(r.createIdentifier("exports"),r.createIdentifier(Sr(ke))),Ge),r.createVoidZero())));xn(Oe,nt(C.externalHelpersImportDeclaration,Y,qi)),Jr(Oe,Vn(q.statements,Y,qi,L)),$(Oe,!1),eg(Oe,s());const _e=r.updateSourceFile(q,dt(r.createNodeArray(Oe),q.statements));return Ug(_e,e.readEmitHelpers()),_e}function se(q){const Oe=r.createIdentifier("define"),Lt=ow(r,q,h,u),L=Qf(q)&&q,{aliasedModuleNames:_e,unaliasedModuleNames:J,importAliasNames:me}=ne(q,!0),Ge=r.updateSourceFile(q,dt(r.createNodeArray([r.createExpressionStatement(r.createCallExpression(Oe,void 0,[...Lt?[Lt]:[],r.createArrayLiteralExpression(L?et:[r.createStringLiteral("require"),r.createStringLiteral("exports"),..._e,...J]),L?L.statements.length?L.statements[0].expression:r.createObjectLiteralExpression():r.createFunctionExpression(void 0,void 0,void 0,void 0,[r.createParameterDeclaration(void 0,void 0,"require"),r.createParameterDeclaration(void 0,void 0,"exports"),...me],void 0,ye(q))]))]),q.statements));return Ug(Ge,e.readEmitHelpers()),Ge}function G(q){const{aliasedModuleNames:Oe,unaliasedModuleNames:Lt,importAliasNames:L}=ne(q,!1),_e=ow(r,q,h,u),J=r.createFunctionExpression(void 0,void 0,void 0,void 0,[r.createParameterDeclaration(void 0,void 0,"factory")],void 0,dt(r.createBlock([r.createIfStatement(r.createLogicalAnd(r.createTypeCheck(r.createIdentifier("module"),"object"),r.createTypeCheck(r.createPropertyAccessExpression(r.createIdentifier("module"),"exports"),"object")),r.createBlock([r.createVariableStatement(void 0,[r.createVariableDeclaration("v",void 0,void 0,r.createCallExpression(r.createIdentifier("factory"),void 0,[r.createIdentifier("require"),r.createIdentifier("exports")]))]),pr(r.createIfStatement(r.createStrictInequality(r.createIdentifier("v"),r.createIdentifier("undefined")),r.createExpressionStatement(r.createAssignment(r.createPropertyAccessExpression(r.createIdentifier("module"),"exports"),r.createIdentifier("v")))),1)]),r.createIfStatement(r.createLogicalAnd(r.createTypeCheck(r.createIdentifier("define"),"function"),r.createPropertyAccessExpression(r.createIdentifier("define"),"amd")),r.createBlock([r.createExpressionStatement(r.createCallExpression(r.createIdentifier("define"),void 0,[..._e?[_e]:[],r.createArrayLiteralExpression([r.createStringLiteral("require"),r.createStringLiteral("exports"),...Oe,...Lt]),r.createIdentifier("factory")]))])))],!0),void 0)),me=r.updateSourceFile(q,dt(r.createNodeArray([r.createExpressionStatement(r.createCallExpression(J,void 0,[r.createFunctionExpression(void 0,void 0,void 0,void 0,[r.createParameterDeclaration(void 0,void 0,"require"),r.createParameterDeclaration(void 0,void 0,"exports"),...L],void 0,ye(q))]))]),q.statements));return Ug(me,e.readEmitHelpers()),me}function ne(q,Oe){const Lt=[],L=[],_e=[];for(const J of q.amdDependencies)J.name?(Lt.push(r.createStringLiteral(J.path)),_e.push(r.createParameterDeclaration(void 0,void 0,J.name))):L.push(r.createStringLiteral(J.path));for(const J of C.externalImports){const me=yI(r,J,M,h,p,u),Ge=nD(r,J,M);me&&(Oe&&Ge?(pr(Ge,8),Lt.push(me),_e.push(r.createParameterDeclaration(void 0,void 0,Ge))):L.push(me))}return{aliasedModuleNames:Lt,unaliasedModuleNames:L,importAliasNames:_e}}function he(q){if(tu(q)||Yl(q)||!yI(r,q,M,h,p,u))return;const Oe=nD(r,q,M),Lt=No(q,Oe);if(Lt!==Oe)return r.createExpressionStatement(r.createAssignment(Oe,Lt))}function ye(q){o();const Oe=[],Lt=r.copyPrologue(q.statements,Oe,!u.noImplicitUseStrict,Y);Z()&&xn(Oe,Ct()),Me(C.exportedNames)&&xn(Oe,r.createExpressionStatement(od(C.exportedNames,(_e,J)=>r.createAssignment(r.createPropertyAccessExpression(r.createIdentifier("exports"),r.createIdentifier(Sr(J))),_e),r.createVoidZero()))),xn(Oe,nt(C.externalHelpersImportDeclaration,Y,qi)),b===2&&Jr(Oe,Hi(C.externalImports,he)),Jr(Oe,Vn(q.statements,Y,qi,Lt)),$(Oe,!0),eg(Oe,s());const L=r.createBlock(Oe,!0);return U&&eI(L,Yxe),L}function $(q,Oe){if(C.exportEquals){const Lt=nt(C.exportEquals.expression,Ne,yt);if(Lt)if(Oe){const L=r.createReturnStatement(Lt);dt(L,C.exportEquals),pr(L,3840),q.push(L)}else{const L=r.createExpressionStatement(r.createAssignment(r.createPropertyAccessExpression(r.createIdentifier("module"),"exports"),Lt));dt(L,C.exportEquals),pr(L,3072),q.push(L)}}}function Y(q){switch(q.kind){case 272:return Mn(q);case 271:return Ar(q);case 278:return sn(q);case 277:return tt(q);default:return oe(q)}}function oe(q){switch(q.kind){case 243:return li(q);case 262:return zt(q);case 263:return Rn(q);case 248:return Le(q,!0);case 249:return He(q);case 250:return Ee(q);case 246:return De(q);case 247:return Pe(q);case 256:return We(q);case 254:return At(q);case 245:return Se(q);case 255:return je(q);case 269:return at(q);case 296:return Gt(q);case 297:return vt(q);case 258:return $e(q);case 299:return It(q);case 241:return tn(q);default:return Ne(q)}}function fe(q,Oe){if(!(q.transformFlags&276828160))return q;switch(q.kind){case 248:return Le(q,!1);case 244:return rn(q);case 217:return Pn(q,Oe);case 360:return Xn(q,Oe);case 213:if(Bp(q)&&M.impliedNodeFormat===void 0)return Qt(q);break;case 226:if(jv(q))return ve(q,Oe);break;case 224:case 225:return hn(q,Oe)}return In(q,Ne,e)}function Ne(q){return fe(q,!1)}function pe(q){return fe(q,!0)}function ie(q){if(Oa(q))for(const Oe of q.properties)switch(Oe.kind){case 303:if(ie(Oe.initializer))return!0;break;case 304:if(ie(Oe.name))return!0;break;case 305:if(ie(Oe.expression))return!0;break;case 174:case 177:case 178:return!1;default:N.assertNever(Oe,"Unhandled object member kind")}else if(_d(q)){for(const Oe of q.elements)if(e_(Oe)){if(ie(Oe.expression))return!0}else if(ie(Oe))return!0}else if(Ve(q))return Me(_n(q))>(mF(q)?1:0);return!1}function ve(q,Oe){return ie(q.left)?tT(q,Ne,e,0,!Oe,hi):In(q,Ne,e)}function Le(q,Oe){if(Oe&&q.initializer&&Uc(q.initializer)&&!(q.initializer.flags&7)){const Lt=Sn(void 0,q.initializer,!1);if(Lt){const L=[],_e=nt(q.initializer,pe,Uc),J=r.createVariableStatement(void 0,_e);L.push(J),Jr(L,Lt);const me=nt(q.condition,Ne,yt),Ge=nt(q.incrementor,pe,yt),ke=Rd(q.statement,Oe?oe:Ne,e);return L.push(r.updateForStatement(q,void 0,me,Ge,ke)),L}}return r.updateForStatement(q,nt(q.initializer,pe,Af),nt(q.condition,Ne,yt),nt(q.incrementor,pe,yt),Rd(q.statement,Oe?oe:Ne,e))}function He(q){if(Uc(q.initializer)&&!(q.initializer.flags&7)){const Oe=Sn(void 0,q.initializer,!0);if(bt(Oe)){const Lt=nt(q.initializer,pe,Af),L=nt(q.expression,Ne,yt),_e=Rd(q.statement,oe,e),J=Xo(_e)?r.updateBlock(_e,[...Oe,..._e.statements]):r.createBlock([...Oe,_e],!0);return r.updateForInStatement(q,Lt,L,J)}}return r.updateForInStatement(q,nt(q.initializer,pe,Af),nt(q.expression,Ne,yt),Rd(q.statement,oe,e))}function Ee(q){if(Uc(q.initializer)&&!(q.initializer.flags&7)){const Oe=Sn(void 0,q.initializer,!0),Lt=nt(q.initializer,pe,Af),L=nt(q.expression,Ne,yt);let _e=Rd(q.statement,oe,e);return bt(Oe)&&(_e=Xo(_e)?r.updateBlock(_e,[...Oe,..._e.statements]):r.createBlock([...Oe,_e],!0)),r.updateForOfStatement(q,q.awaitModifier,Lt,L,_e)}return r.updateForOfStatement(q,q.awaitModifier,nt(q.initializer,pe,Af),nt(q.expression,Ne,yt),Rd(q.statement,oe,e))}function De(q){return r.updateDoStatement(q,Rd(q.statement,oe,e),nt(q.expression,Ne,yt))}function Pe(q){return r.updateWhileStatement(q,nt(q.expression,Ne,yt),Rd(q.statement,oe,e))}function We(q){return r.updateLabeledStatement(q,q.label,N.checkDefined(nt(q.statement,oe,qi,r.liftToBlock)))}function At(q){return r.updateWithStatement(q,nt(q.expression,Ne,yt),N.checkDefined(nt(q.statement,oe,qi,r.liftToBlock)))}function Se(q){return r.updateIfStatement(q,nt(q.expression,Ne,yt),N.checkDefined(nt(q.thenStatement,oe,qi,r.liftToBlock)),nt(q.elseStatement,oe,qi,r.liftToBlock))}function je(q){return r.updateSwitchStatement(q,nt(q.expression,Ne,yt),N.checkDefined(nt(q.caseBlock,oe,SP)))}function at(q){return r.updateCaseBlock(q,Vn(q.clauses,oe,L4))}function Gt(q){return r.updateCaseClause(q,nt(q.expression,Ne,yt),Vn(q.statements,oe,qi))}function vt(q){return In(q,oe,e)}function $e(q){return In(q,oe,e)}function It(q){return r.updateCatchClause(q,q.variableDeclaration,N.checkDefined(nt(q.block,oe,Xo)))}function tn(q){return q=In(q,oe,e),q}function rn(q){return r.updateExpressionStatement(q,nt(q.expression,pe,yt))}function Pn(q,Oe){return r.updateParenthesizedExpression(q,nt(q.expression,Oe?pe:Ne,yt))}function Xn(q,Oe){return r.updatePartiallyEmittedExpression(q,nt(q.expression,Oe?pe:Ne,yt))}function hn(q,Oe){if((q.operator===46||q.operator===47)&&Ve(q.operand)&&!sl(q.operand)&&!qg(q.operand)&&!RU(q.operand)){const Lt=_n(q.operand);if(Lt){let L,_e=nt(q.operand,Ne,yt);tb(q)?_e=r.updatePrefixUnaryExpression(q,_e):(_e=r.updatePostfixUnaryExpression(q,_e),Oe||(L=r.createTempVariable(l),_e=r.createAssignment(L,_e),dt(_e,q)),_e=r.createComma(_e,r.cloneNode(q.operand)),dt(_e,q));for(const J of Lt)O[as(_e)]=!0,_e=Fe(J,_e),dt(_e,q);return L&&(O[as(_e)]=!0,_e=r.createComma(_e,L),dt(_e,q)),_e}}return In(q,Ne,e)}function Qt(q){if(b===0&&m>=7)return In(q,Ne,e);const Oe=yI(r,q,M,h,p,u),Lt=nt(Xc(q.arguments),Ne,yt),L=Oe&&(!Lt||!Ma(Lt)||Lt.text!==Oe.text)?Oe:Lt,_e=!!(q.transformFlags&16384);switch(u.module){case 2:return _i(L,_e);case 3:return $t(L??r.createVoidZero(),_e);case 1:default:return ao(L)}}function $t(q,Oe){if(U=!0,_1(q)){const Lt=sl(q)?q:Ma(q)?r.createStringLiteralFromNode(q):pr(dt(r.cloneNode(q),q),3072);return r.createConditionalExpression(r.createIdentifier("__syncRequire"),void 0,ao(q),void 0,_i(Lt,Oe))}else{const Lt=r.createTempVariable(l);return r.createComma(r.createAssignment(Lt,q),r.createConditionalExpression(r.createIdentifier("__syncRequire"),void 0,ao(Lt,!0),void 0,_i(Lt,Oe)))}}function _i(q,Oe){const Lt=r.createUniqueName("resolve"),L=r.createUniqueName("reject"),_e=[r.createParameterDeclaration(void 0,void 0,Lt),r.createParameterDeclaration(void 0,void 0,L)],J=r.createBlock([r.createExpressionStatement(r.createCallExpression(r.createIdentifier("require"),void 0,[r.createArrayLiteralExpression([q||r.createOmittedExpression()]),Lt,L]))]);let me;m>=2?me=r.createArrowFunction(void 0,void 0,_e,void 0,void 0,J):(me=r.createFunctionExpression(void 0,void 0,void 0,void 0,_e,void 0,J),Oe&&pr(me,16));const Ge=r.createNewExpression(r.createIdentifier("Promise"),void 0,[me]);return yh(u)?r.createCallExpression(r.createPropertyAccessExpression(Ge,r.createIdentifier("then")),void 0,[i().createImportStarCallbackHelper()]):Ge}function ao(q,Oe){const Lt=q&&!Rh(q)&&!Oe,L=r.createCallExpression(r.createPropertyAccessExpression(r.createIdentifier("Promise"),"resolve"),void 0,Lt?m>=2?[r.createTemplateExpression(r.createTemplateHead(""),[r.createTemplateSpan(q,r.createTemplateTail(""))])]:[r.createCallExpression(r.createPropertyAccessExpression(r.createStringLiteral(""),"concat"),void 0,[q])]:[]);let _e=r.createCallExpression(r.createIdentifier("require"),void 0,Lt?[r.createIdentifier("s")]:q?[q]:[]);yh(u)&&(_e=i().createImportStarHelper(_e));const J=Lt?[r.createParameterDeclaration(void 0,void 0,"s")]:[];let me;return m>=2?me=r.createArrowFunction(void 0,void 0,J,void 0,void 0,_e):me=r.createFunctionExpression(void 0,void 0,void 0,void 0,J,void 0,r.createBlock([r.createReturnStatement(_e)])),r.createCallExpression(r.createPropertyAccessExpression(L,"then"),void 0,[me])}function Oi(q,Oe){return!yh(u)||Am(q)&2?Oe:Rle(q)?i().createImportStarHelper(Oe):Oe}function No(q,Oe){return!yh(u)||Am(q)&2?Oe:r7(q)?i().createImportStarHelper(Oe):iJ(q)?i().createImportDefaultHelper(Oe):Oe}function Mn(q){let Oe;const Lt=bR(q);if(b!==2)if(q.importClause){const L=[];Lt&&!WA(q)?L.push(r.createVariableDeclaration(r.cloneNode(Lt.name),void 0,void 0,No(q,Di(q)))):(L.push(r.createVariableDeclaration(r.getGeneratedNameForNode(q),void 0,void 0,No(q,Di(q)))),Lt&&WA(q)&&L.push(r.createVariableDeclaration(r.cloneNode(Lt.name),void 0,void 0,r.getGeneratedNameForNode(q)))),Oe=xn(Oe,Cr(dt(r.createVariableStatement(void 0,r.createVariableDeclarationList(L,m>=2?2:0)),q),q))}else return Cr(dt(r.createExpressionStatement(Di(q)),q),q);else Lt&&WA(q)&&(Oe=xn(Oe,r.createVariableStatement(void 0,r.createVariableDeclarationList([Cr(dt(r.createVariableDeclaration(r.cloneNode(Lt.name),void 0,void 0,r.getGeneratedNameForNode(q)),q),q)],m>=2?2:0))));return Oe=Io(Oe,q),lh(Oe)}function Di(q){const Oe=yI(r,q,M,h,p,u),Lt=[];return Oe&&Lt.push(Oe),r.createCallExpression(r.createIdentifier("require"),void 0,Lt)}function Ar(q){N.assert(h0(q),"import= for internal module references should be handled in an earlier transformer.");let Oe;return b!==2?Zr(q,32)?Oe=xn(Oe,Cr(dt(r.createExpressionStatement(Fe(q.name,Di(q))),q),q)):Oe=xn(Oe,Cr(dt(r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(r.cloneNode(q.name),void 0,void 0,Di(q))],m>=2?2:0)),q),q)):Zr(q,32)&&(Oe=xn(Oe,Cr(dt(r.createExpressionStatement(Fe(r.getExportName(q),r.getLocalName(q))),q),q))),Oe=ma(Oe,q),lh(Oe)}function sn(q){if(!q.moduleSpecifier)return;const Oe=r.getGeneratedNameForNode(q);if(q.exportClause&&Pf(q.exportClause)){const Lt=[];b!==2&&Lt.push(Cr(dt(r.createVariableStatement(void 0,r.createVariableDeclarationList([r.createVariableDeclaration(Oe,void 0,void 0,Di(q))])),q),q));for(const L of q.exportClause.elements)if(m===0)Lt.push(Cr(dt(r.createExpressionStatement(i().createCreateBindingHelper(Oe,r.createStringLiteralFromNode(L.propertyName||L.name),L.propertyName?r.createStringLiteralFromNode(L.name):void 0)),L),L));else{const _e=!!yh(u)&&!(Am(q)&2)&&Sr(L.propertyName||L.name)==="default",J=r.createPropertyAccessExpression(_e?i().createImportDefaultHelper(Oe):Oe,L.propertyName||L.name);Lt.push(Cr(dt(r.createExpressionStatement(Fe(r.getExportName(L),J,void 0,!0)),L),L))}return lh(Lt)}else if(q.exportClause){const Lt=[];return Lt.push(Cr(dt(r.createExpressionStatement(Fe(r.cloneNode(q.exportClause.name),Oi(q,b!==2?Di(q):U4(q)?Oe:r.createIdentifier(Sr(q.exportClause.name))))),q),q)),lh(Lt)}else return Cr(dt(r.createExpressionStatement(i().createExportStarHelper(b!==2?Di(q):Oe)),q),q)}function tt(q){if(!q.isExportEquals)return le(r.createIdentifier("default"),nt(q.expression,Ne,yt),q,!0)}function zt(q){let Oe;return Zr(q,32)?Oe=xn(Oe,Cr(dt(r.createFunctionDeclaration(Vn(q.modifiers,pt,xa),q.asteriskToken,r.getDeclarationName(q,!0,!0),void 0,Vn(q.parameters,Ne,Ao),void 0,In(q.body,Ne,e)),q),q)):Oe=xn(Oe,In(q,Ne,e)),Oe=qe(Oe,q),lh(Oe)}function Rn(q){let Oe;return Zr(q,32)?Oe=xn(Oe,Cr(dt(r.createClassDeclaration(Vn(q.modifiers,pt,ll),r.getDeclarationName(q,!0,!0),void 0,Vn(q.heritageClauses,Ne,of),Vn(q.members,Ne,$c)),q),q)):Oe=xn(Oe,In(q,Ne,e)),Oe=qe(Oe,q),lh(Oe)}function li(q){let Oe,Lt,L;if(Zr(q,32)){let _e,J=!1;for(const me of q.declarationList.declarations)if(Ve(me.name)&&qg(me.name))if(_e||(_e=Vn(q.modifiers,pt,xa)),me.initializer){const Ge=r.updateVariableDeclaration(me,me.name,void 0,void 0,Fe(me.name,nt(me.initializer,Ne,yt)));Lt=xn(Lt,Ge)}else Lt=xn(Lt,me);else if(me.initializer)if(!ta(me.name)&&(Gs(me.initializer)||Os(me.initializer)||Zc(me.initializer))){const Ge=r.createAssignment(dt(r.createPropertyAccessExpression(r.createIdentifier("exports"),me.name),me.name),r.createIdentifier(em(me.name))),ke=r.createVariableDeclaration(me.name,me.exclamationToken,me.type,nt(me.initializer,Ne,yt));Lt=xn(Lt,ke),L=xn(L,Ge),J=!0}else L=xn(L,Qi(me));if(Lt&&(Oe=xn(Oe,r.updateVariableStatement(q,_e,r.updateVariableDeclarationList(q.declarationList,Lt)))),L){const me=Cr(dt(r.createExpressionStatement(r.inlineExpressions(L)),q),q);J&&Fk(me),Oe=xn(Oe,me)}}else Oe=xn(Oe,In(q,Ne,e));return Oe=Wa(Oe,q),lh(Oe)}function hi(q,Oe,Lt){const L=_n(q);if(L){let _e=mF(q)?Oe:r.createAssignment(q,Oe);for(const J of L)pr(_e,8),_e=Fe(J,_e,Lt);return _e}return r.createAssignment(q,Oe)}function Qi(q){return ta(q.name)?tT(nt(q,Ne,vk),Ne,e,0,!1,hi):r.createAssignment(dt(r.createPropertyAccessExpression(r.createIdentifier("exports"),q.name),q.name),q.initializer?nt(q.initializer,Ne,yt):r.createVoidZero())}function Io(q,Oe){if(C.exportEquals)return q;const Lt=Oe.importClause;if(!Lt)return q;const L=new II;Lt.name&&(q=mt(q,L,Lt));const _e=Lt.namedBindings;if(_e)switch(_e.kind){case 274:q=mt(q,L,_e);break;case 275:for(const J of _e.elements)q=mt(q,L,J,!0);break}return q}function ma(q,Oe){return C.exportEquals?q:mt(q,new II,Oe)}function Wa(q,Oe){return Sn(q,Oe.declarationList,!1)}function Sn(q,Oe,Lt){if(C.exportEquals)return q;for(const L of Oe.declarations)q=kn(q,L,Lt);return q}function kn(q,Oe,Lt){if(C.exportEquals)return q;if(ta(Oe.name))for(const L of Oe.name.elements)jc(L)||(q=kn(q,L,Lt));else!sl(Oe.name)&&(!wi(Oe)||Oe.initializer||Lt)&&(q=mt(q,new II,Oe));return q}function qe(q,Oe){if(C.exportEquals)return q;const Lt=new II;if(Zr(Oe,32)){const L=Zr(Oe,2048)?r.createIdentifier("default"):r.getDeclarationName(Oe);q=ft(q,Lt,L,r.getLocalName(Oe),Oe)}return Oe.name&&(q=mt(q,Lt,Oe)),q}function mt(q,Oe,Lt,L){const _e=r.getDeclarationName(Lt),J=C.exportSpecifiers.get(_e);if(J)for(const me of J)q=ft(q,Oe,me.name,_e,me.name,void 0,L);return q}function ft(q,Oe,Lt,L,_e,J,me){return Oe.has(Lt)||(Oe.set(Lt,!0),q=xn(q,le(Lt,L,_e,J,me))),q}function Ct(){let q;return m===0?q=r.createExpressionStatement(Fe(r.createIdentifier("__esModule"),r.createTrue())):q=r.createExpressionStatement(r.createCallExpression(r.createPropertyAccessExpression(r.createIdentifier("Object"),"defineProperty"),void 0,[r.createIdentifier("exports"),r.createStringLiteral("__esModule"),r.createObjectLiteralExpression([r.createPropertyAssignment("value",r.createTrue())])])),pr(q,2097152),q}function le(q,Oe,Lt,L,_e){const J=dt(r.createExpressionStatement(Fe(q,Oe,void 0,_e)),Lt);return Xu(J),L||pr(J,3072),J}function Fe(q,Oe,Lt,L){return dt(L&&m!==0?r.createCallExpression(r.createPropertyAccessExpression(r.createIdentifier("Object"),"defineProperty"),void 0,[r.createIdentifier("exports"),r.createStringLiteralFromNode(q),r.createObjectLiteralExpression([r.createPropertyAssignment("enumerable",r.createTrue()),r.createPropertyAssignment("get",r.createFunctionExpression(void 0,void 0,void 0,void 0,[],void 0,r.createBlock([r.createReturnStatement(Oe)])))])]):r.createAssignment(r.createPropertyAccessExpression(r.createIdentifier("exports"),r.cloneNode(q)),Oe),Lt)}function pt(q){switch(q.kind){case 95:case 90:return}return q}function j(q,Oe,Lt){Oe.kind===312?(M=Oe,C=R[Wu(M)],I(q,Oe,Lt),M=void 0,C=void 0):I(q,Oe,Lt)}function ot(q,Oe){return Oe=A(q,Oe),Oe.id&&O[Oe.id]?Oe:q===1?Ye(Oe):rp(Oe)?Rt(Oe):Oe}function Rt(q){const Oe=q.name,Lt=vn(Oe);if(Lt!==Oe){if(q.objectAssignmentInitializer){const L=r.createAssignment(Lt,q.objectAssignmentInitializer);return dt(r.createPropertyAssignment(Oe,L),q)}return dt(r.createPropertyAssignment(Oe,Lt),q)}return q}function Ye(q){switch(q.kind){case 80:return vn(q);case 213:return _t(q);case 215:return Vt(q);case 226:return fn(q)}return q}function _t(q){if(Ve(q.expression)){const Oe=vn(q.expression);if(O[as(Oe)]=!0,!Ve(Oe)&&!(ja(q.expression)&8192))return QA(r.updateCallExpression(q,Oe,void 0,q.arguments),16)}return q}function Vt(q){if(Ve(q.tag)){const Oe=vn(q.tag);if(O[as(Oe)]=!0,!Ve(Oe)&&!(ja(q.tag)&8192))return QA(r.updateTaggedTemplateExpression(q,Oe,void 0,q.template),16)}return q}function vn(q){var Oe,Lt;if(ja(q)&8192){const L=iw(M);return L?r.createPropertyAccessExpression(L,q):q}else if(!(sl(q)&&!(q.emitNode.autoGenerate.flags&64))&&!qg(q)){const L=p.getReferencedExportContainer(q,mF(q));if(L&&L.kind===312)return dt(r.createPropertyAccessExpression(r.createIdentifier("exports"),r.cloneNode(q)),q);const _e=p.getReferencedImportDeclaration(q);if(_e){if(Sh(_e))return dt(r.createPropertyAccessExpression(r.getGeneratedNameForNode(_e.parent),r.createIdentifier("default")),q);if(np(_e)){const J=_e.propertyName||_e.name;return dt(r.createPropertyAccessExpression(r.getGeneratedNameForNode(((Lt=(Oe=_e.parent)==null?void 0:Oe.parent)==null?void 0:Lt.parent)||_e),r.cloneNode(J)),q)}}}return q}function fn(q){if(Vv(q.operatorToken.kind)&&Ve(q.left)&&(!sl(q.left)||hL(q.left))&&!qg(q.left)){const Oe=_n(q.left);if(Oe){let Lt=q;for(const L of Oe)O[as(Lt)]=!0,Lt=Fe(L,Lt,q);return Lt}}return q}function _n(q){if(sl(q)){if(hL(q)){const Oe=C==null?void 0:C.exportSpecifiers.get(q);if(Oe){const Lt=[];for(const L of Oe)Lt.push(L.name);return Lt}}}else{const Oe=p.getReferencedImportDeclaration(q);if(Oe)return C==null?void 0:C.exportedBindings[Wu(Oe)];const Lt=new Set,L=p.getReferencedValueDeclarations(q);if(L){for(const _e of L){const J=C==null?void 0:C.exportedBindings[Wu(_e)];if(J)for(const me of J)Lt.add(me)}if(Lt.size)return zo(Lt)}}}}var Yxe,tHe=T({"src/compiler/transformers/module/module.ts"(){ra(),Yxe={name:"typescript:dynamicimport-sync-require",scoped:!0,text:`
+ var __syncRequire = typeof module === "object" && typeof module.exports === "object";`}}});function ace(e){const{factory:t,startLexicalEnvironment:r,endLexicalEnvironment:i,hoistVariableDeclaration:o}=e,s=e.getCompilerOptions(),l=e.getEmitResolver(),u=e.getEmitHost(),p=e.onSubstituteNode,h=e.onEmitNode;e.onSubstituteNode=Fe,e.onEmitNode=le,e.enableSubstitution(80),e.enableSubstitution(304),e.enableSubstitution(226),e.enableSubstitution(236),e.enableEmitNotification(312);const m=[],b=[],A=[],I=[];let R,M,C,O,U,K,Z;return Pm(e,V);function V(q){if(q.isDeclarationFile||!(wA(q,s)||q.transformFlags&8388608))return q;const Oe=Wu(q);R=q,K=q,M=m[Oe]=oJ(e,q),C=t.createUniqueName("exports"),b[Oe]=C,O=I[Oe]=t.createUniqueName("context");const Lt=se(M.externalImports),L=G(q,Lt),_e=t.createFunctionExpression(void 0,void 0,void 0,void 0,[t.createParameterDeclaration(void 0,void 0,C),t.createParameterDeclaration(void 0,void 0,O)],void 0,L),J=ow(t,q,u,s),me=t.createArrayLiteralExpression(wt(Lt,ke=>ke.name)),Ge=pr(t.updateSourceFile(q,dt(t.createNodeArray([t.createExpressionStatement(t.createCallExpression(t.createPropertyAccessExpression(t.createIdentifier("System"),"register"),void 0,J?[J,me,_e]:[me,_e]))]),q.statements)),2048);return Ps(s)||Aae(Ge,L,ke=>!ke.scoped),Z&&(A[Oe]=Z,Z=void 0),R=void 0,M=void 0,C=void 0,O=void 0,U=void 0,K=void 0,Ge}function se(q){const Oe=new Map,Lt=[];for(const L of q){const _e=yI(t,L,R,u,l,s);if(_e){const J=_e.text,me=Oe.get(J);me!==void 0?Lt[me].externalImports.push(L):(Oe.set(J,Lt.length),Lt.push({name:_e,externalImports:[L]}))}}return Lt}function G(q,Oe){const Lt=[];r();const L=fd(s,"alwaysStrict")||!s.noImplicitUseStrict&&sc(R),_e=t.copyPrologue(q.statements,Lt,L,$);Lt.push(t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration("__moduleName",void 0,void 0,t.createLogicalAnd(O,t.createPropertyAccessExpression(O,"id")))]))),nt(M.externalHelpersImportDeclaration,$,qi);const J=Vn(q.statements,$,qi,_e);Jr(Lt,U),eg(Lt,i());const me=ne(Lt),Ge=q.transformFlags&2097152?t.createModifiersFromModifierFlags(1024):void 0,ke=t.createObjectLiteralExpression([t.createPropertyAssignment("setters",ye(me,Oe)),t.createPropertyAssignment("execute",t.createFunctionExpression(Ge,void 0,void 0,void 0,[],void 0,t.createBlock(J,!0)))],!0);return Lt.push(t.createReturnStatement(ke)),t.createBlock(Lt,!0)}function ne(q){if(!M.hasExportStarsToExportValues)return;if(!M.exportedNames&&M.exportSpecifiers.size===0){let _e=!1;for(const J of M.externalImports)if(J.kind===278&&J.exportClause){_e=!0;break}if(!_e){const J=he(void 0);return q.push(J),J.name}}const Oe=[];if(M.exportedNames)for(const _e of M.exportedNames)_e.escapedText!=="default"&&Oe.push(t.createPropertyAssignment(t.createStringLiteralFromNode(_e),t.createTrue()));const Lt=t.createUniqueName("exportedNames");q.push(t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(Lt,void 0,void 0,t.createObjectLiteralExpression(Oe,!0))])));const L=he(Lt);return q.push(L),L.name}function he(q){const Oe=t.createUniqueName("exportStar"),Lt=t.createIdentifier("m"),L=t.createIdentifier("n"),_e=t.createIdentifier("exports");let J=t.createStrictInequality(L,t.createStringLiteral("default"));return q&&(J=t.createLogicalAnd(J,t.createLogicalNot(t.createCallExpression(t.createPropertyAccessExpression(q,"hasOwnProperty"),void 0,[L])))),t.createFunctionDeclaration(void 0,void 0,Oe,void 0,[t.createParameterDeclaration(void 0,void 0,Lt)],void 0,t.createBlock([t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(_e,void 0,void 0,t.createObjectLiteralExpression([]))])),t.createForInStatement(t.createVariableDeclarationList([t.createVariableDeclaration(L)]),Lt,t.createBlock([pr(t.createIfStatement(J,t.createExpressionStatement(t.createAssignment(t.createElementAccessExpression(_e,L),t.createElementAccessExpression(Lt,L)))),1)])),t.createExpressionStatement(t.createCallExpression(C,void 0,[_e]))],!0))}function ye(q,Oe){const Lt=[];for(const L of Oe){const _e=Ue(L.externalImports,Ge=>nD(t,Ge,R)),J=_e?t.getGeneratedNameForNode(_e):t.createUniqueName(""),me=[];for(const Ge of L.externalImports){const ke=nD(t,Ge,R);switch(Ge.kind){case 272:if(!Ge.importClause)break;case 271:N.assert(ke!==void 0),me.push(t.createExpressionStatement(t.createAssignment(ke,J))),Zr(Ge,32)&&me.push(t.createExpressionStatement(t.createCallExpression(C,void 0,[t.createStringLiteral(Sr(ke)),J])));break;case 278:if(N.assert(ke!==void 0),Ge.exportClause)if(Pf(Ge.exportClause)){const Tt=[];for(const kt of Ge.exportClause.elements)Tt.push(t.createPropertyAssignment(t.createStringLiteral(Sr(kt.name)),t.createElementAccessExpression(J,t.createStringLiteral(Sr(kt.propertyName||kt.name)))));me.push(t.createExpressionStatement(t.createCallExpression(C,void 0,[t.createObjectLiteralExpression(Tt,!0)])))}else me.push(t.createExpressionStatement(t.createCallExpression(C,void 0,[t.createStringLiteral(Sr(Ge.exportClause.name)),J])));else me.push(t.createExpressionStatement(t.createCallExpression(q,void 0,[J])));break}}Lt.push(t.createFunctionExpression(void 0,void 0,void 0,void 0,[t.createParameterDeclaration(void 0,void 0,J)],void 0,t.createBlock(me,!0)))}return t.createArrayLiteralExpression(Lt,!0)}function $(q){switch(q.kind){case 272:return Y(q);case 271:return fe(q);case 278:return oe(q);case 277:return Ne(q);default:return rn(q)}}function Y(q){let Oe;return q.importClause&&o(nD(t,q,R)),lh(At(Oe,q))}function oe(q){N.assertIsDefined(q)}function fe(q){N.assert(h0(q),"import= for internal module references should be handled in an earlier transformer.");let Oe;return o(nD(t,q,R)),lh(Se(Oe,q))}function Ne(q){if(q.isExportEquals)return;const Oe=nt(q.expression,Qi,yt);return It(t.createIdentifier("default"),Oe,!0)}function pe(q){Zr(q,32)?U=xn(U,t.updateFunctionDeclaration(q,Vn(q.modifiers,Ct,ll),q.asteriskToken,t.getDeclarationName(q,!0,!0),void 0,Vn(q.parameters,Qi,Ao),void 0,nt(q.body,Qi,Xo))):U=xn(U,In(q,Qi,e)),U=Gt(U,q)}function ie(q){let Oe;const Lt=t.getLocalName(q);return o(Lt),Oe=xn(Oe,dt(t.createExpressionStatement(t.createAssignment(Lt,dt(t.createClassExpression(Vn(q.modifiers,Ct,ll),q.name,void 0,Vn(q.heritageClauses,Qi,of),Vn(q.members,Qi,$c)),q))),q)),Oe=Gt(Oe,q),lh(Oe)}function ve(q){if(!He(q.declarationList))return nt(q,Qi,qi);let Oe;if(kL(q.declarationList)||LL(q.declarationList)){const Lt=Vn(q.modifiers,Ct,ll),L=[];for(const J of q.declarationList.declarations)L.push(t.updateVariableDeclaration(J,t.getGeneratedNameForNode(J.name),void 0,void 0,Ee(J,!1)));const _e=t.updateVariableDeclarationList(q.declarationList,L);Oe=xn(Oe,t.updateVariableStatement(q,Lt,_e))}else{let Lt;const L=Zr(q,32);for(const _e of q.declarationList.declarations)_e.initializer?Lt=xn(Lt,Ee(_e,L)):Le(_e);Lt&&(Oe=xn(Oe,dt(t.createExpressionStatement(t.inlineExpressions(Lt)),q)))}return Oe=je(Oe,q,!1),lh(Oe)}function Le(q){if(ta(q.name))for(const Oe of q.name.elements)jc(Oe)||Le(Oe);else o(t.cloneNode(q.name))}function He(q){return(ja(q)&4194304)===0&&(K.kind===312||(Pl(q).flags&7)===0)}function Ee(q,Oe){const Lt=Oe?De:Pe;return ta(q.name)?tT(q,Qi,e,0,!1,Lt):q.initializer?Lt(q.name,nt(q.initializer,Qi,yt)):q.name}function De(q,Oe,Lt){return We(q,Oe,Lt,!0)}function Pe(q,Oe,Lt){return We(q,Oe,Lt,!1)}function We(q,Oe,Lt,L){return o(t.cloneNode(q)),L?tn(q,fn(dt(t.createAssignment(q,Oe),Lt))):fn(dt(t.createAssignment(q,Oe),Lt))}function At(q,Oe){if(M.exportEquals)return q;const Lt=Oe.importClause;if(!Lt)return q;Lt.name&&(q=vt(q,Lt));const L=Lt.namedBindings;if(L)switch(L.kind){case 274:q=vt(q,L);break;case 275:for(const _e of L.elements)q=vt(q,_e);break}return q}function Se(q,Oe){return M.exportEquals?q:vt(q,Oe)}function je(q,Oe,Lt){if(M.exportEquals)return q;for(const L of Oe.declarationList.declarations)(L.initializer||Lt)&&(q=at(q,L));return q}function at(q,Oe,Lt){if(M.exportEquals)return q;if(ta(Oe.name))for(const L of Oe.name.elements)jc(L)||(q=at(q,L));else sl(Oe.name)||(q=vt(q,Oe,void 0));return q}function Gt(q,Oe){if(M.exportEquals)return q;let Lt;if(Zr(Oe,32)){const L=Zr(Oe,2048)?t.createStringLiteral("default"):Oe.name;q=$e(q,L,t.getLocalName(Oe)),Lt=em(L)}return Oe.name&&(q=vt(q,Oe,Lt)),q}function vt(q,Oe,Lt){if(M.exportEquals)return q;const L=t.getDeclarationName(Oe),_e=M.exportSpecifiers.get(L);if(_e)for(const J of _e)J.name.escapedText!==Lt&&(q=$e(q,J.name,L));return q}function $e(q,Oe,Lt,L){return q=xn(q,It(Oe,Lt,L)),q}function It(q,Oe,Lt){const L=t.createExpressionStatement(tn(q,Oe));return Xu(L),Lt||pr(L,3072),L}function tn(q,Oe){const Lt=Ve(q)?t.createStringLiteralFromNode(q):q;return pr(Oe,ja(Oe)|3072),ac(t.createCallExpression(C,void 0,[Lt,Oe]),Oe)}function rn(q){switch(q.kind){case 243:return ve(q);case 262:return pe(q);case 263:return ie(q);case 248:return Pn(q,!0);case 249:return Xn(q);case 250:return hn(q);case 246:return _i(q);case 247:return ao(q);case 256:return Oi(q);case 254:return No(q);case 245:return Mn(q);case 255:return Di(q);case 269:return Ar(q);case 296:return sn(q);case 297:return tt(q);case 258:return zt(q);case 299:return Rn(q);case 241:return li(q);default:return Qi(q)}}function Pn(q,Oe){const Lt=K;return K=q,q=t.updateForStatement(q,nt(q.initializer,Oe?$t:Io,Af),nt(q.condition,Qi,yt),nt(q.incrementor,Io,yt),Rd(q.statement,Oe?rn:Qi,e)),K=Lt,q}function Xn(q){const Oe=K;return K=q,q=t.updateForInStatement(q,$t(q.initializer),nt(q.expression,Qi,yt),Rd(q.statement,rn,e)),K=Oe,q}function hn(q){const Oe=K;return K=q,q=t.updateForOfStatement(q,q.awaitModifier,$t(q.initializer),nt(q.expression,Qi,yt),Rd(q.statement,rn,e)),K=Oe,q}function Qt(q){return Uc(q)&&He(q)}function $t(q){if(Qt(q)){let Oe;for(const Lt of q.declarations)Oe=xn(Oe,Ee(Lt,!1)),Lt.initializer||Le(Lt);return Oe?t.inlineExpressions(Oe):t.createOmittedExpression()}else return nt(q,Io,Af)}function _i(q){return t.updateDoStatement(q,Rd(q.statement,rn,e),nt(q.expression,Qi,yt))}function ao(q){return t.updateWhileStatement(q,nt(q.expression,Qi,yt),Rd(q.statement,rn,e))}function Oi(q){return t.updateLabeledStatement(q,q.label,N.checkDefined(nt(q.statement,rn,qi,t.liftToBlock)))}function No(q){return t.updateWithStatement(q,nt(q.expression,Qi,yt),N.checkDefined(nt(q.statement,rn,qi,t.liftToBlock)))}function Mn(q){return t.updateIfStatement(q,nt(q.expression,Qi,yt),N.checkDefined(nt(q.thenStatement,rn,qi,t.liftToBlock)),nt(q.elseStatement,rn,qi,t.liftToBlock))}function Di(q){return t.updateSwitchStatement(q,nt(q.expression,Qi,yt),N.checkDefined(nt(q.caseBlock,rn,SP)))}function Ar(q){const Oe=K;return K=q,q=t.updateCaseBlock(q,Vn(q.clauses,rn,L4)),K=Oe,q}function sn(q){return t.updateCaseClause(q,nt(q.expression,Qi,yt),Vn(q.statements,rn,qi))}function tt(q){return In(q,rn,e)}function zt(q){return In(q,rn,e)}function Rn(q){const Oe=K;return K=q,q=t.updateCatchClause(q,q.variableDeclaration,N.checkDefined(nt(q.block,rn,Xo))),K=Oe,q}function li(q){const Oe=K;return K=q,q=In(q,rn,e),K=Oe,q}function hi(q,Oe){if(!(q.transformFlags&276828160))return q;switch(q.kind){case 248:return Pn(q,!1);case 244:return ma(q);case 217:return Wa(q,Oe);case 360:return Sn(q,Oe);case 226:if(jv(q))return qe(q,Oe);break;case 213:if(Bp(q))return kn(q);break;case 224:case 225:return ft(q,Oe)}return In(q,Qi,e)}function Qi(q){return hi(q,!1)}function Io(q){return hi(q,!0)}function ma(q){return t.updateExpressionStatement(q,nt(q.expression,Io,yt))}function Wa(q,Oe){return t.updateParenthesizedExpression(q,nt(q.expression,Oe?Io:Qi,yt))}function Sn(q,Oe){return t.updatePartiallyEmittedExpression(q,nt(q.expression,Oe?Io:Qi,yt))}function kn(q){const Oe=yI(t,q,R,u,l,s),Lt=nt(Xc(q.arguments),Qi,yt),L=Oe&&(!Lt||!Ma(Lt)||Lt.text!==Oe.text)?Oe:Lt;return t.createCallExpression(t.createPropertyAccessExpression(O,t.createIdentifier("import")),void 0,L?[L]:[])}function qe(q,Oe){return mt(q.left)?tT(q,Qi,e,0,!Oe):In(q,Qi,e)}function mt(q){if(Lc(q,!0))return mt(q.left);if(e_(q))return mt(q.expression);if(Oa(q))return bt(q.properties,mt);if(_d(q))return bt(q.elements,mt);if(rp(q))return mt(q.name);if(hc(q))return mt(q.initializer);if(Ve(q)){const Oe=l.getReferencedExportContainer(q);return Oe!==void 0&&Oe.kind===312}else return!1}function ft(q,Oe){if((q.operator===46||q.operator===47)&&Ve(q.operand)&&!sl(q.operand)&&!qg(q.operand)&&!RU(q.operand)){const Lt=Vt(q.operand);if(Lt){let L,_e=nt(q.operand,Qi,yt);tb(q)?_e=t.updatePrefixUnaryExpression(q,_e):(_e=t.updatePostfixUnaryExpression(q,_e),Oe||(L=t.createTempVariable(o),_e=t.createAssignment(L,_e),dt(_e,q)),_e=t.createComma(_e,t.cloneNode(q.operand)),dt(_e,q));for(const J of Lt)_e=tn(J,fn(_e));return L&&(_e=t.createComma(_e,L),dt(_e,q)),_e}}return In(q,Qi,e)}function Ct(q){switch(q.kind){case 95:case 90:return}return q}function le(q,Oe,Lt){if(Oe.kind===312){const L=Wu(Oe);R=Oe,M=m[L],C=b[L],Z=A[L],O=I[L],Z&&delete A[L],h(q,Oe,Lt),R=void 0,M=void 0,C=void 0,O=void 0,Z=void 0}else h(q,Oe,Lt)}function Fe(q,Oe){return Oe=p(q,Oe),_n(Oe)?Oe:q===1?ot(Oe):q===4?pt(Oe):Oe}function pt(q){switch(q.kind){case 304:return j(q)}return q}function j(q){var Oe,Lt;const L=q.name;if(!sl(L)&&!qg(L)){const _e=l.getReferencedImportDeclaration(L);if(_e){if(Sh(_e))return dt(t.createPropertyAssignment(t.cloneNode(L),t.createPropertyAccessExpression(t.getGeneratedNameForNode(_e.parent),t.createIdentifier("default"))),q);if(np(_e))return dt(t.createPropertyAssignment(t.cloneNode(L),t.createPropertyAccessExpression(t.getGeneratedNameForNode(((Lt=(Oe=_e.parent)==null?void 0:Oe.parent)==null?void 0:Lt.parent)||_e),t.cloneNode(_e.propertyName||_e.name))),q)}}return q}function ot(q){switch(q.kind){case 80:return Rt(q);case 226:return Ye(q);case 236:return _t(q)}return q}function Rt(q){var Oe,Lt;if(ja(q)&8192){const L=iw(R);return L?t.createPropertyAccessExpression(L,q):q}if(!sl(q)&&!qg(q)){const L=l.getReferencedImportDeclaration(q);if(L){if(Sh(L))return dt(t.createPropertyAccessExpression(t.getGeneratedNameForNode(L.parent),t.createIdentifier("default")),q);if(np(L))return dt(t.createPropertyAccessExpression(t.getGeneratedNameForNode(((Lt=(Oe=L.parent)==null?void 0:Oe.parent)==null?void 0:Lt.parent)||L),t.cloneNode(L.propertyName||L.name)),q)}}return q}function Ye(q){if(Vv(q.operatorToken.kind)&&Ve(q.left)&&(!sl(q.left)||hL(q.left))&&!qg(q.left)){const Oe=Vt(q.left);if(Oe){let Lt=q;for(const L of Oe)Lt=tn(L,fn(Lt));return Lt}}return q}function _t(q){return dR(q)?t.createPropertyAccessExpression(O,t.createIdentifier("meta")):q}function Vt(q){let Oe;const Lt=vn(q);if(Lt){const L=l.getReferencedExportContainer(q,!1);L&&L.kind===312&&(Oe=xn(Oe,t.getDeclarationName(Lt))),Oe=Jr(Oe,M==null?void 0:M.exportedBindings[Wu(Lt)])}else if(sl(q)&&hL(q)){const L=M==null?void 0:M.exportSpecifiers.get(q);if(L){const _e=[];for(const J of L)_e.push(J.name);return _e}}return Oe}function vn(q){if(!sl(q)){const Oe=l.getReferencedImportDeclaration(q);if(Oe)return Oe;const Lt=l.getReferencedValueDeclaration(q);if(Lt&&(M!=null&&M.exportedBindings[Wu(Lt)]))return Lt;const L=l.getReferencedValueDeclarations(q);if(L){for(const _e of L)if(_e!==Lt&&(M!=null&&M.exportedBindings[Wu(_e)]))return _e}return Lt}}function fn(q){return Z===void 0&&(Z=[]),Z[as(q)]=!0,q}function _n(q){return Z&&q.id&&Z[q.id]}}var nHe=T({"src/compiler/transformers/module/system.ts"(){ra()}});function gJ(e){const{factory:t,getEmitHelperFactory:r}=e,i=e.getEmitHost(),o=e.getEmitResolver(),s=e.getCompilerOptions(),l=os(s),u=e.onEmitNode,p=e.onSubstituteNode;e.onEmitNode=Z,e.onSubstituteNode=V,e.enableEmitNotification(312),e.enableSubstitution(80);let h,m,b;return Pm(e,A);function A(G){if(G.isDeclarationFile)return G;if(sc(G)||om(s)){m=G,b=void 0;let ne=I(G);return m=void 0,b&&(ne=t.updateSourceFile(ne,dt(t.createNodeArray(yj(ne.statements.slice(),b)),ne.statements))),!sc(G)||wu(s)===200||bt(ne.statements,EL)?ne:t.updateSourceFile(ne,dt(t.createNodeArray([...ne.statements,tw(t)]),ne.statements))}return G}function I(G){const ne=YH(t,r(),G,s);if(ne){const he=[],ye=t.copyPrologue(G.statements,he);return xn(he,ne),Jr(he,Vn(G.statements,R,qi,ye)),t.updateSourceFile(G,dt(t.createNodeArray(he),G.statements))}else return In(G,R,e)}function R(G){switch(G.kind){case 271:return wu(s)>=100?C(G):void 0;case 277:return U(G);case 278:return K(G)}return G}function M(G){const ne=yI(t,G,N.checkDefined(m),i,o,s),he=[];if(ne&&he.push(ne),wu(s)===200)return t.createCallExpression(t.createIdentifier("require"),void 0,he);if(!b){const $=t.createUniqueName("_createRequire",48),Y=t.createImportDeclaration(void 0,t.createImportClause(!1,void 0,t.createNamedImports([t.createImportSpecifier(!1,t.createIdentifier("createRequire"),$)])),t.createStringLiteral("module"),void 0),oe=t.createUniqueName("__require",48),fe=t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(oe,void 0,void 0,t.createCallExpression(t.cloneNode($),void 0,[t.createPropertyAccessExpression(t.createMetaProperty(102,t.createIdentifier("meta")),t.createIdentifier("url"))]))],l>=2?2:0));b=[Y,fe]}const ye=b[1].declarationList.declarations[0].name;return N.assertNode(ye,Ve),t.createCallExpression(t.cloneNode(ye),void 0,he)}function C(G){N.assert(h0(G),"import= for internal module references should be handled in an earlier transformer.");let ne;return ne=xn(ne,Cr(dt(t.createVariableStatement(void 0,t.createVariableDeclarationList([t.createVariableDeclaration(t.cloneNode(G.name),void 0,void 0,M(G))],l>=2?2:0)),G),G)),ne=O(ne,G),lh(ne)}function O(G,ne){return Zr(ne,32)&&(G=xn(G,t.createExportDeclaration(void 0,ne.isTypeOnly,t.createNamedExports([t.createExportSpecifier(!1,void 0,Sr(ne.name))])))),G}function U(G){return G.isExportEquals?wu(s)===200?Cr(t.createExpressionStatement(t.createAssignment(t.createPropertyAccessExpression(t.createIdentifier("module"),"exports"),G.expression)),G):void 0:G}function K(G){if(s.module!==void 0&&s.module>5||!G.exportClause||!Th(G.exportClause)||!G.moduleSpecifier)return G;const ne=G.exportClause.name,he=t.getGeneratedNameForNode(ne),ye=t.createImportDeclaration(void 0,t.createImportClause(!1,void 0,t.createNamespaceImport(he)),G.moduleSpecifier,G.attributes);Cr(ye,G.exportClause);const $=U4(G)?t.createExportDefault(he):t.createExportDeclaration(void 0,!1,t.createNamedExports([t.createExportSpecifier(!1,he,ne)]));return Cr($,G),[ye,$]}function Z(G,ne,he){$i(ne)?((sc(ne)||om(s))&&s.importHelpers&&(h=new Map),u(G,ne,he),h=void 0):u(G,ne,he)}function V(G,ne){return ne=p(G,ne),h&&Ve(ne)&&ja(ne)&8192?se(ne):ne}function se(G){const ne=Sr(G);let he=h.get(ne);return he||h.set(ne,he=t.createUniqueName(ne,48)),he}}var rHe=T({"src/compiler/transformers/module/esnextAnd2015.ts"(){ra()}});function sce(e){const t=e.onSubstituteNode,r=e.onEmitNode,i=gJ(e),o=e.onSubstituteNode,s=e.onEmitNode;e.onSubstituteNode=t,e.onEmitNode=r;const l=hJ(e),u=e.onSubstituteNode,p=e.onEmitNode;e.onSubstituteNode=m,e.onEmitNode=b,e.enableSubstitution(312),e.enableEmitNotification(312);let h;return R;function m(C,O){return $i(O)?(h=O,t(C,O)):h?h.impliedNodeFormat===99?o(C,O):u(C,O):t(C,O)}function b(C,O,U){return $i(O)&&(h=O),h?h.impliedNodeFormat===99?s(C,O,U):p(C,O,U):r(C,O,U)}function A(C){return C.impliedNodeFormat===99?i:l}function I(C){if(C.isDeclarationFile)return C;h=C;const O=A(C)(C);return h=void 0,N.assert($i(O)),O}function R(C){return C.kind===312?I(C):M(C)}function M(C){return e.factory.createBundle(wt(C.sourceFiles,I),C.prepends)}}var iHe=T({"src/compiler/transformers/module/node.ts"(){ra()}});function d7(e){return wi(e)||Jo(e)||yp(e)||Qa(e)||Fv(e)||Fy(e)||Jk(e)||sI(e)||ql(e)||bh(e)||Ac(e)||Ao(e)||gl(e)||Kv(e)||tu(e)||Nm(e)||Ml(e)||t1(e)||Fr(e)||Qs(e)||mr(e)||Zf(e)}function lce(e){if(Fv(e)||Fy(e))return t;return bh(e)||ql(e)?i:Yv(e);function t(s){const l=r(s);return l!==void 0?{diagnosticMessage:l,errorNode:e,typeName:e.name}:void 0}function r(s){return sa(e)?s.errorModuleName?s.accessibility===2?f.Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:f.Public_static_property_0_of_exported_class_has_or_is_using_private_name_1:e.parent.kind===263?s.errorModuleName?s.accessibility===2?f.Public_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Public_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:f.Public_property_0_of_exported_class_has_or_is_using_private_name_1:s.errorModuleName?f.Property_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:f.Property_0_of_exported_interface_has_or_is_using_private_name_1}function i(s){const l=o(s);return l!==void 0?{diagnosticMessage:l,errorNode:e,typeName:e.name}:void 0}function o(s){return sa(e)?s.errorModuleName?s.accessibility===2?f.Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Public_static_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:f.Public_static_method_0_of_exported_class_has_or_is_using_private_name_1:e.parent.kind===263?s.errorModuleName?s.accessibility===2?f.Public_method_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Public_method_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:f.Public_method_0_of_exported_class_has_or_is_using_private_name_1:s.errorModuleName?f.Method_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:f.Method_0_of_exported_interface_has_or_is_using_private_name_1}}function Yv(e){if(wi(e)||Jo(e)||yp(e)||Fr(e)||Qs(e)||mr(e)||Qa(e)||Ml(e))return r;return Fv(e)||Fy(e)?i:Jk(e)||sI(e)||ql(e)||bh(e)||Ac(e)||t1(e)?o:Ao(e)?mp(e,e.parent)&&Zr(e.parent,2)?r:s:gl(e)?u:Kv(e)?p:tu(e)?h:Nm(e)||Zf(e)?m:N.assertNever(e,`Attempted to set a declaration diagnostic context for unhandled node kind: ${N.formatSyntaxKind(e.kind)}`);function t(b){if(e.kind===260||e.kind===208)return b.errorModuleName?b.accessibility===2?f.Exported_variable_0_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Exported_variable_0_has_or_is_using_name_1_from_private_module_2:f.Exported_variable_0_has_or_is_using_private_name_1;if(e.kind===172||e.kind===211||e.kind===212||e.kind===226||e.kind===171||e.kind===169&&Zr(e.parent,2))return sa(e)?b.errorModuleName?b.accessibility===2?f.Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Public_static_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:f.Public_static_property_0_of_exported_class_has_or_is_using_private_name_1:e.parent.kind===263||e.kind===169?b.errorModuleName?b.accessibility===2?f.Public_property_0_of_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Public_property_0_of_exported_class_has_or_is_using_name_1_from_private_module_2:f.Public_property_0_of_exported_class_has_or_is_using_private_name_1:b.errorModuleName?f.Property_0_of_exported_interface_has_or_is_using_name_1_from_private_module_2:f.Property_0_of_exported_interface_has_or_is_using_private_name_1}function r(b){const A=t(b);return A!==void 0?{diagnosticMessage:A,errorNode:e,typeName:e.name}:void 0}function i(b){let A;return e.kind===178?sa(e)?A=b.errorModuleName?f.Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:f.Parameter_type_of_public_static_setter_0_from_exported_class_has_or_is_using_private_name_1:A=b.errorModuleName?f.Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:f.Parameter_type_of_public_setter_0_from_exported_class_has_or_is_using_private_name_1:sa(e)?A=b.errorModuleName?b.accessibility===2?f.Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:f.Return_type_of_public_static_getter_0_from_exported_class_has_or_is_using_private_name_1:A=b.errorModuleName?b.accessibility===2?f.Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Return_type_of_public_getter_0_from_exported_class_has_or_is_using_name_1_from_private_module_2:f.Return_type_of_public_getter_0_from_exported_class_has_or_is_using_private_name_1,{diagnosticMessage:A,errorNode:e.name,typeName:e.name}}function o(b){let A;switch(e.kind){case 180:A=b.errorModuleName?f.Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:f.Return_type_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_0;break;case 179:A=b.errorModuleName?f.Return_type_of_call_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:f.Return_type_of_call_signature_from_exported_interface_has_or_is_using_private_name_0;break;case 181:A=b.errorModuleName?f.Return_type_of_index_signature_from_exported_interface_has_or_is_using_name_0_from_private_module_1:f.Return_type_of_index_signature_from_exported_interface_has_or_is_using_private_name_0;break;case 174:case 173:sa(e)?A=b.errorModuleName?b.accessibility===2?f.Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:f.Return_type_of_public_static_method_from_exported_class_has_or_is_using_name_0_from_private_module_1:f.Return_type_of_public_static_method_from_exported_class_has_or_is_using_private_name_0:e.parent.kind===263?A=b.errorModuleName?b.accessibility===2?f.Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:f.Return_type_of_public_method_from_exported_class_has_or_is_using_name_0_from_private_module_1:f.Return_type_of_public_method_from_exported_class_has_or_is_using_private_name_0:A=b.errorModuleName?f.Return_type_of_method_from_exported_interface_has_or_is_using_name_0_from_private_module_1:f.Return_type_of_method_from_exported_interface_has_or_is_using_private_name_0;break;case 262:A=b.errorModuleName?b.accessibility===2?f.Return_type_of_exported_function_has_or_is_using_name_0_from_external_module_1_but_cannot_be_named:f.Return_type_of_exported_function_has_or_is_using_name_0_from_private_module_1:f.Return_type_of_exported_function_has_or_is_using_private_name_0;break;default:return N.fail("This is unknown kind for signature: "+e.kind)}return{diagnosticMessage:A,errorNode:e.name||e}}function s(b){const A=l(b);return A!==void 0?{diagnosticMessage:A,errorNode:e,typeName:e.name}:void 0}function l(b){switch(e.parent.kind){case 176:return b.errorModuleName?b.accessibility===2?f.Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Parameter_0_of_constructor_from_exported_class_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_constructor_from_exported_class_has_or_is_using_private_name_1;case 180:case 185:return b.errorModuleName?f.Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1;case 179:return b.errorModuleName?f.Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1;case 181:return b.errorModuleName?f.Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_index_signature_from_exported_interface_has_or_is_using_private_name_1;case 174:case 173:return sa(e.parent)?b.errorModuleName?b.accessibility===2?f.Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1:e.parent.parent.kind===263?b.errorModuleName?b.accessibility===2?f.Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Parameter_0_of_public_method_from_exported_class_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1:b.errorModuleName?f.Parameter_0_of_method_from_exported_interface_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1;case 262:case 184:return b.errorModuleName?b.accessibility===2?f.Parameter_0_of_exported_function_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Parameter_0_of_exported_function_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_exported_function_has_or_is_using_private_name_1;case 178:case 177:return b.errorModuleName?b.accessibility===2?f.Parameter_0_of_accessor_has_or_is_using_name_1_from_external_module_2_but_cannot_be_named:f.Parameter_0_of_accessor_has_or_is_using_name_1_from_private_module_2:f.Parameter_0_of_accessor_has_or_is_using_private_name_1;default:return N.fail(`Unknown parent for parameter: ${N.formatSyntaxKind(e.parent.kind)}`)}}function u(){let b;switch(e.parent.kind){case 263:b=f.Type_parameter_0_of_exported_class_has_or_is_using_private_name_1;break;case 264:b=f.Type_parameter_0_of_exported_interface_has_or_is_using_private_name_1;break;case 200:b=f.Type_parameter_0_of_exported_mapped_object_type_is_using_private_name_1;break;case 185:case 180:b=f.Type_parameter_0_of_constructor_signature_from_exported_interface_has_or_is_using_private_name_1;break;case 179:b=f.Type_parameter_0_of_call_signature_from_exported_interface_has_or_is_using_private_name_1;break;case 174:case 173:sa(e.parent)?b=f.Type_parameter_0_of_public_static_method_from_exported_class_has_or_is_using_private_name_1:e.parent.parent.kind===263?b=f.Type_parameter_0_of_public_method_from_exported_class_has_or_is_using_private_name_1:b=f.Type_parameter_0_of_method_from_exported_interface_has_or_is_using_private_name_1;break;case 184:case 262:b=f.Type_parameter_0_of_exported_function_has_or_is_using_private_name_1;break;case 195:b=f.Extends_clause_for_inferred_type_0_has_or_is_using_private_name_1;break;case 265:b=f.Type_parameter_0_of_exported_type_alias_has_or_is_using_private_name_1;break;default:return N.fail("This is unknown parent for type parameter: "+e.parent.kind)}return{diagnosticMessage:b,errorNode:e,typeName:e.name}}function p(){let b;return Ic(e.parent.parent)?b=of(e.parent)&&e.parent.token===119?f.Implements_clause_of_exported_class_0_has_or_is_using_private_name_1:e.parent.parent.name?f.extends_clause_of_exported_class_0_has_or_is_using_private_name_1:f.extends_clause_of_exported_class_has_or_is_using_private_name_0:b=f.extends_clause_of_exported_interface_0_has_or_is_using_private_name_1,{diagnosticMessage:b,errorNode:e,typeName:Mo(e.parent.parent)}}function h(){return{diagnosticMessage:f.Import_declaration_0_is_using_private_name_1,errorNode:e,typeName:e.name}}function m(b){return{diagnosticMessage:b.errorModuleName?f.Exported_type_alias_0_has_or_is_using_private_name_1_from_module_2:f.Exported_type_alias_0_has_or_is_using_private_name_1,errorNode:Zf(e)?N.checkDefined(e.typeExpression):e.type,typeName:Zf(e)?Mo(e):e.name}}}var oHe=T({"src/compiler/transformers/declarations/diagnostics.ts"(){ra()}});function cce(e,t,r){const i=e.getCompilerOptions();return Fw(t,e,w,i,r?[r]:nr(e.getSourceFiles(),Gj),[vJ],!1).diagnostics}function vJ(e){const t=()=>N.fail("Diagnostic emitted without context");let r=t,i=!0,o=!1,s=!1,l=!1,u=!1,p,h,m,b,A,I;const{factory:R}=e,M=e.getEmitHost(),C={trackSymbol:pe,reportInaccessibleThisError:Ee,reportInaccessibleUniqueSymbolError:Le,reportCyclicStructureError:He,reportPrivateInBaseOfClassExpression:ie,reportLikelyUnsafeImportRequiredError:De,reportTruncationError:Pe,moduleResolverHost:M,trackReferencedAmbientModule:Y,trackExternalModuleSymbolOfImportTypeNode:Ne,reportNonlocalAugmentation:We,reportNonSerializableProperty:At};let O,U,K,Z,V,se;const G=e.getEmitResolver(),ne=e.getCompilerOptions(),{noResolve:he,stripInternal:ye}=ne;return je;function $(j){if(j){h=h||new Set;for(const ot of j)h.add(ot)}}function Y(j,ot){const Rt=G.getTypeReferenceDirectivesForSymbol(ot,-1);if(Me(Rt))return $(Rt);const Ye=jn(j);Z.set(Wu(Ye),Ye)}function oe(j){const ot=vR(j),Rt=ot&&G.tryFindAmbientModule(ot);if(Rt!=null&&Rt.declarations)for(const Ye of Rt.declarations)ku(Ye)&&jn(Ye)!==K&&Y(Ye,Rt)}function fe(j){if(j.accessibility===0){if(j.aliasesToMakeVisible)if(!m)m=j.aliasesToMakeVisible;else for(const ot of j.aliasesToMakeVisible)Tf(m,ot)}else{const ot=r(j);if(ot)return ot.typeName?e.addDiagnostic(wr(j.errorNode||ot.errorNode,ot.diagnosticMessage,fc(ot.typeName),j.errorSymbolName,j.errorModuleName)):e.addDiagnostic(wr(j.errorNode||ot.errorNode,ot.diagnosticMessage,j.errorSymbolName,j.errorModuleName)),!0}return!1}function Ne(j){o||(I||(I=[])).push(j)}function pe(j,ot,Rt){if(j.flags&262144)return!1;const Ye=fe(G.isSymbolAccessible(j,ot,Rt,!0));return $(G.getTypeReferenceDirectivesForSymbol(j,Rt)),Ye}function ie(j){(O||U)&&e.addDiagnostic(wr(O||U,f.Property_0_of_exported_class_expression_may_not_be_private_or_protected,j))}function ve(){return O?Rs(O):U&&Mo(U)?Rs(Mo(U)):U&&kl(U)?U.isExportEquals?"export=":"default":"(Missing)"}function Le(){(O||U)&&e.addDiagnostic(wr(O||U,f.The_inferred_type_of_0_references_an_inaccessible_1_type_A_type_annotation_is_necessary,ve(),"unique symbol"))}function He(){(O||U)&&e.addDiagnostic(wr(O||U,f.The_inferred_type_of_0_references_a_type_with_a_cyclic_structure_which_cannot_be_trivially_serialized_A_type_annotation_is_necessary,ve()))}function Ee(){(O||U)&&e.addDiagnostic(wr(O||U,f.The_inferred_type_of_0_references_an_inaccessible_1_type_A_type_annotation_is_necessary,ve(),"this"))}function De(j){(O||U)&&e.addDiagnostic(wr(O||U,f.The_inferred_type_of_0_cannot_be_named_without_a_reference_to_1_This_is_likely_not_portable_A_type_annotation_is_necessary,ve(),j))}function Pe(){(O||U)&&e.addDiagnostic(wr(O||U,f.The_inferred_type_of_this_node_exceeds_the_maximum_length_the_compiler_will_serialize_An_explicit_type_annotation_is_needed))}function We(j,ot,Rt){var Ye;const _t=(Ye=ot.declarations)==null?void 0:Ye.find(vn=>jn(vn)===j),Vt=nr(Rt.declarations,vn=>jn(vn)!==j);if(_t&&Vt)for(const vn of Vt)e.addDiagnostic(wa(wr(vn,f.Declaration_augments_declaration_in_another_file_This_cannot_be_serialized),wr(_t,f.This_is_the_declaration_being_augmented_Consider_moving_the_augmenting_declaration_into_the_same_file)))}function At(j){(O||U)&&e.addDiagnostic(wr(O||U,f.The_type_of_this_node_cannot_be_serialized_because_its_property_0_cannot_be_serialized,j))}function Se(j,ot){const Rt=r;r=_t=>_t.errorNode&&d7(_t.errorNode)?Yv(_t.errorNode)(_t):{diagnosticMessage:_t.errorModuleName?f.Declaration_emit_for_this_file_requires_using_private_name_0_from_module_1_An_explicit_type_annotation_may_unblock_declaration_emit:f.Declaration_emit_for_this_file_requires_using_private_name_0_An_explicit_type_annotation_may_unblock_declaration_emit,errorNode:_t.errorNode||j};const Ye=G.getDeclarationStatementsForSourceFile(j,nT,C,ot);return r=Rt,Ye}function je(j){if(j.kind===312&&j.isDeclarationFile)return j;if(j.kind===313){o=!0,Z=new Map,V=new Map;let Oe=!1;const Lt=R.createBundle(wt(j.sourceFiles,J=>{if(J.isDeclarationFile)return;if(Oe=Oe||J.hasNoDefaultLib,K=J,p=J,m=void 0,A=!1,b=new Map,r=t,l=!1,u=!1,at(J,Z),Gt(J,V),zp(J)||Qf(J)){s=!1,i=!1;const Ge=dd(J)?R.createNodeArray(Se(J,!0)):Vn(J.statements,li,qi);return R.updateSourceFile(J,[R.createModuleDeclaration([R.createModifier(138)],R.createStringLiteral(T3(e.getEmitHost(),J)),R.createModuleBlock(dt(R.createNodeArray(tt(Ge)),J.statements)))],!0,[],[],!1,[])}i=!0;const me=dd(J)?R.createNodeArray(Se(J)):Vn(J.statements,li,qi);return R.updateSourceFile(J,tt(me),!0,[],[],!1,[])}),Hi(j.prepends,J=>{if(J.kind===315){const me=mH(J,"dts",ye);return Oe=Oe||!!me.hasNoDefaultLib,at(me,Z),$(wt(me.typeReferenceDirectives,Ge=>[Ge.fileName,Ge.resolutionMode])),Gt(me,V),me}return J}));Lt.syntheticFileReferences=[],Lt.syntheticTypeReferences=fn(),Lt.syntheticLibReferences=vn(),Lt.hasNoDefaultLib=Oe;const L=ai(Lu(XP(j,M,!0).declarationFilePath)),_e=q(Lt.syntheticFileReferences,L);return Z.forEach(_e),Lt}i=!0,l=!1,u=!1,p=j,K=j,r=t,o=!1,s=!1,A=!1,m=void 0,b=new Map,h=void 0,Z=at(K,new Map),V=Gt(K,new Map);const ot=[],Rt=ai(Lu(XP(j,M,!0).declarationFilePath)),Ye=q(ot,Rt);let _t;if(dd(K))_t=R.createNodeArray(Se(j)),Z.forEach(Ye),se=nr(_t,TS);else{const Oe=Vn(j.statements,li,qi);_t=dt(R.createNodeArray(tt(Oe)),j.statements),Z.forEach(Ye),se=nr(_t,TS),sc(j)&&(!s||l&&!u)&&(_t=dt(R.createNodeArray([..._t,tw(R)]),_t))}const Vt=R.updateSourceFile(j,_t,!0,ot,fn(),j.hasNoDefaultLib,vn());return Vt.exportedModulesFromDeclarationEmit=I,Vt;function vn(){return zo(V.keys(),Oe=>({fileName:Oe,pos:-1,end:-1}))}function fn(){return h?Hi(zo(h.keys()),_n):[]}function _n([Oe,Lt]){if(se){for(const L of se)if(tu(L)&&Ah(L.moduleReference)){const _e=L.moduleReference.expression;if(ls(_e)&&_e.text===Oe)return}else if(kc(L)&&Ma(L.moduleSpecifier)&&L.moduleSpecifier.text===Oe)return}return{fileName:Oe,pos:-1,end:-1,...Lt?{resolutionMode:Lt}:void 0}}function q(Oe,Lt){return L=>{if(I!=null&&I.includes(L.symbol))return;let _e;if(L.isDeclarationFile)_e=L.fileName;else{if(o&&Nr(j.sourceFiles,L))return;const J=XP(L,M,!0);_e=J.declarationFilePath||J.jsFilePath||L.fileName}if(_e){const J=HF(ne,K,go(Lt,M.getCurrentDirectory()),go(_e,M.getCurrentDirectory()),M);if(!Wp(J)){$([[J,void 0]]);return}let me=RA(Lt,_e,M.getCurrentDirectory(),M.getCanonicalFileName,!1);if(uo(me,"./")&&xA(me)&&(me=me.substring(2)),uo(me,"node_modules/")||L0(me))return;Oe.push({pos:-1,end:-1,fileName:me})}}}}function at(j,ot){return he||!KS(j)&&dd(j)||Ue(j.referencedFiles,Rt=>{const Ye=M.getSourceFileFromReference(j,Rt);Ye&&ot.set(Wu(Ye),Ye)}),ot}function Gt(j,ot){return Ue(j.libReferenceDirectives,Rt=>{M.getLibFileFromReference(Rt)&&ot.set(ch(Rt.fileName),!0)}),ot}function vt(j){if(j.kind===80)return j;return j.kind===207?R.updateArrayBindingPattern(j,Vn(j.elements,ot,N4)):R.updateObjectBindingPattern(j,Vn(j.elements,ot,Qa));function ot(Rt){return Rt.kind===232?Rt:(Rt.propertyName&&Za(Rt.propertyName)&&Gl(Rt.propertyName.expression)&&Oi(Rt.propertyName.expression,p),R.updateBindingElement(Rt,Rt.dotDotDotToken,Rt.propertyName,vt(Rt.name),It(Rt)?Rt.initializer:void 0))}}function $e(j,ot,Rt){let Ye;A||(Ye=r,r=Yv(j));const _t=R.updateParameterDeclaration(j,sHe(R,j,ot),j.dotDotDotToken,vt(j.name),G.isOptionalParameter(j)?j.questionToken||R.createToken(58):void 0,rn(j,Rt||j.type,!0),tn(j));return A||(r=Ye),_t}function It(j){return lHe(j)&&G.isLiteralConstDeclaration(Do(j))}function tn(j){if(It(j))return G.createLiteralConstValue(Do(j),C)}function rn(j,ot,Rt){if(!Rt&&gp(j,2)||It(j))return;const Ye=j.kind===169&&(G.isRequiredInitializedParameter(j)||G.isOptionalUninitializedParameterProperty(j));if(ot&&!Ye)return nt(ot,zt,ji);if(!Do(j))return ot?nt(ot,zt,ji):R.createKeywordTypeNode(133);if(j.kind===178)return R.createKeywordTypeNode(133);O=j.name;let _t;if(A||(_t=r,r=Yv(j)),j.kind===260||j.kind===208)return Vt(G.createTypeOfDeclaration(j,p,nT,C));if(j.kind===169||j.kind===172||j.kind===171)return yp(j)||!j.initializer?Vt(G.createTypeOfDeclaration(j,p,nT,C,Ye)):Vt(G.createTypeOfDeclaration(j,p,nT,C,Ye)||G.createTypeOfExpression(j.initializer,p,nT,C));return Vt(G.createReturnTypeOfSignatureDeclaration(j,p,nT,C));function Vt(vn){return O=void 0,A||(r=_t),vn||R.createKeywordTypeNode(133)}}function Pn(j){switch(j=Do(j),j.kind){case 262:case 267:case 264:case 263:case 265:case 266:return!G.isDeclarationVisible(j);case 260:return!hn(j);case 271:case 272:case 278:case 277:return!1;case 175:return!0}return!1}function Xn(j){var ot;if(j.body)return!0;const Rt=(ot=j.symbol.declarations)==null?void 0:ot.filter(Ye=>Ac(Ye)&&!Ye.body);return!Rt||Rt.indexOf(j)===Rt.length-1}function hn(j){return jc(j)?!1:ta(j.name)?bt(j.name.elements,hn):G.isDeclarationVisible(j)}function Qt(j,ot,Rt){if(gp(j,2))return R.createNodeArray();const Ye=wt(ot,_t=>$e(_t,Rt));return Ye?R.createNodeArray(Ye,ot.hasTrailingComma):R.createNodeArray()}function $t(j,ot){let Rt;if(!ot){const Ye=qE(j);Ye&&(Rt=[$e(Ye)])}if(bp(j)){let Ye;if(!ot){const _t=FC(j);if(_t){const Vt=Fe(j,G.getAllAccessorDeclarations(j));Ye=$e(_t,void 0,Vt)}}Ye||(Ye=R.createParameterDeclaration(void 0,void 0,"value")),Rt=xn(Rt,Ye)}return R.createNodeArray(Rt||et)}function _i(j,ot){return gp(j,2)?void 0:Vn(ot,zt,gl)}function ao(j){return $i(j)||Nm(j)||Xl(j)||Ic(j)||hd(j)||ea(j)||t1(j)||JR(j)}function Oi(j,ot){const Rt=G.isEntityNameVisible(j,ot);fe(Rt),$(G.getTypeReferenceDirectivesForEntityName(j))}function No(j,ot){return Fp(j)&&Fp(ot)&&(j.jsDoc=ot.jsDoc),ac(j,w_(ot))}function Mn(j,ot){if(ot){if(s=s||j.kind!==267&&j.kind!==205,ls(ot))if(o){const Rt=eoe(e.getEmitHost(),G,j);if(Rt)return R.createStringLiteral(Rt)}else{const Rt=G.getSymbolOfExternalModuleSpecifier(ot);Rt&&(I||(I=[])).push(Rt)}return ot}}function Di(j){if(G.isDeclarationVisible(j))if(j.moduleReference.kind===283){const ot=xC(j);return R.updateImportEqualsDeclaration(j,j.modifiers,j.isTypeOnly,j.name,R.updateExternalModuleReference(j.moduleReference,Mn(j,ot)))}else{const ot=r;return r=Yv(j),Oi(j.moduleReference,p),r=ot,j}}function Ar(j){if(!j.importClause)return R.updateImportDeclaration(j,j.modifiers,j.importClause,Mn(j,j.moduleSpecifier),sn(j.attributes));const ot=j.importClause&&j.importClause.name&&G.isDeclarationVisible(j.importClause)?j.importClause.name:void 0;if(!j.importClause.namedBindings)return ot&&R.updateImportDeclaration(j,j.modifiers,R.updateImportClause(j.importClause,j.importClause.isTypeOnly,ot,void 0),Mn(j,j.moduleSpecifier),sn(j.attributes));if(j.importClause.namedBindings.kind===274){const Ye=G.isDeclarationVisible(j.importClause.namedBindings)?j.importClause.namedBindings:void 0;return ot||Ye?R.updateImportDeclaration(j,j.modifiers,R.updateImportClause(j.importClause,j.importClause.isTypeOnly,ot,Ye),Mn(j,j.moduleSpecifier),sn(j.attributes)):void 0}const Rt=Hi(j.importClause.namedBindings.elements,Ye=>G.isDeclarationVisible(Ye)?Ye:void 0);if(Rt&&Rt.length||ot)return R.updateImportDeclaration(j,j.modifiers,R.updateImportClause(j.importClause,j.importClause.isTypeOnly,ot,Rt&&Rt.length?R.updateNamedImports(j.importClause.namedBindings,Rt):void 0),Mn(j,j.moduleSpecifier),sn(j.attributes));if(G.isImportRequiredByAugmentation(j))return R.updateImportDeclaration(j,j.modifiers,void 0,Mn(j,j.moduleSpecifier),sn(j.attributes))}function sn(j){const ot=hD(j);return j&&ot!==void 0?j:void 0}function tt(j){for(;Me(m);){const Rt=m.shift();if(!q4(Rt))return N.fail(`Late replaced statement was found which is not handled by the declaration transformer!: ${N.formatSyntaxKind(Rt.kind)}`);const Ye=i;i=Rt.parent&&$i(Rt.parent)&&!(sc(Rt.parent)&&o);const _t=Io(Rt);i=Ye,b.set(Wu(Rt),_t)}return Vn(j,ot,qi);function ot(Rt){if(q4(Rt)){const Ye=Wu(Rt);if(b.has(Ye)){const _t=b.get(Ye);return b.delete(Ye),_t&&((To(_t)?bt(_t,C4):C4(_t))&&(l=!0),$i(Rt.parent)&&(To(_t)?bt(_t,EL):EL(_t))&&(s=!0)),_t}}return Rt}}function zt(j){if(qe(j)||Ju(j)&&(Pn(j)||jy(j)&&!G.isLateBound(Do(j)))||ea(j)&&G.isImplementationOfOverload(j)||jae(j))return;let ot;ao(j)&&(ot=p,p=j);const Rt=r,Ye=d7(j),_t=A;let Vt=(j.kind===187||j.kind===200)&&j.parent.kind!==265;if((ql(j)||bh(j))&&gp(j,2))return j.symbol&&j.symbol.declarations&&j.symbol.declarations[0]!==j?void 0:vn(R.createPropertyDeclaration(Ct(j),j.name,void 0,void 0,void 0));if(Ye&&!A&&(r=Yv(j)),lI(j)&&Oi(j.exprName,p),Vt&&(A=!0),uHe(j))switch(j.kind){case 233:{(Zd(j.expression)||Gl(j.expression))&&Oi(j.expression,p);const fn=In(j,zt,e);return vn(R.updateExpressionWithTypeArguments(fn,fn.expression,fn.typeArguments))}case 183:{Oi(j.typeName,p);const fn=In(j,zt,e);return vn(R.updateTypeReferenceNode(fn,fn.typeName,fn.typeArguments))}case 180:return vn(R.updateConstructSignature(j,_i(j,j.typeParameters),Qt(j,j.parameters),rn(j,j.type)));case 176:{const fn=R.createConstructorDeclaration(Ct(j),Qt(j,j.parameters,0),void 0);return vn(fn)}case 174:{if(Ji(j.name))return vn(void 0);const fn=R.createMethodDeclaration(Ct(j),void 0,j.name,j.questionToken,_i(j,j.typeParameters),Qt(j,j.parameters),rn(j,j.type),void 0);return vn(fn)}case 177:{if(Ji(j.name))return vn(void 0);const fn=Fe(j,G.getAllAccessorDeclarations(j));return vn(R.updateGetAccessorDeclaration(j,Ct(j),j.name,$t(j,gp(j,2)),rn(j,fn),void 0))}case 178:return Ji(j.name)?vn(void 0):vn(R.updateSetAccessorDeclaration(j,Ct(j),j.name,$t(j,gp(j,2)),void 0));case 172:return Ji(j.name)?vn(void 0):vn(R.updatePropertyDeclaration(j,Ct(j),j.name,j.questionToken,rn(j,j.type),tn(j)));case 171:return Ji(j.name)?vn(void 0):vn(R.updatePropertySignature(j,Ct(j),j.name,j.questionToken,rn(j,j.type)));case 173:return Ji(j.name)?vn(void 0):vn(R.updateMethodSignature(j,Ct(j),j.name,j.questionToken,_i(j,j.typeParameters),Qt(j,j.parameters),rn(j,j.type)));case 179:return vn(R.updateCallSignature(j,_i(j,j.typeParameters),Qt(j,j.parameters),rn(j,j.type)));case 181:return vn(R.updateIndexSignature(j,Ct(j),Qt(j,j.parameters),nt(j.type,zt,ji)||R.createKeywordTypeNode(133)));case 260:return ta(j.name)?Wa(j.name):(Vt=!0,A=!0,vn(R.updateVariableDeclaration(j,j.name,void 0,rn(j,j.type),tn(j))));case 168:return Rn(j)&&(j.default||j.constraint)?vn(R.updateTypeParameterDeclaration(j,j.modifiers,j.name,void 0,void 0)):vn(In(j,zt,e));case 194:{const fn=nt(j.checkType,zt,ji),_n=nt(j.extendsType,zt,ji),q=p;p=j.trueType;const Oe=nt(j.trueType,zt,ji);p=q;const Lt=nt(j.falseType,zt,ji);return N.assert(fn),N.assert(_n),N.assert(Oe),N.assert(Lt),vn(R.updateConditionalTypeNode(j,fn,_n,Oe,Lt))}case 184:return vn(R.updateFunctionTypeNode(j,Vn(j.typeParameters,zt,gl),Qt(j,j.parameters),N.checkDefined(nt(j.type,zt,ji))));case 185:return vn(R.updateConstructorTypeNode(j,Ct(j),Vn(j.typeParameters,zt,gl),Qt(j,j.parameters),N.checkDefined(nt(j.type,zt,ji))));case 205:return Vy(j)?(oe(j),vn(R.updateImportTypeNode(j,R.updateLiteralTypeNode(j.argument,Mn(j,j.argument.literal)),j.attributes,j.qualifier,Vn(j.typeArguments,zt,ji),j.isTypeOf))):vn(j);default:N.assertNever(j,`Attempted to process unhandled node kind: ${N.formatSyntaxKind(j.kind)}`)}return cI(j)&&bs(K,j.pos).line===bs(K,j.end).line&&pr(j,1),vn(In(j,zt,e));function vn(fn){return fn&&Ye&&jy(j)&&kn(j),ao(j)&&(p=ot),Ye&&!A&&(r=Rt),Vt&&(A=_t),fn===j?fn:fn&&Cr(No(fn,j),j)}}function Rn(j){return j.parent.kind===174&&gp(j.parent,2)}function li(j){if(!cHe(j)||qe(j))return;switch(j.kind){case 278:return $i(j.parent)&&(s=!0),u=!0,oe(j),R.updateExportDeclaration(j,j.modifiers,j.isTypeOnly,j.exportClause,Mn(j,j.moduleSpecifier),sn(j.attributes));case 277:{if($i(j.parent)&&(s=!0),u=!0,j.expression.kind===80)return j;{const Rt=R.createUniqueName("_default",16);r=()=>({diagnosticMessage:f.Default_export_of_the_module_has_or_is_using_private_name_0,errorNode:j}),U=j;const Ye=R.createVariableDeclaration(Rt,void 0,G.createTypeOfExpression(j.expression,j,nT,C),void 0);U=void 0;const _t=R.createVariableStatement(i?[R.createModifier(138)]:[],R.createVariableDeclarationList([Ye],2));return No(_t,j),Fk(j),[_t,R.updateExportAssignment(j,j.modifiers,Rt)]}}}const ot=Io(j);return b.set(Wu(j),ot),j}function hi(j){if(tu(j)||gp(j,2048)||!Cm(j))return j;const ot=R.createModifiersFromModifierFlags(pd(j)&131039);return R.replaceModifiers(j,ot)}function Qi(j,ot,Rt,Ye){const _t=R.updateModuleDeclaration(j,ot,Rt,Ye);if(ku(_t)||_t.flags&32)return _t;const Vt=R.createModuleDeclaration(_t.modifiers,_t.name,_t.body,_t.flags|32);return Cr(Vt,_t),dt(Vt,_t),Vt}function Io(j){if(m)for(;Gx(m,j););if(qe(j))return;switch(j.kind){case 271:{const vn=Di(j);return vn&&oe(j),vn}case 272:{const vn=Ar(j);return vn&&oe(j),vn}}if(Ju(j)&&Pn(j)||ea(j)&&G.isImplementationOfOverload(j))return;let ot;ao(j)&&(ot=p,p=j);const Rt=d7(j),Ye=r;Rt&&(r=Yv(j));const _t=i;switch(j.kind){case 265:{i=!1;const vn=Vt(R.updateTypeAliasDeclaration(j,Ct(j),j.name,Vn(j.typeParameters,zt,gl),N.checkDefined(nt(j.type,zt,ji))));return i=_t,vn}case 264:return Vt(R.updateInterfaceDeclaration(j,Ct(j),j.name,_i(j,j.typeParameters),pt(j.heritageClauses),Vn(j.members,zt,yS)));case 262:{const vn=Vt(R.updateFunctionDeclaration(j,Ct(j),void 0,j.name,_i(j,j.typeParameters),Qt(j,j.parameters),rn(j,j.type),void 0));if(vn&&G.isExpandoFunctionDeclaration(j)&&Xn(j)){const fn=G.getPropertiesOfContainerFunction(j),_n=Ih.createModuleDeclaration(void 0,vn.name||R.createIdentifier("_default"),R.createModuleBlock([]),32);qa(_n,p),_n.locals=ua(fn),_n.symbol=fn[0].parent;const q=[];let Oe=Hi(fn,Ge=>{if(!lW(Ge.valueDeclaration))return;const ke=Vi(Ge.escapedName);if(!tf(ke,99))return;r=Yv(Ge.valueDeclaration);const Tt=G.createTypeOfDeclaration(Ge.valueDeclaration,_n,nT,C);r=Ye;const kt=GA(ke),en=kt?R.getGeneratedNameForNode(Ge.valueDeclaration):R.createIdentifier(ke);kt&&q.push([en,ke]);const bn=R.createVariableDeclaration(en,void 0,Tt,void 0);return R.createVariableStatement(kt?void 0:[R.createToken(95)],R.createVariableDeclarationList([bn]))});q.length?Oe.push(R.createExportDeclaration(void 0,!1,R.createNamedExports(wt(q,([Ge,ke])=>R.createExportSpecifier(!1,Ge,ke))))):Oe=Hi(Oe,Ge=>R.replaceModifiers(Ge,0));const Lt=R.createModuleDeclaration(Ct(j),j.name,R.createModuleBlock(Oe),32);if(!gp(vn,2048))return[vn,Lt];const L=R.createModifiersFromModifierFlags(pd(vn)&-2081|128),_e=R.updateFunctionDeclaration(vn,L,void 0,vn.name,vn.typeParameters,vn.parameters,vn.type,void 0),J=R.updateModuleDeclaration(Lt,L,Lt.name,Lt.body),me=R.createExportAssignment(void 0,!1,Lt.name);return $i(j.parent)&&(s=!0),u=!0,[_e,J,me]}else return vn}case 267:{i=!1;const vn=j.body;if(vn&&vn.kind===268){const fn=l,_n=u;u=!1,l=!1;const q=Vn(vn.statements,li,qi);let Oe=tt(q);j.flags&33554432&&(l=!1),!R_(j)&&!ft(Oe)&&!u&&(l?Oe=R.createNodeArray([...Oe,tw(R)]):Oe=Vn(Oe,hi,qi));const Lt=R.updateModuleBlock(vn,Oe);i=_t,l=fn,u=_n;const L=Ct(j);return Vt(Qi(j,L,WE(j)?Mn(j,j.name):j.name,Lt))}else{i=_t;const fn=Ct(j);i=!1,nt(vn,li);const _n=Wu(vn),q=b.get(_n);return b.delete(_n),Vt(Qi(j,fn,j.name,q))}}case 263:{O=j.name,U=j;const vn=R.createNodeArray(Ct(j)),fn=_i(j,j.typeParameters),_n=ag(j);let q;if(_n){const me=r;q=WM(Pi(_n.parameters,Ge=>{if(!Zr(Ge,31)||qe(Ge))return;if(r=Yv(Ge),Ge.name.kind===80)return No(R.createPropertyDeclaration(Ct(Ge),Ge.name,Ge.questionToken,rn(Ge,Ge.type),tn(Ge)),Ge);return ke(Ge.name);function ke(Tt){let kt;for(const en of Tt.elements)jc(en)||(ta(en.name)&&(kt=So(kt,ke(en.name))),kt=kt||[],kt.push(R.createPropertyDeclaration(Ct(Ge),en.name,void 0,rn(en,void 0),void 0)));return kt}})),r=me}const Lt=bt(j.members,me=>!!me.name&&Ji(me.name))?[R.createPropertyDeclaration(void 0,R.createPrivateIdentifier("#private"),void 0,void 0,void 0)]:void 0,L=So(So(Lt,q),Vn(j.members,zt,$c)),_e=R.createNodeArray(L),J=D_(j);if(J&&!Gl(J.expression)&&J.expression.kind!==106){const me=j.name?Vi(j.name.escapedText):"default",Ge=R.createUniqueName(`${me}_base`,16);r=()=>({diagnosticMessage:f.extends_clause_of_exported_class_0_has_or_is_using_private_name_1,errorNode:J,typeName:j.name});const ke=R.createVariableDeclaration(Ge,void 0,G.createTypeOfExpression(J.expression,j,nT,C),void 0),Tt=R.createVariableStatement(i?[R.createModifier(138)]:[],R.createVariableDeclarationList([ke],2)),kt=R.createNodeArray(wt(j.heritageClauses,en=>{if(en.token===96){const bn=r;r=Yv(en.types[0]);const Bn=R.updateHeritageClause(en,wt(en.types,Hn=>R.updateExpressionWithTypeArguments(Hn,Ge,Vn(Hn.typeArguments,zt,ji))));return r=bn,Bn}return R.updateHeritageClause(en,Vn(R.createNodeArray(nr(en.types,bn=>Gl(bn.expression)||bn.expression.kind===106)),zt,Kv))}));return[Tt,Vt(R.updateClassDeclaration(j,vn,j.name,fn,kt,_e))]}else{const me=pt(j.heritageClauses);return Vt(R.updateClassDeclaration(j,vn,j.name,fn,me,_e))}}case 243:return Vt(ma(j));case 266:return Vt(R.updateEnumDeclaration(j,R.createNodeArray(Ct(j)),j.name,R.createNodeArray(Hi(j.members,vn=>{if(qe(vn))return;const fn=G.getConstantValue(vn),_n=fn===void 0?void 0:typeof fn=="string"?R.createStringLiteral(fn):fn<0?R.createPrefixUnaryExpression(41,R.createNumericLiteral(-fn)):R.createNumericLiteral(fn);return No(R.updateEnumMember(vn,vn.name,_n),vn)}))))}return N.assertNever(j,`Unhandled top-level node in declaration emit: ${N.formatSyntaxKind(j.kind)}`);function Vt(vn){return ao(j)&&(p=ot),Rt&&(r=Ye),j.kind===267&&(i=_t),vn===j?vn:(U=void 0,O=void 0,vn&&Cr(No(vn,j),j))}}function ma(j){if(!Ue(j.declarationList.declarations,hn))return;const ot=Vn(j.declarationList.declarations,zt,wi);if(!Me(ot))return;const Rt=R.createNodeArray(Ct(j));let Ye;return kL(j.declarationList)||LL(j.declarationList)?(Ye=R.createVariableDeclarationList(ot,2),Cr(Ye,j.declarationList),dt(Ye,j.declarationList),ac(Ye,j.declarationList)):Ye=R.updateVariableDeclarationList(j.declarationList,ot),R.updateVariableStatement(j,Rt,Ye)}function Wa(j){return ia(Hi(j.elements,ot=>Sn(ot)))}function Sn(j){if(j.kind!==232&&j.name)return hn(j)?ta(j.name)?Wa(j.name):R.createVariableDeclaration(j.name,void 0,rn(j,void 0),void 0):void 0}function kn(j){let ot;A||(ot=r,r=lce(j)),O=j.name,N.assert(G.isLateBound(Do(j)));const Ye=j.name.expression;Oi(Ye,p),A||(r=ot),O=void 0}function qe(j){return!!ye&&!!j&&_j(j,K)}function mt(j){return kl(j)||Yl(j)}function ft(j){return bt(j,mt)}function Ct(j){const ot=pd(j),Rt=le(j);return ot===Rt?kw(j.modifiers,Ye=>ii(Ye,xa),xa):R.createModifiersFromModifierFlags(Rt)}function le(j){let ot=130030,Rt=i&&!aHe(j)?128:0;const Ye=j.parent.kind===312;return(!Ye||o&&Ye&&sc(j.parent))&&(ot^=128,Rt=0),$xe(j,ot,Rt)}function Fe(j,ot){let Rt=uce(j);return!Rt&&j!==ot.firstAccessor&&(Rt=uce(ot.firstAccessor),r=Yv(ot.firstAccessor)),!Rt&&ot.secondAccessor&&j!==ot.secondAccessor&&(Rt=uce(ot.secondAccessor),r=Yv(ot.secondAccessor)),Rt}function pt(j){return R.createNodeArray(nr(wt(j,ot=>R.updateHeritageClause(ot,Vn(R.createNodeArray(nr(ot.types,Rt=>Gl(Rt.expression)||ot.token===96&&Rt.expression.kind===106)),zt,Kv))),ot=>ot.types&&!!ot.types.length))}}function aHe(e){return e.kind===264}function sHe(e,t,r,i){return e.createModifiersFromModifierFlags($xe(t,r,i))}function $xe(e,t=131070,r=0){let i=pd(e)&t|r;return i&2048&&!(i&32)&&(i^=32),i&2048&&i&128&&(i^=128),i}function uce(e){if(e)return e.kind===177?e.type:e.parameters.length>0?e.parameters[0].type:void 0}function lHe(e){switch(e.kind){case 172:case 171:return!gp(e,2);case 169:case 260:return!0}return!1}function cHe(e){switch(e.kind){case 262:case 267:case 271:case 264:case 263:case 265:case 266:case 243:case 272:case 278:case 277:return!0}return!1}function uHe(e){switch(e.kind){case 180:case 176:case 174:case 177:case 178:case 172:case 171:case 173:case 179:case 181:case 260:case 168:case 233:case 183:case 194:case 184:case 185:case 205:return!0}return!1}var nT,dHe=T({"src/compiler/transformers/declarations.ts"(){ra(),_le(),nT=531469}});function pHe(e){switch(e){case 99:case 7:case 6:case 5:case 200:return gJ;case 4:return ace;case 100:case 199:return sce;default:return hJ}}function yJ(e,t,r){return{scriptTransformers:fHe(e,t,r),declarationTransformers:mHe(t)}}function fHe(e,t,r){if(r)return et;const i=os(e),o=wu(e),s=dP(e),l=[];return Jr(l,t&&wt(t.before,Zxe)),l.push(Gle),e.experimentalDecorators&&l.push(Ule),q3(e)&&l.push(tce),i<99&&l.push($le),!e.experimentalDecorators&&(i<99||!s)&&l.push(Hle),l.push(Vle),i<8&&l.push(Yle),i<7&&l.push(Xle),i<6&&l.push(Kle),i<5&&l.push(Jle),i<4&&l.push(qle),i<3&&l.push(nce),i<2&&(l.push(rce),l.push(oce)),l.push(pHe(o)),i<1&&l.push(ice),Jr(l,t&&wt(t.after,Zxe)),l}function mHe(e){const t=[];return t.push(vJ),Jr(t,e&&wt(e.afterDeclarations,hHe)),t}function _He(e){return t=>OH(t)?e.transformBundle(t):e.transformSourceFile(t)}function Qxe(e,t){return r=>{const i=e(r);return typeof i=="function"?t(r,i):_He(i)}}function Zxe(e){return Qxe(e,Pm)}function hHe(e){return Qxe(e,(t,r)=>r)}function qP(e,t){return t}function Ww(e,t,r){r(e,t)}function Fw(e,t,r,i,o,s,l){var u,p;const h=new Array(363);let m,b,A,I=0,R=[],M=[],C=[],O=[],U=0,K=!1,Z=[],V=0,se,G,ne=qP,he=Ww,ye=0;const $=[],Y={factory:r,getCompilerOptions:()=>i,getEmitResolver:()=>e,getEmitHost:()=>t,getEmitHelperFactory:Td(()=>Nae(Y)),startLexicalEnvironment:Se,suspendLexicalEnvironment:je,resumeLexicalEnvironment:at,endLexicalEnvironment:Gt,setLexicalEnvironmentFlags:vt,getLexicalEnvironmentFlags:$e,hoistVariableDeclaration:Pe,hoistFunctionDeclaration:We,addInitializationStatement:At,startBlockScope:It,endBlockScope:tn,addBlockScopedVariable:rn,requestEmitHelper:Pn,readEmitHelpers:Xn,enableSubstitution:ie,enableEmitNotification:He,isSubstitutionEnabled:ve,isEmitNotificationEnabled:Ee,get onSubstituteNode(){return ne},set onSubstituteNode(Qt){N.assert(ye<1,"Cannot modify transformation hooks after initialization has completed."),N.assert(Qt!==void 0,"Value must not be 'undefined'"),ne=Qt},get onEmitNode(){return he},set onEmitNode(Qt){N.assert(ye<1,"Cannot modify transformation hooks after initialization has completed."),N.assert(Qt!==void 0,"Value must not be 'undefined'"),he=Qt},addDiagnostic(Qt){$.push(Qt)}};for(const Qt of o)vH(jn(Do(Qt)));il("beforeTransform");const oe=s.map(Qt=>Qt(Y)),fe=Qt=>{for(const $t of oe)Qt=$t(Qt);return Qt};ye=1;const Ne=[];for(const Qt of o)(u=sr)==null||u.push(sr.Phase.Emit,"transformNodes",Qt.kind===312?{path:Qt.path}:{kind:Qt.kind,pos:Qt.pos,end:Qt.end}),Ne.push((l?fe:pe)(Qt)),(p=sr)==null||p.pop();return ye=2,il("afterTransform"),ef("transformTime","beforeTransform","afterTransform"),{transformed:Ne,substituteNode:Le,emitNodeWithNotification:De,isEmitNotificationEnabled:Ee,dispose:hn,diagnostics:$};function pe(Qt){return Qt&&(!$i(Qt)||!Qt.isDeclarationFile)?fe(Qt):Qt}function ie(Qt){N.assert(ye<2,"Cannot modify the transformation context after transformation has completed."),h[Qt]|=1}function ve(Qt){return(h[Qt.kind]&1)!==0&&(ja(Qt)&8)===0}function Le(Qt,$t){return N.assert(ye<3,"Cannot substitute a node after the result is disposed."),$t&&ve($t)&&ne(Qt,$t)||$t}function He(Qt){N.assert(ye<2,"Cannot modify the transformation context after transformation has completed."),h[Qt]|=2}function Ee(Qt){return(h[Qt.kind]&2)!==0||(ja(Qt)&4)!==0}function De(Qt,$t,_i){N.assert(ye<3,"Cannot invoke TransformationResult callbacks after the result is disposed."),$t&&(Ee($t)?he(Qt,$t,_i):_i(Qt,$t))}function Pe(Qt){N.assert(ye>0,"Cannot modify the lexical environment during initialization."),N.assert(ye<2,"Cannot modify the lexical environment after transformation has completed.");const $t=pr(r.createVariableDeclaration(Qt),128);m?m.push($t):m=[$t],I&1&&(I|=2)}function We(Qt){N.assert(ye>0,"Cannot modify the lexical environment during initialization."),N.assert(ye<2,"Cannot modify the lexical environment after transformation has completed."),pr(Qt,2097152),b?b.push(Qt):b=[Qt]}function At(Qt){N.assert(ye>0,"Cannot modify the lexical environment during initialization."),N.assert(ye<2,"Cannot modify the lexical environment after transformation has completed."),pr(Qt,2097152),A?A.push(Qt):A=[Qt]}function Se(){N.assert(ye>0,"Cannot modify the lexical environment during initialization."),N.assert(ye<2,"Cannot modify the lexical environment after transformation has completed."),N.assert(!K,"Lexical environment is suspended."),R[U]=m,M[U]=b,C[U]=A,O[U]=I,U++,m=void 0,b=void 0,A=void 0,I=0}function je(){N.assert(ye>0,"Cannot modify the lexical environment during initialization."),N.assert(ye<2,"Cannot modify the lexical environment after transformation has completed."),N.assert(!K,"Lexical environment is already suspended."),K=!0}function at(){N.assert(ye>0,"Cannot modify the lexical environment during initialization."),N.assert(ye<2,"Cannot modify the lexical environment after transformation has completed."),N.assert(K,"Lexical environment is not suspended."),K=!1}function Gt(){N.assert(ye>0,"Cannot modify the lexical environment during initialization."),N.assert(ye<2,"Cannot modify the lexical environment after transformation has completed."),N.assert(!K,"Lexical environment is suspended.");let Qt;if(m||b||A){if(b&&(Qt=[...b]),m){const $t=r.createVariableStatement(void 0,r.createVariableDeclarationList(m));pr($t,2097152),Qt?Qt.push($t):Qt=[$t]}A&&(Qt?Qt=[...Qt,...A]:Qt=[...A])}return U--,m=R[U],b=M[U],A=C[U],I=O[U],U===0&&(R=[],M=[],C=[],O=[]),Qt}function vt(Qt,$t){I=$t?I|Qt:I&~Qt}function $e(){return I}function It(){N.assert(ye>0,"Cannot start a block scope during initialization."),N.assert(ye<2,"Cannot start a block scope after transformation has completed."),Z[V]=se,V++,se=void 0}function tn(){N.assert(ye>0,"Cannot end a block scope during initialization."),N.assert(ye<2,"Cannot end a block scope after transformation has completed.");const Qt=bt(se)?[r.createVariableStatement(void 0,r.createVariableDeclarationList(se.map($t=>r.createVariableDeclaration($t)),1))]:void 0;return V--,se=Z[V],V===0&&(Z=[]),Qt}function rn(Qt){N.assert(V>0,"Cannot add a block scoped variable outside of an iteration body."),(se||(se=[])).push(Qt)}function Pn(Qt){if(N.assert(ye>0,"Cannot modify the transformation context during initialization."),N.assert(ye<2,"Cannot modify the transformation context after transformation has completed."),N.assert(!Qt.scoped,"Cannot request a scoped emit helper."),Qt.dependencies)for(const $t of Qt.dependencies)Pn($t);G=xn(G,Qt)}function Xn(){N.assert(ye>0,"Cannot modify the transformation context during initialization."),N.assert(ye<2,"Cannot modify the transformation context after transformation has completed.");const Qt=G;return G=void 0,Qt}function hn(){if(ye<3){for(const Qt of o)vH(jn(Do(Qt)));m=void 0,R=void 0,b=void 0,M=void 0,ne=void 0,he=void 0,G=void 0,ye=3}}}var bJ,JP,gHe=T({"src/compiler/transformer.ts"(){ra(),fS(),bJ={scriptTransformers:et,declarationTransformers:et},JP={factory:w,getCompilerOptions:()=>({}),getEmitResolver:Ko,getEmitHost:Ko,getEmitHelperFactory:Ko,startLexicalEnvironment:$a,resumeLexicalEnvironment:$a,suspendLexicalEnvironment:$a,endLexicalEnvironment:r0,setLexicalEnvironmentFlags:$a,getLexicalEnvironmentFlags:()=>0,hoistVariableDeclaration:$a,hoistFunctionDeclaration:$a,addInitializationStatement:$a,startBlockScope:$a,endBlockScope:r0,addBlockScopedVariable:$a,requestEmitHelper:$a,readEmitHelpers:Ko,enableSubstitution:$a,enableEmitNotification:$a,isSubstitutionEnabled:Ko,isEmitNotificationEnabled:Ko,onSubstituteNode:qP,onEmitNode:Ww,addDiagnostic:$a}}});function dce(e){return Il(e,".tsbuildinfo")}function EJ(e,t,r,i=!1,o,s){const l=To(r)?r:mU(e,r,i),u=e.getCompilerOptions();if(Ps(u)){const p=e.getPrependNodes();if(l.length||p.length){const h=w.createBundle(l,p),m=t(XP(h,e,i),h);if(m)return m}}else{if(!o)for(const p of l){const h=t(XP(p,e,i),p);if(h)return h}if(s){const p=$v(u);if(p)return t({buildInfoPath:p},void 0)}}}function $v(e){const t=e.configFilePath;if(!uP(e))return;if(e.tsBuildInfoFile)return e.tsBuildInfoFile;const r=Ps(e);let i;if(r)i=Id(r);else{if(!t)return;const o=Id(t);i=e.outDir?e.rootDir?Py(e.outDir,Em(e.rootDir,o,!0)):Qr(e.outDir,ic(o)):o}return i+".tsbuildinfo"}function KP(e,t){const r=Ps(e),i=e.emitDeclarationOnly?void 0:r,o=i&&eRe(i,e),s=t||Nf(e)?Id(r)+".d.ts":void 0,l=s&&Pk(e)?s+".map":void 0,u=$v(e);return{jsFilePath:i,sourceMapFilePath:o,declarationFilePath:s,declarationMapPath:l,buildInfoPath:u}}function XP(e,t,r){const i=t.getCompilerOptions();if(e.kind===313)return KP(i,r);{const o=toe(e.fileName,t,p7(e.fileName,i)),s=Qf(e),l=s&&Lg(e.fileName,o,t.getCurrentDirectory(),!t.useCaseSensitiveFileNames())===0,u=i.emitDeclarationOnly||l?void 0:o,p=!u||Qf(e)?void 0:eRe(u,i),h=r||Nf(i)&&!s?noe(e.fileName,t):void 0,m=h&&Pk(i)?h+".map":void 0;return{jsFilePath:u,sourceMapFilePath:p,declarationFilePath:h,declarationMapPath:m,buildInfoPath:void 0}}}function eRe(e,t){return t.sourceMap&&!t.inlineSourceMap?e+".map":void 0}function p7(e,t){return Il(e,".json")?".json":t.jsx===1&&Tc(e,[".jsx",".tsx"])?".jsx":Tc(e,[".mts",".mjs"])?".mjs":Tc(e,[".cts",".cjs"])?".cjs":".js"}function tRe(e,t,r,i){return r?Py(r,Em(i(),e,t)):e}function YP(e,t,r,i=()=>_D(t,r)){return SJ(e,t.options,r,i)}function SJ(e,t,r,i){return S0(tRe(e,r,t.declarationDir||t.outDir,i),I3(e))}function nRe(e,t,r,i=()=>_D(t,r)){if(t.options.emitDeclarationOnly)return;const o=Il(e,".json"),s=TJ(e,t.options,r,i);return!o||Lg(e,s,N.checkDefined(t.options.configFilePath),r)!==0?s:void 0}function TJ(e,t,r,i){return S0(tRe(e,r,t.outDir,i),p7(e,t))}function rRe(){let e;return{addOutput:t,getOutputs:r};function t(i){i&&(e||(e=[])).push(i)}function r(){return e||et}}function iRe(e,t){const{jsFilePath:r,sourceMapFilePath:i,declarationFilePath:o,declarationMapPath:s,buildInfoPath:l}=KP(e.options,!1);t(r),t(i),t(o),t(s),t(l)}function oRe(e,t,r,i,o){if(Su(t))return;const s=nRe(t,e,r,o);if(i(s),!Il(t,".json")&&(s&&e.options.sourceMap&&i(`${s}.map`),Nf(e.options))){const l=YP(t,e,r,o);i(l),e.options.declarationMap&&i(`${l}.map`)}}function $P(e,t,r,i,o){let s;return e.rootDir?(s=go(e.rootDir,r),o==null||o(e.rootDir)):e.composite&&e.configFilePath?(s=ai(Lu(e.configFilePath)),o==null||o(s)):s=gce(t(),r,i),s&&s[s.length-1]!==al&&(s+=al),s}function _D({options:e,fileNames:t},r){return $P(e,()=>nr(t,i=>!(e.noEmitForJsFiles&&Tc(i,VR))&&!Su(i)),ai(Lu(N.checkDefined(e.configFilePath))),Mu(!r))}function f7(e,t){const{addOutput:r,getOutputs:i}=rRe();if(Ps(e.options))iRe(e,r);else{const o=Td(()=>_D(e,t));for(const s of e.fileNames)oRe(e,s,t,r,o);r($v(e.options))}return i()}function aRe(e,t,r){t=ga(t),N.assert(Nr(e.fileNames,t),"Expected fileName to be present in command line");const{addOutput:i,getOutputs:o}=rRe();return Ps(e.options)?iRe(e,i):oRe(e,t,r,i),o()}function AJ(e,t){if(Ps(e.options)){const{jsFilePath:o,declarationFilePath:s}=KP(e.options,!1);return N.checkDefined(o||s,`project ${e.options.configFilePath} expected to have at least one output`)}const r=Td(()=>_D(e,t));for(const o of e.fileNames){if(Su(o))continue;const s=nRe(o,e,t,r);if(s)return s;if(!Il(o,".json")&&Nf(e.options))return YP(o,e,t,r)}const i=$v(e.options);return i||N.fail(`project ${e.options.configFilePath} expected to have at least one output`)}function m7(e,t,r,{scriptTransformers:i,declarationTransformers:o},s,l,u){var p=t.getCompilerOptions(),h=p.sourceMap||p.inlineSourceMap||Pk(p)?[]:void 0,m=p.listEmittedFiles?[]:void 0,b=RR(),A=Uv(p),I=pk(A),{enter:R,exit:M}=PG("printTime","beforePrint","afterPrint"),C,O=!1;return R(),EJ(t,U,mU(t,r,u),u,l,!r),M(),{emitSkipped:O,diagnostics:b.getDiagnostics(),emittedFiles:m,sourceMaps:h};function U({jsFilePath:Y,sourceMapFilePath:oe,declarationFilePath:fe,declarationMapPath:Ne,buildInfoPath:pe},ie){var ve,Le,He,Ee,De,Pe;let We;pe&&ie&&OH(ie)&&(We=ai(go(pe,t.getCurrentDirectory())),C={commonSourceDirectory:At(t.getCommonSourceDirectory()),sourceFiles:ie.sourceFiles.map(Se=>At(go(Se.fileName,t.getCurrentDirectory())))}),(ve=sr)==null||ve.push(sr.Phase.Emit,"emitJsFileOrBundle",{jsFilePath:Y}),Z(ie,Y,oe,At),(Le=sr)==null||Le.pop(),(He=sr)==null||He.push(sr.Phase.Emit,"emitDeclarationFileOrBundle",{declarationFilePath:fe}),V(ie,fe,Ne,At),(Ee=sr)==null||Ee.pop(),(De=sr)==null||De.push(sr.Phase.Emit,"emitBuildInfo",{buildInfoPath:pe}),K(C,pe),(Pe=sr)==null||Pe.pop();function At(Se){return CE(Em(We,Se,t.getCanonicalFileName))}}function K(Y,oe){if(!oe||r||O)return;if(t.isEmitBlocked(oe)){O=!0;return}const fe=t.getBuildInfo(Y)||zw(void 0,Y);OC(t,b,oe,pce(fe),!1,void 0,{buildInfo:fe}),m==null||m.push(oe)}function Z(Y,oe,fe,Ne){if(!Y||s||!oe)return;if(t.isEmitBlocked(oe)||p.noEmit){O=!0;return}const pe=Fw(e,t,w,p,[Y],i,!1),ie={removeComments:p.removeComments,newLine:p.newLine,noEmitHelpers:p.noEmitHelpers,module:p.module,target:p.target,sourceMap:p.sourceMap,inlineSourceMap:p.inlineSourceMap,inlineSources:p.inlineSources,extendedDiagnostics:p.extendedDiagnostics,writeBundleFileInfo:!!C,relativeToBuildInfo:Ne},ve=k0(ie,{hasGlobalName:e.hasGlobalName,onEmitNode:pe.emitNodeWithNotification,isEmitNotificationEnabled:pe.isEmitNotificationEnabled,substituteNode:pe.substituteNode});N.assert(pe.transformed.length===1,"Should only see one output from the transform"),G(oe,fe,pe,ve,p),pe.dispose(),C&&(C.js=ve.bundleFileInfo),m&&(m.push(oe),fe&&m.push(fe))}function V(Y,oe,fe,Ne){if(!Y||s===0)return;if(!oe){(s||p.emitDeclarationOnly)&&(O=!0);return}const pe=$i(Y)?[Y]:Y.sourceFiles,ie=u?pe:nr(pe,Gj),ve=Ps(p)?[w.createBundle(ie,$i(Y)?void 0:Y.prepends)]:ie;s&&!Nf(p)&&ie.forEach(se);const Le=Fw(e,t,w,p,ve,o,!1);if(Me(Le.diagnostics))for(const Ee of Le.diagnostics)b.add(Ee);const He=!!Le.diagnostics&&!!Le.diagnostics.length||!!t.isEmitBlocked(oe)||!!p.noEmit;if(O=O||He,!He||u){N.assert(Le.transformed.length===1,"Should only see one output from the decl transform");const Ee={removeComments:p.removeComments,newLine:p.newLine,noEmitHelpers:!0,module:p.module,target:p.target,sourceMap:!u&&p.declarationMap,inlineSourceMap:p.inlineSourceMap,extendedDiagnostics:p.extendedDiagnostics,onlyPrintJsDocStyle:!0,omitBraceSourceMapPositions:!0,writeBundleFileInfo:!!C,recordInternalSection:!!C,relativeToBuildInfo:Ne},De=k0(Ee,{hasGlobalName:e.hasGlobalName,onEmitNode:Le.emitNodeWithNotification,isEmitNotificationEnabled:Le.isEmitNotificationEnabled,substituteNode:Le.substituteNode});G(oe,fe,Le,De,{sourceMap:Ee.sourceMap,sourceRoot:p.sourceRoot,mapRoot:p.mapRoot,extendedDiagnostics:p.extendedDiagnostics}),m&&(m.push(oe),fe&&m.push(fe)),C&&(C.dts=De.bundleFileInfo)}Le.dispose()}function se(Y){if(kl(Y)){Y.expression.kind===80&&e.collectLinkedAliases(Y.expression,!0);return}else if(Ku(Y)){e.collectLinkedAliases(Y.propertyName||Y.name,!0);return}Ho(Y,se)}function G(Y,oe,fe,Ne,pe){const ie=fe.transformed[0],ve=ie.kind===313?ie:void 0,Le=ie.kind===312?ie:void 0,He=ve?ve.sourceFiles:[Le];let Ee;ne(pe,ie)&&(Ee=Sle(t,ic(Lu(Y)),he(pe),ye(pe,Y,Le),pe)),ve?Ne.writeBundle(ve,I,Ee):Ne.writeFile(Le,I,Ee);let De;if(Ee){h&&h.push({inputSourceFileNames:Ee.getSources(),sourceMap:Ee.toJSON()});const We=$(pe,Ee,Y,oe,Le);if(We&&(I.isAtStartOfLine()||I.rawWrite(A),De=I.getTextPos(),I.writeComment(`//# sourceMappingURL=${We}`)),oe){const At=Ee.toString();OC(t,b,oe,At,!1,He),Ne.bundleFileInfo&&(Ne.bundleFileInfo.mapHash=iT(At,t))}}else I.writeLine();const Pe=I.getText();OC(t,b,Y,Pe,!!p.emitBOM,He,{sourceMapUrlPos:De,diagnostics:fe.diagnostics}),Ne.bundleFileInfo&&(Ne.bundleFileInfo.hash=iT(Pe,t)),I.clear()}function ne(Y,oe){return(Y.sourceMap||Y.inlineSourceMap)&&(oe.kind!==312||!Il(oe.fileName,".json"))}function he(Y){const oe=Lu(Y.sourceRoot||"");return oe&&Bc(oe)}function ye(Y,oe,fe){if(Y.sourceRoot)return t.getCommonSourceDirectory();if(Y.mapRoot){let Ne=Lu(Y.mapRoot);return fe&&(Ne=ai(R3(fe.fileName,t,Ne))),ph(Ne)===0&&(Ne=Qr(t.getCommonSourceDirectory(),Ne)),Ne}return ai(ga(oe))}function $(Y,oe,fe,Ne,pe){if(Y.inlineSourceMap){const ve=oe.toString();return`data:application/json;base64,${Soe(gu,ve)}`}const ie=ic(Lu(N.checkDefined(Ne)));if(Y.mapRoot){let ve=Lu(Y.mapRoot);return pe&&(ve=ai(R3(pe.fileName,t,ve))),ph(ve)===0?(ve=Qr(t.getCommonSourceDirectory(),ve),encodeURI(RA(ai(ga(fe)),Qr(ve,ie),t.getCurrentDirectory(),t.getCanonicalFileName,!0))):encodeURI(Qr(ve,ie))}return encodeURI(ie)}}function zw(e,t){return{bundle:t,program:e,version:Re}}function pce(e){return JSON.stringify(e)}function _7(e,t){return AU(e,t)}function vHe(e,t,r){var i;const o=N.checkDefined(e.js),s=((i=o.sources)==null?void 0:i.prologues)&&NE(o.sources.prologues,l=>l.file);return e.sourceFiles.map((l,u)=>{const p=s==null?void 0:s.get(u),h=p==null?void 0:p.directives.map(A=>{const I=dt(w.createStringLiteral(A.expression.text),A.expression),R=dt(w.createExpressionStatement(I),A);return qa(I,R),R}),m=w.createToken(1),b=w.createSourceFile(h??[],m,0);return b.fileName=Em(r.getCurrentDirectory(),go(l,t),!r.useCaseSensitiveFileNames()),b.text=(p==null?void 0:p.text)??"",nP(b,0,(p==null?void 0:p.text.length)??0),zR(b.statements,b),nP(m,b.end,0),qa(m,b),b})}function fce(e,t,r,i){var o,s;(o=sr)==null||o.push(sr.Phase.Emit,"emitUsingBuildInfo",{},!0),il("beforeEmit");const l=yHe(e,t,r,i);return il("afterEmit"),ef("Emit","beforeEmit","afterEmit"),(s=sr)==null||s.pop(),l}function yHe(e,t,r,i){const{buildInfoPath:o,jsFilePath:s,sourceMapFilePath:l,declarationFilePath:u,declarationMapPath:p}=KP(e.options,!1),h=t.getBuildInfo(o,e.options.configFilePath);if(!h||!h.bundle||!h.bundle.js||u&&!h.bundle.dts)return o;const m=t.readFile(N.checkDefined(s));if(!m||iT(m,t)!==h.bundle.js.hash)return s;const b=l&&t.readFile(l);if(l&&!b||e.options.inlineSourceMap)return l||"inline sourcemap decoding";if(l&&iT(b,t)!==h.bundle.js.mapHash)return l;const A=u&&t.readFile(u);if(u&&!A||u&&iT(A,t)!==h.bundle.dts.hash)return u;const I=p&&t.readFile(p);if(p&&!I||e.options.inlineSourceMap)return p||"inline sourcemap decoding";if(p&&iT(I,t)!==h.bundle.dts.mapHash)return p;const R=ai(go(o,t.getCurrentDirectory())),M=hH(s,m,l,b,u,A,p,I,o,h,!0),C=[],O=JJ(e.projectReferences,r,se=>t.readFile(se),t),U=vHe(h.bundle,R,t);let K,Z;const V={getPrependNodes:Td(()=>[...O,M]),getCanonicalFileName:t.getCanonicalFileName,getCommonSourceDirectory:()=>go(h.bundle.commonSourceDirectory,R),getCompilerOptions:()=>e.options,getCurrentDirectory:()=>t.getCurrentDirectory(),getSourceFile:r0,getSourceFileByPath:r0,getSourceFiles:()=>U,getLibFileFromReference:Ko,isSourceFileFromExternalLibrary:Xm,getResolvedProjectReferenceToRedirect:r0,getProjectReferenceRedirect:r0,isSourceOfProjectReferenceRedirect:Xm,writeFile:(se,G,ne,he,ye,$)=>{switch(se){case s:if(m===G)return;break;case l:if(b===G)return;break;case o:break;case u:if(A===G)return;K=G,Z=$;break;case p:if(I===G)return;break;default:N.fail(`Unexpected path: ${se}`)}C.push({name:se,text:G,writeByteOrderMark:ne,data:$})},isEmitBlocked:Xm,readFile:se=>t.readFile(se),fileExists:se=>t.fileExists(se),useCaseSensitiveFileNames:()=>t.useCaseSensitiveFileNames(),getBuildInfo:se=>{const G=h.program;G&&K!==void 0&&e.options.composite&&(G.outSignature=iT(K,t,Z));const{js:ne,dts:he,sourceFiles:ye}=h.bundle;return se.js.sources=ne.sources,he&&(se.dts.sources=he.sources),se.sourceFiles=ye,zw(G,se)},getSourceFileFromReference:r0,redirectTargetsMap:Zp(),getFileIncludeReasons:Ko,createHash:oa(t,t.createHash)};return m7(h7,V,void 0,yJ(e.options,i)),C}function k0(e={},t={}){var{hasGlobalName:r,onEmitNode:i=Ww,isEmitNotificationEnabled:o,substituteNode:s=qP,onBeforeEmitNode:l,onAfterEmitNode:u,onBeforeEmitNodeArray:p,onAfterEmitNodeArray:h,onBeforeEmitToken:m,onAfterEmitToken:b}=t,A=!!e.extendedDiagnostics,I=!!e.omitBraceSourceMapPositions,R=Uv(e),M=wu(e),C=new Map,O,U,K,Z,V,se,G,ne,he,ye,$,Y,oe,fe,Ne,pe=e.preserveSourceNewlines,ie,ve,Le,He=RT,Ee,De=e.writeBundleFileInfo?{sections:[]}:void 0,Pe=De?N.checkDefined(e.relativeToBuildInfo):void 0,We=e.recordInternalSection,At=0,Se="text",je=!0,at,Gt,vt=-1,$e,It=-1,tn=-1,rn=-1,Pn=-1,Xn,hn,Qt=!1,$t=!!e.removeComments,_i,ao,{enter:Oi,exit:No}=z1e(A,"commentTime","beforeComment","afterComment"),Mn=w.parenthesizer,Di={select:D=>D===0?Mn.parenthesizeLeadingTypeArgument:void 0},Ar=Qg();return ot(),{printNode:sn,printList:tt,printFile:Rn,printBundle:zt,writeNode:hi,writeList:Qi,writeFile:ft,writeBundle:qe,bundleFileInfo:De};function sn(D,ae,ht){switch(D){case 0:N.assert($i(ae),"Expected a SourceFile node.");break;case 2:N.assert(Ve(ae),"Expected an Identifier node.");break;case 1:N.assert(yt(ae),"Expected an Expression node.");break}switch(ae.kind){case 312:return Rn(ae);case 313:return zt(ae);case 314:return li(ae)}return hi(D,ae,ht,Ct()),le()}function tt(D,ae,ht){return Qi(D,ae,ht,Ct()),le()}function zt(D){return qe(D,Ct(),void 0),le()}function Rn(D){return ft(D,Ct(),void 0),le()}function li(D){return mt(D,Ct()),le()}function hi(D,ae,ht,on){const Or=ve;j(on,void 0),Fe(D,ae,ht),ot(),ve=Or}function Qi(D,ae,ht,on){const Or=ve;j(on,void 0),ht&&pt(ht),Zs(void 0,ae,D),ot(),ve=Or}function Io(){return ve.getTextPosWithWriteLine?ve.getTextPosWithWriteLine():ve.getTextPos()}function ma(D,ae,ht){const on=tl(De.sections);on&&on.kind===ht?on.end=ae:De.sections.push({pos:D,end:ae,kind:ht})}function Wa(D){if(We&&De&&O&&(Ju(D)||Ll(D))&&_j(D,O)&&Se!=="internal"){const ae=Se;return kn(ve.getTextPos()),At=Io(),Se="internal",ae}}function Sn(D){D&&(kn(ve.getTextPos()),At=Io(),Se=D)}function kn(D){return At<D?(ma(At,D,Se),!0):!1}function qe(D,ae,ht){Ee=!1;const on=ve;j(ae,ht),gb(D),iv(D),en(D),c_(D);for(const Or of D.prepends){Kc();const ki=ve.getTextPos(),gi=De&&De.sections;if(gi&&(De.sections=[]),Fe(4,Or,void 0),De){const no=De.sections;De.sections=gi,Or.oldFileOfCurrentEmit?De.sections.push(...no):(no.forEach(va=>N.assert(Poe(va))),De.sections.push({pos:ki,end:ve.getTextPos(),kind:"prepend",data:Pe(Or.fileName),texts:no}))}}At=Io();for(const Or of D.sourceFiles)Fe(0,Or,Or);if(De&&D.sourceFiles.length){const Or=ve.getTextPos();if(kn(Or)){const ki=L2(D);ki&&(De.sources||(De.sources={}),De.sources.prologues=ki);const gi=kt(D);gi&&(De.sources||(De.sources={}),De.sources.helpers=gi)}}ot(),ve=on}function mt(D,ae){const ht=ve;j(ae,void 0),Fe(4,D,void 0),ot(),ve=ht}function ft(D,ae,ht){Ee=!0;const on=ve;j(ae,ht),gb(D),iv(D),Fe(0,D,D),ot(),ve=on}function Ct(){return Le||(Le=pk(R))}function le(){const D=Le.getText();return Le.clear(),D}function Fe(D,ae,ht){ht&&pt(ht),q(D,ae,void 0)}function pt(D){O=D,Xn=void 0,hn=void 0,D&&cv(D)}function j(D,ae){D&&e.omitTrailingSemicolon&&(D=pU(D)),ve=D,at=ae,je=!ve||!at}function ot(){U=[],K=[],Z=[],V=new Set,se=[],G=new Map,ne=[],he=0,ye=[],$=0,Y=[],oe=void 0,fe=[],Ne=void 0,O=void 0,Xn=void 0,hn=void 0,j(void 0,void 0)}function Rt(){return Xn||(Xn=kg(N.checkDefined(O)))}function Ye(D,ae){if(D===void 0)return;const ht=Wa(D);q(4,D,ae),Sn(ht)}function _t(D){D!==void 0&&q(2,D,void 0)}function Vt(D,ae){D!==void 0&&q(1,D,ae)}function vn(D){q(Ma(D)?6:4,D)}function fn(D){pe&&Am(D)&4&&(pe=!1)}function _n(D){pe=D}function q(D,ae,ht){ao=ht,L(0,D,ae)(D,ae),ao=void 0}function Oe(D){return!$t&&!$i(D)}function Lt(D){return!je&&!$i(D)&&!c3(D)&&!KS(D)&&!Kae(D)}function L(D,ae,ht){switch(D){case 0:if(i!==Ww&&(!o||o(ht)))return J;case 1:if(s!==qP&&(_i=s(ae,ht)||ht)!==ht)return ao&&(_i=ao(_i)),Tt;case 2:if(Oe(ht))return pN;case 3:if(Lt(ht))return nE;case 4:return me;default:return N.assertNever(D)}}function _e(D,ae,ht){return L(D+1,ae,ht)}function J(D,ae){const ht=_e(0,D,ae);i(D,ae,ht)}function me(D,ae){if(l==null||l(ae),pe){const ht=pe;fn(ae),Ge(D,ae),_n(ht)}else Ge(D,ae);u==null||u(ae),ao=void 0}function Ge(D,ae,ht=!0){if(ht){const on=yH(ae);if(on)return vo(D,ae,on)}if(D===0)return ix(aa(ae,$i));if(D===2)return Kr(aa(ae,Ve));if(D===6)return Hn(aa(ae,Ma),!0);if(D===3)return ke(aa(ae,gl));if(D===7)return $I(aa(ae,mI));if(D===5)return N.assertNode(ae,LH),io(!0);if(D===4){switch(ae.kind){case 16:case 17:case 18:return Hn(ae,!1);case 80:return Kr(ae);case 81:return xo(ae);case 166:return Dn(ae);case 167:return Ql(ae);case 168:return Lo(ae);case 169:return cs(ae);case 170:return Vs(ae);case 171:return vl(ae);case 172:return uu(ae);case 173:return ho(ae);case 174:return pa(ae);case 175:return du(ae);case 176:return As(ae);case 177:case 178:return La(ae);case 179:return _l(ae);case 180:return wf(ae);case 181:return lc(ae);case 182:return ko(ae);case 183:return nn(ae);case 184:return js(ae);case 185:return Rc(ae);case 186:return de(ae);case 187:return ee(ae);case 188:return we(ae);case 189:return un(ae);case 190:return vr(ae);case 192:return Ur(ae);case 193:return xi(ae);case 194:return Lr(ae);case 195:return $o(ae);case 196:return Tl(ae);case 233:return Ft(ae);case 197:return Hc();case 198:return Us(ae);case 199:return vc(ae);case 200:return Ss(ae);case 201:return qc(ae);case 202:return Er(ae);case 203:return op(ae);case 204:return Nl(ae);case 205:return Wc(ae);case 206:return cm(ae);case 207:return gd(ae);case 208:return Ce(ae);case 239:return Jt(ae);case 240:return ul();case 241:return rr(ae);case 243:return $r(ae);case 242:return io(!1);case 244:return Fa(ae);case 245:return za(ae);case 246:return fu(ae);case 247:return Dc(ae);case 248:return Rp(ae);case 249:return Hd(ae);case 250:return pb(ae);case 251:return km(ae);case 252:return wm(ae);case 253:return R1(ae);case 254:return mf(ae);case 255:return H_(ae);case 256:return _f(ae);case 257:return ev(ae);case 258:return ze(ae);case 259:return Ze(ae);case 260:return Mt(ae);case 261:return Ht(ae);case 262:return yn(ae);case 263:return Zo(ae);case 264:return Zu(ae);case 265:return mu(ae);case 266:return tv(ae);case 267:return j0(ae);case 268:return JI(ae);case 269:return U0(ae);case 270:return ZI(ae);case 271:return QD(ae);case 272:return eN(ae);case 273:return hT(ae);case 274:return D1(ae);case 280:return N1(ae);case 275:return KI(ae);case 276:return tN(ae);case 277:return XI(ae);case 278:return YI(ae);case 279:return C1(ae);case 281:return fb(ae);case 300:return QI(ae);case 301:return gT(ae);case 282:return;case 283:return H0(ae);case 12:return nv(ae);case 286:case 289:return q0(ae);case 287:case 290:return Ph(ae);case 291:return qd(ae);case 292:return Wf(ae);case 293:return Dp(ae);case 294:return rN(ae);case 295:return ex(ae);case 296:return J0(ae);case 297:return tx(ae);case 298:return ly(ae);case 299:return nx(ae);case 303:return a_(ae);case 304:return bT(ae);case 305:return Je(ae);case 306:return s_(ae);case 307:return Ai(ae);case 314:case 308:return Ni(ae);case 309:case 310:return ir(ae);case 311:return jr(ae);case 312:return ix(ae);case 313:return N.fail("Bundles should be printed using printBundle");case 315:return N.fail("InputFiles should not be printed");case 316:return rv(ae);case 317:return hb(ae);case 319:return hr("*");case 320:return hr("?");case 321:return pu(ae);case 322:return Ip(ae);case 323:return Ms(ae);case 324:return nu(ae);case 191:case 325:return Et(ae);case 326:return;case 327:return _u(ae);case 329:return ST(ae);case 330:return X0(ae);case 334:case 339:case 344:return ET(ae);case 335:case 336:return cy(ae);case 337:case 338:return;case 340:case 341:case 342:case 343:return;case 345:return Xa(ae);case 346:return l_(ae);case 348:case 355:return TT(ae);case 347:case 349:case 350:case 351:case 356:case 357:return K0(ae);case 352:return uy(ae);case 353:return P2(ae);case 354:return rx(ae);case 359:return}if(yt(ae)&&(D=1,s!==qP)){const on=s(D,ae)||ae;on!==ae&&(ae=on,ao&&(ae=ao(ae)))}}if(D===1)switch(ae.kind){case 9:case 10:return Bn(ae);case 11:case 14:case 15:return Hn(ae,!1);case 80:return Kr(ae);case 81:return xo(ae);case 209:return Wt(ae);case 210:return Jn(ae);case 211:return yo(ae);case 212:return na(ae);case 213:return Zl(ae);case 214:return yc(ae);case 215:return dl(ae);case 216:return yl(ae);case 217:return Vl(ae);case 218:return ec(ae);case 219:return Jc(ae);case 220:return jd(ae);case 221:return Cd(ae);case 222:return Of(ae);case 223:return U_(ae);case 224:return Ch(ae);case 225:return Bu(ae);case 226:return Ar(ae);case 227:return xp(ae);case 228:return _g(ae);case 229:return Ud(ae);case 230:return te(ae);case 231:return ct(ae);case 232:return;case 234:return jt(ae);case 235:return F(ae);case 233:return Ft(ae);case 238:return Te(ae);case 236:return st(ae);case 237:return N.fail("SyntheticExpression should never be printed.");case 282:return;case 284:return ts(ae);case 285:return vT(ae);case 288:return nN(ae);case 358:return N.fail("SyntaxList should not be printed");case 359:return;case 360:return ei(ae);case 361:return Mh(ae);case 362:return N.fail("SyntheticReferenceExpression should not be printed")}if(Bd(ae.kind))return w1(ae,to);if(nj(ae.kind))return w1(ae,hr);N.fail(`Unhandled SyntaxKind: ${N.formatSyntaxKind(ae.kind)}.`)}function ke(D){Ye(D.name),ur(),to("in"),ur(),Ye(D.constraint)}function Tt(D,ae){const ht=_e(1,D,ae);N.assertIsDefined(_i),ae=_i,_i=void 0,ht(D,ae)}function kt(D){let ae;if(M===0||e.noEmitHelpers)return;const ht=new Map;for(const on of D.sourceFiles){const Or=iw(on)!==void 0,ki=bn(on);if(ki)for(const gi of ki)!gi.scoped&&!Or&&!ht.get(gi.name)&&(ht.set(gi.name,!0),(ae||(ae=[])).push(gi.name))}return ae}function en(D){let ae=!1;const ht=D.kind===313?D:void 0;if(ht&&M===0)return;const on=ht?ht.prepends.length:0,Or=ht?ht.sourceFiles.length+on:1;for(let ki=0;ki<Or;ki++){const gi=ht?ki<on?ht.prepends[ki]:ht.sourceFiles[ki-on]:D,no=$i(gi)?gi:KS(gi)?void 0:O,va=e.noEmitHelpers||!!no&&lse(no),ed=($i(gi)||KS(gi))&&!Ee,Md=KS(gi)?gi.helpers:bn(gi);if(Md)for(const Bf of Md){if(Bf.scoped){if(ht)continue}else{if(va)continue;if(ed){if(C.get(Bf.name))continue;C.set(Bf.name,!0)}}const xb=Io();typeof Bf.text=="string"?NT(Bf.text):NT(Bf.text(lx)),De&&De.sections.push({pos:xb,end:ve.getTextPos(),kind:"emitHelpers",data:Bf.name}),ae=!0}}return ae}function bn(D){const ae=SW(D);return ae&&Dv(ae,Cae)}function Bn(D){Hn(D,!1)}function Hn(D,ae){const ht=MT(D,e.neverAsciiEscape,ae);(e.sourceMap||e.inlineSourceMap)&&(D.kind===11||wy(D.kind))?yb(ht):lN(ht)}function Ni(D){for(const ae of D.texts)Kc(),Ye(ae)}function Ai(D){ve.rawWrite(D.parent.text.substring(D.pos,D.end))}function ir(D){const ae=Io();Ai(D),De&&ma(ae,ve.getTextPos(),D.kind===309?"text":"internal")}function jr(D){const ae=Io();if(Ai(D),De){const ht=hG(D.section);ht.pos=ae,ht.end=ve.getTextPos(),De.sections.push(ht)}}function vo(D,ae,ht){switch(ht.kind){case 1:Ci(D,ae,ht);break;case 0:Ii(D,ae,ht);break}}function Ci(D,ae,ht){Y0(`\${${ht.order}:`),Ge(D,ae,!1),Y0("}")}function Ii(D,ae,ht){N.assert(ae.kind===242,`A tab stop cannot be attached to a node of kind ${N.formatSyntaxKind(ae.kind)}.`),N.assert(D!==5,"A tab stop cannot be attached to an embedded statement."),Y0(`$${ht.order}`)}function Kr(D){(D.symbol?k2:He)(Fc(D,!1),D.symbol),Zs(D,zS(D),53776)}function xo(D){He(Fc(D,!1))}function Dn(D){Fs(D.left),hr("."),Ye(D.right)}function Fs(D){D.kind===80?Vt(D):Ye(D)}function Ql(D){const ae=he,ht=Ne;Sb(),hr("["),Vt(D.expression,Mn.parenthesizeExpressionOfComputedPropertyName),hr("]"),Ff(ae,ht)}function Lo(D){gg(D,D.modifiers),Ye(D.name),D.constraint&&(ur(),to("extends"),ur(),Ye(D.constraint)),D.default&&(ur(),hf("="),ur(),Ye(D.default))}function cs(D){Up(D,D.modifiers,!0),Ye(D.dotDotDotToken),bl(D.name,py),Ye(D.questionToken),D.parent&&D.parent.kind===324&&!D.name?Ye(D.type):pm(D.type),vb(D.initializer,D.type?D.type.end:D.questionToken?D.questionToken.end:D.name?D.name.end:D.modifiers?D.modifiers.end:D.pos,D,Mn.parenthesizeExpressionForDisallowedComma)}function Vs(D){hr("@"),Vt(D.expression,Mn.parenthesizeLeftSideOfAccess)}function vl(D){gg(D,D.modifiers),bl(D.name,DT),Ye(D.questionToken),pm(D.type),ru()}function uu(D){Up(D,D.modifiers,!0),Ye(D.name),Ye(D.questionToken),Ye(D.exclamationToken),pm(D.type),vb(D.initializer,D.type?D.type.end:D.questionToken?D.questionToken.end:D.name.end,D),ru()}function ho(D){Au(D),gg(D,D.modifiers),Ye(D.name),Ye(D.questionToken),q_(D,D.typeParameters),dy(D,D.parameters),pm(D.type),ru(),sp(D)}function pa(D){Up(D,D.modifiers,!0),Ye(D.asteriskToken),Ye(D.name),Ye(D.questionToken),gn(D,$n)}function du(D){to("static"),cr(D.body)}function As(D){Up(D,D.modifiers,!1),to("constructor"),gn(D,$n)}function La(D){const ae=Up(D,D.modifiers,!0),ht=D.kind===177?139:153;Ui(ht,ae,to,D),ur(),Ye(D.name),gn(D,$n)}function _l(D){Au(D),q_(D,D.typeParameters),dy(D,D.parameters),pm(D.type),ru(),sp(D)}function wf(D){Au(D),to("new"),ur(),q_(D,D.typeParameters),dy(D,D.parameters),pm(D.type),ru(),sp(D)}function lc(D){Up(D,D.modifiers,!1),sN(D,D.parameters),pm(D.type),ru()}function Nl(D){Ye(D.type),Ye(D.literal)}function ul(){ru()}function ko(D){D.assertsModifier&&(Ye(D.assertsModifier),ur()),Ye(D.parameterName),D.type&&(ur(),to("is"),ur(),Ye(D.type))}function nn(D){Ye(D.typeName),yg(D,D.typeArguments)}function js(D){Au(D),q_(D,D.typeParameters),aN(D,D.parameters),ur(),hr("=>"),ur(),Ye(D.type),sp(D)}function nu(D){to("function"),dy(D,D.parameters),hr(":"),Ye(D.type)}function pu(D){hr("?"),Ye(D.type)}function Ip(D){hr("!"),Ye(D.type)}function Ms(D){Ye(D.type),hr("=")}function Rc(D){Au(D),gg(D,D.modifiers),to("new"),ur(),q_(D,D.typeParameters),dy(D,D.parameters),ur(),hr("=>"),ur(),Ye(D.type),sp(D)}function de(D){to("typeof"),ur(),Ye(D.exprName),yg(D,D.typeArguments)}function ee(D){Ff(0,void 0),hr("{");const ae=ja(D)&1?768:32897;Zs(D,D.members,ae|524288),hr("}"),Sb()}function we(D){Ye(D.elementType,Mn.parenthesizeNonArrayTypeOfPostfixType),hr("["),hr("]")}function Et(D){hr("..."),Ye(D.type)}function un(D){Ui(23,D.pos,hr,D);const ae=ja(D)&1?528:657;Zs(D,D.elements,ae|524288,Mn.parenthesizeElementTypeOfTupleType),Ui(24,D.elements.end,hr,D)}function Er(D){Ye(D.dotDotDotToken),Ye(D.name),Ye(D.questionToken),Ui(59,D.name.end,hr,D),ur(),Ye(D.type)}function vr(D){Ye(D.type,Mn.parenthesizeTypeOfOptionalType),hr("?")}function Ur(D){Zs(D,D.types,516,Mn.parenthesizeConstituentTypeOfUnionType)}function xi(D){Zs(D,D.types,520,Mn.parenthesizeConstituentTypeOfIntersectionType)}function Lr(D){Ye(D.checkType,Mn.parenthesizeCheckTypeOfConditionalType),ur(),to("extends"),ur(),Ye(D.extendsType,Mn.parenthesizeExtendsTypeOfConditionalType),ur(),hr("?"),ur(),Ye(D.trueType),ur(),hr(":"),ur(),Ye(D.falseType)}function $o(D){to("infer"),ur(),Ye(D.typeParameter)}function Tl(D){hr("("),Ye(D.type),hr(")")}function Hc(){to("this")}function Us(D){bb(D.operator,to),ur();const ae=D.operator===148?Mn.parenthesizeOperandOfReadonlyTypeOperator:Mn.parenthesizeOperandOfTypeOperator;Ye(D.type,ae)}function vc(D){Ye(D.objectType,Mn.parenthesizeNonArrayTypeOfPostfixType),hr("["),Ye(D.indexType),hr("]")}function Ss(D){const ae=ja(D);hr("{"),ae&1?ur():(Kc(),Lh()),D.readonlyToken&&(Ye(D.readonlyToken),D.readonlyToken.kind!==148&&to("readonly"),ur()),hr("["),q(3,D.typeParameter),D.nameType&&(ur(),to("as"),ur(),Ye(D.nameType)),hr("]"),D.questionToken&&(Ye(D.questionToken),D.questionToken.kind!==58&&hr("?")),hr(":"),ur(),Ye(D.type),ru(),ae&1?ur():(Kc(),zm()),Zs(D,D.members,2),hr("}")}function qc(D){Vt(D.literal)}function op(D){Ye(D.head),Zs(D,D.templateSpans,262144)}function Wc(D){D.isTypeOf&&(to("typeof"),ur()),to("import"),hr("("),Ye(D.argument),D.attributes&&(hr(","),ur(),q(7,D.attributes)),hr(")"),D.qualifier&&(hr("."),Ye(D.qualifier)),yg(D,D.typeArguments)}function cm(D){hr("{"),Zs(D,D.elements,525136),hr("}")}function gd(D){hr("["),Zs(D,D.elements,524880),hr("]")}function Ce(D){Ye(D.dotDotDotToken),D.propertyName&&(Ye(D.propertyName),hr(":"),ur()),Ye(D.name),vb(D.initializer,D.name.end,D,Mn.parenthesizeExpressionForDisallowedComma)}function Wt(D){const ae=D.elements,ht=D.multiLine?65536:0;L1(D,ae,8914|ht,Mn.parenthesizeExpressionForDisallowedComma)}function Jn(D){Ff(0,void 0),Ue(D.properties,sx);const ae=ja(D)&131072;ae&&Lh();const ht=D.multiLine?65536:0,on=O&&O.languageVersion>=1&&!Qf(O)?64:0;Zs(D,D.properties,526226|on|ht),ae&&zm(),Sb()}function yo(D){Vt(D.expression,Mn.parenthesizeLeftSideOfAccess);const ae=D.questionDotToken||vh(w.createToken(25),D.expression.end,D.name.pos),ht=Hp(D,D.expression,ae),on=Hp(D,ae,D.name);Bm(ht,!1),ae.kind!==29&&Qo(D.expression)&&!ve.hasTrailingComment()&&!ve.hasTrailingWhitespace()&&hr("."),D.questionDotToken?Ye(ae):Ui(ae.kind,D.expression.end,hr,D),Bm(on,!1),Ye(D.name),$0(ht,on)}function Qo(D){if(D=Tm(D),vp(D)){const ae=MT(D,!0,!1);return!(D.numericLiteralFlags&448)&&!ae.includes(fa(25))&&!ae.includes("E")&&!ae.includes("e")}else if(ws(D)){const ae=Sae(D);return typeof ae=="number"&&isFinite(ae)&&ae>=0&&Math.floor(ae)===ae}}function na(D){Vt(D.expression,Mn.parenthesizeLeftSideOfAccess),Ye(D.questionDotToken),Ui(23,D.expression.end,hr,D),Vt(D.argumentExpression),Ui(24,D.argumentExpression.end,hr,D)}function Zl(D){const ae=Am(D)&16;ae&&(hr("("),yb("0"),hr(","),ur()),Vt(D.expression,Mn.parenthesizeLeftSideOfAccess),ae&&hr(")"),Ye(D.questionDotToken),yg(D,D.typeArguments),L1(D,D.arguments,2576,Mn.parenthesizeExpressionForDisallowedComma)}function yc(D){Ui(105,D.pos,to,D),ur(),Vt(D.expression,Mn.parenthesizeExpressionOfNew),yg(D,D.typeArguments),L1(D,D.arguments,18960,Mn.parenthesizeExpressionForDisallowedComma)}function dl(D){const ae=Am(D)&16;ae&&(hr("("),yb("0"),hr(","),ur()),Vt(D.tag,Mn.parenthesizeLeftSideOfAccess),ae&&hr(")"),yg(D,D.typeArguments),ur(),Vt(D.template)}function yl(D){hr("<"),Ye(D.type),hr(">"),Vt(D.expression,Mn.parenthesizeOperandOfPrefixUnary)}function Vl(D){const ae=Ui(21,D.pos,hr,D),ht=cN(D.expression,D);Vt(D.expression,void 0),O1(D.expression,D),$0(ht),Ui(22,D.expression?D.expression.end:ae,hr,D)}function ec(D){qp(D.name),Yn(D)}function Jc(D){gg(D,D.modifiers),gn(D,ff)}function ff(D){q_(D,D.typeParameters),aN(D,D.parameters),pm(D.type),ur(),Ye(D.equalsGreaterThanToken)}function jd(D){Ui(91,D.pos,to,D),ur(),Vt(D.expression,Mn.parenthesizeOperandOfPrefixUnary)}function Cd(D){Ui(114,D.pos,to,D),ur(),Vt(D.expression,Mn.parenthesizeOperandOfPrefixUnary)}function Of(D){Ui(116,D.pos,to,D),ur(),Vt(D.expression,Mn.parenthesizeOperandOfPrefixUnary)}function U_(D){Ui(135,D.pos,to,D),ur(),Vt(D.expression,Mn.parenthesizeOperandOfPrefixUnary)}function Ch(D){bb(D.operator,hf),$g(D)&&ur(),Vt(D.operand,Mn.parenthesizeOperandOfPrefixUnary)}function $g(D){const ae=D.operand;return ae.kind===224&&(D.operator===40&&(ae.operator===40||ae.operator===46)||D.operator===41&&(ae.operator===41||ae.operator===47))}function Bu(D){Vt(D.operand,Mn.parenthesizeOperandOfPostfixUnary),bb(D.operator,hf)}function Qg(){return yF(D,ae,ht,on,Or,void 0);function D(gi,no){if(no){no.stackIndex++,no.preserveSourceNewlinesStack[no.stackIndex]=pe,no.containerPosStack[no.stackIndex]=tn,no.containerEndStack[no.stackIndex]=rn,no.declarationListContainerEndStack[no.stackIndex]=Pn;const va=no.shouldEmitCommentsStack[no.stackIndex]=Oe(gi),ed=no.shouldEmitSourceMapsStack[no.stackIndex]=Lt(gi);l==null||l(gi),va&&OT(gi),ed&&px(gi),fn(gi)}else no={stackIndex:0,preserveSourceNewlinesStack:[void 0],containerPosStack:[-1],containerEndStack:[-1],declarationListContainerEndStack:[-1],shouldEmitCommentsStack:[!1],shouldEmitSourceMapsStack:[!1]};return no}function ae(gi,no,va){return ki(gi,va,"left")}function ht(gi,no,va){const ed=gi.kind!==28,Md=Hp(va,va.left,gi),Bf=Hp(va,gi,va.right);Bm(Md,ed),Eg(gi.pos),w1(gi,gi.kind===103?to:hf),Gm(gi.end,!0),Bm(Bf,!0)}function on(gi,no,va){return ki(gi,va,"right")}function Or(gi,no){const va=Hp(gi,gi.left,gi.operatorToken),ed=Hp(gi,gi.operatorToken,gi.right);if($0(va,ed),no.stackIndex>0){const Md=no.preserveSourceNewlinesStack[no.stackIndex],Bf=no.containerPosStack[no.stackIndex],xb=no.containerEndStack[no.stackIndex],bi=no.declarationListContainerEndStack[no.stackIndex],Oh=no.shouldEmitCommentsStack[no.stackIndex],Un=no.shouldEmitSourceMapsStack[no.stackIndex];_n(Md),Un&&zT(gi),Oh&&fN(gi,Bf,xb,bi),u==null||u(gi),no.stackIndex--}}function ki(gi,no,va){const ed=va==="left"?Mn.getParenthesizeLeftSideOfBinaryForOperator(no.operatorToken.kind):Mn.getParenthesizeRightSideOfBinaryForOperator(no.operatorToken.kind);let Md=L(0,1,gi);if(Md===Tt&&(N.assertIsDefined(_i),gi=ed(aa(_i,yt)),Md=_e(1,1,gi),_i=void 0),(Md===pN||Md===nE||Md===me)&&mr(gi))return gi;ao=ed,Md(1,gi)}}function xp(D){const ae=Hp(D,D.condition,D.questionToken),ht=Hp(D,D.questionToken,D.whenTrue),on=Hp(D,D.whenTrue,D.colonToken),Or=Hp(D,D.colonToken,D.whenFalse);Vt(D.condition,Mn.parenthesizeConditionOfConditionalExpression),Bm(ae,!0),Ye(D.questionToken),Bm(ht,!0),Vt(D.whenTrue,Mn.parenthesizeBranchOfConditionalExpression),$0(ae,ht),Bm(on,!0),Ye(D.colonToken),Bm(Or,!0),Vt(D.whenFalse,Mn.parenthesizeBranchOfConditionalExpression),$0(on,Or)}function _g(D){Ye(D.head),Zs(D,D.templateSpans,262144)}function Ud(D){Ui(127,D.pos,to,D),Ye(D.asteriskToken),M1(D.expression&&Om(D.expression),x1)}function te(D){Ui(26,D.pos,hr,D),Vt(D.expression,Mn.parenthesizeExpressionForDisallowedComma)}function ct(D){qp(D.name),Ka(D)}function Ft(D){Vt(D.expression,Mn.parenthesizeLeftSideOfAccess),yg(D,D.typeArguments)}function jt(D){Vt(D.expression,void 0),D.type&&(ur(),to("as"),ur(),Ye(D.type))}function F(D){Vt(D.expression,Mn.parenthesizeLeftSideOfAccess),hf("!")}function Te(D){Vt(D.expression,void 0),D.type&&(ur(),to("satisfies"),ur(),Ye(D.type))}function st(D){k1(D.keywordToken,D.pos,hr),hr("."),Ye(D.name)}function Jt(D){Vt(D.expression),Ye(D.literal)}function rr(D){oi(D,!D.multiLine&&PT(D))}function oi(D,ae){Ui(19,D.pos,hr,D);const ht=ae||ja(D)&1?768:129;Zs(D,D.statements,ht),Ui(20,D.statements.end,hr,D,!!(ht&1))}function $r(D){Up(D,D.modifiers,!1),Ye(D.declarationList),ru()}function io(D){D?hr(";"):ru()}function Fa(D){Vt(D.expression,Mn.parenthesizeExpressionOfExpressionStatement),(!O||!Qf(O)||$s(D.expression))&&ru()}function za(D){const ae=Ui(101,D.pos,to,D);ur(),Ui(21,ae,hr,D),Vt(D.expression),Ui(22,D.expression.end,hr,D),vg(D,D.thenStatement),D.elseStatement&&(av(D,D.thenStatement,D.elseStatement),Ui(93,D.thenStatement.end,to,D),D.elseStatement.kind===245?(ur(),Ye(D.elseStatement)):vg(D,D.elseStatement))}function Ol(D,ae){const ht=Ui(117,ae,to,D);ur(),Ui(21,ht,hr,D),Vt(D.expression),Ui(22,D.expression.end,hr,D)}function fu(D){Ui(92,D.pos,to,D),vg(D,D.statement),Xo(D.statement)&&!pe?ur():av(D,D.statement,D.expression),Ol(D,D.statement.end),ru()}function Dc(D){Ol(D,D.pos),vg(D,D.statement)}function Rp(D){const ae=Ui(99,D.pos,to,D);ur();let ht=Ui(21,ae,hr,D);us(D.initializer),ht=Ui(27,D.initializer?D.initializer.end:ht,hr,D),M1(D.condition),ht=Ui(27,D.condition?D.condition.end:ht,hr,D),M1(D.incrementor),Ui(22,D.incrementor?D.incrementor.end:ht,hr,D),vg(D,D.statement)}function Hd(D){const ae=Ui(99,D.pos,to,D);ur(),Ui(21,ae,hr,D),us(D.initializer),ur(),Ui(103,D.initializer.end,to,D),ur(),Vt(D.expression),Ui(22,D.expression.end,hr,D),vg(D,D.statement)}function pb(D){const ae=Ui(99,D.pos,to,D);ur(),AT(D.awaitModifier),Ui(21,ae,hr,D),us(D.initializer),ur(),Ui(165,D.initializer.end,to,D),ur(),Vt(D.expression),Ui(22,D.expression.end,hr,D),vg(D,D.statement)}function us(D){D!==void 0&&(D.kind===261?Ye(D):Vt(D))}function km(D){Ui(88,D.pos,to,D),ov(D.label),ru()}function wm(D){Ui(83,D.pos,to,D),ov(D.label),ru()}function Ui(D,ae,ht,on,Or){const ki=Do(on),gi=ki&&ki.kind===on.kind,no=ae;if(gi&&O&&(ae=ka(O.text,ae)),gi&&on.pos!==no){const va=Or&&O&&!Rf(no,ae,O);va&&Lh(),Eg(no),va&&zm()}if(!I&&(D===19||D===20)?ae=k1(D,ae,ht,on):ae=bb(D,ht,ae),gi&&on.end!==ae){const va=on.kind===294;Gm(ae,!va,va)}return ae}function Zg(D){return D.kind===2||!!D.hasTrailingNewLine}function ay(D){if(!O)return!1;const ae=Yh(O.text,D.pos);if(ae){const ht=Do(D);if(ht&&Gd(ht.parent))return!0}return bt(ae,Zg)||bt(jR(D),Zg)?!0:oF(D)?D.pos!==D.expression.pos&&bt(a0(O.text,D.expression.pos),Zg)?!0:ay(D.expression):!1}function Om(D){if(!$t&&oF(D)&&ay(D)){const ae=Do(D);if(ae&&Gd(ae)){const ht=w.createParenthesizedExpression(D.expression);return Cr(ht,D),dt(ht,ae),ht}return w.createParenthesizedExpression(D)}return D}function x1(D){return Om(Mn.parenthesizeExpressionForDisallowedComma(D))}function R1(D){Ui(107,D.pos,to,D),M1(D.expression&&Om(D.expression),Om),ru()}function mf(D){const ae=Ui(118,D.pos,to,D);ur(),Ui(21,ae,hr,D),Vt(D.expression),Ui(22,D.expression.end,hr,D),vg(D,D.statement)}function H_(D){const ae=Ui(109,D.pos,to,D);ur(),Ui(21,ae,hr,D),Vt(D.expression),Ui(22,D.expression.end,hr,D),ur(),Ye(D.caseBlock)}function _f(D){Ye(D.label),Ui(59,D.label.end,hr,D),ur(),Ye(D.statement)}function ev(D){Ui(111,D.pos,to,D),M1(Om(D.expression),Om),ru()}function ze(D){Ui(113,D.pos,to,D),ur(),Ye(D.tryBlock),D.catchClause&&(av(D,D.tryBlock,D.catchClause),Ye(D.catchClause)),D.finallyBlock&&(av(D,D.catchClause||D.tryBlock,D.finallyBlock),Ui(98,(D.catchClause||D.tryBlock).end,to,D),ur(),Ye(D.finallyBlock))}function Ze(D){k1(89,D.pos,to),ru()}function Mt(D){var ae,ht,on;Ye(D.name),Ye(D.exclamationToken),pm(D.type),vb(D.initializer,((ae=D.type)==null?void 0:ae.end)??((on=(ht=D.name.emitNode)==null?void 0:ht.typeNode)==null?void 0:on.end)??D.name.end,D,Mn.parenthesizeExpressionForDisallowedComma)}function Ht(D){if(LL(D))to("await"),ur(),to("using");else{const ae=X4(D)?"let":uR(D)?"const":kL(D)?"using":"var";to(ae)}ur(),Zs(D,D.declarations,528)}function yn(D){Yn(D)}function Yn(D){Up(D,D.modifiers,!1),to("function"),Ye(D.asteriskToken),ur(),_t(D.name),gn(D,$n)}function gn(D,ae){const ht=D.body;if(ht)if(Xo(ht)){const on=ja(D)&131072;on&&Lh(),Au(D),Ue(D.parameters,iu),iu(D.body),ae(D),cr(ht),sp(D),on&&zm()}else ae(D),ur(),Vt(ht,Mn.parenthesizeConciseBodyOfArrowFunction);else ae(D),ru()}function $n(D){q_(D,D.typeParameters),dy(D,D.parameters),pm(D.type)}function fi(D){if(ja(D)&1)return!0;if(D.multiLine||!$s(D)&&O&&!OS(D,O)||bg(D,Xc(D.statements),2)||ax(D,tl(D.statements),2,D.statements))return!1;let ae;for(const ht of D.statements){if(Eb(ae,ht,2)>0)return!1;ae=ht}return!0}function cr(D){l==null||l(D),ur(),hr("{"),Lh();const ae=fi(D)?ri:fr;Ir(D,D.statements,ae),zm(),k1(20,D.statements.end,hr,D),u==null||u(D)}function ri(D){fr(D,!0)}function fr(D,ae){const ht=Jd(D.statements),on=ve.getTextPos();en(D),ht===0&&on===ve.getTextPos()&&ae?(zm(),Zs(D,D.statements,768),Lh()):Zs(D,D.statements,1,void 0,ht)}function Zo(D){Ka(D)}function Ka(D){Ff(0,void 0),Ue(D.members,sx),Up(D,D.modifiers,!0),Ui(86,L_(D).pos,to,D),D.name&&(ur(),_t(D.name));const ae=ja(D)&131072;ae&&Lh(),q_(D,D.typeParameters),Zs(D,D.heritageClauses,0),ur(),hr("{"),Zs(D,D.members,129),hr("}"),ae&&zm(),Sb()}function Zu(D){Ff(0,void 0),Up(D,D.modifiers,!1),to("interface"),ur(),Ye(D.name),q_(D,D.typeParameters),Zs(D,D.heritageClauses,512),ur(),hr("{"),Zs(D,D.members,129),hr("}"),Sb()}function mu(D){Up(D,D.modifiers,!1),to("type"),ur(),Ye(D.name),q_(D,D.typeParameters),ur(),hr("="),ur(),Ye(D.type),ru()}function tv(D){Up(D,D.modifiers,!1),to("enum"),ur(),Ye(D.name),ur(),hr("{"),Zs(D,D.members,145),hr("}")}function j0(D){Up(D,D.modifiers,!1),~D.flags&2048&&(to(D.flags&32?"namespace":"module"),ur()),Ye(D.name);let ae=D.body;if(!ae)return ru();for(;ae&&Xl(ae);)hr("."),Ye(ae.name),ae=ae.body;ur(),Ye(ae)}function JI(D){Au(D),Ue(D.statements,iu),oi(D,PT(D)),sp(D)}function U0(D){Ui(19,D.pos,hr,D),Zs(D,D.clauses,129),Ui(20,D.clauses.end,hr,D,!0)}function QD(D){Up(D,D.modifiers,!1),Ui(102,D.modifiers?D.modifiers.end:D.pos,to,D),ur(),D.isTypeOnly&&(Ui(156,D.pos,to,D),ur()),Ye(D.name),ur(),Ui(64,D.name.end,hr,D),ur(),ZD(D.moduleReference),ru()}function ZD(D){D.kind===80?Vt(D):Ye(D)}function eN(D){Up(D,D.modifiers,!1),Ui(102,D.modifiers?D.modifiers.end:D.pos,to,D),ur(),D.importClause&&(Ye(D.importClause),ur(),Ui(161,D.importClause.end,to,D),ur()),Vt(D.moduleSpecifier),D.attributes&&ov(D.attributes),ru()}function hT(D){D.isTypeOnly&&(Ui(156,D.pos,to,D),ur()),Ye(D.name),D.name&&D.namedBindings&&(Ui(28,D.name.end,hr,D),ur()),Ye(D.namedBindings)}function D1(D){const ae=Ui(42,D.pos,hr,D);ur(),Ui(130,ae,to,D),ur(),Ye(D.name)}function KI(D){mb(D)}function tN(D){hg(D)}function XI(D){const ae=Ui(95,D.pos,to,D);ur(),D.isExportEquals?Ui(64,ae,hf,D):Ui(90,ae,to,D),ur(),Vt(D.expression,D.isExportEquals?Mn.getParenthesizeRightSideOfBinaryForOperator(64):Mn.parenthesizeExpressionOfExportDefault),ru()}function YI(D){Up(D,D.modifiers,!1);let ae=Ui(95,D.pos,to,D);if(ur(),D.isTypeOnly&&(ae=Ui(156,ae,to,D),ur()),D.exportClause?Ye(D.exportClause):ae=Ui(42,ae,hr,D),D.moduleSpecifier){ur();const ht=D.exportClause?D.exportClause.end:ae;Ui(161,ht,to,D),ur(),Vt(D.moduleSpecifier)}D.attributes&&ov(D.attributes),ru()}function $I(D){hr("{"),ur(),to(D.token===132?"assert":"with"),hr(":"),ur();const ae=D.elements;Zs(D,ae,526226),ur(),hr("}")}function QI(D){Ui(D.token,D.pos,to,D),ur();const ae=D.elements;Zs(D,ae,526226)}function gT(D){Ye(D.name),hr(":"),ur();const ae=D.value;if(!(ja(ae)&1024)){const ht=w_(ae);Gm(ht.pos)}Ye(ae)}function ZI(D){let ae=Ui(95,D.pos,to,D);ur(),ae=Ui(130,ae,to,D),ur(),ae=Ui(145,ae,to,D),ur(),Ye(D.name),ru()}function N1(D){const ae=Ui(42,D.pos,hr,D);ur(),Ui(130,ae,to,D),ur(),Ye(D.name)}function C1(D){mb(D)}function fb(D){hg(D)}function mb(D){hr("{"),Zs(D,D.elements,525136),hr("}")}function hg(D){D.isTypeOnly&&(to("type"),ur()),D.propertyName&&(Ye(D.propertyName),ur(),Ui(130,D.propertyName.end,to,D),ur()),Ye(D.name)}function H0(D){to("require"),hr("("),Vt(D.expression),hr(")")}function ts(D){Ye(D.openingElement),Zs(D,D.children,262144),Ye(D.closingElement)}function vT(D){hr("<"),_b(D.tagName),yg(D,D.typeArguments),ur(),Ye(D.attributes),hr("/>")}function nN(D){Ye(D.openingFragment),Zs(D,D.children,262144),Ye(D.closingFragment)}function q0(D){if(hr("<"),W_(D)){const ae=cN(D.tagName,D);_b(D.tagName),yg(D,D.typeArguments),D.attributes.properties&&D.attributes.properties.length>0&&ur(),Ye(D.attributes),O1(D.attributes,D),$0(ae)}hr(">")}function nv(D){ve.writeLiteral(D.text)}function Ph(D){hr("</"),a1(D)&&_b(D.tagName),hr(">")}function Wf(D){Zs(D,D.properties,262656)}function qd(D){Ye(D.name),Wm("=",hr,D.initializer,vn)}function Dp(D){hr("{..."),Vt(D.expression),hr("}")}function ap(D){let ae=!1;return iL((O==null?void 0:O.text)||"",D+1,()=>ae=!0),ae}function sy(D){let ae=!1;return rL((O==null?void 0:O.text)||"",D+1,()=>ae=!0),ae}function yT(D){return ap(D)||sy(D)}function rN(D){var ae;if(D.expression||!$t&&!$s(D)&&yT(D.pos)){const ht=O&&!$s(D)&&bs(O,D.pos).line!==bs(O,D.end).line;ht&&ve.increaseIndent();const on=Ui(19,D.pos,hr,D);Ye(D.dotDotDotToken),Vt(D.expression),Ui(20,((ae=D.expression)==null?void 0:ae.end)||on,hr,D),ht&&ve.decreaseIndent()}}function ex(D){_t(D.namespace),hr(":"),_t(D.name)}function _b(D){D.kind===80?Vt(D):Ye(D)}function J0(D){Ui(84,D.pos,to,D),ur(),Vt(D.expression,Mn.parenthesizeExpressionForDisallowedComma),bc(D,D.statements,D.expression.end)}function tx(D){const ae=Ui(90,D.pos,to,D);bc(D,D.statements,ae)}function bc(D,ae,ht){const on=ae.length===1&&(!O||$s(D)||$s(ae[0])||W3(D,ae[0],O));let Or=163969;on?(k1(59,ht,hr,D),ur(),Or&=-130):Ui(59,ht,hr,D),Zs(D,ae,Or)}function ly(D){ur(),bb(D.token,to),ur(),Zs(D,D.types,528)}function nx(D){const ae=Ui(85,D.pos,to,D);ur(),D.variableDeclaration&&(Ui(21,ae,hr,D),Ye(D.variableDeclaration),Ui(22,D.variableDeclaration.end,hr,D),ur()),Ye(D.block)}function a_(D){Ye(D.name),hr(":"),ur();const ae=D.initializer;if(!(ja(ae)&1024)){const ht=w_(ae);Gm(ht.pos)}Vt(ae,Mn.parenthesizeExpressionForDisallowedComma)}function bT(D){Ye(D.name),D.objectAssignmentInitializer&&(ur(),hr("="),ur(),Vt(D.objectAssignmentInitializer,Mn.parenthesizeExpressionForDisallowedComma))}function Je(D){D.expression&&(Ui(26,D.pos,hr,D),Vt(D.expression,Mn.parenthesizeExpressionForDisallowedComma))}function s_(D){Ye(D.name),vb(D.initializer,D.name.end,D,Mn.parenthesizeExpressionForDisallowedComma)}function _u(D){if(He("/**"),D.comment){const ae=fL(D.comment);if(ae){const ht=ae.split(/\r\n?|\n/g);for(const on of ht)Kc(),ur(),hr("*"),ur(),He(on)}}D.tags&&(D.tags.length===1&&D.tags[0].kind===351&&!D.comment?(ur(),Ye(D.tags[0])):Zs(D,D.tags,33)),ur(),He("*/")}function K0(D){um(D.tagName),rv(D.typeExpression),dm(D.comment)}function rx(D){um(D.tagName),Ye(D.name),dm(D.comment)}function hb(D){ur(),hr("{"),Ye(D.name),hr("}")}function cy(D){um(D.tagName),ur(),hr("{"),Ye(D.class),hr("}"),dm(D.comment)}function uy(D){um(D.tagName),rv(D.constraint),ur(),Zs(D,D.typeParameters,528),dm(D.comment)}function P2(D){um(D.tagName),D.typeExpression&&(D.typeExpression.kind===316?rv(D.typeExpression):(ur(),hr("{"),He("Object"),D.typeExpression.isArrayType&&(hr("["),hr("]")),hr("}"))),D.fullName&&(ur(),Ye(D.fullName)),dm(D.comment),D.typeExpression&&D.typeExpression.kind===329&&ST(D.typeExpression)}function Xa(D){um(D.tagName),D.name&&(ur(),Ye(D.name)),dm(D.comment),X0(D.typeExpression)}function l_(D){dm(D.comment),X0(D.typeExpression)}function ET(D){um(D.tagName),dm(D.comment)}function ST(D){Zs(D,w.createNodeArray(D.jsDocPropertyTags),33)}function X0(D){D.typeParameters&&Zs(D,w.createNodeArray(D.typeParameters),33),D.parameters&&Zs(D,w.createNodeArray(D.parameters),33),D.type&&(Kc(),ur(),hr("*"),ur(),Ye(D.type))}function TT(D){um(D.tagName),rv(D.typeExpression),ur(),D.isBracketed&&hr("["),Ye(D.name),D.isBracketed&&hr("]"),dm(D.comment)}function um(D){hr("@"),Ye(D)}function dm(D){const ae=fL(D);ae&&(ur(),He(ae))}function rv(D){D&&(ur(),hr("{"),Ye(D.type),hr("}"))}function ix(D){Kc();const ae=D.statements;if(ae.length===0||!Im(ae[0])||$s(ae[0])){Ir(D,ae,Xi);return}Xi(D)}function c_(D){P1(!!D.hasNoDefaultLib,D.syntheticFileReferences||[],D.syntheticTypeReferences||[],D.syntheticLibReferences||[]);for(const ae of D.prepends)if(KS(ae)&&ae.syntheticReferences)for(const ht of ae.syntheticReferences)Ye(ht),Kc()}function iN(D){D.isDeclarationFile&&P1(D.hasNoDefaultLib,D.referencedFiles,D.typeReferenceDirectives,D.libReferenceDirectives)}function P1(D,ae,ht,on){if(D){const Or=ve.getTextPos();J_('/// <reference no-default-lib="true"/>'),De&&De.sections.push({pos:Or,end:ve.getTextPos(),kind:"no-default-lib"}),Kc()}if(O&&O.moduleName&&(J_(`/// <amd-module name="${O.moduleName}" />`),Kc()),O&&O.amdDependencies)for(const Or of O.amdDependencies)Or.name?J_(`/// <amd-dependency name="${Or.name}" path="${Or.path}" />`):J_(`/// <amd-dependency path="${Or.path}" />`),Kc();for(const Or of ae){const ki=ve.getTextPos();J_(`/// <reference path="${Or.fileName}" />`),De&&De.sections.push({pos:ki,end:ve.getTextPos(),kind:"reference",data:Or.fileName}),Kc()}for(const Or of ht){const ki=ve.getTextPos(),gi=Or.resolutionMode&&Or.resolutionMode!==(O==null?void 0:O.impliedNodeFormat)?`resolution-mode="${Or.resolutionMode===99?"import":"require"}"`:"";J_(`/// <reference types="${Or.fileName}" ${gi}/>`),De&&De.sections.push({pos:ki,end:ve.getTextPos(),kind:Or.resolutionMode?Or.resolutionMode===99?"type-import":"type-require":"type",data:Or.fileName}),Kc()}for(const Or of on){const ki=ve.getTextPos();J_(`/// <reference lib="${Or.fileName}" />`),De&&De.sections.push({pos:ki,end:ve.getTextPos(),kind:"lib",data:Or.fileName}),Kc()}}function Xi(D){const ae=D.statements;Au(D),Ue(D.statements,iu),en(D);const ht=Gr(ae,on=>!Im(on));iN(D),Zs(D,ae,1,void 0,ht===-1?ae.length:ht),sp(D)}function ei(D){const ae=ja(D);!(ae&1024)&&D.pos!==D.expression.pos&&Gm(D.expression.pos),Vt(D.expression),!(ae&2048)&&D.end!==D.expression.end&&Eg(D.expression.end)}function Mh(D){L1(D,D.elements,528,void 0)}function Jd(D,ae,ht,on){let Or=!!ae;for(let ki=0;ki<D.length;ki++){const gi=D[ki];if(Im(gi)){if(ht?!ht.has(gi.expression.text):!0){Or&&(Or=!1,pt(ae)),Kc();const va=ve.getTextPos();Ye(gi),on&&De&&De.sections.push({pos:va,end:ve.getTextPos(),kind:"prologue",data:gi.expression.text}),ht&&ht.add(gi.expression.text)}}else return ki}return D.length}function M2(D,ae){for(const ht of D)if(!ae.has(ht.data)){Kc();const on=ve.getTextPos();Ye(ht),De&&De.sections.push({pos:on,end:ve.getTextPos(),kind:"prologue",data:ht.data}),ae&&ae.add(ht.data)}}function iv(D){if($i(D))Jd(D.statements,D);else{const ae=new Set;for(const ht of D.prepends)M2(ht.prologues,ae);for(const ht of D.sourceFiles)Jd(ht.statements,ht,ae,!0);pt(void 0)}}function L2(D){const ae=new Set;let ht;for(let on=0;on<D.sourceFiles.length;on++){const Or=D.sourceFiles[on];let ki,gi=0;for(const no of Or.statements){if(!Im(no))break;ae.has(no.expression.text)||(ae.add(no.expression.text),(ki||(ki=[])).push({pos:no.pos,end:no.end,expression:{pos:no.expression.pos,end:no.expression.end,text:no.expression.text}}),gi=gi<no.end?no.end:gi)}ki&&(ht||(ht=[])).push({file:on,text:Or.text.substring(0,gi),directives:ki})}return ht}function gb(D){if($i(D)||KS(D)){const ae=h4(D.text);if(ae)return J_(ae),Kc(),!0}else{for(const ae of D.prepends)if(N.assertNode(ae,KS),gb(ae))return!0;for(const ae of D.sourceFiles)if(gb(ae))return!0}}function bl(D,ae){if(!D)return;const ht=He;He=ae,Ye(D),He=ht}function Up(D,ae,ht){if(ae!=null&&ae.length){if(Zn(ae,xa))return gg(D,ae);if(Zn(ae,Eu))return ht?ox(D,ae):D.pos;p==null||p(ae);let on,Or,ki=0,gi=0,no;for(;ki<ae.length;){for(;gi<ae.length;){if(no=ae[gi],Or=Eu(no)?"decorators":"modifiers",on===void 0)on=Or;else if(Or!==on)break;gi++}const va={pos:-1,end:-1};ki===0&&(va.pos=ae.pos),gi===ae.length-1&&(va.end=ae.end),(on==="modifiers"||ht)&&Fm(Ye,D,ae,on==="modifiers"?2359808:2146305,void 0,ki,gi-ki,!1,va),ki=gi,on=Or,gi++}if(h==null||h(ae),no&&!Zm(no.end))return no.end}return D.pos}function gg(D,ae){Zs(D,ae,2359808);const ht=tl(ae);return ht&&!Zm(ht.end)?ht.end:D.pos}function pm(D){D&&(hr(":"),ur(),Ye(D))}function vb(D,ae,ht,on){D&&(ur(),Ui(64,ae,hf,ht),ur(),Vt(D,on))}function Wm(D,ae,ht,on){ht&&(ae(D),on(ht))}function ov(D){D&&(ur(),Ye(D))}function M1(D,ae){D&&(ur(),Vt(D,ae))}function AT(D){D&&(Ye(D),ur())}function vg(D,ae){Xo(ae)||ja(D)&1||pe&&!bg(D,ae,0)?(ur(),Ye(ae)):(Kc(),Lh(),LH(ae)?q(5,ae):Ye(ae),zm())}function ox(D,ae){Zs(D,ae,2146305);const ht=tl(ae);return ht&&!Zm(ht.end)?ht.end:D.pos}function yg(D,ae){Zs(D,ae,53776,Di)}function q_(D,ae){if(ea(D)&&D.typeArguments)return yg(D,D.typeArguments);Zs(D,ae,53776)}function dy(D,ae){Zs(D,ae,2576)}function oN(D,ae){const ht=sh(ae);return ht&&ht.pos===D.pos&&Gs(D)&&!D.type&&!bt(D.modifiers)&&!bt(D.typeParameters)&&!bt(ht.modifiers)&&!ht.dotDotDotToken&&!ht.questionToken&&!ht.type&&!ht.initializer&&Ve(ht.name)}function aN(D,ae){oN(D,ae)?Zs(D,ae,528):dy(D,ae)}function sN(D,ae){Zs(D,ae,8848)}function IT(D){switch(D&60){case 0:break;case 16:hr(",");break;case 4:ur(),hr("|");break;case 32:ur(),hr("*"),ur();break;case 8:ur(),hr("&");break}}function Zs(D,ae,ht,on,Or,ki){xT(Ye,D,ae,ht|(D&&ja(D)&2?65536:0),on,Or,ki)}function L1(D,ae,ht,on,Or,ki){xT(Vt,D,ae,ht,on,Or,ki)}function xT(D,ae,ht,on,Or,ki=0,gi=ht?ht.length-ki:0){if(ht===void 0&&on&16384)return;const va=ht===void 0||ki>=ht.length||gi===0;if(va&&on&32768){p==null||p(ht),h==null||h(ht);return}on&15360&&(hr(EHe(on)),va&&ht&&Gm(ht.pos,!0)),p==null||p(ht),va?on&1&&!(pe&&(!ae||O&&OS(ae,O)))?Kc():on&256&&!(on&524288)&&ur():Fm(D,ae,ht,on,Or,ki,gi,ht.hasTrailingComma,ht),h==null||h(ht),on&15360&&(va&&ht&&Eg(ht.end),hr(SHe(on)))}function Fm(D,ae,ht,on,Or,ki,gi,no,va){const ed=(on&262144)===0;let Md=ed;const Bf=bg(ae,ht[ki],on);Bf?(Kc(Bf),Md=!1):on&256&&ur(),on&128&&Lh();const xb=xHe(D,Or);let bi,Oh,Un=!1;for(let j1=0;j1<gi;j1++){const X_=ht[ki+j1];if(on&32)Kc(),IT(on);else if(bi){on&60&&bi.end!==(ae?ae.end:-1)&&(ja(bi)&2048||Eg(bi.end)),IT(on),Sn(Oh);const Wh=Eb(bi,X_,on);if(Wh>0){if(on&131||(Lh(),Un=!0),Md&&on&60&&!Zm(X_.pos)){const gN=w_(X_);Gm(gN.pos,!!(on&512),!0)}Kc(Wh),Md=!1}else bi&&on&512&&ur()}if(Oh=Wa(X_),Md){const Wh=w_(X_);Gm(Wh.pos)}else Md=ed;ie=X_.pos,xb(X_,D,Or,j1),Un&&(zm(),Un=!1),bi=X_}const fx=bi?ja(bi):0,Rb=$t||!!(fx&2048),Db=no&&on&64&&on&16;Db&&(bi&&!Rb?Ui(28,bi.end,hr,bi):hr(",")),bi&&(ae?ae.end:-1)!==bi.end&&on&60&&!Rb&&Eg(Db&&(va!=null&&va.end)?va.end:bi.end),on&128&&zm(),Sn(Oh);const BT=ax(ae,ht[ki+gi-1],on,va);BT?Kc(BT):on&2097408&&ur()}function yb(D){ve.writeLiteral(D)}function lN(D){ve.writeStringLiteral(D)}function RT(D){ve.write(D)}function k2(D,ae){ve.writeSymbol(D,ae)}function hr(D){ve.writePunctuation(D)}function ru(){ve.writeTrailingSemicolon(";")}function to(D){ve.writeKeyword(D)}function hf(D){ve.writeOperator(D)}function py(D){ve.writeParameter(D)}function J_(D){ve.writeComment(D)}function ur(){ve.writeSpace(" ")}function DT(D){ve.writeProperty(D)}function Y0(D){ve.nonEscapingWrite?ve.nonEscapingWrite(D):ve.write(D)}function Kc(D=1){for(let ae=0;ae<D;ae++)ve.writeLine(ae>0)}function Lh(){ve.increaseIndent()}function zm(){ve.decreaseIndent()}function k1(D,ae,ht,on){return je?bb(D,ht,ae):V1(on,D,ht,ae,bb)}function w1(D,ae){m&&m(D),ae(fa(D.kind)),b&&b(D)}function bb(D,ae,ht){const on=fa(D);return ae(on),ht<0?ht:ht+on.length}function av(D,ae,ht){if(ja(D)&1)ur();else if(pe){const on=Hp(D,ae,ht);on?Kc(on):ur()}else Kc()}function NT(D){const ae=D.split(/\r\n?|\n/g),ht=nie(ae);for(const on of ae){const Or=ht?on.slice(ht):on;Or.length&&(Kc(),He(Or))}}function Bm(D,ae){D?(Lh(),Kc(D)):ae&&ur()}function $0(D,ae){D&&zm(),ae&&zm()}function bg(D,ae,ht){if(ht&2||pe){if(ht&65536)return 1;if(ae===void 0)return!D||O&&OS(D,O)?0:1;if(ae.pos===ie||ae.kind===12)return 0;if(O&&D&&!Zm(D.pos)&&!$s(ae)&&(!ae.parent||Pl(ae.parent)===Pl(D)))return pe?Q0(on=>Roe(ae.pos,D.pos,O,on)):W3(D,ae,O)?0:1;if(CT(ae,ht))return 1}return ht&1?1:0}function Eb(D,ae,ht){if(ht&2||pe){if(D===void 0||ae===void 0||ae.kind===12)return 0;if(O&&!$s(D)&&!$s(ae))return pe&&Pd(D,ae)?Q0(on=>xU(D,ae,O,on)):!pe&&gf(D,ae)?gk(D,ae,O)?0:1:ht&65536?1:0;if(CT(D,ht)||CT(ae,ht))return 1}else if(pP(ae))return 1;return ht&1?1:0}function ax(D,ae,ht,on){if(ht&2||pe){if(ht&65536)return 1;if(ae===void 0)return!D||O&&OS(D,O)?0:1;if(O&&D&&!Zm(D.pos)&&!$s(ae)&&(!ae.parent||ae.parent===D)){if(pe){const Or=on&&!Zm(on.end)?on.end:ae.end;return Q0(ki=>Doe(Or,D.end,O,ki))}return Aoe(D,ae,O)?0:1}if(CT(ae,ht))return 1}return ht&1&&!(ht&131072)?1:0}function Q0(D){N.assert(!!pe);const ae=D(!0);return ae===0?D(!1):ae}function cN(D,ae){const ht=pe&&bg(ae,D,0);return ht&&Bm(ht,!1),!!ht}function O1(D,ae){const ht=pe&&ax(ae,D,0,void 0);ht&&Kc(ht)}function CT(D,ae){if($s(D)){const ht=pP(D);return ht===void 0?(ae&65536)!==0:ht}return(ae&65536)!==0}function Hp(D,ae,ht){return ja(D)&262144?0:(D=jl(D),ae=jl(ae),ht=jl(ht),pP(ht)?1:O&&!$s(D)&&!$s(ae)&&!$s(ht)?pe?Q0(on=>xU(ae,ht,O,on)):gk(ae,ht,O)?0:1:0)}function PT(D){return D.statements.length===0&&(!O||gk(D,D,O))}function jl(D){for(;D.kind===217&&$s(D);)D=D.expression;return D}function Fc(D,ae){if(sl(D)||gS(D))return LT(D);if(Ma(D)&&D.textSourceNode)return Fc(D.textSourceNode,ae);const ht=O,on=!!ht&&!!D.parent&&!$s(D);if(Qh(D)){if(!on||jn(D)!==Pl(ht))return Sr(D)}else if(t_(D)){if(!on||jn(D)!==Pl(ht))return lP(D)}else if(N.assertNode(D,kE),!on)return D.text;return OE(ht,D,ae)}function MT(D,ae,ht){if(D.kind===11&&D.textSourceNode){const Or=D.textSourceNode;if(Ve(Or)||Ji(Or)||vp(Or)||t_(Or)){const ki=vp(Or)?Or.text:Fc(Or);return ht?`"${dU(ki)}"`:ae||ja(D)&16777216?`"${og(ki)}"`:`"${dk(ki)}"`}else return MT(Or,ae,ht)}const on=(ae?1:0)|(ht?2:0)|(e.terminateUnterminatedLiterals?4:0)|(e.target&&e.target>=8?8:0);return fie(D,O,on)}function Au(D){D&&ja(D)&1048576||(ye.push($),$=0,se.push(G),G=void 0,Y.push(oe))}function sp(D){D&&ja(D)&1048576||($=ye.pop(),G=se.pop(),oe=Y.pop())}function Z0(D){(!oe||oe===tl(Y))&&(oe=new Set),oe.add(D)}function Ff(D,ae){ne.push(he),he=D,fe.push(oe),Ne=ae}function Sb(){he=ne.pop(),Ne=fe.pop()}function Tb(D){(!Ne||Ne===tl(fe))&&(Ne=new Set),Ne.add(D)}function iu(D){if(D)switch(D.kind){case 241:Ue(D.statements,iu);break;case 256:case 254:case 246:case 247:iu(D.statement);break;case 245:iu(D.thenStatement),iu(D.elseStatement);break;case 248:case 250:case 249:iu(D.initializer),iu(D.statement);break;case 255:iu(D.caseBlock);break;case 269:Ue(D.clauses,iu);break;case 296:case 297:Ue(D.statements,iu);break;case 258:iu(D.tryBlock),iu(D.catchClause),iu(D.finallyBlock);break;case 299:iu(D.variableDeclaration),iu(D.block);break;case 243:iu(D.declarationList);break;case 261:Ue(D.declarations,iu);break;case 260:case 169:case 208:case 263:qp(D.name);break;case 262:qp(D.name),ja(D)&1048576&&(Ue(D.parameters,iu),iu(D.body));break;case 206:case 207:Ue(D.elements,iu);break;case 272:iu(D.importClause);break;case 273:qp(D.name),iu(D.namedBindings);break;case 274:qp(D.name);break;case 280:qp(D.name);break;case 275:Ue(D.elements,iu);break;case 276:qp(D.propertyName||D.name);break}}function sx(D){if(D)switch(D.kind){case 303:case 304:case 172:case 174:case 177:case 178:qp(D.name);break}}function qp(D){D&&(sl(D)||gS(D)?LT(D):ta(D)&&iu(D))}function LT(D){const ae=D.emitNode.autoGenerate;if((ae.flags&7)===4)return kT(lw(D),Ji(D),ae.flags,ae.prefix,ae.suffix);{const ht=ae.id;return Z[ht]||(Z[ht]=dN(D))}}function kT(D,ae,ht,on,Or){const ki=as(D),gi=ae?K:U;return gi[ki]||(gi[ki]=wT(D,ae,ht??0,iD(on,LT),iD(Or)))}function Hs(D,ae){return eE(D)&&!uN(D,ae)&&!V.has(D)}function uN(D,ae){return ae?!!(Ne!=null&&Ne.has(D)):!!(oe!=null&&oe.has(D))}function eE(D,ae){return O?V4(O,D,r):!0}function W1(D,ae){for(let ht=ae;ht&&jE(ht,ae);ht=ht.nextContainer)if(fh(ht)&&ht.locals){const on=ht.locals.get(hl(D));if(on&&on.flags&3257279)return!1}return!0}function w2(D){switch(D){case"":return $;case"#":return he;default:return(G==null?void 0:G.get(D))??0}}function vd(D,ae){switch(D){case"":$=ae;break;case"#":he=ae;break;default:G??(G=new Map),G.set(D,ae);break}}function sv(D,ae,ht,on,Or){on.length>0&&on.charCodeAt(0)===35&&(on=on.slice(1));const ki=N0(ht,on,"",Or);let gi=w2(ki);if(D&&!(gi&D)){const va=N0(ht,on,D===268435456?"_i":"_n",Or);if(Hs(va,ht))return gi|=D,ht?Tb(va):ae&&Z0(va),vd(ki,gi),va}for(;;){const no=gi&268435455;if(gi++,no!==8&&no!==13){const va=no<26?"_"+String.fromCharCode(97+no):"_"+(no-26),ed=N0(ht,on,va,Or);if(Hs(ed,ht))return ht?Tb(ed):ae&&Z0(ed),vd(ki,gi),ed}}}function K_(D,ae=Hs,ht,on,Or,ki,gi){if(D.length>0&&D.charCodeAt(0)===35&&(D=D.slice(1)),ki.length>0&&ki.charCodeAt(0)===35&&(ki=ki.slice(1)),ht){const va=N0(Or,ki,D,gi);if(ae(va,Or))return Or?Tb(va):on?Z0(va):V.add(va),va}D.charCodeAt(D.length-1)!==95&&(D+="_");let no=1;for(;;){const va=N0(Or,ki,D+no,gi);if(ae(va,Or))return Or?Tb(va):on?Z0(va):V.add(va),va;no++}}function lx(D){return K_(D,eE,!0,!1,!1,"","")}function Np(D){const ae=Fc(D.name);return W1(ae,ii(D,fh))?ae:K_(ae,Hs,!1,!1,!1,"","")}function cx(D){const ae=yR(D),ht=Ma(ae)?_ie(ae.text):"module";return K_(ht,Hs,!1,!1,!1,"","")}function lp(){return K_("default",Hs,!1,!1,!1,"","")}function ux(){return K_("class",Hs,!1,!1,!1,"","")}function fy(D,ae,ht,on){return Ve(D.name)?kT(D.name,ae):sv(0,!1,ae,ht,on)}function wT(D,ae,ht,on,Or){switch(D.kind){case 80:case 81:return K_(Fc(D),Hs,!!(ht&16),!!(ht&8),ae,on,Or);case 267:case 266:return N.assert(!on&&!Or&&!ae),Np(D);case 272:case 278:return N.assert(!on&&!Or&&!ae),cx(D);case 262:case 263:{N.assert(!on&&!Or&&!ae);const ki=D.name;return ki&&!sl(ki)?wT(ki,!1,ht,on,Or):lp()}case 277:return N.assert(!on&&!Or&&!ae),lp();case 231:return N.assert(!on&&!Or&&!ae),ux();case 174:case 177:case 178:return fy(D,ae,on,Or);case 167:return sv(0,!0,ae,on,Or);default:return sv(0,!1,ae,on,Or)}}function dN(D){const ae=D.emitNode.autoGenerate,ht=iD(ae.prefix,LT),on=iD(ae.suffix);switch(ae.flags&7){case 1:return sv(0,!!(ae.flags&8),Ji(D),ht,on);case 2:return N.assertNode(D,Ve),sv(268435456,!!(ae.flags&8),!1,ht,on);case 3:return K_(Sr(D),ae.flags&32?eE:Hs,!!(ae.flags&16),!!(ae.flags&8),Ji(D),ht,on)}return N.fail(`Unsupported GeneratedIdentifierKind: ${N.formatEnum(ae.flags&7,Y6,!0)}.`)}function pN(D,ae){const ht=_e(2,D,ae),on=tn,Or=rn,ki=Pn;OT(ae),ht(D,ae),fN(ae,on,Or,ki)}function OT(D){const ae=ja(D),ht=w_(D);Ab(D,ae,ht.pos,ht.end),ae&4096&&($t=!0)}function fN(D,ae,ht,on){const Or=ja(D),ki=w_(D);Or&4096&&($t=!1),Cp(D,Or,ki.pos,ki.end,ae,ht,on);const gi=xae(D);gi&&Cp(D,Or,gi.pos,gi.end,ae,ht,on)}function Ab(D,ae,ht,on){Oi(),Qt=!1;const Or=ht<0||(ae&1024)!==0||D.kind===12,ki=on<0||(ae&2048)!==0||D.kind===12;(ht>0||on>0)&&ht!==on&&(Or||F1(ht,D.kind!==359),(!Or||ht>=0&&ae&1024)&&(tn=ht),(!ki||on>=0&&ae&2048)&&(rn=on,D.kind===261&&(Pn=on))),Ue(jR(D),kh),No()}function Cp(D,ae,ht,on,Or,ki,gi){Oi();const no=on<0||(ae&2048)!==0||D.kind===12;Ue(Bk(D),mN),(ht>0||on>0)&&ht!==on&&(tn=Or,rn=ki,Pn=gi,!no&&D.kind!==359&&u_(on)),No()}function kh(D){(D.hasLeadingNewline||D.kind===2)&&ve.writeLine(),WT(D),D.hasTrailingNewLine||D.kind===2?ve.writeLine():ve.writeSpace(" ")}function mN(D){ve.isAtStartOfLine()||ve.writeSpace(" "),WT(D),D.hasTrailingNewLine&&ve.writeLine()}function WT(D){const ae=rs(D),ht=D.kind===3?DA(ae):void 0;PR(ae,ht,ve,0,ae.length,R)}function rs(D){return D.kind===3?`/*${D.text}*/`:`//${D.text}`}function Ir(D,ae,ht){Oi();const{pos:on,end:Or}=ae,ki=ja(D),gi=on<0||(ki&1024)!==0,no=$t||Or<0||(ki&2048)!==0;gi||zf(ae),No(),ki&4096&&!$t?($t=!0,ht(D),$t=!1):ht(D),Oi(),no||(F1(ae.end,!0),Qt&&!ve.isAtStartOfLine()&&ve.writeLine()),No()}function gf(D,ae){return D=Pl(D),D.parent&&D.parent===Pl(ae).parent}function Pd(D,ae){if(ae.pos<D.end)return!1;D=Pl(D),ae=Pl(ae);const ht=D.parent;if(!ht||ht!==ae.parent)return!1;const on=rae(D),Or=on==null?void 0:on.indexOf(D);return Or!==void 0&&Or>-1&&on.indexOf(ae)===Or+1}function F1(D,ae){Qt=!1,ae?D===0&&(O!=null&&O.isDeclarationFile)?Tg(D,tE):Tg(D,FT):D===0&&Tg(D,_N)}function _N(D,ae,ht,on,Or){yf(D,ae)&&FT(D,ae,ht,on,Or)}function tE(D,ae,ht,on,Or){yf(D,ae)||FT(D,ae,ht,on,Or)}function z1(D,ae){return e.onlyPrintJsDocStyle?rq(D,ae)||j4(D,ae):!0}function FT(D,ae,ht,on,Or){!O||!z1(O.text,D)||(Qt||(coe(Rt(),ve,Or,D),Qt=!0),Ls(D),PR(O.text,Rt(),ve,D,ae,R),Ls(ae),on?ve.writeLine():ht===3&&ve.writeSpace(" "))}function Eg(D){$t||D===-1||F1(D,!0)}function u_(D){dx(D,vf)}function vf(D,ae,ht,on){!O||!z1(O.text,D)||(ve.isAtStartOfLine()||ve.writeSpace(" "),Ls(D),PR(O.text,Rt(),ve,D,ae,R),Ls(ae),on&&ve.writeLine())}function Gm(D,ae,ht){$t||(Oi(),dx(D,ae?vf:ht?lv:Sg),No())}function lv(D,ae,ht){O&&(Ls(D),PR(O.text,Rt(),ve,D,ae,R),Ls(ae),ht===2&&ve.writeLine())}function Sg(D,ae,ht,on){O&&(Ls(D),PR(O.text,Rt(),ve,D,ae,R),Ls(ae),on?ve.writeLine():ve.writeSpace(" "))}function Tg(D,ae){O&&(tn===-1||D!==tn)&&(cc(D)?hN(ae):rL(O.text,D,ae,D))}function dx(D,ae){O&&(rn===-1||D!==rn&&D!==Pn)&&iL(O.text,D,ae)}function cc(D){return hn!==void 0&&Ya(hn).nodePos===D}function hN(D){if(!O)return;const ae=Ya(hn).detachedCommentEndPos;hn.length-1?hn.pop():hn=void 0,rL(O.text,ae,D,ae)}function zf(D){const ae=O&&doe(O.text,Rt(),ve,wh,D,R,$t);ae&&(hn?hn.push(ae):hn=[ae])}function wh(D,ae,ht,on,Or,ki){!O||!z1(O.text,on)||(Ls(on),PR(D,ae,ht,on,Or,ki),Ls(Or))}function yf(D,ae){return!!O&&bj(O.text,D,ae)}function B1(D){return D.parsedSourceMap===void 0&&D.sourceMapText!==void 0&&(D.parsedSourceMap=tJ(D.sourceMapText)||!1),D.parsedSourceMap||void 0}function nE(D,ae){const ht=_e(3,D,ae);px(ae),ht(D,ae),zT(ae)}function px(D){const ae=ja(D),ht=qv(D);if(tj(D)){N.assertIsDefined(D.parent,"UnparsedNodes must have parent pointers");const on=B1(D.parent);on&&at&&at.appendSourceMap(ve.getLine(),ve.getColumn(),on,D.parent.sourceMapPath,D.parent.getLineAndCharacterOfPosition(D.pos),D.parent.getLineAndCharacterOfPosition(D.end))}else{const on=ht.source||Gt;D.kind!==359&&!(ae&32)&&ht.pos>=0&&G1(ht.source||Gt,bf(on,ht.pos)),ae&128&&(je=!0)}}function zT(D){const ae=ja(D),ht=qv(D);tj(D)||(ae&128&&(je=!1),D.kind!==359&&!(ae&64)&&ht.end>=0&&G1(ht.source||Gt,ht.end))}function bf(D,ae){return D.skipTrivia?D.skipTrivia(ae):ka(D.text,ae)}function Ls(D){if(je||Zm(D)||rE(Gt))return;const{line:ae,character:ht}=bs(Gt,D);at.addMapping(ve.getLine(),ve.getColumn(),vt,ae,ht,void 0)}function G1(D,ae){if(D!==Gt){const ht=Gt,on=vt;cv(D),Ls(ae),Ib(ht,on)}else Ls(ae)}function V1(D,ae,ht,on,Or){if(je||D&&c3(D))return Or(ae,ht,on);const ki=D&&D.emitNode,gi=ki&&ki.flags||0,no=ki&&ki.tokenSourceMapRanges&&ki.tokenSourceMapRanges[ae],va=no&&no.source||Gt;return on=bf(va,no?no.pos:on),!(gi&256)&&on>=0&&G1(va,on),on=Or(ae,ht,on),no&&(on=no.end),!(gi&512)&&on>=0&&G1(va,on),on}function cv(D){if(!je){if(Gt=D,D===$e){vt=It;return}rE(D)||(vt=at.addSource(D.fileName),e.inlineSources&&at.setSourceContent(vt,D.text),$e=D,It=vt)}}function Ib(D,ae){Gt=D,vt=ae}function rE(D){return Il(D.fileName,".json")}}function bHe(){const e=[];return e[1024]=["{","}"],e[2048]=["(",")"],e[4096]=["<",">"],e[8192]=["[","]"],e}function EHe(e){return mce[e&15360][0]}function SHe(e){return mce[e&15360][1]}function THe(e,t,r,i){t(e)}function AHe(e,t,r,i){t(e,r.select(i))}function IHe(e,t,r,i){t(e,r)}function xHe(e,t){return e.length===1?THe:typeof t=="object"?AHe:IHe}var mce,h7,IJ,g1,xJ,Bw,RHe=T({"src/compiler/emitter.ts"(){ra(),ra(),fS(),mce=bHe(),h7={hasGlobalName:Ko,getReferencedExportContainer:Ko,getReferencedImportDeclaration:Ko,getReferencedDeclarationWithCollidingName:Ko,isDeclarationWithCollidingName:Ko,isValueAliasDeclaration:Ko,isReferencedAliasDeclaration:Ko,isTopLevelValueImportEqualsWithEntityName:Ko,getNodeCheckFlags:Ko,isDeclarationVisible:Ko,isLateBound:e=>!1,collectLinkedAliases:Ko,isImplementationOfOverload:Ko,isRequiredInitializedParameter:Ko,isOptionalUninitializedParameterProperty:Ko,isExpandoFunctionDeclaration:Ko,getPropertiesOfContainerFunction:Ko,createTypeOfDeclaration:Ko,createReturnTypeOfSignatureDeclaration:Ko,createTypeOfExpression:Ko,createLiteralConstValue:Ko,isSymbolAccessible:Ko,isEntityNameVisible:Ko,getConstantValue:Ko,getReferencedValueDeclaration:Ko,getReferencedValueDeclarations:Ko,getTypeReferenceSerializationKind:Ko,isOptionalParameter:Ko,moduleExportsSomeValue:Ko,isArgumentsLocalBinding:Ko,getExternalModuleFileFromDeclaration:Ko,getTypeReferenceDirectivesForEntityName:Ko,getTypeReferenceDirectivesForSymbol:Ko,isLiteralConstDeclaration:Ko,getJsxFactoryEntity:Ko,getJsxFragmentFactoryEntity:Ko,getAllAccessorDeclarations:Ko,getSymbolOfExternalModuleSpecifier:Ko,isBindingCapturedByNode:Ko,getDeclarationStatementsForSourceFile:Ko,isImportRequiredByAugmentation:Ko,tryFindAmbientModule:Ko},IJ=Td(()=>k0({})),g1=Td(()=>k0({removeComments:!0})),xJ=Td(()=>k0({removeComments:!0,neverAsciiEscape:!0})),Bw=Td(()=>k0({removeComments:!0,omitTrailingSemicolon:!0}))}});function g7(e,t,r){if(!e.getDirectories||!e.readDirectory)return;const i=new Map,o=Mu(r);return{useCaseSensitiveFileNames:r,fileExists:I,readFile:(G,ne)=>e.readFile(G,ne),directoryExists:e.directoryExists&&R,getDirectories:C,readDirectory:O,createDirectory:e.createDirectory&&M,writeFile:e.writeFile&&A,addOrDeleteFileOrDirectory:K,addOrDeleteFile:Z,clearCache:se,realpath:e.realpath&&U};function s(G){return ol(G,t,o)}function l(G){return i.get(Bc(G))}function u(G){const ne=l(ai(G));return ne&&(ne.sortedAndCanonicalizedFiles||(ne.sortedAndCanonicalizedFiles=ne.files.map(o).sort(),ne.sortedAndCanonicalizedDirectories=ne.directories.map(o).sort()),ne)}function p(G){return ic(ga(G))}function h(G,ne){var he;if(!e.realpath||Bc(s(e.realpath(G)))===ne){const ye={files:wt(e.readDirectory(G,void 0,void 0,["*.*"]),p)||[],directories:e.getDirectories(G)||[]};return i.set(Bc(ne),ye),ye}if((he=e.directoryExists)!=null&&he.call(e,G))return i.set(ne,!1),!1}function m(G,ne){ne=Bc(ne);const he=l(ne);if(he)return he;try{return h(G,ne)}catch{N.assert(!i.has(Bc(ne)));return}}function b(G,ne){return Nv(G,ne,nl,Uu)>=0}function A(G,ne,he){const ye=s(G),$=u(ye);return $&&V($,p(G),!0),e.writeFile(G,ne,he)}function I(G){const ne=s(G),he=u(ne);return he&&b(he.sortedAndCanonicalizedFiles,o(p(G)))||e.fileExists(G)}function R(G){const ne=s(G);return i.has(Bc(ne))||e.directoryExists(G)}function M(G){const ne=s(G),he=u(ne);if(he){const ye=p(G),$=o(ye),Y=he.sortedAndCanonicalizedDirectories;xy(Y,$,Uu)&&he.directories.push(ye)}e.createDirectory(G)}function C(G){const ne=s(G),he=m(G,ne);return he?he.directories.slice():e.getDirectories(G)}function O(G,ne,he,ye,$){const Y=s(G),oe=m(G,Y);let fe;if(oe!==void 0)return FU(G,ne,he,ye,r,t,$,Ne,U);return e.readDirectory(G,ne,he,ye,$);function Ne(ie){const ve=s(ie);if(ve===Y)return oe||pe(ie,ve);const Le=m(ie,ve);return Le!==void 0?Le||pe(ie,ve):gW}function pe(ie,ve){if(fe&&ve===Y)return fe;const Le={files:wt(e.readDirectory(ie,void 0,void 0,["*.*"]),p)||et,directories:e.getDirectories(ie)||et};return ve===Y&&(fe=Le),Le}}function U(G){return e.realpath?e.realpath(G):G}function K(G,ne){if(l(ne)!==void 0){se();return}const ye=u(ne);if(!ye)return;if(!e.directoryExists){se();return}const $=p(G),Y={fileExists:e.fileExists(G),directoryExists:e.directoryExists(G)};return Y.directoryExists||b(ye.sortedAndCanonicalizedDirectories,o($))?se():V(ye,$,Y.fileExists),Y}function Z(G,ne,he){if(he===1)return;const ye=u(ne);ye&&V(ye,p(G),he===0)}function V(G,ne,he){const ye=G.sortedAndCanonicalizedFiles,$=o(ne);if(he)xy(ye,$,Uu)&&G.files.push(ne);else{const Y=Nv(ye,$,nl,Uu);if(Y>=0){ye.splice(Y,1);const oe=G.files.findIndex(fe=>o(fe)===$);G.files.splice(oe,1)}}}function se(){i.clear()}}function v7(e,t,r,i,o){var s;const l=NE(((s=t==null?void 0:t.configFile)==null?void 0:s.extendedSourceFiles)||et,o);r.forEach((u,p)=>{l.has(p)||(u.projects.delete(e),u.close())}),l.forEach((u,p)=>{const h=r.get(p);h?h.projects.add(e):r.set(p,{projects:new Set([e]),watcher:i(u,p),close:()=>{const m=r.get(p);!m||m.projects.size!==0||(m.watcher.close(),r.delete(p))}})})}function RJ(e,t){t.forEach(r=>{r.projects.delete(e)&&r.close()})}function y7(e,t,r){e.delete(t)&&e.forEach(({extendedResult:i},o)=>{var s;(s=i.extendedSourceFiles)!=null&&s.some(l=>r(l)===t)&&y7(e,o,r)})}function DJ(e,t,r){JC(t,e.getMissingFilePaths(),{createNewValue:r,onDeleteValue:Qm})}function Gw(e,t,r){t?JC(e,new Map(Object.entries(t)),{createNewValue:i,onDeleteValue:Mf,onExistingValue:o}):tp(e,Mf);function i(s,l){return{watcher:r(s,l),flags:l}}function o(s,l,u){s.flags!==l&&(s.watcher.close(),e.set(u,i(u,l)))}}function Vw({watchedDirPath:e,fileOrDirectory:t,fileOrDirectoryPath:r,configFileName:i,options:o,program:s,extraFileExtensions:l,currentDirectory:u,useCaseSensitiveFileNames:p,writeLog:h,toPath:m,getScriptKind:b}){const A=P7(r);if(!A)return h(`Project: ${i} Detected ignored path: ${t}`),!0;if(r=A,r===e)return!1;if(xA(r)&&!(Xoe(t,o,l)||O()))return h(`Project: ${i} Detected file add/remove of non supported extension: ${t}`),!0;if(Pse(t,o.configFile.configFileSpecs,go(ai(i),u),p,u))return h(`Project: ${i} Detected excluded file: ${t}`),!0;if(!s||Ps(o)||o.outDir)return!1;if(Su(r)){if(o.declarationDir)return!1}else if(!Tc(r,VR))return!1;const I=Id(r),R=To(s)?void 0:DHe(s)?s.getProgramOrUndefined():s,M=!R&&!To(s)?s:void 0;if(C(I+".ts")||C(I+".tsx"))return h(`Project: ${i} Detected output file: ${t}`),!0;return!1;function C(U){return R?!!R.getSourceFileByPath(U):M?M.getState().fileInfos.has(U):!!An(s,K=>m(K)===U)}function O(){if(!b)return!1;switch(b(t)){case 3:case 4:case 7:case 5:return!0;case 1:case 2:return Xy(o);case 6:return A0(o);case 0:return!1}}}function DHe(e){return!!e.getState}function _ce(e,t){return e?e.isEmittedFile(t):!1}function NJ(e,t,r,i){Zne(t===2?r:$a);const o={watchFile:(M,C,O,U)=>e.watchFile(M,C,O,U),watchDirectory:(M,C,O,U)=>e.watchDirectory(M,C,(O&1)!==0,U)},s=t!==0?{watchFile:I("watchFile"),watchDirectory:I("watchDirectory")}:void 0,l=t===2?{watchFile:b,watchDirectory:A}:s||o,u=t===2?m:TD;return{watchFile:p("watchFile"),watchDirectory:p("watchDirectory")};function p(M){return(C,O,U,K,Z,V)=>{var se;return RF(C,M==="watchFile"?K==null?void 0:K.excludeFiles:K==null?void 0:K.excludeDirectories,h(),((se=e.getCurrentDirectory)==null?void 0:se.call(e))||"")?u(C,U,K,Z,V):l[M].call(void 0,C,O,U,K,Z,V)}}function h(){return typeof e.useCaseSensitiveFileNames=="boolean"?e.useCaseSensitiveFileNames:e.useCaseSensitiveFileNames()}function m(M,C,O,U,K){return r(`ExcludeWatcher:: Added:: ${R(M,C,O,U,K,i)}`),{close:()=>r(`ExcludeWatcher:: Close:: ${R(M,C,O,U,K,i)}`)}}function b(M,C,O,U,K,Z){r(`FileWatcher:: Added:: ${R(M,O,U,K,Z,i)}`);const V=s.watchFile(M,C,O,U,K,Z);return{close:()=>{r(`FileWatcher:: Close:: ${R(M,O,U,K,Z,i)}`),V.close()}}}function A(M,C,O,U,K,Z){const V=`DirectoryWatcher:: Added:: ${R(M,O,U,K,Z,i)}`;r(V);const se=Ys(),G=s.watchDirectory(M,C,O,U,K,Z),ne=Ys()-se;return r(`Elapsed:: ${ne}ms ${V}`),{close:()=>{const he=`DirectoryWatcher:: Close:: ${R(M,O,U,K,Z,i)}`;r(he);const ye=Ys();G.close();const $=Ys()-ye;r(`Elapsed:: ${$}ms ${he}`)}}}function I(M){return(C,O,U,K,Z,V)=>o[M].call(void 0,C,(...se)=>{const G=`${M==="watchFile"?"FileWatcher":"DirectoryWatcher"}:: Triggered with ${se[0]} ${se[1]!==void 0?se[1]:""}:: ${R(C,U,K,Z,V,i)}`;r(G);const ne=Ys();O.call(void 0,...se);const he=Ys()-ne;r(`Elapsed:: ${he}ms ${G}`)},U,K,Z,V)}function R(M,C,O,U,K,Z){return`WatchInfo: ${M} ${C} ${JSON.stringify(O)} ${Z?Z(U,K):K===void 0?U:`${U} ${K}`}`}}function jw(e){const t=e==null?void 0:e.fallbackPolling;return{watchFile:t!==void 0?t:1}}function Mf(e){e.watcher.close()}var CJ,PJ,NHe=T({"src/compiler/watchUtilities.ts"(){ra(),CJ=(e=>(e[e.Update=0]="Update",e[e.RootNamesAndUpdate=1]="RootNamesAndUpdate",e[e.Full=2]="Full",e))(CJ||{}),PJ=(e=>(e[e.None=0]="None",e[e.TriggerOnly=1]="TriggerOnly",e[e.Verbose=2]="Verbose",e))(PJ||{})}});function hce(e,t,r="tsconfig.json"){return Sm(e,i=>{const o=Qr(i,r);return t(o)?o:void 0})}function b7(e,t){const r=ai(t),i=fp(e)?e:Qr(r,e);return ga(i)}function gce(e,t,r){let i;return Ue(e,s=>{const l=YM(s,t);if(l.pop(),!i){i=l;return}const u=Math.min(i.length,l.length);for(let p=0;p<u;p++)if(r(i[p])!==r(l[p])){if(p===0)return!0;i.length=p;break}l.length<i.length&&(i.length=l.length)})?"":i?Cy(i):t}function vce(e,t){return kJ(e,t)}function MJ(e,t,r){return(i,o,s)=>{let l;try{il("beforeIORead"),l=e(i,t().charset),il("afterIORead"),ef("I/O Read","beforeIORead","afterIORead")}catch(u){s&&s(u.message),l=""}return l!==void 0?dw(i,l,o,r):void 0}}function LJ(e,t,r){return(i,o,s,l)=>{try{il("beforeIOWrite"),_U(i,o,s,e,t,r),il("afterIOWrite"),ef("I/O Write","beforeIOWrite","afterIOWrite")}catch(u){l&&l(u.message)}}}function kJ(e,t,r=gu){const i=new Map,o=Mu(r.useCaseSensitiveFileNames);function s(m){return i.has(m)?!0:(h.directoryExists||r.directoryExists)(m)?(i.set(m,!0),!0):!1}function l(){return ai(ga(r.getExecutingFilePath()))}const u=Uv(e),p=r.realpath&&(m=>r.realpath(m)),h={getSourceFile:MJ(m=>h.readFile(m),()=>e,t),getDefaultLibLocation:l,getDefaultLibFileName:m=>Qr(l(),aL(m)),writeFile:LJ((m,b,A)=>r.writeFile(m,b,A),m=>(h.createDirectory||r.createDirectory)(m),m=>s(m)),getCurrentDirectory:Td(()=>r.getCurrentDirectory()),useCaseSensitiveFileNames:()=>r.useCaseSensitiveFileNames,getCanonicalFileName:o,getNewLine:()=>u,fileExists:m=>r.fileExists(m),readFile:m=>r.readFile(m),trace:m=>r.write(m+u),directoryExists:m=>r.directoryExists(m),getEnvironmentVariable:m=>r.getEnvironmentVariable?r.getEnvironmentVariable(m):"",getDirectories:m=>r.getDirectories(m),realpath:p,readDirectory:(m,b,A,I,R)=>r.readDirectory(m,b,A,I,R),createDirectory:m=>r.createDirectory(m),createHash:oa(r,r.createHash)};return h}function Uw(e,t,r){const i=e.readFile,o=e.fileExists,s=e.directoryExists,l=e.createDirectory,u=e.writeFile,p=new Map,h=new Map,m=new Map,b=new Map,A=M=>{const C=t(M),O=p.get(C);return O!==void 0?O!==!1?O:void 0:I(C,M)},I=(M,C)=>{const O=i.call(e,C);return p.set(M,O!==void 0?O:!1),O};e.readFile=M=>{const C=t(M),O=p.get(C);return O!==void 0?O!==!1?O:void 0:!Il(M,".json")&&!dce(M)?i.call(e,M):I(C,M)};const R=r?(M,C,O,U)=>{const K=t(M),Z=typeof C=="object"?C.impliedNodeFormat:void 0,V=b.get(Z),se=V==null?void 0:V.get(K);if(se)return se;const G=r(M,C,O,U);return G&&(Su(M)||Il(M,".json"))&&b.set(Z,(V||new Map).set(K,G)),G}:void 0;return e.fileExists=M=>{const C=t(M),O=h.get(C);if(O!==void 0)return O;const U=o.call(e,M);return h.set(C,!!U),U},u&&(e.writeFile=(M,C,...O)=>{const U=t(M);h.delete(U);const K=p.get(U);K!==void 0&&K!==C?(p.delete(U),b.forEach(Z=>Z.delete(U))):R&&b.forEach(Z=>{const V=Z.get(U);V&&V.text!==C&&Z.delete(U)}),u.call(e,M,C,...O)}),s&&(e.directoryExists=M=>{const C=t(M),O=m.get(C);if(O!==void 0)return O;const U=s.call(e,M);return m.set(C,!!U),U},l&&(e.createDirectory=M=>{const C=t(M);m.delete(C),l.call(e,M)})),{originalReadFile:i,originalFileExists:o,originalDirectoryExists:s,originalCreateDirectory:l,originalWriteFile:u,getSourceFileWithCache:R,readFileWithCache:A}}function sRe(e,t,r){let i;return i=Jr(i,e.getConfigFileParsingDiagnostics()),i=Jr(i,e.getOptionsDiagnostics(r)),i=Jr(i,e.getSyntacticDiagnostics(t,r)),i=Jr(i,e.getGlobalDiagnostics(r)),i=Jr(i,e.getSemanticDiagnostics(t,r)),Nf(e.getCompilerOptions())&&(i=Jr(i,e.getDeclarationDiagnostics(t,r))),Yx(i||et)}function lRe(e,t){let r="";for(const i of e)r+=wJ(i,t);return r}function wJ(e,t){const r=`${mS(e)} TS${e.code}: ${z_(e.messageText,t.getNewLine())}${t.getNewLine()}`;if(e.file){const{line:i,character:o}=bs(e.file,e.start),s=e.file.fileName;return`${rC(s,t.getCurrentDirectory(),u=>t.getCanonicalFileName(u))}(${i+1},${o+1}): `+r}return r}function cRe(e){switch(e){case 1:return"\x1B[91m";case 0:return"\x1B[93m";case 2:return N.fail("Should never get an Info diagnostic on the command line.");case 3:return"\x1B[94m"}}function v1(e,t){return t+e+Sce}function uRe(e,t,r,i,o,s){const{line:l,character:u}=bs(e,t),{line:p,character:h}=bs(e,t+r),m=bs(e,e.text.length).line,b=p-l>=4;let A=(p+1+"").length;b&&(A=Math.max(Tce.length,A));let I="";for(let R=l;R<=p;R++){I+=s.getNewLine(),b&&l+1<R&&R<p-1&&(I+=i+v1(Tce.padStart(A),YJ)+$J+s.getNewLine(),R=p-1);const M=tL(e,R,0),C=R<m?tL(e,R+1,0):e.text.length;let O=e.text.slice(M,C);if(O=O.trimEnd(),O=O.replace(/\t/g," "),I+=i+v1((R+1+"").padStart(A),YJ)+$J,I+=O+s.getNewLine(),I+=i+v1("".padStart(A),YJ)+$J,I+=o,R===l){const U=R===p?h:void 0;I+=O.slice(0,u).replace(/\S/g," "),I+=O.slice(u,U).replace(/./g,"~")}else R===p?I+=O.slice(0,h).replace(/./g,"~"):I+=O.replace(/./g,"~");I+=Sce}return I}function OJ(e,t,r,i=v1){const{line:o,character:s}=bs(e,t),l=r?rC(e.fileName,r.getCurrentDirectory(),p=>r.getCanonicalFileName(p)):e.fileName;let u="";return u+=i(l,"\x1B[96m"),u+=":",u+=i(`${o+1}`,"\x1B[93m"),u+=":",u+=i(`${s+1}`,"\x1B[93m"),u}function yce(e,t){let r="";for(const i of e){if(i.file){const{file:o,start:s}=i;r+=OJ(o,s,t),r+=" - "}if(r+=v1(mS(i),cRe(i.category)),r+=v1(` TS${i.code}: `,"\x1B[90m"),r+=z_(i.messageText,t.getNewLine()),i.file&&i.code!==f.File_appears_to_be_binary.code&&(r+=t.getNewLine(),r+=uRe(i.file,i.start,i.length,"",cRe(i.category),t)),i.relatedInformation){r+=t.getNewLine();for(const{file:o,start:s,length:l,messageText:u}of i.relatedInformation)o&&(r+=t.getNewLine(),r+=fRe+OJ(o,s,t),r+=uRe(o,s,l,Ace,"\x1B[96m",t)),r+=t.getNewLine(),r+=Ace+z_(u,t.getNewLine())}r+=t.getNewLine()}return r}function z_(e,t,r=0){if(Po(e))return e;if(e===void 0)return"";let i="";if(r){i+=t;for(let o=0;o<r;o++)i+=" "}if(i+=e.messageText,r++,e.next)for(const o of e.next)i+=z_(o,t,r);return i}function Hw(e,t){return(Po(e)?t:e.resolutionMode)||t}function bce(e,t,r){return zJ(e,Kw(e,t),r)}function WJ(e){var t;return Yl(e)?e.isTypeOnly:!!((t=e.importClause)!=null&&t.isTypeOnly)}function FJ(e,t,r){return zJ(e,t,r)}function zJ(e,t,r){var i;if((kc(t.parent)||Yl(t.parent))&&WJ(t.parent)){const l=hD(t.parent.attributes);if(l)return l}if(t.parent.parent&&ug(t.parent.parent)){const s=hD(t.parent.parent.attributes);if(s)return s}if(r&&wu(r)===200)return t.parent.parent&&tu(t.parent.parent)||Ad(t.parent,!1)?1:99;if(e.impliedNodeFormat===void 0)return;if(e.impliedNodeFormat!==99)return Bp(Bv(t.parent))?99:1;const o=(i=Bv(t.parent))==null?void 0:i.parent;return o&&tu(o)?1:99}function hD(e,t){if(!e)return;if(Me(e.elements)!==1){t==null||t(e,e.token===118?f.Type_import_attributes_should_have_exactly_one_key_resolution_mode_with_value_import_or_require:f.Type_import_assertions_should_have_exactly_one_key_resolution_mode_with_value_import_or_require);return}const r=e.elements[0];if(ls(r.name)){if(r.name.text!=="resolution-mode"){t==null||t(r.name,e.token===118?f.resolution_mode_is_the_only_valid_key_for_type_import_attributes:f.resolution_mode_is_the_only_valid_key_for_type_import_assertions);return}if(ls(r.value)){if(r.value.text!=="import"&&r.value.text!=="require"){t==null||t(r.value,f.resolution_mode_should_be_either_require_or_import);return}return r.value.text==="import"?99:1}}}function Ece(e){return e.text}function BJ(e,t,r,i,o){return{nameAndMode:R7,resolve:(s,l)=>uD(s,e,r,i,o,t,l)}}function GJ(e){return Po(e)?e:ch(e.fileName)}function E7(e,t,r,i,o){return{nameAndMode:mRe,resolve:(s,l)=>qse(s,e,r,i,t,o,l)}}function qw(e,t,r,i,o,s,l,u){if(e.length===0)return et;const p=[],h=new Map,m=u(t,r,i,s,l);for(const b of e){const A=m.nameAndMode.getName(b),I=m.nameAndMode.getMode(b,o,(r==null?void 0:r.commandLine.options)||i),R=WP(A,I);let M=h.get(R);M||h.set(R,M=m.resolve(A,I)),p.push(M)}return p}function VJ(e,t){return S7(void 0,e,(r,i)=>r&&t(r,i))}function S7(e,t,r,i){let o;return s(e,t,void 0);function s(l,u,p){if(i){const h=i(l,p);if(h)return h}return Ue(u,(h,m)=>{if(h&&(o!=null&&o.has(h.sourceFile.path)))return;const b=r(h,p,m);return b||!h?b:((o||(o=new Set)).add(h.sourceFile.path),s(h.commandLine.projectReferences,h.references,h))})}}function T7(e,t,r){const i=e.configFilePath?ai(e.configFilePath):t;return Qr(i,`__lib_node_modules_lookup_${r}__.ts`)}function jJ(e){const t=e.split(".");let r=t[1],i=2;for(;t[i]&&t[i]!=="d";)r+=(i===2?"/":"-")+t[i],i++;return"@typescript/lib-"+r}function dRe(e){const t=ch(e.fileName),r=DF.get(t);return{libName:t,libFileName:r}}function w0(e){switch(e==null?void 0:e.kind){case 3:case 4:case 5:case 7:return!0;default:return!1}}function gD(e){return e.pos!==void 0}function QP(e,t){var r,i,o,s;const l=N.checkDefined(e.getSourceFileByPath(t.file)),{kind:u,index:p}=t;let h,m,b,A;switch(u){case 3:const I=Kw(l,p);if(b=(i=(r=e.getResolvedModule(l,I.text,e.getModeForUsageLocation(l,I)))==null?void 0:r.resolvedModule)==null?void 0:i.packageId,I.pos===-1)return{file:l,packageId:b,text:I.text};h=ka(l.text,I.pos),m=I.end;break;case 4:({pos:h,end:m}=l.referencedFiles[p]);break;case 5:({pos:h,end:m,resolutionMode:A}=l.typeReferenceDirectives[p]),b=(s=(o=e.getResolvedTypeReferenceDirective(l,ch(l.typeReferenceDirectives[p].fileName),A||l.impliedNodeFormat))==null?void 0:o.resolvedTypeReferenceDirective)==null?void 0:s.packageId;break;case 7:({pos:h,end:m}=l.libReferenceDirectives[p]);break;default:return N.assertNever(u)}return{file:l,pos:h,end:m,packageId:b}}function UJ(e,t,r,i,o,s,l,u,p,h){if(!e||u!=null&&u()||!Km(e.getRootFileNames(),t))return!1;let m;if(!Km(e.getProjectReferences(),h,M)||e.getSourceFiles().some(I))return!1;const b=e.getMissingFilePaths();if(b&&Gc(b,o))return!1;const A=e.getCompilerOptions();if(!DU(A,r)||e.resolvedLibReferences&&Gc(e.resolvedLibReferences,(O,U)=>l(U)))return!1;if(A.configFile&&r.configFile)return A.configFile.text===r.configFile.text;return!0;function I(O){return!R(O)||s(O.path)}function R(O){return O.version===i(O.resolvedPath,O.fileName)}function M(O,U,K){return gj(O,U)&&C(e.getResolvedProjectReferences()[K],O)}function C(O,U){if(O){if(Nr(m,O))return!0;const Z=vD(U),V=p(Z);return!V||O.commandLine.options.configFile!==V.options.configFile||!Km(O.commandLine.fileNames,V.fileNames)?!1:((m||(m=[])).push(O),!Ue(O.references,(se,G)=>!C(se,O.commandLine.projectReferences[G])))}const K=vD(U);return!p(K)}}function rT(e){return e.options.configFile?[...e.options.configFile.parseDiagnostics,...e.errors]:e.errors}function Jw(e,t,r,i){const o=HJ(e,t,r,i);return typeof o=="object"?o.impliedNodeFormat:o}function HJ(e,t,r,i){switch(md(i)){case 3:case 99:return Tc(e,[".d.mts",".mts",".mjs"])?99:Tc(e,[".d.cts",".cts",".cjs"])?1:Tc(e,[".d.ts",".ts",".tsx",".js",".jsx"])?o():void 0;default:return}function o(){const s=Rw(t,r,i),l=[];s.failedLookupLocations=l,s.affectingLocations=l;const u=Dw(e,s);return{impliedNodeFormat:(u==null?void 0:u.contents.packageJsonContent.type)==="module"?99:1,packageJsonLocations:l,packageJsonScope:u}}}function CHe(e,t){return e?oR(e.getCompilerOptions(),t,CF):!1}function PHe(e,t,r,i,o,s){return{rootNames:e,options:t,host:r,oldProgram:i,configFileParsingDiagnostics:o,typeScriptVersion:s}}function A7(e,t,r,i,o){var s,l,u,p,h,m,b,A,I,R,M,C,O,U,K,Z;const V=To(e)?PHe(e,t,r,i,o):e,{rootNames:se,options:G,configFileParsingDiagnostics:ne,projectReferences:he,typeScriptVersion:ye}=V;let{oldProgram:$}=V;const Y=Td(()=>km("ignoreDeprecations",f.Invalid_value_for_ignoreDeprecations));let oe,fe,Ne,pe,ie,ve,Le;const He=new Map;let Ee=Zp();const De={},Pe={};let We=TI(),At,Se,je,at,Gt,vt,$e,It,tn,rn;const Pn=typeof G.maxNodeModuleJsDepth=="number"?G.maxNodeModuleJsDepth:0;let Xn=0;const hn=new Map,Qt=new Map;(s=sr)==null||s.push(sr.Phase.Program,"createProgram",{configFilePath:G.configFilePath,rootDir:G.rootDir},!0),il("beforeProgram");const $t=V.host||vce(G),_i=x7($t);let ao=G.noLib;const Oi=Td(()=>$t.getDefaultLibFileName(G)),No=$t.getDefaultLibLocation?$t.getDefaultLibLocation():ai(Oi()),Mn=RR(),Di=$t.getCurrentDirectory(),Ar=YC(G),sn=Ek(G,Ar),tt=new Map;let zt,Rn,li;const hi=$t.hasInvalidatedResolutions||Xm;$t.resolveModuleNameLiterals?(li=$t.resolveModuleNameLiterals.bind($t),Rn=(l=$t.getModuleResolutionCache)==null?void 0:l.call($t)):$t.resolveModuleNames?(li=(ze,Ze,Mt,Ht,yn,Yn)=>$t.resolveModuleNames(ze.map(Ece),Ze,Yn==null?void 0:Yn.map(Ece),Mt,Ht,yn).map(gn=>gn?gn.extension!==void 0?{resolvedModule:gn}:{resolvedModule:{...gn,extension:QC(gn.resolvedFileName)}}:Ice),Rn=(u=$t.getModuleResolutionCache)==null?void 0:u.call($t)):(Rn=cD(Di,te,G),li=(ze,Ze,Mt,Ht,yn)=>qw(ze,Ze,Mt,Ht,yn,$t,Rn,BJ));let Qi;if($t.resolveTypeReferenceDirectiveReferences)Qi=$t.resolveTypeReferenceDirectiveReferences.bind($t);else if($t.resolveTypeReferenceDirectives)Qi=(ze,Ze,Mt,Ht,yn)=>$t.resolveTypeReferenceDirectives(ze.map(GJ),Ze,Mt,Ht,yn==null?void 0:yn.impliedNodeFormat).map(Yn=>({resolvedTypeReferenceDirective:Yn}));else{const ze=zF(Di,te,void 0,Rn==null?void 0:Rn.getPackageJsonInfoCache(),Rn==null?void 0:Rn.optionsToRedirectsKey);Qi=(Ze,Mt,Ht,yn,Yn)=>qw(Ze,Mt,Ht,yn,Yn,$t,ze,E7)}const Io=$t.hasInvalidatedLibResolutions||Xm;let ma;if($t.resolveLibrary)ma=$t.resolveLibrary.bind($t);else{const ze=cD(Di,te,G,Rn==null?void 0:Rn.getPackageJsonInfoCache());ma=(Ze,Mt,Ht)=>BF(Ze,Mt,Ht,$t,ze)}const Wa=new Map;let Sn=new Map,kn=Zp(),qe=!1;const mt=new Map;let ft=new Map;const Ct=$t.useCaseSensitiveFileNames()?new Map:void 0;let le,Fe,pt,j;const ot=!!((p=$t.useSourceOfProjectReferenceRedirect)!=null&&p.call($t))&&!G.disableSourceOfProjectReferenceRedirect,{onProgramCreateComplete:Rt,fileExists:Ye,directoryExists:_t}=MHe({compilerHost:$t,getSymlinkCache:H_,useSourceOfProjectReferenceRedirect:ot,toPath:ir,getResolvedProjectReferences:Lo,getSourceOfProjectReferenceRedirect:Cd,forEachResolvedProjectReference:jd}),Vt=$t.readFile.bind($t);(h=sr)==null||h.push(sr.Phase.Program,"shouldProgramCreateNewSourceFiles",{hasOldProgram:!!$});const vn=CHe($,G);(m=sr)==null||m.pop();let fn;if((b=sr)==null||b.push(sr.Phase.Program,"tryReuseStructureFromOldProgram",{}),fn=xo(),(A=sr)==null||A.pop(),fn!==2){if(oe=[],fe=[],he&&(le||(le=he.map(jt)),se.length&&(le==null||le.forEach((ze,Ze)=>{if(!ze)return;const Mt=Ps(ze.commandLine.options);if(ot){if(Mt||wu(ze.commandLine.options)===0)for(const Ht of ze.commandLine.fileNames)Wt(Ht,{kind:1,index:Ze})}else if(Mt)Wt(S0(Mt,".d.ts"),{kind:2,index:Ze});else if(wu(ze.commandLine.options)===0){const Ht=Td(()=>_D(ze.commandLine,!$t.useCaseSensitiveFileNames()));for(const yn of ze.commandLine.fileNames)!Su(yn)&&!Il(yn,".json")&&Wt(YP(yn,ze.commandLine,!$t.useCaseSensitiveFileNames(),Ht),{kind:2,index:Ze})}}))),(I=sr)==null||I.push(sr.Phase.Program,"processRootFiles",{count:se.length}),Ue(se,(ze,Ze)=>Us(ze,!1,!1,{kind:0,index:Ze})),(R=sr)==null||R.pop(),Se??(Se=se.length?WF(G,$t):et),je=TI(),Se.length){(M=sr)==null||M.push(sr.Phase.Program,"processTypeReferences",{count:Se.length});const ze=G.configFilePath?ai(G.configFilePath):Di,Ze=Qr(ze,yD),Mt=Ii(Se,Ze);for(let Ht=0;Ht<Se.length;Ht++)je.set(Se[Ht],void 0,Mt[Ht]),Bu(Se[Ht],void 0,Mt[Ht],{kind:8,typeReference:Se[Ht],packageId:(O=(C=Mt[Ht])==null?void 0:C.resolvedTypeReferenceDirective)==null?void 0:O.packageId});(U=sr)==null||U.pop()}if(se.length&&!ao){const ze=Oi();!G.lib&&ze?Us(ze,!0,!1,{kind:6}):Ue(G.lib,(Ze,Mt)=>{Us(xp(Ze),!0,!1,{kind:6,index:Mt})})}Ne=Dv(oe,Ni).concat(fe),oe=void 0,fe=void 0}if($&&$t.onReleaseOldSourceFile){const ze=$.getSourceFiles();for(const Ze of ze){const Mt=_l(Ze.resolvedPath);(vn||!Mt||Mt.impliedNodeFormat!==Ze.impliedNodeFormat||Ze.resolvedPath===Ze.path&&Mt.resolvedPath!==Ze.path)&&$t.onReleaseOldSourceFile(Ze,$.getCompilerOptions(),!!_l(Ze.path))}$t.getParsedCommandLine||$.forEachResolvedProjectReference(Ze=>{U_(Ze.sourceFile.path)||$t.onReleaseOldSourceFile(Ze.sourceFile,$.getCompilerOptions(),!1)})}$&&$t.onReleaseParsedCommandLine&&S7($.getProjectReferences(),$.getResolvedProjectReferences(),(ze,Ze,Mt)=>{const Ht=(Ze==null?void 0:Ze.commandLine.projectReferences[Mt])||$.getProjectReferences()[Mt],yn=vD(Ht);Fe!=null&&Fe.has(ir(yn))||$t.onReleaseParsedCommandLine(yn,ze,$.getCompilerOptions())}),$=void 0,Gt=void 0,$e=void 0,tn=void 0;const _n={getRootFileNames:()=>se,getSourceFile:La,getSourceFileByPath:_l,getSourceFiles:()=>Ne,getMissingFilePaths:()=>ft,getModuleResolutionCache:()=>Rn,getFilesByNameMap:()=>mt,getCompilerOptions:()=>G,getSyntacticDiagnostics:lc,getOptionsDiagnostics:Lr,getGlobalDiagnostics:Tl,getSemanticDiagnostics:Nl,getCachedSemanticDiagnostics:ul,getSuggestionDiagnostics:we,getDeclarationDiagnostics:js,getBindAndCheckDiagnostics:ko,getProgramDiagnostics:nn,getTypeChecker:ho,getClassifiableNames:vo,getCommonSourceDirectory:jr,emit:pa,getCurrentDirectory:()=>Di,getNodeCount:()=>ho().getNodeCount(),getIdentifierCount:()=>ho().getIdentifierCount(),getSymbolCount:()=>ho().getSymbolCount(),getTypeCount:()=>ho().getTypeCount(),getInstantiationCount:()=>ho().getInstantiationCount(),getRelationCacheSizes:()=>ho().getRelationCacheSizes(),getFileProcessingDiagnostics:()=>At,getResolvedTypeReferenceDirectives:()=>We,getAutomaticTypeDirectiveNames:()=>Se,getAutomaticTypeDirectiveResolutions:()=>je,isSourceFileFromExternalLibrary:vl,isSourceFileDefaultLibrary:uu,getModeForUsageLocation:_f,getModeForResolutionAtIndex:ev,getSourceFileFromReference:cm,getLibFileFromReference:Wc,sourceFileToPackageName:Sn,redirectTargetsMap:kn,usesUriStyleNodeCoreModules:qe,resolvedModules:vt,resolvedTypeReferenceDirectiveNames:It,resolvedLibReferences:at,getResolvedModule:q,getResolvedModuleFromModuleSpecifier:Oe,getResolvedTypeReferenceDirective:Lt,forEachResolvedModule:L,forEachResolvedTypeReferenceDirective:_e,getCurrentPackagesMap:()=>rn,typesPackageExists:Ge,packageBundlesTypes:ke,isEmittedFile:R1,getConfigFileParsingDiagnostics:Hc,getProjectReferences:cs,getResolvedProjectReferences:Lo,getProjectReferenceRedirect:Vl,getResolvedProjectReferenceToRedirect:ff,getResolvedProjectReferenceByPath:U_,forEachResolvedProjectReference:jd,isSourceOfProjectReferenceRedirect:Of,emitBuildInfo:Ql,fileExists:Ye,readFile:Vt,directoryExists:_t,getSymlinkCache:H_,realpath:(K=$t.realpath)==null?void 0:K.bind($t),useCaseSensitiveFileNames:()=>$t.useCaseSensitiveFileNames(),getCanonicalFileName:te,getFileIncludeReasons:()=>Ee,structureIsReused:fn,writeFile:Fs};return Rt(),At==null||At.forEach(ze=>{switch(ze.kind){case 1:return Mn.add(oi(ze.file&&_l(ze.file),ze.fileProcessingReason,ze.diagnostic,ze.args||et));case 0:const{file:Ze,pos:Mt,end:Ht}=QP(_n,ze.reason);return Mn.add(Qc(Ze,N.checkDefined(Mt),N.checkDefined(Ht)-Mt,ze.diagnostic,...ze.args||et));case 2:return ze.diagnostics.forEach(yn=>Mn.add(yn));default:N.assertNever(ze)}}),F(),il("afterProgram"),ef("Program","beforeProgram","afterProgram"),(Z=sr)==null||Z.pop(),_n;function q(ze,Ze,Mt){var Ht;return(Ht=vt==null?void 0:vt.get(ze.path))==null?void 0:Ht.get(Ze,Mt)}function Oe(ze){const Ze=jn(ze);return N.assertIsDefined(Ze,"`moduleSpecifier` must have a `SourceFile` ancestor. Use `program.getResolvedModule` instead to provide the containing file and resolution mode."),q(Ze,ze.text,_f(Ze,ze))}function Lt(ze,Ze,Mt){var Ht;return(Ht=It==null?void 0:It.get(ze.path))==null?void 0:Ht.get(Ze,Mt)}function L(ze,Ze){J(vt,ze,Ze)}function _e(ze,Ze){J(It,ze,Ze)}function J(ze,Ze,Mt){var Ht;Mt?(Ht=ze==null?void 0:ze.get(Mt.path))==null||Ht.forEach((yn,Yn,gn)=>Ze(yn,Yn,gn,Mt.path)):ze==null||ze.forEach((yn,Yn)=>yn.forEach((gn,$n,fi)=>Ze(gn,$n,fi,Yn)))}function me(){return rn||(rn=new Map,L(({resolvedModule:ze})=>{ze!=null&&ze.packageId&&rn.set(ze.packageId.name,ze.extension===".d.ts"||!!rn.get(ze.packageId.name))}),rn)}function Ge(ze){return me().has(jF(ze))}function ke(ze){return!!me().get(ze)}function Tt(ze){var Ze;(Ze=ze.resolutionDiagnostics)!=null&&Ze.length&&(At??(At=[])).push({kind:2,diagnostics:ze.resolutionDiagnostics})}function kt(ze,Ze,Mt,Ht){if($t.resolveModuleNameLiterals||!$t.resolveModuleNames)return Tt(Mt);if(!Rn||Yc(Ze))return;const yn=go(ze.originalFileName,Di),Yn=ai(yn),gn=Bn(ze),$n=Rn.getFromNonRelativeNameCache(Ze,Ht,Yn,gn);$n&&Tt($n)}function en(ze,Ze,Mt){var Ht,yn;if(!ze.length)return et;const Yn=go(Ze.originalFileName,Di),gn=Bn(Ze);(Ht=sr)==null||Ht.push(sr.Phase.Program,"resolveModuleNamesWorker",{containingFileName:Yn}),il("beforeResolveModule");const $n=li(ze,Yn,gn,G,Ze,Mt);return il("afterResolveModule"),ef("ResolveModule","beforeResolveModule","afterResolveModule"),(yn=sr)==null||yn.pop(),$n}function bn(ze,Ze,Mt){var Ht,yn;if(!ze.length)return[];const Yn=Po(Ze)?void 0:Ze,gn=Po(Ze)?Ze:go(Ze.originalFileName,Di),$n=Yn&&Bn(Yn);(Ht=sr)==null||Ht.push(sr.Phase.Program,"resolveTypeReferenceDirectiveNamesWorker",{containingFileName:gn}),il("beforeResolveTypeReference");const fi=Qi(ze,gn,$n,G,Yn,Mt);return il("afterResolveTypeReference"),ef("ResolveTypeReference","beforeResolveTypeReference","afterResolveTypeReference"),(yn=sr)==null||yn.pop(),fi}function Bn(ze){const Ze=ff(ze.originalFileName);if(Ze||!Su(ze.originalFileName))return Ze;const Mt=Hn(ze.path);if(Mt)return Mt;if(!$t.realpath||!G.preserveSymlinks||!ze.originalFileName.includes(xh))return;const Ht=ir($t.realpath(ze.originalFileName));return Ht===ze.path?void 0:Hn(Ht)}function Hn(ze){const Ze=Cd(ze);if(Po(Ze))return ff(Ze);if(Ze)return jd(Mt=>{const Ht=Ps(Mt.commandLine.options);if(Ht)return ir(Ht)===ze?Mt:void 0})}function Ni(ze,Ze){return rl(Ai(ze),Ai(Ze))}function Ai(ze){if(bm(No,ze.fileName,!1)){const Ze=ic(ze.fileName);if(Ze==="lib.d.ts"||Ze==="lib.es6.d.ts")return 0;const Mt=Bx(QN(Ze,"lib."),".d.ts"),Ht=yw.indexOf(Mt);if(Ht!==-1)return Ht+1}return yw.length+2}function ir(ze){return ol(ze,Di,te)}function jr(){if(ie===void 0){const ze=nr(Ne,Ze=>MS(Ze,_n));ie=$P(G,()=>Hi(ze,Ze=>Ze.isDeclarationFile?void 0:Ze.fileName),Di,te,Ze=>Ft(ze,Ze))}return ie}function vo(){var ze;if(!Le){ho(),Le=new Set;for(const Ze of Ne)(ze=Ze.classifiableNames)==null||ze.forEach(Mt=>Le.add(Mt))}return Le}function Ci(ze,Ze){if(fn===0&&!Ze.ambientModuleNames.length)return en(ze,Ze,void 0);let Mt,Ht,yn;const Yn=Ice,gn=$&&$.getSourceFile(Ze.fileName);for(let ri=0;ri<ze.length;ri++){const fr=ze[ri];if(Ze===gn&&!hi(Ze.path)){const Ka=$==null?void 0:$.getResolvedModule(Ze,fr.text,_f(Ze,fr));if(Ka!=null&&Ka.resolvedModule){Jg(G,$t)&&bo($t,Ka.resolvedModule.packageId?f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2,fr.text,go(Ze.originalFileName,Di),Ka.resolvedModule.resolvedFileName,Ka.resolvedModule.packageId&&By(Ka.resolvedModule.packageId)),(Ht??(Ht=new Array(ze.length)))[ri]=Ka,(yn??(yn=[])).push(fr);continue}}let Zo=!1;Nr(Ze.ambientModuleNames,fr.text)?(Zo=!0,Jg(G,$t)&&bo($t,f.Module_0_was_resolved_as_locally_declared_ambient_module_in_file_1,fr.text,go(Ze.originalFileName,Di))):Zo=cr(fr),Zo?(Ht||(Ht=new Array(ze.length)))[ri]=Yn:(Mt??(Mt=[])).push(fr)}const $n=Mt&&Mt.length?en(Mt,Ze,yn):et;if(!Ht)return N.assert($n.length===ze.length),$n;let fi=0;for(let ri=0;ri<Ht.length;ri++)Ht[ri]||(Ht[ri]=$n[fi],fi++);return N.assert(fi===$n.length),Ht;function cr(ri){var fr;const Zo=(fr=$==null?void 0:$.getResolvedModule(Ze,ri.text,_f(Ze,ri)))==null?void 0:fr.resolvedModule,Ka=Zo&&$.getSourceFile(Zo.resolvedFileName);if(Zo&&Ka)return!1;const Zu=He.get(ri.text);return Zu?(Jg(G,$t)&&bo($t,f.Module_0_was_resolved_as_ambient_module_declared_in_1_since_this_file_was_not_modified,ri.text,Zu),!0):!1}}function Ii(ze,Ze){var Mt;if(fn===0)return bn(ze,Ze,void 0);let Ht,yn,Yn;const gn=Po(Ze)?void 0:Ze,$n=Po(Ze)?void 0:$&&$.getSourceFile(Ze.fileName),fi=Po(Ze)?!hi(ir(Ze)):Ze===$n&&!hi(Ze.path);for(let fr=0;fr<ze.length;fr++){const Zo=ze[fr];if(fi){const Ka=GJ(Zo),Zu=Hw(Zo,gn==null?void 0:gn.impliedNodeFormat),mu=Po(Ze)?(Mt=$==null?void 0:$.getAutomaticTypeDirectiveResolutions())==null?void 0:Mt.get(Ka,Zu):$==null?void 0:$.getResolvedTypeReferenceDirective(Ze,Ka,Zu);if(mu!=null&&mu.resolvedTypeReferenceDirective){Jg(G,$t)&&bo($t,mu.resolvedTypeReferenceDirective.packageId?f.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:f.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2,Ka,Po(Ze)?Ze:go(Ze.originalFileName,Di),mu.resolvedTypeReferenceDirective.resolvedFileName,mu.resolvedTypeReferenceDirective.packageId&&By(mu.resolvedTypeReferenceDirective.packageId)),(yn??(yn=new Array(ze.length)))[fr]=mu,(Yn??(Yn=[])).push(Zo);continue}}(Ht??(Ht=[])).push(Zo)}if(!Ht)return yn||et;const cr=bn(Ht,Ze,Yn);if(!yn)return N.assert(cr.length===ze.length),cr;let ri=0;for(let fr=0;fr<yn.length;fr++)yn[fr]||(yn[fr]=cr[ri],ri++);return N.assert(ri===cr.length),yn}function Kr(){return!S7($.getProjectReferences(),$.getResolvedProjectReferences(),(ze,Ze,Mt)=>{const Ht=(Ze?Ze.commandLine.projectReferences:he)[Mt],yn=jt(Ht);return ze?!yn||yn.sourceFile!==ze.sourceFile||!Km(ze.commandLine.fileNames,yn.commandLine.fileNames):yn!==void 0},(ze,Ze)=>{const Mt=Ze?U_(Ze.sourceFile.path).commandLine.projectReferences:he;return!Km(ze,Mt,gj)})}function xo(){var ze;if(!$)return 0;const Ze=$.getCompilerOptions();if(W4(Ze,G))return 0;const Mt=$.getRootFileNames();if(!Km(Mt,se)||!Kr())return 0;he&&(le=he.map(jt));const Ht=[],yn=[];if(fn=2,Gc($.getMissingFilePaths(),cr=>$t.fileExists(cr)))return 0;const Yn=$.getSourceFiles();let gn;(cr=>{cr[cr.Exists=0]="Exists",cr[cr.Modified=1]="Modified"})(gn||(gn={}));const $n=new Map;for(const cr of Yn){const ri=na(cr.fileName,Rn,$t,G);let fr=$t.getSourceFileByPath?$t.getSourceFileByPath(cr.fileName,cr.resolvedPath,ri,void 0,vn):$t.getSourceFile(cr.fileName,ri,void 0,vn);if(!fr)return 0;fr.packageJsonLocations=(ze=ri.packageJsonLocations)!=null&&ze.length?ri.packageJsonLocations:void 0,fr.packageJsonScope=ri.packageJsonScope,N.assert(!fr.redirectInfo,"Host should not return a redirect source file from `getSourceFile`");let Zo;if(cr.redirectInfo){if(fr!==cr.redirectInfo.unredirected)return 0;Zo=!1,fr=cr}else if($.redirectTargetsMap.has(cr.path)){if(fr!==cr)return 0;Zo=!1}else Zo=fr!==cr;fr.path=cr.path,fr.originalFileName=cr.originalFileName,fr.resolvedPath=cr.resolvedPath,fr.fileName=cr.fileName;const Ka=$.sourceFileToPackageName.get(cr.path);if(Ka!==void 0){const Zu=$n.get(Ka),mu=Zo?1:0;if(Zu!==void 0&&mu===1||Zu===1)return 0;$n.set(Ka,mu)}if(Zo)cr.impliedNodeFormat!==fr.impliedNodeFormat?fn=1:Km(cr.libReferenceDirectives,fr.libReferenceDirectives,vc)?cr.hasNoDefaultLib!==fr.hasNoDefaultLib?fn=1:Km(cr.referencedFiles,fr.referencedFiles,vc)?(op(fr),Km(cr.imports,fr.imports,Ss)&&Km(cr.moduleAugmentations,fr.moduleAugmentations,Ss)?(cr.flags&12582912)!==(fr.flags&12582912)?fn=1:Km(cr.typeReferenceDirectives,fr.typeReferenceDirectives,vc)||(fn=1):fn=1):fn=1:fn=1,yn.push(fr);else if(hi(cr.path))fn=1,yn.push(fr);else for(const Zu of cr.ambientModuleNames)He.set(Zu,cr.fileName);Ht.push(fr)}if(fn!==2)return fn;for(const cr of yn){const ri=pRe(cr),fr=Ci(ri,cr);($e??($e=new Map)).set(cr.path,fr),vj(ri,fr,tv=>$.getResolvedModule(cr,tv.text,_f(cr,tv)),lie)&&(fn=1);const Ka=cr.typeReferenceDirectives,Zu=Ii(Ka,cr);(tn??(tn=new Map)).set(cr.path,Zu),vj(Ka,Zu,tv=>$.getResolvedTypeReferenceDirective(cr,GJ(tv),Hw(tv,cr.impliedNodeFormat)),cie)&&(fn=1)}if(fn!==2)return fn;if(aie(Ze,G)||$.resolvedLibReferences&&Gc($.resolvedLibReferences,(cr,ri)=>_g(ri).actual!==cr.actual))return 1;if($t.hasChangedAutomaticTypeDirectiveNames){if($t.hasChangedAutomaticTypeDirectiveNames())return 1}else if(Se=WF(G,$t),!Km($.getAutomaticTypeDirectiveNames(),Se))return 1;ft=$.getMissingFilePaths(),N.assert(Ht.length===$.getSourceFiles().length);for(const cr of Ht)mt.set(cr.path,cr);return $.getFilesByNameMap().forEach((cr,ri)=>{if(!cr){mt.set(ri,cr);return}if(cr.path===ri){$.isSourceFileFromExternalLibrary(cr)&&Qt.set(cr.path,!0);return}mt.set(ri,mt.get(cr.path))}),Ne=Ht,Ee=$.getFileIncludeReasons(),At=$.getFileProcessingDiagnostics(),We=$.getResolvedTypeReferenceDirectives(),Se=$.getAutomaticTypeDirectiveNames(),je=$.getAutomaticTypeDirectiveResolutions(),Sn=$.sourceFileToPackageName,kn=$.redirectTargetsMap,qe=$.usesUriStyleNodeCoreModules,vt=$.resolvedModules,It=$.resolvedTypeReferenceDirectiveNames,at=$.resolvedLibReferences,rn=$.getCurrentPackagesMap(),2}function Dn(ze){return{getPrependNodes:Vs,getCanonicalFileName:te,getCommonSourceDirectory:_n.getCommonSourceDirectory,getCompilerOptions:_n.getCompilerOptions,getCurrentDirectory:()=>Di,getSourceFile:_n.getSourceFile,getSourceFileByPath:_n.getSourceFileByPath,getSourceFiles:_n.getSourceFiles,getLibFileFromReference:_n.getLibFileFromReference,isSourceFileFromExternalLibrary:vl,getResolvedProjectReferenceToRedirect:ff,getProjectReferenceRedirect:Vl,isSourceOfProjectReferenceRedirect:Of,getSymlinkCache:H_,writeFile:ze||Fs,isEmitBlocked:du,readFile:Ze=>$t.readFile(Ze),fileExists:Ze=>{const Mt=ir(Ze);return _l(Mt)?!0:ft.has(Mt)?!1:$t.fileExists(Ze)},useCaseSensitiveFileNames:()=>$t.useCaseSensitiveFileNames(),getBuildInfo:Ze=>{var Mt;return(Mt=_n.getBuildInfo)==null?void 0:Mt.call(_n,Ze)},getSourceFileFromReference:(Ze,Mt)=>_n.getSourceFileFromReference(Ze,Mt),redirectTargetsMap:kn,getFileIncludeReasons:_n.getFileIncludeReasons,createHash:oa($t,$t.createHash)}}function Fs(ze,Ze,Mt,Ht,yn,Yn){$t.writeFile(ze,Ze,Mt,Ht,yn,Yn)}function Ql(ze){var Ze,Mt;N.assert(!Ps(G)),(Ze=sr)==null||Ze.push(sr.Phase.Emit,"emitBuildInfo",{},!0),il("beforeEmit");const Ht=m7(h7,Dn(ze),void 0,bJ,!1,!0);return il("afterEmit"),ef("Emit","beforeEmit","afterEmit"),(Mt=sr)==null||Mt.pop(),Ht}function Lo(){return le}function cs(){return he}function Vs(){return JJ(he,(ze,Ze)=>{var Mt;return(Mt=le[Ze])==null?void 0:Mt.commandLine},ze=>{const Ze=ir(ze),Mt=_l(Ze);return Mt?Mt.text:mt.has(Ze)?void 0:$t.readFile(Ze)},$t)}function vl(ze){return!!Qt.get(ze.path)}function uu(ze){if(!ze.isDeclarationFile)return!1;if(ze.hasNoDefaultLib)return!0;if(!G.noLib)return!1;const Ze=$t.useCaseSensitiveFileNames()?dS:i0;return G.lib?bt(G.lib,Mt=>Ze(ze.fileName,at.get(Mt).actual)):Ze(ze.fileName,Oi())}function ho(){return ve||(ve=hle(_n))}function pa(ze,Ze,Mt,Ht,yn,Yn){var gn,$n;(gn=sr)==null||gn.push(sr.Phase.Emit,"emit",{path:ze==null?void 0:ze.path},!0);const fi=pu(()=>As(_n,ze,Ze,Mt,Ht,yn,Yn));return($n=sr)==null||$n.pop(),fi}function du(ze){return tt.has(ir(ze))}function As(ze,Ze,Mt,Ht,yn,Yn,gn){if(!gn){const cr=qJ(ze,Ze,Mt,Ht);if(cr)return cr}const $n=ho().getEmitResolver(Ps(G)?void 0:Ze,Ht);il("beforeEmit");const fi=m7($n,Dn(Mt),Ze,yJ(G,Yn,yn),yn,!1,gn);return il("afterEmit"),ef("Emit","beforeEmit","afterEmit"),fi}function La(ze){return _l(ir(ze))}function _l(ze){return mt.get(ze)||void 0}function wf(ze,Ze,Mt){return Yx(ze?Ze(ze,Mt):Pi(_n.getSourceFiles(),Ht=>(Mt&&Mt.throwIfCancellationRequested(),Ze(Ht,Mt))))}function lc(ze,Ze){return wf(ze,nu,Ze)}function Nl(ze,Ze){return wf(ze,Ip,Ze)}function ul(ze){var Ze;return ze?(Ze=De.perFile)==null?void 0:Ze.get(ze.path):De.allDiagnostics}function ko(ze,Ze){return Ms(ze,Ze)}function nn(ze){var Ze;if(ZC(ze,G,_n))return et;const Mt=Mn.getDiagnostics(ze.fileName);return(Ze=ze.commentDirectives)!=null&&Ze.length?ee(ze,ze.commentDirectives,Mt).diagnostics:Mt}function js(ze,Ze){const Mt=_n.getCompilerOptions();return!ze||Ps(Mt)?Er(ze,Ze):wf(ze,xi,Ze)}function nu(ze){return dd(ze)?(ze.additionalSyntacticDiagnostics||(ze.additionalSyntacticDiagnostics=un(ze)),So(ze.additionalSyntacticDiagnostics,ze.parseDiagnostics)):ze.parseDiagnostics}function pu(ze){try{return ze()}catch(Ze){throw Ze instanceof Hx&&(ve=void 0),Ze}}function Ip(ze,Ze){return So(I7(Ms(ze,Ze),G),nn(ze))}function Ms(ze,Ze){return Ur(ze,Ze,De,Rc)}function Rc(ze,Ze){return pu(()=>{if(ZC(ze,G,_n))return et;const Mt=ho();N.assert(!!ze.bindDiagnostics);const yn=(ze.scriptKind===1||ze.scriptKind===2)&&Ak(ze,G),Yn=RL(ze,G.checkJs),$n=!(!!ze.checkJsDirective&&ze.checkJsDirective.enabled===!1)&&(ze.scriptKind===3||ze.scriptKind===4||ze.scriptKind===5||Yn||yn||ze.scriptKind===7);let fi=$n?ze.bindDiagnostics:et,cr=$n?Mt.getDiagnostics(ze,Ze):et;return Yn&&(fi=nr(fi,ri=>D7.has(ri.code)),cr=nr(cr,ri=>D7.has(ri.code))),de(ze,$n&&!Yn,fi,cr,yn?ze.jsDocDiagnostics:void 0)})}function de(ze,Ze,...Mt){var Ht;const yn=ia(Mt);if(!Ze||!((Ht=ze.commentDirectives)!=null&&Ht.length))return yn;const{diagnostics:Yn,directives:gn}=ee(ze,ze.commentDirectives,yn);for(const $n of gn.getUnusedExpectations())Yn.push(Aie(ze,$n.range,f.Unused_ts_expect_error_directive));return Yn}function ee(ze,Ze,Mt){const Ht=pie(ze,Ze);return{diagnostics:Mt.filter(Yn=>Et(Yn,Ht)===-1),directives:Ht}}function we(ze,Ze){return pu(()=>ho().getSuggestionDiagnostics(ze,Ze))}function Et(ze,Ze){const{file:Mt,start:Ht}=ze;if(!Mt)return-1;const yn=kg(Mt);let Yn=Kx(yn,Ht).line-1;for(;Yn>=0;){if(Ze.markUsed(Yn))return Yn;const gn=Mt.text.slice(yn[Yn],yn[Yn+1]).trim();if(gn!==""&&!/^(\s*)\/\/(.*)$/.test(gn))return-1;Yn--}return-1}function un(ze){return pu(()=>{const Ze=[];return Mt(ze,ze),CP(ze,Mt,Ht),Ze;function Mt($n,fi){switch(fi.kind){case 169:case 172:case 174:if(fi.questionToken===$n)return Ze.push(gn($n,f.The_0_modifier_can_only_be_used_in_TypeScript_files,"?")),"skip";case 173:case 176:case 177:case 178:case 218:case 262:case 219:case 260:if(fi.type===$n)return Ze.push(gn($n,f.Type_annotations_can_only_be_used_in_TypeScript_files)),"skip"}switch($n.kind){case 273:if($n.isTypeOnly)return Ze.push(gn(fi,f._0_declarations_can_only_be_used_in_TypeScript_files,"import type")),"skip";break;case 278:if($n.isTypeOnly)return Ze.push(gn($n,f._0_declarations_can_only_be_used_in_TypeScript_files,"export type")),"skip";break;case 276:case 281:if($n.isTypeOnly)return Ze.push(gn($n,f._0_declarations_can_only_be_used_in_TypeScript_files,np($n)?"import...type":"export...type")),"skip";break;case 271:return Ze.push(gn($n,f.import_can_only_be_used_in_TypeScript_files)),"skip";case 277:if($n.isExportEquals)return Ze.push(gn($n,f.export_can_only_be_used_in_TypeScript_files)),"skip";break;case 298:if($n.token===119)return Ze.push(gn($n,f.implements_clauses_can_only_be_used_in_TypeScript_files)),"skip";break;case 264:const ri=fa(120);return N.assertIsDefined(ri),Ze.push(gn($n,f._0_declarations_can_only_be_used_in_TypeScript_files,ri)),"skip";case 267:const fr=$n.flags&32?fa(145):fa(144);return N.assertIsDefined(fr),Ze.push(gn($n,f._0_declarations_can_only_be_used_in_TypeScript_files,fr)),"skip";case 265:return Ze.push(gn($n,f.Type_aliases_can_only_be_used_in_TypeScript_files)),"skip";case 176:case 174:case 262:return $n.body?void 0:(Ze.push(gn($n,f.Signature_declarations_can_only_be_used_in_TypeScript_files)),"skip");case 266:const Zo=N.checkDefined(fa(94));return Ze.push(gn($n,f._0_declarations_can_only_be_used_in_TypeScript_files,Zo)),"skip";case 235:return Ze.push(gn($n,f.Non_null_assertions_can_only_be_used_in_TypeScript_files)),"skip";case 234:return Ze.push(gn($n.type,f.Type_assertion_expressions_can_only_be_used_in_TypeScript_files)),"skip";case 238:return Ze.push(gn($n.type,f.Type_satisfaction_expressions_can_only_be_used_in_TypeScript_files)),"skip";case 216:N.fail()}}function Ht($n,fi){if(ZH(fi)){const cr=An(fi.modifiers,Eu);cr&&Ze.push(gn(cr,f.Decorators_are_not_valid_here))}else if(QS(fi)&&fi.modifiers){const cr=Gr(fi.modifiers,Eu);if(cr>=0){if(Ao(fi)&&!G.experimentalDecorators)Ze.push(gn(fi.modifiers[cr],f.Decorators_are_not_valid_here));else if(Ic(fi)){const ri=Gr(fi.modifiers,oI);if(ri>=0){const fr=Gr(fi.modifiers,eF);if(cr>ri&&fr>=0&&cr<fr)Ze.push(gn(fi.modifiers[cr],f.Decorators_are_not_valid_here));else if(ri>=0&&cr<ri){const Zo=Gr(fi.modifiers,Eu,ri);Zo>=0&&Ze.push(wa(gn(fi.modifiers[Zo],f.Decorators_may_not_appear_after_export_or_export_default_if_they_also_appear_before_export),gn(fi.modifiers[cr],f.Decorator_used_before_export_here)))}}}}}switch(fi.kind){case 263:case 231:case 174:case 176:case 177:case 178:case 218:case 262:case 219:if($n===fi.typeParameters)return Ze.push(Yn($n,f.Type_parameter_declarations_can_only_be_used_in_TypeScript_files)),"skip";case 243:if($n===fi.modifiers)return yn(fi.modifiers,fi.kind===243),"skip";break;case 172:if($n===fi.modifiers){for(const cr of $n)xa(cr)&&cr.kind!==126&&cr.kind!==129&&Ze.push(gn(cr,f.The_0_modifier_can_only_be_used_in_TypeScript_files,fa(cr.kind)));return"skip"}break;case 169:if($n===fi.modifiers&&bt($n,xa))return Ze.push(Yn($n,f.Parameter_modifiers_can_only_be_used_in_TypeScript_files)),"skip";break;case 213:case 214:case 233:case 285:case 286:case 215:if($n===fi.typeArguments)return Ze.push(Yn($n,f.Type_arguments_can_only_be_used_in_TypeScript_files)),"skip";break}}function yn($n,fi){for(const cr of $n)switch(cr.kind){case 87:if(fi)continue;case 125:case 123:case 124:case 148:case 138:case 128:case 164:case 103:case 147:Ze.push(gn(cr,f.The_0_modifier_can_only_be_used_in_TypeScript_files,fa(cr.kind)));break;case 126:case 95:case 90:case 129:}}function Yn($n,fi,...cr){const ri=$n.pos;return Qc(ze,ri,$n.end-ri,fi,...cr)}function gn($n,fi,...cr){return $f(ze,$n,fi,...cr)}})}function Er(ze,Ze){return Ur(ze,Ze,Pe,vr)}function vr(ze,Ze){return pu(()=>{const Mt=ho().getEmitResolver(ze,Ze);return cce(Dn($a),Mt,ze)||et})}function Ur(ze,Ze,Mt,Ht){var yn;const Yn=ze?(yn=Mt.perFile)==null?void 0:yn.get(ze.path):Mt.allDiagnostics;if(Yn)return Yn;const gn=Ht(ze,Ze);return ze?(Mt.perFile||(Mt.perFile=new Map)).set(ze.path,gn):Mt.allDiagnostics=gn,gn}function xi(ze,Ze){return ze.isDeclarationFile?[]:Er(ze,Ze)}function Lr(){return Yx(So(Mn.getGlobalDiagnostics(),$o()))}function $o(){if(!G.configFile)return et;let ze=Mn.getDiagnostics(G.configFile.fileName);return jd(Ze=>{ze=So(ze,Mn.getDiagnostics(Ze.sourceFile.fileName))}),ze}function Tl(){return se.length?Yx(ho().getGlobalDiagnostics().slice()):et}function Hc(){return ne||et}function Us(ze,Ze,Mt,Ht){Ce(ga(ze),Ze,Mt,void 0,Ht)}function vc(ze,Ze){return ze.fileName===Ze.fileName}function Ss(ze,Ze){return ze.kind===80?Ze.kind===80&&ze.escapedText===Ze.escapedText:Ze.kind===11&&ze.text===Ze.text}function qc(ze,Ze){const Mt=w.createStringLiteral(ze),Ht=w.createImportDeclaration(void 0,void 0,Mt,void 0);return QA(Ht,2),qa(Mt,Ht),qa(Ht,Ze),Mt.flags&=-17,Ht.flags&=-17,Mt}function op(ze){if(ze.imports)return;const Ze=dd(ze),Mt=sc(ze);let Ht,yn,Yn;if((om(G)||Mt)&&!ze.isDeclarationFile){G.importHelpers&&(Ht=[qc(Ky,ze)]);const cr=K3(J3(G,ze),G);cr&&(Ht||(Ht=[])).push(qc(cr,ze))}for(const cr of ze.statements)gn(cr,!1);(ze.flags&4194304||Ze)&&$n(ze),ze.imports=Ht||et,ze.moduleAugmentations=yn||et,ze.ambientModuleNames=Yn||et;return;function gn(cr,ri){if(CL(cr)){const fr=yR(cr);fr&&Ma(fr)&&fr.text&&(!ri||!Yc(fr.text))&&(Jy(cr,!1),Ht=xn(Ht,fr),!qe&&Xn===0&&!ze.isDeclarationFile&&(qe=uo(fr.text,"node:")))}else if(Xl(cr)&&ku(cr)&&(ri||Zr(cr,128)||ze.isDeclarationFile)){cr.name.parent=cr;const fr=em(cr.name);if(Mt||ri&&!Yc(fr))(yn||(yn=[])).push(cr.name);else if(!ri){ze.isDeclarationFile&&(Yn||(Yn=[])).push(fr);const Zo=cr.body;if(Zo)for(const Ka of Zo.statements)gn(Ka,!0)}}}function $n(cr){const ri=/import|require/g;for(;ri.exec(cr.text)!==null;){const fr=fi(cr,ri.lastIndex);Ze&&Ad(fr,!0)||Bp(fr)&&fr.arguments.length>=1&&ls(fr.arguments[0])?(Jy(fr,!1),Ht=xn(Ht,fr.arguments[0])):Vy(fr)&&(Jy(fr,!1),Ht=xn(Ht,fr.argument.literal))}}function fi(cr,ri){let fr=cr;const Zo=Ka=>{if(Ka.pos<=ri&&(ri<Ka.end||ri===Ka.end&&Ka.kind===1))return Ka};for(;;){const Ka=Ze&&Fp(fr)&&Ue(fr.jsDoc,Zo)||Ho(fr,Zo);if(!Ka)return fr;fr=Ka}}}function Wc(ze){var Ze;const{libFileName:Mt}=dRe(ze),Ht=Mt&&((Ze=at==null?void 0:at.get(Mt))==null?void 0:Ze.actual);return Ht!==void 0?La(Ht):void 0}function cm(ze,Ze){return gd(b7(Ze.fileName,ze.fileName),La)}function gd(ze,Ze,Mt,Ht){if(xA(ze)){const yn=$t.getCanonicalFileName(ze);if(!G.allowNonTsExtensions&&!Ue(ia(sn),gn=>Il(yn,gn))){Mt&&(YE(yn)?Mt(f.File_0_is_a_JavaScript_file_Did_you_mean_to_enable_the_allowJs_option,ze):Mt(f.File_0_has_an_unsupported_extension_The_only_supported_extensions_are_1,ze,"'"+ia(Ar).join("', '")+"'"));return}const Yn=Ze(ze);if(Mt)if(Yn)w0(Ht)&&yn===$t.getCanonicalFileName(_l(Ht.file).fileName)&&Mt(f.A_file_cannot_have_a_reference_to_itself);else{const gn=Vl(ze);gn?Mt(f.Output_file_0_has_not_been_built_from_source_file_1,gn,ze):Mt(f.File_0_not_found,ze)}return Yn}else{const yn=G.allowNonTsExtensions&&Ze(ze);if(yn)return yn;if(Mt&&G.allowNonTsExtensions){Mt(f.File_0_not_found,ze);return}const Yn=Ue(Ar[0],gn=>Ze(ze+gn));return Mt&&!Yn&&Mt(f.Could_not_resolve_the_path_0_with_the_extensions_Colon_1,ze,"'"+ia(Ar).join("', '")+"'"),Yn}}function Ce(ze,Ze,Mt,Ht,yn){gd(ze,Yn=>Qo(Yn,Ze,Mt,yn,Ht),(Yn,...gn)=>$r(void 0,yn,Yn,gn),yn)}function Wt(ze,Ze){return Ce(ze,!1,!1,void 0,Ze)}function Jn(ze,Ze,Mt){!w0(Mt)&&bt(Ee.get(Ze.path),w0)?$r(Ze,Mt,f.Already_included_file_name_0_differs_from_file_name_1_only_in_casing,[Ze.fileName,ze]):$r(Ze,Mt,f.File_name_0_differs_from_already_included_file_name_1_only_in_casing,[ze,Ze.fileName])}function yo(ze,Ze,Mt,Ht,yn,Yn,gn){var $n;const fi=Ih.createRedirectedSourceFile({redirectTarget:ze,unredirected:Ze});return fi.fileName=Mt,fi.path=Ht,fi.resolvedPath=yn,fi.originalFileName=Yn,fi.packageJsonLocations=($n=gn.packageJsonLocations)!=null&&$n.length?gn.packageJsonLocations:void 0,fi.packageJsonScope=gn.packageJsonScope,Qt.set(Ht,Xn>0),fi}function Qo(ze,Ze,Mt,Ht,yn){var Yn,gn;(Yn=sr)==null||Yn.push(sr.Phase.Program,"findSourceFile",{fileName:ze,isDefaultLib:Ze||void 0,fileIncludeKind:$6[Ht.kind]});const $n=Zl(ze,Ze,Mt,Ht,yn);return(gn=sr)==null||gn.pop(),$n}function na(ze,Ze,Mt,Ht){const yn=HJ(go(ze,Di),Ze==null?void 0:Ze.getPackageJsonInfoCache(),Mt,Ht),Yn=os(Ht),gn=bk(Ht);return typeof yn=="object"?{...yn,languageVersion:Yn,setExternalModuleIndicator:gn,jsDocParsingMode:Mt.jsDocParsingMode}:{languageVersion:Yn,impliedNodeFormat:yn,setExternalModuleIndicator:gn,jsDocParsingMode:Mt.jsDocParsingMode}}function Zl(ze,Ze,Mt,Ht,yn){var Yn;const gn=ir(ze);if(ot){let fr=Cd(gn);if(!fr&&$t.realpath&&G.preserveSymlinks&&Su(ze)&&ze.includes(xh)){const Zo=ir($t.realpath(ze));Zo!==gn&&(fr=Cd(Zo))}if(fr){const Zo=Po(fr)?Qo(fr,Ze,Mt,Ht,yn):void 0;return Zo&&dl(Zo,gn,ze,void 0),Zo}}const $n=ze;if(mt.has(gn)){const fr=mt.get(gn);if(yc(fr||void 0,Ht),fr&&G.forceConsistentCasingInFileNames!==!1){const Zo=fr.fileName;ir(Zo)!==ir(ze)&&(ze=Vl(ze)||ze);const Zu=FV(Zo,Di),mu=FV(ze,Di);Zu!==mu&&Jn(ze,fr,Ht)}return fr&&Qt.get(fr.path)&&Xn===0?(Qt.set(fr.path,!1),G.noResolve||(Ch(fr,Ze),$g(fr)),G.noLib||Ud(fr),hn.set(fr.path,!1),ct(fr)):fr&&hn.get(fr.path)&&Xn<Pn&&(hn.set(fr.path,!1),ct(fr)),fr||void 0}let fi;if(w0(Ht)&&!ot){const fr=ec(ze);if(fr){if(Ps(fr.commandLine.options))return;const Zo=Jc(fr,ze);ze=Zo,fi=ir(Zo)}}const cr=na(ze,Rn,$t,G),ri=$t.getSourceFile(ze,cr,fr=>$r(void 0,Ht,f.Cannot_read_file_0_Colon_1,[ze,fr]),vn);if(yn){const fr=By(yn),Zo=Wa.get(fr);if(Zo){const Ka=yo(Zo,ri,ze,gn,ir(ze),$n,cr);return kn.add(Zo.path,ze),dl(Ka,gn,ze,fi),yc(Ka,Ht),Sn.set(gn,B4(yn)),fe.push(Ka),Ka}else ri&&(Wa.set(fr,ri),Sn.set(gn,B4(yn)))}if(dl(ri,gn,ze,fi),ri){if(Qt.set(gn,Xn>0),ri.fileName=ze,ri.path=gn,ri.resolvedPath=ir(ze),ri.originalFileName=$n,ri.packageJsonLocations=(Yn=cr.packageJsonLocations)!=null&&Yn.length?cr.packageJsonLocations:void 0,ri.packageJsonScope=cr.packageJsonScope,yc(ri,Ht),$t.useCaseSensitiveFileNames()){const fr=ch(gn),Zo=Ct.get(fr);Zo?Jn(ze,Zo,Ht):Ct.set(fr,ri)}ao=ao||ri.hasNoDefaultLib&&!Mt,G.noResolve||(Ch(ri,Ze),$g(ri)),G.noLib||Ud(ri),ct(ri),Ze?oe.push(ri):fe.push(ri)}return ri}function yc(ze,Ze){ze&&Ee.add(ze.path,Ze)}function dl(ze,Ze,Mt,Ht){Ht?(yl(Mt,Ht,ze),yl(Mt,Ze,ze||!1)):yl(Mt,Ze,ze)}function yl(ze,Ze,Mt){mt.set(Ze,Mt),Mt!==void 0?ft.delete(Ze):ft.set(Ze,ze)}function Vl(ze){const Ze=ec(ze);return Ze&&Jc(Ze,ze)}function ec(ze){if(!(!le||!le.length||Su(ze)||Il(ze,".json")))return ff(ze)}function Jc(ze,Ze){const Mt=Ps(ze.commandLine.options);return Mt?S0(Mt,".d.ts"):YP(Ze,ze.commandLine,!$t.useCaseSensitiveFileNames())}function ff(ze){pt===void 0&&(pt=new Map,jd(Mt=>{ir(G.configFilePath)!==Mt.sourceFile.path&&Mt.commandLine.fileNames.forEach(Ht=>pt.set(ir(Ht),Mt.sourceFile.path))}));const Ze=pt.get(ir(ze));return Ze&&U_(Ze)}function jd(ze){return VJ(le,ze)}function Cd(ze){if(Su(ze))return j===void 0&&(j=new Map,jd(Ze=>{const Mt=Ps(Ze.commandLine.options);if(Mt){const Ht=S0(Mt,".d.ts");j.set(ir(Ht),!0)}else{const Ht=Td(()=>_D(Ze.commandLine,!$t.useCaseSensitiveFileNames()));Ue(Ze.commandLine.fileNames,yn=>{if(!Su(yn)&&!Il(yn,".json")){const Yn=YP(yn,Ze.commandLine,!$t.useCaseSensitiveFileNames(),Ht);j.set(ir(Yn),yn)}})}})),j.get(ze)}function Of(ze){return ot&&!!ff(ze)}function U_(ze){if(Fe)return Fe.get(ze)||void 0}function Ch(ze,Ze){Ue(ze.referencedFiles,(Mt,Ht)=>{Ce(b7(Mt.fileName,ze.fileName),Ze,!1,void 0,{kind:4,file:ze.path,index:Ht})})}function $g(ze){const Ze=ze.typeReferenceDirectives;if(!Ze.length)return;const Mt=(tn==null?void 0:tn.get(ze.path))||Ii(Ze,ze),Ht=TI();(It??(It=new Map)).set(ze.path,Ht);for(let yn=0;yn<Ze.length;yn++){const Yn=ze.typeReferenceDirectives[yn],gn=Mt[yn],$n=ch(Yn.fileName);Ht.set($n,Hw(Yn,ze.impliedNodeFormat),gn);const fi=Yn.resolutionMode||ze.impliedNodeFormat;Bu($n,fi,gn,{kind:5,file:ze.path,index:yn})}}function Bu(ze,Ze,Mt,Ht){var yn,Yn;(yn=sr)==null||yn.push(sr.Phase.Program,"processTypeReferenceDirective",{directive:ze,hasResolved:!!Mt.resolvedTypeReferenceDirective,refKind:Ht.kind,refPath:w0(Ht)?Ht.file:void 0}),Qg(ze,Ze,Mt,Ht),(Yn=sr)==null||Yn.pop()}function Qg(ze,Ze,Mt,Ht){var yn;Tt(Mt);const Yn=(yn=We.get(ze,Ze))==null?void 0:yn.resolvedTypeReferenceDirective;if(Yn&&Yn.primary)return;let gn=!0;const{resolvedTypeReferenceDirective:$n}=Mt;if($n){if($n.isExternalLibraryImport&&Xn++,$n.primary)Ce($n.resolvedFileName,!1,!1,$n.packageId,Ht);else if(Yn){if($n.resolvedFileName!==Yn.resolvedFileName){const fi=$t.readFile($n.resolvedFileName),cr=La(Yn.resolvedFileName);fi!==cr.text&&$r(cr,Ht,f.Conflicting_definitions_for_0_found_at_1_and_2_Consider_installing_a_specific_version_of_this_library_to_resolve_the_conflict,[ze,$n.resolvedFileName,Yn.resolvedFileName])}gn=!1}else Ce($n.resolvedFileName,!1,!1,$n.packageId,Ht);$n.isExternalLibraryImport&&Xn--}else $r(void 0,Ht,f.Cannot_find_type_definition_file_for_0,[ze]);gn&&We.set(ze,Ze,Mt)}function xp(ze){const Ze=at==null?void 0:at.get(ze);if(Ze)return Ze.actual;const Mt=_g(ze);return(at??(at=new Map)).set(ze,Mt),Mt.actual}function _g(ze){var Ze,Mt,Ht,yn,Yn;const gn=Gt==null?void 0:Gt.get(ze);if(gn)return gn;if(fn!==0&&$&&!Io(ze)){const fr=(Ze=$.resolvedLibReferences)==null?void 0:Ze.get(ze);if(fr){if(fr.resolution&&Jg(G,$t)){const Zo=jJ(ze),Ka=T7(G,Di,ze);bo($t,fr.resolution.resolvedModule?fr.resolution.resolvedModule.packageId?f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved,Zo,go(Ka,Di),(Mt=fr.resolution.resolvedModule)==null?void 0:Mt.resolvedFileName,((Ht=fr.resolution.resolvedModule)==null?void 0:Ht.packageId)&&By(fr.resolution.resolvedModule.packageId))}return(Gt??(Gt=new Map)).set(ze,fr),fr}}const $n=jJ(ze),fi=T7(G,Di,ze);(yn=sr)==null||yn.push(sr.Phase.Program,"resolveLibrary",{resolveFrom:fi}),il("beforeResolveLibrary");const cr=ma($n,fi,G,ze);il("afterResolveLibrary"),ef("ResolveLibrary","beforeResolveLibrary","afterResolveLibrary"),(Yn=sr)==null||Yn.pop();const ri={resolution:cr,actual:cr.resolvedModule?cr.resolvedModule.resolvedFileName:Qr(No,ze)};return(Gt??(Gt=new Map)).set(ze,ri),ri}function Ud(ze){Ue(ze.libReferenceDirectives,(Ze,Mt)=>{const{libName:Ht,libFileName:yn}=dRe(Ze);if(yn)Us(xp(yn),!0,!0,{kind:7,file:ze.path,index:Mt});else{const Yn=Bx(QN(Ht,"lib."),".d.ts"),gn=$N(Yn,yw,nl),$n=gn?f.Cannot_find_lib_definition_for_0_Did_you_mean_1:f.Cannot_find_lib_definition_for_0,fi=gn?[Ht,gn]:[Ht];(At||(At=[])).push({kind:0,reason:{kind:7,file:ze.path,index:Mt},diagnostic:$n,args:fi})}})}function te(ze){return $t.getCanonicalFileName(ze)}function ct(ze){var Ze;if(op(ze),ze.imports.length||ze.moduleAugmentations.length){const Mt=pRe(ze),Ht=($e==null?void 0:$e.get(ze.path))||Ci(Mt,ze);N.assert(Ht.length===Mt.length);const yn=((Ze=Bn(ze))==null?void 0:Ze.commandLine.options)||G,Yn=TI();(vt??(vt=new Map)).set(ze.path,Yn);for(let gn=0;gn<Mt.length;gn++){const $n=Ht[gn].resolvedModule,fi=Mt[gn].text,cr=zJ(ze,Mt[gn],yn);if(Yn.set(fi,cr,Ht[gn]),kt(ze,fi,Ht[gn],cr),!$n)continue;const ri=$n.isExternalLibraryImport,fr=!$C($n.extension),Zo=ri&&fr&&(!$n.originalPath||L0($n.resolvedFileName)),Ka=$n.resolvedFileName;ri&&Xn++;const Zu=Zo&&Xn>Pn,mu=Ka&&!KJ(yn,$n,ze)&&!yn.noResolve&&gn<ze.imports.length&&!Zu&&!(fr&&!Xy(yn))&&(lr(ze.imports[gn])||!(ze.imports[gn].flags&16777216));Zu?hn.set(ze.path,!0):mu&&Qo(Ka,!1,!1,{kind:3,file:ze.path,index:gn},$n.packageId),ri&&Xn--}}}function Ft(ze,Ze){let Mt=!0;const Ht=$t.getCanonicalFileName(go(Ze,Di));for(const yn of ze)yn.isDeclarationFile||$t.getCanonicalFileName(go(yn.fileName,Di)).indexOf(Ht)!==0&&(io(yn,f.File_0_is_not_under_rootDir_1_rootDir_is_expected_to_contain_all_source_files,[yn.fileName,Ze]),Mt=!1);return Mt}function jt(ze){Fe||(Fe=new Map);const Ze=vD(ze),Mt=ir(Ze),Ht=Fe.get(Mt);if(Ht!==void 0)return Ht||void 0;let yn,Yn;if($t.getParsedCommandLine){if(yn=$t.getParsedCommandLine(Ze),!yn){dl(void 0,Mt,Ze,void 0),Fe.set(Mt,!1);return}Yn=N.checkDefined(yn.options.configFile),N.assert(!Yn.path||Yn.path===Mt),dl(Yn,Mt,Ze,void 0)}else{const $n=go(ai(Ze),Di);if(Yn=$t.getSourceFile(Ze,100),dl(Yn,Mt,Ze,void 0),Yn===void 0){Fe.set(Mt,!1);return}yn=hw(Yn,_i,$n,void 0,Ze)}Yn.fileName=Ze,Yn.path=Mt,Yn.resolvedPath=Mt,Yn.originalFileName=Ze;const gn={commandLine:yn,sourceFile:Yn};return Fe.set(Mt,gn),yn.projectReferences&&(gn.references=yn.projectReferences.map(jt)),gn}function F(){G.strictPropertyInitialization&&!fd(G,"strictNullChecks")&&us(f.Option_0_cannot_be_specified_without_specifying_option_1,"strictPropertyInitialization","strictNullChecks"),G.exactOptionalPropertyTypes&&!fd(G,"strictNullChecks")&&us(f.Option_0_cannot_be_specified_without_specifying_option_1,"exactOptionalPropertyTypes","strictNullChecks"),(G.isolatedModules||G.verbatimModuleSyntax)&&(G.out&&us(f.Option_0_cannot_be_specified_with_option_1,"out",G.verbatimModuleSyntax?"verbatimModuleSyntax":"isolatedModules"),G.outFile&&us(f.Option_0_cannot_be_specified_with_option_1,"outFile",G.verbatimModuleSyntax?"verbatimModuleSyntax":"isolatedModules")),G.inlineSourceMap&&(G.sourceMap&&us(f.Option_0_cannot_be_specified_with_option_1,"sourceMap","inlineSourceMap"),G.mapRoot&&us(f.Option_0_cannot_be_specified_with_option_1,"mapRoot","inlineSourceMap")),G.composite&&(G.declaration===!1&&us(f.Composite_projects_may_not_disable_declaration_emit,"declaration"),G.incremental===!1&&us(f.Composite_projects_may_not_disable_incremental_compilation,"declaration"));const ze=Ps(G);if(G.tsBuildInfoFile?uP(G)||us(f.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"tsBuildInfoFile","incremental","composite"):G.incremental&&!ze&&!G.configFilePath&&Mn.add(Hl(f.Option_incremental_can_only_be_specified_using_tsconfig_emitting_to_single_file_or_when_option_tsBuildInfoFile_is_specified)),Jt(),za(),G.composite){const gn=new Set(se.map(ir));for(const $n of Ne)MS($n,_n)&&!gn.has($n.path)&&io($n,f.File_0_is_not_listed_within_the_file_list_of_project_1_Projects_must_list_all_files_or_use_an_include_pattern,[$n.fileName,G.configFilePath||""])}if(G.paths){for(const gn in G.paths)if(xs(G.paths,gn))if(kU(gn)||fu(!0,gn,f.Pattern_0_can_have_at_most_one_Asterisk_character,gn),To(G.paths[gn])){const $n=G.paths[gn].length;$n===0&&fu(!1,gn,f.Substitutions_for_pattern_0_shouldn_t_be_an_empty_array,gn);for(let fi=0;fi<$n;fi++){const cr=G.paths[gn][fi],ri=typeof cr;ri==="string"?(kU(cr)||Ol(gn,fi,f.Substitution_0_in_pattern_1_can_have_at_most_one_Asterisk_character,cr,gn),!G.baseUrl&&!Wp(cr)&&!nC(cr)&&Ol(gn,fi,f.Non_relative_paths_are_not_allowed_when_baseUrl_is_not_set_Did_you_forget_a_leading_Slash)):Ol(gn,fi,f.Substitution_0_for_pattern_1_has_incorrect_type_expected_string_got_2,cr,gn,ri)}}else fu(!1,gn,f.Substitutions_for_pattern_0_should_be_an_array,gn)}!G.sourceMap&&!G.inlineSourceMap&&(G.inlineSources&&us(f.Option_0_can_only_be_used_when_either_option_inlineSourceMap_or_option_sourceMap_is_provided,"inlineSources"),G.sourceRoot&&us(f.Option_0_can_only_be_used_when_either_option_inlineSourceMap_or_option_sourceMap_is_provided,"sourceRoot")),G.out&&G.outFile&&us(f.Option_0_cannot_be_specified_with_option_1,"out","outFile"),G.mapRoot&&!(G.sourceMap||G.declarationMap)&&us(f.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"mapRoot","sourceMap","declarationMap"),G.declarationDir&&(Nf(G)||us(f.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"declarationDir","declaration","composite"),ze&&us(f.Option_0_cannot_be_specified_with_option_1,"declarationDir",G.out?"out":"outFile")),G.declarationMap&&!Nf(G)&&us(f.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"declarationMap","declaration","composite"),G.lib&&G.noLib&&us(f.Option_0_cannot_be_specified_with_option_1,"lib","noLib"),G.noImplicitUseStrict&&fd(G,"alwaysStrict")&&us(f.Option_0_cannot_be_specified_with_option_1,"noImplicitUseStrict","alwaysStrict");const Ze=os(G),Mt=An(Ne,gn=>sc(gn)&&!gn.isDeclarationFile);if(G.isolatedModules||G.verbatimModuleSyntax)G.module===0&&Ze<2&&G.isolatedModules&&us(f.Option_isolatedModules_can_only_be_used_when_either_option_module_is_provided_or_option_target_is_ES2015_or_higher,"isolatedModules","target"),G.preserveConstEnums===!1&&us(f.Option_preserveConstEnums_cannot_be_disabled_when_0_is_enabled,G.verbatimModuleSyntax?"verbatimModuleSyntax":"isolatedModules","preserveConstEnums");else if(Mt&&Ze<2&&G.module===0){const gn=AS(Mt,typeof Mt.externalModuleIndicator=="boolean"?Mt:Mt.externalModuleIndicator);Mn.add(Qc(Mt,gn.start,gn.length,f.Cannot_use_imports_exports_or_module_augmentations_when_module_is_none))}if(ze&&!G.emitDeclarationOnly){if(G.module&&!(G.module===2||G.module===4))us(f.Only_amd_and_system_modules_are_supported_alongside_0,G.out?"out":"outFile","module");else if(G.module===void 0&&Mt){const gn=AS(Mt,typeof Mt.externalModuleIndicator=="boolean"?Mt:Mt.externalModuleIndicator);Mn.add(Qc(Mt,gn.start,gn.length,f.Cannot_compile_modules_using_option_0_unless_the_module_flag_is_amd_or_system,G.out?"out":"outFile"))}}if(A0(G)&&(md(G)===1?us(f.Option_resolveJsonModule_cannot_be_specified_when_moduleResolution_is_set_to_classic,"resolveJsonModule"):U3(G)||us(f.Option_resolveJsonModule_cannot_be_specified_when_module_is_set_to_none_system_or_umd,"resolveJsonModule","module")),G.outDir||G.rootDir||G.sourceRoot||G.mapRoot){const gn=jr();G.outDir&&gn===""&&Ne.some($n=>ph($n.fileName)>1)&&us(f.Cannot_find_the_common_subdirectory_path_for_the_input_files,"outDir")}G.useDefineForClassFields&&Ze===0&&us(f.Option_0_cannot_be_specified_when_option_target_is_ES3,"useDefineForClassFields"),G.checkJs&&!Xy(G)&&Mn.add(Hl(f.Option_0_cannot_be_specified_without_specifying_option_1,"checkJs","allowJs")),G.emitDeclarationOnly&&(Nf(G)||us(f.Option_0_cannot_be_specified_without_specifying_option_1_or_option_2,"emitDeclarationOnly","declaration","composite"),G.noEmit&&us(f.Option_0_cannot_be_specified_with_option_1,"emitDeclarationOnly","noEmit")),G.emitDecoratorMetadata&&!G.experimentalDecorators&&us(f.Option_0_cannot_be_specified_without_specifying_option_1,"emitDecoratorMetadata","experimentalDecorators"),G.jsxFactory?(G.reactNamespace&&us(f.Option_0_cannot_be_specified_with_option_1,"reactNamespace","jsxFactory"),(G.jsx===4||G.jsx===5)&&us(f.Option_0_cannot_be_specified_when_option_jsx_is_1,"jsxFactory",kP.get(""+G.jsx)),bI(G.jsxFactory,Ze)||km("jsxFactory",f.Invalid_value_for_jsxFactory_0_is_not_a_valid_identifier_or_qualified_name,G.jsxFactory)):G.reactNamespace&&!tf(G.reactNamespace,Ze)&&km("reactNamespace",f.Invalid_value_for_reactNamespace_0_is_not_a_valid_identifier,G.reactNamespace),G.jsxFragmentFactory&&(G.jsxFactory||us(f.Option_0_cannot_be_specified_without_specifying_option_1,"jsxFragmentFactory","jsxFactory"),(G.jsx===4||G.jsx===5)&&us(f.Option_0_cannot_be_specified_when_option_jsx_is_1,"jsxFragmentFactory",kP.get(""+G.jsx)),bI(G.jsxFragmentFactory,Ze)||km("jsxFragmentFactory",f.Invalid_value_for_jsxFragmentFactory_0_is_not_a_valid_identifier_or_qualified_name,G.jsxFragmentFactory)),G.reactNamespace&&(G.jsx===4||G.jsx===5)&&us(f.Option_0_cannot_be_specified_when_option_jsx_is_1,"reactNamespace",kP.get(""+G.jsx)),G.jsxImportSource&&G.jsx===2&&us(f.Option_0_cannot_be_specified_when_option_jsx_is_1,"jsxImportSource",kP.get(""+G.jsx)),G.preserveValueImports&&wu(G)<5&&us(f.Option_0_can_only_be_used_when_module_is_set_to_preserve_or_to_es2015_or_later,"preserveValueImports");const Ht=wu(G);G.verbatimModuleSyntax&&((Ht===2||Ht===3||Ht===4)&&us(f.Option_verbatimModuleSyntax_cannot_be_used_when_module_is_set_to_UMD_AMD_or_System,"verbatimModuleSyntax"),G.preserveValueImports&&Om("preserveValueImports","verbatimModuleSyntax"),G.importsNotUsedAsValues&&Om("importsNotUsedAsValues","verbatimModuleSyntax")),G.allowImportingTsExtensions&&!(G.noEmit||G.emitDeclarationOnly)&&km("allowImportingTsExtensions",f.Option_allowImportingTsExtensions_can_only_be_used_when_either_noEmit_or_emitDeclarationOnly_is_set);const yn=md(G);if(G.resolvePackageJsonExports&&!KA(yn)&&us(f.Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler,"resolvePackageJsonExports"),G.resolvePackageJsonImports&&!KA(yn)&&us(f.Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler,"resolvePackageJsonImports"),G.customConditions&&!KA(yn)&&us(f.Option_0_can_only_be_used_when_moduleResolution_is_set_to_node16_nodenext_or_bundler,"customConditions"),yn===100&&!j3(Ht)&&Ht!==200&&km("moduleResolution",f.Option_0_can_only_be_used_when_module_is_set_to_preserve_or_to_es2015_or_later,"bundler"),eC[Ht]&&100<=Ht&&Ht<=199&&!(3<=yn&&yn<=99)){const gn=eC[Ht];km("moduleResolution",f.Option_moduleResolution_must_be_set_to_0_or_left_unspecified_when_option_module_is_set_to_1,gn,gn)}else if(qx[yn]&&3<=yn&&yn<=99&&!(100<=Ht&&Ht<=199)){const gn=qx[yn];km("module",f.Option_module_must_be_set_to_0_when_option_moduleResolution_is_set_to_1,gn,gn)}if(!G.noEmit&&!G.suppressOutputPathCheck){const gn=Dn(),$n=new Set;EJ(gn,fi=>{G.emitDeclarationOnly||Yn(fi.jsFilePath,$n),Yn(fi.declarationFilePath,$n)})}function Yn(gn,$n){if(gn){const fi=ir(gn);if(mt.has(fi)){let ri;G.configFilePath||(ri=jo(void 0,f.Adding_a_tsconfig_json_file_will_help_organize_projects_that_contain_both_TypeScript_and_JavaScript_files_Learn_more_at_https_Colon_Slash_Slashaka_ms_Slashtsconfig)),ri=jo(ri,f.Cannot_write_file_0_because_it_would_overwrite_input_file,gn),x1(gn,G3(ri))}const cr=$t.useCaseSensitiveFileNames()?fi:ch(fi);$n.has(cr)?x1(gn,Hl(f.Cannot_write_file_0_because_it_would_be_overwritten_by_multiple_input_files,gn)):$n.add(cr)}}}function Te(){const ze=G.ignoreDeprecations;if(ze){if(ze==="5.0")return new ym(ze);Y()}return ym.zero}function st(ze,Ze,Mt,Ht){const yn=new ym(ze),Yn=new ym(Ze),gn=new ym(ye||ue),$n=Te(),fi=Yn.compareTo(gn)!==1,cr=!fi&&$n.compareTo(yn)===-1;(fi||cr)&&Ht((ri,fr,Zo)=>{fi?fr===void 0?Mt(ri,fr,Zo,f.Option_0_has_been_removed_Please_remove_it_from_your_configuration,ri):Mt(ri,fr,Zo,f.Option_0_1_has_been_removed_Please_remove_it_from_your_configuration,ri,fr):fr===void 0?Mt(ri,fr,Zo,f.Option_0_is_deprecated_and_will_stop_functioning_in_TypeScript_1_Specify_compilerOption_ignoreDeprecations_Colon_2_to_silence_this_error,ri,Ze,ze):Mt(ri,fr,Zo,f.Option_0_1_is_deprecated_and_will_stop_functioning_in_TypeScript_2_Specify_compilerOption_ignoreDeprecations_Colon_3_to_silence_this_error,ri,fr,Ze,ze)})}function Jt(){function ze(Ze,Mt,Ht,yn,...Yn){if(Ht){const gn=jo(void 0,f.Use_0_instead,Ht),$n=jo(gn,yn,...Yn);Ui(!Mt,Ze,void 0,$n)}else Ui(!Mt,Ze,void 0,yn,...Yn)}st("5.0","5.5",ze,Ze=>{G.target===0&&Ze("target","ES3"),G.noImplicitUseStrict&&Ze("noImplicitUseStrict"),G.keyofStringsOnly&&Ze("keyofStringsOnly"),G.suppressExcessPropertyErrors&&Ze("suppressExcessPropertyErrors"),G.suppressImplicitAnyIndexErrors&&Ze("suppressImplicitAnyIndexErrors"),G.noStrictGenericChecks&&Ze("noStrictGenericChecks"),G.charset&&Ze("charset"),G.out&&Ze("out",void 0,"outFile"),G.importsNotUsedAsValues&&Ze("importsNotUsedAsValues",void 0,"verbatimModuleSyntax"),G.preserveValueImports&&Ze("preserveValueImports",void 0,"verbatimModuleSyntax")})}function rr(ze,Ze,Mt){function Ht(yn,Yn,gn,$n,...fi){wm(Ze,Mt,$n,...fi)}st("5.0","5.5",Ht,yn=>{ze.prepend&&yn("prepend")})}function oi(ze,Ze,Mt,Ht){var yn;let Yn,gn,$n=w0(Ze)?Ze:void 0;ze&&((yn=Ee.get(ze.path))==null||yn.forEach(Zo)),Ze&&Zo(Ze),$n&&(Yn==null?void 0:Yn.length)===1&&(Yn=void 0);const fi=$n&&QP(_n,$n),cr=Yn&&jo(Yn,f.The_file_is_in_the_program_because_Colon),ri=ze&&dK(ze),fr=jo(ri?cr?[cr,...ri]:ri:cr,Mt,...Ht||et);return fi&&gD(fi)?J4(fi.file,fi.pos,fi.end-fi.pos,fr,gn):G3(fr,gn);function Zo(Ka){(Yn||(Yn=[])).push(mK(_n,Ka)),!$n&&w0(Ka)?$n=Ka:$n!==Ka&&(gn=xn(gn,Fa(Ka))),Ka===Ze&&(Ze=void 0)}}function $r(ze,Ze,Mt,Ht){(At||(At=[])).push({kind:1,file:ze&&ze.path,fileProcessingReason:Ze,diagnostic:Mt,args:Ht})}function io(ze,Ze,Mt){Mn.add(oi(ze,void 0,Ze,Mt))}function Fa(ze){if(w0(ze)){const Ht=QP(_n,ze);let yn;switch(ze.kind){case 3:yn=f.File_is_included_via_import_here;break;case 4:yn=f.File_is_included_via_reference_here;break;case 5:yn=f.File_is_included_via_type_library_reference_here;break;case 7:yn=f.File_is_included_via_library_reference_here;break;default:N.assertNever(ze)}return gD(Ht)?Qc(Ht.file,Ht.pos,Ht.end-Ht.pos,yn):void 0}if(!G.configFile)return;let Ze,Mt;switch(ze.kind){case 0:if(!G.configFile.configFileSpecs)return;const Ht=go(se[ze.index],Di),yn=pK(_n,Ht);if(yn){Ze=e3(G.configFile,"files",yn),Mt=f.File_is_matched_by_files_list_specified_here;break}const Yn=fK(_n,Ht);if(!Yn||!Po(Yn))return;Ze=e3(G.configFile,"include",Yn),Mt=f.File_is_matched_by_include_pattern_specified_here;break;case 1:case 2:const gn=N.checkDefined(le==null?void 0:le[ze.index]),$n=S7(he,le,(Zo,Ka,Zu)=>Zo===gn?{sourceFile:(Ka==null?void 0:Ka.sourceFile)||G.configFile,index:Zu}:void 0);if(!$n)return;const{sourceFile:fi,index:cr}=$n,ri=OL(fi,"references",Zo=>_d(Zo.initializer)?Zo.initializer:void 0);return ri&&ri.elements.length>cr?$f(fi,ri.elements[cr],ze.kind===2?f.File_is_output_from_referenced_project_specified_here:f.File_is_source_from_referenced_project_specified_here):void 0;case 8:if(!G.types)return;Ze=pb("types",ze.typeReference),Mt=f.File_is_entry_point_of_type_library_specified_here;break;case 6:if(ze.index!==void 0){Ze=pb("lib",G.lib[ze.index]),Mt=f.File_is_library_specified_here;break}const fr=Gc(Ew.type,(Zo,Ka)=>Zo===os(G)?Ka:void 0);Ze=fr?Hd("target",fr):void 0,Mt=f.File_is_default_library_for_target_specified_here;break;default:N.assertNever(ze)}return Ze&&$f(G.configFile,Ze,Mt)}function za(){const ze=G.suppressOutputPathCheck?void 0:$v(G);S7(he,le,(Ze,Mt,Ht)=>{const yn=(Mt?Mt.commandLine.projectReferences:he)[Ht],Yn=Mt&&Mt.sourceFile;if(rr(yn,Yn,Ht),!Ze){wm(Yn,Ht,f.File_0_not_found,yn.path);return}const gn=Ze.commandLine.options;if((!gn.composite||gn.noEmit)&&(Mt?Mt.commandLine.fileNames:se).length&&(gn.composite||wm(Yn,Ht,f.Referenced_project_0_must_have_setting_composite_Colon_true,yn.path),gn.noEmit&&wm(Yn,Ht,f.Referenced_project_0_may_not_disable_emit,yn.path)),yn.prepend){const $n=Ps(gn);$n?$t.fileExists($n)||wm(Yn,Ht,f.Output_file_0_from_project_1_does_not_exist,$n,yn.path):wm(Yn,Ht,f.Cannot_prepend_project_0_because_it_does_not_have_outFile_set,yn.path)}!Mt&&ze&&ze===$v(gn)&&(wm(Yn,Ht,f.Cannot_write_file_0_because_it_will_overwrite_tsbuildinfo_file_generated_by_referenced_project_1,ze,yn.path),tt.set(ir(ze),!0))})}function Ol(ze,Ze,Mt,...Ht){let yn=!0;Rp(Yn=>{Oa(Yn.initializer)&&fR(Yn.initializer,ze,gn=>{const $n=gn.initializer;_d($n)&&$n.elements.length>Ze&&(Mn.add($f(G.configFile,$n.elements[Ze],Mt,...Ht)),yn=!1)})}),yn&&Mn.add(Hl(Mt,...Ht))}function fu(ze,Ze,Mt,...Ht){let yn=!0;Rp(Yn=>{Oa(Yn.initializer)&&ay(Yn.initializer,ze,Ze,void 0,Mt,...Ht)&&(yn=!1)}),yn&&Mn.add(Hl(Mt,...Ht))}function Dc(ze,Ze){return fR(Zg(),ze,Ze)}function Rp(ze){return Dc("paths",ze)}function Hd(ze,Ze){return Dc(ze,Mt=>Ma(Mt.initializer)&&Mt.initializer.text===Ze?Mt.initializer:void 0)}function pb(ze,Ze){const Mt=Zg();return Mt&&Lie(Mt,ze,Ze)}function us(ze,Ze,Mt,Ht){Ui(!0,Ze,Mt,ze,Ze,Mt,Ht)}function km(ze,Ze,...Mt){Ui(!1,ze,void 0,Ze,...Mt)}function wm(ze,Ze,Mt,...Ht){const yn=OL(ze||G.configFile,"references",Yn=>_d(Yn.initializer)?Yn.initializer:void 0);yn&&yn.elements.length>Ze?Mn.add($f(ze||G.configFile,yn.elements[Ze],Mt,...Ht)):Mn.add(Hl(Mt,...Ht))}function Ui(ze,Ze,Mt,Ht,...yn){const Yn=Zg();(!Yn||!ay(Yn,ze,Ze,Mt,Ht,...yn))&&("messageText"in Ht?Mn.add(G3(Ht)):Mn.add(Hl(Ht,...yn)))}function Zg(){return zt===void 0&&(zt=fR(AC(G.configFile),"compilerOptions",ze=>Oa(ze.initializer)?ze.initializer:void 0)||!1),zt||void 0}function ay(ze,Ze,Mt,Ht,yn,...Yn){let gn=!1;return fR(ze,Mt,$n=>{"messageText"in yn?Mn.add(Fg(G.configFile,Ze?$n.name:$n.initializer,yn)):Mn.add($f(G.configFile,Ze?$n.name:$n.initializer,yn,...Yn)),gn=!0},Ht),gn}function Om(ze,Ze){const Mt=Zg();Mt?ay(Mt,!0,ze,void 0,f.Option_0_is_redundant_and_cannot_be_specified_with_option_1,ze,Ze):us(f.Option_0_is_redundant_and_cannot_be_specified_with_option_1,ze,Ze)}function x1(ze,Ze){tt.set(ir(ze),!0),Mn.add(Ze)}function R1(ze){if(G.noEmit)return!1;const Ze=ir(ze);if(_l(Ze))return!1;const Mt=Ps(G);if(Mt)return mf(Ze,Mt)||mf(Ze,Id(Mt)+".d.ts");if(G.declarationDir&&bm(G.declarationDir,Ze,Di,!$t.useCaseSensitiveFileNames()))return!0;if(G.outDir)return bm(G.outDir,Ze,Di,!$t.useCaseSensitiveFileNames());if(Tc(Ze,VR)||Su(Ze)){const Ht=Id(Ze);return!!_l(Ht+".ts")||!!_l(Ht+".tsx")}return!1}function mf(ze,Ze){return Lg(ze,Ze,Di,!$t.useCaseSensitiveFileNames())===0}function H_(){return $t.getSymlinkCache?$t.getSymlinkCache():(pe||(pe=wU(Di,te)),Ne&&!pe.hasProcessedResolutions()&&pe.setSymlinksFromResolutions(L,_e,je),pe)}function _f(ze,Ze){var Mt;const Ht=((Mt=Bn(ze))==null?void 0:Mt.commandLine.options)||G;return zJ(ze,Ze,Ht)}function ev(ze,Ze){return _f(ze,Kw(ze,Ze))}}function MHe(e){let t;const r=e.compilerHost.fileExists,i=e.compilerHost.directoryExists,o=e.compilerHost.getDirectories,s=e.compilerHost.realpath;if(!e.useSourceOfProjectReferenceRedirect)return{onProgramCreateComplete:$a,fileExists:p};e.compilerHost.fileExists=p;let l;return i&&(l=e.compilerHost.directoryExists=I=>i.call(e.compilerHost,I)?(b(I),!0):e.getResolvedProjectReferences()?(t||(t=new Set,e.forEachResolvedProjectReference(R=>{const M=Ps(R.commandLine.options);if(M)t.add(ai(e.toPath(M)));else{const C=R.commandLine.options.declarationDir||R.commandLine.options.outDir;C&&t.add(e.toPath(C))}})),A(I,!1)):!1),o&&(e.compilerHost.getDirectories=I=>!e.getResolvedProjectReferences()||i&&i.call(e.compilerHost,I)?o.call(e.compilerHost,I):[]),s&&(e.compilerHost.realpath=I=>{var R;return((R=e.getSymlinkCache().getSymlinkedFiles())==null?void 0:R.get(e.toPath(I)))||s.call(e.compilerHost,I)}),{onProgramCreateComplete:u,fileExists:p,directoryExists:l};function u(){e.compilerHost.fileExists=r,e.compilerHost.directoryExists=i,e.compilerHost.getDirectories=o}function p(I){return r.call(e.compilerHost,I)?!0:!e.getResolvedProjectReferences()||!Su(I)?!1:A(I,!0)}function h(I){const R=e.getSourceOfProjectReferenceRedirect(e.toPath(I));return R!==void 0?Po(R)?r.call(e.compilerHost,R):!0:void 0}function m(I){const R=e.toPath(I),M=`${R}${al}`;return _h(t,C=>R===C||uo(C,M)||uo(R,`${C}/`))}function b(I){var R;if(!e.getResolvedProjectReferences()||rP(I)||!s||!I.includes(xh))return;const M=e.getSymlinkCache(),C=Bc(e.toPath(I));if((R=M.getSymlinkedDirectories())!=null&&R.has(C))return;const O=ga(s.call(e.compilerHost,I));let U;if(O===I||(U=Bc(e.toPath(O)))===C){M.setSymlinkedDirectory(C,!1);return}M.setSymlinkedDirectory(I,{real:Bc(O),realPath:U})}function A(I,R){var M;const C=R?V=>h(V):V=>m(V),O=C(I);if(O!==void 0)return O;const U=e.getSymlinkCache(),K=U.getSymlinkedDirectories();if(!K)return!1;const Z=e.toPath(I);return Z.includes(xh)?R&&((M=U.getSymlinkedFiles())!=null&&M.has(Z))?!0:dn(K.entries(),([V,se])=>{if(!se||!uo(Z,V))return;const G=C(Z.replace(V,se.realPath));if(R&&G){const ne=go(I,e.compilerHost.getCurrentDirectory());U.setSymlinkedFile(Z,`${se.real}${ne.replace(new RegExp(V,"i"),"")}`)}return G})||!1:!1}}function qJ(e,t,r,i){const o=e.getCompilerOptions();if(o.noEmit)return e.getSemanticDiagnostics(t,i),t||Ps(o)?N7:e.emitBuildInfo(r,i);if(!o.noEmitOnError)return;let s=[...e.getOptionsDiagnostics(i),...e.getSyntacticDiagnostics(t,i),...e.getGlobalDiagnostics(i),...e.getSemanticDiagnostics(t,i)];if(s.length===0&&Nf(e.getCompilerOptions())&&(s=e.getDeclarationDiagnostics(void 0,i)),!s.length)return;let l;if(!t&&!Ps(o)){const u=e.emitBuildInfo(r,i);u.diagnostics&&(s=[...s,...u.diagnostics]),l=u.emittedFiles}return{diagnostics:s,sourceMaps:void 0,emittedFiles:l,emitSkipped:!0}}function I7(e,t){return nr(e,r=>!r.skippedOn||!t[r.skippedOn])}function x7(e,t=e){return{fileExists:r=>t.fileExists(r),readDirectory(r,i,o,s,l){return N.assertIsDefined(t.readDirectory,"'CompilerHost.readDirectory' must be implemented to correctly process 'projectReferences'"),t.readDirectory(r,i,o,s,l)},readFile:r=>t.readFile(r),directoryExists:oa(t,t.directoryExists),getDirectories:oa(t,t.getDirectories),realpath:oa(t,t.realpath),useCaseSensitiveFileNames:e.useCaseSensitiveFileNames(),getCurrentDirectory:()=>e.getCurrentDirectory(),onUnRecoverableConfigFileDiagnostic:e.onUnRecoverableConfigFileDiagnostic||r0,trace:e.trace?r=>e.trace(r):void 0}}function JJ(e,t,r,i){if(!e)return et;let o;for(let s=0;s<e.length;s++){const l=e[s],u=t(l,s);if(l.prepend&&u&&u.options){if(!Ps(u.options))continue;const{jsFilePath:h,sourceMapFilePath:m,declarationFilePath:b,declarationMapPath:A,buildInfoPath:I}=KP(u.options,!0),R=_H(r,h,m,b,A,I,i,u.options);(o||(o=[])).push(R)}}return o||et}function vD(e){return bK(e.path)}function KJ(e,{extension:t},{isDeclarationFile:r}){switch(t){case".ts":case".d.ts":case".mts":case".d.mts":case".cts":case".d.cts":return;case".tsx":return i();case".jsx":return i()||o();case".js":case".mjs":case".cjs":return o();case".json":return s();default:return l()}function i(){return e.jsx?void 0:f.Module_0_was_resolved_to_1_but_jsx_is_not_set}function o(){return Xy(e)||!fd(e,"noImplicitAny")?void 0:f.Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type}function s(){return A0(e)?void 0:f.Module_0_was_resolved_to_1_but_resolveJsonModule_is_not_used}function l(){return r||e.allowArbitraryExtensions?void 0:f.Module_0_was_resolved_to_1_but_allowArbitraryExtensions_is_not_set}}function pRe({imports:e,moduleAugmentations:t}){const r=e.map(i=>i);for(const i of t)i.kind===11&&r.push(i);return r}function Kw({imports:e,moduleAugmentations:t},r){if(r<e.length)return e[r];let i=e.length;for(const o of t)if(o.kind===11){if(r===i)return o;i++}N.fail("should never ask for module name at index higher than possible module name")}var XJ,YJ,$J,Sce,Tce,fRe,Ace,Ice,R7,mRe,yD,D7,N7,LHe=T({"src/compiler/program.ts"(){ra(),fS(),XJ=(e=>(e.Grey="\x1B[90m",e.Red="\x1B[91m",e.Yellow="\x1B[93m",e.Blue="\x1B[94m",e.Cyan="\x1B[96m",e))(XJ||{}),YJ="\x1B[7m",$J=" ",Sce="\x1B[0m",Tce="...",fRe=" ",Ace=" ",Ice={resolvedModule:void 0,resolvedTypeReferenceDirective:void 0},R7={getName:Ece,getMode:(e,t,r)=>FJ(t,e,r)},mRe={getName:GJ,getMode:(e,t)=>Hw(e,t==null?void 0:t.impliedNodeFormat)},yD="__inferred type names__.ts",D7=new Set([f.Cannot_redeclare_block_scoped_variable_0.code,f.A_module_cannot_have_multiple_default_exports.code,f.Another_export_default_is_here.code,f.The_first_export_default_is_here.code,f.Identifier_expected_0_is_a_reserved_word_at_the_top_level_of_a_module.code,f.Identifier_expected_0_is_a_reserved_word_in_strict_mode_Modules_are_automatically_in_strict_mode.code,f.Identifier_expected_0_is_a_reserved_word_that_cannot_be_used_here.code,f.constructor_is_a_reserved_word.code,f.delete_cannot_be_called_on_an_identifier_in_strict_mode.code,f.Code_contained_in_a_class_is_evaluated_in_JavaScript_s_strict_mode_which_does_not_allow_this_use_of_0_For_more_information_see_https_Colon_Slash_Slashdeveloper_mozilla_org_Slashen_US_Slashdocs_SlashWeb_SlashJavaScript_SlashReference_SlashStrict_mode.code,f.Invalid_use_of_0_Modules_are_automatically_in_strict_mode.code,f.Invalid_use_of_0_in_strict_mode.code,f.A_label_is_not_allowed_here.code,f.with_statements_are_not_allowed_in_strict_mode.code,f.A_break_statement_can_only_be_used_within_an_enclosing_iteration_or_switch_statement.code,f.A_break_statement_can_only_jump_to_a_label_of_an_enclosing_statement.code,f.A_class_declaration_without_the_default_modifier_must_have_a_name.code,f.A_class_member_cannot_have_the_0_keyword.code,f.A_comma_expression_is_not_allowed_in_a_computed_property_name.code,f.A_continue_statement_can_only_be_used_within_an_enclosing_iteration_statement.code,f.A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement.code,f.A_continue_statement_can_only_jump_to_a_label_of_an_enclosing_iteration_statement.code,f.A_default_clause_cannot_appear_more_than_once_in_a_switch_statement.code,f.A_default_export_must_be_at_the_top_level_of_a_file_or_module_declaration.code,f.A_definite_assignment_assertion_is_not_permitted_in_this_context.code,f.A_destructuring_declaration_must_have_an_initializer.code,f.A_get_accessor_cannot_have_parameters.code,f.A_rest_element_cannot_contain_a_binding_pattern.code,f.A_rest_element_cannot_have_a_property_name.code,f.A_rest_element_cannot_have_an_initializer.code,f.A_rest_element_must_be_last_in_a_destructuring_pattern.code,f.A_rest_parameter_cannot_have_an_initializer.code,f.A_rest_parameter_must_be_last_in_a_parameter_list.code,f.A_rest_parameter_or_binding_pattern_may_not_have_a_trailing_comma.code,f.A_return_statement_cannot_be_used_inside_a_class_static_block.code,f.A_set_accessor_cannot_have_rest_parameter.code,f.A_set_accessor_must_have_exactly_one_parameter.code,f.An_export_declaration_can_only_be_used_at_the_top_level_of_a_module.code,f.An_export_declaration_cannot_have_modifiers.code,f.An_import_declaration_can_only_be_used_at_the_top_level_of_a_module.code,f.An_import_declaration_cannot_have_modifiers.code,f.An_object_member_cannot_be_declared_optional.code,f.Argument_of_dynamic_import_cannot_be_spread_element.code,f.Cannot_assign_to_private_method_0_Private_methods_are_not_writable.code,f.Cannot_redeclare_identifier_0_in_catch_clause.code,f.Catch_clause_variable_cannot_have_an_initializer.code,f.Class_decorators_can_t_be_used_with_static_private_identifier_Consider_removing_the_experimental_decorator.code,f.Classes_can_only_extend_a_single_class.code,f.Classes_may_not_have_a_field_named_constructor.code,f.Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_part_of_a_destructuring_pattern.code,f.Duplicate_label_0.code,f.Dynamic_imports_can_only_accept_a_module_specifier_and_an_optional_set_of_attributes_as_arguments.code,f.for_await_loops_cannot_be_used_inside_a_class_static_block.code,f.JSX_attributes_must_only_be_assigned_a_non_empty_expression.code,f.JSX_elements_cannot_have_multiple_attributes_with_the_same_name.code,f.JSX_expressions_may_not_use_the_comma_operator_Did_you_mean_to_write_an_array.code,f.JSX_property_access_expressions_cannot_include_JSX_namespace_names.code,f.Jump_target_cannot_cross_function_boundary.code,f.Line_terminator_not_permitted_before_arrow.code,f.Modifiers_cannot_appear_here.code,f.Only_a_single_variable_declaration_is_allowed_in_a_for_in_statement.code,f.Only_a_single_variable_declaration_is_allowed_in_a_for_of_statement.code,f.Private_identifiers_are_not_allowed_outside_class_bodies.code,f.Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member_declaration_property_access_or_on_the_left_hand_side_of_an_in_expression.code,f.Property_0_is_not_accessible_outside_class_1_because_it_has_a_private_identifier.code,f.Tagged_template_expressions_are_not_permitted_in_an_optional_chain.code,f.The_left_hand_side_of_a_for_of_statement_may_not_be_async.code,f.The_variable_declaration_of_a_for_in_statement_cannot_have_an_initializer.code,f.The_variable_declaration_of_a_for_of_statement_cannot_have_an_initializer.code,f.Trailing_comma_not_allowed.code,f.Variable_declaration_list_cannot_be_empty.code,f._0_and_1_operations_cannot_be_mixed_without_parentheses.code,f._0_expected.code,f._0_is_not_a_valid_meta_property_for_keyword_1_Did_you_mean_2.code,f._0_list_cannot_be_empty.code,f._0_modifier_already_seen.code,f._0_modifier_cannot_appear_on_a_constructor_declaration.code,f._0_modifier_cannot_appear_on_a_module_or_namespace_element.code,f._0_modifier_cannot_appear_on_a_parameter.code,f._0_modifier_cannot_appear_on_class_elements_of_this_kind.code,f._0_modifier_cannot_be_used_here.code,f._0_modifier_must_precede_1_modifier.code,f._0_declarations_can_only_be_declared_inside_a_block.code,f._0_declarations_must_be_initialized.code,f.extends_clause_already_seen.code,f.let_is_not_allowed_to_be_used_as_a_name_in_let_or_const_declarations.code,f.Class_constructor_may_not_be_a_generator.code,f.Class_constructor_may_not_be_an_accessor.code,f.await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,f.await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,f.Private_field_0_must_be_declared_in_an_enclosing_class.code,f.This_condition_will_always_return_0_since_JavaScript_compares_objects_by_reference_not_value.code]),N7={diagnostics:et,sourceMaps:void 0,emittedFiles:void 0,emitSkipped:!0}}}),kHe=T({"src/compiler/builderStatePublic.ts"(){}});function xce(e,t,r,i,o,s){const l=[],{emitSkipped:u,diagnostics:p}=e.emit(t,h,i,r,o,s);return{outputFiles:l,emitSkipped:u,diagnostics:p};function h(m,b,A){l.push({name:m,writeByteOrderMark:A,text:b})}}var Mm,wHe=T({"src/compiler/builderState.ts"(){ra(),(e=>{function t(){function $(Y,oe,fe){const Ne={getKeys:pe=>oe.get(pe),getValues:pe=>Y.get(pe),keys:()=>Y.keys(),deleteKey:pe=>{(fe||(fe=new Set)).add(pe);const ie=Y.get(pe);return ie?(ie.forEach(ve=>i(oe,ve,pe)),Y.delete(pe),!0):!1},set:(pe,ie)=>{fe==null||fe.delete(pe);const ve=Y.get(pe);return Y.set(pe,ie),ve==null||ve.forEach(Le=>{ie.has(Le)||i(oe,Le,pe)}),ie.forEach(Le=>{ve!=null&&ve.has(Le)||r(oe,Le,pe)}),Ne}};return Ne}return $(new Map,new Map,void 0)}e.createManyToManyPathMap=t;function r($,Y,oe){let fe=$.get(Y);fe||(fe=new Set,$.set(Y,fe)),fe.add(oe)}function i($,Y,oe){const fe=$.get(Y);return fe!=null&&fe.delete(oe)?(fe.size||$.delete(Y),!0):!1}function o($){return Hi($.declarations,Y=>{var oe;return(oe=jn(Y))==null?void 0:oe.resolvedPath})}function s($,Y){const oe=$.getSymbolAtLocation(Y);return oe&&o(oe)}function l($,Y,oe,fe){return ol($.getProjectReferenceRedirect(Y)||Y,oe,fe)}function u($,Y,oe){let fe;if(Y.imports&&Y.imports.length>0){const ve=$.getTypeChecker();for(const Le of Y.imports){const He=s(ve,Le);He==null||He.forEach(ie)}}const Ne=ai(Y.resolvedPath);if(Y.referencedFiles&&Y.referencedFiles.length>0)for(const ve of Y.referencedFiles){const Le=l($,ve.fileName,Ne,oe);ie(Le)}if($.forEachResolvedTypeReferenceDirective(({resolvedTypeReferenceDirective:ve})=>{if(!ve)return;const Le=ve.resolvedFileName,He=l($,Le,Ne,oe);ie(He)},Y),Y.moduleAugmentations.length){const ve=$.getTypeChecker();for(const Le of Y.moduleAugmentations){if(!Ma(Le))continue;const He=ve.getSymbolAtLocation(Le);He&&pe(He)}}for(const ve of $.getTypeChecker().getAmbientModules())ve.declarations&&ve.declarations.length>1&&pe(ve);return fe;function pe(ve){if(ve.declarations)for(const Le of ve.declarations){const He=jn(Le);He&&He!==Y&&ie(He.resolvedPath)}}function ie(ve){(fe||(fe=new Set)).add(ve)}}function p($,Y){return Y&&!Y.referencedMap==!$}e.canReuseOldState=p;function h($,Y,oe){var fe,Ne,pe;const ie=new Map,ve=$.getCompilerOptions(),Le=Ps(ve),He=ve.module!==0&&!Le?t():void 0,Ee=He?t():void 0,De=p(He,Y);$.getTypeChecker();for(const Pe of $.getSourceFiles()){const We=N.checkDefined(Pe.version,"Program intended to be used with Builder should have source files with versions set"),At=De?(fe=Y.oldSignatures)==null?void 0:fe.get(Pe.resolvedPath):void 0,Se=At===void 0?De?(Ne=Y.fileInfos.get(Pe.resolvedPath))==null?void 0:Ne.signature:void 0:At||void 0;if(He){const je=u($,Pe,$.getCanonicalFileName);if(je&&He.set(Pe.resolvedPath,je),De){const at=(pe=Y.oldExportedModulesMap)==null?void 0:pe.get(Pe.resolvedPath),Gt=at===void 0?Y.exportedModulesMap.getValues(Pe.resolvedPath):at||void 0;Gt&&Ee.set(Pe.resolvedPath,Gt)}}ie.set(Pe.resolvedPath,{version:We,signature:Se,affectsGlobalScope:Le?void 0:G(Pe)||void 0,impliedFormat:Pe.impliedNodeFormat})}return{fileInfos:ie,referencedMap:He,exportedModulesMap:Ee,useFileVersionAsSignature:!oe&&!De}}e.create=h;function m($){$.allFilesExcludingDefaultLibraryFile=void 0,$.allFileNames=void 0}e.releaseCache=m;function b($,Y,oe,fe,Ne){var pe,ie;const ve=A($,Y,oe,fe,Ne);return(pe=$.oldSignatures)==null||pe.clear(),(ie=$.oldExportedModulesMap)==null||ie.clear(),ve}e.getFilesAffectedBy=b;function A($,Y,oe,fe,Ne){const pe=Y.getSourceFileByPath(oe);return pe?M($,Y,pe,fe,Ne)?($.referencedMap?ye:he)($,Y,pe,fe,Ne):[pe]:et}e.getFilesAffectedByWithOldState=A;function I($,Y,oe){$.fileInfos.get(oe).signature=Y,($.hasCalledUpdateShapeSignature||($.hasCalledUpdateShapeSignature=new Set)).add(oe)}e.updateSignatureOfFile=I;function R($,Y,oe,fe,Ne){$.emit(Y,(pe,ie,ve,Le,He,Ee)=>{N.assert(Su(pe),`File extension for signature expected to be dts: Got:: ${pe}`),Ne(ZJ($,Y,ie,fe,Ee),He)},oe,!0,void 0,!0)}e.computeDtsSignature=R;function M($,Y,oe,fe,Ne,pe=$.useFileVersionAsSignature){var ie;if((ie=$.hasCalledUpdateShapeSignature)!=null&&ie.has(oe.resolvedPath))return!1;const ve=$.fileInfos.get(oe.resolvedPath),Le=ve.signature;let He;if(!oe.isDeclarationFile&&!pe&&R(Y,oe,fe,Ne,(Ee,De)=>{He=Ee,He!==Le&&C($,oe,De[0].exportedModulesFromDeclarationEmit)}),He===void 0&&(He=oe.version,$.exportedModulesMap&&He!==Le)){($.oldExportedModulesMap||($.oldExportedModulesMap=new Map)).set(oe.resolvedPath,$.exportedModulesMap.getValues(oe.resolvedPath)||!1);const Ee=$.referencedMap?$.referencedMap.getValues(oe.resolvedPath):void 0;Ee?$.exportedModulesMap.set(oe.resolvedPath,Ee):$.exportedModulesMap.deleteKey(oe.resolvedPath)}return($.oldSignatures||($.oldSignatures=new Map)).set(oe.resolvedPath,Le||!1),($.hasCalledUpdateShapeSignature||($.hasCalledUpdateShapeSignature=new Set)).add(oe.resolvedPath),ve.signature=He,He!==Le}e.updateShapeSignature=M;function C($,Y,oe){if(!$.exportedModulesMap)return;($.oldExportedModulesMap||($.oldExportedModulesMap=new Map)).set(Y.resolvedPath,$.exportedModulesMap.getValues(Y.resolvedPath)||!1);const fe=O(oe);fe?$.exportedModulesMap.set(Y.resolvedPath,fe):$.exportedModulesMap.deleteKey(Y.resolvedPath)}e.updateExportedModules=C;function O($){let Y;return $==null||$.forEach(oe=>o(oe).forEach(fe=>(Y??(Y=new Set)).add(fe))),Y}e.getExportedModules=O;function U($,Y,oe){const fe=Y.getCompilerOptions();if(Ps(fe)||!$.referencedMap||G(oe))return K($,Y);const Ne=new Set,pe=[oe.resolvedPath];for(;pe.length;){const ie=pe.pop();if(!Ne.has(ie)){Ne.add(ie);const ve=$.referencedMap.getValues(ie);if(ve)for(const Le of ve.keys())pe.push(Le)}}return zo(Pg(Ne.keys(),ie=>{var ve;return((ve=Y.getSourceFileByPath(ie))==null?void 0:ve.fileName)??ie}))}e.getAllDependencies=U;function K($,Y){if(!$.allFileNames){const oe=Y.getSourceFiles();$.allFileNames=oe===et?et:oe.map(fe=>fe.fileName)}return $.allFileNames}function Z($,Y){const oe=$.referencedMap.getKeys(Y);return oe?zo(oe.keys()):[]}e.getReferencedByPaths=Z;function V($){for(const Y of $.statements)if(!H4(Y))return!1;return!0}function se($){return bt($.moduleAugmentations,Y=>R_(Y.parent))}function G($){return se($)||!zp($)&&!Qf($)&&!V($)}function ne($,Y,oe){if($.allFilesExcludingDefaultLibraryFile)return $.allFilesExcludingDefaultLibraryFile;let fe;oe&&Ne(oe);for(const pe of Y.getSourceFiles())pe!==oe&&Ne(pe);return $.allFilesExcludingDefaultLibraryFile=fe||et,$.allFilesExcludingDefaultLibraryFile;function Ne(pe){Y.isSourceFileDefaultLibrary(pe)||(fe||(fe=[])).push(pe)}}e.getAllFilesExcludingDefaultLibraryFile=ne;function he($,Y,oe){const fe=Y.getCompilerOptions();return fe&&Ps(fe)?[oe]:ne($,Y,oe)}function ye($,Y,oe,fe,Ne){if(G(oe))return ne($,Y,oe);const pe=Y.getCompilerOptions();if(pe&&(om(pe)||Ps(pe)))return[oe];const ie=new Map;ie.set(oe.resolvedPath,oe);const ve=Z($,oe.resolvedPath);for(;ve.length>0;){const Le=ve.pop();if(!ie.has(Le)){const He=Y.getSourceFileByPath(Le);ie.set(Le,He),He&&M($,Y,He,fe,Ne)&&ve.push(...Z($,He.resolvedPath))}}return zo(Pg(ie.values(),Le=>Le))}})(Mm||(Mm={}))}});function ab(e){let t=1;return e.sourceMap&&(t=t|2),e.inlineSourceMap&&(t=t|4),Nf(e)&&(t=t|8),e.declarationMap&&(t=t|16),e.emitDeclarationOnly&&(t=t&24),t}function bD(e,t){const r=t&&(Cv(t)?t:ab(t)),i=Cv(e)?e:ab(e);if(r===i)return 0;if(!r||!i)return i;const o=r^i;let s=0;return o&7&&(s=i&7),o&24&&(s=s|i&24),s}function OHe(e,t){return e===t||e!==void 0&&t!==void 0&&e.size===t.size&&!_h(e,r=>!t.has(r))}function WHe(e,t){var r,i;const o=Mm.create(e,t,!1);o.program=e;const s=e.getCompilerOptions();o.compilerOptions=s;const l=Ps(s);l?s.composite&&(t!=null&&t.outSignature)&&l===Ps(t==null?void 0:t.compilerOptions)&&(o.outSignature=t.outSignature&&hRe(s,t.compilerOptions,t.outSignature)):o.semanticDiagnosticsPerFile=new Map,o.changedFilesSet=new Set,o.latestChangedDtsFile=s.composite?t==null?void 0:t.latestChangedDtsFile:void 0;const u=Mm.canReuseOldState(o.referencedMap,t),p=u?t.compilerOptions:void 0,h=u&&t.semanticDiagnosticsPerFile&&!!o.semanticDiagnosticsPerFile&&!Voe(s,p),m=s.composite&&(t==null?void 0:t.emitSignatures)&&!l&&!Uoe(s,t.compilerOptions);u?((r=t.changedFilesSet)==null||r.forEach(M=>o.changedFilesSet.add(M)),!l&&((i=t.affectedFilesPendingEmit)!=null&&i.size)&&(o.affectedFilesPendingEmit=new Map(t.affectedFilesPendingEmit),o.seenAffectedFiles=new Set),o.programEmitPending=t.programEmitPending):o.buildInfoEmitPending=!0;const b=o.referencedMap,A=u?t.referencedMap:void 0,I=h&&!s.skipLibCheck==!p.skipLibCheck,R=I&&!s.skipDefaultLibCheck==!p.skipDefaultLibCheck;if(o.fileInfos.forEach((M,C)=>{var O;let U,K;if(!u||!(U=t.fileInfos.get(C))||U.version!==M.version||U.impliedFormat!==M.impliedFormat||!OHe(K=b&&b.getValues(C),A&&A.getValues(C))||K&&_h(K,Z=>!o.fileInfos.has(Z)&&t.fileInfos.has(Z)))_Re(o,C);else{const Z=e.getSourceFileByPath(C),V=(O=t.emitDiagnosticsPerFile)==null?void 0:O.get(C);if(V&&(o.emitDiagnosticsPerFile??(o.emitDiagnosticsPerFile=new Map)).set(C,t.hasReusableDiagnostic?yRe(V,e):gRe(V,e)),h){if(Z.isDeclarationFile&&!I||Z.hasNoDefaultLib&&!R)return;const se=t.semanticDiagnosticsPerFile.get(C);se&&(o.semanticDiagnosticsPerFile.set(C,t.hasReusableDiagnostic?yRe(se,e):gRe(se,e)),(o.semanticDiagnosticsFromOldState??(o.semanticDiagnosticsFromOldState=new Set)).add(C))}}if(m){const Z=t.emitSignatures.get(C);Z&&(o.emitSignatures??(o.emitSignatures=new Map)).set(C,hRe(s,t.compilerOptions,Z))}}),u&&Gc(t.fileInfos,(M,C)=>o.fileInfos.has(C)?!1:l||M.affectsGlobalScope?!0:(o.buildInfoEmitPending=!0,!1)))Mm.getAllFilesExcludingDefaultLibraryFile(o,e,void 0).forEach(M=>_Re(o,M.resolvedPath));else if(p){const M=joe(s,p)?ab(s):bD(s,p);M!==0&&(l?o.programEmitPending=o.programEmitPending?o.programEmitPending|M:M:(e.getSourceFiles().forEach(C=>{o.changedFilesSet.has(C.resolvedPath)||Mce(o,C.resolvedPath,M)}),N.assert(!o.seenAffectedFiles||!o.seenAffectedFiles.size),o.seenAffectedFiles=o.seenAffectedFiles||new Set,o.buildInfoEmitPending=!0))}return l&&!o.changedFilesSet.size&&(u&&(o.bundle=t.bundle),bt(e.getProjectReferences(),M=>!!M.prepend)&&(o.programEmitPending=ab(s))),o}function _Re(e,t){e.changedFilesSet.add(t),e.buildInfoEmitPending=!0,e.programEmitPending=void 0}function hRe(e,t,r){return!!e.declarationMap==!!t.declarationMap?r:Po(r)?[r]:r[0]}function gRe(e,t){return e.length?eo(e,r=>{if(Po(r.messageText))return r;const i=Rce(r.messageText,r.file,t,o=>{var s;return(s=o.repopulateInfo)==null?void 0:s.call(o)});return i===r.messageText?r:{...r,messageText:i}}):e}function Rce(e,t,r,i){const o=i(e);if(o)return{...z4(t,r,o.moduleReference,o.mode,o.packageName||o.moduleReference),next:vRe(e.next,t,r,i)};const s=vRe(e.next,t,r,i);return s===e.next?e:{...e,next:s}}function vRe(e,t,r,i){return eo(e,o=>Rce(o,t,r,i))}function yRe(e,t){if(!e.length)return et;let r;return e.map(o=>{const s=bRe(o,t,i);s.reportsUnnecessary=o.reportsUnnecessary,s.reportsDeprecated=o.reportDeprecated,s.source=o.source,s.skippedOn=o.skippedOn;const{relatedInformation:l}=o;return s.relatedInformation=l?l.length?l.map(u=>bRe(u,t,i)):[]:void 0,s});function i(o){return r??(r=ai(go($v(t.getCompilerOptions()),t.getCurrentDirectory()))),ol(o,r,t.getCanonicalFileName)}}function bRe(e,t,r){const{file:i}=e,o=i?t.getSourceFileByPath(r(i)):void 0;return{...e,file:o,messageText:Po(e.messageText)?e.messageText:Rce(e.messageText,o,t,s=>s.info)}}function FHe(e){Mm.releaseCache(e),e.program=void 0}function zHe(e){const t=Ps(e.compilerOptions);return N.assert(!e.changedFilesSet.size||t),{affectedFilesPendingEmit:e.affectedFilesPendingEmit&&new Map(e.affectedFilesPendingEmit),seenEmittedFiles:e.seenEmittedFiles&&new Map(e.seenEmittedFiles),programEmitPending:e.programEmitPending,emitSignatures:e.emitSignatures&&new Map(e.emitSignatures),outSignature:e.outSignature,latestChangedDtsFile:e.latestChangedDtsFile,hasChangedEmitSignature:e.hasChangedEmitSignature,changedFilesSet:t?new Set(e.changedFilesSet):void 0,buildInfoEmitPending:e.buildInfoEmitPending,emitDiagnosticsPerFile:e.emitDiagnosticsPerFile&&new Map(e.emitDiagnosticsPerFile)}}function BHe(e,t){e.affectedFilesPendingEmit=t.affectedFilesPendingEmit,e.seenEmittedFiles=t.seenEmittedFiles,e.programEmitPending=t.programEmitPending,e.emitSignatures=t.emitSignatures,e.outSignature=t.outSignature,e.latestChangedDtsFile=t.latestChangedDtsFile,e.hasChangedEmitSignature=t.hasChangedEmitSignature,e.buildInfoEmitPending=t.buildInfoEmitPending,e.emitDiagnosticsPerFile=t.emitDiagnosticsPerFile,t.changedFilesSet&&(e.changedFilesSet=t.changedFilesSet)}function ERe(e,t){N.assert(!t||!e.affectedFiles||e.affectedFiles[e.affectedFilesIndex-1]!==t||!e.semanticDiagnosticsPerFile.has(t.resolvedPath))}function SRe(e,t,r){for(var i,o;;){const{affectedFiles:s}=e;if(s){const h=e.seenAffectedFiles;let m=e.affectedFilesIndex;for(;m<s.length;){const b=s[m];if(!h.has(b.resolvedPath))return e.affectedFilesIndex=m,Mce(e,b.resolvedPath,ab(e.compilerOptions)),UHe(e,b,t,r),b;m++}e.changedFilesSet.delete(e.currentChangedFilePath),e.currentChangedFilePath=void 0,(i=e.oldSignatures)==null||i.clear(),(o=e.oldExportedModulesMap)==null||o.clear(),e.affectedFiles=void 0}const l=e.changedFilesSet.keys().next();if(l.done)return;const u=N.checkDefined(e.program),p=u.getCompilerOptions();if(Ps(p))return N.assert(!e.semanticDiagnosticsPerFile),u;e.affectedFiles=Mm.getFilesAffectedByWithOldState(e,u,l.value,t,r),e.currentChangedFilePath=l.value,e.affectedFilesIndex=0,e.seenAffectedFiles||(e.seenAffectedFiles=new Set)}}function GHe(e,t){var r;if((r=e.affectedFilesPendingEmit)!=null&&r.size){if(!t)return e.affectedFilesPendingEmit=void 0;e.affectedFilesPendingEmit.forEach((i,o)=>{const s=i&7;s?e.affectedFilesPendingEmit.set(o,s):e.affectedFilesPendingEmit.delete(o)})}}function VHe(e,t){var r;if((r=e.affectedFilesPendingEmit)!=null&&r.size)return Gc(e.affectedFilesPendingEmit,(i,o)=>{var s;const l=e.program.getSourceFileByPath(o);if(!l||!MS(l,e.program)){e.affectedFilesPendingEmit.delete(o);return}const u=(s=e.seenEmittedFiles)==null?void 0:s.get(l.resolvedPath);let p=bD(i,u);if(t&&(p=p&24),p)return{affectedFile:l,emitKind:p}})}function jHe(e){var t;if((t=e.emitDiagnosticsPerFile)!=null&&t.size)return Gc(e.emitDiagnosticsPerFile,(r,i)=>{var o;const s=e.program.getSourceFileByPath(i);if(!s||!MS(s,e.program)){e.emitDiagnosticsPerFile.delete(i);return}const l=((o=e.seenEmittedFiles)==null?void 0:o.get(s.resolvedPath))||0;if(!(l&24))return{affectedFile:s,diagnostics:r,seenKind:l}})}function TRe(e){if(!e.cleanedDiagnosticsOfLibFiles){e.cleanedDiagnosticsOfLibFiles=!0;const t=N.checkDefined(e.program),r=t.getCompilerOptions();Ue(t.getSourceFiles(),i=>t.isSourceFileDefaultLibrary(i)&&!ZC(i,r,t)&&Dce(e,i.resolvedPath))}}function UHe(e,t,r,i){if(Dce(e,t.resolvedPath),e.allFilesExcludingDefaultLibraryFile===e.affectedFiles){TRe(e),Mm.updateShapeSignature(e,N.checkDefined(e.program),t,r,i);return}e.compilerOptions.assumeChangesOnlyAffectDirectDependencies||HHe(e,t,r,i)}function QJ(e,t,r,i){if(Dce(e,t),!e.changedFilesSet.has(t)){const o=N.checkDefined(e.program),s=o.getSourceFileByPath(t);s&&(Mm.updateShapeSignature(e,o,s,r,i,!0),Nf(e.compilerOptions)&&Mce(e,t,e.compilerOptions.declarationMap?24:8))}}function Dce(e,t){return e.semanticDiagnosticsFromOldState?(e.semanticDiagnosticsFromOldState.delete(t),e.semanticDiagnosticsPerFile.delete(t),!e.semanticDiagnosticsFromOldState.size):!0}function ARe(e,t){const r=N.checkDefined(e.oldSignatures).get(t)||void 0;return N.checkDefined(e.fileInfos.get(t)).signature!==r}function Nce(e,t,r,i){var o;return(o=e.fileInfos.get(t))!=null&&o.affectsGlobalScope?(Mm.getAllFilesExcludingDefaultLibraryFile(e,e.program,void 0).forEach(s=>QJ(e,s.resolvedPath,r,i)),TRe(e),!0):!1}function HHe(e,t,r,i){var o;if(!e.exportedModulesMap||!e.changedFilesSet.has(t.resolvedPath)||!ARe(e,t.resolvedPath))return;if(om(e.compilerOptions)){const l=new Map;l.set(t.resolvedPath,!0);const u=Mm.getReferencedByPaths(e,t.resolvedPath);for(;u.length>0;){const p=u.pop();if(!l.has(p)){if(l.set(p,!0),Nce(e,p,r,i))return;if(QJ(e,p,r,i),ARe(e,p)){const h=N.checkDefined(e.program).getSourceFileByPath(p);u.push(...Mm.getReferencedByPaths(e,h.resolvedPath))}}}}const s=new Set;(o=e.exportedModulesMap.getKeys(t.resolvedPath))==null||o.forEach(l=>{if(Nce(e,l,r,i))return!0;const u=e.referencedMap.getKeys(l);return u&&_h(u,p=>IRe(e,p,s,r,i))})}function IRe(e,t,r,i,o){var s,l;if(Rv(r,t)){if(Nce(e,t,i,o))return!0;QJ(e,t,i,o),(s=e.exportedModulesMap.getKeys(t))==null||s.forEach(u=>IRe(e,u,r,i,o)),(l=e.referencedMap.getKeys(t))==null||l.forEach(u=>!r.has(u)&&QJ(e,u,i,o))}}function Cce(e,t,r){return So(qHe(e,t,r),N.checkDefined(e.program).getProgramDiagnostics(t))}function qHe(e,t,r){const i=t.resolvedPath;if(e.semanticDiagnosticsPerFile){const s=e.semanticDiagnosticsPerFile.get(i);if(s)return I7(s,e.compilerOptions)}const o=N.checkDefined(e.program).getBindAndCheckDiagnostics(t,r);return e.semanticDiagnosticsPerFile&&e.semanticDiagnosticsPerFile.set(i,o),I7(o,e.compilerOptions)}function Pce(e){return!!Ps(e.options||{})}function JHe(e,t){var r,i,o;const s=N.checkDefined(e.program).getCurrentDirectory(),l=ai(go($v(e.compilerOptions),s)),u=e.latestChangedDtsFile?se(e.latestChangedDtsFile):void 0,p=[],h=new Map,m=[];if(Ps(e.compilerOptions)){const ve=zo(e.fileInfos.entries(),([We,At])=>{const Se=ne(We);return ye(We,Se),At.impliedFormat?{version:At.version,impliedFormat:At.impliedFormat,signature:void 0,affectsGlobalScope:void 0}:At.version}),Le={fileNames:p,fileInfos:ve,root:m,options:$(e.compilerOptions),outSignature:e.outSignature,latestChangedDtsFile:u,pendingEmit:e.programEmitPending?e.programEmitPending===ab(e.compilerOptions)?!1:e.programEmitPending:void 0},{js:He,dts:Ee,commonSourceDirectory:De,sourceFiles:Pe}=t;return e.bundle=t={commonSourceDirectory:De,sourceFiles:Pe,js:He||(e.compilerOptions.emitDeclarationOnly||(r=e.bundle)==null?void 0:r.js),dts:Ee||(Nf(e.compilerOptions)?(i=e.bundle)==null?void 0:i.dts:void 0)},zw(Le,t)}let b,A,I;const R=zo(e.fileInfos.entries(),([ve,Le])=>{var He,Ee;const De=ne(ve);ye(ve,De),N.assert(p[De-1]===G(ve));const Pe=(He=e.oldSignatures)==null?void 0:He.get(ve),We=Pe!==void 0?Pe||void 0:Le.signature;if(e.compilerOptions.composite){const At=e.program.getSourceFileByPath(ve);if(!Qf(At)&&MS(At,e.program)){const Se=(Ee=e.emitSignatures)==null?void 0:Ee.get(ve);Se!==We&&(I||(I=[])).push(Se===void 0?De:[De,!Po(Se)&&Se[0]===We?et:Se])}}return Le.version===We?Le.affectsGlobalScope||Le.impliedFormat?{version:Le.version,signature:void 0,affectsGlobalScope:Le.affectsGlobalScope,impliedFormat:Le.impliedFormat}:Le.version:We!==void 0?Pe===void 0?Le:{version:Le.version,signature:We,affectsGlobalScope:Le.affectsGlobalScope,impliedFormat:Le.impliedFormat}:{version:Le.version,signature:!1,affectsGlobalScope:Le.affectsGlobalScope,impliedFormat:Le.impliedFormat}});let M;e.referencedMap&&(M=zo(e.referencedMap.keys()).sort(Uu).map(ve=>[ne(ve),he(e.referencedMap.getValues(ve))]));let C;e.exportedModulesMap&&(C=Hi(zo(e.exportedModulesMap.keys()).sort(Uu),ve=>{var Le;const He=(Le=e.oldExportedModulesMap)==null?void 0:Le.get(ve);if(He===void 0)return[ne(ve),he(e.exportedModulesMap.getValues(ve))];if(He)return[ne(ve),he(He)]}));const O=oe(e.semanticDiagnosticsPerFile);let U;if((o=e.affectedFilesPendingEmit)!=null&&o.size){const ve=ab(e.compilerOptions),Le=new Set;for(const He of zo(e.affectedFilesPendingEmit.keys()).sort(Uu))if(Rv(Le,He)){const Ee=e.program.getSourceFileByPath(He);if(!Ee||!MS(Ee,e.program))continue;const De=ne(He),Pe=e.affectedFilesPendingEmit.get(He);(U||(U=[])).push(Pe===ve?De:Pe===8?[De]:[De,Pe])}}let K;if(e.changedFilesSet.size)for(const ve of zo(e.changedFilesSet.keys()).sort(Uu))(K||(K=[])).push(ne(ve));const Z=oe(e.emitDiagnosticsPerFile),V={fileNames:p,fileInfos:R,root:m,options:$(e.compilerOptions),fileIdsList:b,referencedMap:M,exportedModulesMap:C,semanticDiagnosticsPerFile:O,emitDiagnosticsPerFile:Z,affectedFilesPendingEmit:U,changeFileSet:K,emitSignatures:I,latestChangedDtsFile:u};return zw(V,t);function se(ve){return G(go(ve,s))}function G(ve){return CE(Em(l,ve,e.program.getCanonicalFileName))}function ne(ve){let Le=h.get(ve);return Le===void 0&&(p.push(G(ve)),h.set(ve,Le=p.length)),Le}function he(ve){const Le=zo(ve.keys(),ne).sort(rl),He=Le.join();let Ee=A==null?void 0:A.get(He);return Ee===void 0&&((b||(b=[])).push(Le),(A||(A=new Map)).set(He,Ee=b.length)),Ee}function ye(ve,Le){const He=e.program.getSourceFile(ve);if(!e.program.getFileIncludeReasons().get(He.path).some(We=>We.kind===0))return;if(!m.length)return m.push(Le);const Ee=m[m.length-1],De=To(Ee);if(De&&Ee[1]===Le-1)return Ee[1]=Le;if(De||m.length===1||Ee!==Le-1)return m.push(Le);const Pe=m[m.length-2];return!Cv(Pe)||Pe!==Ee-1?m.push(Le):(m[m.length-2]=[Pe,Le],m.length=m.length-1)}function $(ve){let Le;const{optionsNameMap:He}=aD();for(const Ee of Xh(ve).sort(Uu)){const De=He.get(Ee.toLowerCase());De!=null&&De.affectsBuildInfo&&((Le||(Le={}))[Ee]=Y(De,ve[Ee]))}return Le}function Y(ve,Le){if(ve){if(N.assert(ve.type!=="listOrElement"),ve.type==="list"){const He=Le;if(ve.element.isFilePath&&He.length)return He.map(se)}else if(ve.isFilePath)return se(Le)}return Le}function oe(ve){let Le;if(ve)for(const He of zo(ve.keys()).sort(Uu)){const Ee=ve.get(He);(Le||(Le=[])).push(Ee.length?[ne(He),fe(Ee)]:ne(He))}return Le}function fe(ve){return N.assert(!!ve.length),ve.map(Le=>{const He=Ne(Le);He.reportsUnnecessary=Le.reportsUnnecessary,He.reportDeprecated=Le.reportsDeprecated,He.source=Le.source,He.skippedOn=Le.skippedOn;const{relatedInformation:Ee}=Le;return He.relatedInformation=Ee?Ee.length?Ee.map(De=>Ne(De)):[]:void 0,He})}function Ne(ve){const{file:Le}=ve;return{...ve,file:Le?G(Le.resolvedPath):void 0,messageText:Po(ve.messageText)?ve.messageText:pe(ve.messageText)}}function pe(ve){if(ve.repopulateInfo)return{info:ve.repopulateInfo(),next:ie(ve.next)};const Le=ie(ve.next);return Le===ve.next?ve:{...ve,next:Le}}function ie(ve){return ve&&(Ue(ve,(Le,He)=>{const Ee=pe(Le);if(Le===Ee)return;const De=He>0?ve.slice(0,He-1):[];De.push(Ee);for(let Pe=He+1;Pe<ve.length;Pe++)De.push(pe(ve[Pe]));return De})||ve)}}function C7(e,t,r,i,o,s){let l,u,p;return e===void 0?(N.assert(t===void 0),l=r,p=i,N.assert(!!p),u=p.getProgram()):To(e)?(p=i,u=A7({rootNames:e,options:t,host:r,oldProgram:p&&p.getProgramOrUndefined(),configFileParsingDiagnostics:o,projectReferences:s}),l=r):(u=e,l=t,p=r,o=i),{host:l,newProgram:u,oldProgram:p,configFileParsingDiagnostics:o||et}}function xRe(e,t){return(t==null?void 0:t.sourceMapUrlPos)!==void 0?e.substring(0,t.sourceMapUrlPos):e}function ZJ(e,t,r,i,o){var s;r=xRe(r,o);let l;return(s=o==null?void 0:o.diagnostics)!=null&&s.length&&(r+=o.diagnostics.map(h=>`${p(h)}${HM[h.category]}${h.code}: ${u(h.messageText)}`).join(`
+`)),(i.createHash??tC)(r);function u(h){return Po(h)?h:h===void 0?"":h.next?h.messageText+h.next.map(u).join(`
+`):h.messageText}function p(h){return h.file.resolvedPath===t.resolvedPath?`(${h.start},${h.length})`:(l===void 0&&(l=ai(t.resolvedPath)),`${CE(Em(l,h.file.resolvedPath,e.getCanonicalFileName))}(${h.start},${h.length})`)}}function iT(e,t,r){return(t.createHash??tC)(xRe(e,r))}function eK(e,{newProgram:t,host:r,oldProgram:i,configFileParsingDiagnostics:o}){let s=i&&i.getState();if(s&&t===s.program&&o===t.getConfigFileParsingDiagnostics())return t=void 0,s=void 0,i;const l=WHe(t,s);t.getBuildInfo=M=>JHe(l,M),t=void 0,i=void 0,s=void 0;const u=()=>l,p=nK(u,o);return p.getState=u,p.saveEmitState=()=>zHe(l),p.restoreEmitState=M=>BHe(l,M),p.hasChangedEmitSignature=()=>!!l.hasChangedEmitSignature,p.getAllDependencies=M=>Mm.getAllDependencies(l,N.checkDefined(l.program),M),p.getSemanticDiagnostics=R,p.emit=A,p.releaseProgram=()=>FHe(l),e===0?p.getSemanticDiagnosticsOfNextAffectedFile=I:e===1?(p.getSemanticDiagnosticsOfNextAffectedFile=I,p.emitNextAffectedFile=m,p.emitBuildInfo=h):Ko(),p;function h(M,C){if(l.buildInfoEmitPending){const O=N.checkDefined(l.program).emitBuildInfo(M||oa(r,r.writeFile),C);return l.buildInfoEmitPending=!1,O}return N7}function m(M,C,O,U){var K,Z,V;let se=SRe(l,C,r);const G=ab(l.compilerOptions);let ne=O?G&24:G;if(!se)if(Ps(l.compilerOptions)){if(!l.programEmitPending||(ne=l.programEmitPending,O&&(ne=ne&24),!ne))return;se=l.program}else{const $=VHe(l,O);if(!$){const Y=jHe(l);if(Y)return(l.seenEmittedFiles??(l.seenEmittedFiles=new Map)).set(Y.affectedFile.resolvedPath,Y.seenKind|24),{result:{emitSkipped:!0,diagnostics:Y.diagnostics},affected:Y.affectedFile};if(!l.buildInfoEmitPending)return;const oe=l.program,fe=oe.emitBuildInfo(M||oa(r,r.writeFile),C);return l.buildInfoEmitPending=!1,{result:fe,affected:oe}}({affectedFile:se,emitKind:ne}=$)}let he;ne&7&&(he=0),ne&24&&(he=he===void 0?1:void 0),se===l.program&&(l.programEmitPending=l.changedFilesSet.size?bD(G,ne):l.programEmitPending?bD(l.programEmitPending,ne):void 0);const ye=l.program.emit(se===l.program?void 0:se,b(M,U),C,he,U);if(se!==l.program){const $=se;l.seenAffectedFiles.add($.resolvedPath),l.affectedFilesIndex!==void 0&&l.affectedFilesIndex++,l.buildInfoEmitPending=!0;const Y=((K=l.seenEmittedFiles)==null?void 0:K.get($.resolvedPath))||0;(l.seenEmittedFiles??(l.seenEmittedFiles=new Map)).set($.resolvedPath,ne|Y);const oe=((Z=l.affectedFilesPendingEmit)==null?void 0:Z.get($.resolvedPath))||G,fe=bD(oe,ne|Y);fe?(l.affectedFilesPendingEmit??(l.affectedFilesPendingEmit=new Map)).set($.resolvedPath,fe):(V=l.affectedFilesPendingEmit)==null||V.delete($.resolvedPath),ye.diagnostics.length&&(l.emitDiagnosticsPerFile??(l.emitDiagnosticsPerFile=new Map)).set($.resolvedPath,ye.diagnostics)}else l.changedFilesSet.clear();return{result:ye,affected:se}}function b(M,C){return Nf(l.compilerOptions)?(O,U,K,Z,V,se)=>{var G,ne,he,ye;if(Su(O))if(Ps(l.compilerOptions)){if(l.compilerOptions.composite){const Y=$(l.outSignature,void 0);if(!Y)return;l.outSignature=Y}}else{N.assert((V==null?void 0:V.length)===1);let Y;if(!C){const oe=V[0],fe=l.fileInfos.get(oe.resolvedPath);if(fe.signature===oe.version){const Ne=ZJ(l.program,oe,U,r,se);(G=se==null?void 0:se.diagnostics)!=null&&G.length||(Y=Ne),Ne!==oe.version&&(r.storeFilesChangingSignatureDuringEmit&&(l.filesChangingSignature??(l.filesChangingSignature=new Set)).add(oe.resolvedPath),l.exportedModulesMap&&Mm.updateExportedModules(l,oe,oe.exportedModulesFromDeclarationEmit),l.affectedFiles?(((ne=l.oldSignatures)==null?void 0:ne.get(oe.resolvedPath))===void 0&&(l.oldSignatures??(l.oldSignatures=new Map)).set(oe.resolvedPath,fe.signature||!1),fe.signature=Ne):(fe.signature=Ne,(he=l.oldExportedModulesMap)==null||he.clear()))}}if(l.compilerOptions.composite){const oe=V[0].resolvedPath;if(Y=$((ye=l.emitSignatures)==null?void 0:ye.get(oe),Y),!Y)return;(l.emitSignatures??(l.emitSignatures=new Map)).set(oe,Y)}}M?M(O,U,K,Z,V,se):r.writeFile?r.writeFile(O,U,K,Z,V,se):l.program.writeFile(O,U,K,Z,V,se);function $(Y,oe){const fe=!Y||Po(Y)?Y:Y[0];if(oe??(oe=iT(U,r,se)),oe===fe){if(Y===fe)return;se?se.differsOnlyInMap=!0:se={differsOnlyInMap:!0}}else l.hasChangedEmitSignature=!0,l.latestChangedDtsFile=O;return oe}}:M||oa(r,r.writeFile)}function A(M,C,O,U,K){e===1&&ERe(l,M);const Z=qJ(p,M,C,O);if(Z)return Z;if(!M)if(e===1){let V=[],se=!1,G,ne=[],he;for(;he=m(C,O,U,K);)se=se||he.result.emitSkipped,G=Jr(G,he.result.diagnostics),ne=Jr(ne,he.result.emittedFiles),V=Jr(V,he.result.sourceMaps);return{emitSkipped:se,diagnostics:G||et,emittedFiles:ne,sourceMaps:V}}else GHe(l,U);return N.checkDefined(l.program).emit(M,b(C,K),O,U,K)}function I(M,C){for(;;){const O=SRe(l,M,r);let U;if(O)if(O!==l.program){const K=O;if((!C||!C(K))&&(U=Cce(l,K,M)),l.seenAffectedFiles.add(K.resolvedPath),l.affectedFilesIndex++,l.buildInfoEmitPending=!0,!U)continue}else U=l.program.getSemanticDiagnostics(void 0,M),l.changedFilesSet.clear(),l.programEmitPending=ab(l.compilerOptions);else return;return{result:U,affected:O}}}function R(M,C){ERe(l,M);const O=N.checkDefined(l.program).getCompilerOptions();if(Ps(O))return N.assert(!l.semanticDiagnosticsPerFile),N.checkDefined(l.program).getSemanticDiagnostics(M,C);if(M)return Cce(l,M,C);for(;I(C););let U;for(const K of N.checkDefined(l.program).getSourceFiles())U=Jr(U,Cce(l,K,C));return U||et}}function Mce(e,t,r){var i,o;const s=((i=e.affectedFilesPendingEmit)==null?void 0:i.get(t))||0;(e.affectedFilesPendingEmit??(e.affectedFilesPendingEmit=new Map)).set(t,s|r),(o=e.emitDiagnosticsPerFile)==null||o.delete(t)}function Lce(e){return Po(e)?{version:e,signature:e,affectsGlobalScope:void 0,impliedFormat:void 0}:Po(e.signature)?e:{version:e.version,signature:e.signature===!1?void 0:e.version,affectsGlobalScope:e.affectsGlobalScope,impliedFormat:e.impliedFormat}}function kce(e,t){return Cv(e)?t:e[1]||8}function wce(e,t){return e||ab(t||{})}function Oce(e,t,r){var i,o,s,l;const u=e.program,p=ai(go(t,r.getCurrentDirectory())),h=Mu(r.useCaseSensitiveFileNames());let m;const b=(i=u.fileNames)==null?void 0:i.map(R);let A;const I=u.latestChangedDtsFile?M(u.latestChangedDtsFile):void 0;if(Pce(u)){const Z=new Map;u.fileInfos.forEach((V,se)=>{const G=C(se+1);Z.set(G,Po(V)?{version:V,signature:void 0,affectsGlobalScope:void 0,impliedFormat:void 0}:V)}),m={fileInfos:Z,compilerOptions:u.options?gq(u.options,M):{},latestChangedDtsFile:I,outSignature:u.outSignature,programEmitPending:u.pendingEmit===void 0?void 0:wce(u.pendingEmit,u.options),bundle:e.bundle}}else{A=(o=u.fileIdsList)==null?void 0:o.map(G=>new Set(G.map(C)));const Z=new Map,V=(s=u.options)!=null&&s.composite&&!Ps(u.options)?new Map:void 0;u.fileInfos.forEach((G,ne)=>{const he=C(ne+1),ye=Lce(G);Z.set(he,ye),V&&ye.signature&&V.set(he,ye.signature)}),(l=u.emitSignatures)==null||l.forEach(G=>{if(Cv(G))V.delete(C(G));else{const ne=C(G[0]);V.set(ne,!Po(G[1])&&!G[1].length?[V.get(ne)]:G[1])}});const se=u.affectedFilesPendingEmit?ab(u.options||{}):void 0;m={fileInfos:Z,compilerOptions:u.options?gq(u.options,M):{},referencedMap:U(u.referencedMap),exportedModulesMap:U(u.exportedModulesMap),semanticDiagnosticsPerFile:K(u.semanticDiagnosticsPerFile),emitDiagnosticsPerFile:K(u.emitDiagnosticsPerFile),hasReusableDiagnostic:!0,affectedFilesPendingEmit:u.affectedFilesPendingEmit&&NE(u.affectedFilesPendingEmit,G=>C(Cv(G)?G:G[0]),G=>kce(G,se)),changedFilesSet:new Set(wt(u.changeFileSet,C)),latestChangedDtsFile:I,emitSignatures:V!=null&&V.size?V:void 0}}return{getState:()=>m,saveEmitState:$a,restoreEmitState:$a,getProgram:Ko,getProgramOrUndefined:r0,releaseProgram:$a,getCompilerOptions:()=>m.compilerOptions,getSourceFile:Ko,getSourceFiles:Ko,getOptionsDiagnostics:Ko,getGlobalDiagnostics:Ko,getConfigFileParsingDiagnostics:Ko,getSyntacticDiagnostics:Ko,getDeclarationDiagnostics:Ko,getSemanticDiagnostics:Ko,emit:Ko,getAllDependencies:Ko,getCurrentDirectory:Ko,emitNextAffectedFile:Ko,getSemanticDiagnosticsOfNextAffectedFile:Ko,emitBuildInfo:Ko,close:$a,hasChangedEmitSignature:Xm};function R(Z){return ol(Z,p,h)}function M(Z){return go(Z,p)}function C(Z){return b[Z-1]}function O(Z){return A[Z-1]}function U(Z){if(!Z)return;const V=Mm.createManyToManyPathMap();return Z.forEach(([se,G])=>V.set(C(se),O(G))),V}function K(Z){return Z&&NE(Z,V=>C(Cv(V)?V:V[0]),V=>Cv(V)?et:V[1])}}function tK(e,t,r){const i=ai(go(t,r.getCurrentDirectory())),o=Mu(r.useCaseSensitiveFileNames()),s=new Map;let l=0;const u=[];return e.fileInfos.forEach((p,h)=>{const m=ol(e.fileNames[h],i,o),b=Po(p)?p:p.version;if(s.set(m,b),l<e.root.length){const A=e.root[l],I=h+1;To(A)?A[0]<=I&&I<=A[1]&&(u.push(m),A[1]===I&&l++):A===I&&(u.push(m),l++)}}),{fileInfos:s,roots:u}}function nK(e,t){return{getState:Ko,saveEmitState:$a,restoreEmitState:$a,getProgram:r,getProgramOrUndefined:()=>e().program,releaseProgram:()=>e().program=void 0,getCompilerOptions:()=>e().compilerOptions,getSourceFile:i=>r().getSourceFile(i),getSourceFiles:()=>r().getSourceFiles(),getOptionsDiagnostics:i=>r().getOptionsDiagnostics(i),getGlobalDiagnostics:i=>r().getGlobalDiagnostics(i),getConfigFileParsingDiagnostics:()=>t,getSyntacticDiagnostics:(i,o)=>r().getSyntacticDiagnostics(i,o),getDeclarationDiagnostics:(i,o)=>r().getDeclarationDiagnostics(i,o),getSemanticDiagnostics:(i,o)=>r().getSemanticDiagnostics(i,o),emit:(i,o,s,l,u)=>r().emit(i,o,s,l,u),emitBuildInfo:(i,o)=>r().emitBuildInfo(i,o),getAllDependencies:Ko,getCurrentDirectory:()=>r().getCurrentDirectory(),close:$a};function r(){return N.checkDefined(e().program)}}var rK,iK,KHe=T({"src/compiler/builder.ts"(){ra(),rK=(e=>(e[e.None=0]="None",e[e.Js=1]="Js",e[e.JsMap=2]="JsMap",e[e.JsInlineMap=4]="JsInlineMap",e[e.Dts=8]="Dts",e[e.DtsMap=16]="DtsMap",e[e.AllJs=7]="AllJs",e[e.AllDts=24]="AllDts",e[e.All=31]="All",e))(rK||{}),iK=(e=>(e[e.SemanticDiagnosticsBuilderProgram=0]="SemanticDiagnosticsBuilderProgram",e[e.EmitAndSemanticDiagnosticsBuilderProgram=1]="EmitAndSemanticDiagnosticsBuilderProgram",e))(iK||{})}});function RRe(e,t,r,i,o,s){return eK(0,C7(e,t,r,i,o,s))}function oK(e,t,r,i,o,s){return eK(1,C7(e,t,r,i,o,s))}function DRe(e,t,r,i,o,s){const{newProgram:l,configFileParsingDiagnostics:u}=C7(e,t,r,i,o,s);return nK(()=>({program:l,compilerOptions:l.getCompilerOptions()}),u)}var XHe=T({"src/compiler/builderPublic.ts"(){ra()}});function P7(e){return Al(e,"/node_modules/.staging")?Bx(e,"/.staging"):bt(XM,t=>e.includes(t))?void 0:e}function Wce(e,t){if(t<=1)return 1;let r=1,i=e[0].search(/[a-zA-Z]:/)===0;if(e[0]!==al&&!i&&e[1].search(/[a-zA-Z]\$$/)===0){if(t===2)return 2;r=2,i=!0}return i&&!e[r].match(/^users$/i)?r:e[r].match(/^workspaces$/i)?r+1:r+2}function M7(e,t){if(t===void 0&&(t=e.length),t<=2)return!1;const r=Wce(e,t);return t>r+1}function Fce(e){return CRe(ai(e))}function NRe(e,t){if(t.length<t.length)return!1;for(let r=0;r<e.length;r++)if(t[r]!==e[r])return!1;return!0}function CRe(e){return M7(zc(e))}function zce(e){return CRe(e)}function aK(e,t,r,i,o,s){const l=zc(t);e=fp(e)?ga(e):go(e,s());const u=zc(e),p=Wce(l,l.length);if(l.length<=p+1)return;const h=l.indexOf("node_modules");if(!(h!==-1&&h+1<=p+1))return NRe(o,l)?l.length>o.length+1?Bce(u,l,Math.max(o.length+1,p+1)):{dir:r,dirPath:i,nonRecursive:!0}:PRe(u,l,l.length-1,p,h,o)}function PRe(e,t,r,i,o,s){if(o!==-1)return Bce(e,t,o+1);let l=!0,u=r;for(let p=0;p<r;p++)if(t[p]!==s[p]){l=!1,u=Math.max(p+1,i+1);break}return Bce(e,t,u,l)}function Bce(e,t,r,i){return{dir:Cy(e,r),dirPath:Cy(t,r),nonRecursive:i}}function Gce(e,t,r,i,o,s){const l=zc(t);if(NRe(i,l))return r;e=fp(e)?ga(e):go(e,o());const u=PRe(zc(e),l,l.length,Wce(l,l.length),l.indexOf("node_modules"),i);return u&&s(u.dirPath)?u.dirPath:void 0}function Vce(e,t){const r=go(e,t());return OV(r)?r:o0(r)}function MRe(e){return e.split(al).length-(kv(e)?1:0)}function L7(e){var t;return((t=e.getCompilerHost)==null?void 0:t.call(e))||e}function jce(e,t,r,i,o){return{nameAndMode:R7,resolve:(s,l)=>YHe(i,o,s,e,r,t,l)}}function YHe(e,t,r,i,o,s,l){const u=L7(e),p=uD(r,i,o,u,t,s,l);if(!e.getGlobalCache)return p;const h=e.getGlobalCache();if(h!==void 0&&!Yc(r)&&!(p.resolvedModule&&tW(p.resolvedModule.extension))){const{resolvedModule:m,failedLookupLocations:b,affectingLocations:A,resolutionDiagnostics:I}=ile(N.checkDefined(e.globalCacheResolutionModuleName)(r),e.projectName,o,u,h,t);if(m)return p.resolvedModule=m,p.failedLookupLocations=lD(p.failedLookupLocations,b),p.affectingLocations=lD(p.affectingLocations,A),p.resolutionDiagnostics=lD(p.resolutionDiagnostics,I),p}return p}function sK(e,t,r){let i,o,s;const l=Zp(),u=new Set,p=new Set,h=new Map,m=new Map;let b=!1,A,I,R,M,C,O=!1;const U=Td(()=>e.getCurrentDirectory()),K=e.getCachedDirectoryStructureHost(),Z=new Map,V=cD(U(),e.getCanonicalFileName,e.getCompilationSettings()),se=new Map,G=zF(U(),e.getCanonicalFileName,e.getCompilationSettings(),V.getPackageJsonInfoCache(),V.optionsToRedirectsKey),ne=new Map,he=cD(U(),e.getCanonicalFileName,Lq(e.getCompilationSettings()),V.getPackageJsonInfoCache()),ye=new Map,$=new Map,Y=Vce(t,U),oe=e.toPath(Y),fe=zc(oe),Ne=new Map;return{rootDirForResolution:t,resolvedModuleNames:Z,resolvedTypeReferenceDirectives:se,resolvedLibraries:ne,resolvedFileToResolution:h,resolutionsWithFailedLookups:u,resolutionsWithOnlyAffectingLocations:p,directoryWatchesOfFailedLookups:ye,fileWatchesOfAffectingLocations:$,watchFailedLookupLocationsOfExternalModuleResolutions:Pn,getModuleResolutionCache:()=>V,startRecordingFilesWithChangedResolutions:He,finishRecordingFilesWithChangedResolutions:Ee,startCachingPerDirectoryResolution:We,finishCachingPerDirectoryResolution:Se,resolveModuleNameLiterals:$e,resolveTypeReferenceDirectiveReferences:vt,resolveLibrary:It,resolveSingleModuleNameWithoutWatching:tn,removeResolutionsFromProjectReferenceRedirects:tt,removeResolutionsOfFile:zt,hasChangedAutomaticTypeDirectiveNames:()=>b,invalidateResolutionOfFile:li,invalidateResolutionsOfFailedLookupLocations:ma,setFilesWithInvalidatedNonRelativeUnresolvedImports:hi,createHasInvalidatedResolutions:Pe,isFileWithInvalidatedNonRelativeUnresolvedImports:De,updateTypeRootsWatch:ft,closeTypeRootsWatch:qe,clear:ve,onChangesAffectModuleResolution:Le};function pe(le){return le.resolvedModule}function ie(le){return le.resolvedTypeReferenceDirective}function ve(){tp(ye,Mf),tp($,Mf),l.clear(),qe(),Z.clear(),se.clear(),h.clear(),u.clear(),p.clear(),R=void 0,M=void 0,C=void 0,I=void 0,A=void 0,O=!1,V.clear(),G.clear(),V.update(e.getCompilationSettings()),G.update(e.getCompilationSettings()),he.clear(),m.clear(),ne.clear(),b=!1}function Le(){O=!0,V.clearAllExceptPackageJsonInfoCache(),G.clearAllExceptPackageJsonInfoCache(),V.update(e.getCompilationSettings()),G.update(e.getCompilationSettings())}function He(){i=[]}function Ee(){const le=i;return i=void 0,le}function De(le){if(!s)return!1;const Fe=s.get(le);return!!Fe&&!!Fe.length}function Pe(le,Fe){ma();const pt=o;return o=void 0,{hasInvalidatedResolutions:j=>le(j)||O||!!(pt!=null&&pt.has(j))||De(j),hasInvalidatedLibResolutions:j=>{var ot;return Fe(j)||!!((ot=ne==null?void 0:ne.get(j))!=null&&ot.isInvalidated)}}}function We(){V.isReadonly=void 0,G.isReadonly=void 0,he.isReadonly=void 0,V.getPackageJsonInfoCache().isReadonly=void 0,V.clearAllExceptPackageJsonInfoCache(),G.clearAllExceptPackageJsonInfoCache(),he.clearAllExceptPackageJsonInfoCache(),l.forEach(ao),l.clear()}function At(le){ne.forEach((Fe,pt)=>{var j;(j=le==null?void 0:le.resolvedLibReferences)!=null&&j.has(pt)||(Mn(Fe,e.toPath(T7(e.getCompilationSettings(),U(),pt)),pe),ne.delete(pt))})}function Se(le,Fe){s=void 0,O=!1,l.forEach(ao),l.clear(),le!==Fe&&(At(le),le==null||le.getSourceFiles().forEach(pt=>{var j;const ot=zp(pt)?((j=pt.packageJsonLocations)==null?void 0:j.length)??0:0,Rt=m.get(pt.path)??et;for(let Ye=Rt.length;Ye<ot;Ye++)$t(pt.packageJsonLocations[Ye],!1);if(Rt.length>ot)for(let Ye=ot;Ye<Rt.length;Ye++)$.get(Rt[Ye]).files--;ot?m.set(pt.path,pt.packageJsonLocations):m.delete(pt.path)}),m.forEach((pt,j)=>{le!=null&&le.getSourceFileByPath(j)||(pt.forEach(ot=>$.get(ot).files--),m.delete(j))})),ye.forEach(je),$.forEach(at),b=!1,V.isReadonly=!0,G.isReadonly=!0,he.isReadonly=!0,V.getPackageJsonInfoCache().isReadonly=!0}function je(le,Fe){le.refCount===0&&(ye.delete(Fe),le.watcher.close())}function at(le,Fe){var pt;le.files===0&&le.resolutions===0&&!((pt=le.symlinks)!=null&&pt.size)&&($.delete(Fe),le.watcher.close())}function Gt({entries:le,containingFile:Fe,containingSourceFile:pt,redirectedReference:j,options:ot,perFileCache:Rt,reusedNames:Ye,loader:_t,getResolutionWithResolvedFileName:Vt,deferWatchingNonRelativeResolution:vn,shouldRetryResolution:fn,logChanges:_n}){const q=e.toPath(Fe),Oe=Rt.get(q)||Rt.set(q,TI()).get(q),Lt=[],L=_n&&De(q),_e=e.getCurrentProgram(),J=_e&&_e.getResolvedProjectReferenceToRedirect(Fe),me=J?!j||j.sourceFile.path!==J.sourceFile.path:!!j,Ge=TI();for(const Tt of le){const kt=_t.nameAndMode.getName(Tt),en=_t.nameAndMode.getMode(Tt,pt,(j==null?void 0:j.commandLine.options)||ot);let bn=Oe.get(kt,en);if(!Ge.has(kt,en)&&(O||me||!bn||bn.isInvalidated||L&&!Yc(kt)&&fn(bn))){const Bn=bn;bn=_t.resolve(kt,en),e.onDiscoveredSymlink&&$He(bn)&&e.onDiscoveredSymlink(),Oe.set(kt,en,bn),bn!==Bn&&(Pn(kt,bn,q,Vt,vn),Bn&&Mn(Bn,q,Vt)),_n&&i&&!ke(Bn,bn)&&(i.push(q),_n=!1)}else{const Bn=L7(e);if(Jg(ot,Bn)&&!Ge.has(kt,en)){const Hn=Vt(bn);bo(Bn,Rt===Z?Hn!=null&&Hn.resolvedFileName?Hn.packageId?f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved:Hn!=null&&Hn.resolvedFileName?Hn.packageId?f.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:f.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_successfully_resolved_to_2:f.Reusing_resolution_of_type_reference_directive_0_from_1_of_old_program_it_was_not_resolved,kt,Fe,Hn==null?void 0:Hn.resolvedFileName,(Hn==null?void 0:Hn.packageId)&&By(Hn.packageId))}}N.assert(bn!==void 0&&!bn.isInvalidated),Ge.set(kt,en,!0),Lt.push(bn)}return Ye==null||Ye.forEach(Tt=>Ge.set(_t.nameAndMode.getName(Tt),_t.nameAndMode.getMode(Tt,pt,(j==null?void 0:j.commandLine.options)||ot),!0)),Oe.size()!==Ge.size()&&Oe.forEach((Tt,kt,en)=>{Ge.has(kt,en)||(Mn(Tt,q,Vt),Oe.delete(kt,en))}),Lt;function ke(Tt,kt){if(Tt===kt)return!0;if(!Tt||!kt)return!1;const en=Vt(Tt),bn=Vt(kt);return en===bn?!0:!en||!bn?!1:en.resolvedFileName===bn.resolvedFileName}}function vt(le,Fe,pt,j,ot,Rt){return Gt({entries:le,containingFile:Fe,containingSourceFile:ot,redirectedReference:pt,options:j,reusedNames:Rt,perFileCache:se,loader:E7(Fe,pt,j,L7(e),G),getResolutionWithResolvedFileName:ie,shouldRetryResolution:Ye=>Ye.resolvedTypeReferenceDirective===void 0,deferWatchingNonRelativeResolution:!1})}function $e(le,Fe,pt,j,ot,Rt){return Gt({entries:le,containingFile:Fe,containingSourceFile:ot,redirectedReference:pt,options:j,reusedNames:Rt,perFileCache:Z,loader:jce(Fe,pt,j,e,V),getResolutionWithResolvedFileName:pe,shouldRetryResolution:Ye=>!Ye.resolvedModule||!$C(Ye.resolvedModule.extension),logChanges:r,deferWatchingNonRelativeResolution:!0})}function It(le,Fe,pt,j){const ot=L7(e);let Rt=ne==null?void 0:ne.get(j);if(!Rt||Rt.isInvalidated){const Ye=Rt;Rt=BF(le,Fe,pt,ot,he);const _t=e.toPath(Fe);Pn(le,Rt,_t,pe,!1),ne.set(j,Rt),Ye&&Mn(Ye,_t,pe)}else if(Jg(pt,ot)){const Ye=pe(Rt);bo(ot,Ye!=null&&Ye.resolvedFileName?Ye.packageId?f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2_with_Package_ID_3:f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_successfully_resolved_to_2:f.Reusing_resolution_of_module_0_from_1_of_old_program_it_was_not_resolved,le,Fe,Ye==null?void 0:Ye.resolvedFileName,(Ye==null?void 0:Ye.packageId)&&By(Ye.packageId))}return Rt}function tn(le,Fe){var pt,j;const ot=e.toPath(Fe),Rt=Z.get(ot),Ye=Rt==null?void 0:Rt.get(le,void 0);if(Ye&&!Ye.isInvalidated)return Ye;const _t=(pt=e.beforeResolveSingleModuleNameWithoutWatching)==null?void 0:pt.call(e,V),Vt=L7(e),vn=uD(le,Fe,e.getCompilationSettings(),Vt,V);return(j=e.afterResolveSingleModuleNameWithoutWatching)==null||j.call(e,V,le,Fe,vn,_t),vn}function rn(le){return Al(le,"/node_modules/@types")}function Pn(le,Fe,pt,j,ot){var Rt;if(Fe.refCount)Fe.refCount++,N.assertIsDefined(Fe.files);else{Fe.refCount=1,N.assert(!((Rt=Fe.files)!=null&&Rt.size)),!ot||Yc(le)?hn(Fe):l.add(le,Fe);const Ye=j(Fe);if(Ye&&Ye.resolvedFileName){const _t=e.toPath(Ye.resolvedFileName);let Vt=h.get(_t);Vt||h.set(_t,Vt=new Set),Vt.add(Fe)}}(Fe.files??(Fe.files=new Set)).add(pt)}function Xn(le,Fe){const pt=e.toPath(le),j=aK(le,pt,Y,oe,fe,U);if(j){const{dir:ot,dirPath:Rt,nonRecursive:Ye}=j;Rt===oe?(N.assert(Ye),Fe=!0):Oi(ot,Rt,Ye)}return Fe}function hn(le){N.assert(!!le.refCount);const{failedLookupLocations:Fe,affectingLocations:pt,alternateResult:j}=le;if(!(Fe!=null&&Fe.length)&&!(pt!=null&&pt.length)&&!j)return;(Fe!=null&&Fe.length||j)&&u.add(le);let ot=!1;if(Fe)for(const Rt of Fe)ot=Xn(Rt,ot);j&&(ot=Xn(j,ot)),ot&&Oi(Y,oe,!0),Qt(le,!(Fe!=null&&Fe.length)&&!j)}function Qt(le,Fe){N.assert(!!le.refCount);const{affectingLocations:pt}=le;if(pt!=null&&pt.length){Fe&&p.add(le);for(const j of pt)$t(j,!0)}}function $t(le,Fe){const pt=$.get(le);if(pt){Fe?pt.resolutions++:pt.files++;return}let j=le,ot=!1,Rt;e.realpath&&(j=e.realpath(le),le!==j&&(ot=!0,Rt=$.get(j)));const Ye=Fe?1:0,_t=Fe?0:1;if(!ot||!Rt){const Vt={watcher:zce(e.toPath(j))?e.watchAffectingFileLocation(j,(vn,fn)=>{K==null||K.addOrDeleteFile(vn,e.toPath(j),fn),_i(j,V.getPackageJsonInfoCache().getInternalMap()),e.scheduleInvalidateResolutionsOfFailedLookupLocations()}):SD,resolutions:ot?0:Ye,files:ot?0:_t,symlinks:void 0};$.set(j,Vt),ot&&(Rt=Vt)}if(ot){N.assert(!!Rt);const Vt={watcher:{close:()=>{var vn;const fn=$.get(j);(vn=fn==null?void 0:fn.symlinks)!=null&&vn.delete(le)&&!fn.symlinks.size&&!fn.resolutions&&!fn.files&&($.delete(j),fn.watcher.close())}},resolutions:Ye,files:_t,symlinks:void 0};$.set(le,Vt),(Rt.symlinks??(Rt.symlinks=new Set)).add(le)}}function _i(le,Fe){var pt;const j=$.get(le);j!=null&&j.resolutions&&(I??(I=new Set)).add(le),j!=null&&j.files&&(A??(A=new Set)).add(le),(pt=j==null?void 0:j.symlinks)==null||pt.forEach(ot=>_i(ot,Fe)),Fe==null||Fe.delete(e.toPath(le))}function ao(le,Fe){const pt=e.getCurrentProgram();!pt||!pt.getTypeChecker().tryFindAmbientModuleWithoutAugmentations(Fe)?le.forEach(hn):le.forEach(j=>Qt(j,!0))}function Oi(le,Fe,pt){const j=ye.get(Fe);j?(N.assert(!!pt==!!j.nonRecursive),j.refCount++):ye.set(Fe,{watcher:Ar(le,Fe,pt),refCount:1,nonRecursive:pt})}function No(le,Fe,pt){const j=e.toPath(le),ot=aK(le,j,Y,oe,fe,U);if(ot){const{dirPath:Rt}=ot;Rt===oe?Fe=!0:Di(Rt,pt)}return Fe}function Mn(le,Fe,pt,j){if(N.checkDefined(le.files).delete(Fe),le.refCount--,le.refCount)return;const ot=pt(le);if(ot&&ot.resolvedFileName){const Vt=e.toPath(ot.resolvedFileName),vn=h.get(Vt);vn!=null&&vn.delete(le)&&!vn.size&&h.delete(Vt)}const{failedLookupLocations:Rt,affectingLocations:Ye,alternateResult:_t}=le;if(u.delete(le)){let Vt=!1;if(Rt)for(const vn of Rt)Vt=No(vn,Vt,j);_t&&(Vt=No(_t,Vt,j)),Vt&&Di(oe,j)}else Ye!=null&&Ye.length&&p.delete(le);if(Ye)for(const Vt of Ye){const vn=$.get(Vt);vn.resolutions--,j&&at(vn,Vt)}}function Di(le,Fe){const pt=ye.get(le);pt.refCount--,Fe&&je(pt,le)}function Ar(le,Fe,pt){return e.watchDirectoryOfFailedLookupLocation(le,j=>{const ot=e.toPath(j);K&&K.addOrDeleteFileOrDirectory(j,ot),Qi(ot,Fe===ot)},pt?0:1)}function sn(le,Fe,pt,j){const ot=le.get(Fe);ot&&(ot.forEach(Rt=>Mn(Rt,Fe,pt,j)),le.delete(Fe))}function tt(le){if(!Il(le,".json"))return;const Fe=e.getCurrentProgram();if(!Fe)return;const pt=Fe.getResolvedProjectReferenceByPath(le);pt&&pt.commandLine.fileNames.forEach(j=>zt(e.toPath(j)))}function zt(le,Fe){sn(Z,le,pe,Fe),sn(se,le,ie,Fe)}function Rn(le,Fe){if(!le)return!1;let pt=!1;return le.forEach(j=>{if(!(j.isInvalidated||!Fe(j))){j.isInvalidated=pt=!0;for(const ot of N.checkDefined(j.files))(o??(o=new Set)).add(ot),b=b||Al(ot,yD)}}),pt}function li(le){zt(le);const Fe=b;Rn(h.get(le),Pv)&&b&&!Fe&&e.onChangedAutomaticTypeDirectiveNames()}function hi(le){N.assert(s===le||s===void 0),s=le}function Qi(le,Fe){if(Fe)(C||(C=new Set)).add(le);else{const pt=P7(le);if(!pt||(le=pt,e.fileIsOpen(le)))return!1;const j=ai(le);if(rn(le)||d4(le)||rn(j)||d4(j))(R||(R=new Set)).add(le),(M||(M=new Set)).add(le);else{if(_ce(e.getCurrentProgram(),le)||Il(le,".map"))return!1;(R||(R=new Set)).add(le);const ot=xw(le,!0);ot&&(M||(M=new Set)).add(ot)}}e.scheduleInvalidateResolutionsOfFailedLookupLocations()}function Io(){const le=V.getPackageJsonInfoCache().getInternalMap();le&&(R||M||C)&&le.forEach((Fe,pt)=>Sn(pt)?le.delete(pt):void 0)}function ma(){var le;if(O)return A=void 0,Io(),(R||M||C||I)&&Rn(ne,Wa),R=void 0,M=void 0,C=void 0,I=void 0,!0;let Fe=!1;return A&&((le=e.getCurrentProgram())==null||le.getSourceFiles().forEach(pt=>{bt(pt.packageJsonLocations,j=>A.has(j))&&((o??(o=new Set)).add(pt.path),Fe=!0)}),A=void 0),!R&&!M&&!C&&!I||(Fe=Rn(u,Wa)||Fe,Io(),R=void 0,M=void 0,C=void 0,Fe=Rn(p,kn)||Fe,I=void 0),Fe}function Wa(le){var Fe;return kn(le)?!0:!R&&!M&&!C?!1:((Fe=le.failedLookupLocations)==null?void 0:Fe.some(pt=>Sn(e.toPath(pt))))||!!le.alternateResult&&Sn(e.toPath(le.alternateResult))}function Sn(le){return(R==null?void 0:R.has(le))||dn((M==null?void 0:M.keys())||[],Fe=>uo(le,Fe)?!0:void 0)||dn((C==null?void 0:C.keys())||[],Fe=>le.length>Fe.length&&uo(le,Fe)&&(OV(Fe)||le[Fe.length]===al)?!0:void 0)}function kn(le){var Fe;return!!I&&((Fe=le.affectingLocations)==null?void 0:Fe.some(pt=>I.has(pt)))}function qe(){tp(Ne,Qm)}function mt(le){return Ct(le)?e.watchTypeRootsDirectory(le,Fe=>{const pt=e.toPath(Fe);K&&K.addOrDeleteFileOrDirectory(Fe,pt),b=!0,e.onChangedAutomaticTypeDirectiveNames();const j=Gce(le,e.toPath(le),oe,fe,U,ot=>ye.has(ot));j&&Qi(pt,j===pt)},1):SD}function ft(){const le=e.getCompilationSettings();if(le.types){qe();return}const Fe=OP(le,{getCurrentDirectory:U});Fe?JC(Ne,new Set(Fe),{createNewValue:mt,onDeleteValue:Qm}):qe()}function Ct(le){return e.getCompilationSettings().typeRoots?!0:Fce(e.toPath(le))}}function $He(e){var t,r;return!!((t=e.resolvedModule)!=null&&t.originalPath||(r=e.resolvedTypeReferenceDirective)!=null&&r.originalPath)}var QHe=T({"src/compiler/resolutionCache.ts"(){ra()}});function Xw(e,t){const r=e===gu&&Yce?Yce:{getCurrentDirectory:()=>e.getCurrentDirectory(),getNewLine:()=>e.newLine,getCanonicalFileName:Mu(e.useCaseSensitiveFileNames)};if(!t)return o=>e.write(wJ(o,r));const i=new Array(1);return o=>{i[0]=o,e.write(yce(i,r)+r.getNewLine()),i[0]=void 0}}function LRe(e,t,r){return e.clearScreen&&!r.preserveWatchOutput&&!r.extendedDiagnostics&&!r.diagnostics&&Nr(z7,t.code)?(e.clearScreen(),!0):!1}function ZHe(e,t){return Nr(z7,e.code)?t+t:t}function Yw(e){return e.now?e.now().toLocaleTimeString("en-US",{timeZone:"UTC"}).replace(" "," "):new Date().toLocaleTimeString()}function Uce(e,t){return t?(r,i,o)=>{LRe(e,r,o);let s=`[${v1(Yw(e),"\x1B[90m")}] `;s+=`${z_(r.messageText,e.newLine)}${i+i}`,e.write(s)}:(r,i,o)=>{let s="";LRe(e,r,o)||(s+=i),s+=`${Yw(e)} - `,s+=`${z_(r.messageText,e.newLine)}${ZHe(r,i)}`,e.write(s)}}function kRe(e,t,r,i,o,s){const l=o;l.onUnRecoverableConfigFileDiagnostic=p=>WRe(o,s,p);const u=fw(e,t,l,r,i);return l.onUnRecoverableConfigFileDiagnostic=void 0,u}function k7(e){return au(e,t=>t.category===1)}function w7(e){return nr(e,r=>r.category===1).map(r=>{if(r.file!==void 0)return`${r.file.fileName}`}).map(r=>{if(r===void 0)return;const i=An(e,o=>o.file!==void 0&&o.file.fileName===r);if(i!==void 0){const{line:o}=bs(i.file,i.start);return{fileName:r,line:o+1}}})}function lK(e){return e===1?f.Found_1_error_Watching_for_file_changes:f.Found_0_errors_Watching_for_file_changes}function wRe(e,t){const r=v1(":"+e.line,"\x1B[90m");return nC(e.fileName)&&nC(t)?Em(t,e.fileName,!1)+r:e.fileName+r}function Hce(e,t,r,i){if(e===0)return"";const o=t.filter(m=>m!==void 0),s=o.map(m=>`${m.fileName}:${m.line}`).filter((m,b,A)=>A.indexOf(m)===b),l=o[0]&&wRe(o[0],i.getCurrentDirectory());let u;e===1?u=t[0]!==void 0?[f.Found_1_error_in_0,l]:[f.Found_1_error]:u=s.length===0?[f.Found_0_errors,e]:s.length===1?[f.Found_0_errors_in_the_same_file_starting_at_Colon_1,e,l]:[f.Found_0_errors_in_1_files,e,s.length];const p=Hl(...u),h=s.length>1?eqe(o,i):"";return`${r}${z_(p.messageText,r)}${r}${r}${h}`}function eqe(e,t){const r=e.filter((b,A,I)=>A===I.findIndex(R=>(R==null?void 0:R.fileName)===(b==null?void 0:b.fileName)));if(r.length===0)return"";const i=b=>Math.log(b)*Math.LOG10E+1,o=r.map(b=>[b,au(e,A=>A.fileName===b.fileName)]),s=o.reduce((b,A)=>Math.max(b,A[1]||0),0),l=f.Errors_Files.message,u=l.split(" ")[0].length,p=Math.max(u,i(s)),h=Math.max(i(s)-u,0);let m="";return m+=" ".repeat(h)+l+`
+`,o.forEach(b=>{const[A,I]=b,R=Math.log(I)*Math.LOG10E+1|0,M=R<p?" ".repeat(p-R):"",C=wRe(A,t.getCurrentDirectory());m+=`${M}${I} ${C}
+`}),m}function qce(e){return!!e.getState}function cK(e,t){const r=e.getCompilerOptions();r.explainFiles?uK(qce(e)?e.getProgram():e,t):(r.listFiles||r.listFilesOnly)&&Ue(e.getSourceFiles(),i=>{t(i.fileName)})}function uK(e,t){var r,i;const o=e.getFileIncludeReasons(),s=l=>rC(l,e.getCurrentDirectory(),e.getCanonicalFileName);for(const l of e.getSourceFiles())t(`${ED(l,s)}`),(r=o.get(l.path))==null||r.forEach(u=>t(` ${mK(e,u,s).messageText}`)),(i=dK(l,s))==null||i.forEach(u=>t(` ${u.messageText}`))}function dK(e,t){var r;let i;if(e.path!==e.resolvedPath&&(i??(i=[])).push(jo(void 0,f.File_is_output_of_project_reference_source_0,ED(e.originalFileName,t))),e.redirectInfo&&(i??(i=[])).push(jo(void 0,f.File_redirects_to_file_0,ED(e.redirectInfo.redirectTarget,t))),zp(e))switch(e.impliedNodeFormat){case 99:e.packageJsonScope&&(i??(i=[])).push(jo(void 0,f.File_is_ECMAScript_module_because_0_has_field_type_with_value_module,ED(Ya(e.packageJsonLocations),t)));break;case 1:e.packageJsonScope?(i??(i=[])).push(jo(void 0,e.packageJsonScope.contents.packageJsonContent.type?f.File_is_CommonJS_module_because_0_has_field_type_whose_value_is_not_module:f.File_is_CommonJS_module_because_0_does_not_have_field_type,ED(Ya(e.packageJsonLocations),t))):(r=e.packageJsonLocations)!=null&&r.length&&(i??(i=[])).push(jo(void 0,f.File_is_CommonJS_module_because_package_json_was_not_found));break}return i}function pK(e,t){var r;const i=e.getCompilerOptions().configFile;if(!((r=i==null?void 0:i.configFileSpecs)!=null&&r.validatedFilesSpec))return;const o=e.getCanonicalFileName(t),s=ai(go(i.fileName,e.getCurrentDirectory()));return An(i.configFileSpecs.validatedFilesSpec,l=>e.getCanonicalFileName(go(l,s))===o)}function fK(e,t){var r,i;const o=e.getCompilerOptions().configFile;if(!((r=o==null?void 0:o.configFileSpecs)!=null&&r.validatedIncludeSpecs))return;if(o.configFileSpecs.isDefaultIncludeSpec)return!0;const s=Il(t,".json"),l=ai(go(o.fileName,e.getCurrentDirectory())),u=e.useCaseSensitiveFileNames();return An((i=o==null?void 0:o.configFileSpecs)==null?void 0:i.validatedIncludeSpecs,p=>{if(s&&!Al(p,".json"))return!1;const h=Hoe(p,l,"files");return!!h&&qy(`(${h})$`,u).test(t)})}function mK(e,t,r){var i,o;const s=e.getCompilerOptions();if(w0(t)){const l=QP(e,t),u=gD(l)?l.file.text.substring(l.pos,l.end):`"${l.text}"`;let p;switch(N.assert(gD(l)||t.kind===3,"Only synthetic references are imports"),t.kind){case 3:gD(l)?p=l.packageId?f.Imported_via_0_from_file_1_with_packageId_2:f.Imported_via_0_from_file_1:l.text===Ky?p=l.packageId?f.Imported_via_0_from_file_1_with_packageId_2_to_import_importHelpers_as_specified_in_compilerOptions:f.Imported_via_0_from_file_1_to_import_importHelpers_as_specified_in_compilerOptions:p=l.packageId?f.Imported_via_0_from_file_1_with_packageId_2_to_import_jsx_and_jsxs_factory_functions:f.Imported_via_0_from_file_1_to_import_jsx_and_jsxs_factory_functions;break;case 4:N.assert(!l.packageId),p=f.Referenced_via_0_from_file_1;break;case 5:p=l.packageId?f.Type_library_referenced_via_0_from_file_1_with_packageId_2:f.Type_library_referenced_via_0_from_file_1;break;case 7:N.assert(!l.packageId),p=f.Library_referenced_via_0_from_file_1;break;default:N.assertNever(t)}return jo(void 0,p,u,ED(l.file,r),l.packageId&&By(l.packageId))}switch(t.kind){case 0:if(!((i=s.configFile)!=null&&i.configFileSpecs))return jo(void 0,f.Root_file_specified_for_compilation);const l=go(e.getRootFileNames()[t.index],e.getCurrentDirectory());if(pK(e,l))return jo(void 0,f.Part_of_files_list_in_tsconfig_json);const p=fK(e,l);return Po(p)?jo(void 0,f.Matched_by_include_pattern_0_in_1,p,ED(s.configFile,r)):jo(void 0,p?f.Matched_by_default_include_pattern_Asterisk_Asterisk_Slash_Asterisk:f.Root_file_specified_for_compilation);case 1:case 2:const h=t.kind===2,m=N.checkDefined((o=e.getResolvedProjectReferences())==null?void 0:o[t.index]);return jo(void 0,Ps(s)?h?f.Output_from_referenced_project_0_included_because_1_specified:f.Source_from_referenced_project_0_included_because_1_specified:h?f.Output_from_referenced_project_0_included_because_module_is_specified_as_none:f.Source_from_referenced_project_0_included_because_module_is_specified_as_none,ED(m.sourceFile.fileName,r),s.outFile?"--outFile":"--out");case 8:{const b=s.types?t.packageId?[f.Entry_point_of_type_library_0_specified_in_compilerOptions_with_packageId_1,t.typeReference,By(t.packageId)]:[f.Entry_point_of_type_library_0_specified_in_compilerOptions,t.typeReference]:t.packageId?[f.Entry_point_for_implicit_type_library_0_with_packageId_1,t.typeReference,By(t.packageId)]:[f.Entry_point_for_implicit_type_library_0,t.typeReference];return jo(void 0,...b)}case 6:{if(t.index!==void 0)return jo(void 0,f.Library_0_specified_in_compilerOptions,s.lib[t.index]);const b=Gc(Ew.type,(I,R)=>I===os(s)?R:void 0),A=b?[f.Default_library_for_target_0,b]:[f.Default_library];return jo(void 0,...A)}default:N.assertNever(t)}}function ED(e,t){const r=Po(e)?e:e.fileName;return t?t(r):r}function O7(e,t,r,i,o,s,l,u){const p=!!e.getCompilerOptions().listFilesOnly,h=e.getConfigFileParsingDiagnostics().slice(),m=h.length;Jr(h,e.getSyntacticDiagnostics(void 0,s)),h.length===m&&(Jr(h,e.getOptionsDiagnostics(s)),p||(Jr(h,e.getGlobalDiagnostics(s)),h.length===m&&Jr(h,e.getSemanticDiagnostics(void 0,s))));const b=p?{emitSkipped:!0,diagnostics:et}:e.emit(void 0,o,s,l,u),{emittedFiles:A,diagnostics:I}=b;Jr(h,I);const R=Yx(h);if(R.forEach(t),r){const M=e.getCurrentDirectory();Ue(A,C=>{const O=go(C,M);r(`TSFILE: ${O}`)}),cK(e,r)}return i&&i(k7(R),w7(R)),{emitResult:b,diagnostics:R}}function Jce(e,t,r,i,o,s,l,u){const{emitResult:p,diagnostics:h}=O7(e,t,r,i,o,s,l,u);return p.emitSkipped&&h.length>0?1:h.length>0?2:0}function _K(e=gu,t){return{onWatchStatusChange:t||Uce(e),watchFile:oa(e,e.watchFile)||TD,watchDirectory:oa(e,e.watchDirectory)||TD,setTimeout:oa(e,e.setTimeout)||$a,clearTimeout:oa(e,e.clearTimeout)||$a}}function hK(e,t){const r=e.trace?t.extendedDiagnostics?2:t.diagnostics?1:0:0,i=r!==0?s=>e.trace(s):$a,o=NJ(e,r,i);return o.writeLog=i,o}function gK(e,t,r=e){const i=e.useCaseSensitiveFileNames(),o={getSourceFile:MJ((s,l)=>l?e.readFile(s,l):o.readFile(s),t,void 0),getDefaultLibLocation:oa(e,e.getDefaultLibLocation),getDefaultLibFileName:s=>e.getDefaultLibFileName(s),writeFile:LJ((s,l,u)=>e.writeFile(s,l,u),s=>e.createDirectory(s),s=>e.directoryExists(s)),getCurrentDirectory:Td(()=>e.getCurrentDirectory()),useCaseSensitiveFileNames:()=>i,getCanonicalFileName:Mu(i),getNewLine:()=>Uv(t()),fileExists:s=>e.fileExists(s),readFile:s=>e.readFile(s),trace:oa(e,e.trace),directoryExists:oa(r,r.directoryExists),getDirectories:oa(r,r.getDirectories),realpath:oa(e,e.realpath),getEnvironmentVariable:oa(e,e.getEnvironmentVariable)||(()=>""),createHash:oa(e,e.createHash),readDirectory:oa(e,e.readDirectory),storeFilesChangingSignatureDuringEmit:e.storeFilesChangingSignatureDuringEmit,jsDocParsingMode:e.jsDocParsingMode};return o}function W7(e,t){if(t.match(rJ)){let r=t.length,i=r;for(let o=r-1;o>=0;o--){const s=t.charCodeAt(o);switch(s){case 10:o&&t.charCodeAt(o-1)===13&&o--;case 13:break;default:if(s<127||!Hu(s)){i=o;continue}break}const l=t.substring(i,r);if(l.match(e7)){t=t.substring(0,i);break}else if(!l.match(t7))break;r=i}}return(e.createHash||tC)(t)}function F7(e){const t=e.getSourceFile;e.getSourceFile=(...r)=>{const i=t.call(e,...r);return i&&(i.version=W7(e,i.text)),i}}function vK(e,t){const r=Td(()=>ai(ga(e.getExecutingFilePath())));return{useCaseSensitiveFileNames:()=>e.useCaseSensitiveFileNames,getNewLine:()=>e.newLine,getCurrentDirectory:Td(()=>e.getCurrentDirectory()),getDefaultLibLocation:r,getDefaultLibFileName:i=>Qr(r(),aL(i)),fileExists:i=>e.fileExists(i),readFile:(i,o)=>e.readFile(i,o),directoryExists:i=>e.directoryExists(i),getDirectories:i=>e.getDirectories(i),readDirectory:(i,o,s,l,u)=>e.readDirectory(i,o,s,l,u),realpath:oa(e,e.realpath),getEnvironmentVariable:oa(e,e.getEnvironmentVariable),trace:i=>e.write(i+e.newLine),createDirectory:i=>e.createDirectory(i),writeFile:(i,o,s)=>e.writeFile(i,o,s),createHash:oa(e,e.createHash),createProgram:t||oK,storeFilesChangingSignatureDuringEmit:e.storeFilesChangingSignatureDuringEmit,now:oa(e,e.now)}}function ORe(e=gu,t,r,i){const o=l=>e.write(l+e.newLine),s=vK(e,t);return gG(s,_K(e,i)),s.afterProgramCreate=l=>{const u=l.getCompilerOptions(),p=Uv(u);O7(l,r,o,h=>s.onWatchStatusChange(Hl(lK(h),h),p,u,h))},s}function WRe(e,t,r){t(r),e.exit(1)}function Kce({configFileName:e,optionsToExtend:t,watchOptionsToExtend:r,extraFileExtensions:i,system:o,createProgram:s,reportDiagnostic:l,reportWatchStatus:u}){const p=l||Xw(o),h=ORe(o,s,p,u);return h.onUnRecoverableConfigFileDiagnostic=m=>WRe(o,p,m),h.configFileName=e,h.optionsToExtend=t,h.watchOptionsToExtend=r,h.extraFileExtensions=i,h}function Xce({rootFiles:e,options:t,watchOptions:r,projectReferences:i,system:o,createProgram:s,reportDiagnostic:l,reportWatchStatus:u}){const p=ORe(o,s,l||Xw(o),u);return p.rootFiles=e,p.options=t,p.watchOptions=r,p.projectReferences=i,p}function FRe(e){const t=e.system||gu,r=e.host||(e.host=yK(e.options,t)),i=$ce(e),o=Jce(i,e.reportDiagnostic||Xw(t),s=>r.trace&&r.trace(s),e.reportErrorSummary||e.options.pretty?(s,l)=>t.write(Hce(s,l,t.newLine,r)):void 0);return e.afterProgramEmitAndDiagnostics&&e.afterProgramEmitAndDiagnostics(i),o}var Yce,z7,SD,TD,wc,tqe=T({"src/compiler/watch.ts"(){ra(),Yce=gu?{getCurrentDirectory:()=>gu.getCurrentDirectory(),getNewLine:()=>gu.newLine,getCanonicalFileName:Mu(gu.useCaseSensitiveFileNames)}:void 0,z7=[f.Starting_compilation_in_watch_mode.code,f.File_change_detected_Starting_incremental_compilation.code],SD={close:$a},TD=()=>SD,wc={ConfigFile:"Config file",ExtendedConfigFile:"Extended config file",SourceFile:"Source file",MissingFile:"Missing file",WildcardDirectory:"Wild card directory",FailedLookupLocations:"Failed Lookup Locations",AffectingFileLocation:"File location affecting resolution",TypeRoots:"Type roots",ConfigFileOfReferencedProject:"Config file of referened project",ExtendedConfigOfReferencedProject:"Extended config file of referenced project",WildcardDirectoryOfReferencedProject:"Wild card directory of referenced project",PackageJson:"package.json file",ClosedScriptInfo:"Closed Script info",ConfigFileForInferredRoot:"Config file for the inferred project root",NodeModules:"node_modules for closed script infos and package.jsons affecting module specifier cache",MissingSourceMapFile:"Missing source map file",NoopConfigFileForInferredRoot:"Noop Config file for the inferred project root",MissingGeneratedFile:"Missing generated file",NodeModulesForModuleSpecifierCache:"node_modules for module specifier cache invalidation",TypingInstallerLocationFile:"File location for typing installer",TypingInstallerLocationDirectory:"Directory location for typing installer"}}});function B7(e,t){const r=$v(e);if(!r)return;let i;if(t.getBuildInfo)i=t.getBuildInfo(r,e.configFilePath);else{const o=t.readFile(r);if(!o)return;i=_7(r,o)}if(!(!i||i.version!==Re||!i.program))return Oce(i,r,t)}function yK(e,t=gu){const r=kJ(e,void 0,t);return r.createHash=oa(t,t.createHash),r.storeFilesChangingSignatureDuringEmit=t.storeFilesChangingSignatureDuringEmit,F7(r),Uw(r,i=>ol(i,r.getCurrentDirectory(),r.getCanonicalFileName)),r}function $ce({rootNames:e,options:t,configFileParsingDiagnostics:r,projectReferences:i,host:o,createProgram:s}){o=o||yK(t),s=s||oK;const l=B7(t,o);return s(e,t,o,l,r,i)}function zRe(e,t,r,i,o,s,l,u){return To(e)?Xce({rootFiles:e,options:t,watchOptions:u,projectReferences:l,system:r,createProgram:i,reportDiagnostic:o,reportWatchStatus:s}):Kce({configFileName:e,optionsToExtend:t,watchOptionsToExtend:l,extraFileExtensions:u,system:r,createProgram:i,reportDiagnostic:o,reportWatchStatus:s})}function BRe(e){let t,r,i,o,s,l,u,p,h=e.extendedConfigCache,m=!1;const b=new Map;let A,I=!1;const R=e.useCaseSensitiveFileNames(),M=e.getCurrentDirectory(),{configFileName:C,optionsToExtend:O={},watchOptionsToExtend:U,extraFileExtensions:K,createProgram:Z}=e;let{rootFiles:V,options:se,watchOptions:G,projectReferences:ne}=e,he,ye,$=!1,Y=!1;const oe=C===void 0?void 0:g7(e,M,R),fe=oe||e,Ne=x7(e,fe);let pe=Pn();C&&e.configFileParsingResult&&(Wa(e.configFileParsingResult),pe=Pn()),Mn(f.Starting_compilation_in_watch_mode),C&&!e.configFileParsingResult&&(pe=Uv(O),N.assert(!V),ma(),pe=Pn()),N.assert(se),N.assert(V);const{watchFile:ie,watchDirectory:ve,writeLog:Le}=hK(e,se),He=Mu(R);Le(`Current directory: ${M} CaseSensitiveFileNames: ${R}`);let Ee;C&&(Ee=ie(C,Rn,2e3,G,wc.ConfigFile));const De=gK(e,()=>se,fe);F7(De);const Pe=De.getSourceFile;De.getSourceFile=(Ye,..._t)=>_i(Ye,Xn(Ye),..._t),De.getSourceFileByPath=_i,De.getNewLine=()=>pe,De.fileExists=$t,De.onReleaseOldSourceFile=No,De.onReleaseParsedCommandLine=qe,De.toPath=Xn,De.getCompilationSettings=()=>se,De.useSourceOfProjectReferenceRedirect=oa(e,e.useSourceOfProjectReferenceRedirect),De.watchDirectoryOfFailedLookupLocation=(Ye,_t,Vt)=>ve(Ye,_t,Vt,G,wc.FailedLookupLocations),De.watchAffectingFileLocation=(Ye,_t)=>ie(Ye,_t,2e3,G,wc.AffectingFileLocation),De.watchTypeRootsDirectory=(Ye,_t,Vt)=>ve(Ye,_t,Vt,G,wc.TypeRoots),De.getCachedDirectoryStructureHost=()=>oe,De.scheduleInvalidateResolutionsOfFailedLookupLocations=sn,De.onInvalidatedResolution=zt,De.onChangedAutomaticTypeDirectiveNames=zt,De.fileIsOpen=Xm,De.getCurrentProgram=$e,De.writeLog=Le,De.getParsedCommandLine=Sn;const We=sK(De,C?ai(go(C,M)):M,!1);De.resolveModuleNameLiterals=oa(e,e.resolveModuleNameLiterals),De.resolveModuleNames=oa(e,e.resolveModuleNames),!De.resolveModuleNameLiterals&&!De.resolveModuleNames&&(De.resolveModuleNameLiterals=We.resolveModuleNameLiterals.bind(We)),De.resolveTypeReferenceDirectiveReferences=oa(e,e.resolveTypeReferenceDirectiveReferences),De.resolveTypeReferenceDirectives=oa(e,e.resolveTypeReferenceDirectives),!De.resolveTypeReferenceDirectiveReferences&&!De.resolveTypeReferenceDirectives&&(De.resolveTypeReferenceDirectiveReferences=We.resolveTypeReferenceDirectiveReferences.bind(We)),De.resolveLibrary=e.resolveLibrary?e.resolveLibrary.bind(e):We.resolveLibrary.bind(We),De.getModuleResolutionCache=e.resolveModuleNameLiterals||e.resolveModuleNames?oa(e,e.getModuleResolutionCache):()=>We.getModuleResolutionCache();const Se=!!e.resolveModuleNameLiterals||!!e.resolveTypeReferenceDirectiveReferences||!!e.resolveModuleNames||!!e.resolveTypeReferenceDirectives?oa(e,e.hasInvalidatedResolutions)||Pv:Xm,je=e.resolveLibrary?oa(e,e.hasInvalidatedLibResolutions)||Pv:Xm;return t=B7(se,De),It(),pt(),C&&ot(Xn(C),se,G,wc.ExtendedConfigFile),C?{getCurrentProgram:vt,getProgram:hi,close:at,getResolutionCache:Gt}:{getCurrentProgram:vt,getProgram:hi,updateRootFileNames:rn,close:at,getResolutionCache:Gt};function at(){Ar(),We.clear(),tp(b,Ye=>{Ye&&Ye.fileWatcher&&(Ye.fileWatcher.close(),Ye.fileWatcher=void 0)}),Ee&&(Ee.close(),Ee=void 0),h==null||h.clear(),h=void 0,p&&(tp(p,Mf),p=void 0),o&&(tp(o,Mf),o=void 0),i&&(tp(i,Qm),i=void 0),u&&(tp(u,Ye=>{var _t;(_t=Ye.watcher)==null||_t.close(),Ye.watcher=void 0,Ye.watchedDirectories&&tp(Ye.watchedDirectories,Mf),Ye.watchedDirectories=void 0}),u=void 0)}function Gt(){return We}function vt(){return t}function $e(){return t&&t.getProgramOrUndefined()}function It(){Le("Synchronizing program"),N.assert(se),N.assert(V),Ar();const Ye=vt();I&&(pe=Pn(),Ye&&W4(Ye.getCompilerOptions(),se)&&We.onChangesAffectModuleResolution());const{hasInvalidatedResolutions:_t,hasInvalidatedLibResolutions:Vt}=We.createHasInvalidatedResolutions(Se,je),{originalReadFile:vn,originalFileExists:fn,originalDirectoryExists:_n,originalCreateDirectory:q,originalWriteFile:Oe,readFileWithCache:Lt}=Uw(De,Xn);return UJ($e(),V,se,L=>Oi(L,Lt),L=>De.fileExists(L),_t,Vt,Di,Sn,ne)?Y&&(m&&Mn(f.File_change_detected_Starting_incremental_compilation),t=Z(void 0,void 0,De,t,ye,ne),Y=!1):(m&&Mn(f.File_change_detected_Starting_incremental_compilation),tn(_t,Vt)),m=!1,e.afterProgramCreate&&Ye!==t&&e.afterProgramCreate(t),De.readFile=vn,De.fileExists=fn,De.directoryExists=_n,De.createDirectory=q,De.writeFile=Oe,t}function tn(Ye,_t){Le("CreatingProgramWith::"),Le(` roots: ${JSON.stringify(V)}`),Le(` options: ${JSON.stringify(se)}`),ne&&Le(` projectReferences: ${JSON.stringify(ne)}`);const Vt=I||!$e();I=!1,Y=!1,We.startCachingPerDirectoryResolution(),De.hasInvalidatedResolutions=Ye,De.hasInvalidatedLibResolutions=_t,De.hasChangedAutomaticTypeDirectiveNames=Di;const vn=$e();if(t=Z(V,se,De,t,ye,ne),We.finishCachingPerDirectoryResolution(t.getProgram(),vn),DJ(t.getProgram(),i||(i=new Map),le),Vt&&We.updateTypeRootsWatch(),A){for(const fn of A)i.has(fn)||b.delete(fn);A=void 0}}function rn(Ye){N.assert(!C,"Cannot update root file names with config file watch mode"),V=Ye,zt()}function Pn(){return Uv(se||O)}function Xn(Ye){return ol(Ye,M,He)}function hn(Ye){return typeof Ye=="boolean"}function Qt(Ye){return typeof Ye.version=="boolean"}function $t(Ye){const _t=Xn(Ye);return hn(b.get(_t))?!1:fe.fileExists(Ye)}function _i(Ye,_t,Vt,vn,fn){const _n=b.get(_t);if(hn(_n))return;const q=typeof Vt=="object"?Vt.impliedNodeFormat:void 0;if(_n===void 0||fn||Qt(_n)||_n.sourceFile.impliedNodeFormat!==q){const Oe=Pe(Ye,Vt,vn);if(_n)Oe?(_n.sourceFile=Oe,_n.version=Oe.version,_n.fileWatcher||(_n.fileWatcher=mt(_t,Ye,ft,250,G,wc.SourceFile))):(_n.fileWatcher&&_n.fileWatcher.close(),b.set(_t,!1));else if(Oe){const Lt=mt(_t,Ye,ft,250,G,wc.SourceFile);b.set(_t,{sourceFile:Oe,version:Oe.version,fileWatcher:Lt})}else b.set(_t,!1);return Oe}return _n.sourceFile}function ao(Ye){const _t=b.get(Ye);_t!==void 0&&(hn(_t)?b.set(Ye,{version:!1}):_t.version=!1)}function Oi(Ye,_t){const Vt=b.get(Ye);if(!Vt)return;if(Vt.version)return Vt.version;const vn=_t(Ye);return vn!==void 0?W7(De,vn):void 0}function No(Ye,_t,Vt){const vn=b.get(Ye.resolvedPath);vn!==void 0&&(hn(vn)?(A||(A=[])).push(Ye.path):vn.sourceFile===Ye&&(vn.fileWatcher&&vn.fileWatcher.close(),b.delete(Ye.resolvedPath),Vt||We.removeResolutionsOfFile(Ye.path)))}function Mn(Ye){e.onWatchStatusChange&&e.onWatchStatusChange(Hl(Ye),pe,se||O)}function Di(){return We.hasChangedAutomaticTypeDirectiveNames()}function Ar(){return l?(e.clearTimeout(l),l=void 0,!0):!1}function sn(){if(!e.setTimeout||!e.clearTimeout)return We.invalidateResolutionsOfFailedLookupLocations();const Ye=Ar();Le(`Scheduling invalidateFailedLookup${Ye?", Cancelled earlier one":""}`),l=e.setTimeout(tt,250,"timerToInvalidateFailedLookupResolutions")}function tt(){l=void 0,We.invalidateResolutionsOfFailedLookupLocations()&&zt()}function zt(){!e.setTimeout||!e.clearTimeout||(s&&e.clearTimeout(s),Le("Scheduling update"),s=e.setTimeout(li,250,"timerToUpdateProgram"))}function Rn(){N.assert(!!C),r=2,zt()}function li(){s=void 0,m=!0,hi()}function hi(){var Ye,_t,Vt,vn;switch(r){case 1:(Ye=ad)==null||Ye.logStartUpdateProgram("PartialConfigReload"),Qi();break;case 2:(_t=ad)==null||_t.logStartUpdateProgram("FullConfigReload"),Io();break;default:(Vt=ad)==null||Vt.logStartUpdateProgram("SynchronizeProgram"),It();break}return(vn=ad)==null||vn.logStopUpdateProgram("Done"),vt()}function Qi(){Le("Reloading new file names and options"),N.assert(se),N.assert(C),r=0,V=LP(se.configFile.configFileSpecs,go(ai(C),M),se,Ne,K),xF(V,go(C,M),se.configFile.configFileSpecs,ye,$)&&(Y=!0),It()}function Io(){N.assert(C),Le(`Reloading config file: ${C}`),r=0,oe&&oe.clearCache(),ma(),I=!0,It(),pt(),ot(Xn(C),se,G,wc.ExtendedConfigFile)}function ma(){N.assert(C),Wa(fw(C,O,Ne,h||(h=new Map),U,K))}function Wa(Ye){V=Ye.fileNames,se=Ye.options,G=Ye.watchOptions,ne=Ye.projectReferences,he=Ye.wildcardDirectories,ye=rT(Ye).slice(),$=MP(Ye.raw),Y=!0}function Sn(Ye){const _t=Xn(Ye);let Vt=u==null?void 0:u.get(_t);if(Vt){if(!Vt.updateLevel)return Vt.parsedCommandLine;if(Vt.parsedCommandLine&&Vt.updateLevel===1&&!e.getParsedCommandLine){Le("Reloading new file names and options"),N.assert(se);const fn=LP(Vt.parsedCommandLine.options.configFile.configFileSpecs,go(ai(Ye),M),se,Ne);return Vt.parsedCommandLine={...Vt.parsedCommandLine,fileNames:fn},Vt.updateLevel=void 0,Vt.parsedCommandLine}}Le(`Loading config file: ${Ye}`);const vn=e.getParsedCommandLine?e.getParsedCommandLine(Ye):kn(Ye);return Vt?(Vt.parsedCommandLine=vn,Vt.updateLevel=void 0):(u||(u=new Map)).set(_t,Vt={parsedCommandLine:vn}),Rt(Ye,_t,Vt),vn}function kn(Ye){const _t=Ne.onUnRecoverableConfigFileDiagnostic;Ne.onUnRecoverableConfigFileDiagnostic=$a;const Vt=fw(Ye,void 0,Ne,h||(h=new Map),U);return Ne.onUnRecoverableConfigFileDiagnostic=_t,Vt}function qe(Ye){var _t;const Vt=Xn(Ye),vn=u==null?void 0:u.get(Vt);vn&&(u.delete(Vt),vn.watchedDirectories&&tp(vn.watchedDirectories,Mf),(_t=vn.watcher)==null||_t.close(),RJ(Vt,p))}function mt(Ye,_t,Vt,vn,fn,_n){return ie(_t,(q,Oe)=>Vt(q,Oe,Ye),vn,fn,_n)}function ft(Ye,_t,Vt){Ct(Ye,Vt,_t),_t===2&&b.has(Vt)&&We.invalidateResolutionOfFile(Vt),ao(Vt),zt()}function Ct(Ye,_t,Vt){oe&&oe.addOrDeleteFile(Ye,_t,Vt)}function le(Ye,_t){return u!=null&&u.has(Ye)?SD:mt(Ye,_t,Fe,500,G,wc.MissingFile)}function Fe(Ye,_t,Vt){Ct(Ye,Vt,_t),_t===0&&i.has(Vt)&&(i.get(Vt).close(),i.delete(Vt),ao(Vt),zt())}function pt(){Gw(o||(o=new Map),he,j)}function j(Ye,_t){return ve(Ye,Vt=>{N.assert(C),N.assert(se);const vn=Xn(Vt);oe&&oe.addOrDeleteFileOrDirectory(Vt,vn),ao(vn),!Vw({watchedDirPath:Xn(Ye),fileOrDirectory:Vt,fileOrDirectoryPath:vn,configFileName:C,extraFileExtensions:K,options:se,program:vt()||V,currentDirectory:M,useCaseSensitiveFileNames:R,writeLog:Le,toPath:Xn})&&r!==2&&(r=1,zt())},_t,G,wc.WildcardDirectory)}function ot(Ye,_t,Vt,vn){v7(Ye,_t,p||(p=new Map),(fn,_n)=>ie(fn,(q,Oe)=>{var Lt;Ct(fn,_n,Oe),h&&y7(h,_n,Xn);const L=(Lt=p.get(_n))==null?void 0:Lt.projects;L!=null&&L.size&&L.forEach(_e=>{if(C&&Xn(C)===_e)r=2;else{const J=u==null?void 0:u.get(_e);J&&(J.updateLevel=2),We.removeResolutionsFromProjectReferenceRedirects(_e)}zt()})},2e3,Vt,vn),Xn)}function Rt(Ye,_t,Vt){var vn,fn,_n,q;Vt.watcher||(Vt.watcher=ie(Ye,(Oe,Lt)=>{Ct(Ye,_t,Lt);const L=u==null?void 0:u.get(_t);L&&(L.updateLevel=2),We.removeResolutionsFromProjectReferenceRedirects(_t),zt()},2e3,((vn=Vt.parsedCommandLine)==null?void 0:vn.watchOptions)||G,wc.ConfigFileOfReferencedProject)),Gw(Vt.watchedDirectories||(Vt.watchedDirectories=new Map),(fn=Vt.parsedCommandLine)==null?void 0:fn.wildcardDirectories,(Oe,Lt)=>{var L;return ve(Oe,_e=>{const J=Xn(_e);oe&&oe.addOrDeleteFileOrDirectory(_e,J),ao(J);const me=u==null?void 0:u.get(_t);me!=null&&me.parsedCommandLine&&(Vw({watchedDirPath:Xn(Oe),fileOrDirectory:_e,fileOrDirectoryPath:J,configFileName:Ye,options:me.parsedCommandLine.options,program:me.parsedCommandLine.fileNames,currentDirectory:M,useCaseSensitiveFileNames:R,writeLog:Le,toPath:Xn})||me.updateLevel!==2&&(me.updateLevel=1,zt()))},Lt,((L=Vt.parsedCommandLine)==null?void 0:L.watchOptions)||G,wc.WildcardDirectoryOfReferencedProject)}),ot(_t,(_n=Vt.parsedCommandLine)==null?void 0:_n.options,((q=Vt.parsedCommandLine)==null?void 0:q.watchOptions)||G,wc.ExtendedConfigOfReferencedProject)}}var nqe=T({"src/compiler/watchPublic.ts"(){ra()}});function bK(e){return Il(e,".json")?e:Qr(e,"tsconfig.json")}var EK,rqe=T({"src/compiler/tsbuild.ts"(){ra(),EK=(e=>(e[e.Unbuildable=0]="Unbuildable",e[e.UpToDate=1]="UpToDate",e[e.UpToDateWithUpstreamTypes=2]="UpToDateWithUpstreamTypes",e[e.OutOfDateWithPrepend=3]="OutOfDateWithPrepend",e[e.OutputMissing=4]="OutputMissing",e[e.ErrorReadingFile=5]="ErrorReadingFile",e[e.OutOfDateWithSelf=6]="OutOfDateWithSelf",e[e.OutOfDateWithUpstream=7]="OutOfDateWithUpstream",e[e.OutOfDateBuildInfo=8]="OutOfDateBuildInfo",e[e.OutOfDateOptions=9]="OutOfDateOptions",e[e.OutOfDateRoots=10]="OutOfDateRoots",e[e.UpstreamOutOfDate=11]="UpstreamOutOfDate",e[e.UpstreamBlocked=12]="UpstreamBlocked",e[e.ComputingUpstream=13]="ComputingUpstream",e[e.TsVersionOutputOfDate=14]="TsVersionOutputOfDate",e[e.UpToDateWithInputFileText=15]="UpToDateWithInputFileText",e[e.ContainerOnly=16]="ContainerOnly",e[e.ForceBuild=17]="ForceBuild",e))(EK||{})}});function iqe(e,t,r){const i=e.get(t);let o;return i||(o=r(),e.set(t,o)),i||o}function Qce(e,t){return iqe(e,t,()=>new Map)}function $w(e){return e.now?e.now():new Date}function DI(e){return!!e&&!!e.buildOrder}function G7(e){return DI(e)?e.buildOrder:e}function Zce(e,t){return r=>{let i=t?`[${v1(Yw(e),"\x1B[90m")}] `:`${Yw(e)} - `;i+=`${z_(r.messageText,e.newLine)}${e.newLine+e.newLine}`,e.write(i)}}function GRe(e,t,r,i){const o=vK(e,t);return o.getModifiedTime=e.getModifiedTime?s=>e.getModifiedTime(s):r0,o.setModifiedTime=e.setModifiedTime?(s,l)=>e.setModifiedTime(s,l):$a,o.deleteFile=e.deleteFile?s=>e.deleteFile(s):$a,o.reportDiagnostic=r||Xw(e),o.reportSolutionBuilderStatus=i||Zce(e),o.now=oa(e,e.now),o}function VRe(e=gu,t,r,i,o){const s=GRe(e,t,r,i);return s.reportErrorSummary=o,s}function jRe(e=gu,t,r,i,o){const s=GRe(e,t,r,i),l=_K(e,o);return gG(s,l),s}function oqe(e){const t={};return bw.forEach(r=>{xs(e,r.name)&&(t[r.name]=e[r.name])}),t}function URe(e,t,r){return mDe(!1,e,t,r)}function HRe(e,t,r,i){return mDe(!0,e,t,r,i)}function aqe(e,t,r,i,o){const s=t,l=t,u=oqe(i),p=gK(s,()=>M.projectCompilerOptions);F7(p),p.getParsedCommandLine=C=>NI(M,C,B_(M,C)),p.resolveModuleNameLiterals=oa(s,s.resolveModuleNameLiterals),p.resolveTypeReferenceDirectiveReferences=oa(s,s.resolveTypeReferenceDirectiveReferences),p.resolveLibrary=oa(s,s.resolveLibrary),p.resolveModuleNames=oa(s,s.resolveModuleNames),p.resolveTypeReferenceDirectives=oa(s,s.resolveTypeReferenceDirectives),p.getModuleResolutionCache=oa(s,s.getModuleResolutionCache);let h,m;!p.resolveModuleNameLiterals&&!p.resolveModuleNames&&(h=cD(p.getCurrentDirectory(),p.getCanonicalFileName),p.resolveModuleNameLiterals=(C,O,U,K,Z)=>qw(C,O,U,K,Z,s,h,BJ),p.getModuleResolutionCache=()=>h),!p.resolveTypeReferenceDirectiveReferences&&!p.resolveTypeReferenceDirectives&&(m=zF(p.getCurrentDirectory(),p.getCanonicalFileName,void 0,h==null?void 0:h.getPackageJsonInfoCache(),h==null?void 0:h.optionsToRedirectsKey),p.resolveTypeReferenceDirectiveReferences=(C,O,U,K,Z)=>qw(C,O,U,K,Z,s,m,E7));let b;p.resolveLibrary||(b=cD(p.getCurrentDirectory(),p.getCanonicalFileName,void 0,h==null?void 0:h.getPackageJsonInfoCache()),p.resolveLibrary=(C,O,U)=>BF(C,O,U,s,b)),p.getBuildInfo=(C,O)=>oDe(M,C,B_(M,O),void 0);const{watchFile:A,watchDirectory:I,writeLog:R}=hK(l,i),M={host:s,hostWithWatch:l,parseConfigFileHost:x7(s),write:oa(s,s.trace),options:i,baseCompilerOptions:u,rootNames:r,baseWatchOptions:o,resolvedConfigFilePaths:new Map,configFileCache:new Map,projectStatus:new Map,extendedConfigCache:new Map,buildInfoCache:new Map,outputTimeStamps:new Map,builderPrograms:new Map,diagnostics:new Map,projectPendingBuild:new Map,projectErrorsReported:new Map,compilerHost:p,moduleResolutionCache:h,typeReferenceDirectiveResolutionCache:m,libraryResolutionCache:b,buildOrder:void 0,readFileWithCache:C=>s.readFile(C),projectCompilerOptions:u,cache:void 0,allProjectBuildPending:!0,needsSummary:!0,watchAllProjectsPending:e,watch:e,allWatchedWildcardDirectories:new Map,allWatchedInputFiles:new Map,allWatchedConfigFiles:new Map,allWatchedExtendedConfigFiles:new Map,allWatchedPackageJsonFiles:new Map,filesWatched:new Map,lastCachedPackageJsonLookups:new Map,timerToBuildInvalidatedProject:void 0,reportFileChangeDetected:!1,watchFile:A,watchDirectory:I,writeLog:R};return M}function Lf(e,t){return ol(t,e.compilerHost.getCurrentDirectory(),e.compilerHost.getCanonicalFileName)}function B_(e,t){const{resolvedConfigFilePaths:r}=e,i=r.get(t);if(i!==void 0)return i;const o=Lf(e,t);return r.set(t,o),o}function qRe(e){return!!e.options}function sqe(e,t){const r=e.configFileCache.get(t);return r&&qRe(r)?r:void 0}function NI(e,t,r){const{configFileCache:i}=e,o=i.get(r);if(o)return qRe(o)?o:void 0;il("SolutionBuilder::beforeConfigFileParsing");let s;const{parseConfigFileHost:l,baseCompilerOptions:u,baseWatchOptions:p,extendedConfigCache:h,host:m}=e;let b;return m.getParsedCommandLine?(b=m.getParsedCommandLine(t),b||(s=Hl(f.File_0_not_found,t))):(l.onUnRecoverableConfigFileDiagnostic=A=>s=A,b=fw(t,u,l,h,p),l.onUnRecoverableConfigFileDiagnostic=$a),i.set(r,b||s),il("SolutionBuilder::afterConfigFileParsing"),ef("SolutionBuilder::Config file parsing","SolutionBuilder::beforeConfigFileParsing","SolutionBuilder::afterConfigFileParsing"),b}function ZP(e,t){return bK(Py(e.compilerHost.getCurrentDirectory(),t))}function JRe(e,t){const r=new Map,i=new Map,o=[];let s,l;for(const p of t)u(p);return l?{buildOrder:s||et,circularDiagnostics:l}:s||et;function u(p,h){const m=B_(e,p);if(i.has(m))return;if(r.has(m)){h||(l||(l=[])).push(Hl(f.Project_references_may_not_form_a_circular_graph_Cycle_detected_Colon_0,o.join(`\r
+`)));return}r.set(m,!0),o.push(p);const b=NI(e,p,m);if(b&&b.projectReferences)for(const A of b.projectReferences){const I=ZP(e,A.path);u(I,h||A.circular)}o.pop(),i.set(m,!0),(s||(s=[])).push(p)}}function V7(e){return e.buildOrder||lqe(e)}function lqe(e){const t=JRe(e,e.rootNames.map(o=>ZP(e,o)));e.resolvedConfigFilePaths.clear();const r=new Set(G7(t).map(o=>B_(e,o))),i={onDeleteValue:$a};return sg(e.configFileCache,r,i),sg(e.projectStatus,r,i),sg(e.builderPrograms,r,i),sg(e.diagnostics,r,i),sg(e.projectPendingBuild,r,i),sg(e.projectErrorsReported,r,i),sg(e.buildInfoCache,r,i),sg(e.outputTimeStamps,r,i),sg(e.lastCachedPackageJsonLookups,r,i),e.watch&&(sg(e.allWatchedConfigFiles,r,{onDeleteValue:Qm}),e.allWatchedExtendedConfigFiles.forEach(o=>{o.projects.forEach(s=>{r.has(s)||o.projects.delete(s)}),o.close()}),sg(e.allWatchedWildcardDirectories,r,{onDeleteValue:o=>o.forEach(Mf)}),sg(e.allWatchedInputFiles,r,{onDeleteValue:o=>o.forEach(Qm)}),sg(e.allWatchedPackageJsonFiles,r,{onDeleteValue:o=>o.forEach(Qm)})),e.buildOrder=t}function KRe(e,t,r){const i=t&&ZP(e,t),o=V7(e);if(DI(o))return o;if(i){const l=B_(e,i);if(Gr(o,p=>B_(e,p)===l)===-1)return}const s=i?JRe(e,[i]):o;return N.assert(!DI(s)),N.assert(!r||i!==void 0),N.assert(!r||s[s.length-1]===i),r?s.slice(0,s.length-1):s}function XRe(e){e.cache&&eue(e);const{compilerHost:t,host:r}=e,i=e.readFileWithCache,o=t.getSourceFile,{originalReadFile:s,originalFileExists:l,originalDirectoryExists:u,originalCreateDirectory:p,originalWriteFile:h,getSourceFileWithCache:m,readFileWithCache:b}=Uw(r,A=>Lf(e,A),(...A)=>o.call(t,...A));e.readFileWithCache=b,t.getSourceFile=m,e.cache={originalReadFile:s,originalFileExists:l,originalDirectoryExists:u,originalCreateDirectory:p,originalWriteFile:h,originalReadFileWithCache:i,originalGetSourceFile:o}}function eue(e){if(!e.cache)return;const{cache:t,host:r,compilerHost:i,extendedConfigCache:o,moduleResolutionCache:s,typeReferenceDirectiveResolutionCache:l,libraryResolutionCache:u}=e;r.readFile=t.originalReadFile,r.fileExists=t.originalFileExists,r.directoryExists=t.originalDirectoryExists,r.createDirectory=t.originalCreateDirectory,r.writeFile=t.originalWriteFile,i.getSourceFile=t.originalGetSourceFile,e.readFileWithCache=t.originalReadFileWithCache,o.clear(),s==null||s.clear(),l==null||l.clear(),u==null||u.clear(),e.cache=void 0}function YRe(e,t){e.projectStatus.delete(t),e.diagnostics.delete(t)}function $Re({projectPendingBuild:e},t,r){const i=e.get(t);(i===void 0||i<r)&&e.set(t,r)}function QRe(e,t){if(!e.allProjectBuildPending)return;e.allProjectBuildPending=!1,e.options.watch&&pue(e,f.Starting_compilation_in_watch_mode),XRe(e),G7(V7(e)).forEach(i=>e.projectPendingBuild.set(B_(e,i),0)),t&&t.throwIfCancellationRequested()}function ZRe(e,t){return e.projectPendingBuild.delete(t),e.diagnostics.has(t)?1:0}function cqe(e,t,r,i,o){let s=!0;return{kind:2,project:t,projectPath:r,buildOrder:o,getCompilerOptions:()=>i.options,getCurrentDirectory:()=>e.compilerHost.getCurrentDirectory(),updateOutputFileStatmps:()=>{sDe(e,i,r),s=!1},done:()=>(s&&sDe(e,i,r),il("SolutionBuilder::Timestamps only updates"),ZRe(e,r))}}function eDe(e,t,r,i,o,s,l){let u=e===0?0:4,p,h,m;return e===0?{kind:e,project:r,projectPath:i,buildOrder:l,getCompilerOptions:()=>s.options,getCurrentDirectory:()=>t.compilerHost.getCurrentDirectory(),getBuilderProgram:()=>A(nl),getProgram:()=>A(G=>G.getProgramOrUndefined()),getSourceFile:G=>A(ne=>ne.getSourceFile(G)),getSourceFiles:()=>I(G=>G.getSourceFiles()),getOptionsDiagnostics:G=>I(ne=>ne.getOptionsDiagnostics(G)),getGlobalDiagnostics:G=>I(ne=>ne.getGlobalDiagnostics(G)),getConfigFileParsingDiagnostics:()=>I(G=>G.getConfigFileParsingDiagnostics()),getSyntacticDiagnostics:(G,ne)=>I(he=>he.getSyntacticDiagnostics(G,ne)),getAllDependencies:G=>I(ne=>ne.getAllDependencies(G)),getSemanticDiagnostics:(G,ne)=>I(he=>he.getSemanticDiagnostics(G,ne)),getSemanticDiagnosticsOfNextAffectedFile:(G,ne)=>A(he=>he.getSemanticDiagnosticsOfNextAffectedFile&&he.getSemanticDiagnosticsOfNextAffectedFile(G,ne)),emit:(G,ne,he,ye,$)=>{if(G||ye)return A(Y=>{var oe,fe;return Y.emit(G,ne,he,ye,$||((fe=(oe=t.host).getCustomTransformers)==null?void 0:fe.call(oe,r)))});if(se(2,he),u===5)return K(ne,he);if(u===3)return U(ne,he,$)},done:b}:{kind:e,project:r,projectPath:i,buildOrder:l,getCompilerOptions:()=>s.options,getCurrentDirectory:()=>t.compilerHost.getCurrentDirectory(),emit:(G,ne)=>u!==4?m:V(G,ne),done:b};function b(G,ne,he){return se(8,G,ne,he),il(e===0?"SolutionBuilder::Projects built":"SolutionBuilder::Bundles updated"),ZRe(t,i)}function A(G){return se(0),p&&G(p)}function I(G){return A(G)||et}function R(){var G,ne,he;if(N.assert(p===void 0),t.options.dry){Dd(t,f.A_non_dry_build_would_build_project_0,r),h=1,u=7;return}if(t.options.verbose&&Dd(t,f.Building_project_0,r),s.fileNames.length===0){e2(t,i,rT(s)),h=0,u=7;return}const{host:ye,compilerHost:$}=t;if(t.projectCompilerOptions=s.options,(G=t.moduleResolutionCache)==null||G.update(s.options),(ne=t.typeReferenceDirectiveResolutionCache)==null||ne.update(s.options),p=ye.createProgram(s.fileNames,s.options,$,dqe(t,i,s),rT(s),s.projectReferences),t.watch){const Y=(he=t.moduleResolutionCache)==null?void 0:he.getPackageJsonInfoCache().getInternalMap();t.lastCachedPackageJsonLookups.set(i,Y&&new Set(zo(Y.values(),oe=>t.host.realpath&&(FF(oe)||oe.directoryExists)?t.host.realpath(Qr(oe.packageDirectory,"package.json")):Qr(oe.packageDirectory,"package.json")))),t.builderPrograms.set(i,p)}u++}function M(G,ne,he){G.length?{buildResult:h,step:u}=rue(t,i,p,s,G,ne,he):u++}function C(G){N.assertIsDefined(p),M([...p.getConfigFileParsingDiagnostics(),...p.getOptionsDiagnostics(G),...p.getGlobalDiagnostics(G),...p.getSyntacticDiagnostics(void 0,G)],8,"Syntactic")}function O(G){M(N.checkDefined(p).getSemanticDiagnostics(void 0,G),16,"Semantic")}function U(G,ne,he){var ye,$,Y;N.assertIsDefined(p),N.assert(u===3);const oe=p.saveEmitState();let fe;const Ne=je=>(fe||(fe=[])).push(je),pe=[],{emitResult:ie}=O7(p,Ne,void 0,void 0,(je,at,Gt,vt,$e,It)=>pe.push({name:je,text:at,writeByteOrderMark:Gt,data:It}),ne,!1,he||(($=(ye=t.host).getCustomTransformers)==null?void 0:$.call(ye,r)));if(fe)return p.restoreEmitState(oe),{buildResult:h,step:u}=rue(t,i,p,s,fe,32,"Declaration file"),{emitSkipped:!0,diagnostics:ie.diagnostics};const{host:ve,compilerHost:Le}=t,He=(Y=p.hasChangedEmitSignature)!=null&&Y.call(p)?0:2,Ee=RR(),De=new Map,Pe=p.getCompilerOptions(),We=uP(Pe);let At,Se;return pe.forEach(({name:je,text:at,writeByteOrderMark:Gt,data:vt})=>{const $e=Lf(t,je);De.set(Lf(t,je),je),vt!=null&&vt.buildInfo&&oue(t,vt.buildInfo,i,Pe,He);const It=vt!=null&&vt.differsOnlyInMap?IA(t.host,je):void 0;OC(G?{writeFile:G}:Le,Ee,je,at,Gt),vt!=null&&vt.differsOnlyInMap?t.host.setModifiedTime(je,It):!We&&t.watch&&(At||(At=iue(t,i))).set($e,Se||(Se=$w(t.host)))}),Z(Ee,De,pe.length?pe[0].name:AJ(s,!ve.useCaseSensitiveFileNames()),He),ie}function K(G,ne){N.assertIsDefined(p),N.assert(u===5);const he=p.emitBuildInfo((ye,$,Y,oe,fe,Ne)=>{Ne!=null&&Ne.buildInfo&&oue(t,Ne.buildInfo,i,p.getCompilerOptions(),2),G?G(ye,$,Y,oe,fe,Ne):t.compilerHost.writeFile(ye,$,Y,oe,fe,Ne)},ne);return he.diagnostics.length&&(U7(t,he.diagnostics),t.diagnostics.set(i,[...t.diagnostics.get(i),...he.diagnostics]),h=64&h),he.emittedFiles&&t.write&&he.emittedFiles.forEach(ye=>rDe(t,s,ye)),nue(t,p,s),u=7,he}function Z(G,ne,he,ye){const $=G.getDiagnostics();return $.length?({buildResult:h,step:u}=rue(t,i,p,s,$,64,"Emit"),$):(t.write&&ne.forEach(Y=>rDe(t,s,Y)),aDe(t,s,i,f.Updating_unchanged_output_timestamps_of_project_0,ne),t.diagnostics.delete(i),t.projectStatus.set(i,{type:1,oldestOutputFileName:he}),nue(t,p,s),u=7,h=ye,$)}function V(G,ne){var he,ye,$,Y;if(N.assert(e===1),t.options.dry){Dd(t,f.A_non_dry_build_would_update_output_of_project_0,r),h=1,u=7;return}t.options.verbose&&Dd(t,f.Updating_output_of_project_0,r);const{compilerHost:oe}=t;t.projectCompilerOptions=s.options,(ye=(he=t.host).beforeEmitBundle)==null||ye.call(he,s);const fe=fce(s,oe,He=>{const Ee=ZP(t,He.path);return NI(t,Ee,B_(t,Ee))},ne||((Y=($=t.host).getCustomTransformers)==null?void 0:Y.call($,r)));if(Po(fe))return Dd(t,f.Cannot_update_output_of_project_0_because_there_was_error_reading_file_1,r,cu(t,fe)),u=6,m=eDe(0,t,r,i,o,s,l);N.assert(!!fe.length);const Ne=RR(),pe=new Map;let ie=2;const ve=t.buildInfoCache.get(i).buildInfo||void 0;return fe.forEach(({name:He,text:Ee,writeByteOrderMark:De,data:Pe})=>{var We,At;pe.set(Lf(t,He),He),Pe!=null&&Pe.buildInfo&&(((We=Pe.buildInfo.program)==null?void 0:We.outSignature)!==((At=ve==null?void 0:ve.program)==null?void 0:At.outSignature)&&(ie&=-3),oue(t,Pe.buildInfo,i,s.options,ie)),OC(G?{writeFile:G}:oe,Ne,He,Ee,De)}),{emitSkipped:!1,diagnostics:Z(Ne,pe,fe[0].name,ie)}}function se(G,ne,he,ye){for(;u<=G&&u<8;){const $=u;switch(u){case 0:R();break;case 1:C(ne);break;case 2:O(ne);break;case 3:U(he,ne,ye);break;case 5:K(he,ne);break;case 4:V(he,ye);break;case 6:N.checkDefined(m).done(ne,he,ye),u=8;break;case 7:_qe(t,r,i,o,s,l,N.checkDefined(h)),u++;break}N.assert(u>$)}}}function uqe({options:e},t,r){return t.type!==3||e.force?!0:r.fileNames.length===0||!!rT(r).length||!uP(r.options)}function tDe(e,t,r){if(!e.projectPendingBuild.size||DI(t))return;const{options:i,projectPendingBuild:o}=e;for(let s=0;s<t.length;s++){const l=t[s],u=B_(e,l),p=e.projectPendingBuild.get(u);if(p===void 0)continue;r&&(r=!1,gDe(e,t));const h=NI(e,l,u);if(!h){_De(e,u),o.delete(u);continue}p===2?(dDe(e,l,u,h),pDe(e,u,h),fDe(e,l,u,h),uue(e,l,u,h),due(e,l,u,h)):p===1&&(h.fileNames=LP(h.options.configFile.configFileSpecs,ai(l),h.options,e.parseConfigFileHost),xF(h.fileNames,l,h.options.configFile.configFileSpecs,h.errors,MP(h.raw)),uue(e,l,u,h),due(e,l,u,h));const m=lue(e,h,u);if(!i.force){if(m.type===1){AK(e,l,m),e2(e,u,rT(h)),o.delete(u),i.dry&&Dd(e,f.Project_0_is_up_to_date,l);continue}if(m.type===2||m.type===15)return e2(e,u,rT(h)),{kind:2,status:m,project:l,projectPath:u,projectIndex:s,config:h}}if(m.type===12){AK(e,l,m),e2(e,u,rT(h)),o.delete(u),i.verbose&&Dd(e,m.upstreamProjectBlocked?f.Skipping_build_of_project_0_because_its_dependency_1_was_not_built:f.Skipping_build_of_project_0_because_its_dependency_1_has_errors,l,m.upstreamProjectName);continue}if(m.type===16){AK(e,l,m),e2(e,u,rT(h)),o.delete(u);continue}return{kind:uqe(e,m,h)?0:1,status:m,project:l,projectPath:u,projectIndex:s,config:h}}}function nDe(e,t,r){return AK(e,t.project,t.status),t.kind!==2?eDe(t.kind,e,t.project,t.projectPath,t.projectIndex,t.config,r):cqe(e,t.project,t.projectPath,t.config,r)}function tue(e,t,r){const i=tDe(e,t,r);return i&&nDe(e,i,t)}function rDe({write:e},t,r){e&&t.options.listEmittedFiles&&e(`TSFILE: ${r}`)}function dqe({options:e,builderPrograms:t,compilerHost:r},i,o){if(e.force)return;const s=t.get(i);return s||B7(o.options,r)}function nue(e,t,r){t?(e.write&&cK(t,e.write),e.host.afterProgramEmitAndDiagnostics&&e.host.afterProgramEmitAndDiagnostics(t),t.releaseProgram()):e.host.afterEmitBundle&&e.host.afterEmitBundle(r),e.projectCompilerOptions=e.baseCompilerOptions}function rue(e,t,r,i,o,s,l){const u=r&&!Ps(r.getCompilerOptions());return e2(e,t,o),e.projectStatus.set(t,{type:0,reason:`${l} errors`}),u?{buildResult:s,step:5}:(nue(e,r,i),{buildResult:s,step:7})}function SK(e){return!!e.watcher}function iDe(e,t){const r=Lf(e,t),i=e.filesWatched.get(r);if(e.watch&&i){if(!SK(i))return i;if(i.modifiedTime)return i.modifiedTime}const o=IA(e.host,t);return e.watch&&(i?i.modifiedTime=o:e.filesWatched.set(r,o)),o}function TK(e,t,r,i,o,s,l){const u=Lf(e,t),p=e.filesWatched.get(u);if(p&&SK(p))p.callbacks.push(r);else{const h=e.watchFile(t,(m,b,A)=>{const I=N.checkDefined(e.filesWatched.get(u));N.assert(SK(I)),I.modifiedTime=A,I.callbacks.forEach(R=>R(m,b,A))},i,o,s,l);e.filesWatched.set(u,{callbacks:[r],watcher:h,modifiedTime:p})}return{close:()=>{const h=N.checkDefined(e.filesWatched.get(u));N.assert(SK(h)),h.callbacks.length===1?(e.filesWatched.delete(u),Mf(h)):TA(h.callbacks,r)}}}function iue(e,t){if(!e.watch)return;let r=e.outputTimeStamps.get(t);return r||e.outputTimeStamps.set(t,r=new Map),r}function oue(e,t,r,i,o){const s=$v(i),l=aue(e,s,r),u=$w(e.host);l?(l.buildInfo=t,l.modifiedTime=u,o&2||(l.latestChangedDtsTime=u)):e.buildInfoCache.set(r,{path:Lf(e,s),buildInfo:t,modifiedTime:u,latestChangedDtsTime:o&2?void 0:u})}function aue(e,t,r){const i=Lf(e,t),o=e.buildInfoCache.get(r);return(o==null?void 0:o.path)===i?o:void 0}function oDe(e,t,r,i){const o=Lf(e,t),s=e.buildInfoCache.get(r);if(s!==void 0&&s.path===o)return s.buildInfo||void 0;const l=e.readFileWithCache(t),u=l?_7(t,l):void 0;return e.buildInfoCache.set(r,{path:o,buildInfo:u||!1,modifiedTime:i||Op}),u}function sue(e,t,r,i){const o=iDe(e,t);if(r<o)return{type:6,outOfDateOutputFileName:i,newerInputFileName:t}}function pqe(e,t,r){var i,o,s;if(!t.fileNames.length&&!MP(t.raw))return{type:16};let l;const u=!!e.options.force;if(t.projectReferences){e.projectStatus.set(r,{type:13});for(const $ of t.projectReferences){const Y=vD($),oe=B_(e,Y),fe=NI(e,Y,oe),Ne=lue(e,fe,oe);if(!(Ne.type===13||Ne.type===16)){if(Ne.type===0||Ne.type===12)return{type:12,upstreamProjectName:$.path,upstreamProjectBlocked:Ne.type===12};if(Ne.type!==1)return{type:11,upstreamProjectName:$.path};u||(l||(l=[])).push({ref:$,refStatus:Ne,resolvedRefPath:oe,resolvedConfig:fe})}}}if(u)return{type:17};const{host:p}=e,h=$v(t.options);let m,b=yDe,A,I,R;if(h){const $=aue(e,h,r);if(A=($==null?void 0:$.modifiedTime)||IA(p,h),A===Op)return $||e.buildInfoCache.set(r,{path:Lf(e,h),buildInfo:!1,modifiedTime:A}),{type:4,missingOutputFileName:h};const Y=oDe(e,h,r,A);if(!Y)return{type:5,fileName:h};if((Y.bundle||Y.program)&&Y.version!==Re)return{type:14,version:Y.version};if(Y.program){if((i=Y.program.changeFileSet)!=null&&i.length||(t.options.noEmit?bt(Y.program.semanticDiagnosticsPerFile,To):(o=Y.program.affectedFilesPendingEmit)!=null&&o.length||(s=Y.program.emitDiagnosticsPerFile)!=null&&s.length))return{type:8,buildInfoFile:h};if(!t.options.noEmit&&bD(t.options,Y.program.options||{}))return{type:9,buildInfoFile:h};I=Y.program}b=A,m=h}let M,C=vDe,O=!1;const U=new Set;for(const $ of t.fileNames){const Y=iDe(e,$);if(Y===Op)return{type:0,reason:`${$} does not exist`};if(A&&A<Y){let oe,fe;if(I){R||(R=tK(I,h,p)),oe=R.fileInfos.get(Lf(e,$));const Ne=oe?e.readFileWithCache($):void 0;fe=Ne!==void 0?W7(p,Ne):void 0,oe&&oe===fe&&(O=!0)}if(!oe||oe!==fe)return{type:6,outOfDateOutputFileName:h,newerInputFileName:$}}Y>C&&(M=$,C=Y),I&&U.add(Lf(e,$))}if(I){R||(R=tK(I,h,p));for(const $ of R.roots)if(!U.has($))return{type:10,buildInfoFile:h,inputFile:$}}if(!h){const $=f7(t,!p.useCaseSensitiveFileNames()),Y=iue(e,r);for(const oe of $){const fe=Lf(e,oe);let Ne=Y==null?void 0:Y.get(fe);if(Ne||(Ne=IA(e.host,oe),Y==null||Y.set(fe,Ne)),Ne===Op)return{type:4,missingOutputFileName:oe};if(Ne<C)return{type:6,outOfDateOutputFileName:oe,newerInputFileName:M};Ne<b&&(b=Ne,m=oe)}}const K=e.buildInfoCache.get(r);let Z=!1,V=!1,se;if(l)for(const{ref:$,refStatus:Y,resolvedConfig:oe,resolvedRefPath:fe}of l){if(V=V||!!$.prepend,Y.newestInputFileTime&&Y.newestInputFileTime<=b)continue;if(K&&fqe(e,K,fe))return{type:7,outOfDateOutputFileName:h,newerProjectName:$.path};const Ne=mqe(e,oe.options,fe);if(Ne&&Ne<=b){Z=!0,se=$.path;continue}return N.assert(m!==void 0,"Should have an oldest output filename here"),{type:7,outOfDateOutputFileName:m,newerProjectName:$.path}}const G=sue(e,t.options.configFilePath,b,m);if(G)return G;const ne=Ue(t.options.configFile.extendedSourceFiles||et,$=>sue(e,$,b,m));if(ne)return ne;const he=e.lastCachedPackageJsonLookups.get(r),ye=he&&_h(he,$=>sue(e,$,b,m));return ye||(V&&Z?{type:3,outOfDateOutputFileName:m,newerProjectName:se}:{type:Z?2:O?15:1,newestInputFileTime:C,newestInputFileName:M,oldestOutputFileName:m})}function fqe(e,t,r){return e.buildInfoCache.get(r).path===t.path}function lue(e,t,r){if(t===void 0)return{type:0,reason:"File deleted mid-build"};const i=e.projectStatus.get(r);if(i!==void 0)return i;il("SolutionBuilder::beforeUpToDateCheck");const o=pqe(e,t,r);return il("SolutionBuilder::afterUpToDateCheck"),ef("SolutionBuilder::Up-to-date check","SolutionBuilder::beforeUpToDateCheck","SolutionBuilder::afterUpToDateCheck"),e.projectStatus.set(r,o),o}function aDe(e,t,r,i,o){if(t.options.noEmit)return;let s;const l=$v(t.options);if(l){o!=null&&o.has(Lf(e,l))||(e.options.verbose&&Dd(e,i,t.options.configFilePath),e.host.setModifiedTime(l,s=$w(e.host)),aue(e,l,r).modifiedTime=s),e.outputTimeStamps.delete(r);return}const{host:u}=e,p=f7(t,!u.useCaseSensitiveFileNames()),h=iue(e,r),m=h?new Set:void 0;if(!o||p.length!==o.size){let b=!!e.options.verbose;for(const A of p){const I=Lf(e,A);o!=null&&o.has(I)||(b&&(b=!1,Dd(e,i,t.options.configFilePath)),u.setModifiedTime(A,s||(s=$w(e.host))),h&&(h.set(I,s),m.add(I)))}}h==null||h.forEach((b,A)=>{!(o!=null&&o.has(A))&&!m.has(A)&&h.delete(A)})}function mqe(e,t,r){if(!t.composite)return;const i=N.checkDefined(e.buildInfoCache.get(r));if(i.latestChangedDtsTime!==void 0)return i.latestChangedDtsTime||void 0;const o=i.buildInfo&&i.buildInfo.program&&i.buildInfo.program.latestChangedDtsFile?e.host.getModifiedTime(go(i.buildInfo.program.latestChangedDtsFile,ai(i.path))):void 0;return i.latestChangedDtsTime=o||!1,o}function sDe(e,t,r){if(e.options.dry)return Dd(e,f.A_non_dry_build_would_update_timestamps_for_output_of_project_0,t.options.configFilePath);aDe(e,t,r,f.Updating_output_timestamps_of_project_0),e.projectStatus.set(r,{type:1,oldestOutputFileName:AJ(t,!e.host.useCaseSensitiveFileNames())})}function _qe(e,t,r,i,o,s,l){if(!(l&124)&&o.options.composite)for(let u=i+1;u<s.length;u++){const p=s[u],h=B_(e,p);if(e.projectPendingBuild.has(h))continue;const m=NI(e,p,h);if(!(!m||!m.projectReferences))for(const b of m.projectReferences){const A=ZP(e,b.path);if(B_(e,A)!==r)continue;const I=e.projectStatus.get(h);if(I)switch(I.type){case 1:if(l&2){b.prepend?e.projectStatus.set(h,{type:3,outOfDateOutputFileName:I.oldestOutputFileName,newerProjectName:t}):I.type=2;break}case 15:case 2:case 3:l&2||e.projectStatus.set(h,{type:7,outOfDateOutputFileName:I.type===3?I.outOfDateOutputFileName:I.oldestOutputFileName,newerProjectName:t});break;case 12:B_(e,ZP(e,I.upstreamProjectName))===r&&YRe(e,h);break}$Re(e,h,0);break}}}function lDe(e,t,r,i,o,s){il("SolutionBuilder::beforeBuild");const l=hqe(e,t,r,i,o,s);return il("SolutionBuilder::afterBuild"),ef("SolutionBuilder::Build","SolutionBuilder::beforeBuild","SolutionBuilder::afterBuild"),l}function hqe(e,t,r,i,o,s){const l=KRe(e,t,s);if(!l)return 3;QRe(e,r);let u=!0,p=0;for(;;){const h=tue(e,l,u);if(!h)break;u=!1,h.done(r,i,o==null?void 0:o(h.project)),e.diagnostics.has(h.projectPath)||p++}return eue(e),hDe(e,l),bqe(e,l),DI(l)?4:l.some(h=>e.diagnostics.has(B_(e,h)))?p?2:1:0}function cDe(e,t,r){il("SolutionBuilder::beforeClean");const i=gqe(e,t,r);return il("SolutionBuilder::afterClean"),ef("SolutionBuilder::Clean","SolutionBuilder::beforeClean","SolutionBuilder::afterClean"),i}function gqe(e,t,r){const i=KRe(e,t,r);if(!i)return 3;if(DI(i))return U7(e,i.circularDiagnostics),4;const{options:o,host:s}=e,l=o.dry?[]:void 0;for(const u of i){const p=B_(e,u),h=NI(e,u,p);if(h===void 0){_De(e,p);continue}const m=f7(h,!s.useCaseSensitiveFileNames());if(!m.length)continue;const b=new Set(h.fileNames.map(A=>Lf(e,A)));for(const A of m)b.has(Lf(e,A))||s.fileExists(A)&&(l?l.push(A):(s.deleteFile(A),cue(e,p,0)))}return l&&Dd(e,f.A_non_dry_build_would_delete_the_following_files_Colon_0,l.map(u=>`\r
+ * ${u}`).join("")),0}function cue(e,t,r){e.host.getParsedCommandLine&&r===1&&(r=2),r===2&&(e.configFileCache.delete(t),e.buildOrder=void 0),e.needsSummary=!0,YRe(e,t),$Re(e,t,r),XRe(e)}function j7(e,t,r){e.reportFileChangeDetected=!0,cue(e,t,r),uDe(e,250,!0)}function uDe(e,t,r){const{hostWithWatch:i}=e;!i.setTimeout||!i.clearTimeout||(e.timerToBuildInvalidatedProject&&i.clearTimeout(e.timerToBuildInvalidatedProject),e.timerToBuildInvalidatedProject=i.setTimeout(vqe,t,"timerToBuildInvalidatedProject",e,r))}function vqe(e,t,r){il("SolutionBuilder::beforeBuild");const i=yqe(t,r);il("SolutionBuilder::afterBuild"),ef("SolutionBuilder::Build","SolutionBuilder::beforeBuild","SolutionBuilder::afterBuild"),i&&hDe(t,i)}function yqe(e,t){e.timerToBuildInvalidatedProject=void 0,e.reportFileChangeDetected&&(e.reportFileChangeDetected=!1,e.projectErrorsReported.clear(),pue(e,f.File_change_detected_Starting_incremental_compilation));let r=0;const i=V7(e),o=tue(e,i,!1);if(o)for(o.done(),r++;e.projectPendingBuild.size;){if(e.timerToBuildInvalidatedProject)return;const s=tDe(e,i,!1);if(!s)break;if(s.kind!==2&&(t||r===5)){uDe(e,100,!1);return}nDe(e,s,i).done(),s.kind!==2&&r++}return eue(e),i}function dDe(e,t,r,i){!e.watch||e.allWatchedConfigFiles.has(r)||e.allWatchedConfigFiles.set(r,TK(e,t,()=>j7(e,r,2),2e3,i==null?void 0:i.watchOptions,wc.ConfigFile,t))}function pDe(e,t,r){v7(t,r==null?void 0:r.options,e.allWatchedExtendedConfigFiles,(i,o)=>TK(e,i,()=>{var s;return(s=e.allWatchedExtendedConfigFiles.get(o))==null?void 0:s.projects.forEach(l=>j7(e,l,2))},2e3,r==null?void 0:r.watchOptions,wc.ExtendedConfigFile),i=>Lf(e,i))}function fDe(e,t,r,i){e.watch&&Gw(Qce(e.allWatchedWildcardDirectories,r),i.wildcardDirectories,(o,s)=>e.watchDirectory(o,l=>{var u;Vw({watchedDirPath:Lf(e,o),fileOrDirectory:l,fileOrDirectoryPath:Lf(e,l),configFileName:t,currentDirectory:e.compilerHost.getCurrentDirectory(),options:i.options,program:e.builderPrograms.get(r)||((u=sqe(e,r))==null?void 0:u.fileNames),useCaseSensitiveFileNames:e.parseConfigFileHost.useCaseSensitiveFileNames,writeLog:p=>e.writeLog(p),toPath:p=>Lf(e,p)})||j7(e,r,1)},s,i==null?void 0:i.watchOptions,wc.WildcardDirectory,t))}function uue(e,t,r,i){e.watch&&JC(Qce(e.allWatchedInputFiles,r),new Set(i.fileNames),{createNewValue:o=>TK(e,o,()=>j7(e,r,0),250,i==null?void 0:i.watchOptions,wc.SourceFile,t),onDeleteValue:Qm})}function due(e,t,r,i){!e.watch||!e.lastCachedPackageJsonLookups||JC(Qce(e.allWatchedPackageJsonFiles,r),e.lastCachedPackageJsonLookups.get(r),{createNewValue:o=>TK(e,o,()=>j7(e,r,0),2e3,i==null?void 0:i.watchOptions,wc.PackageJson,t),onDeleteValue:Qm})}function bqe(e,t){if(e.watchAllProjectsPending){il("SolutionBuilder::beforeWatcherCreation"),e.watchAllProjectsPending=!1;for(const r of G7(t)){const i=B_(e,r),o=NI(e,r,i);dDe(e,r,i,o),pDe(e,i,o),o&&(fDe(e,r,i,o),uue(e,r,i,o),due(e,r,i,o))}il("SolutionBuilder::afterWatcherCreation"),ef("SolutionBuilder::Watcher creation","SolutionBuilder::beforeWatcherCreation","SolutionBuilder::afterWatcherCreation")}}function Eqe(e){tp(e.allWatchedConfigFiles,Qm),tp(e.allWatchedExtendedConfigFiles,Mf),tp(e.allWatchedWildcardDirectories,t=>tp(t,Mf)),tp(e.allWatchedInputFiles,t=>tp(t,Qm)),tp(e.allWatchedPackageJsonFiles,t=>tp(t,Qm))}function mDe(e,t,r,i,o){const s=aqe(e,t,r,i,o);return{build:(l,u,p,h)=>lDe(s,l,u,p,h),clean:l=>cDe(s,l),buildReferences:(l,u,p,h)=>lDe(s,l,u,p,h,!0),cleanReferences:l=>cDe(s,l,!0),getNextInvalidatedProject:l=>(QRe(s,l),tue(s,V7(s),!1)),getBuildOrder:()=>V7(s),getUpToDateStatusOfProject:l=>{const u=ZP(s,l),p=B_(s,u);return lue(s,NI(s,u,p),p)},invalidateProject:(l,u)=>cue(s,l,u||0),close:()=>Eqe(s)}}function cu(e,t){return rC(t,e.compilerHost.getCurrentDirectory(),e.compilerHost.getCanonicalFileName)}function Dd(e,t,...r){e.host.reportSolutionBuilderStatus(Hl(t,...r))}function pue(e,t,...r){var i,o;(o=(i=e.hostWithWatch).onWatchStatusChange)==null||o.call(i,Hl(t,...r),e.host.getNewLine(),e.baseCompilerOptions)}function U7({host:e},t){t.forEach(r=>e.reportDiagnostic(r))}function e2(e,t,r){U7(e,r),e.projectErrorsReported.set(t,!0),r.length&&e.diagnostics.set(t,r)}function _De(e,t){e2(e,t,[e.configFileCache.get(t)])}function hDe(e,t){if(!e.needsSummary)return;e.needsSummary=!1;const r=e.watch||!!e.host.reportErrorSummary,{diagnostics:i}=e;let o=0,s=[];DI(t)?(gDe(e,t.buildOrder),U7(e,t.circularDiagnostics),r&&(o+=k7(t.circularDiagnostics)),r&&(s=[...s,...w7(t.circularDiagnostics)])):(t.forEach(l=>{const u=B_(e,l);e.projectErrorsReported.has(u)||U7(e,i.get(u)||et)}),r&&i.forEach(l=>o+=k7(l)),r&&i.forEach(l=>[...s,...w7(l)])),e.watch?pue(e,lK(o),o):e.host.reportErrorSummary&&e.host.reportErrorSummary(o,s)}function gDe(e,t){e.options.verbose&&Dd(e,f.Projects_in_this_build_Colon_0,t.map(r=>`\r
+ * `+cu(e,r)).join(""))}function Sqe(e,t,r){switch(r.type){case 6:return Dd(e,f.Project_0_is_out_of_date_because_output_1_is_older_than_input_2,cu(e,t),cu(e,r.outOfDateOutputFileName),cu(e,r.newerInputFileName));case 7:return Dd(e,f.Project_0_is_out_of_date_because_output_1_is_older_than_input_2,cu(e,t),cu(e,r.outOfDateOutputFileName),cu(e,r.newerProjectName));case 4:return Dd(e,f.Project_0_is_out_of_date_because_output_file_1_does_not_exist,cu(e,t),cu(e,r.missingOutputFileName));case 5:return Dd(e,f.Project_0_is_out_of_date_because_there_was_error_reading_file_1,cu(e,t),cu(e,r.fileName));case 8:return Dd(e,f.Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_some_of_the_changes_were_not_emitted,cu(e,t),cu(e,r.buildInfoFile));case 9:return Dd(e,f.Project_0_is_out_of_date_because_buildinfo_file_1_indicates_there_is_change_in_compilerOptions,cu(e,t),cu(e,r.buildInfoFile));case 10:return Dd(e,f.Project_0_is_out_of_date_because_buildinfo_file_1_indicates_that_file_2_was_root_file_of_compilation_but_not_any_more,cu(e,t),cu(e,r.buildInfoFile),cu(e,r.inputFile));case 1:if(r.newestInputFileTime!==void 0)return Dd(e,f.Project_0_is_up_to_date_because_newest_input_1_is_older_than_output_2,cu(e,t),cu(e,r.newestInputFileName||""),cu(e,r.oldestOutputFileName||""));break;case 3:return Dd(e,f.Project_0_is_out_of_date_because_output_of_its_dependency_1_has_changed,cu(e,t),cu(e,r.newerProjectName));case 2:return Dd(e,f.Project_0_is_up_to_date_with_d_ts_files_from_its_dependencies,cu(e,t));case 15:return Dd(e,f.Project_0_is_up_to_date_but_needs_to_update_timestamps_of_output_files_that_are_older_than_input_files,cu(e,t));case 11:return Dd(e,f.Project_0_is_out_of_date_because_its_dependency_1_is_out_of_date,cu(e,t),cu(e,r.upstreamProjectName));case 12:return Dd(e,r.upstreamProjectBlocked?f.Project_0_can_t_be_built_because_its_dependency_1_was_not_built:f.Project_0_can_t_be_built_because_its_dependency_1_has_errors,cu(e,t),cu(e,r.upstreamProjectName));case 0:return Dd(e,f.Failed_to_parse_file_0_Colon_1,cu(e,t),r.reason);case 14:return Dd(e,f.Project_0_is_out_of_date_because_output_for_it_was_generated_with_version_1_that_differs_with_current_version_2,cu(e,t),r.version,Re);case 17:return Dd(e,f.Project_0_is_being_forcibly_rebuilt,cu(e,t))}}function AK(e,t,r){e.options.verbose&&Sqe(e,t,r)}var vDe,yDe,IK,Tqe=T({"src/compiler/tsbuildPublic.ts"(){ra(),fS(),vDe=new Date(-864e13),yDe=new Date(864e13),IK=(e=>(e[e.Build=0]="Build",e[e.UpdateBundle=1]="UpdateBundle",e[e.UpdateOutputFileStamps=2]="UpdateOutputFileStamps",e))(IK||{})}}),ra=T({"src/compiler/_namespaces/ts.ts"(){it(),k9e(),w9e(),H9e(),K9e(),X9e(),aBe(),B1e(),_Be(),bBe(),EBe(),xBe(),MBe(),CGe(),PGe(),MGe(),LGe(),BGe(),GGe(),VGe(),jGe(),hVe(),gVe(),RVe(),HVe(),gje(),Tje(),Aje(),Fje(),Uje(),Qje(),oUe(),vUe(),yUe(),DUe(),NUe(),CUe(),WUe(),FUe(),zUe(),BUe(),GUe(),VUe(),jUe(),UUe(),HUe(),KUe(),XUe(),YUe(),$Ue(),QUe(),eHe(),tHe(),nHe(),rHe(),iHe(),oHe(),dHe(),gHe(),RHe(),NHe(),LHe(),kHe(),wHe(),KHe(),XHe(),QHe(),tqe(),nqe(),rqe(),Tqe(),_le(),fS()}});function bDe(e){return gu.args.includes(e)}function EDe(e){const t=gu.args.indexOf(e);return t>=0&&t<gu.args.length-1?gu.args[t+1]:void 0}function SDe(){const e=new Date;return`${e.getHours().toString().padStart(2,"0")}:${e.getMinutes().toString().padStart(2,"0")}:${e.getSeconds().toString().padStart(2,"0")}.${e.getMilliseconds().toString().padStart(3,"0")}`}function t2(e){return fue+e.replace(/\n/g,fue)}function O0(e){return t2(JSON.stringify(e,void 0,2))}var Qw,Zw,eO,H7,q7,J7,xK,n2,RK,fue,Aqe=T({"src/jsTyping/shared.ts"(){tO(),Qw="action::set",Zw="action::invalidate",eO="action::packageInstalled",H7="event::typesRegistry",q7="event::beginInstallTypes",J7="event::endInstallTypes",xK="event::initializationFailed",n2="action::watchTypingLocations",(e=>{e.GlobalCacheLocation="--globalTypingsCacheLocation",e.LogFile="--logFile",e.EnableTelemetry="--enableTelemetry",e.TypingSafeListLocation="--typingSafeListLocation",e.TypesMapLocation="--typesMapLocation",e.NpmLocation="--npmLocation",e.ValidateDefaultNpmLocation="--validateDefaultNpmLocation"})(RK||(RK={})),fue=`
+ `}}),Iqe=T({"src/jsTyping/types.ts"(){}}),K7=T({"src/jsTyping/_namespaces/ts.server.ts"(){Aqe(),Iqe()}});function TDe(e,t){return new ym(k6(t,`ts${ue}`)||k6(t,"latest")).compareTo(e.version)<=0}function ADe(e){return vue.has(e)?"node":e}function xqe(e,t){const r=mw(t,i=>e.readFile(i));return new Map(Object.entries(r.config))}function Rqe(e,t){var r;const i=mw(t,o=>e.readFile(o));if((r=i.config)!=null&&r.simpleMap)return new Map(Object.entries(i.config.simpleMap))}function Dqe(e,t,r,i,o,s,l,u,p,h){if(!l||!l.enable)return{cachedTypingPaths:[],newTypingNames:[],filesToWatch:[]};const m=new Map;r=Hi(r,Z=>{const V=ga(Z);if(YE(V))return V});const b=[];l.include&&O(l.include,"Explicitly included types");const A=l.exclude||[];if(!h.types){const Z=new Set(r.map(ai));Z.add(i),Z.forEach(V=>{U(V,"bower.json","bower_components",b),U(V,"package.json","node_modules",b)})}if(l.disableFilenameBasedTypeAcquisition||K(r),u){const Z=DE(u.map(ADe),dS,Uu);O(Z,"Inferred typings from unresolved imports")}for(const Z of A)m.delete(Z)&&t&&t(`Typing for ${Z} is in exclude list, will be ignored.`);s.forEach((Z,V)=>{const se=p.get(V);m.get(V)===!1&&se!==void 0&&TDe(Z,se)&&m.set(V,Z.typingLocation)});const I=[],R=[];m.forEach((Z,V)=>{Z?R.push(Z):I.push(V)});const M={cachedTypingPaths:R,newTypingNames:I,filesToWatch:b};return t&&t(`Finished typings discovery:${O0(M)}`),M;function C(Z){m.has(Z)||m.set(Z,!1)}function O(Z,V){t&&t(`${V}: ${JSON.stringify(Z)}`),Ue(Z,C)}function U(Z,V,se,G){const ne=Qr(Z,V);let he,ye;e.fileExists(ne)&&(G.push(ne),he=mw(ne,fe=>e.readFile(fe)).config,ye=Pi([he.dependencies,he.devDependencies,he.optionalDependencies,he.peerDependencies],Xh),O(ye,`Typing names in '${ne}' dependencies`));const $=Qr(Z,se);if(G.push($),!e.directoryExists($))return;const Y=[],oe=ye?ye.map(fe=>Qr($,fe,V)):e.readDirectory($,[".json"],void 0,void 0,3).filter(fe=>{if(ic(fe)!==V)return!1;const Ne=zc(ga(fe)),pe=Ne[Ne.length-3][0]==="@";return pe&&ch(Ne[Ne.length-4])===se||!pe&&ch(Ne[Ne.length-3])===se});t&&t(`Searching for typing names in ${$}; all files: ${JSON.stringify(oe)}`);for(const fe of oe){const Ne=ga(fe),ie=mw(Ne,Le=>e.readFile(Le)).config;if(!ie.name)continue;const ve=ie.types||ie.typings;if(ve){const Le=go(ve,ai(Ne));e.fileExists(Le)?(t&&t(` Package '${ie.name}' provides its own types.`),m.set(ie.name,Le)):t&&t(` Package '${ie.name}' provides its own types but they are missing.`)}else Y.push(ie.name)}O(Y," Found package names")}function K(Z){const V=Hi(Z,G=>{if(!YE(G))return;const ne=Id(ch(ic(G))),he=bG(ne);return o.get(he)});V.length&&O(V,"Inferred typings from file names"),bt(Z,G=>Il(G,".jsx"))&&(t&&t("Inferred 'react' typings due to presence of '.jsx' extension"),C("react"))}}function Nqe(e){return mue(e,!0)}function mue(e,t){if(!e)return 1;if(e.length>bue)return 2;if(e.charCodeAt(0)===46)return 3;if(e.charCodeAt(0)===95)return 4;if(t){const r=/^@([^/]+)\/([^/]+)$/.exec(e);if(r){const i=mue(r[1],!1);if(i!==0)return{name:r[1],isScopeName:!0,result:i};const o=mue(r[2],!1);return o!==0?{name:r[2],isScopeName:!1,result:o}:0}}return encodeURIComponent(e)!==e?5:0}function Cqe(e,t){return typeof e=="object"?IDe(t,e.result,e.name,e.isScopeName):IDe(t,e,t,!1)}function IDe(e,t,r,i){const o=i?"Scope":"Package";switch(t){case 1:return`'${e}':: ${o} name '${r}' cannot be empty`;case 2:return`'${e}':: ${o} name '${r}' should be less than ${bue} characters`;case 3:return`'${e}':: ${o} name '${r}' cannot start with '.'`;case 4:return`'${e}':: ${o} name '${r}' cannot start with '_'`;case 5:return`'${e}':: ${o} name '${r}' contains non URI safe characters`;case 0:return N.fail();default:N.assertNever(t)}}var _ue,hue,gue,vue,yue,bue,Pqe=T({"src/jsTyping/jsTyping.ts"(){tO(),K7(),_ue=["assert","assert/strict","async_hooks","buffer","child_process","cluster","console","constants","crypto","dgram","diagnostics_channel","dns","dns/promises","domain","events","fs","fs/promises","http","https","http2","inspector","module","net","os","path","perf_hooks","process","punycode","querystring","readline","repl","stream","stream/promises","string_decoder","timers","timers/promises","tls","trace_events","tty","url","util","util/types","v8","vm","wasi","worker_threads","zlib"],hue=_ue.map(e=>`node:${e}`),gue=[..._ue,...hue],vue=new Set(gue),yue=(e=>(e[e.Ok=0]="Ok",e[e.EmptyName=1]="EmptyName",e[e.NameTooLong=2]="NameTooLong",e[e.NameStartsWithDot=3]="NameStartsWithDot",e[e.NameStartsWithUnderscore=4]="NameStartsWithUnderscore",e[e.NameContainsNonURISafeCharacters=5]="NameContainsNonURISafeCharacters",e))(yue||{}),bue=214}}),G_={};X(G_,{NameValidationResult:()=>yue,discoverTypings:()=>Dqe,isTypingUpToDate:()=>TDe,loadSafeList:()=>xqe,loadTypesMap:()=>Rqe,nodeCoreModuleList:()=>gue,nodeCoreModules:()=>vue,nonRelativeModuleNameForTypingCache:()=>ADe,prefixedNodeCoreModuleList:()=>hue,renderPackageNameValidationFailure:()=>Cqe,validatePackageName:()=>Nqe});var Mqe=T({"src/jsTyping/_namespaces/ts.JsTyping.ts"(){Pqe()}}),tO=T({"src/jsTyping/_namespaces/ts.ts"(){ra(),Mqe(),K7()}});function X7(e){return{indentSize:4,tabSize:4,newLineCharacter:e||`
+`,convertTabsToSpaces:!0,indentStyle:2,insertSpaceAfterConstructor:!1,insertSpaceAfterCommaDelimiter:!0,insertSpaceAfterSemicolonInForStatements:!0,insertSpaceBeforeAndAfterBinaryOperators:!0,insertSpaceAfterKeywordsInControlFlowStatements:!0,insertSpaceAfterFunctionKeywordForAnonymousFunctions:!1,insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis:!1,insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets:!1,insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces:!0,insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces:!1,insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces:!1,insertSpaceBeforeFunctionParenthesis:!1,placeOpenBraceOnNewLineForFunctions:!1,placeOpenBraceOnNewLineForControlBlocks:!1,semicolons:"ignore",trimTrailingWhitespace:!0,indentSwitchCase:!0}}var Y7,DK,NK,CK,kf,PK,MK,LK,kK,wK,OK,WK,Eue,nO,FK,zK,BK,GK,VK,jK,UK,HK,qK,Lqe=T({"src/services/types.ts"(){(e=>{class t{constructor(o){this.text=o}getText(o,s){return o===0&&s===this.text.length?this.text:this.text.substring(o,s)}getLength(){return this.text.length}getChangeRange(){}}function r(i){return new t(i)}e.fromString=r})(Y7||(Y7={})),DK=(e=>(e[e.Dependencies=1]="Dependencies",e[e.DevDependencies=2]="DevDependencies",e[e.PeerDependencies=4]="PeerDependencies",e[e.OptionalDependencies=8]="OptionalDependencies",e[e.All=15]="All",e))(DK||{}),NK=(e=>(e[e.Off=0]="Off",e[e.On=1]="On",e[e.Auto=2]="Auto",e))(NK||{}),CK=(e=>(e[e.Semantic=0]="Semantic",e[e.PartialSemantic=1]="PartialSemantic",e[e.Syntactic=2]="Syntactic",e))(CK||{}),kf={},PK=(e=>(e.Original="original",e.TwentyTwenty="2020",e))(PK||{}),MK=(e=>(e.All="All",e.SortAndCombine="SortAndCombine",e.RemoveUnused="RemoveUnused",e))(MK||{}),LK=(e=>(e[e.Invoked=1]="Invoked",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.TriggerForIncompleteCompletions=3]="TriggerForIncompleteCompletions",e))(LK||{}),kK=(e=>(e.Type="Type",e.Parameter="Parameter",e.Enum="Enum",e))(kK||{}),wK=(e=>(e.none="none",e.definition="definition",e.reference="reference",e.writtenReference="writtenReference",e))(wK||{}),OK=(e=>(e[e.None=0]="None",e[e.Block=1]="Block",e[e.Smart=2]="Smart",e))(OK||{}),WK=(e=>(e.Ignore="ignore",e.Insert="insert",e.Remove="remove",e))(WK||{}),Eue=X7(`
+`),nO=(e=>(e[e.aliasName=0]="aliasName",e[e.className=1]="className",e[e.enumName=2]="enumName",e[e.fieldName=3]="fieldName",e[e.interfaceName=4]="interfaceName",e[e.keyword=5]="keyword",e[e.lineBreak=6]="lineBreak",e[e.numericLiteral=7]="numericLiteral",e[e.stringLiteral=8]="stringLiteral",e[e.localName=9]="localName",e[e.methodName=10]="methodName",e[e.moduleName=11]="moduleName",e[e.operator=12]="operator",e[e.parameterName=13]="parameterName",e[e.propertyName=14]="propertyName",e[e.punctuation=15]="punctuation",e[e.space=16]="space",e[e.text=17]="text",e[e.typeParameterName=18]="typeParameterName",e[e.enumMemberName=19]="enumMemberName",e[e.functionName=20]="functionName",e[e.regularExpressionLiteral=21]="regularExpressionLiteral",e[e.link=22]="link",e[e.linkName=23]="linkName",e[e.linkText=24]="linkText",e))(nO||{}),FK=(e=>(e[e.None=0]="None",e[e.MayIncludeAutoImports=1]="MayIncludeAutoImports",e[e.IsImportStatementCompletion=2]="IsImportStatementCompletion",e[e.IsContinuation=4]="IsContinuation",e[e.ResolvedModuleSpecifiers=8]="ResolvedModuleSpecifiers",e[e.ResolvedModuleSpecifiersBeyondLimit=16]="ResolvedModuleSpecifiersBeyondLimit",e[e.MayIncludeMethodSnippets=32]="MayIncludeMethodSnippets",e))(FK||{}),zK=(e=>(e.Comment="comment",e.Region="region",e.Code="code",e.Imports="imports",e))(zK||{}),BK=(e=>(e[e.JavaScript=0]="JavaScript",e[e.SourceMap=1]="SourceMap",e[e.Declaration=2]="Declaration",e))(BK||{}),GK=(e=>(e[e.None=0]="None",e[e.InMultiLineCommentTrivia=1]="InMultiLineCommentTrivia",e[e.InSingleQuoteStringLiteral=2]="InSingleQuoteStringLiteral",e[e.InDoubleQuoteStringLiteral=3]="InDoubleQuoteStringLiteral",e[e.InTemplateHeadOrNoSubstitutionTemplate=4]="InTemplateHeadOrNoSubstitutionTemplate",e[e.InTemplateMiddleOrTail=5]="InTemplateMiddleOrTail",e[e.InTemplateSubstitutionPosition=6]="InTemplateSubstitutionPosition",e))(GK||{}),VK=(e=>(e[e.Punctuation=0]="Punctuation",e[e.Keyword=1]="Keyword",e[e.Operator=2]="Operator",e[e.Comment=3]="Comment",e[e.Whitespace=4]="Whitespace",e[e.Identifier=5]="Identifier",e[e.NumberLiteral=6]="NumberLiteral",e[e.BigIntLiteral=7]="BigIntLiteral",e[e.StringLiteral=8]="StringLiteral",e[e.RegExpLiteral=9]="RegExpLiteral",e))(VK||{}),jK=(e=>(e.unknown="",e.warning="warning",e.keyword="keyword",e.scriptElement="script",e.moduleElement="module",e.classElement="class",e.localClassElement="local class",e.interfaceElement="interface",e.typeElement="type",e.enumElement="enum",e.enumMemberElement="enum member",e.variableElement="var",e.localVariableElement="local var",e.variableUsingElement="using",e.variableAwaitUsingElement="await using",e.functionElement="function",e.localFunctionElement="local function",e.memberFunctionElement="method",e.memberGetAccessorElement="getter",e.memberSetAccessorElement="setter",e.memberVariableElement="property",e.memberAccessorVariableElement="accessor",e.constructorImplementationElement="constructor",e.callSignatureElement="call",e.indexSignatureElement="index",e.constructSignatureElement="construct",e.parameterElement="parameter",e.typeParameterElement="type parameter",e.primitiveType="primitive type",e.label="label",e.alias="alias",e.constElement="const",e.letElement="let",e.directory="directory",e.externalModuleName="external module name",e.jsxAttribute="JSX attribute",e.string="string",e.link="link",e.linkName="link name",e.linkText="link text",e))(jK||{}),UK=(e=>(e.none="",e.publicMemberModifier="public",e.privateMemberModifier="private",e.protectedMemberModifier="protected",e.exportedModifier="export",e.ambientModifier="declare",e.staticModifier="static",e.abstractModifier="abstract",e.optionalModifier="optional",e.deprecatedModifier="deprecated",e.dtsModifier=".d.ts",e.tsModifier=".ts",e.tsxModifier=".tsx",e.jsModifier=".js",e.jsxModifier=".jsx",e.jsonModifier=".json",e.dmtsModifier=".d.mts",e.mtsModifier=".mts",e.mjsModifier=".mjs",e.dctsModifier=".d.cts",e.ctsModifier=".cts",e.cjsModifier=".cjs",e))(UK||{}),HK=(e=>(e.comment="comment",e.identifier="identifier",e.keyword="keyword",e.numericLiteral="number",e.bigintLiteral="bigint",e.operator="operator",e.stringLiteral="string",e.whiteSpace="whitespace",e.text="text",e.punctuation="punctuation",e.className="class name",e.enumName="enum name",e.interfaceName="interface name",e.moduleName="module name",e.typeParameterName="type parameter name",e.typeAliasName="type alias name",e.parameterName="parameter name",e.docCommentTagName="doc comment tag name",e.jsxOpenTagName="jsx open tag name",e.jsxCloseTagName="jsx close tag name",e.jsxSelfClosingTagName="jsx self closing tag name",e.jsxAttribute="jsx attribute",e.jsxText="jsx text",e.jsxAttributeStringLiteralValue="jsx attribute string literal value",e))(HK||{}),qK=(e=>(e[e.comment=1]="comment",e[e.identifier=2]="identifier",e[e.keyword=3]="keyword",e[e.numericLiteral=4]="numericLiteral",e[e.operator=5]="operator",e[e.stringLiteral=6]="stringLiteral",e[e.regularExpressionLiteral=7]="regularExpressionLiteral",e[e.whiteSpace=8]="whiteSpace",e[e.text=9]="text",e[e.punctuation=10]="punctuation",e[e.className=11]="className",e[e.enumName=12]="enumName",e[e.interfaceName=13]="interfaceName",e[e.moduleName=14]="moduleName",e[e.typeParameterName=15]="typeParameterName",e[e.typeAliasName=16]="typeAliasName",e[e.parameterName=17]="parameterName",e[e.docCommentTagName=18]="docCommentTagName",e[e.jsxOpenTagName=19]="jsxOpenTagName",e[e.jsxCloseTagName=20]="jsxCloseTagName",e[e.jsxSelfClosingTagName=21]="jsxSelfClosingTagName",e[e.jsxAttribute=22]="jsxAttribute",e[e.jsxText=23]="jsxText",e[e.jsxAttributeStringLiteralValue=24]="jsxAttributeStringLiteralValue",e[e.bigintLiteral=25]="bigintLiteral",e))(qK||{})}});function rO(e){switch(e.kind){case 260:return lr(e)&&YV(e)?7:1;case 169:case 208:case 172:case 171:case 303:case 304:case 174:case 173:case 176:case 177:case 178:case 262:case 218:case 219:case 299:case 291:return 1;case 168:case 264:case 265:case 187:return 2;case 353:return e.name===void 0?3:2;case 306:case 263:return 3;case 267:return ku(e)||Kg(e)===1?5:4;case 266:case 275:case 276:case 271:case 272:case 277:case 278:return 7;case 312:return 5}return 7}function oT(e){e=oX(e);const t=e.parent;return e.kind===312?1:kl(t)||Ku(t)||Ah(t)||np(t)||Sh(t)||tu(t)&&e===t.name?7:$7(e)?kqe(e):Bg(e)?rO(t):Zd(e)&&Gn(e,Ym(IP,kA,R0))?7:Fqe(e)?2:wqe(e)?4:gl(t)?(N.assert(sm(t.parent)),2):Zy(t)?3:1}function kqe(e){const t=e.kind===166?e:xd(e.parent)&&e.parent.right===e?e.parent:void 0;return t&&t.parent.kind===271?7:4}function $7(e){for(;e.parent.kind===166;)e=e.parent;return hR(e.parent)&&e.parent.moduleReference===e}function wqe(e){return Oqe(e)||Wqe(e)}function Oqe(e){let t=e,r=!0;if(t.parent.kind===166){for(;t.parent&&t.parent.kind===166;)t=t.parent;r=t.right===e}return t.parent.kind===183&&!r}function Wqe(e){let t=e,r=!0;if(t.parent.kind===211){for(;t.parent&&t.parent.kind===211;)t=t.parent;r=t.name===e}if(!r&&t.parent.kind===233&&t.parent.parent.kind===298){const i=t.parent.parent.parent;return i.kind===263&&t.parent.parent.token===119||i.kind===264&&t.parent.parent.token===96}return!1}function Fqe(e){switch(VC(e)&&(e=e.parent),e.kind){case 110:return!ng(e);case 197:return!0}switch(e.parent.kind){case 183:return!0;case 205:return!e.parent.isTypeOf;case 233:return tg(e.parent)}return!1}function JK(e,t=!1,r=!1){return iO(e,la,XK,t,r)}function r2(e,t=!1,r=!1){return iO(e,r1,XK,t,r)}function KK(e,t=!1,r=!1){return iO(e,I_,XK,t,r)}function Sue(e,t=!1,r=!1){return iO(e,i1,zqe,t,r)}function Tue(e,t=!1,r=!1){return iO(e,Eu,XK,t,r)}function Aue(e,t=!1,r=!1){return iO(e,ud,Bqe,t,r)}function XK(e){return e.expression}function zqe(e){return e.tag}function Bqe(e){return e.tagName}function iO(e,t,r,i,o){let s=i?Iue(e):Q7(e);return o&&(s=$l(s)),!!s&&!!s.parent&&t(s.parent)&&r(s.parent)===s}function Q7(e){return AD(e)?e.parent:e}function Iue(e){return AD(e)||ZK(e)?e.parent:e}function Z7(e,t){for(;e;){if(e.kind===256&&e.label.escapedText===t)return e.label;e=e.parent}}function oO(e,t){return Fr(e.expression)?e.expression.name.text===t:!1}function aO(e){var t;return Ve(e)&&((t=ii(e.parent,pC))==null?void 0:t.label)===e}function YK(e){var t;return Ve(e)&&((t=ii(e.parent,o1))==null?void 0:t.label)===e}function $K(e){return YK(e)||aO(e)}function QK(e){var t;return((t=ii(e.parent,iR))==null?void 0:t.tagName)===e}function xue(e){var t;return((t=ii(e.parent,xd))==null?void 0:t.right)===e}function AD(e){var t;return((t=ii(e.parent,Fr))==null?void 0:t.name)===e}function ZK(e){var t;return((t=ii(e.parent,Qs))==null?void 0:t.argumentExpression)===e}function eX(e){var t;return((t=ii(e.parent,Xl))==null?void 0:t.name)===e}function tX(e){var t;return Ve(e)&&((t=ii(e.parent,ea))==null?void 0:t.name)===e}function e5(e){switch(e.parent.kind){case 172:case 171:case 303:case 306:case 174:case 173:case 177:case 178:case 267:return Mo(e.parent)===e;case 212:return e.parent.argumentExpression===e;case 167:return!0;case 201:return e.parent.parent.kind===199;default:return!1}}function Rue(e){return h0(e.parent.parent)&&xC(e.parent.parent)===e}function aT(e){for(Zf(e)&&(e=e.parent.parent);;){if(e=e.parent,!e)return;switch(e.kind){case 312:case 174:case 173:case 262:case 218:case 177:case 178:case 263:case 264:case 266:case 267:return e}}}function y1(e){switch(e.kind){case 312:return sc(e)?"module":"script";case 267:return"module";case 263:case 231:return"class";case 264:return"interface";case 265:case 345:case 353:return"type";case 266:return"enum";case 260:return t(e);case 208:return t(C_(e));case 219:case 262:case 218:return"function";case 177:return"getter";case 178:return"setter";case 174:case 173:return"method";case 303:const{initializer:r}=e;return ea(r)?"method":"property";case 172:case 171:case 304:case 305:return"property";case 181:return"index";case 180:return"construct";case 179:return"call";case 176:case 175:return"constructor";case 168:return"type parameter";case 306:return"enum member";case 169:return Zr(e,31)?"property":"parameter";case 271:case 276:case 281:case 274:case 280:return"alias";case 226:const i=Bl(e),{right:o}=e;switch(i){case 7:case 8:case 9:case 0:return"";case 1:case 2:const l=y1(o);return l===""?"const":l;case 3:return Os(o)?"method":"property";case 4:return"property";case 5:return Os(o)?"method":"property";case 6:return"local class";default:return""}case 80:return Sh(e.parent)?"alias":"";case 277:const s=y1(e.expression);return s===""?"const":s;default:return""}function t(r){return uR(r)?"const":X4(r)?"let":"var"}}function ID(e){switch(e.kind){case 110:return!0;case 80:return hU(e)&&e.parent.kind===169;default:return!1}}function lm(e,t){const r=kg(t),i=t.getLineAndCharacterOfPosition(e).line;return r[i]}function cf(e,t){return nX(e.pos,e.end,t)}function Due(e,t){return lO(e,t.pos)&&lO(e,t.end)}function sO(e,t){return e.pos<=t&&t<=e.end}function lO(e,t){return e.pos<t&&t<e.end}function nX(e,t,r){return e<=r.pos&&t>=r.end}function cO(e,t,r){return e.pos<=t&&e.end>=r}function i2(e,t,r){return n5(e.pos,e.end,t,r)}function t5(e,t,r,i){return n5(e.getStart(t),e.end,r,i)}function n5(e,t,r,i){const o=Math.max(e,r),s=Math.min(t,i);return o<s}function rX(e,t,r){return N.assert(e.pos<=t),t<e.end||!i_(e,r)}function i_(e,t){if(e===void 0||zl(e))return!1;switch(e.kind){case 263:case 264:case 266:case 210:case 206:case 187:case 241:case 268:case 269:case 275:case 279:return iX(e,20,t);case 299:return i_(e.block,t);case 214:if(!e.arguments)return!0;case 213:case 217:case 196:return iX(e,22,t);case 184:case 185:return i_(e.type,t);case 176:case 177:case 178:case 262:case 218:case 174:case 173:case 180:case 179:case 219:return e.body?i_(e.body,t):e.type?i_(e.type,t):uO(e,22,t);case 267:return!!e.body&&i_(e.body,t);case 245:return e.elseStatement?i_(e.elseStatement,t):i_(e.thenStatement,t);case 244:return i_(e.expression,t)||uO(e,27,t);case 209:case 207:case 212:case 167:case 189:return iX(e,24,t);case 181:return e.type?i_(e.type,t):uO(e,24,t);case 296:case 297:return!1;case 248:case 249:case 250:case 247:return i_(e.statement,t);case 246:return uO(e,117,t)?iX(e,22,t):i_(e.statement,t);case 186:return i_(e.exprName,t);case 221:case 220:case 222:case 229:case 230:return i_(e.expression,t);case 215:return i_(e.template,t);case 228:const i=tl(e.templateSpans);return i_(i,t);case 239:return Yf(e.literal);case 278:case 272:return Yf(e.moduleSpecifier);case 224:return i_(e.operand,t);case 226:return i_(e.right,t);case 227:return i_(e.whenFalse,t);default:return!0}}function iX(e,t,r){const i=e.getChildren(r);if(i.length){const o=Ya(i);if(o.kind===t)return!0;if(o.kind===27&&i.length!==1)return i[i.length-2].kind===t}return!1}function Nue(e){const t=r5(e);if(!t)return;const r=t.getChildren();return{listItemIndex:sR(r,e),list:t}}function uO(e,t,r){return!!vs(e,t,r)}function vs(e,t,r){return An(e.getChildren(r),i=>i.kind===t)}function r5(e){const t=An(e.parent.getChildren(),r=>eD(r)&&cf(r,e));return N.assert(!t||Nr(t.getChildren(),e)),t}function xDe(e){return e.kind===90}function Gqe(e){return e.kind===86}function Vqe(e){return e.kind===100}function jqe(e){if(ld(e))return e.name;if(Ic(e)){const t=e.modifiers&&An(e.modifiers,xDe);if(t)return t}if(Zc(e)){const t=An(e.getChildren(),Gqe);if(t)return t}}function Uqe(e){if(ld(e))return e.name;if(Ac(e)){const t=An(e.modifiers,xDe);if(t)return t}if(Os(e)){const t=An(e.getChildren(),Vqe);if(t)return t}}function Hqe(e){let t;return Gn(e,r=>(ji(r)&&(t=r),!xd(r.parent)&&!ji(r.parent)&&!yS(r.parent))),t}function i5(e,t){if(e.flags&16777216)return;const r=T5(e,t);if(r)return r;const i=Hqe(e);return i&&t.getTypeAtLocation(i)}function qqe(e,t){if(!t)switch(e.kind){case 263:case 231:return jqe(e);case 262:case 218:return Uqe(e);case 176:return e}if(ld(e))return e.name}function RDe(e,t){if(e.importClause){if(e.importClause.name&&e.importClause.namedBindings)return;if(e.importClause.name)return e.importClause.name;if(e.importClause.namedBindings){if(Hg(e.importClause.namedBindings)){const r=sh(e.importClause.namedBindings.elements);return r?r.name:void 0}else if(nb(e.importClause.namedBindings))return e.importClause.namedBindings.name}}if(!t)return e.moduleSpecifier}function DDe(e,t){if(e.exportClause){if(Pf(e.exportClause))return sh(e.exportClause.elements)?e.exportClause.elements[0].name:void 0;if(Th(e.exportClause))return e.exportClause.name}if(!t)return e.moduleSpecifier}function Jqe(e){if(e.types.length===1)return e.types[0].expression}function NDe(e,t){const{parent:r}=e;if(xa(e)&&(t||e.kind!==90)?Cm(r)&&Nr(r.modifiers,e):e.kind===86?Ic(r)||Zc(e):e.kind===100?Ac(r)||Os(e):e.kind===120?hd(r):e.kind===94?x0(r):e.kind===156?Nm(r):e.kind===145||e.kind===144?Xl(r):e.kind===102?tu(r):e.kind===139?rf(r):e.kind===153&&bp(r)){const i=qqe(r,t);if(i)return i}if((e.kind===115||e.kind===87||e.kind===121)&&Uc(r)&&r.declarations.length===1){const i=r.declarations[0];if(Ve(i.name))return i.name}if(e.kind===156){if(Sh(r)&&r.isTypeOnly){const i=RDe(r.parent,t);if(i)return i}if(Yl(r)&&r.isTypeOnly){const i=DDe(r,t);if(i)return i}}if(e.kind===130){if(np(r)&&r.propertyName||Ku(r)&&r.propertyName||nb(r)||Th(r))return r.name;if(Yl(r)&&r.exportClause&&Th(r.exportClause))return r.exportClause.name}if(e.kind===102&&kc(r)){const i=RDe(r,t);if(i)return i}if(e.kind===95){if(Yl(r)){const i=DDe(r,t);if(i)return i}if(kl(r))return $l(r.expression)}if(e.kind===149&&Ah(r))return r.expression;if(e.kind===161&&(kc(r)||Yl(r))&&r.moduleSpecifier)return r.moduleSpecifier;if((e.kind===96||e.kind===119)&&of(r)&&r.token===e.kind){const i=Jqe(r);if(i)return i}if(e.kind===96){if(gl(r)&&r.constraint&&Cf(r.constraint))return r.constraint.typeName;if(dI(r)&&Cf(r.extendsType))return r.extendsType.typeName}if(e.kind===140&&BS(r))return r.typeParameter.name;if(e.kind===103&&gl(r)&&JR(r.parent))return r.name;if(e.kind===143&&VS(r)&&r.operator===143&&Cf(r.type))return r.type.typeName;if(e.kind===148&&VS(r)&&r.operator===148&&Xk(r.type)&&Cf(r.type.elementType))return r.type.elementType.typeName;if(!t){if((e.kind===105&&r1(r)||e.kind===116&&pI(r)||e.kind===114&&KR(r)||e.kind===135&&eb(r)||e.kind===127&&iF(r)||e.kind===91&&Vae(r))&&r.expression)return $l(r.expression);if((e.kind===103||e.kind===104)&&mr(r)&&r.operatorToken===e)return $l(r.right);if(e.kind===130&&$k(r)&&Cf(r.type))return r.type.typeName;if(e.kind===103&&aF(r)||e.kind===165&&Qk(r))return $l(r.expression)}return e}function oX(e){return NDe(e,!1)}function o5(e){return NDe(e,!0)}function Vd(e,t){return xD(e,t,r=>N_(r)||Bd(r.kind)||Ji(r))}function xD(e,t,r){return CDe(e,t,!1,r,!1)}function po(e,t){return CDe(e,t,!0,void 0,!1)}function CDe(e,t,r,i,o){let s=e,l;e:for(;;){const p=s.getChildren(e),h=bA(p,t,(m,b)=>b,(m,b)=>{const A=p[m].getEnd();if(A<t)return-1;const I=r?p[m].getFullStart():p[m].getStart(e,!0);return I>t?1:u(p[m],I,A)?p[m-1]&&u(p[m-1])?1:0:i&&I===t&&p[m-1]&&p[m-1].getEnd()===t&&u(p[m-1])?1:-1});if(l)return l;if(h>=0&&p[h]){s=p[h];continue e}return s}function u(p,h,m){if(m??(m=p.getEnd()),m<t||(h??(h=r?p.getFullStart():p.getStart(e,!0)),h>t))return!1;if(t<m||t===m&&(p.kind===1||o))return!0;if(i&&m===t){const b=xc(t,e,p);if(b&&i(b))return l=b,!0}return!1}}function Cue(e,t){let r=po(e,t);for(;s5(r);){const i=b1(r,r.parent,e);if(!i)return;r=i}return r}function a5(e,t){const r=po(e,t);return NA(r)&&t>r.getStart(e)&&t<r.getEnd()?r:xc(t,e)}function b1(e,t,r){return i(t);function i(o){return NA(o)&&o.pos===e.end?o:Ut(o.getChildren(r),s=>(s.pos<=e.pos&&s.end>e.end||s.pos===e.end)&&Wue(s,r)?i(s):void 0)}}function xc(e,t,r,i){const o=s(r||t);return N.assert(!(o&&s5(o))),o;function s(l){if(PDe(l)&&l.kind!==1)return l;const u=l.getChildren(t),p=bA(u,e,(m,b)=>b,(m,b)=>e<u[m].end?!u[m-1]||e>=u[m-1].end?0:1:-1);if(p>=0&&u[p]){const m=u[p];if(e<m.end)if(m.getStart(t,!i)>=e||!Wue(m,t)||s5(m)){const I=Mue(u,p,t,l.kind);return I?!i&&k4(I)&&I.getChildren(t).length?s(I):Pue(I,t):void 0}else return s(m)}N.assert(r!==void 0||l.kind===312||l.kind===1||k4(l));const h=Mue(u,u.length,t,l.kind);return h&&Pue(h,t)}}function PDe(e){return NA(e)&&!s5(e)}function Pue(e,t){if(PDe(e))return e;const r=e.getChildren(t);if(r.length===0)return e;const i=Mue(r,r.length,t,e.kind);return i&&Pue(i,t)}function Mue(e,t,r,i){for(let o=t-1;o>=0;o--){const s=e[o];if(s5(s))o===0&&(i===12||i===285)&&N.fail("`JsxText` tokens should not be the first child of `JsxElement | JsxSelfClosingElement`");else if(Wue(e[o],r))return e[o]}}function CI(e,t,r=xc(t,e)){if(r&&ij(r)){const i=r.getStart(e),o=r.getEnd();if(i<t&&t<o)return!0;if(t===o)return!!r.isUnterminated}return!1}function Lue(e,t){const r=po(e,t);return r?!!(r.kind===12||r.kind===30&&r.parent.kind===12||r.kind===30&&r.parent.kind===294||r&&r.kind===20&&r.parent.kind===294||r.kind===30&&r.parent.kind===287):!1}function s5(e){return nI(e)&&e.containsOnlyTriviaWhiteSpaces}function aX(e,t){const r=po(e,t);return wy(r.kind)&&t>r.getStart(e)}function kue(e,t){const r=po(e,t);return!!(nI(r)||r.kind===19&&TP(r.parent)&&dg(r.parent.parent)||r.kind===30&&ud(r.parent)&&dg(r.parent.parent))}function l5(e,t){function r(i){for(;i;)if(i.kind>=285&&i.kind<=294||i.kind===12||i.kind===30||i.kind===32||i.kind===80||i.kind===20||i.kind===19||i.kind===44)i=i.parent;else if(i.kind===284){if(t>i.getStart(e))return!0;i=i.parent}else return!1;return!1}return r(po(e,t))}function c5(e,t,r){const i=fa(e.kind),o=fa(t),s=e.getFullStart(),l=r.text.lastIndexOf(o,s);if(l===-1)return;if(r.text.lastIndexOf(i,s-1)<l){const h=xc(l+1,r);if(h&&h.kind===t)return h}const u=e.kind;let p=0;for(;;){const h=xc(e.getFullStart(),r);if(!h)return;if(e=h,e.kind===t){if(p===0)return e;p--}else e.kind===u&&p++}}function wue(e,t,r){return t?e.getNonNullableType():r?e.getNonOptionalType():e}function dO(e,t,r){const i=lX(e,t);return i!==void 0&&(tg(i.called)||sX(i.called,i.nTypeArguments,r).length!==0||dO(i.called,t,r))}function sX(e,t,r){let i=r.getTypeAtLocation(e);return qu(e.parent)&&(i=wue(i,uC(e.parent),!0)),(r1(e.parent)?i.getConstructSignatures():i.getCallSignatures()).filter(s=>!!s.typeParameters&&s.typeParameters.length>=t)}function lX(e,t){if(t.text.lastIndexOf("<",e?e.pos:t.text.length)===-1)return;let r=e,i=0,o=0;for(;r;){switch(r.kind){case 30:if(r=xc(r.getFullStart(),t),r&&r.kind===29&&(r=xc(r.getFullStart(),t)),!r||!Ve(r))return;if(!i)return Bg(r)?void 0:{called:r,nTypeArguments:o};i--;break;case 50:i=3;break;case 49:i=2;break;case 32:i++;break;case 20:if(r=c5(r,19,t),!r)return;break;case 22:if(r=c5(r,21,t),!r)return;break;case 24:if(r=c5(r,23,t),!r)return;break;case 28:o++;break;case 39:case 80:case 11:case 9:case 10:case 112:case 97:case 114:case 96:case 143:case 25:case 52:case 58:case 59:break;default:if(ji(r))break;return}r=xc(r.getFullStart(),t)}}function Qv(e,t,r){return Oc.getRangeOfEnclosingComment(e,t,void 0,r)}function Oue(e,t){const r=po(e,t);return!!Gn(r,n_)}function Wue(e,t){return e.kind===1?!!e.jsDoc:e.getWidth(t)!==0}function o2(e,t=0){const r=[],i=Ju(e)?qV(e)&~t:0;return i&2&&r.push("private"),i&4&&r.push("protected"),i&1&&r.push("public"),(i&256||Rl(e))&&r.push("static"),i&64&&r.push("abstract"),i&32&&r.push("export"),i&65536&&r.push("deprecated"),e.flags&33554432&&r.push("declare"),e.kind===277&&r.push("export"),r.length>0?r.join(","):""}function Fue(e){if(e.kind===183||e.kind===213)return e.typeArguments;if(ea(e)||e.kind===263||e.kind===264)return e.typeParameters}function u5(e){return e===2||e===3}function cX(e){return!!(e===11||e===14||wy(e))}function MDe(e,t,r){return!!(t.flags&4)&&e.isEmptyAnonymousObjectType(r)}function zue(e){if(!e.isIntersection())return!1;const{types:t,checker:r}=e;return t.length===2&&(MDe(r,t[0],t[1])||MDe(r,t[1],t[0]))}function pO(e,t,r){return wy(e.kind)&&e.getStart(r)<t&&t<e.end||!!e.isUnterminated&&t===e.end}function uX(e){switch(e){case 125:case 123:case 124:return!0}return!1}function dX(e){const t=hG(e);return vq(t,e&&e.configFile),t}function Zv(e){return!!((e.kind===209||e.kind===210)&&(e.parent.kind===226&&e.parent.left===e&&e.parent.operatorToken.kind===64||e.parent.kind===250&&e.parent.initializer===e||Zv(e.parent.kind===303?e.parent.parent:e.parent)))}function Bue(e,t){return LDe(e,t,!0)}function Gue(e,t){return LDe(e,t,!1)}function LDe(e,t,r){const i=Qv(e,t,void 0);return!!i&&r===GDe.test(e.text.substring(i.pos,i.end))}function pX(e){if(e)switch(e.kind){case 11:case 15:return fX(e);default:return Nd(e)}}function Nd(e,t,r){return pc(e.getStart(t),(r||e).getEnd())}function fX(e){if(!e.isUnterminated)return pc(e.getStart()+1,e.getEnd()-1)}function mX(e,t){return xf(e.getStart(t),e.end)}function sb(e){return pc(e.pos,e.end)}function d5(e){return xf(e.start,e.start+e.length)}function p5(e,t,r){return fO(vu(e,t),r)}function fO(e,t){return{span:e,newText:t}}function a2(e){return Nr(W5,e)}function _X(e){return e.kind===156}function f5(e){return _X(e)||Ve(e)&&e.text==="type"}function mO(e){return!!(e.flags&1536)&&e.name.charCodeAt(0)===34}function PI(){const e=[];return t=>{const r=as(t);return!e[r]&&(e[r]=!0)}}function RD(e){return e.getText(0,e.getLength())}function _O(e,t){let r="";for(let i=0;i<t;i++)r+=e;return r}function hX(e){return e.isTypeParameter()&&e.getConstraint()||e}function hO(e){return e.kind===167?nf(e.expression)?e.expression.text:void 0:Ji(e)?Sr(e):em(e)}function Vue(e){return e.getSourceFiles().some(t=>!t.isDeclarationFile&&!e.isSourceFileFromExternalLibrary(t)&&!!(t.externalModuleIndicator||t.commonJsModuleIndicator))}function jue(e){return e.getSourceFiles().some(t=>!t.isDeclarationFile&&!e.isSourceFileFromExternalLibrary(t)&&!!t.externalModuleIndicator)}function gX(e){return!!e.module||os(e)>=2||!!e.noEmit}function sT(e,t){return{fileExists:r=>e.fileExists(r),getCurrentDirectory:()=>t.getCurrentDirectory(),readFile:oa(t,t.readFile),useCaseSensitiveFileNames:oa(t,t.useCaseSensitiveFileNames),getSymlinkCache:oa(t,t.getSymlinkCache)||e.getSymlinkCache,getModuleSpecifierCache:oa(t,t.getModuleSpecifierCache),getPackageJsonInfoCache:()=>{var r;return(r=e.getModuleResolutionCache())==null?void 0:r.getPackageJsonInfoCache()},getGlobalTypingsCacheLocation:oa(t,t.getGlobalTypingsCacheLocation),redirectTargetsMap:e.redirectTargetsMap,getProjectReferenceRedirect:r=>e.getProjectReferenceRedirect(r),isSourceOfProjectReferenceRedirect:r=>e.isSourceOfProjectReferenceRedirect(r),getNearestAncestorDirectoryWithPackageJson:oa(t,t.getNearestAncestorDirectoryWithPackageJson),getFileIncludeReasons:()=>e.getFileIncludeReasons(),getCommonSourceDirectory:()=>e.getCommonSourceDirectory()}}function vX(e,t){return{...sT(e,t),getCommonSourceDirectory:()=>e.getCommonSourceDirectory()}}function m5(e){return e===2||e>=3&&e<=99||e===100}function Uue(e,t,r,i){return e||t&&t.length?ey(e,t,r,i):void 0}function ey(e,t,r,i,o){return w.createImportDeclaration(void 0,e||t?w.createImportClause(!!o,e,t&&t.length?w.createNamedImports(t):void 0):void 0,typeof r=="string"?MI(r,i):r,void 0)}function MI(e,t){return w.createStringLiteral(e,t===0)}function yX(e,t){return p3(e,t)?1:0}function uf(e,t){if(t.quotePreference&&t.quotePreference!=="auto")return t.quotePreference==="single"?0:1;{const r=e.imports&&An(e.imports,i=>Ma(i)&&!$s(i.parent));return r?yX(r,e):1}}function bX(e){switch(e){case 0:return"'";case 1:return'"';default:return N.assertNever(e)}}function _5(e){const t=h5(e);return t===void 0?void 0:Vi(t)}function h5(e){return e.escapedName!=="default"?e.escapedName:Ut(e.declarations,t=>{const r=Mo(t);return r&&r.kind===80?r.escapedText:void 0})}function g5(e){return ls(e)&&(Ah(e.parent)||kc(e.parent)||Ad(e.parent,!1)&&e.parent.arguments[0]===e||Bp(e.parent)&&e.parent.arguments[0]===e)}function gO(e){return Qa(e)&&am(e.parent)&&Ve(e.name)&&!e.propertyName}function v5(e,t){const r=e.getTypeAtLocation(t.parent);return r&&e.getPropertyOfType(r,t.name.text)}function vO(e,t,r){if(e)for(;e.parent;){if($i(e.parent)||!Kqe(r,e.parent,t))return e;e=e.parent}}function Kqe(e,t,r){return HV(e,t.getStart(r))&&t.getEnd()<=Kl(e)}function DD(e,t){return Cm(e)?An(e.modifiers,r=>r.kind===t):void 0}function s2(e,t,r,i,o){const l=(To(r)?r[0]:r).kind===243?Vj:TS,u=nr(t.statements,l);let p=To(r)?Lm.detectImportDeclarationSorting(r,o):3;const h=Lm.getOrganizeImportsComparer(o,p===2),m=To(r)?Dv(r,(b,A)=>Lm.compareImportsOrRequireStatements(b,A,h)):[r];if(!u.length)e.insertNodesAtTopOfFile(t,m,i);else if(u&&(p=Lm.detectImportDeclarationSorting(u,o))){const b=Lm.getOrganizeImportsComparer(o,p===2);for(const A of m){const I=Lm.getImportDeclarationInsertionIndex(u,A,b);if(I===0){const R=u[0]===t.statements[0]?{leadingTriviaOption:_r.LeadingTriviaOption.Exclude}:{};e.insertNodeBefore(t,u[0],A,!1,R)}else{const R=u[I-1];e.insertNodeAfter(t,R,A)}}}else{const b=tl(u);b?e.insertNodesAfter(t,b,m):e.insertNodesAtTopOfFile(t,m,i)}}function EX(e,t){return N.assert(e.isTypeOnly),aa(e.getChildAt(0,t),_X)}function ND(e,t){return!!e&&!!t&&e.start===t.start&&e.length===t.length}function SX(e,t,r){return(r?dS:i0)(e.fileName,t.fileName)&&ND(e.textSpan,t.textSpan)}function TX(e){return(t,r)=>SX(t,r,e)}function AX(e,t){if(e){for(let r=0;r<e.length;r++)if(e.indexOf(e[r])===r){const i=t(e[r],r);if(i)return i}}}function Hue(e,t,r){for(let i=t;i<r;i++)if(!wg(e.charCodeAt(i)))return!1;return!0}function l2(e,t,r){const i=t.tryGetSourcePosition(e);return i&&(!r||r(ga(i.fileName))?i:void 0)}function y5(e,t,r){const{fileName:i,textSpan:o}=e,s=l2({fileName:i,pos:o.start},t,r);if(!s)return;const l=l2({fileName:i,pos:o.start+o.length},t,r),u=l?l.pos-s.pos:o.length;return{fileName:s.fileName,textSpan:{start:s.pos,length:u},originalFileName:e.fileName,originalTextSpan:e.textSpan,contextSpan:IX(e,t,r),originalContextSpan:e.contextSpan}}function IX(e,t,r){const i=e.contextSpan&&l2({fileName:e.fileName,pos:e.contextSpan.start},t,r),o=e.contextSpan&&l2({fileName:e.fileName,pos:e.contextSpan.start+e.contextSpan.length},t,r);return i&&o?{start:i.pos,length:o.pos-i.pos}:void 0}function xX(e){const t=e.declarations?Xc(e.declarations):void 0;return!!Gn(t,r=>Ao(r)?!0:Qa(r)||am(r)||n1(r)?!1:"quit")}function Xqe(){const e=Nk*10;let t,r,i,o;m();const s=b=>u(b,17);return{displayParts:()=>{const b=t.length&&t[t.length-1].text;return o>e&&b&&b!=="..."&&(wg(b.charCodeAt(b.length-1))||t.push(ip(" ",16)),t.push(ip("...",15))),t},writeKeyword:b=>u(b,5),writeOperator:b=>u(b,12),writePunctuation:b=>u(b,15),writeTrailingSemicolon:b=>u(b,15),writeSpace:b=>u(b,16),writeStringLiteral:b=>u(b,8),writeParameter:b=>u(b,13),writeProperty:b=>u(b,14),writeLiteral:b=>u(b,8),writeSymbol:p,writeLine:h,write:s,writeComment:s,getText:()=>"",getTextPos:()=>0,getColumn:()=>0,getLine:()=>0,isAtStartOfLine:()=>!1,hasTrailingWhitespace:()=>!1,hasTrailingComment:()=>!1,rawWrite:Ko,getIndent:()=>i,increaseIndent:()=>{i++},decreaseIndent:()=>{i--},clear:m};function l(){if(!(o>e)&&r){const b=S3(i);b&&(o+=b.length,t.push(ip(b,16))),r=!1}}function u(b,A){o>e||(l(),o+=b.length,t.push(ip(b,A)))}function p(b,A){o>e||(l(),o+=b.length,t.push(que(b,A)))}function h(){o>e||(o+=1,t.push(CD()),r=!0)}function m(){t=[],r=!0,i=0,o=0}}function que(e,t){return ip(e,r(t));function r(i){const o=i.flags;return o&3?xX(i)?13:9:o&4||o&32768||o&65536?14:o&8?19:o&16?20:o&32?1:o&64?4:o&384?2:o&1536?11:o&8192?10:o&262144?18:o&524288||o&2097152?0:17}}function ip(e,t){return{text:e,kind:nO[t]}}function wl(){return ip(" ",16)}function Tp(e){return ip(fa(e),5)}function $u(e){return ip(fa(e),15)}function c2(e){return ip(fa(e),12)}function Jue(e){return ip(e,13)}function Kue(e){return ip(e,14)}function RX(e){const t=PE(e);return t===void 0?df(e):Tp(t)}function df(e){return ip(e,17)}function Xue(e){return ip(e,0)}function Yue(e){return ip(e,18)}function b5(e){return ip(e,24)}function $ue(e,t){return{text:e,kind:nO[23],target:{fileName:jn(t).fileName,textSpan:Nd(t)}}}function DX(e){return ip(e,22)}function Que(e,t){var r;const i=Xae(e)?"link":Yae(e)?"linkcode":"linkplain",o=[DX(`{@${i} `)];if(!e.name)e.text&&o.push(b5(e.text));else{const s=t==null?void 0:t.getSymbolAtLocation(e.name),l=s&&t?PX(s,t):void 0,u=$qe(e.text),p=fc(e.name)+e.text.slice(0,u),h=Yqe(e.text.slice(u)),m=(l==null?void 0:l.valueDeclaration)||((r=l==null?void 0:l.declarations)==null?void 0:r[0]);if(m)o.push($ue(p,m)),h&&o.push(b5(h));else{const b=u===0||e.text.charCodeAt(u)===124&&p.charCodeAt(p.length-1)!==32?" ":"";o.push(b5(p+b+h))}}return o.push(DX("}")),o}function Yqe(e){let t=0;if(e.charCodeAt(t++)===124){for(;t<e.length&&e.charCodeAt(t)===32;)t++;return e.slice(t)}return e}function $qe(e){let t=e.indexOf("://");if(t===0){for(;t<e.length&&e.charCodeAt(t)!==124;)t++;return t}if(e.indexOf("()")===0)return 2;if(e.charAt(0)==="<"){let r=0,i=0;for(;i<e.length;)if(e[i]==="<"&&r++,e[i]===">"&&r--,i++,!r)return i}return 0}function ty(e,t){var r;return(t==null?void 0:t.newLineCharacter)||((r=e.getNewLine)==null?void 0:r.call(e))||VDe}function CD(){return ip(`
+`,6)}function lb(e){try{return e(KX),KX.displayParts()}finally{KX.clear()}}function yO(e,t,r,i=0){return lb(o=>{e.writeType(t,r,i|1024|16384,o)})}function u2(e,t,r,i,o=0){return lb(s=>{e.writeSymbol(t,r,i,o|8,s)})}function NX(e,t,r,i=0){return i|=25632,lb(o=>{e.writeSignature(t,r,i,void 0,o)})}function kDe(e,t){const r=t.getSourceFile();return lb(i=>{Bw().writeNode(4,e,r,i)})}function Zue(e){return!!e.parent&&CA(e.parent)&&e.parent.propertyName===e}function CX(e,t){return Q3(e,t.getScriptKind&&t.getScriptKind(e))}function PX(e,t){let r=e;for(;Qqe(r)||mh(r)&&r.links.target;)mh(r)&&r.links.target?r=r.links.target:r=bu(r,t);return r}function Qqe(e){return(e.flags&2097152)!==0}function ede(e,t){return Ta(bu(e,t))}function tde(e,t){for(;wg(e.charCodeAt(t));)t+=1;return t}function E5(e,t){for(;t>-1&&A_(e.charCodeAt(t));)t-=1;return t+1}function cl(e,t=!0){const r=e&&wDe(e);return r&&!t&&Ap(r),r}function bO(e,t,r){let i=r(e);return i?Cr(i,e):i=wDe(e,r),i&&!t&&Ap(i),i}function wDe(e,t){const r=t?s=>bO(s,!0,t):cl,o=In(e,r,void 0,t?s=>s&&MX(s,!0,t):s=>s&&E1(s),r);if(o===e){const s=Ma(e)?Cr(w.createStringLiteralFromNode(e),e):vp(e)?Cr(w.createNumericLiteral(e.text,e.numericLiteralFlags),e):w.cloneNode(e);return dt(s,e)}return o.parent=void 0,o}function E1(e,t=!0){if(e){const r=w.createNodeArray(e.map(i=>cl(i,t)),e.hasTrailingComma);return dt(r,e),r}return e}function MX(e,t,r){return w.createNodeArray(e.map(i=>bO(i,t,r)),e.hasTrailingComma)}function Ap(e){LX(e),nde(e)}function LX(e){rde(e,1024,eJe)}function nde(e){rde(e,2048,NU)}function lT(e,t){const r=e.getSourceFile(),i=r.text;Zqe(e,i)?PD(e,t,r):SO(e,t,r),d2(e,t,r)}function Zqe(e,t){const r=e.getFullStart(),i=e.getStart();for(let o=r;o<i;o++)if(t.charCodeAt(o)===10)return!0;return!1}function rde(e,t,r){k_(e,t);const i=r(e);i&&rde(i,t,r)}function eJe(e){return e.forEachChild(t=>t)}function cT(e,t){let r=e;for(let i=1;!V4(t,r);i++)r=`${e}_${i}`;return r}function EO(e,t,r,i){let o=0,s=-1;for(const{fileName:l,textChanges:u}of e){N.assert(l===t);for(const p of u){const{span:h,newText:m}=p,b=tJe(m,og(r));if(b!==-1&&(s=h.start+o+b,!i))return s;o+=m.length-h.length}}return N.assert(i),N.assert(s>=0),s}function PD(e,t,r,i,o){rL(r.text,e.pos,ide(t,r,i,o,fP))}function d2(e,t,r,i,o){iL(r.text,e.end,ide(t,r,i,o,EW))}function SO(e,t,r,i,o){iL(r.text,e.pos,ide(t,r,i,o,fP))}function ide(e,t,r,i,o){return(s,l,u,p)=>{u===3?(s+=2,l-=2):s+=2,o(e,r||u,t.text.slice(s,l),i!==void 0?i:p)}}function tJe(e,t){if(uo(e,t))return 0;let r=e.indexOf(" "+t);return r===-1&&(r=e.indexOf("."+t)),r===-1&&(r=e.indexOf('"'+t)),r===-1?-1:r+1}function S5(e){return mr(e)&&e.operatorToken.kind===28||Oa(e)||($k(e)||MH(e))&&Oa(e.expression)}function T5(e,t,r){const i=Bv(e.parent);switch(i.kind){case 214:return t.getContextualType(i,r);case 226:{const{left:o,operatorToken:s,right:l}=i;return A5(s.kind)?t.getTypeAtLocation(e===l?o:l):t.getContextualType(e,r)}case 296:return wX(i,t);default:return t.getContextualType(e,r)}}function p2(e,t,r){const i=uf(e,t),o=JSON.stringify(r);return i===0?`'${tm(o).replace(/'/g,()=>"\\'").replace(/\\"/g,'"')}'`:o}function A5(e){switch(e){case 37:case 35:case 38:case 36:return!0;default:return!1}}function ode(e){switch(e.kind){case 11:case 15:case 228:case 215:return!0;default:return!1}}function kX(e){return!!e.getStringIndexType()||!!e.getNumberIndexType()}function wX(e,t){return t.getTypeAtLocation(e.parent.parent.expression)}function f2(e,t,r,i){const o=r.getTypeChecker();let s=!0;const l=()=>s=!1,u=o.typeToTypeNode(e,t,1,{trackSymbol:(p,h,m)=>(s=s&&o.isSymbolAccessible(p,h,m,!1).accessibility===0,!s),reportInaccessibleThisError:l,reportPrivateInBaseOfClassExpression:l,reportInaccessibleUniqueSymbolError:l,moduleResolverHost:vX(r,i)});return s?u:void 0}function ade(e){return e===179||e===180||e===181||e===171||e===173}function ODe(e){return e===262||e===176||e===174||e===177||e===178}function WDe(e){return e===267}function I5(e){return e===243||e===244||e===246||e===251||e===252||e===253||e===257||e===259||e===172||e===265||e===272||e===271||e===278||e===270||e===277}function nJe(e,t){const r=e.getLastToken(t);if(r&&r.kind===27)return!1;if(ade(e.kind)){if(r&&r.kind===28)return!1}else if(WDe(e.kind)){const u=Ya(e.getChildren(t));if(u&&O_(u))return!1}else if(ODe(e.kind)){const u=Ya(e.getChildren(t));if(u&&BE(u))return!1}else if(!I5(e.kind))return!1;if(e.kind===246)return!0;const i=Gn(e,u=>!u.parent),o=b1(e,i,t);if(!o||o.kind===20)return!0;const s=t.getLineAndCharacterOfPosition(e.getEnd()).line,l=t.getLineAndCharacterOfPosition(o.getStart(t)).line;return s!==l}function x5(e,t,r){const i=Gn(t,o=>o.end!==e?"quit":XX(o.kind));return!!i&&nJe(i,r)}function TO(e){let t=0,r=0;const i=5;return Ho(e,function o(s){if(I5(s.kind)){const l=s.getLastToken(e);(l==null?void 0:l.kind)===27?t++:r++}else if(ade(s.kind)){const l=s.getLastToken(e);if((l==null?void 0:l.kind)===27)t++;else if(l&&l.kind!==28){const u=bs(e,l.getStart(e)).line,p=bs(e,gh(e,l.end).start).line;u!==p&&r++}}return t+r>=i?!0:Ho(s,o)}),t===0&&r<=1?!0:t/r>1/i}function R5(e,t){return C5(e,e.getDirectories,t)||[]}function OX(e,t,r,i,o){return C5(e,e.readDirectory,t,r,i,o)||et}function AO(e,t){return C5(e,e.fileExists,t)}function D5(e,t){return N5(()=>$m(t,e))||!1}function N5(e){try{return e()}catch{return}}function C5(e,t,...r){return N5(()=>t&&t.apply(e,r))}function WX(e,t,r){const i=[];return Sm(e,o=>{if(o===r)return!0;const s=Qr(o,"package.json");AO(t,s)&&i.push(s)}),i}function sde(e,t){let r;return Sm(e,i=>{if(i==="node_modules"||(r=hce(i,o=>AO(t,o),"package.json"),r))return!0}),r}function lde(e,t){if(!t.fileExists)return[];const r=[];return Sm(ai(e),i=>{const o=Qr(i,"package.json");if(t.fileExists(o)){const s=FX(o,t);s&&r.push(s)}}),r}function FX(e,t){if(!t.readFile)return;const r=["dependencies","devDependencies","optionalDependencies","peerDependencies"],i=t.readFile(e)||"",o=w3(i),s={};if(o)for(const p of r){const h=o[p];if(!h)continue;const m=new Map;for(const b in h)m.set(b,h[b]);s[p]=m}const l=[[1,s.dependencies],[2,s.devDependencies],[8,s.optionalDependencies],[4,s.peerDependencies]];return{...s,parseable:!!o,fileName:e,get:u,has(p,h){return!!u(p,h)}};function u(p,h=15){for(const[m,b]of l)if(b&&h&m){const A=b.get(p);if(A!==void 0)return A}}}function m2(e,t,r){const i=(r.getPackageJsonsVisibleToFile&&r.getPackageJsonsVisibleToFile(e.fileName)||lde(e.fileName,r)).filter(R=>R.parseable);let o,s,l;return{allowsImportingAmbientModule:p,allowsImportingSourceFile:h,allowsImportingSpecifier:m};function u(R){const M=I(R);for(const C of i)if(C.has(M)||C.has(jF(M)))return!0;return!1}function p(R,M){if(!i.length||!R.valueDeclaration)return!0;if(!s)s=new Map;else{const Z=s.get(R);if(Z!==void 0)return Z}const C=tm(R.getName());if(b(C))return s.set(R,!0),!0;const O=R.valueDeclaration.getSourceFile(),U=A(O.fileName,M);if(typeof U>"u")return s.set(R,!0),!0;const K=u(U)||u(C);return s.set(R,K),K}function h(R,M){if(!i.length)return!0;if(!l)l=new Map;else{const U=l.get(R);if(U!==void 0)return U}const C=A(R.fileName,M);if(!C)return l.set(R,!0),!0;const O=u(C);return l.set(R,O),O}function m(R){return!i.length||b(R)||Wp(R)||fp(R)?!0:u(R)}function b(R){return!!(dd(e)&&G_.nodeCoreModules.has(R)&&(o===void 0&&(o=P5(e)),o))}function A(R,M){if(!R.includes("node_modules"))return;const C=m1.getNodeModulesPackageName(r.getCompilationSettings(),e,R,M,t);if(C&&!Wp(C)&&!fp(C))return I(C)}function I(R){const M=zc(FP(R)).slice(1);return uo(M[0],"@")?`${M[0]}/${M[1]}`:M[0]}}function P5(e){return bt(e.imports,({text:t})=>G_.nodeCoreModules.has(t))}function IO(e){return Nr(zc(e),"node_modules")}function zX(e){return e.file!==void 0&&e.start!==void 0&&e.length!==void 0}function cde(e,t){const r=Nd(e),i=bA(t,r,nl,W6);if(i>=0){const o=t[i];return N.assertEqual(o.file,e.getSourceFile(),"Diagnostics proided to 'findDiagnosticForNode' must be from a single SourceFile"),aa(o,zX)}}function ude(e,t){var r;let i=bA(t,e.start,l=>l.start,rl);for(i<0&&(i=~i);((r=t[i-1])==null?void 0:r.start)===e.start;)i--;const o=[],s=Kl(e);for(;;){const l=ii(t[i],zX);if(!l||l.start>s)break;fre(e,l)&&o.push(l),i++}return o}function LI({startPosition:e,endPosition:t}){return pc(e,t===void 0?e:t)}function BX(e,t){const r=po(e,t.start);return Gn(r,o=>o.getStart(e)<t.start||o.getEnd()>Kl(t)?"quit":yt(o)&&ND(t,Nd(o,e)))}function GX(e,t,r=nl){return e?To(e)?r(wt(e,t)):t(e,0):void 0}function VX(e){return To(e)?Ha(e):e}function dde(e,t){if(FDe(e)){const r=zDe(e);if(r)return r;const i=Fu.moduleSymbolToValidIdentifier(pde(e),t,!1),o=Fu.moduleSymbolToValidIdentifier(pde(e),t,!0);return i===o?i:[i,o]}return e.name}function M5(e,t,r){return FDe(e)?zDe(e)||Fu.moduleSymbolToValidIdentifier(pde(e),t,!!r):e.name}function FDe(e){return!(e.flags&33554432)&&(e.escapedName==="export="||e.escapedName==="default")}function zDe(e){return Ut(e.declarations,t=>{var r,i,o;return kl(t)?(r=ii($l(t.expression),Ve))==null?void 0:r.text:Ku(t)&&t.symbol.flags===2097152?(i=ii(t.propertyName,Ve))==null?void 0:i.text:(o=ii(Mo(t),Ve))==null?void 0:o.text})}function pde(e){var t;return N.checkDefined(e.parent,`Symbol parent was undefined. Flags: ${N.formatSymbolFlags(e.flags)}. Declarations: ${(t=e.declarations)==null?void 0:t.map(r=>{const i=N.formatSyntaxKind(r.kind),o=lr(r),{expression:s}=r;return(o?"[JS]":"")+i+(s?` (expression: ${N.formatSyntaxKind(s.kind)})`:"")}).join(", ")}.`)}function fde(e,t,r){const i=t.length;if(i+r>e.length)return!1;for(let o=0;o<i;o++)if(t.charCodeAt(o)!==e.charCodeAt(o+r))return!1;return!0}function jX(e){return e.charCodeAt(0)===95}function BDe(e){return!mde(e)}function mde(e){const t=e.getSourceFile();return!t.externalModuleIndicator&&!t.commonJsModuleIndicator?!1:lr(e)||!Gn(e,r=>Xl(r)&&R_(r))}function L5(e){return!!(qV(e)&65536)}function k5(e,t){return Ut(e.imports,i=>{if(G_.nodeCoreModules.has(i.text))return uo(i.text,"node:")})??t.usesUriStyleNodeCoreModules}function xO(e){return e===`
+`?1:0}function uT(e){return To(e)?lg(Wo(e[0]),e.slice(1)):Wo(e)}function w5({options:e},t){const r=!e.semicolons||e.semicolons==="ignore",i=e.semicolons==="remove"||r&&!TO(t);return{...e,semicolons:i?"remove":"ignore"}}function UX(e){return e===2||e===3}function MD(e,t){return e.isSourceFileFromExternalLibrary(t)||e.isSourceFileDefaultLibrary(t)}function O5(e,t){const r=new Set,i=new Set,o=new Set;for(const u of t)if(!AP(u)){const p=hs(u.expression);if(kE(p))switch(p.kind){case 15:case 11:r.add(p.text);break;case 9:i.add(parseInt(p.text));break;case 10:const h=Qoe(Al(p.text,"n")?p.text.slice(0,-1):p.text);h&&o.add($E(h));break}else{const h=e.getSymbolAtLocation(u.expression);if(h&&h.valueDeclaration&&u1(h.valueDeclaration)){const m=e.getConstantValue(h.valueDeclaration);m!==void 0&&s(m)}}}return{addValue:s,hasValue:l};function s(u){switch(typeof u){case"string":r.add(u);break;case"number":i.add(u)}}function l(u){switch(typeof u){case"string":return r.has(u);case"number":return i.has(u);case"object":return o.has($E(u))}}}function HX(e,t,r,i){var o;const s=typeof e=="string"?e:e.fileName;if(!YE(s))return!1;const l=t.getCompilerOptions(),u=wu(l),p=typeof e=="string"?Jw(ol(e,r.getCurrentDirectory(),Gv(r)),(o=t.getPackageJsonInfoCache)==null?void 0:o.call(t),r,l):e.impliedNodeFormat;if(p===99)return!1;if(p===1||l.verbatimModuleSyntax&&u===1)return!0;if(l.verbatimModuleSyntax&&j3(u))return!1;if(typeof e=="object"){if(e.commonJsModuleIndicator)return!0;if(e.externalModuleIndicator)return!1}return i}var Qu,qX,GDe,W5,JX,KX,VDe,F5,XX,rJe=T({"src/services/utilities.ts"(){si(),Qu=wv(99,!0),qX=(e=>(e[e.None=0]="None",e[e.Value=1]="Value",e[e.Type=2]="Type",e[e.Namespace=4]="Namespace",e[e.All=7]="All",e))(qX||{}),GDe=/^\/\/\/\s*</,W5=[133,131,163,136,97,140,143,146,106,150,151,148,154,155,114,112,116,157,158,159],JX=(e=>(e[e.Single=0]="Single",e[e.Double=1]="Double",e))(JX||{}),KX=Xqe(),VDe=`
+`,F5="anonymous function",XX=Ym(ade,ODe,WDe,I5)}});function YX(e){let t=1;const r=Zp(),i=new Map,o=new Map;let s;const l={isUsableByFile:I=>I===s,isEmpty:()=>!r.size,clear:()=>{r.clear(),i.clear(),s=void 0},add:(I,R,M,C,O,U,K,Z)=>{I!==s&&(l.clear(),s=I);let V;if(O){const pe=aW(O.fileName);if(pe){const{topLevelNodeModulesIndex:ie,topLevelPackageNameIndex:ve,packageRootIndex:Le}=pe;if(V=Pw(FP(O.fileName.substring(ve+1,Le))),uo(I,O.path.substring(0,ie))){const He=o.get(V),Ee=O.fileName.substring(0,ve+1);if(He){const De=He.indexOf(xh);ie>De&&o.set(V,Ee)}else o.set(V,Ee)}}}const G=U===1&&MR(R)||R,ne=U===0||mO(G)?Vi(M):dde(G,void 0),he=typeof ne=="string"?ne:ne[0],ye=typeof ne=="string"?void 0:ne[1],$=tm(C.name),Y=t++,oe=bu(R,Z),fe=R.flags&33554432?void 0:R,Ne=C.flags&33554432?void 0:C;(!fe||!Ne)&&i.set(Y,[R,C]),r.add(p(he,R,Yc($)?void 0:$,Z),{id:Y,symbolTableKey:M,symbolName:he,capitalizedSymbolName:ye,moduleName:$,moduleFile:O,moduleFileName:O==null?void 0:O.fileName,packageName:V,exportKind:U,targetFlags:oe.flags,isFromPackageJson:K,symbol:fe,moduleSymbol:Ne})},get:(I,R)=>{if(I!==s)return;const M=r.get(R);return M==null?void 0:M.map(u)},search:(I,R,M,C)=>{if(I===s)return Gc(r,(O,U)=>{const{symbolName:K,ambientModuleName:Z}=h(U),V=R&&O[0].capitalizedSymbolName||K;if(M(V,O[0].targetFlags)){const G=O.map(u).filter((ne,he)=>A(ne,O[he].packageName));if(G.length){const ne=C(G,V,!!Z,U);if(ne!==void 0)return ne}}})},releaseSymbols:()=>{i.clear()},onFileChanged:(I,R,M)=>m(I)&&m(R)?!1:s&&s!==R.path||M&&P5(I)!==P5(R)||!Km(I.moduleAugmentations,R.moduleAugmentations)||!b(I,R)?(l.clear(),!0):(s=R.path,!1)};return N.isDebugging&&Object.defineProperty(l,"__cache",{value:r}),l;function u(I){if(I.symbol&&I.moduleSymbol)return I;const{id:R,exportKind:M,targetFlags:C,isFromPackageJson:O,moduleFileName:U}=I,[K,Z]=i.get(R)||et;if(K&&Z)return{symbol:K,moduleSymbol:Z,moduleFileName:U,exportKind:M,targetFlags:C,isFromPackageJson:O};const V=(O?e.getPackageJsonAutoImportProvider():e.getCurrentProgram()).getTypeChecker(),se=I.moduleSymbol||Z||N.checkDefined(I.moduleFile?V.getMergedSymbol(I.moduleFile.symbol):V.tryFindAmbientModule(I.moduleName)),G=I.symbol||K||N.checkDefined(M===2?V.resolveExternalModuleSymbol(se):V.tryGetMemberInModuleExportsAndProperties(Vi(I.symbolTableKey),se),`Could not find symbol '${I.symbolName}' by key '${I.symbolTableKey}' in module ${se.name}`);return i.set(R,[G,se]),{symbol:G,moduleSymbol:se,moduleFileName:U,exportKind:M,targetFlags:C,isFromPackageJson:O}}function p(I,R,M,C){const O=M||"";return`${I.length} ${Ta(bu(R,C))} ${I} ${O}`}function h(I){const R=I.indexOf(" "),M=I.indexOf(" ",R+1),C=parseInt(I.substring(0,R),10),O=I.substring(M+1),U=O.substring(0,C),K=O.substring(C+1);return{symbolName:U,ambientModuleName:K===""?void 0:K}}function m(I){return!I.commonJsModuleIndicator&&!I.externalModuleIndicator&&!I.moduleAugmentations&&!I.ambientModuleNames}function b(I,R){if(!Km(I.ambientModuleNames,R.ambientModuleNames))return!1;let M=-1,C=-1;for(const O of R.ambientModuleNames){const U=K=>Aj(K)&&K.name.text===O;if(M=Gr(I.statements,U,M+1),C=Gr(R.statements,U,C+1),I.statements[M]!==R.statements[C])return!1}return!0}function A(I,R){if(!R||!I.moduleFileName)return!0;const M=e.getGlobalTypingsCacheLocation();if(M&&uo(I.moduleFileName,M))return!0;const C=o.get(R);return!C||uo(I.moduleFileName,C)}}function $X(e,t,r,i,o,s,l){var u;if(t===r)return!1;const p=l==null?void 0:l.get(t.path,r.path,i,{});if((p==null?void 0:p.isBlockedByPackageJsonDependencies)!==void 0)return!p.isBlockedByPackageJsonDependencies;const h=Gv(s),m=(u=s.getGlobalTypingsCacheLocation)==null?void 0:u.call(s),b=!!m1.forEachFileNameOfModule(t.fileName,r.fileName,s,!1,A=>{const I=e.getSourceFile(A);return(I===r||!I)&&iJe(t.fileName,A,h,m)});if(o){const A=b&&o.allowsImportingSourceFile(r,s);return l==null||l.setBlockedByPackageJsonDependencies(t.path,r.path,i,{},!A),A}return b}function iJe(e,t,r,i){const o=Sm(t,l=>ic(l)==="node_modules"?l:void 0),s=o&&ai(r(o));return s===void 0||uo(r(e),s)||!!i&&uo(r(i),s)}function QX(e,t,r,i,o){var s,l;const u=CR(t),p=r.autoImportFileExcludePatterns&&Hi(r.autoImportFileExcludePatterns,m=>{const b=Y3(m,"","exclude");return b?qy(b,u):void 0});jDe(e.getTypeChecker(),e.getSourceFiles(),p,t,(m,b)=>o(m,b,e,!1));const h=i&&((s=t.getPackageJsonAutoImportProvider)==null?void 0:s.call(t));if(h){const m=Ys(),b=e.getTypeChecker();jDe(h.getTypeChecker(),h.getSourceFiles(),p,t,(A,I)=>{(I&&!e.getSourceFile(I.fileName)||!I&&!b.resolveName(A.name,void 0,1536,!1))&&o(A,I,h,!0)}),(l=t.log)==null||l.call(t,`forEachExternalModuleToImportFrom autoImportProvider: ${Ys()-m}`)}}function jDe(e,t,r,i,o){var s,l;const u=(s=i.getSymlinkCache)==null?void 0:s.call(i).getSymlinkedDirectoriesByRealpath(),p=r&&(({fileName:h,path:m})=>{if(r.some(b=>b.test(h)))return!0;if(u!=null&&u.size&&L0(h)){let b=ai(h);return Sm(ai(m),A=>{const I=u.get(Bc(A));if(I)return I.some(R=>r.some(M=>M.test(h.replace(b,R))));b=ai(b)})??!1}return!1});for(const h of e.getAmbientModules())!h.name.includes("*")&&!(r&&((l=h.declarations)!=null&&l.every(m=>p(m.getSourceFile()))))&&o(h,void 0);for(const h of t)zp(h)&&!(p!=null&&p(h))&&o(e.getMergedSymbol(h.symbol),h)}function RO(e,t,r,i,o){var s,l,u,p,h;const m=Ys();(s=t.getPackageJsonAutoImportProvider)==null||s.call(t);const b=((l=t.getCachedExportInfoMap)==null?void 0:l.call(t))||YX({getCurrentProgram:()=>r,getPackageJsonAutoImportProvider:()=>{var R;return(R=t.getPackageJsonAutoImportProvider)==null?void 0:R.call(t)},getGlobalTypingsCacheLocation:()=>{var R;return(R=t.getGlobalTypingsCacheLocation)==null?void 0:R.call(t)}});if(b.isUsableByFile(e.path))return(u=t.log)==null||u.call(t,"getExportInfoMap: cache hit"),b;(p=t.log)==null||p.call(t,"getExportInfoMap: cache miss or empty; calculating new results");const A=r.getCompilerOptions();let I=0;try{QX(r,t,i,!0,(R,M,C,O)=>{++I%100===0&&(o==null||o.throwIfCancellationRequested());const U=new Map,K=C.getTypeChecker(),Z=z5(R,K,A);Z&&UDe(Z.symbol,K)&&b.add(e.path,Z.symbol,Z.exportKind===1?"default":"export=",R,M,Z.exportKind,O,K),K.forEachExportAndPropertyOfModule(R,(V,se)=>{V!==(Z==null?void 0:Z.symbol)&&UDe(V,K)&&Rm(U,se)&&b.add(e.path,V,se,R,M,0,O,K)})})}catch(R){throw b.clear(),R}return(h=t.log)==null||h.call(t,`getExportInfoMap: done in ${Ys()-m} ms`),b}function z5(e,t,r){const i=oJe(e,t);if(!i)return;const{symbol:o,exportKind:s}=i,l=B5(o,t,r);return l&&{symbol:o,exportKind:s,...l}}function UDe(e,t){return!t.isUndefinedSymbol(e)&&!t.isUnknownSymbol(e)&&!lk(e)&&!$ie(e)}function oJe(e,t){const r=t.resolveExternalModuleSymbol(e);if(r!==e)return{symbol:r,exportKind:2};const i=t.tryGetMemberInModuleExports("default",e);if(i)return{symbol:i,exportKind:1}}function B5(e,t,r){const i=MR(e);if(i)return{resolvedSymbol:i,name:i.name};const o=aJe(e);if(o!==void 0)return{resolvedSymbol:e,name:o};if(e.flags&2097152){const s=t.getImmediateAliasedSymbol(e);if(s&&s.parent)return B5(s,t,r)}return e.escapedName!=="default"&&e.escapedName!=="export="?{resolvedSymbol:e,name:e.getName()}:{resolvedSymbol:e,name:M5(e,r.target)}}function aJe(e){return e.declarations&&Ut(e.declarations,t=>{var r;if(kl(t))return(r=ii($l(t.expression),Ve))==null?void 0:r.text;if(Ku(t))return N.assert(t.name.text==="default","Expected the specifier to be a default export"),t.propertyName&&t.propertyName.text})}var ZX,eY,sJe=T({"src/services/exportInfoMap.ts"(){si(),ZX=(e=>(e[e.Named=0]="Named",e[e.Default=1]="Default",e[e.Namespace=2]="Namespace",e[e.CommonJS=3]="CommonJS",e))(ZX||{}),eY=(e=>(e[e.Named=0]="Named",e[e.Default=1]="Default",e[e.ExportEquals=2]="ExportEquals",e[e.UMD=3]="UMD",e))(eY||{})}});function HDe(){const e=wv(99,!1);function t(i,o,s){return uJe(r(i,o,s),i)}function r(i,o,s){let l=0,u=0;const p=[],{prefix:h,pushTemplate:m}=fJe(o);i=h+i;const b=h.length;m&&p.push(16),e.setText(i);let A=0;const I=[];let R=0;do{l=e.scan(),IR(l)||(M(),u=l);const C=e.getTokenEnd();if(cJe(e.getTokenStart(),C,b,hJe(l),I),C>=i.length){const O=lJe(e,l,tl(p));O!==void 0&&(A=O)}}while(l!==1);function M(){switch(l){case 44:case 69:!XDe[u]&&e.reScanSlashToken()===14&&(l=14);break;case 30:u===80&&R++;break;case 32:R>0&&R--;break;case 133:case 154:case 150:case 136:case 155:R>0&&!s&&(l=80);break;case 16:p.push(l);break;case 19:p.length>0&&p.push(l);break;case 20:if(p.length>0){const C=tl(p);C===16?(l=e.reScanTemplateToken(!1),l===18?p.pop():N.assertEqual(l,17,"Should have been a template middle.")):(N.assertEqual(C,19,"Should have been an open brace"),p.pop())}break;default:if(!Bd(l))break;(u===25||Bd(u)&&Bd(l)&&!pJe(u,l))&&(l=80)}}return{endOfLineState:A,spans:I}}return{getClassificationsForLine:t,getEncodedLexicalClassifications:r}}function lJe(e,t,r){switch(t){case 11:{if(!e.isUnterminated())return;const i=e.getTokenText(),o=i.length-1;let s=0;for(;i.charCodeAt(o-s)===92;)s++;return s&1?i.charCodeAt(0)===34?3:2:void 0}case 3:return e.isUnterminated()?1:void 0;default:if(wy(t)){if(!e.isUnterminated())return;switch(t){case 18:return 5;case 15:return 4;default:return N.fail("Only 'NoSubstitutionTemplateLiteral's and 'TemplateTail's can be unterminated; got SyntaxKind #"+t)}}return r===16?6:void 0}}function cJe(e,t,r,i,o){if(i===8)return;e===0&&r>0&&(e+=r);const s=t-e;s>0&&o.push(e-r,s,i)}function uJe(e,t){const r=[],i=e.spans;let o=0;for(let l=0;l<i.length;l+=3){const u=i[l],p=i[l+1],h=i[l+2];if(o>=0){const m=u-o;m>0&&r.push({length:m,classification:4})}r.push({length:p,classification:dJe(h)}),o=u+p}const s=t.length-o;return s>0&&r.push({length:s,classification:4}),{entries:r,finalLexState:e.endOfLineState}}function dJe(e){switch(e){case 1:return 3;case 3:return 1;case 4:return 6;case 25:return 7;case 5:return 2;case 6:return 8;case 8:return 4;case 10:return 0;case 2:case 11:case 12:case 13:case 14:case 15:case 16:case 9:case 17:return 5;default:return}}function pJe(e,t){if(!uX(e))return!0;switch(t){case 139:case 153:case 137:case 126:case 129:return!0;default:return!1}}function fJe(e){switch(e){case 3:return{prefix:`"\\
+`};case 2:return{prefix:`'\\
+`};case 1:return{prefix:`/*
+`};case 4:return{prefix:"`\n"};case 5:return{prefix:`}
+`,pushTemplate:!0};case 6:return{prefix:"",pushTemplate:!0};case 0:return{prefix:""};default:return N.assertNever(e)}}function mJe(e){switch(e){case 42:case 44:case 45:case 40:case 41:case 48:case 49:case 50:case 30:case 32:case 33:case 34:case 104:case 103:case 130:case 152:case 35:case 36:case 37:case 38:case 51:case 53:case 52:case 56:case 57:case 75:case 74:case 79:case 71:case 72:case 73:case 65:case 66:case 67:case 69:case 70:case 64:case 28:case 61:case 76:case 77:case 78:return!0;default:return!1}}function _Je(e){switch(e){case 40:case 41:case 55:case 54:case 46:case 47:return!0;default:return!1}}function hJe(e){if(Bd(e))return 3;if(mJe(e)||_Je(e))return 5;if(e>=19&&e<=79)return 10;switch(e){case 9:return 4;case 10:return 25;case 11:return 6;case 14:return 7;case 7:case 3:case 2:return 1;case 5:case 4:return 8;case 80:default:return wy(e)?6:2}}function _de(e,t,r,i,o){return KDe(tY(e,t,r,i,o))}function qDe(e,t){switch(t){case 267:case 263:case 264:case 262:case 231:case 218:case 219:e.throwIfCancellationRequested()}}function tY(e,t,r,i,o){const s=[];return r.forEachChild(function u(p){if(!(!p||!v4(o,p.pos,p.getFullWidth()))){if(qDe(t,p.kind),Ve(p)&&!zl(p)&&i.has(p.escapedText)){const h=e.getSymbolAtLocation(p),m=h&&JDe(h,oT(p),e);m&&l(p.getStart(r),p.getEnd(),m)}p.forEachChild(u)}}),{spans:s,endOfLineState:0};function l(u,p,h){const m=p-u;N.assert(m>0,`Classification had non-positive length of ${m}`),s.push(u),s.push(m),s.push(h)}}function JDe(e,t,r){const i=e.getFlags();if(i&2885600)return i&32?11:i&384?12:i&524288?16:i&1536?t&4||t&1&&gJe(e)?14:void 0:i&2097152?JDe(r.getAliasedSymbol(e),t,r):t&2?i&64?13:i&262144?15:void 0:void 0}function gJe(e){return bt(e.declarations,t=>Xl(t)&&Kg(t)===1)}function vJe(e){switch(e){case 1:return"comment";case 2:return"identifier";case 3:return"keyword";case 4:return"number";case 25:return"bigint";case 5:return"operator";case 6:return"string";case 8:return"whitespace";case 9:return"text";case 10:return"punctuation";case 11:return"class name";case 12:return"enum name";case 13:return"interface name";case 14:return"module name";case 15:return"type parameter name";case 16:return"type alias name";case 17:return"parameter name";case 18:return"doc comment tag name";case 19:return"jsx open tag name";case 20:return"jsx close tag name";case 21:return"jsx self closing tag name";case 22:return"jsx attribute";case 23:return"jsx text";case 24:return"jsx attribute string literal value";default:return}}function KDe(e){N.assert(e.spans.length%3===0);const t=e.spans,r=[];for(let i=0;i<t.length;i+=3)r.push({textSpan:vu(t[i],t[i+1]),classificationType:vJe(t[i+2])});return r}function hde(e,t,r){return KDe(nY(e,t,r))}function nY(e,t,r){const i=r.start,o=r.length,s=wv(99,!1,t.languageVariant,t.text),l=wv(99,!1,t.languageVariant,t.text),u=[];return Z(t),{spans:u,endOfLineState:0};function p(V,se,G){u.push(V),u.push(se),u.push(G)}function h(V){for(s.resetTokenState(V.pos);;){const se=s.getTokenEnd();if(!lre(t.text,se))return se;const G=s.scan(),ne=s.getTokenEnd(),he=ne-se;if(!IR(G))return se;switch(G){case 4:case 5:continue;case 2:case 3:m(V,G,se,he),s.resetTokenState(ne);continue;case 7:const ye=t.text,$=ye.charCodeAt(se);if($===60||$===62){p(se,he,1);continue}N.assert($===124||$===61),M(ye,se,ne);break;case 6:break;default:N.assertNever(G)}}}function m(V,se,G,ne){if(se===3){const he=Tse(t.text,G,ne);if(he&&he.jsDoc){qa(he.jsDoc,V),A(he.jsDoc);return}}else if(se===2&&I(G,ne))return;b(G,ne)}function b(V,se){p(V,se,1)}function A(V){var se,G,ne,he,ye,$,Y,oe;let fe=V.pos;if(V.tags)for(const pe of V.tags){pe.pos!==fe&&b(fe,pe.pos-fe),p(pe.pos,1,10),p(pe.tagName.pos,pe.tagName.end-pe.tagName.pos,18),fe=pe.tagName.end;let ie=pe.tagName.end;switch(pe.kind){case 348:const ve=pe;Ne(ve),ie=ve.isNameFirst&&((se=ve.typeExpression)==null?void 0:se.end)||ve.name.end;break;case 355:const Le=pe;ie=Le.isNameFirst&&((G=Le.typeExpression)==null?void 0:G.end)||Le.name.end;break;case 352:R(pe),fe=pe.end,ie=pe.typeParameters.end;break;case 353:const He=pe;ie=((ne=He.typeExpression)==null?void 0:ne.kind)===316&&((he=He.fullName)==null?void 0:he.end)||((ye=He.typeExpression)==null?void 0:ye.end)||ie;break;case 345:ie=pe.typeExpression.end;break;case 351:Z(pe.typeExpression),fe=pe.end,ie=pe.typeExpression.end;break;case 350:case 347:ie=pe.typeExpression.end;break;case 349:Z(pe.typeExpression),fe=pe.end,ie=(($=pe.typeExpression)==null?void 0:$.end)||ie;break;case 354:ie=((Y=pe.name)==null?void 0:Y.end)||ie;break;case 335:case 336:ie=pe.class.end;break;case 356:Z(pe.typeExpression),fe=pe.end,ie=((oe=pe.typeExpression)==null?void 0:oe.end)||ie;break}typeof pe.comment=="object"?b(pe.comment.pos,pe.comment.end-pe.comment.pos):typeof pe.comment=="string"&&b(ie,pe.end-ie)}fe!==V.end&&b(fe,V.end-fe);return;function Ne(pe){pe.isNameFirst&&(b(fe,pe.name.pos-fe),p(pe.name.pos,pe.name.end-pe.name.pos,17),fe=pe.name.end),pe.typeExpression&&(b(fe,pe.typeExpression.pos-fe),Z(pe.typeExpression),fe=pe.typeExpression.end),pe.isNameFirst||(b(fe,pe.name.pos-fe),p(pe.name.pos,pe.name.end-pe.name.pos,17),fe=pe.name.end)}}function I(V,se){const G=/^(\/\/\/\s*)(<)(?:(\S+)((?:[^/]|\/[^>])*)(\/>)?)?/im,ne=/(\s)(\S+)(\s*)(=)(\s*)('[^']+'|"[^"]+")/img,he=t.text.substr(V,se),ye=G.exec(he);if(!ye||!ye[3]||!(ye[3]in qM))return!1;let $=V;b($,ye[1].length),$+=ye[1].length,p($,ye[2].length,10),$+=ye[2].length,p($,ye[3].length,21),$+=ye[3].length;const Y=ye[4];let oe=$;for(;;){const Ne=ne.exec(Y);if(!Ne)break;const pe=$+Ne.index+Ne[1].length;pe>oe&&(b(oe,pe-oe),oe=pe),p(oe,Ne[2].length,22),oe+=Ne[2].length,Ne[3].length&&(b(oe,Ne[3].length),oe+=Ne[3].length),p(oe,Ne[4].length,5),oe+=Ne[4].length,Ne[5].length&&(b(oe,Ne[5].length),oe+=Ne[5].length),p(oe,Ne[6].length,24),oe+=Ne[6].length}$+=ye[4].length,$>oe&&b(oe,$-oe),ye[5]&&(p($,ye[5].length,10),$+=ye[5].length);const fe=V+se;return $<fe&&b($,fe-$),!0}function R(V){for(const se of V.getChildren())Z(se)}function M(V,se,G){let ne;for(ne=se;ne<G&&!Hu(V.charCodeAt(ne));ne++);for(p(se,ne-se,1),l.resetTokenState(ne);l.getTokenEnd()<G;)C()}function C(){const V=l.getTokenEnd(),se=l.scan(),G=l.getTokenEnd(),ne=K(se);ne&&p(V,G-V,ne)}function O(V){if(n_(V)||zl(V))return!0;const se=U(V);if(!NA(V)&&V.kind!==12&&se===void 0)return!1;const G=V.kind===12?V.pos:h(V),ne=V.end-G;if(N.assert(ne>=0),ne>0){const he=se||K(V.kind,V);he&&p(G,ne,he)}return!0}function U(V){switch(V.parent&&V.parent.kind){case 286:if(V.parent.tagName===V)return 19;break;case 287:if(V.parent.tagName===V)return 20;break;case 285:if(V.parent.tagName===V)return 21;break;case 291:if(V.parent.name===V)return 22;break}}function K(V,se){if(Bd(V))return 3;if((V===30||V===32)&&se&&Fue(se.parent))return 10;if(eU(V)){if(se){const G=se.parent;if(V===64&&(G.kind===260||G.kind===172||G.kind===169||G.kind===291)||G.kind===226||G.kind===224||G.kind===225||G.kind===227)return 5}return 10}else{if(V===9)return 4;if(V===10)return 25;if(V===11)return se&&se.parent.kind===291?24:6;if(V===14)return 6;if(wy(V))return 6;if(V===12)return 23;if(V===80){if(se){switch(se.parent.kind){case 263:return se.parent.name===se?11:void 0;case 168:return se.parent.name===se?15:void 0;case 264:return se.parent.name===se?13:void 0;case 266:return se.parent.name===se?12:void 0;case 267:return se.parent.name===se?14:void 0;case 169:return se.parent.name===se?KE(se)?3:17:void 0}if(Og(se.parent))return 3}return 2}}}function Z(V){if(V&&lL(i,o,V.pos,V.getFullWidth())){qDe(e,V.kind);for(const se of V.getChildren(t))O(se)||Z(se)}}}var XDe,YDe=T({"src/services/classifier.ts"(){si(),XDe=Nne([80,11,9,10,14,110,46,47,22,24,20,112,97],e=>e,()=>!0)}}),G5,yJe=T({"src/services/documentHighlights.ts"(){si(),(e=>{function t($,Y,oe,fe,Ne){const pe=Vd(oe,fe);if(pe.parent&&(W_(pe.parent)&&pe.parent.tagName===pe||a1(pe.parent))){const{openingElement:ie,closingElement:ve}=pe.parent.parent,Le=[ie,ve].map(({tagName:He})=>r(He,oe));return[{fileName:oe.fileName,highlightSpans:Le}]}return i(fe,pe,$,Y,Ne)||o(pe,oe)}e.getDocumentHighlights=t;function r($,Y){return{fileName:Y.fileName,textSpan:Nd($,Y),kind:"none"}}function i($,Y,oe,fe,Ne){const pe=new Set(Ne.map(He=>He.fileName)),ie=Ws.getReferenceEntriesForNode($,Y,oe,Ne,fe,void 0,pe);if(!ie)return;const ve=FM(ie.map(Ws.toHighlightSpan),He=>He.fileName,He=>He.span),Le=Mu(oe.useCaseSensitiveFileNames());return zo(Pg(ve.entries(),([He,Ee])=>{if(!pe.has(He)){if(!oe.redirectTargetsMap.has(ol(He,oe.getCurrentDirectory(),Le)))return;const De=oe.getSourceFile(He);He=An(Ne,We=>!!We.redirectInfo&&We.redirectInfo.redirectTarget===De).fileName,N.assert(pe.has(He))}return{fileName:He,highlightSpans:Ee}}))}function o($,Y){const oe=s($,Y);return oe&&[{fileName:Y.fileName,highlightSpans:oe}]}function s($,Y){switch($.kind){case 101:case 93:return US($.parent)?ne($.parent,Y):void 0;case 107:return fe($.parent,Dm,Z);case 111:return fe($.parent,kH,K);case 113:case 85:case 98:const pe=$.kind===85?$.parent.parent:$.parent;return fe(pe,qS,U);case 109:return fe($.parent,EP,O);case 84:case 90:return AP($.parent)||YR($.parent)?fe($.parent.parent.parent,EP,O):void 0;case 83:case 88:return fe($.parent,pC,C);case 99:case 117:case 92:return fe($.parent,ie=>Wy(ie,!0),M);case 137:return oe(Ml,[137]);case 139:case 153:return oe(Oy,[139,153]);case 135:return fe($.parent,eb,V);case 134:return Ne(V($));case 127:return Ne(se($));case 103:case 147:return;default:return Wv($.kind)&&(Ju($.parent)||Ll($.parent))?Ne(A($.kind,$.parent)):void 0}function oe(pe,ie){return fe($.parent,pe,ve=>{var Le;return Hi((Le=ii(ve,x_))==null?void 0:Le.symbol.declarations,He=>pe(He)?An(He.getChildren(Y),Ee=>Nr(ie,Ee.kind)):void 0)})}function fe(pe,ie,ve){return ie(pe)?Ne(ve(pe,Y)):void 0}function Ne(pe){return pe&&pe.map(ie=>r(ie,Y))}}function l($){return kH($)?[$]:qS($)?So($.catchClause?l($.catchClause):$.tryBlock&&l($.tryBlock),$.finallyBlock&&l($.finallyBlock)):ea($)?void 0:h($,l)}function u($){let Y=$;for(;Y.parent;){const oe=Y.parent;if(BE(oe)||oe.kind===312)return oe;if(qS(oe)&&oe.tryBlock===Y&&oe.catchClause)return Y;Y=oe}}function p($){return pC($)?[$]:ea($)?void 0:h($,p)}function h($,Y){const oe=[];return $.forEachChild(fe=>{const Ne=Y(fe);Ne!==void 0&&oe.push(...SA(Ne))}),oe}function m($,Y){const oe=b(Y);return!!oe&&oe===$}function b($){return Gn($,Y=>{switch(Y.kind){case 255:if($.kind===251)return!1;case 248:case 249:case 250:case 247:case 246:return!$.label||ye(Y,$.label.escapedText);default:return ea(Y)&&"quit"}})}function A($,Y){return Hi(I(Y,UA($)),oe=>DD(oe,$))}function I($,Y){const oe=$.parent;switch(oe.kind){case 268:case 312:case 241:case 296:case 297:return Y&64&&Ic($)?[...$.members,$]:oe.statements;case 176:case 174:case 262:return[...oe.parameters,...ui(oe.parent)?oe.parent.members:[]];case 263:case 231:case 264:case 187:const fe=oe.members;if(Y&15){const Ne=An(oe.members,Ml);if(Ne)return[...fe,...Ne.parameters]}else if(Y&64)return[...fe,oe];return fe;case 210:return;default:N.assertNever(oe,"Invalid container kind.")}}function R($,Y,...oe){return Y&&Nr(oe,Y.kind)?($.push(Y),!0):!1}function M($){const Y=[];if(R(Y,$.getFirstToken(),99,117,92)&&$.kind===246){const oe=$.getChildren();for(let fe=oe.length-1;fe>=0&&!R(Y,oe[fe],117);fe--);}return Ue(p($.statement),oe=>{m($,oe)&&R(Y,oe.getFirstToken(),83,88)}),Y}function C($){const Y=b($);if(Y)switch(Y.kind){case 248:case 249:case 250:case 246:case 247:return M(Y);case 255:return O(Y)}}function O($){const Y=[];return R(Y,$.getFirstToken(),109),Ue($.caseBlock.clauses,oe=>{R(Y,oe.getFirstToken(),84,90),Ue(p(oe),fe=>{m($,fe)&&R(Y,fe.getFirstToken(),83)})}),Y}function U($,Y){const oe=[];if(R(oe,$.getFirstToken(),113),$.catchClause&&R(oe,$.catchClause.getFirstToken(),85),$.finallyBlock){const fe=vs($,98,Y);R(oe,fe,98)}return oe}function K($,Y){const oe=u($);if(!oe)return;const fe=[];return Ue(l(oe),Ne=>{fe.push(vs(Ne,111,Y))}),BE(oe)&&zE(oe,Ne=>{fe.push(vs(Ne,107,Y))}),fe}function Z($,Y){const oe=Gp($);if(!oe)return;const fe=[];return zE(aa(oe.body,Xo),Ne=>{fe.push(vs(Ne,107,Y))}),Ue(l(oe.body),Ne=>{fe.push(vs(Ne,111,Y))}),fe}function V($){const Y=Gp($);if(!Y)return;const oe=[];return Y.modifiers&&Y.modifiers.forEach(fe=>{R(oe,fe,134)}),Ho(Y,fe=>{G(fe,Ne=>{eb(Ne)&&R(oe,Ne.getFirstToken(),135)})}),oe}function se($){const Y=Gp($);if(!Y)return;const oe=[];return Ho(Y,fe=>{G(fe,Ne=>{iF(Ne)&&R(oe,Ne.getFirstToken(),127)})}),oe}function G($,Y){Y($),!ea($)&&!ui($)&&!hd($)&&!Xl($)&&!Nm($)&&!ji($)&&Ho($,oe=>G(oe,Y))}function ne($,Y){const oe=he($,Y),fe=[];for(let Ne=0;Ne<oe.length;Ne++){if(oe[Ne].kind===93&&Ne<oe.length-1){const pe=oe[Ne],ie=oe[Ne+1];let ve=!0;for(let Le=ie.getStart(Y)-1;Le>=pe.end;Le--)if(!A_(Y.text.charCodeAt(Le))){ve=!1;break}if(ve){fe.push({fileName:Y.fileName,textSpan:pc(pe.getStart(),ie.end),kind:"reference"}),Ne++;continue}}fe.push(r(oe[Ne],Y))}return fe}function he($,Y){const oe=[];for(;US($.parent)&&$.parent.elseStatement===$;)$=$.parent;for(;;){const fe=$.getChildren(Y);R(oe,fe[0],101);for(let Ne=fe.length-1;Ne>=0&&!R(oe,fe[Ne],93);Ne--);if(!$.elseStatement||!US($.elseStatement))break;$=$.elseStatement}return oe}function ye($,Y){return!!Gn($.parent,oe=>o1(oe)?oe.label.escapedText===Y:"quit")}})(G5||(G5={}))}});function DO(e){return!!e.sourceFile}function gde(e,t,r){return rY(e,t,r)}function rY(e,t="",r,i){const o=new Map,s=Mu(!!e);function l(){const C=zo(o.keys()).filter(O=>O&&O.charAt(0)==="_").map(O=>{const U=o.get(O),K=[];return U.forEach((Z,V)=>{DO(Z)?K.push({name:V,scriptKind:Z.sourceFile.scriptKind,refCount:Z.languageServiceRefCount}):Z.forEach((se,G)=>K.push({name:V,scriptKind:G,refCount:se.languageServiceRefCount}))}),K.sort((Z,V)=>V.refCount-Z.refCount),{bucket:O,sourceFiles:K}});return JSON.stringify(C,void 0,2)}function u(C){return typeof C.getCompilationSettings=="function"?C.getCompilationSettings():C}function p(C,O,U,K,Z,V){const se=ol(C,t,s),G=iY(u(O));return h(C,se,O,G,U,K,Z,V)}function h(C,O,U,K,Z,V,se,G){return I(C,O,U,K,Z,V,!0,se,G)}function m(C,O,U,K,Z,V){const se=ol(C,t,s),G=iY(u(O));return b(C,se,O,G,U,K,Z,V)}function b(C,O,U,K,Z,V,se,G){return I(C,O,u(U),K,Z,V,!1,se,G)}function A(C,O){const U=DO(C)?C:C.get(N.checkDefined(O,"If there are more than one scriptKind's for same document the scriptKind should be provided"));return N.assert(O===void 0||!U||U.sourceFile.scriptKind===O,`Script kind should match provided ScriptKind:${O} and sourceFile.scriptKind: ${U==null?void 0:U.sourceFile.scriptKind}, !entry: ${!U}`),U}function I(C,O,U,K,Z,V,se,G,ne){var he,ye,$,Y;G=Q3(C,G);const oe=u(U),fe=U===oe?void 0:U,Ne=G===6?100:os(oe),pe=typeof ne=="object"?ne:{languageVersion:Ne,impliedNodeFormat:fe&&Jw(O,(Y=($=(ye=(he=fe.getCompilerHost)==null?void 0:he.call(fe))==null?void 0:ye.getModuleResolutionCache)==null?void 0:$.call(ye))==null?void 0:Y.getPackageJsonInfoCache(),fe,oe),setExternalModuleIndicator:bk(oe),jsDocParsingMode:r};pe.languageVersion=Ne,N.assertEqual(r,pe.jsDocParsingMode);const ie=o.size,ve=vde(K,pe.impliedNodeFormat),Le=n0(o,ve,()=>new Map);if(sr){o.size>ie&&sr.instant(sr.Phase.Session,"createdDocumentRegistryBucket",{configFilePath:oe.configFilePath,key:ve});const Pe=!Su(O)&&Gc(o,(We,At)=>At!==ve&&We.has(O)&&At);Pe&&sr.instant(sr.Phase.Session,"documentRegistryBucketOverlap",{path:O,key1:Pe,key2:ve})}const He=Le.get(O);let Ee=He&&A(He,G);if(!Ee&&i){const Pe=i.getDocument(ve,O);Pe&&(N.assert(se),Ee={sourceFile:Pe,languageServiceRefCount:0},De())}if(Ee)Ee.sourceFile.version!==V&&(Ee.sourceFile=qY(Ee.sourceFile,Z,V,Z.getChangeRange(Ee.sourceFile.scriptSnapshot)),i&&i.setDocument(ve,O,Ee.sourceFile)),se&&Ee.languageServiceRefCount++;else{const Pe=pz(C,Z,pe,V,!1,G);i&&i.setDocument(ve,O,Pe),Ee={sourceFile:Pe,languageServiceRefCount:1},De()}return N.assert(Ee.languageServiceRefCount!==0),Ee.sourceFile;function De(){if(!He)Le.set(O,Ee);else if(DO(He)){const Pe=new Map;Pe.set(He.sourceFile.scriptKind,He),Pe.set(G,Ee),Le.set(O,Pe)}else He.set(G,Ee)}}function R(C,O,U,K){const Z=ol(C,t,s),V=iY(O);return M(Z,V,U,K)}function M(C,O,U,K){const Z=N.checkDefined(o.get(vde(O,K))),V=Z.get(C),se=A(V,U);se.languageServiceRefCount--,N.assert(se.languageServiceRefCount>=0),se.languageServiceRefCount===0&&(DO(V)?Z.delete(C):(V.delete(U),V.size===1&&Z.set(C,dn(V.values(),nl))))}return{acquireDocument:p,acquireDocumentWithKey:h,updateDocument:m,updateDocumentWithKey:b,releaseDocument:R,releaseDocumentWithKey:M,getKeyForCompilationSettings:iY,getDocumentRegistryBucketKeyWithMode:vde,reportStats:l,getBuckets:()=>o}}function iY(e){return Pq(e,CF)}function vde(e,t){return t?`${e}|${t}`:e}var bJe=T({"src/services/documentRegistry.ts"(){si()}});function yde(e,t,r,i,o,s,l){const u=CR(i),p=Mu(u),h=oY(t,r,p,l),m=oY(r,t,p,l);return _r.ChangeTracker.with({host:i,formatContext:o,preferences:s},b=>{SJe(e,b,h,t,r,i.getCurrentDirectory(),u),TJe(e,b,h,m,i,p)})}function oY(e,t,r,i){const o=r(e);return l=>{const u=i&&i.tryGetSourcePosition({fileName:l,pos:0}),p=s(u?u.fileName:l);return u?p===void 0?void 0:EJe(u.fileName,p,l,r):p};function s(l){if(r(l)===o)return t;const u=OU(l,o,r);return u===void 0?void 0:t+"/"+u}}function EJe(e,t,r,i){const o=QM(e,t,i);return bde(ai(r),o)}function SJe(e,t,r,i,o,s,l){const{configFile:u}=e.getCompilerOptions();if(!u)return;const p=ai(u.fileName),h=AC(u);if(!h)return;Ede(h,(I,R)=>{switch(R){case"files":case"include":case"exclude":{if(m(I)||R!=="include"||!_d(I.initializer))return;const C=Hi(I.initializer.elements,U=>Ma(U)?U.text:void 0);if(C.length===0)return;const O=$3(p,[],C,l,s);qy(N.checkDefined(O.includeFilePattern),l).test(i)&&!qy(N.checkDefined(O.includeFilePattern),l).test(o)&&t.insertNodeAfter(u,Ya(I.initializer.elements),w.createStringLiteral(A(o)));return}case"compilerOptions":Ede(I.initializer,(M,C)=>{const O=pq(C);N.assert((O==null?void 0:O.type)!=="listOrElement"),O&&(O.isFilePath||O.type==="list"&&O.element.isFilePath)?m(M):C==="paths"&&Ede(M.initializer,U=>{if(_d(U.initializer))for(const K of U.initializer.elements)b(K)})});return}});function m(I){const R=_d(I.initializer)?I.initializer.elements:[I.initializer];let M=!1;for(const C of R)M=b(C)||M;return M}function b(I){if(!Ma(I))return!1;const R=bde(p,I.text),M=r(R);return M!==void 0?(t.replaceRangeWithText(u,QDe(I,u),A(M)),!0):!1}function A(I){return Em(p,I,!l)}}function TJe(e,t,r,i,o,s){const l=e.getSourceFiles();for(const u of l){const p=r(u.fileName),h=p??u.fileName,m=ai(h),b=i(u.fileName),A=b||u.fileName,I=ai(A),R=p!==void 0||b!==void 0;xJe(u,t,M=>{if(!Wp(M))return;const C=bde(I,M),O=r(C);return O===void 0?void 0:CE(Em(m,O,s))},M=>{const C=e.getTypeChecker().getSymbolAtLocation(M);if(C!=null&&C.declarations&&C.declarations.some(U=>ku(U)))return;const O=b!==void 0?$De(M,uD(M.text,A,e.getCompilerOptions(),o),r,l):IJe(C,M,u,e,o,r);return O!==void 0&&(O.updated||R&&Wp(M.text))?m1.updateModuleSpecifier(e.getCompilerOptions(),u,h,O.newFileName,sT(e,o),M.text):void 0})}}function AJe(e,t){return ga(Qr(e,t))}function bde(e,t){return CE(AJe(e,t))}function IJe(e,t,r,i,o,s){if(e){const l=An(e.declarations,$i).fileName,u=s(l);return u===void 0?{newFileName:l,updated:!1}:{newFileName:u,updated:!0}}else{const l=i.getModeForUsageLocation(r,t),u=o.resolveModuleNameLiterals||!o.resolveModuleNames?i.getResolvedModuleFromModuleSpecifier(t):o.getResolvedModuleWithFailedLookupLocationsFromCache&&o.getResolvedModuleWithFailedLookupLocationsFromCache(t.text,r.fileName,l);return $De(t,u,s,i.getSourceFiles())}}function $De(e,t,r,i){if(!t)return;if(t.resolvedModule){const p=u(t.resolvedModule.resolvedFileName);if(p)return p}const o=Ue(t.failedLookupLocations,s)||Wp(e.text)&&Ue(t.failedLookupLocations,l);if(o)return o;return t.resolvedModule&&{newFileName:t.resolvedModule.resolvedFileName,updated:!1};function s(p){const h=r(p);return h&&An(i,m=>m.fileName===h)?l(p):void 0}function l(p){return Al(p,"/package.json")?void 0:u(p)}function u(p){const h=r(p);return h&&{newFileName:h,updated:!0}}}function xJe(e,t,r,i){for(const o of e.referencedFiles||et){const s=r(o.fileName);s!==void 0&&s!==e.text.slice(o.pos,o.end)&&t.replaceRangeWithText(e,o,s)}for(const o of e.imports){const s=i(o);s!==void 0&&s!==o.text&&t.replaceRangeWithText(e,QDe(o,e),s)}}function QDe(e,t){return xf(e.getStart(t)+1,e.end-1)}function Ede(e,t){if(Oa(e))for(const r of e.properties)hc(r)&&Ma(r.name)&&t(r,r.name.text)}var RJe=T({"src/services/getEditsForFileRename.ts"(){si()}});function _2(e,t){return{kind:e,isCaseSensitive:t}}function Sde(e){const t=new Map,r=e.trim().split(".").map(i=>PJe(i.trim()));if(r.length===1&&r[0].totalTextChunk.text==="")return{getMatchForLastSegmentOfPattern:()=>_2(2,!0),getFullMatch:()=>_2(2,!0),patternContainsDots:!1};if(!r.some(i=>!i.subWordTextChunks.length))return{getFullMatch:(i,o)=>DJe(i,o,r,t),getMatchForLastSegmentOfPattern:i=>Tde(i,Ya(r),t),patternContainsDots:r.length>1}}function DJe(e,t,r,i){if(!Tde(t,Ya(r),i)||r.length-1>e.length)return;let s;for(let l=r.length-2,u=e.length-1;l>=0;l-=1,u-=1)s=tNe(s,Tde(e[u],r[l],i));return s}function ZDe(e,t){let r=t.get(e);return r||t.set(e,r=Nde(e)),r}function eNe(e,t,r){const i=MJe(e,t.textLowerCase);if(i===0)return _2(t.text.length===e.length?0:1,uo(e,t.text));if(t.isLowerCase){if(i===-1)return;const o=ZDe(e,r);for(const s of o)if(Ade(e,s,t.text,!0))return _2(2,Ade(e,s,t.text,!1));if(t.text.length<e.length&&LD(e.charCodeAt(i)))return _2(2,!1)}else{if(e.indexOf(t.text)>0)return _2(2,!0);if(t.characterSpans.length>0){const o=ZDe(e,r),s=nNe(e,o,t,!1)?!0:nNe(e,o,t,!0)?!1:void 0;if(s!==void 0)return _2(3,s)}}}function Tde(e,t,r){if(aY(t.totalTextChunk.text,s=>s!==32&&s!==42)){const s=eNe(e,t.totalTextChunk,r);if(s)return s}const i=t.subWordTextChunks;let o;for(const s of i)o=tNe(o,eNe(e,s,r));return o}function tNe(e,t){return yG([e,t],NJe)}function NJe(e,t){return e===void 0?1:t===void 0?-1:rl(e.kind,t.kind)||Ry(!e.isCaseSensitive,!t.isCaseSensitive)}function Ade(e,t,r,i,o={start:0,length:r.length}){return o.length<=t.length&&aNe(0,o.length,s=>CJe(r.charCodeAt(o.start+s),e.charCodeAt(t.start+s),i))}function CJe(e,t,r){return r?Ide(e)===Ide(t):e===t}function nNe(e,t,r,i){const o=r.characterSpans;let s=0,l=0;for(;;){if(l===o.length)return!0;if(s===t.length)return!1;let u=t[s],p=!1;for(;l<o.length;l++){const h=o[l];if(p&&(!LD(r.text.charCodeAt(o[l-1].start))||!LD(r.text.charCodeAt(o[l].start)))||!Ade(e,u,r.text,i,h))break;p=!0,u=vu(u.start+h.length,u.length-h.length)}s++}}function PJe(e){return{totalTextChunk:Rde(e),subWordTextChunks:kJe(e)}}function LD(e){if(e>=65&&e<=90)return!0;if(e<127||!f4(e,99))return!1;const t=String.fromCharCode(e);return t===t.toUpperCase()}function rNe(e){if(e>=97&&e<=122)return!0;if(e<127||!f4(e,99))return!1;const t=String.fromCharCode(e);return t===t.toLowerCase()}function MJe(e,t){const r=e.length-t.length;for(let i=0;i<=r;i++)if(aY(t,(o,s)=>Ide(e.charCodeAt(s+i))===o))return i;return-1}function Ide(e){return e>=65&&e<=90?97+(e-65):e<127?e:String.fromCharCode(e).toLowerCase().charCodeAt(0)}function xde(e){return e>=48&&e<=57}function LJe(e){return LD(e)||rNe(e)||xde(e)||e===95||e===36}function kJe(e){const t=[];let r=0,i=0;for(let o=0;o<e.length;o++){const s=e.charCodeAt(o);LJe(s)?(i===0&&(r=o),i++):i>0&&(t.push(Rde(e.substr(r,i))),i=0)}return i>0&&t.push(Rde(e.substr(r,i))),t}function Rde(e){const t=e.toLowerCase();return{text:e,textLowerCase:t,isLowerCase:e===t,characterSpans:Dde(e)}}function Dde(e){return iNe(e,!1)}function Nde(e){return iNe(e,!0)}function iNe(e,t){const r=[];let i=0;for(let o=1;o<e.length;o++){const s=xde(e.charCodeAt(o-1)),l=xde(e.charCodeAt(o)),u=OJe(e,t,o),p=t&&wJe(e,o,i);(Cde(e.charCodeAt(o-1))||Cde(e.charCodeAt(o))||s!==l||u||p)&&(oNe(e,i,o)||r.push(vu(i,o-i)),i=o)}return oNe(e,i,e.length)||r.push(vu(i,e.length-i)),r}function Cde(e){switch(e){case 33:case 34:case 35:case 37:case 38:case 39:case 40:case 41:case 42:case 44:case 45:case 46:case 47:case 58:case 59:case 63:case 64:case 91:case 92:case 93:case 95:case 123:case 125:return!0}return!1}function oNe(e,t,r){return aY(e,i=>Cde(i)&&i!==95,t,r)}function wJe(e,t,r){return t!==r&&t+1<e.length&&LD(e.charCodeAt(t))&&rNe(e.charCodeAt(t+1))&&aY(e,LD,r,t)}function OJe(e,t,r){const i=LD(e.charCodeAt(r-1));return LD(e.charCodeAt(r))&&(!t||!i)}function aNe(e,t,r){for(let i=e;i<t;i++)if(!r(i))return!1;return!0}function aY(e,t,r=0,i=e.length){return aNe(r,i,o=>t(e.charCodeAt(o),o))}var V5,WJe=T({"src/services/patternMatcher.ts"(){si(),V5=(e=>(e[e.exact=0]="exact",e[e.prefix=1]="prefix",e[e.substring=2]="substring",e[e.camelCase=3]="camelCase",e))(V5||{})}});function sNe(e,t=!0,r=!1){const i={languageVersion:1,pragmas:void 0,checkJsDirective:void 0,referencedFiles:[],typeReferenceDirectives:[],libReferenceDirectives:[],amdDependencies:[],hasNoDefaultLib:void 0,moduleName:void 0},o=[];let s,l,u,p=0,h=!1;function m(){return l=u,u=Qu.scan(),u===19?p++:u===20&&p--,u}function b(){const V=Qu.getTokenValue(),se=Qu.getTokenStart();return{fileName:V,pos:se,end:se+V.length}}function A(){s||(s=[]),s.push({ref:b(),depth:p})}function I(){o.push(b()),R()}function R(){p===0&&(h=!0)}function M(){let V=Qu.getToken();return V===138?(V=m(),V===144&&(V=m(),V===11&&A()),!0):!1}function C(){if(l===25)return!1;let V=Qu.getToken();if(V===102){if(V=m(),V===21){if(V=m(),V===11||V===15)return I(),!0}else{if(V===11)return I(),!0;if(V===156&&Qu.lookAhead(()=>{const G=Qu.scan();return G!==161&&(G===42||G===19||G===80||Bd(G))})&&(V=m()),V===80||Bd(V))if(V=m(),V===161){if(V=m(),V===11)return I(),!0}else if(V===64){if(U(!0))return!0}else if(V===28)V=m();else return!0;if(V===19){for(V=m();V!==20&&V!==1;)V=m();V===20&&(V=m(),V===161&&(V=m(),V===11&&I()))}else V===42&&(V=m(),V===130&&(V=m(),(V===80||Bd(V))&&(V=m(),V===161&&(V=m(),V===11&&I()))))}return!0}return!1}function O(){let V=Qu.getToken();if(V===95){if(R(),V=m(),V===156&&Qu.lookAhead(()=>{const G=Qu.scan();return G===42||G===19})&&(V=m()),V===19){for(V=m();V!==20&&V!==1;)V=m();V===20&&(V=m(),V===161&&(V=m(),V===11&&I()))}else if(V===42)V=m(),V===161&&(V=m(),V===11&&I());else if(V===102&&(V=m(),V===156&&Qu.lookAhead(()=>{const G=Qu.scan();return G===80||Bd(G)})&&(V=m()),(V===80||Bd(V))&&(V=m(),V===64&&U(!0))))return!0;return!0}return!1}function U(V,se=!1){let G=V?m():Qu.getToken();return G===149?(G=m(),G===21&&(G=m(),(G===11||se&&G===15)&&I()),!0):!1}function K(){let V=Qu.getToken();if(V===80&&Qu.getTokenValue()==="define"){if(V=m(),V!==21)return!0;if(V=m(),V===11||V===15)if(V=m(),V===28)V=m();else return!0;if(V!==23)return!0;for(V=m();V!==24&&V!==1;)(V===11||V===15)&&I(),V=m();return!0}return!1}function Z(){for(Qu.setText(e),m();Qu.getToken()!==1;){if(Qu.getToken()===16){const V=[Qu.getToken()];e:for(;Me(V);){const se=Qu.scan();switch(se){case 1:break e;case 102:C();break;case 16:V.push(se);break;case 19:Me(V)&&V.push(se);break;case 20:Me(V)&&(tl(V)===16?Qu.reScanTemplateToken(!1)===18&&V.pop():V.pop());break}}m()}M()||C()||O()||r&&(U(!1,!0)||K())||m()}Qu.setText(void 0)}if(t&&Z(),aq(i,e),sq(i,$a),h){if(s)for(const V of s)o.push(V.ref);return{referencedFiles:i.referencedFiles,typeReferenceDirectives:i.typeReferenceDirectives,libReferenceDirectives:i.libReferenceDirectives,importedFiles:o,isLibFile:!!i.hasNoDefaultLib,ambientExternalModules:void 0}}else{let V;if(s)for(const se of s)se.depth===0?(V||(V=[]),V.push(se.ref.fileName)):o.push(se.ref);return{referencedFiles:i.referencedFiles,typeReferenceDirectives:i.typeReferenceDirectives,libReferenceDirectives:i.libReferenceDirectives,importedFiles:o,isLibFile:!!i.hasNoDefaultLib,ambientExternalModules:V}}}var FJe=T({"src/services/preProcess.ts"(){si()}});function Pde(e){const t=Mu(e.useCaseSensitiveFileNames()),r=e.getCurrentDirectory(),i=new Map,o=new Map;return{tryGetSourcePosition:u,tryGetGeneratedPosition:p,toLineColumnOffset:A,clearCache:I};function s(R){return ol(R,r,t)}function l(R,M){const C=s(R),O=o.get(C);if(O)return O;let U;if(e.getDocumentPositionMapper)U=e.getDocumentPositionMapper(R,M);else if(e.readFile){const K=b(R);U=K&&sY({getSourceFileLike:b,getCanonicalFileName:t,log:Z=>e.log(Z)},R,eJ(K.text,kg(K)),Z=>!e.fileExists||e.fileExists(Z)?e.readFile(Z):void 0)}return o.set(C,U||n7),U||n7}function u(R){if(!Su(R.fileName)||!h(R.fileName))return;const C=l(R.fileName).getSourcePosition(R);return!C||C===R?void 0:u(C)||C}function p(R){if(Su(R.fileName))return;const M=h(R.fileName);if(!M)return;const C=e.getProgram();if(C.isSourceOfProjectReferenceRedirect(M.fileName))return;const O=C.getCompilerOptions(),U=Ps(O),K=U?Id(U)+".d.ts":A3(R.fileName,C.getCompilerOptions(),r,C.getCommonSourceDirectory(),t);if(K===void 0)return;const Z=l(K,R.fileName).getGeneratedPosition(R);return Z===R?void 0:Z}function h(R){const M=e.getProgram();if(!M)return;const C=s(R),O=M.getSourceFileByPath(C);return O&&O.resolvedPath===C?O:void 0}function m(R){const M=s(R),C=i.get(M);if(C!==void 0)return C||void 0;if(!e.readFile||e.fileExists&&!e.fileExists(R)){i.set(M,!1);return}const O=e.readFile(R),U=O?zJe(O):!1;return i.set(M,U),U||void 0}function b(R){return e.getSourceFileLike?e.getSourceFileLike(R):h(R)||m(R)}function A(R,M){return b(R).getLineAndCharacterOfPosition(M)}function I(){i.clear(),o.clear()}}function sY(e,t,r,i){let o=Tle(r);if(o){const u=cNe.exec(o);if(u){if(u[1]){const p=u[1];return lNe(e,Toe(gu,p),t)}o=void 0}}const s=[];o&&s.push(o),s.push(t+".map");const l=o&&go(o,ai(t));for(const u of s){const p=go(u,ai(t)),h=i(p,l);if(Po(h))return lNe(e,h,p);if(h!==void 0)return h||void 0}}function lNe(e,t,r){const i=tJ(t);if(!(!i||!i.sources||!i.file||!i.mappings)&&!(i.sourcesContent&&i.sourcesContent.some(Po)))return xle(e,i,r)}function zJe(e,t){return{text:e,lineMap:t,getLineAndCharacterOfPosition(r){return Kx(kg(this),r)}}}var cNe,BJe=T({"src/services/sourcemaps.ts"(){si(),cNe=/^data:(?:application\/json(?:;charset=[uU][tT][fF]-8);base64,([A-Za-z0-9+/=]+)$)?/}});function lY(e,t,r){var i;t.getSemanticDiagnostics(e,r);const o=[],s=t.getTypeChecker();!(e.impliedNodeFormat===1||Tc(e.fileName,[".cts",".cjs"]))&&e.commonJsModuleIndicator&&(jue(t)||gX(t.getCompilerOptions()))&&GJe(e)&&o.push(wr(HJe(e.commonJsModuleIndicator),f.File_is_a_CommonJS_module_it_may_be_converted_to_an_ES_module));const u=dd(e);if(pY.clear(),p(e),FS(t.getCompilerOptions()))for(const h of e.imports){const m=DC(h),b=VJe(m);if(!b)continue;const A=(i=t.getResolvedModuleFromModuleSpecifier(h))==null?void 0:i.resolvedModule,I=A&&t.getSourceFile(A.resolvedFileName);I&&I.externalModuleIndicator&&I.externalModuleIndicator!==!0&&kl(I.externalModuleIndicator)&&I.externalModuleIndicator.isExportEquals&&o.push(wr(b,f.Import_may_be_converted_to_a_default_import))}return Jr(o,e.bindSuggestionDiagnostics),Jr(o,t.getSuggestionDiagnostics(e,r)),o.sort((h,m)=>h.start-m.start);function p(h){if(u)JJe(h,s)&&o.push(wr(wi(h.parent)?h.parent.name:h,f.This_constructor_function_may_be_converted_to_a_class_declaration));else{if(Ll(h)&&h.parent===e&&h.declarationList.flags&2&&h.declarationList.declarations.length===1){const b=h.declarationList.declarations[0].initializer;b&&Ad(b,!0)&&o.push(wr(b,f.require_call_may_be_converted_to_an_import))}const m=Fu.getJSDocTypedefNodes(h);for(const b of m)o.push(wr(b,f.JSDoc_typedef_may_be_converted_to_TypeScript_type));Fu.parameterShouldGetTypeFromJSDoc(h)&&o.push(wr(h.name||h,f.JSDoc_types_may_be_moved_to_TypeScript_types))}dY(h)&&jJe(h,s,o),h.forEachChild(p)}}function GJe(e){return e.statements.some(t=>{switch(t.kind){case 243:return t.declarationList.declarations.some(r=>!!r.initializer&&Ad(uNe(r.initializer),!0));case 244:{const{expression:r}=t;if(!mr(r))return Ad(r,!0);const i=Bl(r);return i===1||i===2}default:return!1}})}function uNe(e){return Fr(e)?uNe(e.expression):e}function VJe(e){switch(e.kind){case 272:const{importClause:t,moduleSpecifier:r}=e;return t&&!t.name&&t.namedBindings&&t.namedBindings.kind===274&&Ma(r)?t.namedBindings.name:void 0;case 271:return e.name;default:return}}function jJe(e,t,r){UJe(e,t)&&!pY.has(mNe(e))&&r.push(wr(!e.name&&wi(e.parent)&&Ve(e.parent.name)?e.parent.name:e,f.This_may_be_converted_to_an_async_function))}function UJe(e,t){return!MC(e)&&e.body&&Xo(e.body)&&qJe(e.body,t)&&cY(e,t)}function cY(e,t){const r=t.getSignatureFromDeclaration(e),i=r?t.getReturnTypeOfSignature(r):void 0;return!!i&&!!t.getPromisedTypeOfPromise(i)}function HJe(e){return mr(e)?e.left:e}function qJe(e,t){return!!zE(e,r=>j5(r,t))}function j5(e,t){return Dm(e)&&!!e.expression&&uY(e.expression,t)}function uY(e,t){if(!dNe(e)||!pNe(e)||!e.arguments.every(i=>fNe(i,t)))return!1;let r=e.expression.expression;for(;dNe(r)||Fr(r);)if(la(r)){if(!pNe(r)||!r.arguments.every(i=>fNe(i,t)))return!1;r=r.expression.expression}else r=r.expression;return!0}function dNe(e){return la(e)&&(oO(e,"then")||oO(e,"catch")||oO(e,"finally"))}function pNe(e){const t=e.expression.name.text,r=t==="then"?2:t==="catch"||t==="finally"?1:0;return e.arguments.length>r?!1:e.arguments.length<r?!0:r===1||bt(e.arguments,i=>i.kind===106||Ve(i)&&i.text==="undefined")}function fNe(e,t){switch(e.kind){case 262:case 218:if(Vc(e)&1)return!1;case 219:pY.set(mNe(e),!0);case 106:return!0;case 80:case 211:{const i=t.getSymbolAtLocation(e);return i?t.isUndefinedSymbol(i)||bt(bu(i,t).declarations,o=>ea(o)||zy(o)&&!!o.initializer&&ea(o.initializer)):!1}default:return!1}}function mNe(e){return`${e.pos.toString()}:${e.end.toString()}`}function JJe(e,t){var r,i,o,s;if(Os(e)){if(wi(e.parent)&&((r=e.symbol.members)!=null&&r.size))return!0;const l=t.getSymbolOfExpando(e,!1);return!!(l&&((i=l.exports)!=null&&i.size||(o=l.members)!=null&&o.size))}return Ac(e)?!!((s=e.symbol.members)!=null&&s.size):!1}function dY(e){switch(e.kind){case 262:case 174:case 218:case 219:return!0;default:return!1}}var pY,KJe=T({"src/services/suggestionDiagnostics.ts"(){si(),pY=new Map}});function Mde(e,t){const r=[],i=t.compilerOptions?fY(t.compilerOptions,r):{},o=dz();for(const A in o)xs(o,A)&&i[A]===void 0&&(i[A]=o[A]);for(const A of xq)i.verbatimModuleSyntax&&hNe.has(A.name)||(i[A.name]=A.transpileOptionValue);i.suppressOutputPathCheck=!0,i.allowNonTsExtensions=!0;const s=Uv(i),l={getSourceFile:A=>A===ga(u)?p:void 0,writeFile:(A,I)=>{Il(A,".map")?(N.assertEqual(m,void 0,"Unexpected multiple source map outputs, file:",A),m=I):(N.assertEqual(h,void 0,"Unexpected multiple outputs, file:",A),h=I)},getDefaultLibFileName:()=>"lib.d.ts",useCaseSensitiveFileNames:()=>!1,getCanonicalFileName:A=>A,getCurrentDirectory:()=>"",getNewLine:()=>s,fileExists:A=>A===u,readFile:()=>"",directoryExists:()=>!0,getDirectories:()=>[]},u=t.fileName||(t.compilerOptions&&t.compilerOptions.jsx?"module.tsx":"module.ts"),p=dw(u,e,{languageVersion:os(i),impliedNodeFormat:Jw(ol(u,"",l.getCanonicalFileName),void 0,l,i),setExternalModuleIndicator:bk(i),jsDocParsingMode:t.jsDocParsingMode??0});t.moduleName&&(p.moduleName=t.moduleName),t.renamedDependencies&&(p.renamedDependencies=new Map(Object.entries(t.renamedDependencies)));let h,m;const b=A7([u],i,l);return t.reportDiagnostics&&(Jr(r,b.getSyntacticDiagnostics(p)),Jr(r,b.getOptionsDiagnostics())),b.emit(void 0,void 0,void 0,void 0,t.transformers),h===void 0?N.fail("Output generation failed"):{outputText:h,diagnostics:r,sourceMapText:m}}function _Ne(e,t,r,i,o){const s=Mde(e,{compilerOptions:t,fileName:r,reportDiagnostics:!!i,moduleName:o});return Jr(i,s.diagnostics),s.outputText}function fY(e,t){Lde=Lde||nr(pg,r=>typeof r.type=="object"&&!Gc(r.type,i=>typeof i!="number")),e=dX(e);for(const r of Lde){if(!xs(e,r.name))continue;const i=e[r.name];Po(i)?e[r.name]=TF(r,i,t):Gc(r.type,o=>o===i)||t.push(Ase(r))}return e}var hNe,Lde,XJe=T({"src/services/transpile.ts"(){si(),hNe=new Set(["isolatedModules","preserveValueImports","importsNotUsedAsValues"])}});function gNe(e,t,r,i,o,s,l){const u=Sde(i);if(!u)return et;const p=[],h=e.length===1?e[0]:void 0;for(const m of e)r.throwIfCancellationRequested(),!(s&&m.isDeclarationFile)&&(vNe(m,!!l,h)||m.getNamedDeclarations().forEach((b,A)=>{YJe(u,A,b,t,m.fileName,!!l,h,p)}));return p.sort(eKe),(o===void 0?p:p.slice(0,o)).map(tKe)}function vNe(e,t,r){return e!==r&&t&&(IO(e.path)||e.hasNoDefaultLib)}function YJe(e,t,r,i,o,s,l,u){const p=e.getMatchForLastSegmentOfPattern(t);if(p){for(const h of r)if($Je(h,i,s,l))if(e.patternContainsDots){const m=e.getFullMatch(ZJe(h),t);m&&u.push({name:t,fileName:o,matchKind:m.kind,isCaseSensitive:m.isCaseSensitive,declaration:h})}else u.push({name:t,fileName:o,matchKind:p.kind,isCaseSensitive:p.isCaseSensitive,declaration:h})}}function $Je(e,t,r,i){var o;switch(e.kind){case 273:case 276:case 271:const s=t.getSymbolAtLocation(e.name),l=t.getAliasedSymbol(s);return s.escapedName!==l.escapedName&&!((o=l.declarations)!=null&&o.every(u=>vNe(u.getSourceFile(),r,i)));default:return!0}}function QJe(e,t){const r=Mo(e);return!!r&&(yNe(r,t)||r.kind===167&&kde(r.expression,t))}function kde(e,t){return yNe(e,t)||Fr(e)&&(t.push(e.name.text),!0)&&kde(e.expression,t)}function yNe(e,t){return N_(e)&&(t.push(em(e)),!0)}function ZJe(e){const t=[],r=Mo(e);if(r&&r.kind===167&&!kde(r.expression,t))return et;t.shift();let i=aT(e);for(;i;){if(!QJe(i,t))return et;i=aT(i)}return t.reverse()}function eKe(e,t){return rl(e.matchKind,t.matchKind)||BM(e.name,t.name)}function tKe(e){const t=e.declaration,r=aT(t),i=r&&Mo(r);return{name:e.name,kind:y1(t),kindModifiers:o2(t),matchKind:V5[e.matchKind],isCaseSensitive:e.isCaseSensitive,fileName:e.fileName,textSpan:Nd(t),containerName:i?i.text:"",containerKind:i?y1(r):""}}var nKe=T({"src/services/navigateTo.ts"(){si()}}),wde={};X(wde,{getNavigateToItems:()=>gNe});var bNe=T({"src/services/_namespaces/ts.NavigateTo.ts"(){nKe()}});function ENe(e,t){gY=t,NO=e;try{return wt(sKe(INe(e)),lKe)}finally{TNe()}}function SNe(e,t){gY=t,NO=e;try{return kNe(INe(e))}finally{TNe()}}function TNe(){NO=void 0,gY=void 0,CO=[],ny=void 0,vY=[]}function U5(e){return h2(e.getText(NO))}function mY(e){return e.node.kind}function ANe(e,t){e.children?e.children.push(t):e.children=[t]}function INe(e){N.assert(!CO.length);const t={node:e,name:void 0,additionalNodes:void 0,parent:void 0,children:void 0,indent:0};ny=t;for(const r of e.statements)kI(r);return W0(),N.assert(!ny&&!CO.length),t}function S1(e,t){ANe(ny,Ode(e,t))}function Ode(e,t){return{node:e,name:t||(Ju(e)||yt(e)?Mo(e):void 0),additionalNodes:void 0,parent:ny,children:void 0,indent:ny.indent+1}}function xNe(e){kD||(kD=new Map),kD.set(e,!0)}function RNe(e){for(let t=0;t<e;t++)W0()}function DNe(e,t){const r=[];for(;!N_(t);){const i=JL(t),o=zg(t);t=t.expression,!(o==="prototype"||Ji(i))&&r.push(i)}r.push(t);for(let i=r.length-1;i>0;i--){const o=r[i];T1(e,o)}return[r.length-1,r[0]]}function T1(e,t){const r=Ode(e,t);ANe(ny,r),CO.push(ny),Ude.push(kD),kD=void 0,ny=r}function W0(){ny.children&&(_Y(ny.children,ny),zde(ny.children)),ny=CO.pop(),kD=Ude.pop()}function F0(e,t,r){T1(e,r),kI(t),W0()}function NNe(e){e.initializer&&uKe(e.initializer)?(T1(e),Ho(e.initializer,kI),W0()):F0(e,e.initializer)}function Wde(e){const t=Mo(e);if(t===void 0)return!1;if(Za(t)){const r=t.expression;return Gl(r)||vp(r)||nf(r)}return!!t}function kI(e){if(gY.throwIfCancellationRequested(),!(!e||NA(e)))switch(e.kind){case 176:const t=e;F0(t,t.body);for(const l of t.parameters)mp(l,t)&&S1(l);break;case 174:case 177:case 178:case 173:Wde(e)&&F0(e,e.body);break;case 172:Wde(e)&&NNe(e);break;case 171:Wde(e)&&S1(e);break;case 273:const r=e;r.name&&S1(r.name);const{namedBindings:i}=r;if(i)if(i.kind===274)S1(i);else for(const l of i.elements)S1(l);break;case 304:F0(e,e.name);break;case 305:const{expression:o}=e;Ve(o)?S1(e,o):S1(e);break;case 208:case 303:case 260:{const l=e;ta(l.name)?kI(l.name):NNe(l);break}case 262:const s=e.name;s&&Ve(s)&&xNe(s.text),F0(e,e.body);break;case 219:case 218:F0(e,e.body);break;case 266:T1(e);for(const l of e.members)cKe(l)||S1(l);W0();break;case 263:case 231:case 264:T1(e);for(const l of e.members)kI(l);W0();break;case 267:F0(e,ONe(e).body);break;case 277:{const l=e.expression,u=Oa(l)||la(l)?l:Gs(l)||Os(l)?l.body:void 0;u?(T1(e),kI(u),W0()):S1(e);break}case 281:case 271:case 181:case 179:case 180:case 265:S1(e);break;case 213:case 226:{const l=Bl(e);switch(l){case 1:case 2:F0(e,e.right);return;case 6:case 3:{const u=e,p=u.left,h=l===3?p.expression:p;let m=0,b;Ve(h.expression)?(xNe(h.expression.text),b=h.expression):[m,b]=DNe(u,h.expression),l===6?Oa(u.right)&&u.right.properties.length>0&&(T1(u,b),Ho(u.right,kI),W0()):Os(u.right)||Gs(u.right)?F0(e,u.right,b):(T1(u,b),F0(e,u.right,p.name),W0()),RNe(m);return}case 7:case 9:{const u=e,p=l===7?u.arguments[0]:u.arguments[0].expression,h=u.arguments[1],[m,b]=DNe(e,p);T1(e,b),T1(e,dt(w.createIdentifier(h.text),h)),kI(e.arguments[2]),W0(),W0(),RNe(m);return}case 5:{const u=e,p=u.left,h=p.expression;if(Ve(h)&&zg(p)!=="prototype"&&kD&&kD.has(h.text)){Os(u.right)||Gs(u.right)?F0(e,u.right,h):VE(p)&&(T1(u,h),F0(u.left,u.right,JL(p)),W0());return}break}case 4:case 0:case 8:break;default:N.assertNever(l)}}default:Fp(e)&&Ue(e.jsDoc,l=>{Ue(l.tags,u=>{Zf(u)&&S1(u)})}),Ho(e,kI)}}function _Y(e,t){const r=new Map;Xs(e,(i,o)=>{const s=i.name||Mo(i.node),l=s&&U5(s);if(!l)return!0;const u=r.get(l);if(!u)return r.set(l,i),!0;if(u instanceof Array){for(const p of u)if(CNe(p,i,o,t))return!1;return u.push(i),!0}else{const p=u;return CNe(p,i,o,t)?!1:(r.set(l,[p,i]),!0)}})}function rKe(e,t,r,i){function o(u){return Os(u)||Ac(u)||wi(u)}const s=mr(t.node)||la(t.node)?Bl(t.node):0,l=mr(e.node)||la(e.node)?Bl(e.node):0;if(g2[s]&&g2[l]||o(e.node)&&g2[s]||o(t.node)&&g2[l]||Ic(e.node)&&Fde(e.node)&&g2[s]||Ic(t.node)&&g2[l]||Ic(e.node)&&Fde(e.node)&&o(t.node)||Ic(t.node)&&o(e.node)&&Fde(e.node)){let u=e.additionalNodes&&tl(e.additionalNodes)||e.node;if(!Ic(e.node)&&!Ic(t.node)||o(e.node)||o(t.node)){const h=o(e.node)?e.node:o(t.node)?t.node:void 0;if(h!==void 0){const m=dt(w.createConstructorDeclaration(void 0,[],void 0),h),b=Ode(m);b.indent=e.indent+1,b.children=e.node===h?e.children:t.children,e.children=e.node===h?So([b],t.children||[t]):So(e.children||[{...e}],[b])}else(e.children||t.children)&&(e.children=So(e.children||[{...e}],t.children||[t]),e.children&&(_Y(e.children,e),zde(e.children)));u=e.node=dt(w.createClassDeclaration(void 0,e.name||w.createIdentifier("__class__"),void 0,void 0,[]),e.node)}else e.children=So(e.children,t.children),e.children&&_Y(e.children,e);const p=t.node;return i.children[r-1].node.end===u.end?dt(u,{pos:u.pos,end:p.end}):(e.additionalNodes||(e.additionalNodes=[]),e.additionalNodes.push(dt(w.createClassDeclaration(void 0,e.name||w.createIdentifier("__class__"),void 0,void 0,[]),t.node))),!0}return s!==0}function CNe(e,t,r,i){return rKe(e,t,r,i)?!0:iKe(e.node,t.node,i)?(oKe(e,t),!0):!1}function iKe(e,t,r){if(e.kind!==t.kind||e.parent!==t.parent&&!(PNe(e,r)&&PNe(t,r)))return!1;switch(e.kind){case 172:case 174:case 177:case 178:return sa(e)===sa(t);case 267:return MNe(e,t)&&Vde(e)===Vde(t);default:return!0}}function Fde(e){return!!(e.flags&16)}function PNe(e,t){const r=O_(e.parent)?e.parent.parent:e.parent;return r===t.node||Nr(t.additionalNodes,r)}function MNe(e,t){return!e.body||!t.body?e.body===t.body:e.body.kind===t.body.kind&&(e.body.kind!==267||MNe(e.body,t.body))}function oKe(e,t){e.additionalNodes=e.additionalNodes||[],e.additionalNodes.push(t.node),t.additionalNodes&&e.additionalNodes.push(...t.additionalNodes),e.children=So(e.children,t.children),e.children&&(_Y(e.children,e),zde(e.children))}function zde(e){e.sort(aKe)}function aKe(e,t){return BM(LNe(e.node),LNe(t.node))||rl(mY(e),mY(t))}function LNe(e){if(e.kind===267)return wNe(e);const t=Mo(e);if(t&&oc(t)){const r=PS(t);return r&&Vi(r)}switch(e.kind){case 218:case 219:case 231:return FNe(e);default:return}}function Bde(e,t){if(e.kind===267)return h2(wNe(e));if(t){const r=Ve(t)?t.text:Qs(t)?`[${U5(t.argumentExpression)}]`:U5(t);if(r.length>0)return h2(r)}switch(e.kind){case 312:const r=e;return sc(r)?`"${og(ic(Id(ga(r.fileName))))}"`:"<global>";case 277:return kl(e)&&e.isExportEquals?"export=":"default";case 219:case 262:case 218:case 263:case 231:return Uy(e)&2048?"default":FNe(e);case 176:return"constructor";case 180:return"new()";case 179:return"()";case 181:return"[]";default:return"<unknown>"}}function sKe(e){const t=[];function r(o){if(i(o)&&(t.push(o),o.children))for(const s of o.children)r(s)}return r(e),t;function i(o){if(o.children)return!0;switch(mY(o)){case 263:case 231:case 266:case 264:case 267:case 312:case 265:case 353:case 345:return!0;case 219:case 262:case 218:return s(o);default:return!1}function s(l){if(!l.node.body)return!1;switch(mY(l.parent)){case 268:case 312:case 174:case 176:return!0;default:return!1}}}}function kNe(e){return{text:Bde(e.node,e.name),kind:y1(e.node),kindModifiers:WNe(e.node),spans:Gde(e),nameSpan:e.name&&jde(e.name),childItems:wt(e.children,kNe)}}function lKe(e){return{text:Bde(e.node,e.name),kind:y1(e.node),kindModifiers:WNe(e.node),spans:Gde(e),childItems:wt(e.children,t)||vY,indent:e.indent,bolded:!1,grayed:!1};function t(r){return{text:Bde(r.node,r.name),kind:y1(r.node),kindModifiers:o2(r.node),spans:Gde(r),childItems:vY,indent:0,bolded:!1,grayed:!1}}}function Gde(e){const t=[jde(e.node)];if(e.additionalNodes)for(const r of e.additionalNodes)t.push(jde(r));return t}function wNe(e){return ku(e)?fc(e.name):Vde(e)}function Vde(e){const t=[em(e.name)];for(;e.body&&e.body.kind===267;)e=e.body,t.push(em(e.name));return t.join(".")}function ONe(e){return e.body&&Xl(e.body)?ONe(e.body):e}function cKe(e){return!e.name||e.name.kind===167}function jde(e){return e.kind===312?sb(e):Nd(e,NO)}function WNe(e){return e.parent&&e.parent.kind===260&&(e=e.parent),o2(e)}function FNe(e){const{parent:t}=e;if(e.name&&xL(e.name)>0)return h2(Rs(e.name));if(wi(t))return h2(Rs(t.name));if(mr(t)&&t.operatorToken.kind===64)return U5(t.left).replace(BNe,"");if(hc(t))return U5(t.name);if(Uy(e)&2048)return"default";if(ui(e))return"<class>";if(la(t)){let r=zNe(t.expression);if(r!==void 0){if(r=h2(r),r.length>hY)return`${r} callback`;const i=h2(Hi(t.arguments,o=>ls(o)||LA(o)?o.getText(NO):void 0).join(", "));return`${r}(${i}) callback`}}return"<function>"}function zNe(e){if(Ve(e))return e.text;if(Fr(e)){const t=zNe(e.expression),r=e.name.text;return t===void 0?r:`${t}.${r}`}else return}function uKe(e){switch(e.kind){case 219:case 218:case 231:return!0;default:return!1}}function h2(e){return e=e.length>hY?e.substring(0,hY)+"...":e,e.replace(/\\?(\r?\n|\r|\u2028|\u2029)/g,"")}var BNe,hY,gY,NO,CO,ny,Ude,kD,vY,g2,dKe=T({"src/services/navigationBar.ts"(){si(),BNe=/\s+/g,hY=150,CO=[],Ude=[],vY=[],g2={5:!0,3:!0,7:!0,9:!0,0:!1,1:!1,2:!1,8:!1,6:!0,4:!1}}}),Hde={};X(Hde,{getNavigationBarItems:()=>ENe,getNavigationTree:()=>SNe});var GNe=T({"src/services/_namespaces/ts.NavigationBar.ts"(){dKe()}});function fg(e,t){yY.set(e,t)}function pKe(e,t){return zo(Qp(yY.values(),r=>{var i;return e.cancellationToken&&e.cancellationToken.isCancellationRequested()||!((i=r.kinds)!=null&&i.some(o=>z0(o,e.kind)))?void 0:r.getAvailableActions(e,t)}))}function fKe(e,t,r,i){const o=yY.get(t);return o&&o.getEditsForAction(e,r,i)}var yY,VNe=T({"src/services/refactorProvider.ts"(){si(),Dh(),yY=new Map}});function jNe(e,t=!0){const{file:r,program:i}=e,o=LI(e),s=po(r,o.start),l=s.parent&&Uy(s.parent)&32&&t?s.parent:vO(s,r,o);if(!l||!$i(l.parent)&&!(O_(l.parent)&&ku(l.parent.parent)))return{error:Wo(f.Could_not_find_export_statement)};const u=i.getTypeChecker(),p=yKe(l.parent,u),h=Uy(l)||(kl(l)&&!l.isExportEquals?2080:0),m=!!(h&2048);if(!(h&32)||!m&&p.exports.has("default"))return{error:Wo(f.This_file_already_has_a_default_export)};const b=A=>Ve(A)&&u.getSymbolAtLocation(A)?void 0:{error:Wo(f.Can_only_convert_named_export)};switch(l.kind){case 262:case 263:case 264:case 266:case 265:case 267:{const A=l;return A.name?b(A.name)||{exportNode:A,exportName:A.name,wasDefault:m,exportingModuleSymbol:p}:void 0}case 243:{const A=l;if(!(A.declarationList.flags&2)||A.declarationList.declarations.length!==1)return;const I=Ha(A.declarationList.declarations);return I.initializer?(N.assert(!m,"Can't have a default flag here"),b(I.name)||{exportNode:A,exportName:I.name,wasDefault:m,exportingModuleSymbol:p}):void 0}case 277:{const A=l;return A.isExportEquals?void 0:b(A.expression)||{exportNode:A,exportName:A.expression,wasDefault:m,exportingModuleSymbol:p}}default:return}}function mKe(e,t,r,i,o){_Ke(e,r,i,t.getTypeChecker()),hKe(t,r,i,o)}function _Ke(e,{wasDefault:t,exportNode:r,exportName:i},o,s){if(t)if(kl(r)&&!r.isExportEquals){const l=r.expression,u=UNe(l.text,l.text);o.replaceNode(e,r,w.createExportDeclaration(void 0,!1,w.createNamedExports([u])))}else o.delete(e,N.checkDefined(DD(r,90),"Should find a default keyword in modifier list"));else{const l=N.checkDefined(DD(r,95),"Should find an export keyword in modifier list");switch(r.kind){case 262:case 263:case 264:o.insertNodeAfter(e,l,w.createToken(90));break;case 243:const u=Ha(r.declarationList.declarations);if(!Ws.Core.isSymbolReferencedInFile(i,s,e)&&!u.type){o.replaceNode(e,r,w.createExportDefault(N.checkDefined(u.initializer,"Initializer was previously known to be present")));break}case 266:case 265:case 267:o.deleteModifier(e,l),o.insertNodeAfter(e,r,w.createExportDefault(w.createIdentifier(i.text)));break;default:N.fail(`Unexpected exportNode kind ${r.kind}`)}}}function hKe(e,{wasDefault:t,exportName:r,exportingModuleSymbol:i},o,s){const l=e.getTypeChecker(),u=N.checkDefined(l.getSymbolAtLocation(r),"Export name should resolve to a symbol");Ws.Core.eachExportReference(e.getSourceFiles(),l,s,u,i,r.text,t,p=>{if(r===p)return;const h=p.getSourceFile();t?gKe(h,p,o,r.text):vKe(h,p,o)})}function gKe(e,t,r,i){const{parent:o}=t;switch(o.kind){case 211:r.replaceNode(e,t,w.createIdentifier(i));break;case 276:case 281:{const l=o;r.replaceNode(e,l,qde(i,l.name.text));break}case 273:{const l=o;N.assert(l.name===t,"Import clause name should match provided ref");const u=qde(i,t.text),{namedBindings:p}=l;if(!p)r.replaceNode(e,t,w.createNamedImports([u]));else if(p.kind===274){r.deleteRange(e,{pos:t.getStart(e),end:p.getStart(e)});const h=Ma(l.parent.moduleSpecifier)?yX(l.parent.moduleSpecifier,e):1,m=ey(void 0,[qde(i,t.text)],l.parent.moduleSpecifier,h);r.insertNodeAfter(e,l.parent,m)}else r.delete(e,t),r.insertNodeAtEndOfList(e,p.elements,u);break}case 205:const s=o;r.replaceNode(e,o,w.createImportTypeNode(s.argument,s.attributes,w.createIdentifier(i),s.typeArguments,s.isTypeOf));break;default:N.failBadSyntaxKind(o)}}function vKe(e,t,r){const i=t.parent;switch(i.kind){case 211:r.replaceNode(e,t,w.createIdentifier("default"));break;case 276:{const o=w.createIdentifier(i.name.text);i.parent.elements.length===1?r.replaceNode(e,i.parent,o):(r.delete(e,i),r.insertNodeBefore(e,i.parent,o));break}case 281:{r.replaceNode(e,i,UNe("default",i.name.text));break}default:N.assertNever(i,`Unexpected parent kind ${i.kind}`)}}function qde(e,t){return w.createImportSpecifier(!1,e===t?void 0:w.createIdentifier(e),w.createIdentifier(t))}function UNe(e,t){return w.createExportSpecifier(!1,e===t?void 0:w.createIdentifier(e),w.createIdentifier(t))}function yKe(e,t){if($i(e))return e.symbol;const r=e.parent.symbol;return r.valueDeclaration&&WE(r.valueDeclaration)?t.getMergedSymbol(r):r}var bY,H5,q5,bKe=T({"src/services/refactors/convertExport.ts"(){si(),Dh(),bY="Convert export",H5={name:"Convert default export to named export",description:Wo(f.Convert_default_export_to_named_export),kind:"refactor.rewrite.export.named"},q5={name:"Convert named export to default export",description:Wo(f.Convert_named_export_to_default_export),kind:"refactor.rewrite.export.default"},fg(bY,{kinds:[H5.kind,q5.kind],getAvailableActions:function(t){const r=jNe(t,t.triggerReason==="invoked");if(!r)return et;if(!Xg(r)){const i=r.wasDefault?H5:q5;return[{name:bY,description:i.description,actions:[i]}]}return t.preferences.provideRefactorNotApplicableReason?[{name:bY,description:Wo(f.Convert_default_export_to_named_export),actions:[{...H5,notApplicableReason:r.error},{...q5,notApplicableReason:r.error}]}]:et},getEditsForAction:function(t,r){N.assert(r===H5.name||r===q5.name,"Unexpected action name");const i=jNe(t);return N.assert(i&&!Xg(i),"Expected applicable refactor info"),{edits:_r.ChangeTracker.with(t,s=>mKe(t.file,t.program,i,s,t.cancellationToken)),renameFilename:void 0,renameLocation:void 0}}})}});function HNe(e,t=!0){const{file:r}=e,i=LI(e),o=po(r,i.start),s=t?Gn(o,kc):vO(o,r,i);if(!s||!kc(s))return{error:"Selection is not an import declaration."};const l=i.start+i.length,u=b1(s,s.parent,r);if(u&&l>u.getStart())return;const{importClause:p}=s;return p?p.namedBindings?p.namedBindings.kind===274?{convertTo:0,import:p.namedBindings}:qNe(e.program,p)?{convertTo:1,import:p.namedBindings}:{convertTo:2,import:p.namedBindings}:{error:Wo(f.Could_not_find_namespace_import_or_named_imports)}:{error:Wo(f.Could_not_find_import_clause)}}function qNe(e,t){return FS(e.getCompilerOptions())&&AKe(t.parent.moduleSpecifier,e.getTypeChecker())}function EKe(e,t,r,i){const o=t.getTypeChecker();i.convertTo===0?SKe(e,o,r,i.import,FS(t.getCompilerOptions())):KNe(e,t,r,i.import,i.convertTo===1)}function SKe(e,t,r,i,o){let s=!1;const l=[],u=new Map;Ws.Core.eachSymbolReferenceInFile(i.name,t,e,b=>{if(!Ure(b.parent))s=!0;else{const A=JNe(b.parent).text;t.resolveName(A,b,-1,!0)&&u.set(A,!0),N.assert(TKe(b.parent)===b,"Parent expression should match id"),l.push(b.parent)}});const p=new Map;for(const b of l){const A=JNe(b).text;let I=p.get(A);I===void 0&&p.set(A,I=u.has(A)?cT(A,e):A),r.replaceNode(e,b,w.createIdentifier(I))}const h=[];p.forEach((b,A)=>{h.push(w.createImportSpecifier(!1,b===A?void 0:w.createIdentifier(A),w.createIdentifier(b)))});const m=i.parent.parent;s&&!o?r.insertNodeAfter(e,m,Jde(m,void 0,h)):r.replaceNode(e,m,Jde(m,s?w.createIdentifier(i.name.text):void 0,h))}function JNe(e){return Fr(e)?e.name:e.right}function TKe(e){return Fr(e)?e.expression:e.left}function KNe(e,t,r,i,o=qNe(t,i.parent)){const s=t.getTypeChecker(),l=i.parent.parent,{moduleSpecifier:u}=l,p=new Set;i.elements.forEach(R=>{const M=s.getSymbolAtLocation(R.name);M&&p.add(M)});const h=u&&Ma(u)?Fu.moduleSpecifierToValidIdentifier(u.text,99):"module";function m(R){return!!Ws.Core.eachSymbolReferenceInFile(R.name,s,e,M=>{const C=s.resolveName(h,M,-1,!0);return C?p.has(C)?Ku(M.parent):!0:!1})}const A=i.elements.some(m)?cT(h,e):h,I=new Set;for(const R of i.elements){const M=(R.propertyName||R.name).text;Ws.Core.eachSymbolReferenceInFile(R.name,s,e,C=>{const O=w.createPropertyAccessExpression(w.createIdentifier(A),M);rp(C.parent)?r.replaceNode(e,C.parent,w.createPropertyAssignment(C.text,O)):Ku(C.parent)?I.add(R):r.replaceNode(e,C,O)})}if(r.replaceNode(e,i,o?w.createIdentifier(A):w.createNamespaceImport(w.createIdentifier(A))),I.size){const R=zo(I.values(),M=>w.createImportSpecifier(M.isTypeOnly,M.propertyName&&w.createIdentifier(M.propertyName.text),w.createIdentifier(M.name.text)));r.insertNodeAfter(e,i.parent.parent,Jde(l,void 0,R))}}function AKe(e,t){const r=t.resolveExternalModuleName(e);if(!r)return!1;const i=t.resolveExternalModuleSymbol(r);return r!==i}function Jde(e,t,r){return w.createImportDeclaration(void 0,w.createImportClause(!1,t,r&&r.length?w.createNamedImports(r):void 0),e.moduleSpecifier,void 0)}var EY,J5,IKe=T({"src/services/refactors/convertImport.ts"(){si(),Dh(),EY="Convert import",J5={0:{name:"Convert namespace import to named imports",description:Wo(f.Convert_namespace_import_to_named_imports),kind:"refactor.rewrite.import.named"},2:{name:"Convert named imports to namespace import",description:Wo(f.Convert_named_imports_to_namespace_import),kind:"refactor.rewrite.import.namespace"},1:{name:"Convert named imports to default import",description:Wo(f.Convert_named_imports_to_default_import),kind:"refactor.rewrite.import.default"}},fg(EY,{kinds:EA(J5).map(e=>e.kind),getAvailableActions:function(t){const r=HNe(t,t.triggerReason==="invoked");if(!r)return et;if(!Xg(r)){const i=J5[r.convertTo];return[{name:EY,description:i.description,actions:[i]}]}return t.preferences.provideRefactorNotApplicableReason?EA(J5).map(i=>({name:EY,description:i.description,actions:[{...i,notApplicableReason:r.error}]})):et},getEditsForAction:function(t,r){N.assert(bt(EA(J5),s=>s.name===r),"Unexpected action name");const i=HNe(t);return N.assert(i&&!Xg(i),"Expected applicable refactor info"),{edits:_r.ChangeTracker.with(t,s=>EKe(t.file,t.program,s,i)),renameFilename:void 0,renameLocation:void 0}}})}});function XNe(e,t=!0){const{file:r,startPosition:i}=e,o=dd(r),s=d5(LI(e)),l=s.pos===s.end&&t,u=xKe(r,i,s,l);if(!u||!ji(u))return{error:Wo(f.Selection_is_not_a_valid_type_node)};const p=e.program.getTypeChecker(),h=PKe(u,o);if(h===void 0)return{error:Wo(f.No_type_could_be_extracted_from_this_type_node)};const m=MKe(u,h);if(!ji(m))return{error:Wo(f.Selection_is_not_a_valid_type_node)};const b=[];(Qy(m.parent)||uI(m.parent))&&s.end>u.end&&Jr(b,m.parent.types.filter(M=>t5(M,r,s.pos,s.end)));const A=b.length>1?b:m,I=RKe(p,A,h,r);if(!I)return{error:Wo(f.No_type_could_be_extracted_from_this_type_node)};const R=SY(p,A);return{isJS:o,selection:A,enclosingNode:h,typeParameters:I,typeElements:R}}function xKe(e,t,r,i){const o=[()=>po(e,t),()=>xD(e,t,()=>!0)];for(const s of o){const l=s(),u=t5(l,e,r.pos,r.end),p=Gn(l,h=>h.parent&&ji(h)&&!A1(r,h.parent,e)&&(i||u));if(p)return p}}function SY(e,t){if(t){if(To(t)){const r=[];for(const i of t){const o=SY(e,i);if(!o)return;Jr(r,o)}return r}if(uI(t)){const r=[],i=new Map;for(const o of t.types){const s=SY(e,o);if(!s||!s.every(l=>l.name&&Rm(i,hO(l.name))))return;Jr(r,s)}return r}else{if(GS(t))return SY(e,t.type);if(Ep(t))return t.members}}}function A1(e,t,r){return cO(e,ka(r.text,t.pos),t.end)}function RKe(e,t,r,i){const o=[],s=SA(t),l={pos:s[0].pos,end:s[s.length-1].end};for(const p of s)if(u(p))return;return o;function u(p){if(Cf(p)){if(Ve(p.typeName)){const h=p.typeName,m=e.resolveName(h.text,h,262144,!0);for(const b of(m==null?void 0:m.declarations)||et)if(gl(b)&&b.getSourceFile()===i){if(b.name.escapedText===h.escapedText&&A1(b,l,i))return!0;if(A1(r,b,i)&&!A1(l,b,i)){Tf(o,b);break}}}}else if(BS(p)){const h=Gn(p,m=>dI(m)&&A1(m.extendsType,p,i));if(!h||!A1(l,h,i))return!0}else if(Kk(p)||Yk(p)){const h=Gn(p.parent,ea);if(h&&h.type&&A1(h.type,p,i)&&!A1(l,h,i))return!0}else if(lI(p)){if(Ve(p.exprName)){const h=e.resolveName(p.exprName.text,p.exprName,111551,!1);if(h!=null&&h.valueDeclaration&&A1(r,h.valueDeclaration,i)&&!A1(l,h.valueDeclaration,i))return!0}else if(KE(p.exprName.left)&&!A1(l,p.parent,i))return!0}return i&&cI(p)&&bs(i,p.pos).line===bs(i,p.end).line&&pr(p,1),Ho(p,u)}}function DKe(e,t,r,i){const{enclosingNode:o,typeParameters:s}=i,{firstTypeNode:l,lastTypeNode:u,newTypeNode:p}=Kde(i),h=w.createTypeAliasDeclaration(void 0,r,s.map(m=>w.updateTypeParameterDeclaration(m,m.modifiers,m.name,m.constraint,void 0)),p);e.insertNodeBefore(t,o,EH(h),!0),e.replaceNodeRange(t,l,u,w.createTypeReferenceNode(r,s.map(m=>w.createTypeReferenceNode(m.name,void 0))),{leadingTriviaOption:_r.LeadingTriviaOption.Exclude,trailingTriviaOption:_r.TrailingTriviaOption.ExcludeWhitespace})}function NKe(e,t,r,i){var o;const{enclosingNode:s,typeParameters:l,typeElements:u}=i,p=w.createInterfaceDeclaration(void 0,r,l,void 0,u);dt(p,(o=u[0])==null?void 0:o.parent),e.insertNodeBefore(t,s,EH(p),!0);const{firstTypeNode:h,lastTypeNode:m}=Kde(i);e.replaceNodeRange(t,h,m,w.createTypeReferenceNode(r,l.map(b=>w.createTypeReferenceNode(b.name,void 0))),{leadingTriviaOption:_r.LeadingTriviaOption.Exclude,trailingTriviaOption:_r.TrailingTriviaOption.ExcludeWhitespace})}function CKe(e,t,r,i,o){var s;SA(o.selection).forEach(R=>{pr(R,7168)});const{enclosingNode:l,typeParameters:u}=o,{firstTypeNode:p,lastTypeNode:h,newTypeNode:m}=Kde(o),b=w.createJSDocTypedefTag(w.createIdentifier("typedef"),w.createJSDocTypeExpression(m),w.createIdentifier(i)),A=[];Ue(u,R=>{const M=Zx(R),C=w.createTypeParameterDeclaration(void 0,R.name),O=w.createJSDocTemplateTag(w.createIdentifier("template"),M&&aa(M,d1),[C]);A.push(O)});const I=w.createJSDocComment(void 0,w.createNodeArray(So(A,[b])));if(n_(l)){const R=l.getStart(r),M=ty(t.host,(s=t.formatContext)==null?void 0:s.options);e.insertNodeAt(r,l.getStart(r),I,{suffix:M+M+r.text.slice(E5(r.text,R-1),R)})}else e.insertNodeBefore(r,l,I,!0);e.replaceNodeRange(r,p,h,w.createTypeReferenceNode(i,u.map(R=>w.createTypeReferenceNode(R.name,void 0))))}function Kde(e){return To(e.selection)?{firstTypeNode:e.selection[0],lastTypeNode:e.selection[e.selection.length-1],newTypeNode:Qy(e.selection[0].parent)?w.createUnionTypeNode(e.selection):w.createIntersectionTypeNode(e.selection)}:{firstTypeNode:e.selection,lastTypeNode:e.selection,newTypeNode:e.selection}}function PKe(e,t){return Gn(e,qi)||(t?Gn(e,n_):void 0)}function MKe(e,t){return Gn(e,r=>r===t?"quit":!!(Qy(r.parent)||uI(r.parent)))??e}var TY,K5,X5,Y5,LKe=T({"src/services/refactors/extractType.ts"(){si(),Dh(),TY="Extract type",K5={name:"Extract to type alias",description:Wo(f.Extract_to_type_alias),kind:"refactor.extract.type"},X5={name:"Extract to interface",description:Wo(f.Extract_to_interface),kind:"refactor.extract.interface"},Y5={name:"Extract to typedef",description:Wo(f.Extract_to_typedef),kind:"refactor.extract.typedef"},fg(TY,{kinds:[K5.kind,X5.kind,Y5.kind],getAvailableActions:function(t){const r=XNe(t,t.triggerReason==="invoked");return r?Xg(r)?t.preferences.provideRefactorNotApplicableReason?[{name:TY,description:Wo(f.Extract_type),actions:[{...Y5,notApplicableReason:r.error},{...K5,notApplicableReason:r.error},{...X5,notApplicableReason:r.error}]}]:et:[{name:TY,description:Wo(f.Extract_type),actions:r.isJS?[Y5]:xn([K5],r.typeElements&&X5)}]:et},getEditsForAction:function(t,r){const{file:i}=t,o=XNe(t);N.assert(o&&!Xg(o),"Expected to find a range to extract");const s=cT("NewType",i),l=_r.ChangeTracker.with(t,h=>{switch(r){case K5.name:return N.assert(!o.isJS,"Invalid actionName/JS combo"),DKe(h,i,s,o);case Y5.name:return N.assert(o.isJS,"Invalid actionName/JS combo"),CKe(h,t,i,s,o);case X5.name:return N.assert(!o.isJS&&!!o.typeElements,"Invalid actionName/JS combo"),NKe(h,i,s,o);default:N.fail("Unexpected action name")}}),u=i.fileName,p=EO(l,u,s,!1);return{edits:l,renameFilename:u,renameLocation:p}}})}});function Xg(e){return e.error!==void 0}function z0(e,t){return t?e.substr(0,t.length)===t:!0}var kKe=T({"src/services/refactors/helpers.ts"(){}});function YNe(e,t,r,i){var o,s;const l=i.getTypeChecker(),u=Vd(e,t),p=u.parent;if(Ve(u)){if(vk(p)&&TC(p)&&Ve(p.name)){if(((o=l.getMergedSymbol(p.symbol).declarations)==null?void 0:o.length)!==1)return{error:Wo(f.Variables_with_multiple_declarations_cannot_be_inlined)};if($Ne(p))return;const h=QNe(p,l,e);return h&&{references:h,declaration:p,replacement:p.initializer}}if(r){let h=l.resolveName(u.text,u,111551,!1);if(h=h&&l.getMergedSymbol(h),((s=h==null?void 0:h.declarations)==null?void 0:s.length)!==1)return{error:Wo(f.Variables_with_multiple_declarations_cannot_be_inlined)};const m=h.declarations[0];if(!vk(m)||!TC(m)||!Ve(m.name)||$Ne(m))return;const b=QNe(m,l,e);return b&&{references:b,declaration:m,replacement:m.initializer}}return{error:Wo(f.Could_not_find_variable_to_inline)}}}function $Ne(e){const t=aa(e.parent.parent,Ll);return bt(t.modifiers,oI)}function QNe(e,t,r){const i=[],o=Ws.Core.eachSymbolReferenceInFile(e.name,t,r,s=>{if(Ws.isWriteAccessForReference(s)&&!rp(s.parent)||Ku(s.parent)||kl(s.parent)||lI(s.parent)||sL(e,s.pos))return!0;i.push(s)});return i.length===0||o?void 0:i}function wKe(e,t){t=cl(t);const{parent:r}=e;return yt(r)&&(wC(t)<wC(r)||S5(r))||ea(t)&&(wE(r)||Fr(r))||Fr(r)&&(vp(t)||Oa(t))?w.createParenthesizedExpression(t):Ve(e)&&rp(r)?w.createPropertyAssignment(e,t):t}var PO,AY,IY,OKe=T({"src/services/refactors/inlineVariable.ts"(){si(),Dh(),PO="Inline variable",AY=Wo(f.Inline_variable),IY={name:PO,description:AY,kind:"refactor.inline.variable"},fg(PO,{kinds:[IY.kind],getAvailableActions(e){const{file:t,program:r,preferences:i,startPosition:o,triggerReason:s}=e,l=YNe(t,o,s==="invoked",r);return l?wI.isRefactorErrorInfo(l)?i.provideRefactorNotApplicableReason?[{name:PO,description:AY,actions:[{...IY,notApplicableReason:l.error}]}]:et:[{name:PO,description:AY,actions:[IY]}]:et},getEditsForAction(e,t){N.assert(t===PO,"Unexpected refactor invoked");const{file:r,program:i,startPosition:o}=e,s=YNe(r,o,!0,i);if(!s||wI.isRefactorErrorInfo(s))return;const{references:l,declaration:u,replacement:p}=s;return{edits:_r.ChangeTracker.with(e,m=>{for(const b of l)m.replaceNode(r,b,wKe(b,p));m.delete(r,u)})}}})}});function WKe(e,t,r,i,o,s){const l=t.getTypeChecker(),u=Z5(e,r.all,l),p=ope(e,t,o,r);i.createNewFile(e,p,FKe(e,u,i,r,t,o,p,s)),Xde(t,i,e.fileName,p,Gv(o))}function FKe(e,t,r,i,o,s,l,u){const p=o.getTypeChecker(),h=j6(e.statements,Im);if(e.externalModuleIndicator===void 0&&e.commonJsModuleIndicator===void 0&&t.oldImportsNeededByTargetFile.size===0)return $5(e,i.ranges,r),[...h,...i.all];const m=!HX(l,o,s,!!e.commonJsModuleIndicator),b=uf(e,u),A=Zde(e,t.oldFileImportsFromTargetFile,l,o,s,m,b);A&&s2(r,e,A,!0,u),Yde(e,i.all,r,t.unusedImportsFromOldFile,p),$5(e,i.ranges,r),$de(r,o,s,e,t.movedSymbols,l,b);const I=zKe(e,t.oldImportsNeededByTargetFile,t.targetFileImportsFromOldFile,r,p,o,s,m,b),R=epe(e,i.all,t.oldFileImportsFromTargetFile,m);return I.length&&R.length?[...h,...I,4,...R]:[...h,...I,...R]}function zKe(e,t,r,i,o,s,l,u,p){const h=[];for(const I of e.statements)kO(I,R=>{xn(h,wO(R,LO(R),M=>t.has(o.getSymbolAtLocation(M))))});let m;const b=[],A=PI();return r.forEach(I=>{if(I.declarations)for(const R of I.declarations){if(!ez(R))continue;const M=rpe(R);if(!M)continue;const C=DY(R);A(C)&&ipe(e,C,M,i,u),Zr(R,2048)?m=M:b.push(M.text)}}),xn(h,Q5(e,m,b,ic(e.fileName),s,l,u,p)),h}var MO,xY,RY,BKe=T({"src/services/refactors/moveToNewFile.ts"(){si(),Dh(),MO="Move to a new file",xY=Wo(f.Move_to_a_new_file),RY={name:MO,description:xY,kind:"refactor.move.newFile"},fg(MO,{kinds:[RY.kind],getAvailableActions:function(t){const r=OO(t);return t.preferences.allowTextChangesInNewFiles&&r?[{name:MO,description:xY,actions:[RY]}]:t.preferences.provideRefactorNotApplicableReason?[{name:MO,description:xY,actions:[{...RY,notApplicableReason:Wo(f.Selection_is_not_a_valid_statement_or_statements)}]}]:et},getEditsForAction:function(t,r){N.assert(r===MO,"Wrong refactor invoked");const i=N.checkDefined(OO(t));return{edits:_r.ChangeTracker.with(t,s=>WKe(t.file,t.program,i,s,t.host,t.preferences)),renameFilename:void 0,renameLocation:void 0}}})}});function ZNe(e){return{edits:[],renameFilename:void 0,renameLocation:void 0,notApplicableReason:e}}function GKe(e,t,r,i,o,s,l,u){const p=i.getTypeChecker();if(!l.fileExists(r))s.createNewFile(t,r,eCe(t,r,Z5(t,o.all,p),s,o,i,l,u)),Xde(i,s,t.fileName,r,Gv(l));else{const h=N.checkDefined(i.getSourceFile(r)),m=Fu.createImportAdder(h,e.program,e.preferences,e.host);eCe(t,h,Z5(t,o.all,p,aXe(h,o.all,p)),s,o,i,l,u,m)}}function eCe(e,t,r,i,o,s,l,u,p){const h=s.getTypeChecker(),m=j6(e.statements,Im);if(e.externalModuleIndicator===void 0&&e.commonJsModuleIndicator===void 0&&r.oldImportsNeededByTargetFile.size===0&&r.targetFileImportsFromOldFile.size===0&&typeof t=="string")return $5(e,o.ranges,i),[...m,...o.all];const b=typeof t=="string"?t:t.fileName,A=!HX(b,s,l,!!e.commonJsModuleIndicator),I=uf(e,u),R=Zde(e,r.oldFileImportsFromTargetFile,b,s,l,A,I);R&&s2(i,e,R,!0,u),Yde(e,o.all,i,r.unusedImportsFromOldFile,h),$5(e,o.ranges,i),$de(i,s,l,e,r.movedSymbols,b,I);const M=VKe(e,b,r.oldImportsNeededByTargetFile,r.targetFileImportsFromOldFile,i,h,s,l,A,I,p),C=epe(e,o.all,r.oldFileImportsFromTargetFile,A);return typeof t!="string"&&(t.statements.length>0?oXe(i,s,C,t,o):i.insertNodesAtEndOfFile(t,C,!1),M.length>0&&s2(i,t,M,!0,u)),p&&p.writeFixes(i,I),M.length&&C.length?[...m,...M,4,...C]:[...m,...M,...C]}function VKe(e,t,r,i,o,s,l,u,p,h,m){const b=[];if(m)r.forEach((C,O)=>{try{m.addImportFromExportedSymbol(bu(O,s),C)}catch{for(const U of e.statements)kO(U,K=>{xn(b,wO(K,w.createStringLiteral(LO(K).text),Z=>r.has(s.getSymbolAtLocation(Z))))})}});else{const C=l.getSourceFile(t);for(const O of e.statements)kO(O,U=>{var K;const Z=LO(U),V=l.getCompilerOptions(),se=l.getResolvedModuleFromModuleSpecifier(Z),G=(K=se==null?void 0:se.resolvedModule)==null?void 0:K.resolvedFileName;if(G&&C){const ne=HF(V,C,C.fileName,G,sT(l,u));xn(b,wO(U,MI(ne,h),he=>r.has(s.getSymbolAtLocation(he))))}else xn(b,wO(U,w.createStringLiteral(LO(U).text),ne=>r.has(s.getSymbolAtLocation(ne))))})}const A=l.getSourceFile(t);let I;const R=[],M=PI();return i.forEach(C=>{if(C.declarations)for(const O of C.declarations){if(!ez(O))continue;const U=rpe(O);if(!U)continue;const K=DY(O);M(K)&&ipe(e,K,U,o,p),m&&s.isUnknownSymbol(C)?m.addImportFromExportedSymbol(bu(C,s)):Zr(O,2048)?I=U:R.push(U.text)}}),A?xn(b,Q5(A,I,R,e.fileName,l,u,p,h)):xn(b,Q5(e,I,R,e.fileName,l,u,p,h))}function Xde(e,t,r,i,o){const s=e.getCompilerOptions().configFile;if(!s)return;const l=ga(Qr(r,"..",i)),u=QM(s.fileName,l,o),p=s.statements[0]&&ii(s.statements[0].expression,Oa),h=p&&An(p.properties,m=>hc(m)&&Ma(m.name)&&m.name.text==="files");h&&_d(h.initializer)&&t.insertNodeInListAfter(s,Ya(h.initializer.elements),w.createStringLiteral(u),h.initializer.elements)}function $5(e,t,r){for(const{first:i,afterLast:o}of t)r.deleteNodeRangeExcludingEnd(e,i,o)}function Yde(e,t,r,i,o){for(const s of e.statements)Nr(t,s)||kO(s,l=>tpe(e,l,r,u=>i.has(o.getSymbolAtLocation(u))))}function $de(e,t,r,i,o,s,l){const u=t.getTypeChecker();for(const p of t.getSourceFiles())if(p!==i)for(const h of p.statements)kO(h,m=>{if(u.getSymbolAtLocation(LO(m))!==i.symbol)return;const b=C=>{const O=Qa(C.parent)?v5(u,C.parent):bu(u.getSymbolAtLocation(C),u);return!!O&&o.has(O)};tpe(p,m,e,b);const A=Py(ai(i.path),s),I=HF(t.getCompilerOptions(),p,p.fileName,A,sT(t,r)),R=wO(m,MI(I,l),b);R&&e.insertNodeAfter(p,h,R);const M=jKe(m);M&&UKe(e,p,u,o,I,M,m,l)})}function jKe(e){switch(e.kind){case 272:return e.importClause&&e.importClause.namedBindings&&e.importClause.namedBindings.kind===274?e.importClause.namedBindings.name:void 0;case 271:return e.name;case 260:return ii(e.name,Ve);default:return N.assertNever(e,`Unexpected node kind ${e.kind}`)}}function UKe(e,t,r,i,o,s,l,u){const p=Fu.moduleSpecifierToValidIdentifier(o,99);let h=!1;const m=[];if(Ws.Core.eachSymbolReferenceInFile(s,r,t,b=>{Fr(b.parent)&&(h=h||!!r.resolveName(p,b,-1,!0),i.has(r.getSymbolAtLocation(b.parent.name))&&m.push(b))}),m.length){const b=h?cT(p,t):p;for(const A of m)e.replaceNode(t,A,w.createIdentifier(b));e.insertNodeAfter(t,l,HKe(l,p,o,u))}}function HKe(e,t,r,i){const o=w.createIdentifier(t),s=MI(r,i);switch(e.kind){case 272:return w.createImportDeclaration(void 0,w.createImportClause(!1,void 0,w.createNamespaceImport(o)),s,void 0);case 271:return w.createImportEqualsDeclaration(void 0,!1,o,w.createExternalModuleReference(s));case 260:return w.createVariableDeclaration(o,void 0,void 0,Qde(s));default:return N.assertNever(e,`Unexpected node kind ${e.kind}`)}}function Qde(e){return w.createCallExpression(w.createIdentifier("require"),void 0,[e])}function LO(e){return e.kind===272?e.moduleSpecifier:e.kind===271?e.moduleReference.expression:e.initializer.arguments[0]}function kO(e,t){if(kc(e))Ma(e.moduleSpecifier)&&t(e);else if(tu(e))Ah(e.moduleReference)&&ls(e.moduleReference.expression)&&t(e);else if(Ll(e))for(const r of e.declarationList.declarations)r.initializer&&Ad(r.initializer,!0)&&t(r)}function Zde(e,t,r,i,o,s,l){let u;const p=[];return t.forEach(h=>{h.escapedName==="default"?u=w.createIdentifier(_5(h)):p.push(h.name)}),Q5(e,u,p,r,i,o,s,l)}function Q5(e,t,r,i,o,s,l,u){const p=Py(ai(e.path),i),h=HF(o.getCompilerOptions(),e,e.fileName,p,sT(o,s));if(l){const m=r.map(b=>w.createImportSpecifier(!1,void 0,w.createIdentifier(b)));return Uue(t,m,h,u)}else{N.assert(!t,"No default import should exist");const m=r.map(b=>w.createBindingElement(void 0,void 0,b));return m.length?tCe(w.createObjectBindingPattern(m),void 0,Qde(MI(h,u))):void 0}}function tCe(e,t,r,i=2){return w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(e,void 0,t,r)],i))}function epe(e,t,r,i){return Pi(t,o=>{if(rCe(o)&&!nCe(e,o,i)&&lpe(o,s=>{var l;return r.has(N.checkDefined((l=ii(s,x_))==null?void 0:l.symbol))})){const s=KKe(cl(o),i);if(s)return s}return cl(o)})}function nCe(e,t,r,i){var o;return r?!eu(t)&&Zr(t,32)||!!(i&&e.symbol&&((o=e.symbol.exports)!=null&&o.has(i.escapedText))):!!e.symbol&&!!e.symbol.exports&&npe(t).some(s=>e.symbol.exports.has(hl(s)))}function tpe(e,t,r,i){switch(t.kind){case 272:qKe(e,t,r,i);break;case 271:i(t.name)&&r.delete(e,t);break;case 260:JKe(e,t,r,i);break;default:N.assertNever(t,`Unexpected import decl kind ${t.kind}`)}}function qKe(e,t,r,i){if(!t.importClause)return;const{name:o,namedBindings:s}=t.importClause,l=!o||i(o),u=!s||(s.kind===274?i(s.name):s.elements.length!==0&&s.elements.every(p=>i(p.name)));if(l&&u)r.delete(e,t);else if(o&&l&&r.delete(e,o),s){if(u)r.replaceNode(e,t.importClause,w.updateImportClause(t.importClause,t.importClause.isTypeOnly,o,void 0));else if(s.kind===275)for(const p of s.elements)i(p.name)&&r.delete(e,p)}}function JKe(e,t,r,i){const{name:o}=t;switch(o.kind){case 80:i(o)&&(t.initializer&&Ad(t.initializer,!0)?r.delete(e,Uc(t.parent)&&Me(t.parent.declarations)===1?t.parent.parent:t):r.delete(e,o));break;case 207:break;case 206:if(o.elements.every(s=>Ve(s.name)&&i(s.name)))r.delete(e,Uc(t.parent)&&t.parent.declarations.length===1?t.parent.parent:t);else for(const s of o.elements)Ve(s.name)&&i(s.name)&&r.delete(e,s.name);break}}function rCe(e){return N.assert($i(e.parent),"Node parent should be a SourceFile"),lCe(e)||Ll(e)}function KKe(e,t){return t?[XKe(e)]:YKe(e)}function XKe(e){const t=Cm(e)?So([w.createModifier(95)],ME(e)):void 0;switch(e.kind){case 262:return w.updateFunctionDeclaration(e,t,e.asteriskToken,e.name,e.typeParameters,e.parameters,e.type,e.body);case 263:const r=QS(e)?Ly(e):void 0;return w.updateClassDeclaration(e,So(r,t),e.name,e.typeParameters,e.heritageClauses,e.members);case 243:return w.updateVariableStatement(e,t,e.declarationList);case 267:return w.updateModuleDeclaration(e,t,e.name,e.body);case 266:return w.updateEnumDeclaration(e,t,e.name,e.members);case 265:return w.updateTypeAliasDeclaration(e,t,e.name,e.typeParameters,e.type);case 264:return w.updateInterfaceDeclaration(e,t,e.name,e.typeParameters,e.heritageClauses,e.members);case 271:return w.updateImportEqualsDeclaration(e,t,e.isTypeOnly,e.name,e.moduleReference);case 244:return N.fail();default:return N.assertNever(e,`Unexpected declaration kind ${e.kind}`)}}function YKe(e){return[e,...npe(e).map(iCe)]}function iCe(e){return w.createExpressionStatement(w.createBinaryExpression(w.createPropertyAccessExpression(w.createIdentifier("exports"),w.createIdentifier(e)),64,w.createIdentifier(e)))}function npe(e){switch(e.kind){case 262:case 263:return[e.name.text];case 243:return Hi(e.declarationList.declarations,t=>Ve(t.name)?t.name.text:void 0);case 267:case 266:case 265:case 264:case 271:return et;case 244:return N.fail("Can't export an ExpressionStatement");default:return N.assertNever(e,`Unexpected decl kind ${e.kind}`)}}function wO(e,t,r){switch(e.kind){case 272:{const i=e.importClause;if(!i)return;const o=i.name&&r(i.name)?i.name:void 0,s=i.namedBindings&&$Ke(i.namedBindings,r);return o||s?w.createImportDeclaration(void 0,w.createImportClause(i.isTypeOnly,o,s),cl(t),void 0):void 0}case 271:return r(e.name)?e:void 0;case 260:{const i=QKe(e.name,r);return i?tCe(i,e.type,Qde(t),e.parent.flags):void 0}default:return N.assertNever(e,`Unexpected import kind ${e.kind}`)}}function $Ke(e,t){if(e.kind===274)return t(e.name)?e:void 0;{const r=e.elements.filter(i=>t(i.name));return r.length?w.createNamedImports(r):void 0}}function QKe(e,t){switch(e.kind){case 80:return t(e)?e:void 0;case 207:return e;case 206:{const r=e.elements.filter(i=>i.propertyName||!Ve(i.name)||t(i.name));return r.length?w.createObjectBindingPattern(r):void 0}}}function rpe(e){return eu(e)?ii(e.expression.left.name,Ve):ii(e.name,Ve)}function DY(e){switch(e.kind){case 260:return e.parent.parent;case 208:return DY(aa(e.parent.parent,t=>wi(t)||Qa(t)));default:return e}}function ipe(e,t,r,i,o){if(!nCe(e,t,o,r))if(o)eu(t)||i.insertExportModifier(e,t);else{const s=npe(t);s.length!==0&&i.insertNodesAfter(e,t,s.map(iCe))}}function ope(e,t,r,i){const o=t.getTypeChecker();if(i){const s=Z5(e,i.all,o),l=ai(e.fileName),u=QC(e.fileName);return Qr(l,nXe(rXe(s.oldFileImportsFromTargetFile,s.movedSymbols),u,l,r))+u}return""}function ZKe(e){const{file:t}=e,r=d5(LI(e)),{statements:i}=t;let o=Gr(i,h=>h.end>r.pos);if(o===-1)return;const s=i[o],l=cCe(t,s);l&&(o=l.start);let u=Gr(i,h=>h.end>=r.end,o);u!==-1&&r.end<=i[u].getStart()&&u--;const p=cCe(t,i[u]);return p&&(u=p.end),{toMove:i.slice(o,u===-1?i.length:u+1),afterLast:u===-1?void 0:i[u+1]}}function OO(e){const t=ZKe(e);if(t===void 0)return;const r=[],i=[],{toMove:o,afterLast:s}=t;return cG(o,eXe,(l,u)=>{for(let p=l;p<u;p++)r.push(o[p]);i.push({first:o[l],afterLast:s})}),r.length===0?void 0:{all:r,ranges:i}}function ape(e){return An(e,t=>!!(t.transformFlags&2))}function eXe(e){return!tXe(e)&&!Im(e)}function tXe(e){switch(e.kind){case 272:return!0;case 271:return!Zr(e,32);case 243:return e.declarationList.declarations.every(t=>!!t.initializer&&Ad(t.initializer,!0));default:return!1}}function Z5(e,t,r,i=new Set){const o=new Set,s=new Map,l=new Set,u=m(ape(t));u&&s.set(u,!1);for(const b of t)lpe(b,A=>{o.add(N.checkDefined(eu(A)?r.getSymbolAtLocation(A.expression.left):A.symbol,"Need a symbol here"))});const p=new Set;for(const b of t)spe(b,r,(A,I)=>{if(A.declarations){if(i.has(bu(A,r))){p.add(A);return}for(const R of A.declarations)if(oCe(R)){const M=s.get(A);s.set(A,(M===void 0||M)&&I)}else ez(R)&&iXe(R)===e&&!o.has(A)&&l.add(A)}});for(const b of s.keys())p.add(b);const h=new Set;for(const b of e.statements)Nr(t,b)||(u&&b.transformFlags&2&&p.delete(u),spe(b,r,A=>{o.has(A)&&h.add(A),p.delete(A)}));return{movedSymbols:o,targetFileImportsFromOldFile:l,oldFileImportsFromTargetFile:h,oldImportsNeededByTargetFile:s,unusedImportsFromOldFile:p};function m(b){if(b===void 0)return;const A=r.getJsxNamespace(b),I=r.resolveName(A,b,1920,!0);return I&&bt(I.declarations,oCe)?I:void 0}}function nXe(e,t,r,i){let o=e;for(let s=1;;s++){const l=Qr(r,o+t);if(!i.fileExists(l))return o;o=`${e}.${s}`}}function rXe(e,t){return _h(e,_5)||_h(t,_5)||"newFile"}function spe(e,t,r){e.forEachChild(function i(o){if(Ve(o)&&!Bg(o)){const s=t.getSymbolAtLocation(o);s&&r(s,T0(o))}else o.forEachChild(i)})}function lpe(e,t){switch(e.kind){case 262:case 263:case 267:case 266:case 265:case 264:case 271:return t(e);case 243:return Ut(e.declarationList.declarations,r=>sCe(r.name,t));case 244:{const{expression:r}=e;return mr(r)&&Bl(r)===1?t(e):void 0}}}function oCe(e){switch(e.kind){case 271:case 276:case 273:case 274:return!0;case 260:return aCe(e);case 208:return wi(e.parent.parent)&&aCe(e.parent.parent);default:return!1}}function aCe(e){return $i(e.parent.parent.parent)&&!!e.initializer&&Ad(e.initializer,!0)}function ez(e){return lCe(e)&&$i(e.parent)||wi(e)&&$i(e.parent.parent.parent)}function iXe(e){return wi(e)?e.parent.parent.parent:e.parent}function sCe(e,t){switch(e.kind){case 80:return t(aa(e.parent,r=>wi(r)||Qa(r)));case 207:case 206:return Ut(e.elements,r=>jc(r)?void 0:sCe(r.name,t));default:return N.assertNever(e,`Unexpected name kind ${e.kind}`)}}function lCe(e){switch(e.kind){case 262:case 263:case 267:case 266:case 265:case 264:case 271:return!0;default:return!1}}function oXe(e,t,r,i,o){var s;const l=new Set,u=(s=i.symbol)==null?void 0:s.exports;if(u){const h=t.getTypeChecker(),m=new Map;for(const b of o.all)rCe(b)&&Zr(b,32)&&lpe(b,A=>{var I;const R=x_(A)?(I=u.get(A.symbol.escapedName))==null?void 0:I.declarations:void 0,M=Ut(R,C=>Yl(C)?C:Ku(C)?ii(C.parent.parent,Yl):void 0);M&&M.moduleSpecifier&&m.set(M,(m.get(M)||new Set).add(A))});for(const[b,A]of zo(m))if(b.exportClause&&Pf(b.exportClause)&&Me(b.exportClause.elements)){const I=b.exportClause.elements,R=nr(I,M=>An(bu(M.symbol,h).declarations,C=>ez(C)&&A.has(C))===void 0);if(Me(R)===0){e.deleteNode(i,b),l.add(b);continue}Me(R)<Me(I)&&e.replaceNode(i,b,w.updateExportDeclaration(b,b.modifiers,b.isTypeOnly,w.updateNamedExports(b.exportClause,w.createNodeArray(R,I.hasTrailingComma)),b.moduleSpecifier,b.attributes))}}const p=Oo(i.statements,h=>Yl(h)&&!!h.moduleSpecifier&&!l.has(h));p?e.insertNodesBefore(i,p,r,!0):e.insertNodesAfter(i,i.statements[i.statements.length-1],r)}function cCe(e,t){if(Bs(t)){const r=t.symbol.declarations;if(r===void 0||Me(r)<=1||!Nr(r,t))return;const i=r[0],o=r[Me(r)-1],s=Hi(r,p=>jn(p)===e&&qi(p)?p:void 0),l=Gr(e.statements,p=>p.end>=o.end),u=Gr(e.statements,p=>p.end>=i.end);return{toMove:s,start:u,end:l}}}function aXe(e,t,r){const i=new Set;for(const o of e.imports){const s=DC(o);if(kc(s)&&s.importClause&&s.importClause.namedBindings&&Hg(s.importClause.namedBindings))for(const l of s.importClause.namedBindings.elements){const u=r.getSymbolAtLocation(l.propertyName||l.name);u&&i.add(bu(u,r))}if(d3(s.parent)&&am(s.parent.name))for(const l of s.parent.name.elements){const u=r.getSymbolAtLocation(l.propertyName||l.name);u&&i.add(bu(u,r))}}for(const o of t)spe(o,r,s=>{const l=bu(s,r);l.valueDeclaration&&jn(l.valueDeclaration)===e&&i.add(l)});return i}var tz,NY,CY,sXe=T({"src/services/refactors/moveToFile.ts"(){mle(),si(),VNe(),tz="Move to file",NY=Wo(f.Move_to_file),CY={name:"Move to file",description:NY,kind:"refactor.move.file"},fg(tz,{kinds:[CY.kind],getAvailableActions:function(t,r){const i=OO(t);return r?t.preferences.allowTextChangesInNewFiles&&i?[{name:tz,description:NY,actions:[CY]}]:t.preferences.provideRefactorNotApplicableReason?[{name:tz,description:NY,actions:[{...CY,notApplicableReason:Wo(f.Selection_is_not_a_valid_statement_or_statements)}]}]:et:et},getEditsForAction:function(t,r,i){N.assert(r===tz,"Wrong refactor invoked");const o=N.checkDefined(OO(t)),{host:s,program:l}=t;N.assert(i,"No interactive refactor arguments available");const u=i.targetFile;return YE(u)||XA(u)?s.fileExists(u)&&l.getSourceFile(u)===void 0?ZNe(Wo(f.Cannot_move_statements_to_the_selected_file)):{edits:_r.ChangeTracker.with(t,h=>GKe(t,t.file,i.targetFile,t.program,o,h,t.host,t.preferences)),renameFilename:void 0,renameLocation:void 0}:ZNe(Wo(f.Cannot_move_to_file_selected_file_is_invalid))}})}});function lXe(e){const{file:t,startPosition:r,program:i}=e;return dCe(t,r,i)?[{name:PY,description:cpe,actions:[upe]}]:et}function cXe(e){const{file:t,startPosition:r,program:i}=e,o=dCe(t,r,i);if(!o)return;const s=i.getTypeChecker(),l=o[o.length-1];let u=l;switch(l.kind){case 173:{u=w.updateMethodSignature(l,l.modifiers,l.name,l.questionToken,l.typeParameters,h(o),l.type);break}case 174:{u=w.updateMethodDeclaration(l,l.modifiers,l.asteriskToken,l.name,l.questionToken,l.typeParameters,h(o),l.type,l.body);break}case 179:{u=w.updateCallSignature(l,l.typeParameters,h(o),l.type);break}case 176:{u=w.updateConstructorDeclaration(l,l.modifiers,h(o),l.body);break}case 180:{u=w.updateConstructSignature(l,l.typeParameters,h(o),l.type);break}case 262:{u=w.updateFunctionDeclaration(l,l.modifiers,l.asteriskToken,l.name,l.typeParameters,h(o),l.type,l.body);break}default:return N.failBadSyntaxKind(l,"Unhandled signature kind in overload list conversion refactoring")}if(u===l)return;return{renameFilename:void 0,renameLocation:void 0,edits:_r.ChangeTracker.with(e,A=>{A.replaceNodeRange(t,o[0],o[o.length-1],u)})};function h(A){const I=A[A.length-1];return Bs(I)&&I.body&&(A=A.slice(0,A.length-1)),w.createNodeArray([w.createParameterDeclaration(void 0,w.createToken(26),"args",void 0,w.createUnionTypeNode(wt(A,m)))])}function m(A){const I=wt(A.parameters,b);return pr(w.createTupleTypeNode(I),bt(I,R=>!!Me(jR(R)))?0:1)}function b(A){N.assert(Ve(A.name));const I=dt(w.createNamedTupleMember(A.dotDotDotToken,A.name,A.questionToken,A.type||w.createKeywordTypeNode(133)),A),R=A.symbol&&A.symbol.getDocumentationComment(s);if(R){const M=jO(R);M.length&&I0(I,[{text:`*
+${M.split(`
+`).map(C=>` * ${C}`).join(`
+`)}
+ `,kind:3,pos:-1,end:-1,hasTrailingNewLine:!0,hasLeadingNewline:!0}])}return I}}function uCe(e){switch(e.kind){case 173:case 174:case 179:case 176:case 180:case 262:return!0}return!1}function dCe(e,t,r){const i=po(e,t),o=Gn(i,uCe);if(!o||Bs(o)&&o.body&&sO(o.body,t))return;const s=r.getTypeChecker(),l=o.symbol;if(!l)return;const u=l.declarations;if(Me(u)<=1||!Zn(u,A=>jn(A)===e)||!uCe(u[0]))return;const p=u[0].kind;if(!Zn(u,A=>A.kind===p))return;const h=u;if(bt(h,A=>!!A.typeParameters||bt(A.parameters,I=>!!I.modifiers||!Ve(I.name))))return;const m=Hi(h,A=>s.getSignatureFromDeclaration(A));if(Me(m)!==Me(u))return;const b=s.getReturnTypeOfSignature(m[0]);if(Zn(m,A=>s.getReturnTypeOfSignature(A)===b))return h}var PY,cpe,upe,uXe=T({"src/services/refactors/convertOverloadListToSingleSignature.ts"(){si(),Dh(),PY="Convert overload list to single signature",cpe=Wo(f.Convert_overload_list_to_single_signature),upe={name:PY,description:cpe,kind:"refactor.rewrite.function.overloadList"},fg(PY,{kinds:[upe.kind],getEditsForAction:cXe,getAvailableActions:lXe})}});function dXe(e){const{file:t,startPosition:r,triggerReason:i}=e,o=pCe(t,r,i==="invoked");return o?Xg(o)?e.preferences.provideRefactorNotApplicableReason?[{name:MY,description:dpe,actions:[{...nz,notApplicableReason:o.error},{...WO,notApplicableReason:o.error}]}]:et:[{name:MY,description:dpe,actions:[o.addBraces?nz:WO]}]:et}function pXe(e,t){const{file:r,startPosition:i}=e,o=pCe(r,i);N.assert(o&&!Xg(o),"Expected applicable refactor info");const{expression:s,returnStatement:l,func:u}=o;let p;if(t===nz.name){const m=w.createReturnStatement(s);p=w.createBlock([m],!0),PD(s,m,r,3,!0)}else if(t===WO.name&&l){const m=s||w.createVoidZero();p=S5(m)?w.createParenthesizedExpression(m):m,SO(l,p,r,3,!1),PD(l,p,r,3,!1),d2(l,p,r,3,!1)}else N.fail("invalid action");return{renameFilename:void 0,renameLocation:void 0,edits:_r.ChangeTracker.with(e,m=>{m.replaceNode(r,u.body,p)})}}function pCe(e,t,r=!0,i){const o=po(e,t),s=Gp(o);if(!s)return{error:Wo(f.Could_not_find_a_containing_arrow_function)};if(!Gs(s))return{error:Wo(f.Containing_function_is_not_an_arrow_function)};if(!(!cf(s,o)||cf(s.body,o)&&!r)){if(z0(nz.kind,i)&&yt(s.body))return{func:s,addBraces:!0,expression:s.body};if(z0(WO.kind,i)&&Xo(s.body)&&s.body.statements.length===1){const l=Ha(s.body.statements);if(Dm(l)){const u=l.expression&&Oa(wR(l.expression,!1))?w.createParenthesizedExpression(l.expression):l.expression;return{func:s,addBraces:!1,expression:u,returnStatement:l}}}}}var MY,dpe,nz,WO,fXe=T({"src/services/refactors/addOrRemoveBracesToArrowFunction.ts"(){si(),Dh(),MY="Add or remove braces in an arrow function",dpe=Wo(f.Add_or_remove_braces_in_an_arrow_function),nz={name:"Add braces to arrow function",description:Wo(f.Add_braces_to_arrow_function),kind:"refactor.rewrite.arrow.braces.add"},WO={name:"Remove braces from arrow function",description:Wo(f.Remove_braces_from_arrow_function),kind:"refactor.rewrite.arrow.braces.remove"},fg(MY,{kinds:[WO.kind],getEditsForAction:pXe,getAvailableActions:dXe})}}),mXe={},_Xe=T({"src/services/_namespaces/ts.refactor.addOrRemoveBracesToArrowFunction.ts"(){uXe(),fXe()}});function hXe(e){const{file:t,startPosition:r,program:i,kind:o}=e,s=mCe(t,r,i);if(!s)return et;const{selectedVariableDeclaration:l,func:u}=s,p=[],h=[];if(z0(zO.kind,o)){const m=l||Gs(u)&&wi(u.parent)?void 0:Wo(f.Could_not_convert_to_named_function);m?h.push({...zO,notApplicableReason:m}):p.push(zO)}if(z0(FO.kind,o)){const m=!l&&Gs(u)?void 0:Wo(f.Could_not_convert_to_anonymous_function);m?h.push({...FO,notApplicableReason:m}):p.push(FO)}if(z0(BO.kind,o)){const m=Os(u)?void 0:Wo(f.Could_not_convert_to_arrow_function);m?h.push({...BO,notApplicableReason:m}):p.push(BO)}return[{name:ppe,description:gCe,actions:p.length===0&&e.preferences.provideRefactorNotApplicableReason?h:p}]}function gXe(e,t){const{file:r,startPosition:i,program:o}=e,s=mCe(r,i,o);if(!s)return;const{func:l}=s,u=[];switch(t){case FO.name:u.push(...EXe(e,l));break;case zO.name:const p=bXe(l);if(!p)return;u.push(...SXe(e,l,p));break;case BO.name:if(!Os(l))return;u.push(...TXe(e,l));break;default:return N.fail("invalid action")}return{renameFilename:void 0,renameLocation:void 0,edits:u}}function fCe(e){let t=!1;return e.forEachChild(function r(i){if(ID(i)){t=!0;return}!ui(i)&&!Ac(i)&&!Os(i)&&Ho(i,r)}),t}function mCe(e,t,r){const i=po(e,t),o=r.getTypeChecker(),s=yXe(e,o,i.parent);if(s&&!fCe(s.body)&&!o.containsArgumentsReference(s))return{selectedVariableDeclaration:!0,func:s};const l=Gp(i);if(l&&(Os(l)||Gs(l))&&!cf(l.body,i)&&!fCe(l.body)&&!o.containsArgumentsReference(l))return Os(l)&&hCe(e,o,l)?void 0:{selectedVariableDeclaration:!1,func:l}}function vXe(e){return wi(e)||Uc(e)&&e.declarations.length===1}function yXe(e,t,r){if(!vXe(r))return;const o=(wi(r)?r:Ha(r.declarations)).initializer;if(o&&(Gs(o)||Os(o)&&!hCe(e,t,o)))return o}function _Ce(e){if(yt(e)){const t=w.createReturnStatement(e),r=e.getSourceFile();return dt(t,e),Ap(t),SO(e,t,r,void 0,!0),w.createBlock([t],!0)}else return e}function bXe(e){const t=e.parent;if(!wi(t)||!TC(t))return;const r=t.parent,i=r.parent;if(!(!Uc(r)||!Ll(i)||!Ve(t.name)))return{variableDeclaration:t,variableDeclarationList:r,statement:i,name:t.name}}function EXe(e,t){const{file:r}=e,i=_Ce(t.body),o=w.createFunctionExpression(t.modifiers,t.asteriskToken,void 0,t.typeParameters,t.parameters,t.type,i);return _r.ChangeTracker.with(e,s=>s.replaceNode(r,t,o))}function SXe(e,t,r){const{file:i}=e,o=_Ce(t.body),{variableDeclaration:s,variableDeclarationList:l,statement:u,name:p}=r;LX(u);const h=c0(s)&32|pd(t),m=w.createModifiersFromModifierFlags(h),b=w.createFunctionDeclaration(Me(m)?m:void 0,t.asteriskToken,p,t.typeParameters,t.parameters,t.type,o);return l.declarations.length===1?_r.ChangeTracker.with(e,A=>A.replaceNode(i,u,b)):_r.ChangeTracker.with(e,A=>{A.delete(i,s),A.insertNodeAfter(i,u,b)})}function TXe(e,t){const{file:r}=e,o=t.body.statements[0];let s;AXe(t.body,o)?(s=o.expression,Ap(s),lT(o,s)):s=t.body;const l=w.createArrowFunction(t.modifiers,t.typeParameters,t.parameters,t.type,w.createToken(39),s);return _r.ChangeTracker.with(e,u=>u.replaceNode(r,t,l))}function AXe(e,t){return e.statements.length===1&&Dm(t)&&!!t.expression}function hCe(e,t,r){return!!r.name&&Ws.Core.isSymbolReferencedInFile(r.name,t,e)}var ppe,gCe,FO,zO,BO,IXe=T({"src/services/refactors/convertArrowFunctionOrFunctionExpression.ts"(){si(),Dh(),ppe="Convert arrow function or function expression",gCe=Wo(f.Convert_arrow_function_or_function_expression),FO={name:"Convert to anonymous function",description:Wo(f.Convert_to_anonymous_function),kind:"refactor.rewrite.function.anonymous"},zO={name:"Convert to named function",description:Wo(f.Convert_to_named_function),kind:"refactor.rewrite.function.named"},BO={name:"Convert to arrow function",description:Wo(f.Convert_to_arrow_function),kind:"refactor.rewrite.function.arrow"},fg(ppe,{kinds:[FO.kind,zO.kind,BO.kind],getEditsForAction:gXe,getAvailableActions:hXe})}}),xXe={},RXe=T({"src/services/_namespaces/ts.refactor.convertArrowFunctionOrFunctionExpression.ts"(){IXe()}});function DXe(e){const{file:t,startPosition:r}=e;return dd(t)||!bCe(t,r,e.program.getTypeChecker())?et:[{name:iz,description:hpe,actions:[gpe]}]}function NXe(e,t){N.assert(t===iz,"Unexpected action name");const{file:r,startPosition:i,program:o,cancellationToken:s,host:l}=e,u=bCe(r,i,o.getTypeChecker());if(!u||!s)return;const p=PXe(u,o,s);return p.valid?{renameFilename:void 0,renameLocation:void 0,edits:_r.ChangeTracker.with(e,m=>CXe(r,o,l,m,u,p))}:{edits:[]}}function CXe(e,t,r,i,o,s){const l=s.signature,u=wt(ACe(o,t,r),m=>cl(m));if(l){const m=wt(ACe(l,t,r),b=>cl(b));h(l,m)}h(o,u);const p=KN(s.functionCalls,(m,b)=>rl(m.pos,b.pos));for(const m of p)if(m.arguments&&m.arguments.length){const b=cl(GXe(o,m.arguments),!0);i.replaceNodeRange(jn(m),Ha(m.arguments),Ya(m.arguments),b,{leadingTriviaOption:_r.LeadingTriviaOption.IncludeAll,trailingTriviaOption:_r.TrailingTriviaOption.Include})}function h(m,b){i.replaceNodeRangeWithNodes(e,Ha(m.parameters),Ya(m.parameters),b,{joiner:", ",indentation:0,leadingTriviaOption:_r.LeadingTriviaOption.IncludeAll,trailingTriviaOption:_r.TrailingTriviaOption.Include})}}function PXe(e,t,r){const i=jXe(e),o=Ml(e)?VXe(e):[],s=DE([...i,...o],Mv),l=t.getTypeChecker(),u=Pi(s,b=>Ws.getReferenceEntriesForNode(-1,b,t,t.getSourceFiles(),r)),p=h(u);return Zn(p.declarations,b=>Nr(s,b))||(p.valid=!1),p;function h(b){const A={accessExpressions:[],typeUsages:[]},I={functionCalls:[],declarations:[],classReferences:A,valid:!0},R=wt(i,m),M=wt(o,m),C=Ml(e),O=wt(i,U=>fpe(U,l));for(const U of b){if(U.kind===Ws.EntryKind.Span){I.valid=!1;continue}if(Nr(O,m(U.node))){if(wXe(U.node.parent)){I.signature=U.node.parent;continue}const Z=yCe(U);if(Z){I.functionCalls.push(Z);continue}}const K=fpe(U.node,l);if(K&&Nr(O,K)){const Z=mpe(U);if(Z){I.declarations.push(Z);continue}}if(Nr(R,m(U.node))||r2(U.node)){if(vCe(U))continue;const V=mpe(U);if(V){I.declarations.push(V);continue}const se=yCe(U);if(se){I.functionCalls.push(se);continue}}if(C&&Nr(M,m(U.node))){if(vCe(U))continue;const V=mpe(U);if(V){I.declarations.push(V);continue}const se=MXe(U);if(se){A.accessExpressions.push(se);continue}if(Ic(e.parent)){const G=LXe(U);if(G){A.typeUsages.push(G);continue}}}I.valid=!1}return I}function m(b){const A=l.getSymbolAtLocation(b);return A&&PX(A,l)}}function fpe(e,t){const r=UO(e);if(r){const i=t.getContextualTypeForObjectLiteralElement(r),o=i==null?void 0:i.getSymbol();if(o&&!(xl(o)&6))return o}}function vCe(e){const t=e.node;if(np(t.parent)||Sh(t.parent)||tu(t.parent)||nb(t.parent)||Ku(t.parent)||kl(t.parent))return t}function mpe(e){if(Ju(e.node.parent))return e.node}function yCe(e){if(e.node.parent){const t=e.node,r=t.parent;switch(r.kind){case 213:case 214:const i=ii(r,I_);if(i&&i.expression===t)return i;break;case 211:const o=ii(r,Fr);if(o&&o.parent&&o.name===t){const l=ii(o.parent,I_);if(l&&l.expression===o)return l}break;case 212:const s=ii(r,Qs);if(s&&s.parent&&s.argumentExpression===t){const l=ii(s.parent,I_);if(l&&l.expression===s)return l}break}}}function MXe(e){if(e.node.parent){const t=e.node,r=t.parent;switch(r.kind){case 211:const i=ii(r,Fr);if(i&&i.expression===t)return i;break;case 212:const o=ii(r,Qs);if(o&&o.expression===t)return o;break}}}function LXe(e){const t=e.node;if(oT(t)===2||M3(t.parent))return t}function bCe(e,t,r){const i=xD(e,t),o=kie(i);if(!kXe(i)&&o&&OXe(o,r)&&cf(o,i)&&!(o.body&&cf(o.body,i)))return o}function kXe(e){const t=Gn(e,rR);if(t){const r=Gn(t,i=>!rR(i));return!!r&&Bs(r)}return!1}function wXe(e){return bh(e)&&(hd(e.parent)||Ep(e.parent))}function OXe(e,t){var r;if(!WXe(e.parameters,t))return!1;switch(e.kind){case 262:return ECe(e)&&rz(e,t);case 174:if(Oa(e.parent)){const i=fpe(e.name,t);return((r=i==null?void 0:i.declarations)==null?void 0:r.length)===1&&rz(e,t)}return rz(e,t);case 176:return Ic(e.parent)?ECe(e.parent)&&rz(e,t):SCe(e.parent.parent)&&rz(e,t);case 218:case 219:return SCe(e.parent)}return!1}function rz(e,t){return!!e.body&&!t.isImplementationOfOverload(e)}function ECe(e){return e.name?!0:!!DD(e,90)}function WXe(e,t){return zXe(e)>=ICe&&Zn(e,r=>FXe(r,t))}function FXe(e,t){if(Zh(e)){const r=t.getTypeAtLocation(e);if(!t.isArrayType(r)&&!t.isTupleType(r))return!1}return!e.modifiers&&Ve(e.name)}function SCe(e){return wi(e)&&uR(e)&&Ve(e.name)&&!e.type}function _pe(e){return e.length>0&&ID(e[0].name)}function zXe(e){return _pe(e)?e.length-1:e.length}function TCe(e){return _pe(e)&&(e=w.createNodeArray(e.slice(1),e.hasTrailingComma)),e}function BXe(e,t){return Ve(t)&&em(t)===e?w.createShorthandPropertyAssignment(e):w.createPropertyAssignment(e,t)}function GXe(e,t){const r=TCe(e.parameters),i=Zh(Ya(r)),o=i?t.slice(0,r.length-1):t,s=wt(o,(u,p)=>{const h=LY(r[p]),m=BXe(h,u);return Ap(m.name),hc(m)&&Ap(m.initializer),lT(u,m),m});if(i&&t.length>=r.length){const u=t.slice(r.length-1),p=w.createPropertyAssignment(LY(Ya(r)),w.createArrayLiteralExpression(u));s.push(p)}return w.createObjectLiteralExpression(s,!1)}function ACe(e,t,r){const i=t.getTypeChecker(),o=TCe(e.parameters),s=wt(o,m),l=w.createObjectBindingPattern(s),u=b(o);let p;Zn(o,R)&&(p=w.createObjectLiteralExpression());const h=w.createParameterDeclaration(void 0,void 0,l,void 0,u,p);if(_pe(e.parameters)){const M=e.parameters[0],C=w.createParameterDeclaration(void 0,void 0,M.name,void 0,M.type);return Ap(C.name),lT(M.name,C.name),M.type&&(Ap(C.type),lT(M.type,C.type)),w.createNodeArray([C,h])}return w.createNodeArray([h]);function m(M){const C=w.createBindingElement(void 0,void 0,LY(M),Zh(M)&&R(M)?w.createArrayLiteralExpression():M.initializer);return Ap(C),M.initializer&&C.initializer&&lT(M.initializer,C.initializer),C}function b(M){const C=wt(M,A);return k_(w.createTypeLiteralNode(C),1)}function A(M){let C=M.type;!C&&(M.initializer||Zh(M))&&(C=I(M));const O=w.createPropertySignature(void 0,LY(M),R(M)?w.createToken(58):M.questionToken,C);return Ap(O),lT(M.name,O.name),M.type&&O.type&&lT(M.type,O.type),O}function I(M){const C=i.getTypeAtLocation(M);return f2(C,M,t,r)}function R(M){if(Zh(M)){const C=i.getTypeAtLocation(M);return!i.isTupleType(C)}return i.isOptionalParameter(M)}}function LY(e){return em(e.name)}function VXe(e){switch(e.parent.kind){case 263:const t=e.parent;return t.name?[t.name]:[N.checkDefined(DD(t,90),"Nameless class declaration should be a default export")];case 231:const i=e.parent,o=e.parent.parent,s=i.name;return s?[s,o.name]:[o.name]}}function jXe(e){switch(e.kind){case 262:return e.name?[e.name]:[N.checkDefined(DD(e,90),"Nameless function declaration should be a default export")];case 174:return[e.name];case 176:const r=N.checkDefined(vs(e,137,e.getSourceFile()),"Constructor declaration should have constructor keyword");return e.parent.kind===231?[e.parent.parent.name,r]:[r];case 219:return[e.parent.name];case 218:return e.name?[e.name,e.parent.name]:[e.parent.name];default:return N.assertNever(e,`Unexpected function declaration kind ${e.kind}`)}}var iz,ICe,hpe,gpe,UXe=T({"src/services/refactors/convertParamsToDestructuredObject.ts"(){si(),Dh(),iz="Convert parameters to destructured object",ICe=1,hpe=Wo(f.Convert_parameters_to_destructured_object),gpe={name:iz,description:hpe,kind:"refactor.rewrite.parameters.toDestructured"},fg(iz,{kinds:[gpe.kind],getEditsForAction:NXe,getAvailableActions:DXe})}}),HXe={},qXe=T({"src/services/_namespaces/ts.refactor.convertParamsToDestructuredObject.ts"(){UXe()}});function JXe(e){const{file:t,startPosition:r}=e,i=xCe(t,r),o=vpe(i),s=Ma(o),l={name:kY,description:wY,actions:[]};return s&&e.triggerReason!=="invoked"?et:ng(o)&&(s||mr(o)&&ype(o).isValidConcatenation)?(l.actions.push(OY),[l]):e.preferences.provideRefactorNotApplicableReason?(l.actions.push({...OY,notApplicableReason:Wo(f.Can_only_convert_string_concatenations_and_string_literals)}),[l]):et}function xCe(e,t){const r=po(e,t),i=vpe(r);return!ype(i).isValidConcatenation&&Gd(i.parent)&&mr(i.parent.parent)?i.parent.parent:r}function KXe(e,t){const{file:r,startPosition:i}=e,o=xCe(r,i);switch(t){case wY:return{edits:XXe(e,o)};default:return N.fail("invalid action")}}function XXe(e,t){const r=vpe(t),i=e.file,o=QXe(ype(r),i),s=a0(i.text,r.end);if(s){const l=s[s.length-1],u={pos:s[0].pos,end:l.end};return _r.ChangeTracker.with(e,p=>{p.deleteRange(i,u),p.replaceNode(i,r,o)})}else return _r.ChangeTracker.with(e,l=>l.replaceNode(i,r,o))}function YXe(e){return!(e.operatorToken.kind===64||e.operatorToken.kind===65)}function vpe(e){return Gn(e.parent,r=>{switch(r.kind){case 211:case 212:return!1;case 228:case 226:return!(mr(r.parent)&&YXe(r.parent));default:return"quit"}})||e}function ype(e){const t=l=>{if(!mr(l))return{nodes:[l],operators:[],validOperators:!0,hasString:Ma(l)||rI(l)};const{nodes:u,operators:p,hasString:h,validOperators:m}=t(l.left);if(!(h||Ma(l.right)||rF(l.right)))return{nodes:[l],operators:[],hasString:!1,validOperators:!0};const b=l.operatorToken.kind===40,A=m&&b;return u.push(l.right),p.push(l.operatorToken),{nodes:u,operators:p,hasString:!0,validOperators:A}},{nodes:r,operators:i,validOperators:o,hasString:s}=t(e);return{nodes:r,operators:i,isValidConcatenation:o&&s}}function $Xe(e){return e.replace(/\\.|[$`]/g,t=>t[0]==="\\"?t:"\\"+t)}function RCe(e){const t=iI(e)||xH(e)?-2:-1;return fc(e).slice(1,t)}function DCe(e,t){const r=[];let i="",o="";for(;e<t.length;){const s=t[e];if(ls(s))i+=s.text,o+=$Xe(fc(s).slice(1,-1)),r.push(e),e++;else if(rF(s)){i+=s.head.text,o+=RCe(s.head);break}else break}return[e,i,o,r]}function QXe({nodes:e,operators:t},r){const i=CCe(t,r),o=PCe(e,r,i),[s,l,u,p]=DCe(0,e);if(s===e.length){const b=w.createNoSubstitutionTemplateLiteral(l,u);return o(p,b),b}const h=[],m=w.createTemplateHead(l,u);o(p,m);for(let b=s;b<e.length;b++){const A=ZXe(e[b]);i(b,A);const[I,R,M,C]=DCe(b+1,e);b=I-1;const O=b===e.length-1;if(rF(A)){const U=wt(A.templateSpans,(K,Z)=>{NCe(K);const V=Z===A.templateSpans.length-1,se=K.literal.text+(V?R:""),G=RCe(K.literal)+(V?M:"");return w.createTemplateSpan(K.expression,O&&V?w.createTemplateTail(se,G):w.createTemplateMiddle(se,G))});h.push(...U)}else{const U=O?w.createTemplateTail(R,M):w.createTemplateMiddle(R,M);o(C,U),h.push(w.createTemplateSpan(A,U))}}return w.createTemplateExpression(m,h)}function NCe(e){const t=e.getSourceFile();d2(e,e.expression,t,3,!1),SO(e.expression,e.expression,t,3,!1)}function ZXe(e){return Gd(e)&&(NCe(e),e=e.expression),e}var kY,wY,OY,CCe,PCe,eYe=T({"src/services/refactors/convertStringOrTemplateLiteral.ts"(){si(),Dh(),kY="Convert to template string",wY=Wo(f.Convert_to_template_string),OY={name:kY,description:wY,kind:"refactor.rewrite.string"},fg(kY,{kinds:[OY.kind],getEditsForAction:KXe,getAvailableActions:JXe}),CCe=(e,t)=>(r,i)=>{r<e.length&&d2(e[r],i,t,3,!1)},PCe=(e,t,r)=>(i,o)=>{for(;i.length>0;){const s=i.shift();d2(e[s],o,t,3,!1),r(s,o)}}}}),tYe={},nYe=T({"src/services/_namespaces/ts.refactor.convertStringOrTemplateLiteral.ts"(){eYe()}});function rYe(e){const t=MCe(e,e.triggerReason==="invoked");return t?Xg(t)?e.preferences.provideRefactorNotApplicableReason?[{name:oz,description:zY,actions:[{...BY,notApplicableReason:t.error}]}]:et:[{name:oz,description:zY,actions:[BY]}]:et}function iYe(e,t){const r=MCe(e);return N.assert(r&&!Xg(r),"Expected applicable refactor info"),{edits:_r.ChangeTracker.with(e,o=>pYe(e.file,e.program.getTypeChecker(),o,r)),renameFilename:void 0,renameLocation:void 0}}function WY(e){return mr(e)||XR(e)}function oYe(e){return eu(e)||Dm(e)||Ll(e)}function FY(e){return WY(e)||oYe(e)}function MCe(e,t=!0){const{file:r,program:i}=e,o=LI(e),s=o.length===0;if(s&&!t)return;const l=po(r,o.start),u=a5(r,o.start+o.length),p=pc(l.pos,u&&u.end>=l.pos?u.getEnd():l.getEnd()),h=s?uYe(l):cYe(l,p),m=h&&FY(h)?dYe(h):void 0;if(!m)return{error:Wo(f.Could_not_find_convertible_access_expression)};const b=i.getTypeChecker();return XR(m)?aYe(m,b):sYe(m)}function aYe(e,t){const r=e.condition,i=Epe(e.whenTrue);if(!i||t.isNullableType(t.getTypeAtLocation(i)))return{error:Wo(f.Could_not_find_convertible_access_expression)};if((Fr(r)||Ve(r))&&bpe(r,i.expression))return{finalExpression:i,occurrences:[r],expression:e};if(mr(r)){const o=LCe(i.expression,r);return o?{finalExpression:i,occurrences:o,expression:e}:{error:Wo(f.Could_not_find_matching_access_expressions)}}}function sYe(e){if(e.operatorToken.kind!==56)return{error:Wo(f.Can_only_convert_logical_AND_access_chains)};const t=Epe(e.right);if(!t)return{error:Wo(f.Could_not_find_convertible_access_expression)};const r=LCe(t.expression,e.left);return r?{finalExpression:t,occurrences:r,expression:e}:{error:Wo(f.Could_not_find_matching_access_expressions)}}function LCe(e,t){const r=[];for(;mr(t)&&t.operatorToken.kind===56;){const o=bpe(hs(e),hs(t.right));if(!o)break;r.push(o),e=o,t=t.left}const i=bpe(e,t);return i&&r.push(i),r.length>0?r:void 0}function bpe(e,t){if(!(!Ve(t)&&!Fr(t)&&!Qs(t)))return lYe(e,t)?t:void 0}function lYe(e,t){for(;(la(e)||Fr(e)||Qs(e))&&GO(e)!==GO(t);)e=e.expression;for(;Fr(e)&&Fr(t)||Qs(e)&&Qs(t);){if(GO(e)!==GO(t))return!1;e=e.expression,t=t.expression}return Ve(e)&&Ve(t)&&e.getText()===t.getText()}function GO(e){if(Ve(e)||nf(e))return e.getText();if(Fr(e))return GO(e.name);if(Qs(e))return GO(e.argumentExpression)}function cYe(e,t){for(;e.parent;){if(FY(e)&&t.length!==0&&e.end>=t.start+t.length)return e;e=e.parent}}function uYe(e){for(;e.parent;){if(FY(e)&&!FY(e.parent))return e;e=e.parent}}function dYe(e){if(WY(e))return e;if(Ll(e)){const t=zA(e),r=t==null?void 0:t.initializer;return r&&WY(r)?r:void 0}return e.expression&&WY(e.expression)?e.expression:void 0}function Epe(e){if(e=hs(e),mr(e))return Epe(e.left);if((Fr(e)||Qs(e)||la(e))&&!qu(e))return e}function kCe(e,t,r){if(Fr(t)||Qs(t)||la(t)){const i=kCe(e,t.expression,r),o=r.length>0?r[r.length-1]:void 0,s=(o==null?void 0:o.getText())===t.expression.getText();if(s&&r.pop(),la(t))return s?w.createCallChain(i,w.createToken(29),t.typeArguments,t.arguments):w.createCallChain(i,t.questionDotToken,t.typeArguments,t.arguments);if(Fr(t))return s?w.createPropertyAccessChain(i,w.createToken(29),t.name):w.createPropertyAccessChain(i,t.questionDotToken,t.name);if(Qs(t))return s?w.createElementAccessChain(i,w.createToken(29),t.argumentExpression):w.createElementAccessChain(i,t.questionDotToken,t.argumentExpression)}return t}function pYe(e,t,r,i,o){const{finalExpression:s,occurrences:l,expression:u}=i,p=l[l.length-1],h=kCe(t,s,l);h&&(Fr(h)||Qs(h)||la(h))&&(mr(u)?r.replaceNodeRange(e,p,s,h):XR(u)&&r.replaceNode(e,u,w.createBinaryExpression(h,w.createToken(61),u.whenFalse)))}var oz,zY,BY,fYe=T({"src/services/refactors/convertToOptionalChainExpression.ts"(){si(),Dh(),oz="Convert to optional chain expression",zY=Wo(f.Convert_to_optional_chain_expression),BY={name:oz,description:zY,kind:"refactor.rewrite.expression.optionalChain"},fg(oz,{kinds:[BY.kind],getEditsForAction:iYe,getAvailableActions:rYe})}}),mYe={},_Ye=T({"src/services/_namespaces/ts.refactor.convertToOptionalChainExpression.ts"(){fYe()}});function wCe(e){const t=e.kind,r=Spe(e.file,LI(e),e.triggerReason==="invoked"),i=r.targetRange;if(i===void 0){if(!r.errors||r.errors.length===0||!e.preferences.provideRefactorNotApplicableReason)return et;const R=[];return z0(WD.kind,t)&&R.push({name:wD,description:WD.description,actions:[{...WD,notApplicableReason:I(r.errors)}]}),z0(OD.kind,t)&&R.push({name:wD,description:OD.description,actions:[{...OD,notApplicableReason:I(r.errors)}]}),R}const o=EYe(i,e);if(o===void 0)return et;const s=[],l=new Map;let u;const p=[],h=new Map;let m,b=0;for(const{functionExtraction:R,constantExtraction:M}of o){if(z0(WD.kind,t)){const C=R.description;R.errors.length===0?l.has(C)||(l.set(C,!0),s.push({description:C,name:`function_scope_${b}`,kind:WD.kind})):u||(u={description:C,name:`function_scope_${b}`,notApplicableReason:I(R.errors),kind:WD.kind})}if(z0(OD.kind,t)){const C=M.description;M.errors.length===0?h.has(C)||(h.set(C,!0),p.push({description:C,name:`constant_scope_${b}`,kind:OD.kind})):m||(m={description:C,name:`constant_scope_${b}`,notApplicableReason:I(M.errors),kind:OD.kind})}b++}const A=[];return s.length?A.push({name:wD,description:Wo(f.Extract_function),actions:s}):e.preferences.provideRefactorNotApplicableReason&&u&&A.push({name:wD,description:Wo(f.Extract_function),actions:[u]}),p.length?A.push({name:wD,description:Wo(f.Extract_constant),actions:p}):e.preferences.provideRefactorNotApplicableReason&&m&&A.push({name:wD,description:Wo(f.Extract_constant),actions:[m]}),A.length?A:et;function I(R){let M=R[0].messageText;return typeof M!="string"&&(M=M.messageText),M}}function OCe(e,t){const i=Spe(e.file,LI(e)).targetRange,o=/^function_scope_(\d+)$/.exec(t);if(o){const l=+o[1];return N.assert(isFinite(l),"Expected to parse a finite number from the function scope index"),yYe(i,e,l)}const s=/^constant_scope_(\d+)$/.exec(t);if(s){const l=+s[1];return N.assert(isFinite(l),"Expected to parse a finite number from the constant scope index"),bYe(i,e,l)}N.fail("Unrecognized action name")}function Spe(e,t,r=!0){const{length:i}=t;if(i===0&&!r)return{errors:[Qc(e,t.start,i,Tu.cannotExtractEmpty)]};const o=i===0&&r,s=Cue(e,t.start),l=a5(e,Kl(t)),u=s&&l&&r?hYe(s,l,e):t,p=o?GYe(s):vO(s,e,u),h=o?p:vO(l,e,u);let m=0,b;if(!p||!h)return{errors:[Qc(e,t.start,i,Tu.cannotExtractRange)]};if(p.flags&16777216)return{errors:[Qc(e,t.start,i,Tu.cannotExtractJSDoc)]};if(p.parent!==h.parent)return{errors:[Qc(e,t.start,i,Tu.cannotExtractRange)]};if(p!==h){if(!FCe(p.parent))return{errors:[Qc(e,t.start,i,Tu.cannotExtractRange)]};const U=[];for(const K of p.parent.statements){if(K===p||U.length){const Z=O(K);if(Z)return{errors:Z};U.push(K)}if(K===h)break}return U.length?{targetRange:{range:U,facts:m,thisNode:b}}:{errors:[Qc(e,t.start,i,Tu.cannotExtractRange)]}}if(Dm(p)&&!p.expression)return{errors:[Qc(e,t.start,i,Tu.cannotExtractRange)]};const A=R(p),I=M(A)||O(A);if(I)return{errors:I};return{targetRange:{range:gYe(A),facts:m,thisNode:b}};function R(U){if(Dm(U)){if(U.expression)return U.expression}else if(Ll(U)||Uc(U)){const K=Ll(U)?U.declarationList.declarations:U.declarations;let Z=0,V;for(const se of K)se.initializer&&(Z++,V=se.initializer);if(Z===1)return V}else if(wi(U)&&U.initializer)return U.initializer;return U}function M(U){if(Ve(eu(U)?U.expression:U))return[wr(U,Tu.cannotExtractIdentifier)]}function C(U,K){let Z=U;for(;Z!==K;){if(Z.kind===172){sa(Z)&&(m|=32);break}else if(Z.kind===169){Gp(Z).kind===176&&(m|=32);break}else Z.kind===174&&sa(Z)&&(m|=32);Z=Z.parent}}function O(U){let K;if((he=>{he[he.None=0]="None",he[he.Break=1]="Break",he[he.Continue=2]="Continue",he[he.Return=4]="Return"})(K||(K={})),N.assert(U.pos<=U.end,"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809 (1)"),N.assert(!Zm(U.pos),"This failure could trigger https://github.com/Microsoft/TypeScript/issues/20809 (2)"),!qi(U)&&!(ng(U)&&WCe(U))&&!Rpe(U))return[wr(U,Tu.statementOrExpressionExpected)];if(U.flags&33554432)return[wr(U,Tu.cannotExtractAmbientBlock)];const Z=su(U);Z&&C(U,Z);let V,se=4,G;if(ne(U),m&8){const he=Fd(U,!1,!1);(he.kind===262||he.kind===174&&he.parent.kind===210||he.kind===218)&&(m|=16)}return V;function ne(he){if(V)return!0;if(Ju(he)){const $=he.kind===260?he.parent.parent:he;if(Zr($,32))return(V||(V=[])).push(wr(he,Tu.cannotExtractExportedEntity)),!0}switch(he.kind){case 272:return(V||(V=[])).push(wr(he,Tu.cannotExtractImport)),!0;case 277:return(V||(V=[])).push(wr(he,Tu.cannotExtractExportedEntity)),!0;case 108:if(he.parent.kind===213){const $=su(he);if($===void 0||$.pos<t.start||$.end>=t.start+t.length)return(V||(V=[])).push(wr(he,Tu.cannotExtractSuper)),!0}else m|=8,b=he;break;case 219:Ho(he,function $(Y){if(ID(Y))m|=8,b=he;else{if(ui(Y)||ea(Y)&&!Gs(Y))return!1;Ho(Y,$)}});case 263:case 262:$i(he.parent)&&he.parent.externalModuleIndicator===void 0&&(V||(V=[])).push(wr(he,Tu.functionWillNotBeVisibleInTheNewScope));case 231:case 218:case 174:case 176:case 177:case 178:return!1}const ye=se;switch(he.kind){case 245:se&=-5;break;case 258:se=0;break;case 241:he.parent&&he.parent.kind===258&&he.parent.finallyBlock===he&&(se=4);break;case 297:case 296:se|=1;break;default:Wy(he,!1)&&(se|=3);break}switch(he.kind){case 197:case 110:m|=8,b=he;break;case 256:{const $=he.label;(G||(G=[])).push($.escapedText),Ho(he,ne),G.pop();break}case 252:case 251:{const $=he.label;$?Nr(G,$.escapedText)||(V||(V=[])).push(wr(he,Tu.cannotExtractRangeContainingLabeledBreakOrContinueStatementWithTargetOutsideOfTheRange)):se&(he.kind===252?1:2)||(V||(V=[])).push(wr(he,Tu.cannotExtractRangeContainingConditionalBreakOrContinueStatements));break}case 223:m|=4;break;case 229:m|=2;break;case 253:se&4?m|=1:(V||(V=[])).push(wr(he,Tu.cannotExtractRangeContainingConditionalReturnStatement));break;default:Ho(he,ne);break}se=ye}}}function hYe(e,t,r){const i=e.getStart(r);let o=t.getEnd();return r.text.charCodeAt(o)===59&&o++,{start:i,length:o-i}}function gYe(e){if(qi(e))return[e];if(ng(e))return eu(e.parent)?[e.parent]:e;if(Rpe(e))return e}function Tpe(e){return Gs(e)?dj(e.body):Bs(e)||$i(e)||O_(e)||ui(e)}function vYe(e){let t=ry(e.range)?Ha(e.range):e.range;if(e.facts&8&&!(e.facts&16)){const i=su(t);if(i){const o=Gn(t,Bs);return o?[o,i]:[i]}}const r=[];for(;;)if(t=t.parent,t.kind===169&&(t=Gn(t,i=>Bs(i)).parent),Tpe(t)&&(r.push(t),t.kind===312))return r}function yYe(e,t,r){const{scopes:i,readsAndWrites:{target:o,usagesPerScope:s,functionErrorsPerScope:l,exposedVariableDeclarations:u}}=Ape(e,t);return N.assert(!l[r].length,"The extraction went missing? How?"),t.cancellationToken.throwIfCancellationRequested(),RYe(o,i[r],s[r],u,e,t)}function bYe(e,t,r){const{scopes:i,readsAndWrites:{target:o,usagesPerScope:s,constantErrorsPerScope:l,exposedVariableDeclarations:u}}=Ape(e,t);N.assert(!l[r].length,"The extraction went missing? How?"),N.assert(u.length===0,"Extract constant accepted a range containing a variable declaration?"),t.cancellationToken.throwIfCancellationRequested();const p=yt(o)?o:o.statements[0].expression;return DYe(p,i[r],s[r],e.facts,t)}function EYe(e,t){const{scopes:r,readsAndWrites:{functionErrorsPerScope:i,constantErrorsPerScope:o}}=Ape(e,t);return r.map((l,u)=>{const p=SYe(l),h=TYe(l),m=Bs(l)?AYe(l):ui(l)?IYe(l):xYe(l);let b,A;return m===1?(b=lg(Wo(f.Extract_to_0_in_1_scope),[p,"global"]),A=lg(Wo(f.Extract_to_0_in_1_scope),[h,"global"])):m===0?(b=lg(Wo(f.Extract_to_0_in_1_scope),[p,"module"]),A=lg(Wo(f.Extract_to_0_in_1_scope),[h,"module"])):(b=lg(Wo(f.Extract_to_0_in_1),[p,m]),A=lg(Wo(f.Extract_to_0_in_1),[h,m])),u===0&&!ui(l)&&(A=lg(Wo(f.Extract_to_0_in_enclosing_scope),[h])),{functionExtraction:{description:b,errors:i[u]},constantExtraction:{description:A,errors:o[u]}}})}function Ape(e,t){const{file:r}=t,i=vYe(e),o=zYe(e,r),s=BYe(e,i,o,r,t.program.getTypeChecker(),t.cancellationToken);return{scopes:i,readsAndWrites:s}}function SYe(e){return Bs(e)?"inner function":ui(e)?"method":"function"}function TYe(e){return ui(e)?"readonly field":"constant"}function AYe(e){switch(e.kind){case 176:return"constructor";case 218:case 262:return e.name?`function '${e.name.text}'`:F5;case 219:return"arrow function";case 174:return`method '${e.name.getText()}'`;case 177:return`'get ${e.name.getText()}'`;case 178:return`'set ${e.name.getText()}'`;default:N.assertNever(e,`Unexpected scope kind ${e.kind}`)}}function IYe(e){return e.kind===263?e.name?`class '${e.name.text}'`:"anonymous class declaration":e.name?`class expression '${e.name.text}'`:"anonymous class expression"}function xYe(e){return e.kind===268?`namespace '${e.parent.name.getText()}'`:e.externalModuleIndicator?0:1}function RYe(e,t,{usages:r,typeParameterUsages:i,substitutions:o},s,l,u){const p=u.program.getTypeChecker(),h=os(u.program.getCompilerOptions()),m=Fu.createImportAdder(u.file,u.program,u.preferences,u.host),b=t.getSourceFile(),A=cT(ui(t)?"newMethod":"newFunction",b),I=lr(t),R=w.createIdentifier(A);let M;const C=[],O=[];let U;r.forEach((De,Pe)=>{let We;if(!I){let Se=p.getTypeOfSymbolAtLocation(De.symbol,De.node);Se=p.getBaseTypeOfLiteralType(Se),We=Fu.typeToAutoImportableTypeNode(p,m,Se,t,h,1)}const At=w.createParameterDeclaration(void 0,void 0,Pe,void 0,We);C.push(At),De.usage===2&&(U||(U=[])).push(De),O.push(w.createIdentifier(Pe))});const Z=zo(i.values(),De=>({type:De,declaration:CYe(De,u.startPosition)})).sort(PYe),V=Z.length===0?void 0:Hi(Z,({declaration:De})=>De),se=V!==void 0?V.map(De=>w.createTypeReferenceNode(De.name,void 0)):void 0;if(yt(e)&&!I){const De=p.getContextualType(e);M=p.typeToTypeNode(De,t,1)}const{body:G,returnValueProperty:ne}=LYe(e,s,U,o,!!(l.facts&1));Ap(G);let he;const ye=!!(l.facts&16);if(ui(t)){const De=I?[]:[w.createModifier(123)];l.facts&32&&De.push(w.createModifier(126)),l.facts&4&&De.push(w.createModifier(134)),he=w.createMethodDeclaration(De.length?De:void 0,l.facts&2?w.createToken(42):void 0,R,void 0,V,C,M,G)}else ye&&C.unshift(w.createParameterDeclaration(void 0,void 0,"this",void 0,p.typeToTypeNode(p.getTypeAtLocation(l.thisNode),t,1),void 0)),he=w.createFunctionDeclaration(l.facts&4?[w.createToken(134)]:void 0,l.facts&2?w.createToken(42):void 0,R,V,C,M,G);const $=_r.ChangeTracker.fromContext(u),Y=(ry(l.range)?Ya(l.range):l.range).end,oe=OYe(Y,t);oe?$.insertNodeBefore(u.file,oe,he,!0):$.insertNodeAtEndOfScope(u.file,t,he),m.writeFixes($);const fe=[],Ne=MYe(t,l,A);ye&&O.unshift(w.createIdentifier("this"));let pe=w.createCallExpression(ye?w.createPropertyAccessExpression(Ne,"call"):Ne,se,O);if(l.facts&2&&(pe=w.createYieldExpression(w.createToken(42),pe)),l.facts&4&&(pe=w.createAwaitExpression(pe)),xpe(e)&&(pe=w.createJsxExpression(void 0,pe)),s.length&&!U)if(N.assert(!ne,"Expected no returnValueProperty"),N.assert(!(l.facts&1),"Expected RangeFacts.HasReturn flag to be unset"),s.length===1){const De=s[0];fe.push(w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(cl(De.name),void 0,cl(De.type),pe)],De.parent.flags)))}else{const De=[],Pe=[];let We=s[0].parent.flags,At=!1;for(const je of s){De.push(w.createBindingElement(void 0,void 0,cl(je.name)));const at=p.typeToTypeNode(p.getBaseTypeOfLiteralType(p.getTypeAtLocation(je)),t,1);Pe.push(w.createPropertySignature(void 0,je.symbol.name,void 0,at)),At=At||je.type!==void 0,We=We&je.parent.flags}const Se=At?w.createTypeLiteralNode(Pe):void 0;Se&&pr(Se,1),fe.push(w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(w.createObjectBindingPattern(De),void 0,Se,pe)],We)))}else if(s.length||U){if(s.length)for(const Pe of s){let We=Pe.parent.flags;We&2&&(We=We&-3|1),fe.push(w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(Pe.symbol.name,void 0,Ee(Pe.type))],We)))}ne&&fe.push(w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(ne,void 0,Ee(M))],1)));const De=Ipe(s,U);ne&&De.unshift(w.createShorthandPropertyAssignment(ne)),De.length===1?(N.assert(!ne,"Shouldn't have returnValueProperty here"),fe.push(w.createExpressionStatement(w.createAssignment(De[0].name,pe))),l.facts&1&&fe.push(w.createReturnStatement())):(fe.push(w.createExpressionStatement(w.createAssignment(w.createObjectLiteralExpression(De),pe))),ne&&fe.push(w.createReturnStatement(w.createIdentifier(ne))))}else l.facts&1?fe.push(w.createReturnStatement(pe)):ry(l.range)?fe.push(w.createExpressionStatement(pe)):fe.push(pe);ry(l.range)?$.replaceNodeRangeWithNodes(u.file,Ha(l.range),Ya(l.range),fe):$.replaceNodeWithNodes(u.file,l.range,fe);const ie=$.getChanges(),Le=(ry(l.range)?Ha(l.range):l.range).getSourceFile().fileName,He=EO(ie,Le,A,!1);return{renameFilename:Le,renameLocation:He,edits:ie};function Ee(De){if(De===void 0)return;const Pe=cl(De);let We=Pe;for(;GS(We);)We=We.type;return Qy(We)&&An(We.types,At=>At.kind===157)?Pe:w.createUnionTypeNode([Pe,w.createKeywordTypeNode(157)])}}function DYe(e,t,{substitutions:r},i,o){const s=o.program.getTypeChecker(),l=t.getSourceFile(),u=Fr(e)&&!ui(t)&&!s.resolveName(e.name.text,e,111551,!1)&&!Ji(e.name)&&!u0(e.name)?e.name.text:cT(ui(t)?"newProperty":"newLocal",l),p=lr(t);let h=p||!s.isContextSensitive(e)?void 0:s.typeToTypeNode(s.getContextualType(e),t,1),m=kYe(hs(e),r);({variableType:h,initializer:m}=M(h,m)),Ap(m);const b=_r.ChangeTracker.fromContext(o);if(ui(t)){N.assert(!p,"Cannot extract to a JS class");const C=[];C.push(w.createModifier(123)),i&32&&C.push(w.createModifier(126)),C.push(w.createModifier(148));const O=w.createPropertyDeclaration(C,u,void 0,h,m);let U=w.createPropertyAccessExpression(i&32?w.createIdentifier(t.name.getText()):w.createThis(),w.createIdentifier(u));xpe(e)&&(U=w.createJsxExpression(void 0,U));const K=e.pos,Z=WYe(K,t);b.insertNodeBefore(o.file,Z,O,!0),b.replaceNode(o.file,e,U)}else{const C=w.createVariableDeclaration(u,void 0,h,m),O=NYe(e,t);if(O){b.insertNodeBefore(o.file,O,C);const U=w.createIdentifier(u);b.replaceNode(o.file,e,U)}else if(e.parent.kind===244&&t===Gn(e,Tpe)){const U=w.createVariableStatement(void 0,w.createVariableDeclarationList([C],2));b.replaceNode(o.file,e.parent,U)}else{const U=w.createVariableStatement(void 0,w.createVariableDeclarationList([C],2)),K=FYe(e,t);if(K.pos===0?b.insertNodeAtTopOfFile(o.file,U,!1):b.insertNodeBefore(o.file,K,U,!1),e.parent.kind===244)b.delete(o.file,e.parent);else{let Z=w.createIdentifier(u);xpe(e)&&(Z=w.createJsxExpression(void 0,Z)),b.replaceNode(o.file,e,Z)}}}const A=b.getChanges(),I=e.getSourceFile().fileName,R=EO(A,I,u,!0);return{renameFilename:I,renameLocation:R,edits:A};function M(C,O){if(C===void 0)return{variableType:C,initializer:O};if(!Os(O)&&!Gs(O)||O.typeParameters)return{variableType:C,initializer:O};const U=s.getTypeAtLocation(e),K=sh(s.getSignaturesOfType(U,0));if(!K)return{variableType:C,initializer:O};if(K.getTypeParameters())return{variableType:C,initializer:O};const Z=[];let V=!1;for(const se of O.parameters)if(se.type)Z.push(se);else{const G=s.getTypeAtLocation(se);G===s.getAnyType()&&(V=!0),Z.push(w.updateParameterDeclaration(se,se.modifiers,se.dotDotDotToken,se.name,se.questionToken,se.type||s.typeToTypeNode(G,t,1),se.initializer))}if(V)return{variableType:C,initializer:O};if(C=void 0,Gs(O))O=w.updateArrowFunction(O,Cm(e)?ME(e):void 0,O.typeParameters,Z,O.type||s.typeToTypeNode(K.getReturnType(),t,1),O.equalsGreaterThanToken,O.body);else{if(K&&K.thisParameter){const se=Xc(Z);if(!se||Ve(se.name)&&se.name.escapedText!=="this"){const G=s.getTypeOfSymbolAtLocation(K.thisParameter,e);Z.splice(0,0,w.createParameterDeclaration(void 0,void 0,"this",void 0,s.typeToTypeNode(G,t,1)))}}O=w.updateFunctionExpression(O,Cm(e)?ME(e):void 0,O.asteriskToken,O.name,O.typeParameters,Z,O.type||s.typeToTypeNode(K.getReturnType(),t,1),O.body)}return{variableType:C,initializer:O}}}function NYe(e,t){let r;for(;e!==void 0&&e!==t;){if(wi(e)&&e.initializer===r&&Uc(e.parent)&&e.parent.declarations.length>1)return e;r=e,e=e.parent}}function CYe(e,t){let r;const i=e.symbol;if(i&&i.declarations)for(const o of i.declarations)(r===void 0||o.pos<r.pos)&&o.pos<t&&(r=o);return r}function PYe({type:e,declaration:t},{type:r,declaration:i}){return wne(t,i,"pos",rl)||Uu(e.symbol?e.symbol.getName():"",r.symbol?r.symbol.getName():"")||rl(e.id,r.id)}function MYe(e,t,r){const i=w.createIdentifier(r);if(ui(e)){const o=t.facts&32?w.createIdentifier(e.name.text):w.createThis();return w.createPropertyAccessExpression(o,i)}else return i}function LYe(e,t,r,i,o){const s=r!==void 0||t.length>0;if(Xo(e)&&!s&&i.size===0)return{body:w.createBlock(e.statements,!0),returnValueProperty:void 0};let l,u=!1;const p=w.createNodeArray(Xo(e)?e.statements.slice(0):[qi(e)?e:w.createReturnStatement(hs(e))]);if(s||i.size){const m=Vn(p,h,qi).slice();if(s&&!o&&qi(e)){const b=Ipe(t,r);b.length===1?m.push(w.createReturnStatement(b[0].name)):m.push(w.createReturnStatement(w.createObjectLiteralExpression(b)))}return{body:w.createBlock(m,!0),returnValueProperty:l}}else return{body:w.createBlock(p,!0),returnValueProperty:void 0};function h(m){if(!u&&Dm(m)&&s){const b=Ipe(t,r);return m.expression&&(l||(l="__return"),b.unshift(w.createPropertyAssignment(l,nt(m.expression,h,yt)))),b.length===1?w.createReturnStatement(b[0].name):w.createReturnStatement(w.createObjectLiteralExpression(b))}else{const b=u;u=u||Bs(m)||ui(m);const A=i.get(as(m).toString()),I=A?cl(A):In(m,h,void 0);return u=b,I}}}function kYe(e,t){return t.size?r(e):e;function r(i){const o=t.get(as(i).toString());return o?cl(o):In(i,r,void 0)}}function wYe(e){if(Bs(e)){const t=e.body;if(Xo(t))return t.statements}else{if(O_(e)||$i(e))return e.statements;if(ui(e))return e.members}return et}function OYe(e,t){return An(wYe(t),r=>r.pos>=e&&Bs(r)&&!Ml(r))}function WYe(e,t){const r=t.members;N.assert(r.length>0,"Found no members");let i,o=!0;for(const s of r){if(s.pos>e)return i||r[0];if(o&&!Jo(s)){if(i!==void 0)return s;o=!1}i=s}return i===void 0?N.fail():i}function FYe(e,t){N.assert(!ui(t));let r;for(let i=e;i!==t;i=i.parent)Tpe(i)&&(r=i);for(let i=(r||e).parent;;i=i.parent){if(FCe(i)){let o;for(const s of i.statements){if(s.pos>e.pos)break;o=s}return!o&&YR(i)?(N.assert(EP(i.parent.parent),"Grandparent isn't a switch statement"),i.parent.parent):N.checkDefined(o,"prevStatement failed to get set")}N.assert(i!==t,"Didn't encounter a block-like before encountering scope")}}function Ipe(e,t){const r=wt(e,o=>w.createShorthandPropertyAssignment(o.symbol.name)),i=wt(t,o=>w.createShorthandPropertyAssignment(o.symbol.name));return r===void 0?i:i===void 0?r:r.concat(i)}function ry(e){return To(e)}function zYe(e,t){return ry(e.range)?{pos:Ha(e.range).getStart(t),end:Ya(e.range).getEnd()}:e.range}function BYe(e,t,r,i,o,s){const l=new Map,u=[],p=[],h=[],m=[],b=[],A=new Map,I=[];let R;const M=ry(e.range)?e.range.length===1&&eu(e.range[0])?e.range[0].expression:void 0:e.range;let C;if(M===void 0){const oe=e.range,fe=Ha(oe).getStart(),Ne=Ya(oe).end;C=Qc(i,fe,Ne-fe,Tu.expressionExpected)}else o.getTypeAtLocation(M).flags&147456&&(C=wr(M,Tu.uselessConstantType));for(const oe of t){u.push({usages:new Map,typeParameterUsages:new Map,substitutions:new Map}),p.push(new Map),h.push([]);const fe=[];C&&fe.push(C),ui(oe)&&lr(oe)&&fe.push(wr(oe,Tu.cannotExtractToJSClass)),Gs(oe)&&!Xo(oe.body)&&fe.push(wr(oe,Tu.cannotExtractToExpressionArrowFunction)),m.push(fe)}const O=new Map,U=ry(e.range)?w.createBlock(e.range):e.range,K=ry(e.range)?Ha(e.range):e.range,Z=V(K);if(G(U),Z&&!ry(e.range)&&!F_(e.range)){const oe=o.getContextualType(e.range);se(oe)}if(l.size>0){const oe=new Map;let fe=0;for(let Ne=K;Ne!==void 0&&fe<t.length;Ne=Ne.parent)if(Ne===t[fe]&&(oe.forEach((pe,ie)=>{u[fe].typeParameterUsages.set(ie,pe)}),fe++),Cj(Ne))for(const pe of ky(Ne)){const ie=o.getTypeAtLocation(pe);l.has(ie.id.toString())&&oe.set(ie.id.toString(),ie)}N.assert(fe===t.length,"Should have iterated all scopes")}if(b.length){const oe=Nj(t[0],t[0].parent)?t[0]:hh(t[0]);Ho(oe,ye)}for(let oe=0;oe<t.length;oe++){const fe=u[oe];if(oe>0&&(fe.usages.size>0||fe.typeParameterUsages.size>0)){const ie=ry(e.range)?e.range[0]:e.range;m[oe].push(wr(ie,Tu.cannotAccessVariablesFromNestedScopes))}e.facts&16&&ui(t[oe])&&h[oe].push(wr(e.thisNode,Tu.cannotExtractFunctionsContainingThisToMethod));let Ne=!1,pe;if(u[oe].usages.forEach(ie=>{ie.usage===2&&(Ne=!0,ie.symbol.flags&106500&&ie.symbol.valueDeclaration&&gp(ie.symbol.valueDeclaration,8)&&(pe=ie.symbol.valueDeclaration))}),N.assert(ry(e.range)||I.length===0,"No variable declarations expected if something was extracted"),Ne&&!ry(e.range)){const ie=wr(e.range,Tu.cannotWriteInExpression);h[oe].push(ie),m[oe].push(ie)}else if(pe&&oe>0){const ie=wr(pe,Tu.cannotExtractReadonlyPropertyInitializerOutsideConstructor);h[oe].push(ie),m[oe].push(ie)}else if(R){const ie=wr(R,Tu.cannotExtractExportedEntity);h[oe].push(ie),m[oe].push(ie)}}return{target:U,usagesPerScope:u,functionErrorsPerScope:h,constantErrorsPerScope:m,exposedVariableDeclarations:I};function V(oe){return!!Gn(oe,fe=>Cj(fe)&&ky(fe).length!==0)}function se(oe){const fe=o.getSymbolWalker(()=>(s.throwIfCancellationRequested(),!0)),{visitedTypes:Ne}=fe.walkType(oe);for(const pe of Ne)pe.isTypeParameter()&&l.set(pe.id.toString(),pe)}function G(oe,fe=1){if(Z){const Ne=o.getTypeAtLocation(oe);se(Ne)}if(Ju(oe)&&oe.symbol&&b.push(oe),Lc(oe))G(oe.left,2),G(oe.right);else if(Hre(oe))G(oe.operand,2);else if(Fr(oe)||Qs(oe))Ho(oe,G);else if(Ve(oe)){if(!oe.parent||xd(oe.parent)&&oe!==oe.parent.left||Fr(oe.parent)&&oe!==oe.parent.expression)return;ne(oe,fe,tg(oe))}else Ho(oe,G)}function ne(oe,fe,Ne){const pe=he(oe,fe,Ne);if(pe)for(let ie=0;ie<t.length;ie++){const ve=p[ie].get(pe);ve&&u[ie].substitutions.set(as(oe).toString(),ve)}}function he(oe,fe,Ne){const pe=$(oe);if(!pe)return;const ie=Ta(pe).toString(),ve=O.get(ie);if(ve&&ve>=fe)return ie;if(O.set(ie,fe),ve){for(const Ee of u)Ee.usages.get(oe.text)&&Ee.usages.set(oe.text,{usage:fe,symbol:pe,node:oe});return ie}const Le=pe.getDeclarations(),He=Le&&An(Le,Ee=>Ee.getSourceFile()===i);if(He&&!cO(r,He.getStart(),He.end)){if(e.facts&2&&fe===2){const Ee=wr(oe,Tu.cannotExtractRangeThatContainsWritesToReferencesLocatedOutsideOfTheTargetRangeInGenerators);for(const De of h)De.push(Ee);for(const De of m)De.push(Ee)}for(let Ee=0;Ee<t.length;Ee++){const De=t[Ee];if(o.resolveName(pe.name,De,pe.flags,!1)!==pe&&!p[Ee].has(ie)){const We=Y(pe.exportSymbol||pe,De,Ne);if(We)p[Ee].set(ie,We);else if(Ne){if(!(pe.flags&262144)){const At=wr(oe,Tu.typeWillNotBeVisibleInTheNewScope);h[Ee].push(At),m[Ee].push(At)}}else u[Ee].usages.set(oe.text,{usage:fe,symbol:pe,node:oe})}}return ie}}function ye(oe){if(oe===e.range||ry(e.range)&&e.range.includes(oe))return;const fe=Ve(oe)?$(oe):o.getSymbolAtLocation(oe);if(fe){const Ne=An(b,pe=>pe.symbol===fe);if(Ne)if(wi(Ne)){const pe=Ne.symbol.id.toString();A.has(pe)||(I.push(Ne),A.set(pe,!0))}else R=R||Ne}Ho(oe,ye)}function $(oe){return oe.parent&&rp(oe.parent)&&oe.parent.name===oe?o.getShorthandAssignmentValueSymbol(oe.parent):o.getSymbolAtLocation(oe)}function Y(oe,fe,Ne){if(!oe)return;const pe=oe.getDeclarations();if(pe&&pe.some(ve=>ve.parent===fe))return w.createIdentifier(oe.name);const ie=Y(oe.parent,fe,Ne);if(ie!==void 0)return Ne?w.createQualifiedName(ie,w.createIdentifier(oe.name)):w.createPropertyAccessExpression(ie,oe.name)}}function GYe(e){return Gn(e,t=>t.parent&&WCe(t)&&!mr(t.parent))}function WCe(e){const{parent:t}=e;switch(t.kind){case 306:return!1}switch(e.kind){case 11:return t.kind!==272&&t.kind!==276;case 230:case 206:case 208:return!1;case 80:return t.kind!==208&&t.kind!==276&&t.kind!==281}return!0}function FCe(e){switch(e.kind){case 241:case 312:case 268:case 296:return!0;default:return!1}}function xpe(e){return Rpe(e)||(dg(e)||JS(e)||s1(e))&&(dg(e.parent)||s1(e.parent))}function Rpe(e){return Ma(e)&&e.parent&&F_(e.parent)}var wD,OD,WD,Tu,Dpe,VYe=T({"src/services/refactors/extractSymbol.ts"(){si(),Dh(),wD="Extract Symbol",OD={name:"Extract Constant",description:Wo(f.Extract_constant),kind:"refactor.extract.constant"},WD={name:"Extract Function",description:Wo(f.Extract_function),kind:"refactor.extract.function"},fg(wD,{kinds:[OD.kind,WD.kind],getEditsForAction:OCe,getAvailableActions:wCe}),(e=>{function t(r){return{message:r,code:0,category:3,key:r}}e.cannotExtractRange=t("Cannot extract range."),e.cannotExtractImport=t("Cannot extract import statement."),e.cannotExtractSuper=t("Cannot extract super call."),e.cannotExtractJSDoc=t("Cannot extract JSDoc."),e.cannotExtractEmpty=t("Cannot extract empty range."),e.expressionExpected=t("expression expected."),e.uselessConstantType=t("No reason to extract constant of type."),e.statementOrExpressionExpected=t("Statement or expression expected."),e.cannotExtractRangeContainingConditionalBreakOrContinueStatements=t("Cannot extract range containing conditional break or continue statements."),e.cannotExtractRangeContainingConditionalReturnStatement=t("Cannot extract range containing conditional return statement."),e.cannotExtractRangeContainingLabeledBreakOrContinueStatementWithTargetOutsideOfTheRange=t("Cannot extract range containing labeled break or continue with target outside of the range."),e.cannotExtractRangeThatContainsWritesToReferencesLocatedOutsideOfTheTargetRangeInGenerators=t("Cannot extract range containing writes to references located outside of the target range in generators."),e.typeWillNotBeVisibleInTheNewScope=t("Type will not visible in the new scope."),e.functionWillNotBeVisibleInTheNewScope=t("Function will not visible in the new scope."),e.cannotExtractIdentifier=t("Select more than a single identifier."),e.cannotExtractExportedEntity=t("Cannot extract exported declaration"),e.cannotWriteInExpression=t("Cannot write back side-effects when extracting an expression"),e.cannotExtractReadonlyPropertyInitializerOutsideConstructor=t("Cannot move initialization of read-only class property outside of the constructor"),e.cannotExtractAmbientBlock=t("Cannot extract code from ambient contexts"),e.cannotAccessVariablesFromNestedScopes=t("Cannot access variables from nested scopes"),e.cannotExtractToJSClass=t("Cannot extract constant to a class scope in JS"),e.cannotExtractToExpressionArrowFunction=t("Cannot extract constant to an arrow function without a block"),e.cannotExtractFunctionsContainingThisToMethod=t("Cannot extract functions containing this to method")})(Tu||(Tu={})),Dpe=(e=>(e[e.None=0]="None",e[e.HasReturn=1]="HasReturn",e[e.IsGenerator=2]="IsGenerator",e[e.IsAsyncFunction=4]="IsAsyncFunction",e[e.UsesThis=8]="UsesThis",e[e.UsesThisInFunction=16]="UsesThisInFunction",e[e.InStaticRegion=32]="InStaticRegion",e))(Dpe||{})}}),zCe={};X(zCe,{Messages:()=>Tu,RangeFacts:()=>Dpe,getRangeToExtract:()=>Spe,getRefactorActionsToExtractSymbol:()=>wCe,getRefactorEditsToExtractSymbol:()=>OCe});var jYe=T({"src/services/_namespaces/ts.refactor.extractSymbol.ts"(){VYe()}}),az,GY,VY,UYe=T({"src/services/refactors/generateGetAccessorAndSetAccessor.ts"(){si(),Dh(),az="Generate 'get' and 'set' accessors",GY=Wo(f.Generate_get_and_set_accessors),VY={name:az,description:GY,kind:"refactor.rewrite.property.generateAccessors"},fg(az,{kinds:[VY.kind],getEditsForAction:function(t,r){if(!t.endPosition)return;const i=Fu.getAccessorConvertiblePropertyAtPosition(t.file,t.program,t.startPosition,t.endPosition);N.assert(i&&!Xg(i),"Expected applicable refactor info");const o=Fu.generateAccessorFromProperty(t.file,t.program,t.startPosition,t.endPosition,t,r);if(!o)return;const s=t.file.fileName,l=i.renameAccessor?i.accessorName:i.fieldName,p=(Ve(l)?0:-1)+EO(o,s,l.text,Ao(i.declaration));return{renameFilename:s,renameLocation:p,edits:o}},getAvailableActions(e){if(!e.endPosition)return et;const t=Fu.getAccessorConvertiblePropertyAtPosition(e.file,e.program,e.startPosition,e.endPosition,e.triggerReason==="invoked");return t?Xg(t)?e.preferences.provideRefactorNotApplicableReason?[{name:az,description:GY,actions:[{...VY,notApplicableReason:t.error}]}]:et:[{name:az,description:GY,actions:[VY]}]:et}})}}),HYe={},qYe=T({"src/services/_namespaces/ts.refactor.generateGetAccessorAndSetAccessor.ts"(){UYe()}});function JYe(e){const t=BCe(e);if(t&&!Xg(t))return{renameFilename:void 0,renameLocation:void 0,edits:_r.ChangeTracker.with(e,i=>XYe(e.file,i,t.declaration,t.returnTypeNode))}}function KYe(e){const t=BCe(e);return t?Xg(t)?e.preferences.provideRefactorNotApplicableReason?[{name:sz,description:jY,actions:[{...lz,notApplicableReason:t.error}]}]:et:[{name:sz,description:jY,actions:[lz]}]:et}function XYe(e,t,r,i){const o=vs(r,22,e),s=Gs(r)&&o===void 0,l=s?Ha(r.parameters):o;l&&(s&&(t.insertNodeBefore(e,l,w.createToken(21)),t.insertNodeAfter(e,l,w.createToken(22))),t.insertNodeAt(e,l.end,i,{prefix:": "}))}function BCe(e){if(lr(e.file)||!z0(lz.kind,e.kind))return;const t=Vd(e.file,e.startPosition),r=Gn(t,l=>Xo(l)||l.parent&&Gs(l.parent)&&(l.kind===39||l.parent.body===l)?"quit":YYe(l));if(!r||!r.body||r.type)return{error:Wo(f.Return_type_must_be_inferred_from_a_function)};const i=e.program.getTypeChecker(),o=$Ye(i,r);if(!o)return{error:Wo(f.Could_not_determine_function_return_type)};const s=i.typeToTypeNode(o,r,1);if(s)return{declaration:r,returnTypeNode:s}}function YYe(e){switch(e.kind){case 262:case 218:case 219:case 174:return!0;default:return!1}}function $Ye(e,t){if(e.isImplementationOfOverload(t)){const i=e.getTypeAtLocation(t).getCallSignatures();if(i.length>1)return e.getUnionType(Hi(i,o=>o.getReturnType()))}const r=e.getSignatureFromDeclaration(t);if(r)return e.getReturnTypeOfSignature(r)}var sz,jY,lz,QYe=T({"src/services/refactors/inferFunctionReturnType.ts"(){si(),Dh(),sz="Infer function return type",jY=Wo(f.Infer_function_return_type),lz={name:sz,description:jY,kind:"refactor.rewrite.function.returnType"},fg(sz,{kinds:[lz.kind],getEditsForAction:JYe,getAvailableActions:KYe})}}),ZYe={},e$e=T({"src/services/_namespaces/ts.refactor.inferFunctionReturnType.ts"(){QYe()}}),wI={};X(wI,{addExportToChanges:()=>ipe,addExports:()=>epe,addNewFileToTsconfig:()=>Xde,addOrRemoveBracesToArrowFunction:()=>mXe,containsJsx:()=>ape,convertArrowFunctionOrFunctionExpression:()=>xXe,convertParamsToDestructuredObject:()=>HXe,convertStringOrTemplateLiteral:()=>tYe,convertToOptionalChainExpression:()=>mYe,createNewFileName:()=>ope,createOldFileImportsFromTargetFile:()=>Zde,deleteMovedStatements:()=>$5,deleteUnusedImports:()=>tpe,deleteUnusedOldImports:()=>Yde,doChangeNamedToNamespaceOrDefault:()=>KNe,extractSymbol:()=>zCe,filterImport:()=>wO,forEachImportInStatement:()=>kO,generateGetAccessorAndSetAccessor:()=>HYe,getApplicableRefactors:()=>pKe,getEditsForRefactor:()=>fKe,getStatementsToMove:()=>OO,getTopLevelDeclarationStatement:()=>DY,getUsageInfo:()=>Z5,inferFunctionReturnType:()=>ZYe,isRefactorErrorInfo:()=>Xg,isTopLevelDeclaration:()=>ez,makeImportOrRequire:()=>Q5,moduleSpecifierFromImport:()=>LO,nameOfTopLevelDeclaration:()=>rpe,refactorKindBeginsWith:()=>z0,registerRefactor:()=>fg,updateImportsInOtherFiles:()=>$de});var Dh=T({"src/services/_namespaces/ts.refactor.ts"(){VNe(),bKe(),IKe(),LKe(),kKe(),OKe(),BKe(),sXe(),_Xe(),RXe(),qXe(),nYe(),_Ye(),jYe(),qYe(),e$e()}});function GCe(e,t,r,i){const o=Npe(e,t,r,i);N.assert(o.spans.length%3===0);const s=o.spans,l=[];for(let u=0;u<s.length;u+=3)l.push({textSpan:vu(s[u],s[u+1]),classificationType:s[u+2]});return l}function Npe(e,t,r,i){return{spans:t$e(e,r,i,t),endOfLineState:0}}function t$e(e,t,r,i){const o=[];return e&&t&&n$e(e,t,r,(l,u,p)=>{o.push(l.getStart(t),l.getWidth(t),(u+1<<8)+p)},i),o}function n$e(e,t,r,i,o){const s=e.getTypeChecker();let l=!1;function u(p){switch(p.kind){case 267:case 263:case 264:case 262:case 231:case 218:case 219:o.throwIfCancellationRequested()}if(!p||!v4(r,p.pos,p.getFullWidth())||p.getFullWidth()===0)return;const h=l;if((dg(p)||JS(p))&&(l=!0),TP(p)&&(l=!1),Ve(p)&&!l&&!a$e(p)&&!iP(p.escapedText)){let m=s.getSymbolAtLocation(p);if(m){m.flags&2097152&&(m=s.getAliasedSymbol(m));let b=r$e(m,oT(p));if(b!==void 0){let A=0;p.parent&&(Qa(p.parent)||Lpe.get(p.parent.kind)===b)&&p.parent.name===p&&(A=1),b===6&&jCe(p)&&(b=9),b=i$e(s,p,b);const I=m.valueDeclaration;if(I){const R=c0(I),M=Ov(I);R&256&&(A|=2),R&1024&&(A|=4),b!==0&&b!==2&&(R&8||M&2||m.getFlags()&8)&&(A|=8),(b===7||b===10)&&o$e(I,t)&&(A|=32),e.isSourceFileDefaultLibrary(I.getSourceFile())&&(A|=16)}else m.declarations&&m.declarations.some(R=>e.isSourceFileDefaultLibrary(R.getSourceFile()))&&(A|=16);i(p,b,A)}}}Ho(p,u),l=h}u(t)}function r$e(e,t){const r=e.getFlags();if(r&32)return 0;if(r&384)return 1;if(r&524288)return 5;if(r&64){if(t&2)return 2}else if(r&262144)return 4;let i=e.valueDeclaration||e.declarations&&e.declarations[0];return i&&Qa(i)&&(i=VCe(i)),i&&Lpe.get(i.kind)}function i$e(e,t,r){if(r===7||r===9||r===6){const i=e.getTypeAtLocation(t);if(i){const o=s=>s(i)||i.isUnion()&&i.types.some(s);if(r!==6&&o(s=>s.getConstructSignatures().length>0))return 0;if(o(s=>s.getCallSignatures().length>0)&&!o(s=>s.getProperties().length>0)||s$e(t))return r===9?11:10}}return r}function o$e(e,t){return Qa(e)&&(e=VCe(e)),wi(e)?(!$i(e.parent.parent.parent)||c1(e.parent))&&e.getSourceFile()===t:Ac(e)?!$i(e.parent)&&e.getSourceFile()===t:!1}function VCe(e){for(;;)if(Qa(e.parent.parent))e=e.parent.parent;else return e.parent.parent}function a$e(e){const t=e.parent;return t&&(Sh(t)||np(t)||nb(t))}function s$e(e){for(;jCe(e);)e=e.parent;return la(e.parent)&&e.parent.expression===e}function jCe(e){return xd(e.parent)&&e.parent.right===e||Fr(e.parent)&&e.parent.name===e}var Cpe,Ppe,Mpe,Lpe,UCe=T({"src/services/classifier2020.ts"(){si(),Cpe=(e=>(e[e.typeOffset=8]="typeOffset",e[e.modifierMask=255]="modifierMask",e))(Cpe||{}),Ppe=(e=>(e[e.class=0]="class",e[e.enum=1]="enum",e[e.interface=2]="interface",e[e.namespace=3]="namespace",e[e.typeParameter=4]="typeParameter",e[e.type=5]="type",e[e.parameter=6]="parameter",e[e.variable=7]="variable",e[e.enumMember=8]="enumMember",e[e.property=9]="property",e[e.function=10]="function",e[e.member=11]="member",e))(Ppe||{}),Mpe=(e=>(e[e.declaration=0]="declaration",e[e.static=1]="static",e[e.async=2]="async",e[e.readonly=3]="readonly",e[e.defaultLibrary=4]="defaultLibrary",e[e.local=5]="local",e))(Mpe||{}),Lpe=new Map([[260,7],[169,6],[172,9],[267,3],[266,1],[306,8],[263,0],[174,11],[262,10],[218,10],[173,11],[177,9],[178,9],[171,9],[264,2],[265,5],[168,4],[303,9],[304,9]])}});function HCe(e,t,r,i){const o=mL(e)?new KY(e,t,r):e===80?new YY(80,t,r):e===81?new $Y(81,t,r):new Wpe(e,t,r);return o.parent=i,o.flags=i.flags&101441536,o}function l$e(e,t){if(!mL(e.kind))return et;const r=[];if(k4(e))return e.forEachChild(l=>{r.push(l)}),r;Qu.setText((t||e.getSourceFile()).text);let i=e.pos;const o=l=>{cz(r,i,l.pos,e),r.push(l),i=l.end},s=l=>{cz(r,i,l.pos,e),r.push(c$e(l,e)),i=l.end};return Ue(e.jsDoc,o),i=e.pos,e.forEachChild(o,s),cz(r,i,e.end,e),Qu.setText(void 0),r}function cz(e,t,r,i){for(Qu.resetTokenState(t);t<r;){const o=Qu.scan(),s=Qu.getTokenEnd();if(s<=r){if(o===80){if(oae(i))continue;N.fail(`Did not expect ${N.formatSyntaxKind(i.kind)} to have an Identifier in its trivia`)}e.push(HCe(o,t,s,i))}if(t=s,o===1)break}}function c$e(e,t){const r=HCe(358,e.pos,e.end,t);r._children=[];let i=e.pos;for(const o of e)cz(r._children,i,o.pos,t),r._children.push(o),i=o.end;return cz(r._children,i,e.end,t),r}function qCe(e){return f0(e).some(t=>t.tagName.text==="inheritDoc"||t.tagName.text==="inheritdoc")}function UY(e,t){if(!e)return et;let r=G0.getJsDocTagsFromDeclarations(e,t);if(t&&(r.length===0||e.some(qCe))){const i=new Set;for(const o of e){const s=JCe(t,o,l=>{var u;if(!i.has(l))return i.add(l),o.kind===177||o.kind===178?l.getContextualJsDocTags(o,t):((u=l.declarations)==null?void 0:u.length)===1?l.getJsDocTags():void 0});s&&(r=[...s,...r])}}return r}function uz(e,t){if(!e)return et;let r=G0.getJsDocCommentsFromDeclarations(e,t);if(t&&(r.length===0||e.some(qCe))){const i=new Set;for(const o of e){const s=JCe(t,o,l=>{if(!i.has(l))return i.add(l),o.kind===177||o.kind===178?l.getContextualDocumentationComment(o,t):l.getDocumentationComment(t)});s&&(r=r.length===0?s.slice():s.concat(CD(),r))}}return r}function JCe(e,t,r){var i;const o=((i=t.parent)==null?void 0:i.kind)===176?t.parent.parent:t.parent;if(!o)return;const s=mc(t);return Ut(CC(o),l=>{const u=e.getTypeAtLocation(l),p=s&&u.symbol?e.getTypeOfSymbol(u.symbol):u,h=e.getPropertyOfType(p,t.symbol.name);return h?r(h):void 0})}function u$e(){return{getNodeConstructor:()=>KY,getTokenConstructor:()=>Wpe,getIdentifierConstructor:()=>YY,getPrivateIdentifierConstructor:()=>$Y,getSourceFileConstructor:()=>ZCe,getSymbolConstructor:()=>YCe,getTypeConstructor:()=>$Ce,getSignatureConstructor:()=>QCe,getSourceMapSourceConstructor:()=>ePe}}function VO(e){let t=!0;for(const i in e)if(xs(e,i)&&!KCe(i)){t=!1;break}if(t)return e;const r={};for(const i in e)if(xs(e,i)){const o=KCe(i)?i:i.charAt(0).toLowerCase()+i.substr(1);r[o]=e[i]}return r}function KCe(e){return!e.length||e.charAt(0)===e.charAt(0).toLowerCase()}function jO(e){return e?wt(e,t=>t.text).join(""):""}function dz(){return{target:1,jsx:1}}function HY(){return Fu.getSupportedErrorCodes()}function XCe(e,t,r){e.version=r,e.scriptSnapshot=t}function pz(e,t,r,i,o,s){const l=dw(e,RD(t),r,o,s);return XCe(l,t,i),l}function qY(e,t,r,i,o){if(i&&r!==e.version){let l;const u=i.span.start!==0?e.text.substr(0,i.span.start):"",p=Kl(i.span)!==e.text.length?e.text.substr(Kl(i.span)):"";if(i.newLength===0)l=u&&p?u+p:u||p;else{const m=t.getText(i.span.start,i.span.start+i.newLength);l=u&&p?u+m+p:u?u+m:m+p}const h=iq(e,l,i,o);return XCe(h,t,r),h.nameTable=void 0,e!==h&&e.scriptSnapshot&&(e.scriptSnapshot.dispose&&e.scriptSnapshot.dispose(),e.scriptSnapshot=void 0),h}const s={languageVersion:e.languageVersion,impliedNodeFormat:e.impliedNodeFormat,setExternalModuleIndicator:e.setExternalModuleIndicator,jsDocParsingMode:e.jsDocParsingMode};return pz(e.fileName,t,s,r,!0,e.scriptKind)}function kpe(e,t=gde(e.useCaseSensitiveFileNames&&e.useCaseSensitiveFileNames(),e.getCurrentDirectory()),r){var i;let o;r===void 0?o=0:typeof r=="boolean"?o=r?2:0:o=r;const s=new tPe(e);let l,u,p=0;const h=e.getCancellationToken?new rPe(e.getCancellationToken()):nPe,m=e.getCurrentDirectory();Woe((i=e.getLocalizedDiagnosticMessages)==null?void 0:i.bind(e));function b(L){e.log&&e.log(L)}const A=CR(e),I=Mu(A),R=Pde({useCaseSensitiveFileNames:()=>A,getCurrentDirectory:()=>m,getProgram:U,fileExists:oa(e,e.fileExists),readFile:oa(e,e.readFile),getDocumentPositionMapper:oa(e,e.getDocumentPositionMapper),getSourceFileLike:oa(e,e.getSourceFileLike),log:b});function M(L){const _e=l.getSourceFile(L);if(!_e){const J=new Error(`Could not find source file: '${L}'.`);throw J.ProgramFiles=l.getSourceFiles().map(me=>me.fileName),J}return _e}function C(){e.updateFromProject&&!e.updateFromProjectInProgress?e.updateFromProject():O()}function O(){var L,_e,J;if(N.assert(o!==2),e.getProjectVersion){const Lo=e.getProjectVersion();if(Lo){if(u===Lo&&!((L=e.hasChangedAutomaticTypeDirectiveNames)!=null&&L.call(e)))return;u=Lo}}const me=e.getTypeRootsVersion?e.getTypeRootsVersion():0;p!==me&&(b("TypeRoots version has changed; provide new program"),l=void 0,p=me);const Ge=e.getScriptFileNames().slice(),ke=e.getCompilationSettings()||dz(),Tt=e.hasInvalidatedResolutions||Xm,kt=oa(e,e.hasInvalidatedLibResolutions)||Xm,en=oa(e,e.hasChangedAutomaticTypeDirectiveNames),bn=(_e=e.getProjectReferences)==null?void 0:_e.call(e);let Bn,Hn={getSourceFile:Fs,getSourceFileByPath:Ql,getCancellationToken:()=>h,getCanonicalFileName:I,useCaseSensitiveFileNames:()=>A,getNewLine:()=>Uv(ke),getDefaultLibFileName:Lo=>e.getDefaultLibFileName(Lo),writeFile:$a,getCurrentDirectory:()=>m,fileExists:Lo=>e.fileExists(Lo),readFile:Lo=>e.readFile&&e.readFile(Lo),getSymlinkCache:oa(e,e.getSymlinkCache),realpath:oa(e,e.realpath),directoryExists:Lo=>$m(Lo,e),getDirectories:Lo=>e.getDirectories?e.getDirectories(Lo):[],readDirectory:(Lo,cs,Vs,vl,uu)=>(N.checkDefined(e.readDirectory,"'LanguageServiceHost.readDirectory' must be implemented to correctly process 'projectReferences'"),e.readDirectory(Lo,cs,Vs,vl,uu)),onReleaseOldSourceFile:Dn,onReleaseParsedCommandLine:xo,hasInvalidatedResolutions:Tt,hasInvalidatedLibResolutions:kt,hasChangedAutomaticTypeDirectiveNames:en,trace:oa(e,e.trace),resolveModuleNames:oa(e,e.resolveModuleNames),getModuleResolutionCache:oa(e,e.getModuleResolutionCache),createHash:oa(e,e.createHash),resolveTypeReferenceDirectives:oa(e,e.resolveTypeReferenceDirectives),resolveModuleNameLiterals:oa(e,e.resolveModuleNameLiterals),resolveTypeReferenceDirectiveReferences:oa(e,e.resolveTypeReferenceDirectiveReferences),resolveLibrary:oa(e,e.resolveLibrary),useSourceOfProjectReferenceRedirect:oa(e,e.useSourceOfProjectReferenceRedirect),getParsedCommandLine:Ii,jsDocParsingMode:e.jsDocParsingMode};const Ni=Hn.getSourceFile,{getSourceFileWithCache:Ai}=Uw(Hn,Lo=>ol(Lo,m,I),(...Lo)=>Ni.call(Hn,...Lo));Hn.getSourceFile=Ai,(J=e.setCompilerHost)==null||J.call(e,Hn);const ir={useCaseSensitiveFileNames:A,fileExists:Lo=>Hn.fileExists(Lo),readFile:Lo=>Hn.readFile(Lo),directoryExists:Lo=>Hn.directoryExists(Lo),getDirectories:Lo=>Hn.getDirectories(Lo),realpath:Hn.realpath,readDirectory:(...Lo)=>Hn.readDirectory(...Lo),trace:Hn.trace,getCurrentDirectory:Hn.getCurrentDirectory,onUnRecoverableConfigFileDiagnostic:$a},jr=t.getKeyForCompilationSettings(ke);let vo=new Set;if(UJ(l,Ge,ke,(Lo,cs)=>e.getScriptVersion(cs),Lo=>Hn.fileExists(Lo),Tt,kt,en,Ii,bn)){Hn=void 0,Bn=void 0,vo=void 0;return}l=A7({rootNames:Ge,options:ke,host:Hn,oldProgram:l,projectReferences:bn}),Hn=void 0,Bn=void 0,vo=void 0,R.clearCache(),l.getTypeChecker();return;function Ii(Lo){const cs=ol(Lo,m,I),Vs=Bn==null?void 0:Bn.get(cs);if(Vs!==void 0)return Vs||void 0;const vl=e.getParsedCommandLine?e.getParsedCommandLine(Lo):Kr(Lo);return(Bn||(Bn=new Map)).set(cs,vl||!1),vl}function Kr(Lo){const cs=Fs(Lo,100);if(cs)return cs.path=ol(Lo,m,I),cs.resolvedPath=cs.path,cs.originalFileName=cs.fileName,hw(cs,ir,go(ai(Lo),m),void 0,go(Lo,m))}function xo(Lo,cs,Vs){var vl;e.getParsedCommandLine?(vl=e.onReleaseParsedCommandLine)==null||vl.call(e,Lo,cs,Vs):cs&&Dn(cs.sourceFile,Vs)}function Dn(Lo,cs){const Vs=t.getKeyForCompilationSettings(cs);t.releaseDocumentWithKey(Lo.resolvedPath,Vs,Lo.scriptKind,Lo.impliedNodeFormat)}function Fs(Lo,cs,Vs,vl){return Ql(Lo,ol(Lo,m,I),cs,Vs,vl)}function Ql(Lo,cs,Vs,vl,uu){N.assert(Hn,"getOrCreateSourceFileByPath called after typical CompilerHost lifetime, check the callstack something with a reference to an old host.");const ho=e.getScriptSnapshot(Lo);if(!ho)return;const pa=CX(Lo,e),du=e.getScriptVersion(Lo);if(!uu){const As=l&&l.getSourceFileByPath(cs);if(As){if(pa===As.scriptKind||vo.has(As.resolvedPath))return t.updateDocumentWithKey(Lo,cs,e,jr,ho,du,pa,Vs);t.releaseDocumentWithKey(As.resolvedPath,t.getKeyForCompilationSettings(l.getCompilerOptions()),As.scriptKind,As.impliedNodeFormat),vo.add(As.resolvedPath)}}return t.acquireDocumentWithKey(Lo,cs,e,jr,ho,du,pa,Vs)}}function U(){if(o===2){N.assert(l===void 0);return}return C(),l}function K(){var L;return(L=e.getPackageJsonAutoImportProvider)==null?void 0:L.call(e)}function Z(L,_e){const J=l.getTypeChecker(),me=Ge();if(!me)return!1;for(const Tt of L)for(const kt of Tt.references){const en=ke(kt);if(N.assertIsDefined(en),_e.has(kt)||Ws.isDeclarationOfSymbol(en,me)){_e.add(kt),kt.isDefinition=!0;const bn=y5(kt,R,oa(e,e.fileExists));bn&&_e.add(bn)}else kt.isDefinition=!1}return!0;function Ge(){for(const Tt of L)for(const kt of Tt.references){if(_e.has(kt)){const bn=ke(kt);return N.assertIsDefined(bn),J.getSymbolAtLocation(bn)}const en=y5(kt,R,oa(e,e.fileExists));if(en&&_e.has(en)){const bn=ke(en);if(bn)return J.getSymbolAtLocation(bn)}}}function ke(Tt){const kt=l.getSourceFile(Tt.fileName);if(!kt)return;const en=Vd(kt,Tt.textSpan.start);return Ws.Core.getAdjustedNode(en,{use:Ws.FindReferencesUse.References})}}function V(){if(l){const L=t.getKeyForCompilationSettings(l.getCompilerOptions());Ue(l.getSourceFiles(),_e=>t.releaseDocumentWithKey(_e.resolvedPath,L,_e.scriptKind,_e.impliedNodeFormat)),l=void 0}}function se(){V(),e=void 0}function G(L){return C(),l.getSyntacticDiagnostics(M(L),h).slice()}function ne(L){C();const _e=M(L),J=l.getSemanticDiagnostics(_e,h);if(!Nf(l.getCompilerOptions()))return J.slice();const me=l.getDeclarationDiagnostics(_e,h);return[...J,...me]}function he(L){return C(),lY(M(L),l,h)}function ye(){return C(),[...l.getOptionsDiagnostics(h),...l.getGlobalDiagnostics(h)]}function $(L,_e,J=kf,me){const Ge={...J,includeCompletionsForModuleExports:J.includeCompletionsForModuleExports||J.includeExternalModuleExports,includeCompletionsWithInsertText:J.includeCompletionsWithInsertText||J.includeInsertTextCompletions};return C(),GI.getCompletionsAtPosition(e,l,b,M(L),_e,Ge,J.triggerCharacter,J.triggerKind,h,me&&Oc.getFormatContext(me,e),J.includeSymbol)}function Y(L,_e,J,me,Ge,ke=kf,Tt){return C(),GI.getCompletionEntryDetails(l,b,M(L),_e,{name:J,source:Ge,data:Tt},e,me&&Oc.getFormatContext(me,e),ke,h)}function oe(L,_e,J,me,Ge=kf){return C(),GI.getCompletionEntrySymbol(l,b,M(L),_e,{name:J,source:me},e,Ge)}function fe(L,_e){C();const J=M(L),me=Vd(J,_e);if(me===J)return;const Ge=l.getTypeChecker(),ke=Ne(me),Tt=m$e(ke,Ge);if(!Tt||Ge.isUnknownSymbol(Tt)){const Hn=pe(J,ke,_e)?Ge.getTypeAtLocation(ke):void 0;return Hn&&{kind:"",kindModifiers:"",textSpan:Nd(ke,J),displayParts:Ge.runWithCancellationToken(h,Ni=>yO(Ni,Hn,aT(ke))),documentation:Hn.symbol?Hn.symbol.getDocumentationComment(Ge):void 0,tags:Hn.symbol?Hn.symbol.getJsDocTags(Ge):void 0}}const{symbolKind:kt,displayParts:en,documentation:bn,tags:Bn}=Ge.runWithCancellationToken(h,Hn=>iy.getSymbolDisplayPartsDocumentationAndSymbolKind(Hn,Tt,J,aT(ke),ke));return{kind:kt,kindModifiers:iy.getSymbolModifiers(Ge,Tt),textSpan:Nd(ke,J),displayParts:en,documentation:bn,tags:Bn}}function Ne(L){return r1(L.parent)&&L.pos===L.parent.pos?L.parent.expression:qR(L.parent)&&L.pos===L.parent.pos||dR(L.parent)&&L.parent.name===L||t_(L.parent)?L.parent:L}function pe(L,_e,J){switch(_e.kind){case 80:return!$K(_e)&&!QK(_e)&&!Og(_e.parent);case 211:case 166:return!Qv(L,J);case 110:case 197:case 108:case 202:return!0;case 236:return dR(_e);default:return!1}}function ie(L,_e,J,me){return C(),UD.getDefinitionAtPosition(l,M(L),_e,J,me)}function ve(L,_e){return C(),UD.getDefinitionAndBoundSpan(l,M(L),_e)}function Le(L,_e){return C(),UD.getTypeDefinitionAtPosition(l.getTypeChecker(),M(L),_e)}function He(L,_e){return C(),Ws.getImplementationsAtPosition(l,h,l.getSourceFiles(),M(L),_e)}function Ee(L,_e,J){const me=ga(L);N.assert(J.some(Tt=>ga(Tt)===me)),C();const Ge=Hi(J,Tt=>l.getSourceFile(Tt)),ke=M(L);return G5.getDocumentHighlights(l,h,ke,_e,Ge)}function De(L,_e,J,me,Ge){C();const ke=M(L),Tt=o5(Vd(ke,_e));if(zz.nodeIsEligibleForRename(Tt))if(Ve(Tt)&&(W_(Tt.parent)||a1(Tt.parent))&&DR(Tt.escapedText)){const{openingElement:kt,closingElement:en}=Tt.parent.parent;return[kt,en].map(bn=>{const Bn=Nd(bn.tagName,ke);return{fileName:ke.fileName,textSpan:Bn,...Ws.toContextSpan(Bn,ke,bn.parent)}})}else{const kt=uf(ke,Ge??kf),en=typeof Ge=="boolean"?Ge:Ge==null?void 0:Ge.providePrefixAndSuffixTextForRename;return We(Tt,_e,{findInStrings:J,findInComments:me,providePrefixAndSuffixTextForRename:en,use:Ws.FindReferencesUse.Rename},(bn,Bn,Hn)=>Ws.toRenameLocation(bn,Bn,Hn,en||!1,kt))}}function Pe(L,_e){return C(),We(Vd(M(L),_e),_e,{use:Ws.FindReferencesUse.References},Ws.toReferenceEntry)}function We(L,_e,J,me){C();const Ge=J&&J.use===Ws.FindReferencesUse.Rename?l.getSourceFiles().filter(ke=>!l.isSourceFileDefaultLibrary(ke)):l.getSourceFiles();return Ws.findReferenceOrRenameEntries(l,h,Ge,L,_e,J,me)}function At(L,_e){return C(),Ws.findReferencedSymbols(l,h,l.getSourceFiles(),M(L),_e)}function Se(L){return C(),Ws.Core.getReferencesForFileName(L,l,l.getSourceFiles()).map(Ws.toReferenceEntry)}function je(L,_e,J,me=!1,Ge=!1){C();const ke=J?[M(J)]:l.getSourceFiles();return gNe(ke,l.getTypeChecker(),h,L,_e,me,Ge)}function at(L,_e,J){C();const me=M(L),Ge=e.getCustomTransformers&&e.getCustomTransformers();return xce(l,me,!!_e,h,Ge,J)}function Gt(L,_e,{triggerReason:J}=kf){C();const me=M(L);return o8.getSignatureHelpItems(l,me,_e,J,h)}function vt(L){return s.getCurrentSourceFile(L)}function $e(L,_e,J){const me=s.getCurrentSourceFile(L),Ge=Vd(me,_e);if(Ge===me)return;switch(Ge.kind){case 211:case 166:case 11:case 97:case 112:case 106:case 108:case 110:case 197:case 80:break;default:return}let ke=Ge;for(;;)if(AD(ke)||xue(ke))ke=ke.parent;else if(eX(ke))if(ke.parent.parent.kind===267&&ke.parent.parent.body===ke.parent)ke=ke.parent.parent.name;else break;else break;return pc(ke.getStart(),Ge.getEnd())}function It(L,_e){const J=s.getCurrentSourceFile(L);return ZY.spanInSourceFileAtLocation(J,_e)}function tn(L){return ENe(s.getCurrentSourceFile(L),h)}function rn(L){return SNe(s.getCurrentSourceFile(L),h)}function Pn(L,_e,J){return C(),(J||"original")==="2020"?GCe(l,h,M(L),_e):_de(l.getTypeChecker(),h,M(L),l.getClassifiableNames(),_e)}function Xn(L,_e,J){return C(),(J||"original")==="original"?tY(l.getTypeChecker(),h,M(L),l.getClassifiableNames(),_e):Npe(l,h,M(L),_e)}function hn(L,_e){return hde(h,s.getCurrentSourceFile(L),_e)}function Qt(L,_e){return nY(h,s.getCurrentSourceFile(L),_e)}function $t(L){const _e=s.getCurrentSourceFile(L);return XQ.collectElements(_e,h)}const _i=new Map(Object.entries({19:20,21:22,23:24,32:30}));_i.forEach((L,_e)=>_i.set(L.toString(),Number(_e)));function ao(L,_e){const J=s.getCurrentSourceFile(L),me=xD(J,_e),Ge=me.getStart(J)===_e?_i.get(me.kind.toString()):void 0,ke=Ge&&vs(me.parent,Ge,J);return ke?[Nd(me,J),Nd(ke,J)].sort((Tt,kt)=>Tt.start-kt.start):et}function Oi(L,_e,J){let me=Ys();const Ge=VO(J),ke=s.getCurrentSourceFile(L);b("getIndentationAtPosition: getCurrentSourceFile: "+(Ys()-me)),me=Ys();const Tt=Oc.SmartIndenter.getIndentation(_e,ke,Ge);return b("getIndentationAtPosition: computeIndentation : "+(Ys()-me)),Tt}function No(L,_e,J,me){const Ge=s.getCurrentSourceFile(L);return Oc.formatSelection(_e,J,Ge,Oc.getFormatContext(VO(me),e))}function Mn(L,_e){return Oc.formatDocument(s.getCurrentSourceFile(L),Oc.getFormatContext(VO(_e),e))}function Di(L,_e,J,me){const Ge=s.getCurrentSourceFile(L),ke=Oc.getFormatContext(VO(me),e);if(!Qv(Ge,_e))switch(J){case"{":return Oc.formatOnOpeningCurly(_e,Ge,ke);case"}":return Oc.formatOnClosingCurly(_e,Ge,ke);case";":return Oc.formatOnSemicolon(_e,Ge,ke);case`
+`:return Oc.formatOnEnter(_e,Ge,ke)}return[]}function Ar(L,_e,J,me,Ge,ke=kf){C();const Tt=M(L),kt=pc(_e,J),en=Oc.getFormatContext(Ge,e);return Pi(DE(me,Mv,rl),bn=>(h.throwIfCancellationRequested(),Fu.getFixes({errorCode:bn,sourceFile:Tt,span:kt,program:l,host:e,cancellationToken:h,formatContext:en,preferences:ke})))}function sn(L,_e,J,me=kf){C(),N.assert(L.type==="file");const Ge=M(L.fileName),ke=Oc.getFormatContext(J,e);return Fu.getAllFixes({fixId:_e,sourceFile:Ge,program:l,host:e,cancellationToken:h,formatContext:ke,preferences:me})}function tt(L,_e,J=kf){C(),N.assert(L.type==="file");const me=M(L.fileName),Ge=Oc.getFormatContext(_e,e),ke=L.mode??(L.skipDestructiveCodeActions?"SortAndCombine":"All");return Lm.organizeImports(me,Ge,e,l,J,ke)}function zt(L,_e,J,me=kf){return yde(U(),L,_e,e,Oc.getFormatContext(J,e),me,R)}function Rn(L,_e){const J=typeof L=="string"?_e:L;return To(J)?Promise.all(J.map(me=>li(me))):li(J)}function li(L){const _e=J=>ol(J,m,I);return N.assertEqual(L.type,"install package"),e.installPackage?e.installPackage({fileName:_e(L.file),packageName:L.packageName}):Promise.reject("Host does not implement `installPackage`")}function hi(L,_e,J,me){const Ge=me?Oc.getFormatContext(me,e).options:void 0;return G0.getDocCommentTemplateAtPosition(ty(e,Ge),s.getCurrentSourceFile(L),_e,J)}function Qi(L,_e,J){if(J===60)return!1;const me=s.getCurrentSourceFile(L);if(CI(me,_e))return!1;if(Lue(me,_e))return J===123;if(aX(me,_e))return!1;switch(J){case 39:case 34:case 96:return!Qv(me,_e)}return!0}function Io(L,_e){const J=s.getCurrentSourceFile(L),me=xc(_e,J);if(!me)return;const Ge=me.kind===32&&W_(me.parent)?me.parent.parent:nI(me)&&dg(me.parent)?me.parent:void 0;if(Ge&&ft(Ge))return{newText:`</${Ge.openingElement.tagName.getText(J)}>`};const ke=me.kind===32&&hI(me.parent)?me.parent.parent:nI(me)&&s1(me.parent)?me.parent:void 0;if(ke&&Ct(ke))return{newText:"</>"}}function ma(L,_e){const J=s.getCurrentSourceFile(L),me=xc(_e,J);if(!me||me.parent.kind===312)return;const Ge="[a-zA-Z0-9:\\-\\._$]*";if(s1(me.parent.parent)){const ke=me.parent.parent.openingFragment,Tt=me.parent.parent.closingFragment;if(aR(ke)||aR(Tt))return;const kt=ke.getStart(J)+1,en=Tt.getStart(J)+2;return _e!==kt&&_e!==en?void 0:{ranges:[{start:kt,length:0},{start:en,length:0}],wordPattern:Ge}}else{const ke=Gn(me.parent,Ai=>!!(W_(Ai)||a1(Ai)));if(!ke)return;N.assert(W_(ke)||a1(ke),"tag should be opening or closing element");const Tt=ke.parent.openingElement,kt=ke.parent.closingElement,en=Tt.tagName.getStart(J),bn=Tt.tagName.end,Bn=kt.tagName.getStart(J),Hn=kt.tagName.end;return en===Tt.getStart(J)||Bn===kt.getStart(J)||bn===Tt.getEnd()||Hn===kt.getEnd()||!(en<=_e&&_e<=bn||Bn<=_e&&_e<=Hn)||Tt.tagName.getText(J)!==kt.tagName.getText(J)?void 0:{ranges:[{start:en,length:bn-en},{start:Bn,length:Hn-Bn}],wordPattern:Ge}}}function Wa(L,_e){return{lineStarts:L.getLineStarts(),firstLine:L.getLineAndCharacterOfPosition(_e.pos).line,lastLine:L.getLineAndCharacterOfPosition(_e.end).line}}function Sn(L,_e,J){const me=s.getCurrentSourceFile(L),Ge=[],{lineStarts:ke,firstLine:Tt,lastLine:kt}=Wa(me,_e);let en=J||!1,bn=Number.MAX_VALUE;const Bn=new Map,Hn=new RegExp(/\S/),Ni=l5(me,ke[Tt]),Ai=Ni?"{/*":"//";for(let ir=Tt;ir<=kt;ir++){const jr=me.text.substring(ke[ir],me.getLineEndOfPosition(ke[ir])),vo=Hn.exec(jr);vo&&(bn=Math.min(bn,vo.index),Bn.set(ir.toString(),vo.index),jr.substr(vo.index,Ai.length)!==Ai&&(en=J===void 0||J))}for(let ir=Tt;ir<=kt;ir++){if(Tt!==kt&&ke[ir]===_e.end)continue;const jr=Bn.get(ir.toString());jr!==void 0&&(Ni?Ge.push(...kn(L,{pos:ke[ir]+bn,end:me.getLineEndOfPosition(ke[ir])},en,Ni)):en?Ge.push({newText:Ai,span:{length:0,start:ke[ir]+bn}}):me.text.substr(ke[ir]+jr,Ai.length)===Ai&&Ge.push({newText:"",span:{length:Ai.length,start:ke[ir]+jr}}))}return Ge}function kn(L,_e,J,me){var Ge;const ke=s.getCurrentSourceFile(L),Tt=[],{text:kt}=ke;let en=!1,bn=J||!1;const Bn=[];let{pos:Hn}=_e;const Ni=me!==void 0?me:l5(ke,Hn),Ai=Ni?"{/*":"/*",ir=Ni?"*/}":"*/",jr=Ni?"\\{\\/\\*":"\\/\\*",vo=Ni?"\\*\\/\\}":"\\*\\/";for(;Hn<=_e.end;){const Ci=kt.substr(Hn,Ai.length)===Ai?Ai.length:0,Ii=Qv(ke,Hn+Ci);if(Ii)Ni&&(Ii.pos--,Ii.end++),Bn.push(Ii.pos),Ii.kind===3&&Bn.push(Ii.end),en=!0,Hn=Ii.end+1;else{const Kr=kt.substring(Hn,_e.end).search(`(${jr})|(${vo})`);bn=J!==void 0?J:bn||!Hue(kt,Hn,Kr===-1?_e.end:Hn+Kr),Hn=Kr===-1?_e.end+1:Hn+Kr+ir.length}}if(bn||!en){((Ge=Qv(ke,_e.pos))==null?void 0:Ge.kind)!==2&&xy(Bn,_e.pos,rl),xy(Bn,_e.end,rl);const Ci=Bn[0];kt.substr(Ci,Ai.length)!==Ai&&Tt.push({newText:Ai,span:{length:0,start:Ci}});for(let Ii=1;Ii<Bn.length-1;Ii++)kt.substr(Bn[Ii]-ir.length,ir.length)!==ir&&Tt.push({newText:ir,span:{length:0,start:Bn[Ii]}}),kt.substr(Bn[Ii],Ai.length)!==Ai&&Tt.push({newText:Ai,span:{length:0,start:Bn[Ii]}});Tt.length%2!==0&&Tt.push({newText:ir,span:{length:0,start:Bn[Bn.length-1]}})}else for(const Ci of Bn){const Ii=Ci-ir.length>0?Ci-ir.length:0,Kr=kt.substr(Ii,ir.length)===ir?ir.length:0;Tt.push({newText:"",span:{length:Ai.length,start:Ci-Kr}})}return Tt}function qe(L,_e){const J=s.getCurrentSourceFile(L),{firstLine:me,lastLine:Ge}=Wa(J,_e);return me===Ge&&_e.pos!==_e.end?kn(L,_e,!0):Sn(L,_e,!0)}function mt(L,_e){const J=s.getCurrentSourceFile(L),me=[],{pos:Ge}=_e;let{end:ke}=_e;Ge===ke&&(ke+=l5(J,Ge)?2:1);for(let Tt=Ge;Tt<=ke;Tt++){const kt=Qv(J,Tt);if(kt){switch(kt.kind){case 2:me.push(...Sn(L,{end:kt.end,pos:kt.pos+1},!1));break;case 3:me.push(...kn(L,{end:kt.end,pos:kt.pos+1},!1))}Tt=kt.end+1}}return me}function ft({openingElement:L,closingElement:_e,parent:J}){return!C0(L.tagName,_e.tagName)||dg(J)&&C0(L.tagName,J.openingElement.tagName)&&ft(J)}function Ct({closingFragment:L,parent:_e}){return!!(L.flags&262144)||s1(_e)&&Ct(_e)}function le(L,_e,J){const me=s.getCurrentSourceFile(L),Ge=Oc.getRangeOfEnclosingComment(me,_e);return Ge&&(!J||Ge.kind===3)?sb(Ge):void 0}function Fe(L,_e){C();const J=M(L);h.throwIfCancellationRequested();const me=J.text,Ge=[];if(_e.length>0&&!en(J.fileName)){const bn=Tt();let Bn;for(;Bn=bn.exec(me);){h.throwIfCancellationRequested();const Hn=3;N.assert(Bn.length===_e.length+Hn);const Ni=Bn[1],Ai=Bn.index+Ni.length;if(!Qv(J,Ai))continue;let ir;for(let vo=0;vo<_e.length;vo++)Bn[vo+Hn]&&(ir=_e[vo]);if(ir===void 0)return N.fail();if(kt(me.charCodeAt(Ai+ir.text.length)))continue;const jr=Bn[2];Ge.push({descriptor:ir,message:jr,position:Ai})}}return Ge;function ke(bn){return bn.replace(/[-[\]/{}()*+?.\\^$|]/g,"\\$&")}function Tt(){const bn=/(?:\/\/+\s*)/.source,Bn=/(?:\/\*+\s*)/.source,Ni="("+/(?:^(?:\s|\*)*)/.source+"|"+bn+"|"+Bn+")",Ai="(?:"+wt(_e,Ii=>"("+ke(Ii.text)+")").join("|")+")",ir=/(?:$|\*\/)/.source,jr=/(?:.*?)/.source,vo="("+Ai+jr+")",Ci=Ni+vo+ir;return new RegExp(Ci,"gim")}function kt(bn){return bn>=97&&bn<=122||bn>=65&&bn<=90||bn>=48&&bn<=57}function en(bn){return bn.includes("/node_modules/")}}function pt(L,_e,J){return C(),zz.getRenameInfo(l,M(L),_e,J||{})}function j(L,_e,J,me,Ge,ke){const[Tt,kt]=typeof _e=="number"?[_e,void 0]:[_e.pos,_e.end];return{file:L,startPosition:Tt,endPosition:kt,program:U(),host:e,formatContext:Oc.getFormatContext(me,e),cancellationToken:h,preferences:J,triggerReason:Ge,kind:ke}}function ot(L,_e,J){return{file:L,program:U(),host:e,span:_e,preferences:J,cancellationToken:h}}function Rt(L,_e){return QQ.getSmartSelectionRange(_e,s.getCurrentSourceFile(L))}function Ye(L,_e,J=kf,me,Ge,ke){C();const Tt=M(L);return wI.getApplicableRefactors(j(Tt,_e,J,kf,me,Ge),ke)}function _t(L,_e,J=kf){C();const me=M(L),Ge=N.checkDefined(l.getSourceFiles()),ke=QC(L),Tt=OO(j(me,_e,J,kf)),kt=ape(Tt==null?void 0:Tt.all),en=Hi(Ge,bn=>{const Bn=QC(bn.fileName);return!(l!=null&&l.isSourceFileFromExternalLibrary(me))&&!(me===M(bn.fileName)||ke===".ts"&&Bn===".d.ts"||ke===".d.ts"&&uo(ic(bn.fileName),"lib.")&&Bn===".d.ts")&&(ke===Bn||(ke===".tsx"&&Bn===".ts"||ke===".jsx"&&Bn===".js")&&!kt)?bn.fileName:void 0});return{newFileName:ope(me,l,e,Tt),files:en}}function Vt(L,_e,J,me,Ge,ke=kf,Tt){C();const kt=M(L);return wI.getEditsForRefactor(j(kt,J,ke,_e),me,Ge,Tt)}function vn(L,_e){return _e===0?{line:0,character:0}:R.toLineColumnOffset(L,_e)}function fn(L,_e){C();const J=OI.resolveCallHierarchyDeclaration(l,Vd(M(L),_e));return J&&GX(J,me=>OI.createCallHierarchyItem(l,me))}function _n(L,_e){C();const J=M(L),me=VX(OI.resolveCallHierarchyDeclaration(l,_e===0?J:Vd(J,_e)));return me?OI.getIncomingCalls(l,me,h):[]}function q(L,_e){C();const J=M(L),me=VX(OI.resolveCallHierarchyDeclaration(l,_e===0?J:Vd(J,_e)));return me?OI.getOutgoingCalls(l,me):[]}function Oe(L,_e,J=kf){C();const me=M(L);return HQ.provideInlayHints(ot(me,_e,J))}const Lt={dispose:se,cleanupSemanticCache:V,getSyntacticDiagnostics:G,getSemanticDiagnostics:ne,getSuggestionDiagnostics:he,getCompilerOptionsDiagnostics:ye,getSyntacticClassifications:hn,getSemanticClassifications:Pn,getEncodedSyntacticClassifications:Qt,getEncodedSemanticClassifications:Xn,getCompletionsAtPosition:$,getCompletionEntryDetails:Y,getCompletionEntrySymbol:oe,getSignatureHelpItems:Gt,getQuickInfoAtPosition:fe,getDefinitionAtPosition:ie,getDefinitionAndBoundSpan:ve,getImplementationAtPosition:He,getTypeDefinitionAtPosition:Le,getReferencesAtPosition:Pe,findReferences:At,getFileReferences:Se,getDocumentHighlights:Ee,getNameOrDottedNameSpan:$e,getBreakpointStatementAtPosition:It,getNavigateToItems:je,getRenameInfo:pt,getSmartSelectionRange:Rt,findRenameLocations:De,getNavigationBarItems:tn,getNavigationTree:rn,getOutliningSpans:$t,getTodoComments:Fe,getBraceMatchingAtPosition:ao,getIndentationAtPosition:Oi,getFormattingEditsForRange:No,getFormattingEditsForDocument:Mn,getFormattingEditsAfterKeystroke:Di,getDocCommentTemplateAtPosition:hi,isValidBraceCompletionAtPosition:Qi,getJsxClosingTagAtPosition:Io,getLinkedEditingRangeAtPosition:ma,getSpanOfEnclosingComment:le,getCodeFixesAtPosition:Ar,getCombinedCodeFix:sn,applyCodeActionCommand:Rn,organizeImports:tt,getEditsForFileRename:zt,getEmitOutput:at,getNonBoundSourceFile:vt,getProgram:U,getCurrentProgram:()=>l,getAutoImportProvider:K,updateIsDefinitionOfReferencedSymbols:Z,getApplicableRefactors:Ye,getEditsForRefactor:Vt,getMoveToRefactoringFileSuggestions:_t,toLineColumnOffset:vn,getSourceMapper:()=>R,clearSourceMapperCache:()=>R.clearCache(),prepareCallHierarchy:fn,provideCallHierarchyIncomingCalls:_n,provideCallHierarchyOutgoingCalls:q,toggleLineComment:Sn,toggleMultilineComment:kn,commentSelection:qe,uncommentSelection:mt,provideInlayHints:Oe,getSupportedCodeFixes:HY};switch(o){case 0:break;case 1:Fpe.forEach(L=>Lt[L]=()=>{throw new Error(`LanguageService Operation: ${L} not allowed in LanguageServiceMode.PartialSemantic`)});break;case 2:iPe.forEach(L=>Lt[L]=()=>{throw new Error(`LanguageService Operation: ${L} not allowed in LanguageServiceMode.Syntactic`)});break;default:N.assertNever(o)}return Lt}function JY(e){return e.nameTable||d$e(e),e.nameTable}function d$e(e){const t=e.nameTable=new Map;e.forEachChild(function r(i){if(Ve(i)&&!QK(i)&&i.escapedText||nf(i)&&p$e(i)){const o=LC(i);t.set(o,t.get(o)===void 0?i.pos:-1)}else if(Ji(i)){const o=i.escapedText;t.set(o,t.get(o)===void 0?i.pos:-1)}if(Ho(i,r),Fp(i))for(const o of i.jsDoc)Ho(o,r)})}function p$e(e){return Bg(e)||e.parent.kind===283||_$e(e)||ik(e)}function UO(e){const t=f$e(e);return t&&(Oa(t.parent)||l1(t.parent))?t:void 0}function f$e(e){switch(e.kind){case 11:case 15:case 9:if(e.parent.kind===167)return fj(e.parent.parent)?e.parent.parent:void 0;case 80:return fj(e.parent)&&(e.parent.parent.kind===210||e.parent.parent.kind===292)&&e.parent.name===e?e.parent:void 0}}function m$e(e,t){const r=UO(e);if(r){const i=t.getContextualType(r.parent),o=i&&fz(r,t,i,!1);if(o&&o.length===1)return Ha(o)}return t.getSymbolAtLocation(e)}function fz(e,t,r,i){const o=hO(e.name);if(!o)return et;if(!r.isUnion()){const u=r.getProperty(o);return u?[u]:et}const s=Oa(e.parent)||l1(e.parent)?nr(r.types,u=>!t.isTypeInvalidDueToUnionDiscriminant(u,e.parent)):r.types,l=Hi(s,u=>u.getProperty(o));if(i&&(l.length===0||l.length===r.types.length)){const u=r.getProperty(o);if(u)return[u]}return!s.length&&!l.length?Hi(r.types,u=>u.getProperty(o)):DE(l,Mv)}function _$e(e){return e&&e.parent&&e.parent.kind===212&&e.parent.argumentExpression===e}function wpe(e){if(gu)return Qr(ai(ga(gu.getExecutingFilePath())),aL(e));throw new Error("getDefaultLibFilePath is only supported when consumed as a node module. ")}var Ope,KY,XY,YCe,Wpe,YY,$Y,$Ce,QCe,ZCe,ePe,tPe,nPe,rPe,QY,Fpe,iPe,h$e=T({"src/services/services.ts"(){si(),bNe(),GNe(),Dh(),YDe(),UCe(),Ope="0.8",KY=class{constructor(e,t,r){this.pos=t,this.end=r,this.flags=0,this.modifierFlagsCache=0,this.transformFlags=0,this.parent=void 0,this.kind=e}assertHasRealPosition(e){N.assert(!Zm(this.pos)&&!Zm(this.end),e||"Node must have a real position for this operation")}getSourceFile(){return jn(this)}getStart(e,t){return this.assertHasRealPosition(),_0(this,e,t)}getFullStart(){return this.assertHasRealPosition(),this.pos}getEnd(){return this.assertHasRealPosition(),this.end}getWidth(e){return this.assertHasRealPosition(),this.getEnd()-this.getStart(e)}getFullWidth(){return this.assertHasRealPosition(),this.end-this.pos}getLeadingTriviaWidth(e){return this.assertHasRealPosition(),this.getStart(e)-this.pos}getFullText(e){return this.assertHasRealPosition(),(e||this.getSourceFile()).text.substring(this.pos,this.end)}getText(e){return this.assertHasRealPosition(),e||(e=this.getSourceFile()),e.text.substring(this.getStart(e),this.getEnd())}getChildCount(e){return this.getChildren(e).length}getChildAt(e,t){return this.getChildren(t)[e]}getChildren(e){return this.assertHasRealPosition("Node without a real position cannot be scanned and thus has no token nodes - use forEachChild and collect the result if that's fine"),this._children||(this._children=l$e(this,e))}getFirstToken(e){this.assertHasRealPosition();const t=this.getChildren(e);if(!t.length)return;const r=An(t,i=>i.kind<316||i.kind>357);return r.kind<166?r:r.getFirstToken(e)}getLastToken(e){this.assertHasRealPosition();const t=this.getChildren(e),r=tl(t);if(r)return r.kind<166?r:r.getLastToken(e)}forEachChild(e,t){return Ho(this,e,t)}},XY=class{constructor(e,t){this.pos=e,this.end=t,this.flags=0,this.modifierFlagsCache=0,this.transformFlags=0,this.parent=void 0}getSourceFile(){return jn(this)}getStart(e,t){return _0(this,e,t)}getFullStart(){return this.pos}getEnd(){return this.end}getWidth(e){return this.getEnd()-this.getStart(e)}getFullWidth(){return this.end-this.pos}getLeadingTriviaWidth(e){return this.getStart(e)-this.pos}getFullText(e){return(e||this.getSourceFile()).text.substring(this.pos,this.end)}getText(e){return e||(e=this.getSourceFile()),e.text.substring(this.getStart(e),this.getEnd())}getChildCount(){return this.getChildren().length}getChildAt(e){return this.getChildren()[e]}getChildren(){return this.kind===1&&this.jsDoc||et}getFirstToken(){}getLastToken(){}forEachChild(){}},YCe=class{constructor(e,t){this.id=0,this.mergeId=0,this.flags=e,this.escapedName=t}getFlags(){return this.flags}get name(){return Sl(this)}getEscapedName(){return this.escapedName}getName(){return this.name}getDeclarations(){return this.declarations}getDocumentationComment(e){if(!this.documentationComment)if(this.documentationComment=et,!this.declarations&&mh(this)&&this.links.target&&mh(this.links.target)&&this.links.target.links.tupleLabelDeclaration){const t=this.links.target.links.tupleLabelDeclaration;this.documentationComment=uz([t],e)}else this.documentationComment=uz(this.declarations,e);return this.documentationComment}getContextualDocumentationComment(e,t){if(e){if(Fy(e)&&(this.contextualGetAccessorDocumentationComment||(this.contextualGetAccessorDocumentationComment=uz(nr(this.declarations,Fy),t)),Me(this.contextualGetAccessorDocumentationComment)))return this.contextualGetAccessorDocumentationComment;if(Fv(e)&&(this.contextualSetAccessorDocumentationComment||(this.contextualSetAccessorDocumentationComment=uz(nr(this.declarations,Fv),t)),Me(this.contextualSetAccessorDocumentationComment)))return this.contextualSetAccessorDocumentationComment}return this.getDocumentationComment(t)}getJsDocTags(e){return this.tags===void 0&&(this.tags=UY(this.declarations,e)),this.tags}getContextualJsDocTags(e,t){if(e){if(Fy(e)&&(this.contextualGetAccessorTags||(this.contextualGetAccessorTags=UY(nr(this.declarations,Fy),t)),Me(this.contextualGetAccessorTags)))return this.contextualGetAccessorTags;if(Fv(e)&&(this.contextualSetAccessorTags||(this.contextualSetAccessorTags=UY(nr(this.declarations,Fv),t)),Me(this.contextualSetAccessorTags)))return this.contextualSetAccessorTags}return this.getJsDocTags(t)}},Wpe=class extends XY{constructor(e,t,r){super(t,r),this.kind=e}},YY=class extends XY{constructor(e,t,r){super(t,r),this.kind=80}get text(){return Sr(this)}},YY.prototype.kind=80,$Y=class extends XY{constructor(e,t,r){super(t,r),this.kind=81}get text(){return Sr(this)}},$Y.prototype.kind=81,$Ce=class{constructor(e,t){this.checker=e,this.flags=t}getFlags(){return this.flags}getSymbol(){return this.symbol}getProperties(){return this.checker.getPropertiesOfType(this)}getProperty(e){return this.checker.getPropertyOfType(this,e)}getApparentProperties(){return this.checker.getAugmentedPropertiesOfType(this)}getCallSignatures(){return this.checker.getSignaturesOfType(this,0)}getConstructSignatures(){return this.checker.getSignaturesOfType(this,1)}getStringIndexType(){return this.checker.getIndexTypeOfType(this,0)}getNumberIndexType(){return this.checker.getIndexTypeOfType(this,1)}getBaseTypes(){return this.isClassOrInterface()?this.checker.getBaseTypes(this):void 0}isNullableType(){return this.checker.isNullableType(this)}getNonNullableType(){return this.checker.getNonNullableType(this)}getNonOptionalType(){return this.checker.getNonOptionalType(this)}getConstraint(){return this.checker.getBaseConstraintOfType(this)}getDefault(){return this.checker.getDefaultFromTypeParameter(this)}isUnion(){return!!(this.flags&1048576)}isIntersection(){return!!(this.flags&2097152)}isUnionOrIntersection(){return!!(this.flags&3145728)}isLiteral(){return!!(this.flags&2432)}isStringLiteral(){return!!(this.flags&128)}isNumberLiteral(){return!!(this.flags&256)}isTypeParameter(){return!!(this.flags&262144)}isClassOrInterface(){return!!(Wr(this)&3)}isClass(){return!!(Wr(this)&1)}isIndexType(){return!!(this.flags&4194304)}get typeArguments(){if(Wr(this)&4)return this.checker.getTypeArguments(this)}},QCe=class{constructor(e,t){this.checker=e,this.flags=t}getDeclaration(){return this.declaration}getTypeParameters(){return this.typeParameters}getParameters(){return this.parameters}getReturnType(){return this.checker.getReturnTypeOfSignature(this)}getTypeParameterAtPosition(e){const t=this.checker.getParameterType(this,e);if(t.isIndexType()&&oP(t.type)){const r=t.type.getConstraint();if(r)return this.checker.getIndexType(r)}return t}getDocumentationComment(){return this.documentationComment||(this.documentationComment=uz(AA(this.declaration),this.checker))}getJsDocTags(){return this.jsDocTags||(this.jsDocTags=UY(AA(this.declaration),this.checker))}},ZCe=class extends KY{constructor(e,t,r){super(e,t,r),this.kind=312}update(e,t){return iq(this,e,t)}getLineAndCharacterOfPosition(e){return bs(this,e)}getLineStarts(){return kg(this)}getPositionOfLineAndCharacter(e,t,r){return m4(kg(this),e,t,this.text,r)}getLineEndOfPosition(e){const{line:t}=this.getLineAndCharacterOfPosition(e),r=this.getLineStarts();let i;t+1>=r.length&&(i=this.getEnd()),i||(i=r[t+1]-1);const o=this.getFullText();return o[i]===`
+`&&o[i-1]==="\r"?i-1:i}getNamedDeclarations(){return this.namedDeclarations||(this.namedDeclarations=this.computeNamedDeclarations()),this.namedDeclarations}computeNamedDeclarations(){const e=Zp();return this.forEachChild(o),e;function t(s){const l=i(s);l&&e.add(l,s)}function r(s){let l=e.get(s);return l||e.set(s,l=[]),l}function i(s){const l=y4(s);return l&&(Za(l)&&Fr(l.expression)?l.expression.name.text:oc(l)?hO(l):void 0)}function o(s){switch(s.kind){case 262:case 218:case 174:case 173:const l=s,u=i(l);if(u){const m=r(u),b=tl(m);b&&l.parent===b.parent&&l.symbol===b.symbol?l.body&&!b.body&&(m[m.length-1]=l):m.push(l)}Ho(s,o);break;case 263:case 231:case 264:case 265:case 266:case 267:case 271:case 281:case 276:case 273:case 274:case 177:case 178:case 187:t(s),Ho(s,o);break;case 169:if(!Zr(s,31))break;case 260:case 208:{const m=s;if(ta(m.name)){Ho(m.name,o);break}m.initializer&&o(m.initializer)}case 306:case 172:case 171:t(s);break;case 278:const p=s;p.exportClause&&(Pf(p.exportClause)?Ue(p.exportClause.elements,o):o(p.exportClause.name));break;case 272:const h=s.importClause;h&&(h.name&&t(h.name),h.namedBindings&&(h.namedBindings.kind===274?t(h.namedBindings):Ue(h.namedBindings.elements,o)));break;case 226:Bl(s)!==0&&t(s);default:Ho(s,o)}}}},ePe=class{constructor(e,t,r){this.fileName=e,this.text=t,this.skipTrivia=r}getLineAndCharacterOfPosition(e){return bs(this,e)}},tPe=class{constructor(e){this.host=e}getCurrentSourceFile(e){var t,r,i,o,s,l,u,p;const h=this.host.getScriptSnapshot(e);if(!h)throw new Error("Could not find file: '"+e+"'.");const m=CX(e,this.host),b=this.host.getScriptVersion(e);let A;if(this.currentFileName!==e){const I={languageVersion:99,impliedNodeFormat:Jw(ol(e,this.host.getCurrentDirectory(),((i=(r=(t=this.host).getCompilerHost)==null?void 0:r.call(t))==null?void 0:i.getCanonicalFileName)||Gv(this.host)),(p=(u=(l=(s=(o=this.host).getCompilerHost)==null?void 0:s.call(o))==null?void 0:l.getModuleResolutionCache)==null?void 0:u.call(l))==null?void 0:p.getPackageJsonInfoCache(),this.host,this.host.getCompilationSettings()),setExternalModuleIndicator:bk(this.host.getCompilationSettings()),jsDocParsingMode:0};A=pz(e,h,I,b,!0,m)}else if(this.currentFileVersion!==b){const I=h.getChangeRange(this.currentFileScriptSnapshot);A=qY(this.currentSourceFile,h,b,I)}return A&&(this.currentFileVersion=b,this.currentFileName=e,this.currentFileScriptSnapshot=h,this.currentSourceFile=A),this.currentSourceFile}},nPe={isCancellationRequested:Xm,throwIfCancellationRequested:$a},rPe=class{constructor(e){this.cancellationToken=e}isCancellationRequested(){return this.cancellationToken.isCancellationRequested()}throwIfCancellationRequested(){var e;if(this.isCancellationRequested())throw(e=sr)==null||e.instant(sr.Phase.Session,"cancellationThrown",{kind:"CancellationTokenObject"}),new Hx}},QY=class{constructor(e,t=20){this.hostCancellationToken=e,this.throttleWaitMilliseconds=t,this.lastCancellationCheckTime=0}isCancellationRequested(){const e=Ys();return Math.abs(e-this.lastCancellationCheckTime)>=this.throttleWaitMilliseconds?(this.lastCancellationCheckTime=e,this.hostCancellationToken.isCancellationRequested()):!1}throwIfCancellationRequested(){var e;if(this.isCancellationRequested())throw(e=sr)==null||e.instant(sr.Phase.Session,"cancellationThrown",{kind:"ThrottledCancellationToken"}),new Hx}},Fpe=["getSemanticDiagnostics","getSuggestionDiagnostics","getCompilerOptionsDiagnostics","getSemanticClassifications","getEncodedSemanticClassifications","getCodeFixesAtPosition","getCombinedCodeFix","applyCodeActionCommand","organizeImports","getEditsForFileRename","getEmitOutput","getApplicableRefactors","getEditsForRefactor","prepareCallHierarchy","provideCallHierarchyIncomingCalls","provideCallHierarchyOutgoingCalls","provideInlayHints","getSupportedCodeFixes"],iPe=[...Fpe,"getCompletionsAtPosition","getCompletionEntryDetails","getCompletionEntrySymbol","getSignatureHelpItems","getQuickInfoAtPosition","getDefinitionAtPosition","getDefinitionAndBoundSpan","getImplementationAtPosition","getTypeDefinitionAtPosition","getReferencesAtPosition","findReferences","getDocumentHighlights","getNavigateToItems","getRenameInfo","findRenameLocations","getApplicableRefactors"],woe(u$e())}});function oPe(e,t,r){const i=[];r=fY(r,i);const o=To(e)?e:[e],s=Fw(void 0,void 0,w,r,o,t,!0);return s.diagnostics=So(s.diagnostics,i),s}var g$e=T({"src/services/transform.ts"(){si()}});function v$e(e,t){if(e.isDeclarationFile)return;let r=po(e,t);const i=e.getLineAndCharacterOfPosition(t).line;if(e.getLineAndCharacterOfPosition(r.getStart(e)).line>i){const b=xc(r.pos,e);if(!b||e.getLineAndCharacterOfPosition(b.getEnd()).line!==i)return;r=b}if(r.flags&33554432)return;return m(r);function o(b,A){const I=QS(b)?Oo(b.modifiers,Eu):void 0,R=I?ka(e.text,I.end):b.getStart(e);return pc(R,(A||b).getEnd())}function s(b,A){return o(b,b1(A,A.parent,e))}function l(b,A){return b&&i===e.getLineAndCharacterOfPosition(b.getStart(e)).line?m(b):m(A)}function u(b,A,I){if(b){const R=b.indexOf(A);if(R>=0){let M=R,C=R+1;for(;M>0&&I(b[M-1]);)M--;for(;C<b.length&&I(b[C]);)C++;return pc(ka(e.text,b[M].pos),b[C-1].end)}}return o(A)}function p(b){return m(xc(b.pos,e))}function h(b){return m(b1(b,b.parent,e))}function m(b){if(b){const{parent:ie}=b;switch(b.kind){case 243:return I(b.declarationList.declarations[0]);case 260:case 172:case 171:return I(b);case 169:return M(b);case 262:case 174:case 173:case 177:case 178:case 176:case 218:case 219:return O(b);case 241:if(BE(b))return U(b);case 268:return K(b);case 299:return K(b.block);case 244:return o(b.expression);case 253:return o(b.getChildAt(0),b.expression);case 247:return s(b,b.expression);case 246:return m(b.statement);case 259:return o(b.getChildAt(0));case 245:return s(b,b.expression);case 256:return m(b.statement);case 252:case 251:return o(b.getChildAt(0),b.label);case 248:return V(b);case 249:return s(b,b.expression);case 250:return Z(b);case 255:return s(b,b.expression);case 296:case 297:return m(b.statements[0]);case 258:return K(b.tryBlock);case 257:return o(b,b.expression);case 277:return o(b,b.expression);case 271:return o(b,b.moduleReference);case 272:return o(b,b.moduleSpecifier);case 278:return o(b,b.moduleSpecifier);case 267:if(Kg(b)!==1)return;case 263:case 266:case 306:case 208:return o(b);case 254:return m(b.statement);case 170:return u(ie.modifiers,b,Eu);case 206:case 207:return se(b);case 264:case 265:return;case 27:case 1:return l(xc(b.pos,e));case 28:return p(b);case 19:return ne(b);case 20:return he(b);case 24:return ye(b);case 21:return $(b);case 22:return Y(b);case 59:return oe(b);case 32:case 30:return fe(b);case 117:return Ne(b);case 93:case 85:case 98:return h(b);case 165:return pe(b);default:if(Zv(b))return G(b);if((b.kind===80||b.kind===230||b.kind===303||b.kind===304)&&Zv(ie))return o(b);if(b.kind===226){const{left:ve,operatorToken:Le}=b;if(Zv(ve))return G(ve);if(Le.kind===64&&Zv(b.parent))return o(b);if(Le.kind===28)return m(ve)}if(ng(b))switch(ie.kind){case 246:return p(b);case 170:return m(b.parent);case 248:case 250:return o(b);case 226:if(b.parent.operatorToken.kind===28)return o(b);break;case 219:if(b.parent.body===b)return o(b);break}switch(b.parent.kind){case 303:if(b.parent.name===b&&!Zv(b.parent.parent))return m(b.parent.initializer);break;case 216:if(b.parent.type===b)return h(b.parent.type);break;case 260:case 169:{const{initializer:ve,type:Le}=b.parent;if(ve===b||Le===b||Vv(b.kind))return p(b);break}case 226:{const{left:ve}=b.parent;if(Zv(ve)&&b!==ve)return p(b);break}default:if(ea(b.parent)&&b.parent.type===b)return p(b)}return m(b.parent)}}function A(ie){return Uc(ie.parent)&&ie.parent.declarations[0]===ie?o(xc(ie.pos,e,ie.parent),ie):o(ie)}function I(ie){if(ie.parent.parent.kind===249)return m(ie.parent.parent);const ve=ie.parent;if(ta(ie.name))return se(ie.name);if(ES(ie)&&ie.initializer||Zr(ie,32)||ve.parent.kind===250)return A(ie);if(Uc(ie.parent)&&ie.parent.declarations[0]!==ie)return m(xc(ie.pos,e,ie.parent))}function R(ie){return!!ie.initializer||ie.dotDotDotToken!==void 0||Zr(ie,3)}function M(ie){if(ta(ie.name))return se(ie.name);if(R(ie))return o(ie);{const ve=ie.parent,Le=ve.parameters.indexOf(ie);return N.assert(Le!==-1),Le!==0?M(ve.parameters[Le-1]):m(ve.body)}}function C(ie){return Zr(ie,32)||ie.parent.kind===263&&ie.kind!==176}function O(ie){if(ie.body)return C(ie)?o(ie):m(ie.body)}function U(ie){const ve=ie.statements.length?ie.statements[0]:ie.getLastToken();return C(ie.parent)?l(ie.parent,ve):m(ve)}function K(ie){switch(ie.parent.kind){case 267:if(Kg(ie.parent)!==1)return;case 247:case 245:case 249:return l(ie.parent,ie.statements[0]);case 248:case 250:return l(xc(ie.pos,e,ie.parent),ie.statements[0])}return m(ie.statements[0])}function Z(ie){if(ie.initializer.kind===261){const ve=ie.initializer;if(ve.declarations.length>0)return m(ve.declarations[0])}else return m(ie.initializer)}function V(ie){if(ie.initializer)return Z(ie);if(ie.condition)return o(ie.condition);if(ie.incrementor)return o(ie.incrementor)}function se(ie){const ve=Ue(ie.elements,Le=>Le.kind!==232?Le:void 0);return ve?m(ve):ie.parent.kind===208?o(ie.parent):A(ie.parent)}function G(ie){N.assert(ie.kind!==207&&ie.kind!==206);const ve=ie.kind===209?ie.elements:ie.properties,Le=Ue(ve,He=>He.kind!==232?He:void 0);return Le?m(Le):o(ie.parent.kind===226?ie.parent:ie)}function ne(ie){switch(ie.parent.kind){case 266:const ve=ie.parent;return l(xc(ie.pos,e,ie.parent),ve.members.length?ve.members[0]:ve.getLastToken(e));case 263:const Le=ie.parent;return l(xc(ie.pos,e,ie.parent),Le.members.length?Le.members[0]:Le.getLastToken(e));case 269:return l(ie.parent.parent,ie.parent.clauses[0])}return m(ie.parent)}function he(ie){switch(ie.parent.kind){case 268:if(Kg(ie.parent.parent)!==1)return;case 266:case 263:return o(ie);case 241:if(BE(ie.parent))return o(ie);case 299:return m(tl(ie.parent.statements));case 269:const ve=ie.parent,Le=tl(ve.clauses);return Le?m(tl(Le.statements)):void 0;case 206:const He=ie.parent;return m(tl(He.elements)||He);default:if(Zv(ie.parent)){const Ee=ie.parent;return o(tl(Ee.properties)||Ee)}return m(ie.parent)}}function ye(ie){switch(ie.parent.kind){case 207:const ve=ie.parent;return o(tl(ve.elements)||ve);default:if(Zv(ie.parent)){const Le=ie.parent;return o(tl(Le.elements)||Le)}return m(ie.parent)}}function $(ie){return ie.parent.kind===246||ie.parent.kind===213||ie.parent.kind===214?p(ie):ie.parent.kind===217?h(ie):m(ie.parent)}function Y(ie){switch(ie.parent.kind){case 218:case 262:case 219:case 174:case 173:case 177:case 178:case 176:case 247:case 246:case 248:case 250:case 213:case 214:case 217:return p(ie);default:return m(ie.parent)}}function oe(ie){return ea(ie.parent)||ie.parent.kind===303||ie.parent.kind===169?p(ie):m(ie.parent)}function fe(ie){return ie.parent.kind===216?h(ie):m(ie.parent)}function Ne(ie){return ie.parent.kind===246?s(ie,ie.parent.expression):m(ie.parent)}function pe(ie){return ie.parent.kind===250?h(ie):m(ie.parent)}}}var y$e=T({"src/services/breakpoints.ts"(){si()}}),ZY={};X(ZY,{spanInSourceFileAtLocation:()=>v$e});var b$e=T({"src/services/_namespaces/ts.BreakpointResolver.ts"(){y$e()}});function E$e(e){return(Os(e)||Zc(e))&&ld(e)}function aPe(e){return Jo(e)||wi(e)}function HO(e){return(Os(e)||Gs(e)||Zc(e))&&aPe(e.parent)&&e===e.parent.initializer&&Ve(e.parent.name)&&(!!(Ov(e.parent)&2)||Jo(e.parent))}function sPe(e){return $i(e)||Xl(e)||Ac(e)||Os(e)||Ic(e)||Zc(e)||Rl(e)||ql(e)||bh(e)||rf(e)||bp(e)}function FD(e){return $i(e)||Xl(e)&&Ve(e.name)||Ac(e)||Ic(e)||Rl(e)||ql(e)||bh(e)||rf(e)||bp(e)||E$e(e)||HO(e)}function lPe(e){return $i(e)?e:ld(e)?e.name:HO(e)?e.parent.name:N.checkDefined(e.modifiers&&An(e.modifiers,cPe))}function cPe(e){return e.kind===90}function uPe(e,t){const r=lPe(t);return r&&e.getSymbolAtLocation(r)}function S$e(e,t){if($i(t))return{text:t.fileName,pos:0,end:0};if((Ac(t)||Ic(t))&&!ld(t)){const o=t.modifiers&&An(t.modifiers,cPe);if(o)return{text:"default",pos:o.getStart(),end:o.getEnd()}}if(Rl(t)){const o=t.getSourceFile(),s=ka(o.text,L_(t).pos),l=s+6,u=e.getTypeChecker(),p=u.getSymbolAtLocation(t.parent);return{text:`${p?`${u.symbolToString(p,t.parent)} `:""}static {}`,pos:s,end:l}}const r=HO(t)?t.parent.name:N.checkDefined(Mo(t),"Expected call hierarchy item to have a name");let i=Ve(r)?Sr(r):nf(r)?r.text:Za(r)&&nf(r.expression)?r.expression.text:void 0;if(i===void 0){const o=e.getTypeChecker(),s=o.getSymbolAtLocation(r);s&&(i=o.symbolToString(s,t))}if(i===void 0){const o=Bw();i=yC(s=>o.writeNode(4,t,t.getSourceFile(),s))}return{text:i,pos:r.getStart(),end:r.getEnd()}}function T$e(e){var t,r,i,o;if(HO(e))return Jo(e.parent)&&ui(e.parent.parent)?Zc(e.parent.parent)?(t=b4(e.parent.parent))==null?void 0:t.getText():(r=e.parent.parent.name)==null?void 0:r.getText():O_(e.parent.parent.parent.parent)&&Ve(e.parent.parent.parent.parent.parent.name)?e.parent.parent.parent.parent.parent.name.getText():void 0;switch(e.kind){case 177:case 178:case 174:return e.parent.kind===210?(i=b4(e.parent))==null?void 0:i.getText():(o=Mo(e.parent))==null?void 0:o.getText();case 262:case 263:case 267:if(O_(e.parent)&&Ve(e.parent.parent.name))return e.parent.parent.name.getText()}}function dPe(e,t){if(t.body)return t;if(Ml(t))return ag(t.parent);if(Ac(t)||ql(t)){const r=uPe(e,t);return r&&r.valueDeclaration&&Bs(r.valueDeclaration)&&r.valueDeclaration.body?r.valueDeclaration:void 0}return t}function pPe(e,t){const r=uPe(e,t);let i;if(r&&r.declarations){const o=OM(r.declarations),s=wt(r.declarations,p=>({file:p.getSourceFile().fileName,pos:p.pos}));o.sort((p,h)=>Uu(s[p].file,s[h].file)||s[p].pos-s[h].pos);const l=wt(o,p=>r.declarations[p]);let u;for(const p of l)FD(p)&&((!u||u.parent!==p.parent||u.end!==p.pos)&&(i=xn(i,p)),u=p)}return i}function e$(e,t){return Rl(t)?t:Bs(t)?dPe(e,t)??pPe(e,t)??t:pPe(e,t)??t}function fPe(e,t){const r=e.getTypeChecker();let i=!1;for(;;){if(FD(t))return e$(r,t);if(sPe(t)){const o=Gn(t,FD);return o&&e$(r,o)}if(Bg(t)){if(FD(t.parent))return e$(r,t.parent);if(sPe(t.parent)){const o=Gn(t.parent,FD);return o&&e$(r,o)}return aPe(t.parent)&&t.parent.initializer&&HO(t.parent.initializer)?t.parent.initializer:void 0}if(Ml(t))return FD(t.parent)?t.parent:void 0;if(t.kind===126&&Rl(t.parent)){t=t.parent;continue}if(wi(t)&&t.initializer&&HO(t.initializer))return t.initializer;if(!i){let o=r.getSymbolAtLocation(t);if(o&&(o.flags&2097152&&(o=r.getAliasedSymbol(o)),o.valueDeclaration)){i=!0,t=o.valueDeclaration;continue}}return}}function zpe(e,t){const r=t.getSourceFile(),i=S$e(e,t),o=T$e(t),s=y1(t),l=o2(t),u=pc(ka(r.text,t.getFullStart(),!1,!0),t.getEnd()),p=pc(i.pos,i.end);return{file:r.fileName,kind:s,kindModifiers:l,name:i.text,containerName:o,span:u,selectionSpan:p}}function A$e(e){return e!==void 0}function I$e(e){if(e.kind===Ws.EntryKind.Node){const{node:t}=e;if(KK(t,!0,!0)||Sue(t,!0,!0)||Tue(t,!0,!0)||Aue(t,!0,!0)||AD(t)||ZK(t)){const r=t.getSourceFile();return{declaration:Gn(t,FD)||r,range:mX(t,r)}}}}function mPe(e){return as(e.declaration)}function x$e(e,t){return{from:e,fromSpans:t}}function R$e(e,t){return x$e(zpe(e,t[0].declaration),wt(t,r=>sb(r.range)))}function D$e(e,t,r){if($i(t)||Xl(t)||Rl(t))return[];const i=lPe(t),o=nr(Ws.findReferenceOrRenameEntries(e,r,e.getSourceFiles(),i,0,{use:Ws.FindReferencesUse.References},I$e),A$e);return o?YN(o,mPe,s=>R$e(e,s)):[]}function N$e(e,t){function r(o){const s=i1(o)?o.tag:ud(o)?o.tagName:ws(o)||Rl(o)?o:o.expression,l=fPe(e,s);if(l){const u=mX(s,o.getSourceFile());if(To(l))for(const p of l)t.push({declaration:p,range:u});else t.push({declaration:l,range:u})}}function i(o){if(o&&!(o.flags&33554432)){if(FD(o)){if(ui(o))for(const s of o.members)s.name&&Za(s.name)&&i(s.name.expression);return}switch(o.kind){case 80:case 271:case 272:case 278:case 264:case 265:return;case 175:r(o);return;case 216:case 234:i(o.expression);return;case 260:case 169:i(o.name),i(o.initializer);return;case 213:r(o),i(o.expression),Ue(o.arguments,i);return;case 214:r(o),i(o.expression),Ue(o.arguments,i);return;case 215:r(o),i(o.tag),i(o.template);return;case 286:case 285:r(o),i(o.tagName),i(o.attributes);return;case 170:r(o),i(o.expression);return;case 211:case 212:r(o),Ho(o,i);break;case 238:i(o.expression);return}tg(o)||Ho(o,i)}}return i}function C$e(e,t){Ue(e.statements,t)}function P$e(e,t){!Zr(e,128)&&e.body&&O_(e.body)&&Ue(e.body.statements,t)}function M$e(e,t,r){const i=dPe(e,t);i&&(Ue(i.parameters,r),r(i.body))}function L$e(e,t){t(e.body)}function k$e(e,t){Ue(e.modifiers,t);const r=UE(e);r&&t(r.expression);for(const i of e.members)Cm(i)&&Ue(i.modifiers,t),Jo(i)?t(i.initializer):Ml(i)&&i.body?(Ue(i.parameters,t),t(i.body)):Rl(i)&&t(i)}function w$e(e,t){const r=[],i=N$e(e,r);switch(t.kind){case 312:C$e(t,i);break;case 267:P$e(t,i);break;case 262:case 218:case 219:case 174:case 177:case 178:M$e(e.getTypeChecker(),t,i);break;case 263:case 231:k$e(t,i);break;case 175:L$e(t,i);break;default:N.assertNever(t)}return r}function O$e(e,t){return{to:e,fromSpans:t}}function W$e(e,t){return O$e(zpe(e,t[0].declaration),wt(t,r=>sb(r.range)))}function F$e(e,t){return t.flags&33554432||bh(t)?[]:YN(w$e(e,t),mPe,r=>W$e(e,r))}var z$e=T({"src/services/callHierarchy.ts"(){si()}}),OI={};X(OI,{createCallHierarchyItem:()=>zpe,getIncomingCalls:()=>D$e,getOutgoingCalls:()=>F$e,resolveCallHierarchyDeclaration:()=>fPe});var B$e=T({"src/services/_namespaces/ts.CallHierarchy.ts"(){z$e()}}),_Pe={};X(_Pe,{TokenEncodingConsts:()=>Cpe,TokenModifier:()=>Mpe,TokenType:()=>Ppe,getEncodedSemanticClassifications:()=>Npe,getSemanticClassifications:()=>GCe});var G$e=T({"src/services/_namespaces/ts.classifier.v2020.ts"(){UCe()}}),Bpe={};X(Bpe,{v2020:()=>_Pe});var V$e=T({"src/services/_namespaces/ts.classifier.ts"(){G$e()}});function o_(e,t,r){return Vpe(e,uT(r),t,void 0,void 0)}function ca(e,t,r,i,o,s){return Vpe(e,uT(r),t,i,uT(o),s)}function Gpe(e,t,r,i,o,s){return Vpe(e,uT(r),t,i,o&&uT(o),s)}function Vpe(e,t,r,i,o,s){return{fixName:e,description:t,changes:r,fixId:i,fixAllDescription:o,commands:s?[s]:void 0}}function Aa(e){for(const t of e.errorCodes)jpe=void 0,t$.add(String(t),e);if(e.fixIds)for(const t of e.fixIds)N.assert(!n$.has(t)),n$.set(t,e)}function j$e(){return jpe??(jpe=zo(t$.keys()))}function U$e(e,t){const{errorCodes:r}=e;let i=0;for(const s of t)if(Nr(r,s.code)&&i++,i>1)break;const o=i<2;return({fixId:s,fixAllDescription:l,...u})=>o?u:{...u,fixId:s,fixAllDescription:l}}function H$e(e){const t=gPe(e),r=t$.get(String(e.errorCode));return Pi(r,i=>wt(i.getCodeActions(e),U$e(i,t)))}function q$e(e){return n$.get(aa(e.fixId,Po)).getAllCodeActions(e)}function zD(e,t){return{changes:e,commands:t}}function hPe(e,t){return{fileName:e,textChanges:t}}function Es(e,t,r){const i=[],o=_r.ChangeTracker.with(e,s=>BD(e,t,l=>r(s,l,i)));return zD(o,i.length===0?void 0:i)}function BD(e,t,r){for(const i of gPe(e))Nr(t,i.code)&&r(i)}function gPe({program:e,sourceFile:t,cancellationToken:r}){return[...e.getSemanticDiagnostics(t,r),...e.getSyntacticDiagnostics(t,r),...lY(t,e,r)]}var t$,n$,jpe,J$e=T({"src/services/codeFixProvider.ts"(){si(),t$=Zp(),n$=new Map}});function vPe(e,t,r){const i=$k(r)?w.createAsExpression(r.expression,w.createKeywordTypeNode(159)):w.createTypeAssertion(w.createKeywordTypeNode(159),r.expression);e.replaceNode(t,r.expression,i)}function yPe(e,t){if(!lr(e))return Gn(po(e,t),r=>$k(r)||Gae(r))}var r$,Upe,K$e=T({"src/services/codefixes/addConvertToUnknownForNonOverlappingTypes.ts"(){si(),Ra(),r$="addConvertToUnknownForNonOverlappingTypes",Upe=[f.Conversion_of_type_0_to_type_1_may_be_a_mistake_because_neither_type_sufficiently_overlaps_with_the_other_If_this_was_intentional_convert_the_expression_to_unknown_first.code],Aa({errorCodes:Upe,getCodeActions:function(t){const r=yPe(t.sourceFile,t.span.start);if(r===void 0)return;const i=_r.ChangeTracker.with(t,o=>vPe(o,t.sourceFile,r));return[ca(r$,i,f.Add_unknown_conversion_for_non_overlapping_types,r$,f.Add_unknown_to_all_conversions_of_non_overlapping_types)]},fixIds:[r$],getAllCodeActions:e=>Es(e,Upe,(t,r)=>{const i=yPe(r.file,r.start);i&&vPe(t,r.file,i)})})}}),X$e=T({"src/services/codefixes/addEmptyExportDeclaration.ts"(){si(),Ra(),Aa({errorCodes:[f.await_expressions_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module.code,f.await_using_statements_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module.code,f.for_await_loops_are_only_allowed_at_the_top_level_of_a_file_when_that_file_is_a_module_but_this_file_has_no_imports_or_exports_Consider_adding_an_empty_export_to_make_this_file_a_module.code],getCodeActions:function(t){const{sourceFile:r}=t,i=_r.ChangeTracker.with(t,o=>{const s=w.createExportDeclaration(void 0,!1,w.createNamedExports([]),void 0);o.insertNodeAtEndOfScope(r,r,s)});return[o_("addEmptyExportDeclaration",i,f.Add_export_to_make_this_file_into_a_module)]}})}});function bPe(e,t,r,i){const o=r(s=>Y$e(s,e.sourceFile,t,i));return ca(i$,o,f.Add_async_modifier_to_containing_function,i$,f.Add_all_missing_async_modifiers)}function Y$e(e,t,r,i){if(i&&i.has(as(r)))return;i==null||i.add(as(r));const o=w.replaceModifiers(cl(r,!0),w.createNodeArray(w.createModifiersFromModifierFlags(Uy(r)|1024)));e.replaceNode(t,r,o)}function EPe(e,t){if(!t)return;const r=po(e,t.start);return Gn(r,o=>o.getStart(e)<t.start||o.getEnd()>Kl(t)?"quit":(Gs(o)||ql(o)||Os(o)||Ac(o))&&ND(t,Nd(o,e)))}function $$e(e,t){return({start:r,length:i,relatedInformation:o,code:s})=>Cv(r)&&Cv(i)&&ND({start:r,length:i},e)&&s===t&&!!o&&bt(o,l=>l.code===f.Did_you_mean_to_mark_this_function_as_async.code)}var i$,Hpe,Q$e=T({"src/services/codefixes/addMissingAsync.ts"(){si(),Ra(),i$="addMissingAsync",Hpe=[f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code,f.Type_0_is_not_assignable_to_type_1.code,f.Type_0_is_not_comparable_to_type_1.code],Aa({fixIds:[i$],errorCodes:Hpe,getCodeActions:function(t){const{sourceFile:r,errorCode:i,cancellationToken:o,program:s,span:l}=t,u=An(s.getTypeChecker().getDiagnostics(r,o),$$e(l,i)),p=u&&u.relatedInformation&&An(u.relatedInformation,b=>b.code===f.Did_you_mean_to_mark_this_function_as_async.code),h=EPe(r,p);return h?[bPe(t,h,b=>_r.ChangeTracker.with(t,b))]:void 0},getAllCodeActions:e=>{const{sourceFile:t}=e,r=new Set;return Es(e,Hpe,(i,o)=>{const s=o.relatedInformation&&An(o.relatedInformation,p=>p.code===f.Did_you_mean_to_mark_this_function_as_async.code),l=EPe(t,s);return l?bPe(e,l,p=>(p(i),[]),r):void 0})}})}});function SPe(e,t,r,i,o){const s=BX(e,r);return s&&Z$e(e,t,r,i,o)&&IPe(s)?s:void 0}function TPe(e,t,r,i,o,s){const{sourceFile:l,program:u,cancellationToken:p}=e,h=eQe(t,l,p,u,i);if(h){const m=o(b=>{Ue(h.initializers,({expression:A})=>qpe(b,r,l,i,A,s)),s&&h.needsSecondPassForFixAll&&qpe(b,r,l,i,t,s)});return o_("addMissingAwaitToInitializer",m,h.initializers.length===1?[f.Add_await_to_initializer_for_0,h.initializers[0].declarationSymbol.name]:f.Add_await_to_initializers)}}function APe(e,t,r,i,o,s){const l=o(u=>qpe(u,r,e.sourceFile,i,t,s));return ca(o$,l,f.Add_await,o$,f.Fix_all_expressions_possibly_missing_await)}function Z$e(e,t,r,i,o){const l=o.getTypeChecker().getDiagnostics(e,i);return bt(l,({start:u,length:p,relatedInformation:h,code:m})=>Cv(u)&&Cv(p)&&ND({start:u,length:p},r)&&m===t&&!!h&&bt(h,b=>b.code===f.Did_you_forget_to_use_await.code))}function eQe(e,t,r,i,o){const s=tQe(e,o);if(!s)return;let l=s.isCompleteFix,u;for(const p of s.identifiers){const h=o.getSymbolAtLocation(p);if(!h)continue;const m=ii(h.valueDeclaration,wi),b=m&&ii(m.name,Ve),A=b0(m,243);if(!m||!A||m.type||!m.initializer||A.getSourceFile()!==t||Zr(A,32)||!b||!IPe(m.initializer)){l=!1;continue}const I=i.getSemanticDiagnostics(t,r);if(Ws.Core.eachSymbolReferenceInFile(b,o,t,M=>p!==M&&!nQe(M,I,t,o))){l=!1;continue}(u||(u=[])).push({expression:m.initializer,declarationSymbol:h})}return u&&{initializers:u,needsSecondPassForFixAll:!l}}function tQe(e,t){if(Fr(e.parent)&&Ve(e.parent.expression))return{identifiers:[e.parent.expression],isCompleteFix:!0};if(Ve(e))return{identifiers:[e],isCompleteFix:!0};if(mr(e)){let r,i=!0;for(const o of[e.left,e.right]){const s=t.getTypeAtLocation(o);if(t.getPromisedTypeOfPromise(s)){if(!Ve(o)){i=!1;continue}(r||(r=[])).push(o)}}return r&&{identifiers:r,isCompleteFix:i}}}function nQe(e,t,r,i){const o=Fr(e.parent)?e.parent.name:mr(e.parent)?e.parent:e,s=An(t,l=>l.start===o.getStart(r)&&l.start+l.length===o.getEnd());return s&&Nr(a$,s.code)||i.getTypeAtLocation(o).flags&1}function IPe(e){return e.flags&65536||!!Gn(e,t=>t.parent&&Gs(t.parent)&&t.parent.body===t||Xo(t)&&(t.parent.kind===262||t.parent.kind===218||t.parent.kind===219||t.parent.kind===174))}function qpe(e,t,r,i,o,s){if(Qk(o.parent)&&!o.parent.awaitModifier){const l=i.getTypeAtLocation(o),u=i.getAsyncIterableType();if(u&&i.isTypeAssignableTo(l,u)){const p=o.parent;e.replaceNode(r,p,w.updateForOfStatement(p,w.createToken(135),p.initializer,p.expression,p.statement));return}}if(mr(o))for(const l of[o.left,o.right]){if(s&&Ve(l)){const h=i.getSymbolAtLocation(l);if(h&&s.has(Ta(h)))continue}const u=i.getTypeAtLocation(l),p=i.getPromisedTypeOfPromise(u)?w.createAwaitExpression(l):l;e.replaceNode(r,l,p)}else if(t===Jpe&&Fr(o.parent)){if(s&&Ve(o.parent.expression)){const l=i.getSymbolAtLocation(o.parent.expression);if(l&&s.has(Ta(l)))return}e.replaceNode(r,o.parent.expression,w.createParenthesizedExpression(w.createAwaitExpression(o.parent.expression))),xPe(e,o.parent.expression,r)}else if(Nr(Kpe,t)&&I_(o.parent)){if(s&&Ve(o)){const l=i.getSymbolAtLocation(o);if(l&&s.has(Ta(l)))return}e.replaceNode(r,o,w.createParenthesizedExpression(w.createAwaitExpression(o))),xPe(e,o,r)}else{if(s&&wi(o.parent)&&Ve(o.parent.name)){const l=i.getSymbolAtLocation(o.parent.name);if(l&&!Rv(s,Ta(l)))return}e.replaceNode(r,o,w.createAwaitExpression(o))}}function xPe(e,t,r){const i=xc(t.pos,r);i&&x5(i.end,i.parent,r)&&e.insertText(r,t.getStart(r),";")}var o$,Jpe,Kpe,a$,rQe=T({"src/services/codefixes/addMissingAwait.ts"(){si(),Ra(),o$="addMissingAwait",Jpe=f.Property_0_does_not_exist_on_type_1.code,Kpe=[f.This_expression_is_not_callable.code,f.This_expression_is_not_constructable.code],a$=[f.An_arithmetic_operand_must_be_of_type_any_number_bigint_or_an_enum_type.code,f.The_left_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type.code,f.The_right_hand_side_of_an_arithmetic_operation_must_be_of_type_any_number_bigint_or_an_enum_type.code,f.Operator_0_cannot_be_applied_to_type_1.code,f.Operator_0_cannot_be_applied_to_types_1_and_2.code,f.This_comparison_appears_to_be_unintentional_because_the_types_0_and_1_have_no_overlap.code,f.This_condition_will_always_return_true_since_this_0_is_always_defined.code,f.Type_0_is_not_an_array_type.code,f.Type_0_is_not_an_array_type_or_a_string_type.code,f.Type_0_can_only_be_iterated_through_when_using_the_downlevelIteration_flag_or_with_a_target_of_es2015_or_higher.code,f.Type_0_is_not_an_array_type_or_a_string_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator.code,f.Type_0_is_not_an_array_type_or_does_not_have_a_Symbol_iterator_method_that_returns_an_iterator.code,f.Type_0_must_have_a_Symbol_iterator_method_that_returns_an_iterator.code,f.Type_0_must_have_a_Symbol_asyncIterator_method_that_returns_an_async_iterator.code,f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code,Jpe,...Kpe],Aa({fixIds:[o$],errorCodes:a$,getCodeActions:function(t){const{sourceFile:r,errorCode:i,span:o,cancellationToken:s,program:l}=t,u=SPe(r,i,o,s,l);if(!u)return;const p=t.program.getTypeChecker(),h=m=>_r.ChangeTracker.with(t,m);return WM([TPe(t,u,i,p,h),APe(t,u,i,p,h)])},getAllCodeActions:e=>{const{sourceFile:t,program:r,cancellationToken:i}=e,o=e.program.getTypeChecker(),s=new Set;return Es(e,a$,(l,u)=>{const p=SPe(t,u.code,u,i,r);if(!p)return;const h=m=>(m(l),[]);return TPe(e,p,u.code,o,h,s)||APe(e,p,u.code,o,h,s)})}})}});function RPe(e,t,r,i,o){const s=po(t,r),l=Gn(s,h=>nR(h.parent)?h.parent.initializer===h:iQe(h)?!1:"quit");if(l)return s$(e,l,t,o);const u=s.parent;if(mr(u)&&u.operatorToken.kind===64&&eu(u.parent))return s$(e,s,t,o);if(_d(u)){const h=i.getTypeChecker();return Zn(u.elements,m=>oQe(m,h))?s$(e,u,t,o):void 0}const p=Gn(s,h=>eu(h.parent)?!0:aQe(h)?!1:"quit");if(p){const h=i.getTypeChecker();return DPe(p,h)?s$(e,p,t,o):void 0}}function s$(e,t,r,i){(!i||Rv(i,t))&&e.insertModifierBefore(r,87,t)}function iQe(e){switch(e.kind){case 80:case 209:case 210:case 303:case 304:return!0;default:return!1}}function oQe(e,t){const r=Ve(e)?e:Lc(e,!0)&&Ve(e.left)?e.left:void 0;return!!r&&!t.getSymbolAtLocation(r)}function aQe(e){switch(e.kind){case 80:case 226:case 28:return!0;default:return!1}}function DPe(e,t){return mr(e)?e.operatorToken.kind===28?Zn([e.left,e.right],r=>DPe(r,t)):e.operatorToken.kind===64&&Ve(e.left)&&!t.getSymbolAtLocation(e.left):!1}var l$,Xpe,sQe=T({"src/services/codefixes/addMissingConst.ts"(){si(),Ra(),l$="addMissingConst",Xpe=[f.Cannot_find_name_0.code,f.No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer.code],Aa({errorCodes:Xpe,getCodeActions:function(t){const r=_r.ChangeTracker.with(t,i=>RPe(i,t.sourceFile,t.span.start,t.program));if(r.length>0)return[ca(l$,r,f.Add_const_to_unresolved_variable,l$,f.Add_const_to_all_unresolved_variables)]},fixIds:[l$],getAllCodeActions:e=>{const t=new Set;return Es(e,Xpe,(r,i)=>RPe(r,i.file,i.start,e.program,t))}})}});function NPe(e,t,r,i){const o=po(t,r);if(!Ve(o))return;const s=o.parent;s.kind===172&&(!i||Rv(i,s))&&e.insertModifierBefore(t,138,s)}var c$,Ype,lQe=T({"src/services/codefixes/addMissingDeclareProperty.ts"(){si(),Ra(),c$="addMissingDeclareProperty",Ype=[f.Property_0_will_overwrite_the_base_property_in_1_If_this_is_intentional_add_an_initializer_Otherwise_add_a_declare_modifier_or_remove_the_redundant_declaration.code],Aa({errorCodes:Ype,getCodeActions:function(t){const r=_r.ChangeTracker.with(t,i=>NPe(i,t.sourceFile,t.span.start));if(r.length>0)return[ca(c$,r,f.Prefix_with_declare,c$,f.Prefix_all_incorrect_property_declarations_with_declare)]},fixIds:[c$],getAllCodeActions:e=>{const t=new Set;return Es(e,Ype,(r,i)=>NPe(r,i.file,i.start,t))}})}});function CPe(e,t,r){const i=po(t,r),o=Gn(i,Eu);N.assert(!!o,"Expected position to be owned by a decorator.");const s=w.createCallExpression(o.expression,void 0,void 0);e.replaceNode(t,o.expression,s)}var u$,$pe,cQe=T({"src/services/codefixes/addMissingInvocationForDecorator.ts"(){si(),Ra(),u$="addMissingInvocationForDecorator",$pe=[f._0_accepts_too_few_arguments_to_be_used_as_a_decorator_here_Did_you_mean_to_call_it_first_and_write_0.code],Aa({errorCodes:$pe,getCodeActions:function(t){const r=_r.ChangeTracker.with(t,i=>CPe(i,t.sourceFile,t.span.start));return[ca(u$,r,f.Call_decorator_expression,u$,f.Add_to_all_uncalled_decorators)]},fixIds:[u$],getAllCodeActions:e=>Es(e,$pe,(t,r)=>CPe(t,r.file,r.start))})}});function PPe(e,t,r){const i=po(t,r),o=i.parent;if(!Ao(o))return N.fail("Tried to add a parameter name to a non-parameter: "+N.formatSyntaxKind(i.kind));const s=o.parent.parameters.indexOf(o);N.assert(!o.type,"Tried to add a parameter name to a parameter that already had one."),N.assert(s>-1,"Parameter not found in parent parameter list.");let l=o.name.getEnd(),u=w.createTypeReferenceNode(o.name,void 0),p=MPe(t,o);for(;p;)u=w.createArrayTypeNode(u),l=p.getEnd(),p=MPe(t,p);const h=w.createParameterDeclaration(o.modifiers,o.dotDotDotToken,"arg"+s,o.questionToken,o.dotDotDotToken&&!Xk(u)?w.createArrayTypeNode(u):u,o.initializer);e.replaceRange(t,xf(o.getStart(t),l),h)}function MPe(e,t){const r=b1(t.name,t.parent,e);if(r&&r.kind===23&&n1(r.parent)&&Ao(r.parent.parent))return r.parent.parent}var d$,Qpe,uQe=T({"src/services/codefixes/addNameToNamelessParameter.ts"(){si(),Ra(),d$="addNameToNamelessParameter",Qpe=[f.Parameter_has_a_name_but_no_type_Did_you_mean_0_Colon_1.code],Aa({errorCodes:Qpe,getCodeActions:function(t){const r=_r.ChangeTracker.with(t,i=>PPe(i,t.sourceFile,t.span.start));return[ca(d$,r,f.Add_parameter_name,d$,f.Add_names_to_all_parameters_without_names)]},fixIds:[d$],getAllCodeActions:e=>Es(e,Qpe,(t,r)=>PPe(t,r.file,r.start))})}});function dQe(e,t,r){var i,o;const s=LPe(BX(e,t),r);if(!s)return et;const{source:l,target:u}=s,p=pQe(l,u,r)?r.getTypeAtLocation(u.expression):r.getTypeAtLocation(u);return(o=(i=p.symbol)==null?void 0:i.declarations)!=null&&o.some(h=>jn(h).fileName.match(/\.d\.ts$/))?et:r.getExactOptionalProperties(p)}function pQe(e,t,r){return Fr(t)&&!!r.getExactOptionalProperties(r.getTypeAtLocation(t.expression)).length&&r.getTypeAtLocation(e)===r.getUndefinedType()}function LPe(e,t){var r;if(e){if(mr(e.parent)&&e.parent.operatorToken.kind===64)return{source:e.parent.right,target:e.parent.left};if(wi(e.parent)&&e.parent.initializer)return{source:e.parent.initializer,target:e.parent.name};if(la(e.parent)){const i=t.getSymbolAtLocation(e.parent.expression);if(!(i!=null&&i.valueDeclaration)||!PA(i.valueDeclaration.kind)||!yt(e))return;const o=e.parent.arguments.indexOf(e);if(o===-1)return;const s=i.valueDeclaration.parameters[o].name;if(Ve(s))return{source:e,target:s}}else if(hc(e.parent)&&Ve(e.parent.name)||rp(e.parent)){const i=LPe(e.parent.parent,t);if(!i)return;const o=t.getPropertyOfType(t.getTypeAtLocation(i.target),e.parent.name.text),s=(r=o==null?void 0:o.declarations)==null?void 0:r[0];return s?{source:hc(e.parent)?e.parent.initializer:e.parent.name,target:s}:void 0}}else return}function fQe(e,t){for(const r of t){const i=r.valueDeclaration;if(i&&(yp(i)||Jo(i))&&i.type){const o=w.createUnionTypeNode([...i.type.kind===192?i.type.types:[i.type],w.createTypeReferenceNode("undefined")]);e.replaceNode(i.getSourceFile(),i.type,o)}}}var Zpe,kPe,mQe=T({"src/services/codefixes/addOptionalPropertyUndefined.ts"(){si(),Ra(),Zpe="addOptionalPropertyUndefined",kPe=[f.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_type_of_the_target.code,f.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties.code,f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties.code],Aa({errorCodes:kPe,getCodeActions(e){const t=e.program.getTypeChecker(),r=dQe(e.sourceFile,e.span,t);if(!r.length)return;const i=_r.ChangeTracker.with(e,o=>fQe(o,r));return[o_(Zpe,i,f.Add_undefined_to_optional_property_type)]},fixIds:[Zpe]})}});function wPe(e,t){const r=po(e,t);return ii(Ao(r.parent)?r.parent.parent:r.parent,OPe)}function OPe(e){return _Qe(e)&&WPe(e)}function WPe(e){return Bs(e)?e.parameters.some(WPe)||!e.type&&!!dL(e):!e.type&&!!p0(e)}function FPe(e,t,r){if(Bs(r)&&(dL(r)||r.parameters.some(i=>!!p0(i)))){if(!r.typeParameters){const o=N3(r);o.length&&e.insertTypeParameters(t,r,o)}const i=Gs(r)&&!vs(r,21,t);i&&e.insertNodeBefore(t,Ha(r.parameters),w.createToken(21));for(const o of r.parameters)if(!o.type){const s=p0(o);s&&e.tryInsertTypeAnnotation(t,o,nt(s,I1,ji))}if(i&&e.insertNodeAfter(t,Ya(r.parameters),w.createToken(22)),!r.type){const o=dL(r);o&&e.tryInsertTypeAnnotation(t,r,nt(o,I1,ji))}}else{const i=N.checkDefined(p0(r),"A JSDocType for this declaration should exist");N.assert(!r.type,"The JSDocType decl should have a type"),e.tryInsertTypeAnnotation(t,r,nt(i,I1,ji))}}function _Qe(e){return Bs(e)||e.kind===260||e.kind===171||e.kind===172}function I1(e){switch(e.kind){case 319:case 320:return w.createTypeReferenceNode("any",et);case 323:return gQe(e);case 322:return I1(e.type);case 321:return vQe(e);case 325:return yQe(e);case 324:return bQe(e);case 183:return SQe(e);case 329:return hQe(e);default:const t=In(e,I1,void 0);return pr(t,1),t}}function hQe(e){const t=w.createTypeLiteralNode(wt(e.jsDocPropertyTags,r=>w.createPropertySignature(void 0,Ve(r.name)?r.name:r.name.right,xk(r)?w.createToken(58):void 0,r.typeExpression&&nt(r.typeExpression.type,I1,ji)||w.createKeywordTypeNode(133))));return pr(t,1),t}function gQe(e){return w.createUnionTypeNode([nt(e.type,I1,ji),w.createTypeReferenceNode("undefined",et)])}function vQe(e){return w.createUnionTypeNode([nt(e.type,I1,ji),w.createTypeReferenceNode("null",et)])}function yQe(e){return w.createArrayTypeNode(nt(e.type,I1,ji))}function bQe(e){return w.createFunctionTypeNode(et,e.parameters.map(EQe),e.type??w.createKeywordTypeNode(133))}function EQe(e){const t=e.parent.parameters.indexOf(e),r=e.type.kind===325&&t===e.parent.parameters.length-1,i=e.name||(r?"rest":"arg"+t),o=r?w.createToken(26):e.dotDotDotToken;return w.createParameterDeclaration(e.modifiers,o,i,e.questionToken,nt(e.type,I1,ji),e.initializer)}function SQe(e){let t=e.typeName,r=e.typeArguments;if(Ve(e.typeName)){if(u3(e))return TQe(e);let i=e.typeName.text;switch(e.typeName.text){case"String":case"Boolean":case"Object":case"Number":i=i.toLowerCase();break;case"array":case"date":case"promise":i=i[0].toUpperCase()+i.slice(1);break}t=w.createIdentifier(i),(i==="Array"||i==="Promise")&&!e.typeArguments?r=w.createNodeArray([w.createTypeReferenceNode("any",et)]):r=Vn(e.typeArguments,I1,ji)}return w.createTypeReferenceNode(t,r)}function TQe(e){const t=w.createParameterDeclaration(void 0,void 0,e.typeArguments[0].kind===150?"n":"s",void 0,w.createTypeReferenceNode(e.typeArguments[0].kind===150?"number":"string",[]),void 0),r=w.createTypeLiteralNode([w.createIndexSignature(void 0,[t],e.typeArguments[1])]);return pr(r,1),r}var p$,efe,AQe=T({"src/services/codefixes/annotateWithTypeFromJSDoc.ts"(){si(),Ra(),p$="annotateWithTypeFromJSDoc",efe=[f.JSDoc_types_may_be_moved_to_TypeScript_types.code],Aa({errorCodes:efe,getCodeActions(e){const t=wPe(e.sourceFile,e.span.start);if(!t)return;const r=_r.ChangeTracker.with(e,i=>FPe(i,e.sourceFile,t));return[ca(p$,r,f.Annotate_with_type_from_JSDoc,p$,f.Annotate_everything_with_types_from_JSDoc)]},fixIds:[p$],getAllCodeActions:e=>Es(e,efe,(t,r)=>{const i=wPe(r.file,r.start);i&&FPe(t,r.file,i)})})}});function zPe(e,t,r,i,o,s){const l=i.getSymbolAtLocation(po(t,r));if(!l||!l.valueDeclaration||!(l.flags&19))return;const u=l.valueDeclaration;if(Ac(u)||Os(u))e.replaceNode(t,u,m(u));else if(wi(u)){const b=h(u);if(!b)return;const A=u.parent.parent;Uc(u.parent)&&u.parent.declarations.length>1?(e.delete(t,u),e.insertNodeAfter(t,A,b)):e.replaceNode(t,A,b)}function p(b){const A=[];return b.exports&&b.exports.forEach(M=>{if(M.name==="prototype"&&M.declarations){const C=M.declarations[0];if(M.declarations.length===1&&Fr(C)&&mr(C.parent)&&C.parent.operatorToken.kind===64&&Oa(C.parent.right)){const O=C.parent.right;R(O.symbol,void 0,A)}}else R(M,[w.createToken(126)],A)}),b.members&&b.members.forEach((M,C)=>{var O,U,K,Z;if(C==="constructor"&&M.valueDeclaration){const V=(Z=(K=(U=(O=b.exports)==null?void 0:O.get("prototype"))==null?void 0:U.declarations)==null?void 0:K[0])==null?void 0:Z.parent;V&&mr(V)&&Oa(V.right)&&bt(V.right.properties,m$)||e.delete(t,M.valueDeclaration.parent);return}R(M,void 0,A)}),A;function I(M,C){return ws(M)?Fr(M)&&m$(M)?!0:ea(C):Zn(M.properties,O=>!!(ql(O)||T4(O)||hc(O)&&Os(O.initializer)&&O.name||m$(O)))}function R(M,C,O){if(!(M.flags&8192)&&!(M.flags&4096))return;const U=M.valueDeclaration,K=U.parent,Z=K.right;if(!I(U,Z)||bt(O,he=>{const ye=Mo(he);return!!(ye&&Ve(ye)&&Sr(ye)===Sl(M))}))return;const V=K.parent&&K.parent.kind===244?K.parent:K;if(e.delete(t,V),!Z){O.push(w.createPropertyDeclaration(C,M.name,void 0,void 0,void 0));return}if(ws(U)&&(Os(Z)||Gs(Z))){const he=uf(t,o),ye=IQe(U,s,he);ye&&se(O,Z,ye);return}else if(Oa(Z)){Ue(Z.properties,he=>{(ql(he)||T4(he))&&O.push(he),hc(he)&&Os(he.initializer)&&se(O,he.initializer,he.name),m$(he)});return}else{if(dd(t)||!Fr(U))return;const he=w.createPropertyDeclaration(C,U.name,void 0,void 0,Z);PD(K.parent,he,t),O.push(he);return}function se(he,ye,$){return Os(ye)?G(he,ye,$):ne(he,ye,$)}function G(he,ye,$){const Y=So(C,f$(ye,134)),oe=w.createMethodDeclaration(Y,void 0,$,void 0,void 0,ye.parameters,void 0,ye.body);PD(K,oe,t),he.push(oe)}function ne(he,ye,$){const Y=ye.body;let oe;Y.kind===241?oe=Y:oe=w.createBlock([w.createReturnStatement(Y)]);const fe=So(C,f$(ye,134)),Ne=w.createMethodDeclaration(fe,void 0,$,void 0,void 0,ye.parameters,void 0,oe);PD(K,Ne,t),he.push(Ne)}}}function h(b){const A=b.initializer;if(!A||!Os(A)||!Ve(b.name))return;const I=p(b.symbol);A.body&&I.unshift(w.createConstructorDeclaration(void 0,A.parameters,A.body));const R=f$(b.parent.parent,95);return w.createClassDeclaration(R,b.name,void 0,void 0,I)}function m(b){const A=p(l);b.body&&A.unshift(w.createConstructorDeclaration(void 0,b.parameters,b.body));const I=f$(b,95);return w.createClassDeclaration(I,b.name,void 0,void 0,A)}}function f$(e,t){return Cm(e)?nr(e.modifiers,r=>r.kind===t):void 0}function m$(e){return e.name?!!(Ve(e.name)&&e.name.text==="constructor"):!1}function IQe(e,t,r){if(Fr(e))return e.name;const i=e.argumentExpression;if(vp(i))return i;if(ls(i))return tf(i.text,os(t))?w.createIdentifier(i.text):rI(i)?w.createStringLiteral(i.text,r===0):i}var _$,tfe,xQe=T({"src/services/codefixes/convertFunctionToEs6Class.ts"(){si(),Ra(),_$="convertFunctionToEs6Class",tfe=[f.This_constructor_function_may_be_converted_to_a_class_declaration.code],Aa({errorCodes:tfe,getCodeActions(e){const t=_r.ChangeTracker.with(e,r=>zPe(r,e.sourceFile,e.span.start,e.program.getTypeChecker(),e.preferences,e.program.getCompilerOptions()));return[ca(_$,t,f.Convert_function_to_an_ES2015_class,_$,f.Convert_all_constructor_functions_to_classes)]},fixIds:[_$],getAllCodeActions:e=>Es(e,tfe,(t,r)=>zPe(t,r.file,r.start,e.program.getTypeChecker(),e.preferences,e.program.getCompilerOptions()))})}});function BPe(e,t,r,i){const o=po(t,r);let s;if(Ve(o)&&wi(o.parent)&&o.parent.initializer&&Bs(o.parent.initializer)?s=o.parent.initializer:s=ii(Gp(po(t,r)),dY),!s)return;const l=new Map,u=lr(s),p=DQe(s,i),h=NQe(s,i,l);if(!cY(h,i))return;const m=h.body&&Xo(h.body)?RQe(h.body,i):et,b={checker:i,synthNamesMap:l,setOfExpressionsToReturn:p,isInJSFile:u};if(!m.length)return;const A=ka(t.text,L_(s).pos);e.insertModifierAt(t,A,134,{suffix:" "});for(const I of m)if(Ho(I,function R(M){if(la(M)){const C=GD(M,M,b,!1);if(WI())return!0;e.replaceNodeWithNodes(t,I,C)}else if(!ea(M)&&(Ho(M,R),WI()))return!0}),WI())return}function RQe(e,t){const r=[];return zE(e,i=>{j5(i,t)&&r.push(i)}),r}function DQe(e,t){if(!e.body)return new Set;const r=new Set;return Ho(e.body,function i(o){qO(o,t,"then")?(r.add(as(o)),Ue(o.arguments,i)):qO(o,t,"catch")||qO(o,t,"finally")?(r.add(as(o)),Ho(o,i)):VPe(o,t)?r.add(as(o)):Ho(o,i)}),r}function qO(e,t,r){if(!la(e))return!1;const o=oO(e,r)&&t.getTypeAtLocation(e);return!!(o&&t.getPromisedTypeOfPromise(o))}function GPe(e,t){return(Wr(e)&4)!==0&&e.target===t}function h$(e,t,r){if(e.expression.name.escapedText==="finally")return;const i=r.getTypeAtLocation(e.expression.expression);if(GPe(i,r.getPromiseType())||GPe(i,r.getPromiseLikeType()))if(e.expression.name.escapedText==="then"){if(t===Lv(e.arguments,0))return Lv(e.typeArguments,0);if(t===Lv(e.arguments,1))return Lv(e.typeArguments,1)}else return Lv(e.typeArguments,0)}function VPe(e,t){return yt(e)?!!t.getPromisedTypeOfPromise(t.getTypeAtLocation(e)):!1}function NQe(e,t,r){const i=new Map,o=Zp();return Ho(e,function s(l){if(!Ve(l)){Ho(l,s);return}const u=t.getSymbolAtLocation(l);if(u){const p=t.getTypeAtLocation(l),h=KPe(p,t),m=Ta(u).toString();if(h&&!Ao(l.parent)&&!Bs(l.parent)&&!r.has(m)){const b=Xc(h.parameters),A=(b==null?void 0:b.valueDeclaration)&&Ao(b.valueDeclaration)&&ii(b.valueDeclaration.name,Ve)||w.createUniqueName("result",16),I=jPe(A,o);r.set(m,I),o.add(A.text,u)}else if(l.parent&&(Ao(l.parent)||wi(l.parent)||Qa(l.parent))){const b=l.text,A=o.get(b);if(A&&A.some(I=>I!==u)){const I=jPe(l,o);i.set(m,I.identifier),r.set(m,I),o.add(b,u)}else{const I=cl(l);r.set(m,v2(I)),o.add(b,u)}}}}),bO(e,!0,s=>{if(Qa(s)&&Ve(s.name)&&am(s.parent)){const l=t.getSymbolAtLocation(s.name),u=l&&i.get(String(Ta(l)));if(u&&u.text!==(s.name||s.propertyName).getText())return w.createBindingElement(s.dotDotDotToken,s.propertyName||s.name,u,s.initializer)}else if(Ve(s)){const l=t.getSymbolAtLocation(s),u=l&&i.get(String(Ta(l)));if(u)return w.createIdentifier(u.text)}})}function jPe(e,t){const r=(t.get(e.text)||et).length,i=r===0?e:w.createIdentifier(e.text+"_"+r);return v2(i)}function WI(){return!_z}function B0(){return _z=!1,et}function GD(e,t,r,i,o){if(qO(t,r.checker,"then"))return MQe(t,Lv(t.arguments,0),Lv(t.arguments,1),r,i,o);if(qO(t,r.checker,"catch"))return qPe(t,Lv(t.arguments,0),r,i,o);if(qO(t,r.checker,"finally"))return PQe(t,Lv(t.arguments,0),r,i,o);if(Fr(t))return GD(e,t.expression,r,i,o);const s=r.checker.getTypeAtLocation(t);return s&&r.checker.getPromisedTypeOfPromise(s)?(N.assertNode(Pl(t).parent,Fr),LQe(e,t,r,i,o)):B0()}function g$({checker:e},t){if(t.kind===106)return!0;if(Ve(t)&&!sl(t)&&Sr(t)==="undefined"){const r=e.getSymbolAtLocation(t);return!r||e.isUndefinedSymbol(r)}return!1}function CQe(e){const t=w.createUniqueName(e.identifier.text,16);return v2(t)}function UPe(e,t,r){let i;return r&&!KO(e,t)&&(JO(r)?(i=r,t.synthNamesMap.forEach((o,s)=>{if(o.identifier.text===r.identifier.text){const l=CQe(r);t.synthNamesMap.set(s,l)}})):i=v2(w.createUniqueName("result",16),r.types),ofe(i)),i}function HPe(e,t,r,i,o){const s=[];let l;if(i&&!KO(e,t)){l=cl(ofe(i));const u=i.types,p=t.checker.getUnionType(u,2),h=t.isInJSFile?void 0:t.checker.typeToTypeNode(p,void 0,void 0),m=[w.createVariableDeclaration(l,void 0,h)],b=w.createVariableStatement(void 0,w.createVariableDeclarationList(m,1));s.push(b)}return s.push(r),o&&l&&OQe(o)&&s.push(w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(cl(QPe(o)),void 0,void 0,l)],2))),s}function PQe(e,t,r,i,o){if(!t||g$(r,t))return GD(e,e.expression.expression,r,i,o);const s=UPe(e,r,o),l=GD(e,e.expression.expression,r,!0,s);if(WI())return B0();const u=rfe(t,i,void 0,void 0,e,r);if(WI())return B0();const p=w.createBlock(l),h=w.createBlock(u),m=w.createTryStatement(p,void 0,h);return HPe(e,r,m,s,o)}function qPe(e,t,r,i,o){if(!t||g$(r,t))return GD(e,e.expression.expression,r,i,o);const s=YPe(t,r),l=UPe(e,r,o),u=GD(e,e.expression.expression,r,!0,l);if(WI())return B0();const p=rfe(t,i,l,s,e,r);if(WI())return B0();const h=w.createBlock(u),m=w.createCatchClause(s&&cl(mz(s)),w.createBlock(p)),b=w.createTryStatement(h,m,void 0);return HPe(e,r,b,l,o)}function MQe(e,t,r,i,o,s){if(!t||g$(i,t))return qPe(e,r,i,o,s);if(r&&!g$(i,r))return B0();const l=YPe(t,i),u=GD(e.expression.expression,e.expression.expression,i,!0,l);if(WI())return B0();const p=rfe(t,o,s,l,e,i);return WI()?B0():So(u,p)}function LQe(e,t,r,i,o){if(KO(e,r)){let s=cl(t);return i&&(s=w.createAwaitExpression(s)),[w.createReturnStatement(s)]}return v$(o,w.createAwaitExpression(t),void 0)}function v$(e,t,r){return!e||$Pe(e)?[w.createExpressionStatement(t)]:JO(e)&&e.hasBeenDeclared?[w.createExpressionStatement(w.createAssignment(cl(ife(e)),t))]:[w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(cl(mz(e)),void 0,r,t)],2))]}function nfe(e,t){if(t&&e){const r=w.createUniqueName("result",16);return[...v$(v2(r),e,t),w.createReturnStatement(r)]}return[w.createReturnStatement(e)]}function rfe(e,t,r,i,o,s){var l;switch(e.kind){case 106:break;case 211:case 80:if(!i)break;const u=w.createCallExpression(cl(e),void 0,JO(i)?[ife(i)]:[]);if(KO(o,s))return nfe(u,h$(o,e,s.checker));const p=s.checker.getTypeAtLocation(e),h=s.checker.getSignaturesOfType(p,0);if(!h.length)return B0();const m=h[0].getReturnType(),b=v$(r,w.createAwaitExpression(u),h$(o,e,s.checker));return r&&r.types.push(s.checker.getAwaitedType(m)||m),b;case 218:case 219:{const A=e.body,I=(l=KPe(s.checker.getTypeAtLocation(e),s.checker))==null?void 0:l.getReturnType();if(Xo(A)){let R=[],M=!1;for(const C of A.statements)if(Dm(C))if(M=!0,j5(C,s.checker))R=R.concat(XPe(s,C,t,r));else{const O=I&&C.expression?JPe(s.checker,I,C.expression):C.expression;R.push(...nfe(O,h$(o,e,s.checker)))}else{if(t&&zE(C,Pv))return B0();R.push(C)}return KO(o,s)?R.map(C=>cl(C)):kQe(R,r,s,M)}else{const R=uY(A,s.checker)?XPe(s,w.createReturnStatement(A),t,r):et;if(R.length>0)return R;if(I){const M=JPe(s.checker,I,A);if(KO(o,s))return nfe(M,h$(o,e,s.checker));{const C=v$(r,M,void 0);return r&&r.types.push(s.checker.getAwaitedType(I)||I),C}}else return B0()}}default:return B0()}return et}function JPe(e,t,r){const i=cl(r);return e.getPromisedTypeOfPromise(t)?w.createAwaitExpression(i):i}function KPe(e,t){const r=t.getSignaturesOfType(e,0);return tl(r)}function kQe(e,t,r,i){const o=[];for(const s of e)if(Dm(s)){if(s.expression){const l=VPe(s.expression,r.checker)?w.createAwaitExpression(s.expression):s.expression;t===void 0?o.push(w.createExpressionStatement(l)):JO(t)&&t.hasBeenDeclared?o.push(w.createExpressionStatement(w.createAssignment(ife(t),l))):o.push(w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(mz(t),void 0,void 0,l)],2)))}}else o.push(cl(s));return!i&&t!==void 0&&o.push(w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(mz(t),void 0,void 0,w.createIdentifier("undefined"))],2))),o}function XPe(e,t,r,i){let o=[];return Ho(t,function s(l){if(la(l)){const u=GD(l,l,e,r,i);if(o=o.concat(u),o.length>0)return}else ea(l)||Ho(l,s)}),o}function YPe(e,t){const r=[];let i;if(Bs(e)){if(e.parameters.length>0){const p=e.parameters[0].name;i=o(p)}}else Ve(e)?i=s(e):Fr(e)&&Ve(e.name)&&(i=s(e.name));if(!i||"identifier"in i&&i.identifier.text==="undefined")return;return i;function o(p){if(Ve(p))return s(p);const h=Pi(p.elements,m=>jc(m)?[]:[o(m.name)]);return wQe(p,h)}function s(p){const h=u(p),m=l(h);return m&&t.synthNamesMap.get(Ta(m).toString())||v2(p,r)}function l(p){var h;return((h=ii(p,x_))==null?void 0:h.symbol)??t.checker.getSymbolAtLocation(p)}function u(p){return p.original?p.original:p}}function $Pe(e){return e?JO(e)?!e.identifier.text:Zn(e.elements,$Pe):!0}function v2(e,t=[]){return{kind:0,identifier:e,types:t,hasBeenDeclared:!1,hasBeenReferenced:!1}}function wQe(e,t=et,r=[]){return{kind:1,bindingPattern:e,elements:t,types:r}}function ife(e){return e.hasBeenReferenced=!0,e.identifier}function mz(e){return JO(e)?ofe(e):QPe(e)}function QPe(e){for(const t of e.elements)mz(t);return e.bindingPattern}function ofe(e){return e.hasBeenDeclared=!0,e.identifier}function JO(e){return e.kind===0}function OQe(e){return e.kind===1}function KO(e,t){return!!e.original&&t.setOfExpressionsToReturn.has(as(e.original))}var y$,afe,_z,WQe=T({"src/services/codefixes/convertToAsyncFunction.ts"(){si(),Ra(),y$="convertToAsyncFunction",afe=[f.This_may_be_converted_to_an_async_function.code],_z=!0,Aa({errorCodes:afe,getCodeActions(e){_z=!0;const t=_r.ChangeTracker.with(e,r=>BPe(r,e.sourceFile,e.span.start,e.program.getTypeChecker()));return _z?[ca(y$,t,f.Convert_to_async_function,y$,f.Convert_all_to_async_functions)]:[]},fixIds:[y$],getAllCodeActions:e=>Es(e,afe,(t,r)=>BPe(t,r.file,r.start,e.program.getTypeChecker()))})}});function FQe(e,t,r,i,o){var s;for(const l of e.imports){const u=(s=r.getResolvedModuleFromModuleSpecifier(l))==null?void 0:s.resolvedModule;if(!u||u.resolvedFileName!==t.fileName)continue;const p=DC(l);switch(p.kind){case 271:i.replaceNode(e,p,ey(p.name,void 0,l,o));break;case 213:Ad(p,!1)&&i.replaceNode(e,p,w.createPropertyAccessExpression(cl(p),"default"));break}}}function zQe(e,t,r,i,o){const s={original:QQe(e),additional:new Set},l=BQe(e,t,s);GQe(e,l,r);let u=!1,p;for(const h of nr(e.statements,Ll)){const m=e2e(e,h,r,t,s,i,o);m&&F4(m,p??(p=new Map))}for(const h of nr(e.statements,m=>!Ll(m))){const m=VQe(e,h,t,r,s,i,l,p,o);u=u||m}return p==null||p.forEach((h,m)=>{r.replaceNode(e,m,h)}),u}function BQe(e,t,r){const i=new Map;return ZPe(e,o=>{const{text:s}=o.name;!i.has(s)&&(nU(o.name)||t.resolveName(s,o,111551,!0))&&i.set(s,b$(`_${s}`,r))}),i}function GQe(e,t,r){ZPe(e,(i,o)=>{if(o)return;const{text:s}=i.name;r.replaceNode(e,i,w.createIdentifier(t.get(s)||s))})}function ZPe(e,t){e.forEachChild(function r(i){if(Fr(i)&&f1(e,i.expression)&&Ve(i.name)){const{parent:o}=i;t(i,mr(o)&&o.left===i&&o.operatorToken.kind===64)}i.forEachChild(r)})}function VQe(e,t,r,i,o,s,l,u,p){switch(t.kind){case 243:return e2e(e,t,i,r,o,s,p),!1;case 244:{const{expression:h}=t;switch(h.kind){case 213:return Ad(h,!0)&&i.replaceNode(e,t,ey(void 0,void 0,h.arguments[0],p)),!1;case 226:{const{operatorToken:m}=h;return m.kind===64&&UQe(e,r,h,i,l,u)}}}default:return!1}}function e2e(e,t,r,i,o,s,l){const{declarationList:u}=t;let p=!1;const h=wt(u.declarations,m=>{const{name:b,initializer:A}=m;if(A){if(f1(e,A))return p=!0,y2([]);if(Ad(A,!0))return p=!0,YQe(b,A.arguments[0],i,o,s,l);if(Fr(A)&&Ad(A.expression,!0))return p=!0,jQe(b,A.name.text,A.expression.arguments[0],o,l)}return y2([w.createVariableStatement(void 0,w.createVariableDeclarationList([m],u.flags))])});if(p){r.replaceNodeWithNodes(e,t,Pi(h,b=>b.newImports));let m;return Ue(h,b=>{b.useSitesToUnqualify&&F4(b.useSitesToUnqualify,m??(m=new Map))}),m}}function jQe(e,t,r,i,o){switch(e.kind){case 206:case 207:{const s=b$(t,i);return y2([i2e(s,t,r,o),E$(void 0,e,w.createIdentifier(s))])}case 80:return y2([i2e(e.text,t,r,o)]);default:return N.assertNever(e,`Convert to ES module got invalid syntax form ${e.kind}`)}}function UQe(e,t,r,i,o,s){const{left:l,right:u}=r;if(!Fr(l))return!1;if(f1(e,l))if(f1(e,u))i.delete(e,r.parent);else{const p=Oa(u)?HQe(u,s):Ad(u,!0)?JQe(u.arguments[0],t):void 0;return p?(i.replaceNodeWithNodes(e,r.parent,p[0]),p[1]):(i.replaceRangeWithText(e,xf(l.getStart(e),u.pos),"export default"),!0)}else f1(e,l.expression)&&qQe(e,r,i,o);return!1}function HQe(e,t){const r=RE(e.properties,i=>{switch(i.kind){case 177:case 178:case 304:case 305:return;case 303:return Ve(i.name)?XQe(i.name.text,i.initializer,t):void 0;case 174:return Ve(i.name)?r2e(i.name.text,[w.createToken(95)],i,t):void 0;default:N.assertNever(i,`Convert to ES6 got invalid prop kind ${i.kind}`)}});return r&&[r,!1]}function qQe(e,t,r,i){const{text:o}=t.left.name,s=i.get(o);if(s!==void 0){const l=[E$(void 0,s,t.right),cfe([w.createExportSpecifier(!1,s,o)])];r.replaceNodeWithNodes(e,t.parent,l)}else KQe(t,e,r)}function JQe(e,t){const r=e.text,i=t.getSymbolAtLocation(e),o=i?i.exports:U6;return o.has("export=")?[[sfe(r)],!0]:o.has("default")?o.size>1?[[t2e(r),sfe(r)],!0]:[[sfe(r)],!0]:[[t2e(r)],!1]}function t2e(e){return cfe(void 0,e)}function sfe(e){return cfe([w.createExportSpecifier(!1,void 0,"default")],e)}function KQe({left:e,right:t,parent:r},i,o){const s=e.name.text;if((Os(t)||Gs(t)||Zc(t))&&(!t.name||t.name.text===s)){o.replaceRange(i,{pos:e.getStart(i),end:t.getStart(i)},w.createToken(95),{suffix:" "}),t.name||o.insertName(i,t,s);const l=vs(r,27,i);l&&o.delete(i,l)}else o.replaceNodeRangeWithNodes(i,e.expression,vs(e,25,i),[w.createToken(95),w.createToken(87)],{joiner:" ",suffix:" "})}function XQe(e,t,r){const i=[w.createToken(95)];switch(t.kind){case 218:{const{name:s}=t;if(s&&s.text!==e)return o()}case 219:return r2e(e,i,t,r);case 231:return eZe(e,i,t,r);default:return o()}function o(){return E$(i,w.createIdentifier(e),lfe(t,r))}}function lfe(e,t){if(!t||!bt(zo(t.keys()),i=>cf(e,i)))return e;return To(e)?MX(e,!0,r):bO(e,!0,r);function r(i){if(i.kind===211){const o=t.get(i);return t.delete(i),o}}}function YQe(e,t,r,i,o,s){switch(e.kind){case 206:{const l=RE(e.elements,u=>u.dotDotDotToken||u.initializer||u.propertyName&&!Ve(u.propertyName)||!Ve(u.name)?void 0:o2e(u.propertyName&&u.propertyName.text,u.name.text));if(l)return y2([ey(void 0,l,t,s)])}case 207:{const l=b$(Sfe(t.text,o),i);return y2([ey(w.createIdentifier(l),void 0,t,s),E$(void 0,cl(e),w.createIdentifier(l))])}case 80:return $Qe(e,t,r,i,s);default:return N.assertNever(e,`Convert to ES module got invalid name kind ${e.kind}`)}}function $Qe(e,t,r,i,o){const s=r.getSymbolAtLocation(e),l=new Map;let u=!1,p;for(const m of i.original.get(e.text)){if(r.getSymbolAtLocation(m)!==s||m===e)continue;const{parent:b}=m;if(Fr(b)){const{name:{text:A}}=b;if(A==="default"){u=!0;const I=m.getText();(p??(p=new Map)).set(b,w.createIdentifier(I))}else{N.assert(b.expression===m,"Didn't expect expression === use");let I=l.get(A);I===void 0&&(I=b$(A,i),l.set(A,I)),(p??(p=new Map)).set(b,w.createIdentifier(I))}}else u=!0}const h=l.size===0?void 0:zo(Ia(l.entries(),([m,b])=>w.createImportSpecifier(!1,m===b?void 0:w.createIdentifier(m),w.createIdentifier(b))));return h||(u=!0),y2([ey(u?cl(e):void 0,h,t,o)],p)}function b$(e,t){for(;t.original.has(e)||t.additional.has(e);)e=`_${e}`;return t.additional.add(e),e}function QQe(e){const t=Zp();return n2e(e,r=>t.add(r.text,r)),t}function n2e(e,t){Ve(e)&&ZQe(e)&&t(e),e.forEachChild(r=>n2e(r,t))}function ZQe(e){const{parent:t}=e;switch(t.kind){case 211:return t.name!==e;case 208:return t.propertyName!==e;case 276:return t.propertyName!==e;default:return!0}}function r2e(e,t,r,i){return w.createFunctionDeclaration(So(t,E1(r.modifiers)),cl(r.asteriskToken),e,E1(r.typeParameters),E1(r.parameters),cl(r.type),w.converters.convertToFunctionBlock(lfe(r.body,i)))}function eZe(e,t,r,i){return w.createClassDeclaration(So(t,E1(r.modifiers)),e,E1(r.typeParameters),E1(r.heritageClauses),lfe(r.members,i))}function i2e(e,t,r,i){return t==="default"?ey(w.createIdentifier(e),void 0,r,i):ey(void 0,[o2e(t,e)],r,i)}function o2e(e,t){return w.createImportSpecifier(!1,e!==void 0&&e!==t?w.createIdentifier(e):void 0,w.createIdentifier(t))}function E$(e,t,r){return w.createVariableStatement(e,w.createVariableDeclarationList([w.createVariableDeclaration(t,void 0,void 0,r)],2))}function cfe(e,t){return w.createExportDeclaration(void 0,!1,e&&w.createNamedExports(e),t===void 0?void 0:w.createStringLiteral(t))}function y2(e,t){return{newImports:e,useSitesToUnqualify:t}}var tZe=T({"src/services/codefixes/convertToEsModule.ts"(){si(),Ra(),Aa({errorCodes:[f.File_is_a_CommonJS_module_it_may_be_converted_to_an_ES_module.code],getCodeActions(e){const{sourceFile:t,program:r,preferences:i}=e,o=_r.ChangeTracker.with(e,s=>{if(zQe(t,r.getTypeChecker(),s,os(r.getCompilerOptions()),uf(t,i)))for(const u of r.getSourceFiles())FQe(u,t,r,s,uf(u,i))});return[o_("convertToEsModule",o,f.Convert_to_ES_module)]}})}});function a2e(e,t){const r=Gn(po(e,t),xd);return N.assert(!!r,"Expected position to be owned by a qualified name."),Ve(r.left)?r:void 0}function s2e(e,t,r){const i=r.right.text,o=w.createIndexedAccessTypeNode(w.createTypeReferenceNode(r.left,void 0),w.createLiteralTypeNode(w.createStringLiteral(i)));e.replaceNode(t,r,o)}var S$,ufe,nZe=T({"src/services/codefixes/correctQualifiedNameToIndexedAccessType.ts"(){si(),Ra(),S$="correctQualifiedNameToIndexedAccessType",ufe=[f.Cannot_access_0_1_because_0_is_a_type_but_not_a_namespace_Did_you_mean_to_retrieve_the_type_of_the_property_1_in_0_with_0_1.code],Aa({errorCodes:ufe,getCodeActions(e){const t=a2e(e.sourceFile,e.span.start);if(!t)return;const r=_r.ChangeTracker.with(e,o=>s2e(o,e.sourceFile,t)),i=`${t.left.text}["${t.right.text}"]`;return[ca(S$,r,[f.Rewrite_as_the_indexed_access_type_0,i],S$,f.Rewrite_all_as_indexed_access_types)]},fixIds:[S$],getAllCodeActions:e=>Es(e,ufe,(t,r)=>{const i=a2e(r.file,r.start);i&&s2e(t,r.file,i)})})}});function l2e(e,t){return ii(po(t,e.start).parent,Ku)}function c2e(e,t,r){if(!t)return;const i=t.parent,o=i.parent,s=rZe(t,r);if(s.length===i.elements.length)e.insertModifierBefore(r.sourceFile,156,i);else{const l=w.updateExportDeclaration(o,o.modifiers,!1,w.updateNamedExports(i,nr(i.elements,p=>!Nr(s,p))),o.moduleSpecifier,void 0),u=w.createExportDeclaration(void 0,!0,w.createNamedExports(s),o.moduleSpecifier,void 0);e.replaceNode(r.sourceFile,o,l,{leadingTriviaOption:_r.LeadingTriviaOption.IncludeAll,trailingTriviaOption:_r.TrailingTriviaOption.Exclude}),e.insertNodeAfter(r.sourceFile,o,u)}}function rZe(e,t){const r=e.parent;if(r.elements.length===1)return r.elements;const i=ude(Nd(r),t.program.getSemanticDiagnostics(t.sourceFile,t.cancellationToken));return nr(r.elements,o=>{var s;return o===e||((s=cde(o,i))==null?void 0:s.code)===T$[0]})}var T$,A$,iZe=T({"src/services/codefixes/convertToTypeOnlyExport.ts"(){si(),Ra(),T$=[f.Re_exporting_a_type_when_0_is_enabled_requires_using_export_type.code],A$="convertToTypeOnlyExport",Aa({errorCodes:T$,getCodeActions:function(t){const r=_r.ChangeTracker.with(t,i=>c2e(i,l2e(t.span,t.sourceFile),t));if(r.length)return[ca(A$,r,f.Convert_to_type_only_export,A$,f.Convert_all_re_exported_types_to_type_only_exports)]},fixIds:[A$],getAllCodeActions:function(t){const r=new Map;return Es(t,T$,(i,o)=>{const s=l2e(o,t.sourceFile);s&&Rm(r,as(s.parent.parent))&&c2e(i,s,t)})}})}});function u2e(e,t){const{parent:r}=po(e,t);return np(r)||kc(r)&&r.importClause?r:void 0}function d2e(e,t,r){if(e.parent.parent.name)return!1;const i=e.parent.elements.filter(s=>!s.isTypeOnly);if(i.length===1)return!0;const o=r.getTypeChecker();for(const s of i)if(Ws.Core.eachSymbolReferenceInFile(s.name,o,t,u=>!T0(u)))return!1;return!0}function hz(e,t,r){var i;if(np(r))e.replaceNode(t,r,w.updateImportSpecifier(r,!0,r.propertyName,r.name));else{const o=r.importClause;if(o.name&&o.namedBindings)e.replaceNodeWithNodes(t,r,[w.createImportDeclaration(E1(r.modifiers,!0),w.createImportClause(!0,cl(o.name,!0),void 0),cl(r.moduleSpecifier,!0),cl(r.attributes,!0)),w.createImportDeclaration(E1(r.modifiers,!0),w.createImportClause(!0,void 0,cl(o.namedBindings,!0)),cl(r.moduleSpecifier,!0),cl(r.attributes,!0))]);else{const s=((i=o.namedBindings)==null?void 0:i.kind)===275?w.updateNamedImports(o.namedBindings,eo(o.namedBindings.elements,u=>w.updateImportSpecifier(u,!1,u.propertyName,u.name))):o.namedBindings,l=w.updateImportDeclaration(r,r.modifiers,w.updateImportClause(o,!0,o.name,s),r.moduleSpecifier,r.attributes);e.replaceNode(t,r,l)}}}var dfe,gz,oZe=T({"src/services/codefixes/convertToTypeOnlyImport.ts"(){si(),Ra(),dfe=[f.This_import_is_never_used_as_a_value_and_must_use_import_type_because_importsNotUsedAsValues_is_set_to_error.code,f._0_is_a_type_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled.code,f._0_resolves_to_a_type_only_declaration_and_must_be_imported_using_a_type_only_import_when_verbatimModuleSyntax_is_enabled.code],gz="convertToTypeOnlyImport",Aa({errorCodes:dfe,getCodeActions:function(t){var r;const i=u2e(t.sourceFile,t.span.start);if(i){const o=_r.ChangeTracker.with(t,u=>hz(u,t.sourceFile,i)),s=i.kind===276&&d2e(i,t.sourceFile,t.program)?_r.ChangeTracker.with(t,u=>hz(u,t.sourceFile,i.parent.parent.parent)):void 0,l=ca(gz,o,i.kind===276?[f.Use_type_0,((r=i.propertyName)==null?void 0:r.text)??i.name.text]:f.Use_import_type,gz,f.Fix_all_with_type_only_imports);return bt(s)?[o_(gz,s,f.Use_import_type),l]:[l]}},fixIds:[gz],getAllCodeActions:function(t){const r=new Set;return Es(t,dfe,(i,o)=>{const s=u2e(o.file,o.start);(s==null?void 0:s.kind)===272&&!r.has(s)?(hz(i,o.file,s),r.add(s)):(s==null?void 0:s.kind)===276&&!r.has(s.parent.parent.parent)&&d2e(s,o.file,t.program)?(hz(i,o.file,s.parent.parent.parent),r.add(s.parent.parent.parent)):(s==null?void 0:s.kind)===276&&hz(i,o.file,s)})}})}});function p2e(e,t,r,i,o=!1){if(!YS(t))return;const s=sZe(t);if(!s)return;const l=t.parent,{leftSibling:u,rightSibling:p}=aZe(t);let h=l.getStart(),m="";!u&&l.comment&&(h=f2e(l,l.getStart(),t.getStart()),m=`${i} */${i}`),u&&(o&&YS(u)?(h=t.getStart(),m=""):(h=f2e(l,u.getStart(),t.getStart()),m=`${i} */${i}`));let b=l.getEnd(),A="";p&&(o&&YS(p)?(b=p.getStart(),A=`${i}${i}`):(b=p.getStart(),A=`${i}/**${i} * `)),e.replaceRange(r,{pos:h,end:b},s,{prefix:m,suffix:A})}function aZe(e){const t=e.parent,r=t.getChildCount()-1,i=t.getChildren().findIndex(l=>l.getStart()===e.getStart()&&l.getEnd()===e.getEnd()),o=i>0?t.getChildAt(i-1):void 0,s=i<r?t.getChildAt(i+1):void 0;return{leftSibling:o,rightSibling:s}}function f2e(e,t,r){const i=e.getText().substring(t-e.getStart(),r-e.getStart());for(let o=i.length;o>0;o--)if(!/[*/\s]/g.test(i.substring(o-1,o)))return t+o;return r}function sZe(e){var t;const{typeExpression:r}=e;if(!r)return;const i=(t=e.name)==null?void 0:t.getText();if(i){if(r.kind===329)return lZe(i,r);if(r.kind===316)return cZe(i,r)}}function lZe(e,t){const r=m2e(t);if(bt(r))return w.createInterfaceDeclaration(void 0,e,void 0,void 0,r)}function cZe(e,t){const r=cl(t.type);if(r)return w.createTypeAliasDeclaration(void 0,w.createIdentifier(e),void 0,r)}function m2e(e){const t=e.jsDocPropertyTags;return bt(t)?Hi(t,i=>{var o;const s=uZe(i),l=(o=i.typeExpression)==null?void 0:o.type,u=i.isBracketed;let p;if(l&&XS(l)){const h=m2e(l);p=w.createTypeLiteralNode(h)}else l&&(p=cl(l));if(p&&s){const h=u?w.createToken(58):void 0;return w.createPropertySignature(void 0,s,h,p)}}):void 0}function uZe(e){return e.name.kind===80?e.name.text:e.name.right.text}function dZe(e){return Fp(e)?Pi(e.jsDoc,t=>{var r;return(r=t.tags)==null?void 0:r.filter(i=>YS(i))}):[]}var I$,pfe,pZe=T({"src/services/codefixes/convertTypedefToType.ts"(){si(),Ra(),I$="convertTypedefToType",pfe=[f.JSDoc_typedef_may_be_converted_to_TypeScript_type.code],Aa({fixIds:[I$],errorCodes:pfe,getCodeActions(e){const t=ty(e.host,e.formatContext.options),r=po(e.sourceFile,e.span.start);if(!r)return;const i=_r.ChangeTracker.with(e,o=>p2e(o,r,e.sourceFile,t));if(i.length>0)return[ca(I$,i,f.Convert_typedef_to_TypeScript_type,I$,f.Convert_all_typedef_to_TypeScript_types)]},getAllCodeActions:e=>Es(e,pfe,(t,r)=>{const i=ty(e.host,e.formatContext.options),o=po(r.file,r.start);o&&p2e(t,o,r.file,i,!0)})})}});function _2e(e,t){const r=po(e,t);if(Ve(r)){const i=aa(r.parent.parent,yp),o=r.getText(e);return{container:aa(i.parent,Ep),typeNode:i.type,constraint:o,name:o==="K"?"P":"K"}}}function h2e(e,t,{container:r,typeNode:i,constraint:o,name:s}){e.replaceNode(t,r,w.createMappedTypeNode(void 0,w.createTypeParameterDeclaration(void 0,s,w.createTypeReferenceNode(o)),void 0,void 0,i,void 0))}var x$,ffe,fZe=T({"src/services/codefixes/convertLiteralTypeToMappedType.ts"(){si(),Ra(),x$="convertLiteralTypeToMappedType",ffe=[f._0_only_refers_to_a_type_but_is_being_used_as_a_value_here_Did_you_mean_to_use_1_in_0.code],Aa({errorCodes:ffe,getCodeActions:function(t){const{sourceFile:r,span:i}=t,o=_2e(r,i.start);if(!o)return;const{name:s,constraint:l}=o,u=_r.ChangeTracker.with(t,p=>h2e(p,r,o));return[ca(x$,u,[f.Convert_0_to_1_in_0,l,s],x$,f.Convert_all_type_literals_to_mapped_type)]},fixIds:[x$],getAllCodeActions:e=>Es(e,ffe,(t,r)=>{const i=_2e(r.file,r.start);i&&h2e(t,r.file,i)})})}});function g2e(e,t){return N.checkDefined(su(po(e,t)),"There should be a containing class")}function v2e(e){return!e.valueDeclaration||!(pd(e.valueDeclaration)&2)}function y2e(e,t,r,i,o,s){const l=e.program.getTypeChecker(),u=mZe(i,l),p=l.getTypeAtLocation(t),m=l.getPropertiesOfType(p).filter(B6(v2e,C=>!u.has(C.escapedName))),b=l.getTypeAtLocation(i),A=An(i.members,C=>Ml(C));b.getNumberIndexType()||R(p,1),b.getStringIndexType()||R(p,0);const I=FI(r,e.program,s,e.host);Eme(i,m,r,e,s,I,C=>M(r,i,C)),I.writeFixes(o);function R(C,O){const U=l.getIndexInfoOfType(C,O);U&&M(r,i,l.indexInfoToIndexSignatureDeclaration(U,i,void 0,VD(e)))}function M(C,O,U){A?o.insertNodeAfter(C,A,U):o.insertMemberAtStart(C,O,U)}}function mZe(e,t){const r=D_(e);if(!r)return ua();const i=t.getTypeAtLocation(r),o=t.getPropertiesOfType(i);return ua(o.filter(v2e))}var mfe,R$,_Ze=T({"src/services/codefixes/fixClassIncorrectlyImplementsInterface.ts"(){si(),Ra(),mfe=[f.Class_0_incorrectly_implements_interface_1.code,f.Class_0_incorrectly_implements_class_1_Did_you_mean_to_extend_1_and_inherit_its_members_as_a_subclass.code],R$="fixClassIncorrectlyImplementsInterface",Aa({errorCodes:mfe,getCodeActions(e){const{sourceFile:t,span:r}=e,i=g2e(t,r.start);return Hi(AR(i),o=>{const s=_r.ChangeTracker.with(e,l=>y2e(e,o,t,i,l,e.preferences));return s.length===0?void 0:ca(R$,s,[f.Implement_interface_0,o.getText(t)],R$,f.Implement_all_unimplemented_interfaces)})},fixIds:[R$],getAllCodeActions(e){const t=new Map;return Es(e,mfe,(r,i)=>{const o=g2e(i.file,i.start);if(Rm(t,as(o)))for(const s of AR(o))y2e(e,s,i.file,o,r,e.preferences)})}})}});function FI(e,t,r,i,o){return b2e(e,t,!1,r,i,o)}function b2e(e,t,r,i,o,s){const l=t.getCompilerOptions(),u=[],p=[],h=new Map,m=new Map;return{addImportFromDiagnostic:b,addImportFromExportedSymbol:A,writeFixes:R,hasFixes:M};function b(C,O){const U=x2e(O,C.code,C.start,r);!U||!U.length||I(Ha(U))}function A(C,O){const U=N.checkDefined(C.parent),K=M5(C,os(l)),Z=t.getTypeChecker(),V=Z.getMergedSymbol(bu(C,Z)),se=T2e(e,V,K,U,!1,t,o,i,s),G=N$(e,t),ne=E2e(e,N.checkDefined(se),t,void 0,!!O,G,o,i);ne&&I({fix:ne,symbolName:K,errorIdentifierText:void 0})}function I(C){var O,U;const{fix:K,symbolName:Z}=C;switch(K.kind){case 0:u.push(K);break;case 1:p.push(K);break;case 2:{const{importClauseOrBindingPattern:ne,importKind:he,addAsTypeOnly:ye}=K,$=String(as(ne));let Y=h.get($);if(Y||h.set($,Y={importClauseOrBindingPattern:ne,defaultImport:void 0,namedImports:new Map}),he===0){const oe=Y==null?void 0:Y.namedImports.get(Z);Y.namedImports.set(Z,V(oe,ye))}else N.assert(Y.defaultImport===void 0||Y.defaultImport.name===Z,"(Add to Existing) Default import should be missing or match symbolName"),Y.defaultImport={name:Z,addAsTypeOnly:V((O=Y.defaultImport)==null?void 0:O.addAsTypeOnly,ye)};break}case 3:{const{moduleSpecifier:ne,importKind:he,useRequire:ye,addAsTypeOnly:$}=K,Y=se(ne,he,ye,$);switch(N.assert(Y.useRequire===ye,"(Add new) Tried to add an `import` and a `require` for the same module"),he){case 1:N.assert(Y.defaultImport===void 0||Y.defaultImport.name===Z,"(Add new) Default import should be missing or match symbolName"),Y.defaultImport={name:Z,addAsTypeOnly:V((U=Y.defaultImport)==null?void 0:U.addAsTypeOnly,$)};break;case 0:const oe=(Y.namedImports||(Y.namedImports=new Map)).get(Z);Y.namedImports.set(Z,V(oe,$));break;case 3:case 2:N.assert(Y.namespaceLikeImport===void 0||Y.namespaceLikeImport.name===Z,"Namespacelike import shoudl be missing or match symbolName"),Y.namespaceLikeImport={importKind:he,name:Z,addAsTypeOnly:$};break}break}case 4:break;default:N.assertNever(K,`fix wasn't never - got kind ${K.kind}`)}function V(ne,he){return Math.max(ne??0,he)}function se(ne,he,ye,$){const Y=G(ne,!0),oe=G(ne,!1),fe=m.get(Y),Ne=m.get(oe),pe={defaultImport:void 0,namedImports:void 0,namespaceLikeImport:void 0,useRequire:ye};return he===1&&$===2?fe||(m.set(Y,pe),pe):$===1&&(fe||Ne)?fe||Ne:Ne||(m.set(oe,pe),pe)}function G(ne,he){return`${he?1:0}|${ne}`}}function R(C,O){let U;e.imports.length===0&&O!==void 0?U=O:U=uf(e,i);for(const Z of u)yfe(C,e,Z);for(const Z of p)L2e(C,e,Z,U);h.forEach(({importClauseOrBindingPattern:Z,defaultImport:V,namedImports:se})=>{M2e(C,e,Z,V,zo(se.entries(),([G,ne])=>({addAsTypeOnly:ne,name:G})),i)});let K;m.forEach(({useRequire:Z,defaultImport:V,namedImports:se,namespaceLikeImport:G},ne)=>{const he=ne.slice(2),$=(Z?O2e:w2e)(he,U,V,se&&zo(se.entries(),([Y,oe])=>({addAsTypeOnly:oe,name:Y})),G,l,i);K=Wx(K,$)}),K&&s2(C,e,K,!0,i)}function M(){return u.length>0||p.length>0||h.size>0||m.size>0}}function hZe(e,t,r,i){const o=m2(e,i,r),s=A2e(t.getTypeChecker(),e,t.getCompilerOptions());return{getModuleSpecifierForBestExportInfo:l};function l(u,p,h,m){const{fixes:b,computedWithoutCacheCount:A}=D$(u,p,h,!1,t,e,r,i,s,m),I=R2e(b,e,t,o,r);return I&&{...I,computedWithoutCacheCount:A}}}function gZe(e,t,r,i,o,s,l,u,p,h,m,b){let A;r?(A=RO(i,l,u,m,b).get(i.path,r),N.assertIsDefined(A,"Some exportInfo should match the specified exportMapKey")):(A=WV(tm(t.name))?[yZe(e,o,t,u,l)]:T2e(i,e,o,t,s,u,l,m,b),N.assertIsDefined(A,"Some exportInfo should match the specified symbol / moduleSymbol"));const I=N$(i,u),R=T0(po(i,h)),M=N.checkDefined(E2e(i,A,u,h,R,I,l,m));return{moduleSpecifier:M.moduleSpecifier,codeAction:S2e(vfe({host:l,formatContext:p,preferences:m},i,o,M,!1,u,m))}}function vZe(e,t,r,i,o,s){const l=r.getCompilerOptions(),u=mG(gfe(e,r.getTypeChecker(),t,l)),p=C2e(e,t,u,r),h=u!==t.text;return p&&S2e(vfe({host:i,formatContext:o,preferences:s},e,u,p,h,r,s))}function E2e(e,t,r,i,o,s,l,u){const p=m2(e,u,l);return R2e(D$(t,i,o,s,r,e,l,u).fixes,e,r,p,l)}function S2e({description:e,changes:t,commands:r}){return{description:e,changes:t,commands:r}}function T2e(e,t,r,i,o,s,l,u,p){const h=I2e(s,l);return RO(e,l,s,u,p).search(e.path,o,m=>m===r,m=>{if(bu(m[0].symbol,h(m[0].isFromPackageJson))===t&&m.some(b=>b.moduleSymbol===i||b.symbol.parent===i))return m})}function yZe(e,t,r,i,o){var s,l;const u=i.getCompilerOptions(),p=m(i.getTypeChecker(),!1);if(p)return p;const h=(l=(s=o.getPackageJsonAutoImportProvider)==null?void 0:s.call(o))==null?void 0:l.getTypeChecker();return N.checkDefined(h&&m(h,!0),"Could not find symbol in specified module for code actions");function m(b,A){const I=z5(r,b,u);if(I&&bu(I.symbol,b)===e)return{symbol:I.symbol,moduleSymbol:r,moduleFileName:void 0,exportKind:I.exportKind,targetFlags:bu(e,b).flags,isFromPackageJson:A};const R=b.tryGetMemberInModuleExportsAndProperties(t,r);if(R&&bu(R,b)===e)return{symbol:R,moduleSymbol:r,moduleFileName:void 0,exportKind:0,targetFlags:bu(e,b).flags,isFromPackageJson:A}}}function D$(e,t,r,i,o,s,l,u,p=A2e(o.getTypeChecker(),s,o.getCompilerOptions()),h){const m=o.getTypeChecker(),b=Pi(e,p.getImportsForExportInfo),A=t!==void 0&&bZe(b,t),I=SZe(b,r,m,o.getCompilerOptions());if(I)return{computedWithoutCacheCount:0,fixes:[...A?[A]:et,I]};const{fixes:R,computedWithoutCacheCount:M=0}=AZe(e,b,o,s,t,r,i,l,u,h);return{computedWithoutCacheCount:M,fixes:[...A?[A]:et,...R]}}function bZe(e,t){return Ut(e,({declaration:r,importKind:i})=>{var o;if(i!==0)return;const s=EZe(r),l=s&&((o=vR(r))==null?void 0:o.text);if(l)return{kind:0,namespacePrefix:s,usagePosition:t,moduleSpecifier:l}})}function EZe(e){var t,r,i;switch(e.kind){case 260:return(t=ii(e.name,Ve))==null?void 0:t.text;case 271:return e.name.text;case 272:return(i=ii((r=e.importClause)==null?void 0:r.namedBindings,nb))==null?void 0:i.name.text;default:return N.assertNever(e)}}function _fe(e,t,r,i,o,s){return e?t&&s.importsNotUsedAsValues===2||LU(s)&&(!(i&111551)||o.getTypeOnlyAliasDeclaration(r))?2:1:4}function SZe(e,t,r,i){let o;for(const l of e){const u=s(l);if(!u)continue;const p=_L(u.importClauseOrBindingPattern);if(u.addAsTypeOnly!==4&&p||u.addAsTypeOnly===4&&!p)return u;o??(o=u)}return o;function s({declaration:l,importKind:u,symbol:p,targetFlags:h}){if(u===3||u===2||l.kind===271)return;if(l.kind===260)return(u===0||u===1)&&l.name.kind===206?{kind:2,importClauseOrBindingPattern:l.name,importKind:u,moduleSpecifier:l.initializer.arguments[0].text,addAsTypeOnly:4}:void 0;const{importClause:m}=l;if(!m||!ls(l.moduleSpecifier))return;const{name:b,namedBindings:A}=m;if(m.isTypeOnly&&!(u===0&&A))return;const I=_fe(t,!1,p,h,r,i);if(!(u===1&&(b||I===2&&A))&&!(u===0&&(A==null?void 0:A.kind)===274))return{kind:2,importClauseOrBindingPattern:m,importKind:u,moduleSpecifier:l.moduleSpecifier.text,addAsTypeOnly:I}}}function A2e(e,t,r){let i;for(const o of t.imports){const s=DC(o);if(d3(s.parent)){const l=e.resolveExternalModuleName(o);l&&(i||(i=Zp())).add(Ta(l),s.parent)}else if(s.kind===272||s.kind===271){const l=e.getSymbolAtLocation(o);l&&(i||(i=Zp())).add(Ta(l),s)}}return{getImportsForExportInfo:({moduleSymbol:o,exportKind:s,targetFlags:l,symbol:u})=>{if(!(l&111551)&&dd(t))return et;const p=i==null?void 0:i.get(Ta(o));if(!p)return et;const h=hfe(t,s,r);return p.map(m=>({declaration:m,importKind:h,symbol:u,targetFlags:l}))}}}function N$(e,t){if(!dd(e))return!1;if(e.commonJsModuleIndicator&&!e.externalModuleIndicator)return!0;if(e.externalModuleIndicator&&!e.commonJsModuleIndicator)return!1;const r=t.getCompilerOptions();if(r.configFile)return wu(r)<5;if(e.impliedNodeFormat===1)return!0;if(e.impliedNodeFormat===99)return!1;for(const i of t.getSourceFiles())if(!(i===e||!dd(i)||t.isSourceFileFromExternalLibrary(i))){if(i.commonJsModuleIndicator&&!i.externalModuleIndicator)return!0;if(i.externalModuleIndicator&&!i.commonJsModuleIndicator)return!1}return!0}function I2e(e,t){return uh(r=>r?t.getPackageJsonAutoImportProvider().getTypeChecker():e.getTypeChecker())}function TZe(e,t,r,i,o,s,l,u,p){const h=dd(t),m=e.getCompilerOptions(),b=sT(e,l),A=I2e(e,l),I=md(m),R=m5(I),M=p?U=>({moduleSpecifiers:m1.tryGetModuleSpecifiersFromCache(U,t,b,u),computedWithoutCache:!1}):(U,K)=>m1.getModuleSpecifiersWithCacheInfo(U,K,m,t,b,u,void 0,!0);let C=0;const O=Pi(s,(U,K)=>{const Z=A(U.isFromPackageJson),{computedWithoutCache:V,moduleSpecifiers:se}=M(U.moduleSymbol,Z),G=!!(U.targetFlags&111551),ne=_fe(i,!0,U.symbol,U.targetFlags,Z,m);return C+=V?1:0,Hi(se,he=>{var ye;if(R&&L0(he))return;if(!G&&h&&r!==void 0)return{kind:1,moduleSpecifier:he,usagePosition:r,exportInfo:U,isReExport:K>0};const $=hfe(t,U.exportKind,m);let Y;if(r!==void 0&&$===3&&U.exportKind===0){const oe=Z.resolveExternalModuleSymbol(U.moduleSymbol);let fe;oe!==U.moduleSymbol&&(fe=(ye=B5(oe,Z,m))==null?void 0:ye.name),fe||(fe=Efe(U.moduleSymbol,os(m),!1)),Y={namespacePrefix:fe,usagePosition:r}}return{kind:3,moduleSpecifier:he,importKind:$,useRequire:o,addAsTypeOnly:ne,exportInfo:U,isReExport:K>0,qualification:Y}})});return{computedWithoutCacheCount:C,fixes:O}}function AZe(e,t,r,i,o,s,l,u,p,h){const m=Ut(t,b=>IZe(b,s,l,r.getTypeChecker(),r.getCompilerOptions()));return m?{fixes:[m]}:TZe(r,i,o,s,l,e,u,p,h)}function IZe({declaration:e,importKind:t,symbol:r,targetFlags:i},o,s,l,u){var p;const h=(p=vR(e))==null?void 0:p.text;if(h){const m=s?4:_fe(o,!0,r,i,l,u);return{kind:3,moduleSpecifier:h,importKind:t,addAsTypeOnly:m,useRequire:s}}}function x2e(e,t,r,i){const o=po(e.sourceFile,r);let s;if(t===f._0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead.code)s=NZe(e,o);else if(Ve(o))if(t===f._0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type.code){const u=mG(gfe(e.sourceFile,e.program.getTypeChecker(),o,e.program.getCompilerOptions())),p=C2e(e.sourceFile,o,u,e.program);return p&&[{fix:p,symbolName:u,errorIdentifierText:o.text}]}else s=MZe(e,o,i);else return;const l=m2(e.sourceFile,e.preferences,e.host);return s&&xZe(s,e.sourceFile,e.program,l,e.host)}function xZe(e,t,r,i,o){const s=l=>ol(l,o.getCurrentDirectory(),Gv(o));return uS(e,(l,u)=>Ry(!!l.isJsxNamespaceFix,!!u.isJsxNamespaceFix)||rl(l.fix.kind,u.fix.kind)||D2e(l.fix,u.fix,t,r,i.allowsImportingSpecifier,s))}function R2e(e,t,r,i,o){if(bt(e))return e[0].kind===0||e[0].kind===2?e[0]:e.reduce((s,l)=>D2e(l,s,t,r,i.allowsImportingSpecifier,u=>ol(u,o.getCurrentDirectory(),Gv(o)))===-1?l:s)}function D2e(e,t,r,i,o,s){return e.kind!==0&&t.kind!==0?Ry(o(t.moduleSpecifier),o(e.moduleSpecifier))||DZe(e.moduleSpecifier,t.moduleSpecifier,r,i)||Ry(N2e(e,r,i.getCompilerOptions(),s),N2e(t,r,i.getCompilerOptions(),s))||Sk(e.moduleSpecifier,t.moduleSpecifier):0}function N2e(e,t,r,i){var o;if(e.isReExport&&((o=e.exportInfo)!=null&&o.moduleFileName)&&RZe(e.exportInfo.moduleFileName)){const s=i(ai(e.exportInfo.moduleFileName));return uo(t.path,s)}return!1}function RZe(e){return ic(e,[".js",".jsx",".d.ts",".ts",".tsx"],!0)==="index"}function DZe(e,t,r,i){return uo(e,"node:")&&!uo(t,"node:")?k5(r,i)?-1:1:uo(t,"node:")&&!uo(e,"node:")?k5(r,i)?1:-1:0}function NZe({sourceFile:e,program:t,host:r,preferences:i},o){const s=t.getTypeChecker(),l=CZe(o,s);if(!l)return;const u=s.getAliasedSymbol(l),p=l.name,h=[{symbol:l,moduleSymbol:u,moduleFileName:void 0,exportKind:3,targetFlags:u.flags,isFromPackageJson:!1}],m=N$(e,t);return D$(h,void 0,!1,m,t,e,r,i).fixes.map(A=>{var I;return{fix:A,symbolName:p,errorIdentifierText:(I=ii(o,Ve))==null?void 0:I.text}})}function CZe(e,t){const r=Ve(e)?t.getSymbolAtLocation(e):void 0;if(z3(r))return r;const{parent:i}=e;if(ud(i)&&i.tagName===e||hI(i)){const o=t.resolveName(t.getJsxNamespace(i),ud(i)?e:i,111551,!1);if(z3(o))return o}}function hfe(e,t,r,i){if(r.verbatimModuleSyntax&&(wu(r)===1||e.impliedNodeFormat===1))return 3;switch(t){case 0:return 0;case 1:return 1;case 2:return wZe(e,r,!!i);case 3:return PZe(e,r,!!i);default:return N.assertNever(t)}}function PZe(e,t,r){if(FS(t))return 1;const i=wu(t);switch(i){case 2:case 1:case 3:return lr(e)&&(sc(e)||r)?2:3;case 4:case 5:case 6:case 7:case 99:case 0:case 200:return 2;case 100:case 199:return e.impliedNodeFormat===99?2:3;default:return N.assertNever(i,`Unexpected moduleKind ${i}`)}}function MZe({sourceFile:e,program:t,cancellationToken:r,host:i,preferences:o},s,l){const u=t.getTypeChecker(),p=t.getCompilerOptions();return Pi(gfe(e,u,s,p),h=>{if(h==="default")return;const m=T0(s),b=N$(e,t),A=kZe(h,_R(s),oT(s),r,e,t,l,i,o);return zo(Qp(A.values(),I=>D$(I,s.getStart(e),m,b,t,e,i,o).fixes),I=>({fix:I,symbolName:h,errorIdentifierText:s.text,isJsxNamespaceFix:h!==s.text}))})}function C2e(e,t,r,i){const o=i.getTypeChecker(),s=o.resolveName(r,t,111551,!0);if(!s)return;const l=o.getTypeOnlyAliasDeclaration(s);if(!(!l||jn(l)!==e))return{kind:4,typeOnlyAliasDeclaration:l}}function gfe(e,t,r,i){const o=r.parent;if((ud(o)||a1(o))&&o.tagName===r&&UX(i.jsx)){const s=t.getJsxNamespace(e);if(LZe(s,r,t))return!DR(r.text)&&!t.resolveName(r.text,r,111551,!1)?[r.text,s]:[s]}return[r.text]}function LZe(e,t,r){if(DR(t.text))return!0;const i=r.resolveName(e,t,111551,!0);return!i||bt(i.declarations,m0)&&!(i.flags&111551)}function kZe(e,t,r,i,o,s,l,u,p){var h;const m=Zp(),b=m2(o,p,u),A=(h=u.getModuleSpecifierCache)==null?void 0:h.call(u),I=uh(M=>sT(M?u.getPackageJsonAutoImportProvider():s,u));function R(M,C,O,U,K,Z){const V=I(Z);if(C&&$X(K,o,C,p,b,V,A)||!C&&b.allowsImportingAmbientModule(M,V)){const se=K.getTypeChecker();m.add(ede(O,se).toString(),{symbol:O,moduleSymbol:M,moduleFileName:C==null?void 0:C.fileName,exportKind:U,targetFlags:bu(O,se).flags,isFromPackageJson:Z})}}return QX(s,u,p,l,(M,C,O,U)=>{const K=O.getTypeChecker();i.throwIfCancellationRequested();const Z=O.getCompilerOptions(),V=z5(M,K,Z);V&&(V.name===e||Efe(M,os(Z),t)===e)&&F2e(V.resolvedSymbol,r)&&R(M,C,V.symbol,V.exportKind,O,U);const se=K.tryGetMemberInModuleExportsAndProperties(e,M);se&&F2e(se,r)&&R(M,C,se,0,O,U)}),m}function wZe(e,t,r){const i=FS(t),o=lr(e);if(!o&&wu(t)>=5)return i?1:2;if(o)return sc(e)||r?i?1:2:3;for(const s of e.statements)if(tu(s)&&!zl(s.moduleReference))return 3;return i?1:3}function vfe(e,t,r,i,o,s,l){let u;const p=_r.ChangeTracker.with(e,h=>{u=OZe(h,t,r,i,o,s,l)});return ca(Tfe,p,u,Afe,f.Add_all_missing_imports)}function OZe(e,t,r,i,o,s,l){const u=uf(t,l);switch(i.kind){case 0:return yfe(e,t,i),[f.Change_0_to_1,r,`${i.namespacePrefix}.${r}`];case 1:return L2e(e,t,i,u),[f.Change_0_to_1,r,k2e(i.moduleSpecifier,u)+r];case 2:{const{importClauseOrBindingPattern:p,importKind:h,addAsTypeOnly:m,moduleSpecifier:b}=i;M2e(e,t,p,h===1?{name:r,addAsTypeOnly:m}:void 0,h===0?[{name:r,addAsTypeOnly:m}]:et,l);const A=tm(b);return o?[f.Import_0_from_1,r,A]:[f.Update_import_from_0,A]}case 3:{const{importKind:p,moduleSpecifier:h,addAsTypeOnly:m,useRequire:b,qualification:A}=i,I=b?O2e:w2e,R=p===1?{name:r,addAsTypeOnly:m}:void 0,M=p===0?[{name:r,addAsTypeOnly:m}]:void 0,C=p===2||p===3?{importKind:p,name:(A==null?void 0:A.namespacePrefix)||r,addAsTypeOnly:m}:void 0;return s2(e,t,I(h,u,R,M,C,s.getCompilerOptions(),l),!0,l),A&&yfe(e,t,A),o?[f.Import_0_from_1,r,h]:[f.Add_import_from_0,h]}case 4:{const{typeOnlyAliasDeclaration:p}=i,h=WZe(e,p,s,t,l);return h.kind===276?[f.Remove_type_from_import_of_0_from_1,r,P2e(h.parent.parent)]:[f.Remove_type_from_import_declaration_from_0,P2e(h)]}default:return N.assertNever(i,`Unexpected fix kind ${i.kind}`)}}function P2e(e){var t,r;return e.kind===271?((r=ii((t=ii(e.moduleReference,Ah))==null?void 0:t.expression,ls))==null?void 0:r.text)||e.moduleReference.getText():aa(e.parent.moduleSpecifier,Ma).text}function WZe(e,t,r,i,o){const s=r.getCompilerOptions(),l=LU(s);switch(t.kind){case 276:if(t.isTypeOnly){const p=Lm.detectImportSpecifierSorting(t.parent.elements,o);if(t.parent.elements.length>1&&p){const h=w.updateImportSpecifier(t,!1,t.propertyName,t.name),m=Lm.getOrganizeImportsComparer(o,p===2),b=Lm.getImportSpecifierInsertionIndex(t.parent.elements,h,m,o);if(b!==t.parent.elements.indexOf(t))return e.delete(i,t),e.insertImportSpecifierAtIndex(i,h,t.parent,b),t}return e.deleteRange(i,{pos:_0(t.getFirstToken()),end:_0(t.propertyName??t.name)}),t}else return N.assert(t.parent.parent.isTypeOnly),u(t.parent.parent),t.parent.parent;case 273:return u(t),t;case 274:return u(t.parent),t.parent;case 271:return e.deleteRange(i,t.getChildAt(1)),t;default:N.failBadSyntaxKind(t)}function u(p){var h;if(e.delete(i,EX(p,i)),!s.allowImportingTsExtensions){const m=vR(p.parent),b=m&&((h=r.getResolvedModuleFromModuleSpecifier(m))==null?void 0:h.resolvedModule);if(b!=null&&b.resolvedUsingTsExtension){const A=$M(m.text,p7(m.text,s));e.replaceNode(i,m,w.createStringLiteral(A))}}if(l){const m=ii(p.namedBindings,Hg);if(m&&m.elements.length>1){Lm.detectImportSpecifierSorting(m.elements,o)&&t.kind===276&&m.elements.indexOf(t)!==0&&(e.delete(i,t),e.insertImportSpecifierAtIndex(i,t,m,0));for(const b of m.elements)b!==t&&!b.isTypeOnly&&e.insertModifierBefore(i,156,b)}}}}function M2e(e,t,r,i,o,s){var l;if(r.kind===206){i&&h(r,i.name,"default");for(const m of o)h(r,m.name,void 0);return}const u=r.isTypeOnly&&bt([i,...o],m=>(m==null?void 0:m.addAsTypeOnly)===4),p=r.namedBindings&&((l=ii(r.namedBindings,Hg))==null?void 0:l.elements);if(i&&(N.assert(!r.name,"Cannot add a default import to an import clause that already has one"),e.insertNodeAt(t,r.getStart(t),w.createIdentifier(i.name),{suffix:", "})),o.length){let m;if(typeof s.organizeImportsIgnoreCase=="boolean")m=s.organizeImportsIgnoreCase;else if(p){const R=Lm.detectImportSpecifierSorting(p,s);R!==3&&(m=R===2)}m===void 0&&(m=Lm.detectSorting(t,s)===2);const b=Lm.getOrganizeImportsComparer(s,m),A=Dv(o.map(R=>w.createImportSpecifier((!r.isTypeOnly||u)&&C$(R,s),void 0,w.createIdentifier(R.name))),(R,M)=>Lm.compareImportOrExportSpecifiers(R,M,b)),I=(p==null?void 0:p.length)&&Lm.detectImportSpecifierSorting(p,s);if(I&&!(m&&I===1))for(const R of A){const M=u&&!R.isTypeOnly?0:Lm.getImportSpecifierInsertionIndex(p,R,b,s);e.insertImportSpecifierAtIndex(t,R,r.namedBindings,M)}else if(p!=null&&p.length)for(const R of A)e.insertNodeInListAfter(t,Ya(p),R,p);else if(A.length){const R=w.createNamedImports(A);r.namedBindings?e.replaceNode(t,r.namedBindings,R):e.insertNodeAfter(t,N.checkDefined(r.name,"Import clause must have either named imports or a default import"),R)}}if(u&&(e.delete(t,EX(r,t)),p))for(const m of p)e.insertModifierBefore(t,156,m);function h(m,b,A){const I=w.createBindingElement(void 0,A,b);m.elements.length?e.insertNodeInListAfter(t,Ya(m.elements),I):e.replaceNode(t,m,w.createObjectBindingPattern([I]))}}function yfe(e,t,{namespacePrefix:r,usagePosition:i}){e.insertText(t,i,r+".")}function L2e(e,t,{moduleSpecifier:r,usagePosition:i},o){e.insertText(t,i,k2e(r,o))}function k2e(e,t){const r=bX(t);return`import(${r}${e}${r}).`}function bfe({addAsTypeOnly:e}){return e===2}function C$(e,t){return bfe(e)||!!t.preferTypeOnlyAutoImports&&e.addAsTypeOnly!==4}function w2e(e,t,r,i,o,s,l){const u=MI(e,t);let p;if(r!==void 0||i!=null&&i.length){const h=(!r||bfe(r))&&Zn(i,bfe)||(s.verbatimModuleSyntax||l.preferTypeOnlyAutoImports)&&(r==null?void 0:r.addAsTypeOnly)!==4&&!bt(i,m=>m.addAsTypeOnly===4);p=Wx(p,ey(r&&w.createIdentifier(r.name),i==null?void 0:i.map(m=>w.createImportSpecifier(!h&&C$(m,l),void 0,w.createIdentifier(m.name))),e,t,h))}if(o){const h=o.importKind===3?w.createImportEqualsDeclaration(void 0,C$(o,l),w.createIdentifier(o.name),w.createExternalModuleReference(u)):w.createImportDeclaration(void 0,w.createImportClause(C$(o,l),void 0,w.createNamespaceImport(w.createIdentifier(o.name))),u,void 0);p=Wx(p,h)}return N.checkDefined(p)}function O2e(e,t,r,i,o){const s=MI(e,t);let l;if(r||i!=null&&i.length){const u=(i==null?void 0:i.map(({name:h})=>w.createBindingElement(void 0,void 0,h)))||[];r&&u.unshift(w.createBindingElement(void 0,"default",r.name));const p=W2e(w.createObjectBindingPattern(u),s);l=Wx(l,p)}if(o){const u=W2e(o.name,s);l=Wx(l,u)}return N.checkDefined(l)}function W2e(e,t){return w.createVariableStatement(void 0,w.createVariableDeclarationList([w.createVariableDeclaration(typeof e=="string"?w.createIdentifier(e):e,void 0,void 0,w.createCallExpression(w.createIdentifier("require"),void 0,[t]))],2))}function F2e({declarations:e},t){return bt(e,r=>!!(rO(r)&t))}function Efe(e,t,r){return Sfe(Id(tm(e.name)),t,r)}function Sfe(e,t,r){const i=ic(Bx(e,"/index"));let o="",s=!0;const l=i.charCodeAt(0);$h(l,t)?(o+=String.fromCharCode(l),r&&(o=o.toUpperCase())):s=!1;for(let u=1;u<i.length;u++){const p=i.charCodeAt(u),h=s0(p,t);if(h){let m=String.fromCharCode(p);s||(m=m.toUpperCase()),o+=m}s=h}return GA(o)?`_${o}`:o||"_"}var Tfe,Afe,Ife,FZe=T({"src/services/codefixes/importFixes.ts"(){si(),Ra(),Tfe="import",Afe="fixMissingImport",Ife=[f.Cannot_find_name_0.code,f.Cannot_find_name_0_Did_you_mean_1.code,f.Cannot_find_name_0_Did_you_mean_the_instance_member_this_0.code,f.Cannot_find_name_0_Did_you_mean_the_static_member_1_0.code,f.Cannot_find_namespace_0.code,f._0_refers_to_a_UMD_global_but_the_current_file_is_a_module_Consider_adding_an_import_instead.code,f._0_only_refers_to_a_type_but_is_being_used_as_a_value_here.code,f.No_value_exists_in_scope_for_the_shorthand_property_0_Either_declare_one_or_provide_an_initializer.code,f._0_cannot_be_used_as_a_value_because_it_was_imported_using_import_type.code,f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery.code,f.Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_1_or_later.code,f.Cannot_find_name_0_Do_you_need_to_change_your_target_library_Try_changing_the_lib_compiler_option_to_include_dom.code,f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha_and_then_add_jest_or_mocha_to_the_types_field_in_your_tsconfig.code,f.Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function.code,f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_jQuery_Try_npm_i_save_dev_types_Slashjquery_and_then_add_jquery_to_the_types_field_in_your_tsconfig.code,f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_a_test_runner_Try_npm_i_save_dev_types_Slashjest_or_npm_i_save_dev_types_Slashmocha.code,f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode.code,f.Cannot_find_name_0_Do_you_need_to_install_type_definitions_for_node_Try_npm_i_save_dev_types_Slashnode_and_then_add_node_to_the_types_field_in_your_tsconfig.code,f.Cannot_find_namespace_0_Did_you_mean_1.code],Aa({errorCodes:Ife,getCodeActions(e){const{errorCode:t,preferences:r,sourceFile:i,span:o,program:s}=e,l=x2e(e,t,o.start,!0);if(l)return l.map(({fix:u,symbolName:p,errorIdentifierText:h})=>vfe(e,i,p,u,p!==h,s,r))},fixIds:[Afe],getAllCodeActions:e=>{const{sourceFile:t,program:r,preferences:i,host:o,cancellationToken:s}=e,l=b2e(t,r,!0,i,o,s);return BD(e,Ife,u=>l.addImportFromDiagnostic(u,e)),zD(_r.ChangeTracker.with(e,l.writeFixes))}})}});function z2e(e,t,r){const i=An(e.getSemanticDiagnostics(t),l=>l.start===r.start&&l.length===r.length);if(i===void 0||i.relatedInformation===void 0)return;const o=An(i.relatedInformation,l=>l.code===f.This_type_parameter_might_need_an_extends_0_constraint.code);if(o===void 0||o.file===void 0||o.start===void 0||o.length===void 0)return;let s=Dme(o.file,vu(o.start,o.length));if(s!==void 0&&(Ve(s)&&gl(s.parent)&&(s=s.parent),gl(s))){if(JR(s.parent))return;const l=po(t,r.start),u=e.getTypeChecker();return{constraint:BZe(u,l)||zZe(o.messageText),declaration:s,token:l}}}function B2e(e,t,r,i,o,s){const{declaration:l,constraint:u}=s,p=t.getTypeChecker();if(Po(u))e.insertText(o,l.name.end,` extends ${u}`);else{const h=os(t.getCompilerOptions()),m=VD({program:t,host:i}),b=FI(o,t,r,i),A=mQ(p,b,u,void 0,h,void 0,m);A&&(e.replaceNode(o,l,w.updateTypeParameterDeclaration(l,void 0,l.name,A,l.default)),b.writeFixes(e))}}function zZe(e){const[,t]=z_(e,`
+`,0).match(/`extends (.*)`/)||[];return t}function BZe(e,t){return ji(t.parent)?e.getTypeArgumentConstraint(t.parent):(yt(t)?e.getContextualType(t):void 0)||e.getTypeAtLocation(t)}var P$,xfe,GZe=T({"src/services/codefixes/fixAddMissingConstraint.ts"(){si(),Ra(),P$="addMissingConstraint",xfe=[f.Type_0_is_not_comparable_to_type_1.code,f.Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated.code,f.Type_0_is_not_assignable_to_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties.code,f.Type_0_is_not_assignable_to_type_1.code,f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1_with_exactOptionalPropertyTypes_Colon_true_Consider_adding_undefined_to_the_types_of_the_target_s_properties.code,f.Property_0_is_incompatible_with_index_signature.code,f.Property_0_in_type_1_is_not_assignable_to_type_2.code,f.Type_0_does_not_satisfy_the_constraint_1.code],Aa({errorCodes:xfe,getCodeActions(e){const{sourceFile:t,span:r,program:i,preferences:o,host:s}=e,l=z2e(i,t,r);if(l===void 0)return;const u=_r.ChangeTracker.with(e,p=>B2e(p,i,o,s,t,l));return[ca(P$,u,f.Add_extends_constraint,P$,f.Add_extends_constraint_to_all_type_parameters)]},fixIds:[P$],getAllCodeActions:e=>{const{program:t,preferences:r,host:i}=e,o=new Map;return zD(_r.ChangeTracker.with(e,s=>{BD(e,xfe,l=>{const u=z2e(t,l.file,vu(l.start,l.length));if(u&&Rm(o,as(u.declaration)))return B2e(s,t,r,i,l.file,u)})}))}})}});function G2e(e,t,r,i){switch(r){case f.This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0.code:case f.This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code:case f.This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0.code:case f.This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0.code:case f.This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code:return VZe(e,t.sourceFile,i);case f.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0.code:case f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0.code:case f.This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class.code:case f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class.code:return jZe(e,t.sourceFile,i);default:N.fail("Unexpected error code: "+r)}}function VZe(e,t,r){const i=j2e(t,r);if(dd(t)){e.addJSDocTags(t,i,[w.createJSDocOverrideTag(w.createIdentifier("override"))]);return}const o=i.modifiers||et,s=An(o,aI),l=An(o,Oae),u=An(o,b=>uX(b.kind)),p=Oo(o,Eu),h=l?l.end:s?s.end:u?u.end:p?ka(t.text,p.end):i.getStart(t),m=u||s||l?{prefix:" "}:{suffix:" "};e.insertModifierAt(t,h,164,m)}function jZe(e,t,r){const i=j2e(t,r);if(dd(t)){e.filterJSDocTags(t,i,G6(cF));return}const o=An(i.modifiers,Wae);N.assertIsDefined(o),e.deleteModifier(t,o)}function V2e(e){switch(e.kind){case 176:case 172:case 174:case 177:case 178:return!0;case 169:return mp(e,e.parent);default:return!1}}function j2e(e,t){const r=po(e,t),i=Gn(r,o=>ui(o)?"quit":V2e(o));return N.assert(i&&V2e(i)),i}var Rfe,b2,XO,Dfe,Nfe,UZe=T({"src/services/codefixes/fixOverrideModifier.ts"(){si(),Ra(),Rfe="fixOverrideModifier",b2="fixAddOverrideModifier",XO="fixRemoveOverrideModifier",Dfe=[f.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0.code,f.This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class.code,f.This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0.code,f.This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0.code,f.This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0.code,f.This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code,f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class.code,f.This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code,f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0.code],Nfe={[f.This_member_must_have_an_override_modifier_because_it_overrides_a_member_in_the_base_class_0.code]:{descriptions:f.Add_override_modifier,fixId:b2,fixAllDescriptions:f.Add_all_missing_override_modifiers},[f.This_member_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code]:{descriptions:f.Add_override_modifier,fixId:b2,fixAllDescriptions:f.Add_all_missing_override_modifiers},[f.This_member_cannot_have_an_override_modifier_because_its_containing_class_0_does_not_extend_another_class.code]:{descriptions:f.Remove_override_modifier,fixId:XO,fixAllDescriptions:f.Remove_all_unnecessary_override_modifiers},[f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_its_containing_class_0_does_not_extend_another_class.code]:{descriptions:f.Remove_override_modifier,fixId:XO,fixAllDescriptions:f.Remove_override_modifier},[f.This_parameter_property_must_have_an_override_modifier_because_it_overrides_a_member_in_base_class_0.code]:{descriptions:f.Add_override_modifier,fixId:b2,fixAllDescriptions:f.Add_all_missing_override_modifiers},[f.This_parameter_property_must_have_a_JSDoc_comment_with_an_override_tag_because_it_overrides_a_member_in_the_base_class_0.code]:{descriptions:f.Add_override_modifier,fixId:b2,fixAllDescriptions:f.Add_all_missing_override_modifiers},[f.This_member_must_have_an_override_modifier_because_it_overrides_an_abstract_method_that_is_declared_in_the_base_class_0.code]:{descriptions:f.Add_override_modifier,fixId:b2,fixAllDescriptions:f.Remove_all_unnecessary_override_modifiers},[f.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0.code]:{descriptions:f.Remove_override_modifier,fixId:XO,fixAllDescriptions:f.Remove_all_unnecessary_override_modifiers},[f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0.code]:{descriptions:f.Remove_override_modifier,fixId:XO,fixAllDescriptions:f.Remove_all_unnecessary_override_modifiers}},Aa({errorCodes:Dfe,getCodeActions:function(t){const{errorCode:r,span:i}=t,o=Nfe[r];if(!o)return et;const{descriptions:s,fixId:l,fixAllDescriptions:u}=o,p=_r.ChangeTracker.with(t,h=>G2e(h,t,r,i.start));return[Gpe(Rfe,p,s,l,u)]},fixIds:[Rfe,b2,XO],getAllCodeActions:e=>Es(e,Dfe,(t,r)=>{const{code:i,start:o}=r,s=Nfe[i];!s||s.fixId!==e.fixId||G2e(t,e,i,o)})})}});function U2e(e,t,r,i){const o=uf(t,i),s=w.createStringLiteral(r.name.text,o===0);e.replaceNode(t,r,A4(r)?w.createElementAccessChain(r.expression,r.questionDotToken,s):w.createElementAccessExpression(r.expression,s))}function H2e(e,t){return aa(po(e,t).parent,Fr)}var M$,Cfe,HZe=T({"src/services/codefixes/fixNoPropertyAccessFromIndexSignature.ts"(){si(),Ra(),M$="fixNoPropertyAccessFromIndexSignature",Cfe=[f.Property_0_comes_from_an_index_signature_so_it_must_be_accessed_with_0.code],Aa({errorCodes:Cfe,fixIds:[M$],getCodeActions(e){const{sourceFile:t,span:r,preferences:i}=e,o=H2e(t,r.start),s=_r.ChangeTracker.with(e,l=>U2e(l,e.sourceFile,o,i));return[ca(M$,s,[f.Use_element_access_for_0,o.name.text],M$,f.Use_element_access_for_all_undeclared_properties)]},getAllCodeActions:e=>Es(e,Cfe,(t,r)=>U2e(t,r.file,H2e(r.file,r.start),e.preferences))})}});function q2e(e,t,r,i){const o=po(t,r);if(!ID(o))return;const s=Fd(o,!1,!1);if(!(!Ac(s)&&!Os(s))&&!$i(Fd(s,!1,!1))){const l=N.checkDefined(vs(s,100,t)),{name:u}=s,p=N.checkDefined(s.body);return Os(s)?u&&Ws.Core.isSymbolReferencedInFile(u,i,t,p)?void 0:(e.delete(t,l),u&&e.delete(t,u),e.insertText(t,p.pos," =>"),[f.Convert_function_expression_0_to_arrow_function,u?u.text:F5]):(e.replaceNode(t,l,w.createToken(87)),e.insertText(t,u.end," = "),e.insertText(t,p.pos," =>"),[f.Convert_function_declaration_0_to_arrow_function,u.text])}}var L$,Pfe,qZe=T({"src/services/codefixes/fixImplicitThis.ts"(){si(),Ra(),L$="fixImplicitThis",Pfe=[f.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation.code],Aa({errorCodes:Pfe,getCodeActions:function(t){const{sourceFile:r,program:i,span:o}=t;let s;const l=_r.ChangeTracker.with(t,u=>{s=q2e(u,r,o.start,i.getTypeChecker())});return s?[ca(L$,l,s,L$,f.Fix_all_implicit_this_errors)]:et},fixIds:[L$],getAllCodeActions:e=>Es(e,Pfe,(t,r)=>{q2e(t,r.file,r.start,e.program.getTypeChecker())})})}});function J2e(e,t,r){var i,o;const s=po(e,t);if(Ve(s)){const l=Gn(s,kc);if(l===void 0)return;const u=Ma(l.moduleSpecifier)?l.moduleSpecifier:void 0;if(u===void 0)return;const p=(i=r.getResolvedModuleFromModuleSpecifier(u))==null?void 0:i.resolvedModule;if(p===void 0)return;const h=r.getSourceFile(p.resolvedFileName);if(h===void 0||MD(r,h))return;const m=h.symbol,b=(o=ii(m.valueDeclaration,fh))==null?void 0:o.locals;if(b===void 0)return;const A=b.get(s.escapedText);if(A===void 0)return;const I=KZe(A);return I===void 0?void 0:{exportName:{node:s,isTypeOnly:BR(I)},node:I,moduleSourceFile:h,moduleSpecifier:u.text}}}function JZe(e,t,{exportName:r,node:i,moduleSourceFile:o}){const s=k$(o,r.isTypeOnly);s?K2e(e,t,o,s,[r]):Ik(i)?e.insertExportModifier(o,i):X2e(e,t,o,[r])}function Mfe(e,t,r,i,o){Me(i)&&(o?K2e(e,t,r,o,i):X2e(e,t,r,i))}function k$(e,t){const r=i=>Yl(i)&&(t&&i.isTypeOnly||!i.isTypeOnly);return Oo(e.statements,r)}function K2e(e,t,r,i,o){const s=i.exportClause&&Pf(i.exportClause)?i.exportClause.elements:w.createNodeArray([]),l=!i.isTypeOnly&&!!(om(t.getCompilerOptions())||An(s,u=>u.isTypeOnly));e.replaceNode(r,i,w.updateExportDeclaration(i,i.modifiers,i.isTypeOnly,w.createNamedExports(w.createNodeArray([...s,...Y2e(o,l)],s.hasTrailingComma)),i.moduleSpecifier,i.attributes))}function X2e(e,t,r,i){e.insertNodeAtEndOfScope(r,r,w.createExportDeclaration(void 0,!1,w.createNamedExports(Y2e(i,om(t.getCompilerOptions()))),void 0,void 0))}function Y2e(e,t){return w.createNodeArray(wt(e,r=>w.createExportSpecifier(t&&r.isTypeOnly,void 0,r.node)))}function KZe(e){if(e.valueDeclaration===void 0)return Xc(e.declarations);const t=e.valueDeclaration,r=wi(t)?ii(t.parent.parent,Ll):void 0;return r&&Me(r.declarationList.declarations)===1?r:t}var w$,Lfe,XZe=T({"src/services/codefixes/fixImportNonExportedMember.ts"(){si(),Ra(),w$="fixImportNonExportedMember",Lfe=[f.Module_0_declares_1_locally_but_it_is_not_exported.code],Aa({errorCodes:Lfe,fixIds:[w$],getCodeActions(e){const{sourceFile:t,span:r,program:i}=e,o=J2e(t,r.start,i);if(o===void 0)return;const s=_r.ChangeTracker.with(e,l=>JZe(l,i,o));return[ca(w$,s,[f.Export_0_from_module_1,o.exportName.node.text,o.moduleSpecifier],w$,f.Export_all_referenced_locals)]},getAllCodeActions(e){const{program:t}=e;return zD(_r.ChangeTracker.with(e,r=>{const i=new Map;BD(e,Lfe,o=>{const s=J2e(o.file,o.start,t);if(s===void 0)return;const{exportName:l,node:u,moduleSourceFile:p}=s;if(k$(p,l.isTypeOnly)===void 0&&Ik(u))r.insertExportModifier(p,u);else{const h=i.get(p)||{typeOnlyExports:[],exports:[]};l.isTypeOnly?h.typeOnlyExports.push(l):h.exports.push(l),i.set(p,h)}}),i.forEach((o,s)=>{const l=k$(s,!0);l&&l.isTypeOnly?(Mfe(r,t,s,o.typeOnlyExports,l),Mfe(r,t,s,o.exports,k$(s,!1))):Mfe(r,t,s,[...o.exports,...o.typeOnlyExports],l)})}))}})}});function YZe(e,t){const r=po(e,t);return Gn(r,i=>i.kind===202)}function $Ze(e,t,r){if(!r)return;let i=r.type,o=!1,s=!1;for(;i.kind===190||i.kind===191||i.kind===196;)i.kind===190?o=!0:i.kind===191&&(s=!0),i=i.type;const l=w.updateNamedTupleMember(r,r.dotDotDotToken||(s?w.createToken(26):void 0),r.name,r.questionToken||(o?w.createToken(58):void 0),i);l!==r&&e.replaceNode(t,r,l)}var O$,$2e,QZe=T({"src/services/codefixes/fixIncorrectNamedTupleSyntax.ts"(){si(),Ra(),O$="fixIncorrectNamedTupleSyntax",$2e=[f.A_labeled_tuple_element_is_declared_as_optional_with_a_question_mark_after_the_name_and_before_the_colon_rather_than_after_the_type.code,f.A_labeled_tuple_element_is_declared_as_rest_with_a_before_the_name_rather_than_before_the_type.code],Aa({errorCodes:$2e,getCodeActions:function(t){const{sourceFile:r,span:i}=t,o=YZe(r,i.start),s=_r.ChangeTracker.with(t,l=>$Ze(l,r,o));return[ca(O$,s,f.Move_labeled_tuple_element_modifiers_to_labels,O$,f.Move_labeled_tuple_element_modifiers_to_labels)]},fixIds:[O$]})}});function Q2e(e,t,r,i){const o=po(e,t),s=o.parent;if((i===f.No_overload_matches_this_call.code||i===f.Type_0_is_not_assignable_to_type_1.code)&&!F_(s))return;const l=r.program.getTypeChecker();let u;if(Fr(s)&&s.name===o){N.assert(Qh(o),"Expected an identifier for spelling (property access)");let p=l.getTypeAtLocation(s.expression);s.flags&64&&(p=l.getNonNullableType(p)),u=l.getSuggestedSymbolForNonexistentProperty(o,p)}else if(mr(s)&&s.operatorToken.kind===103&&s.left===o&&Ji(o)){const p=l.getTypeAtLocation(s.right);u=l.getSuggestedSymbolForNonexistentProperty(o,p)}else if(xd(s)&&s.right===o){const p=l.getSymbolAtLocation(s.left);p&&p.flags&1536&&(u=l.getSuggestedSymbolForNonexistentModule(s.right,p))}else if(np(s)&&s.name===o){N.assertNode(o,Ve,"Expected an identifier for spelling (import)");const p=Gn(o,kc),h=eet(r,p);h&&h.symbol&&(u=l.getSuggestedSymbolForNonexistentModule(o,h.symbol))}else if(F_(s)&&s.name===o){N.assertNode(o,Ve,"Expected an identifier for JSX attribute");const p=Gn(o,ud),h=l.getContextualTypeForArgumentAtIndex(p,0);u=l.getSuggestedSymbolForNonexistentJSXAttribute(o,h)}else if(P3(s)&&$c(s)&&s.name===o){const p=Gn(o,ui),h=p?D_(p):void 0,m=h?l.getTypeAtLocation(h):void 0;m&&(u=l.getSuggestedSymbolForNonexistentClassMember(fc(o),m))}else{const p=oT(o),h=fc(o);N.assert(h!==void 0,"name should be defined"),u=l.getSuggestedSymbolForNonexistentSymbol(o,h,ZZe(p))}return u===void 0?void 0:{node:o,suggestedSymbol:u}}function Z2e(e,t,r,i,o){const s=Sl(i);if(!tf(s,o)&&Fr(r.parent)){const l=i.valueDeclaration;l&&ld(l)&&Ji(l.name)?e.replaceNode(t,r,w.createIdentifier(s)):e.replaceNode(t,r.parent,w.createElementAccessExpression(r.parent.expression,w.createStringLiteral(s)))}else e.replaceNode(t,r,w.createIdentifier(s))}function ZZe(e){let t=0;return e&4&&(t|=1920),e&2&&(t|=788968),e&1&&(t|=111551),t}function eet(e,t){var r;if(!t||!ls(t.moduleSpecifier))return;const i=(r=e.program.getResolvedModuleFromModuleSpecifier(t.moduleSpecifier))==null?void 0:r.resolvedModule;if(i)return e.program.getSourceFile(i.resolvedFileName)}var kfe,wfe,tet=T({"src/services/codefixes/fixSpelling.ts"(){si(),Ra(),kfe="fixSpelling",wfe=[f.Property_0_does_not_exist_on_type_1_Did_you_mean_2.code,f.Property_0_may_not_exist_on_type_1_Did_you_mean_2.code,f.Cannot_find_name_0_Did_you_mean_1.code,f.Could_not_find_name_0_Did_you_mean_1.code,f.Cannot_find_namespace_0_Did_you_mean_1.code,f.Cannot_find_name_0_Did_you_mean_the_instance_member_this_0.code,f.Cannot_find_name_0_Did_you_mean_the_static_member_1_0.code,f._0_has_no_exported_member_named_1_Did_you_mean_2.code,f.This_member_cannot_have_an_override_modifier_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1.code,f.This_member_cannot_have_a_JSDoc_comment_with_an_override_tag_because_it_is_not_declared_in_the_base_class_0_Did_you_mean_1.code,f.No_overload_matches_this_call.code,f.Type_0_is_not_assignable_to_type_1.code],Aa({errorCodes:wfe,getCodeActions(e){const{sourceFile:t,errorCode:r}=e,i=Q2e(t,e.span.start,e,r);if(!i)return;const{node:o,suggestedSymbol:s}=i,l=os(e.host.getCompilationSettings()),u=_r.ChangeTracker.with(e,p=>Z2e(p,t,o,s,l));return[ca("spelling",u,[f.Change_spelling_to_0,Sl(s)],kfe,f.Fix_all_detected_spelling_errors)]},fixIds:[kfe],getAllCodeActions:e=>Es(e,wfe,(t,r)=>{const i=Q2e(r.file,r.start,e,r.code),o=os(e.host.getCompilationSettings());i&&Z2e(t,e.sourceFile,i.node,i.suggestedSymbol,o)})})}});function eMe(e,t,r){const i=e.createSymbol(4,t.escapedText);i.links.type=e.getTypeAtLocation(r);const o=ua([i]);return e.createAnonymousType(void 0,o,[],[],[])}function Ofe(e,t,r,i){if(!t.body||!Xo(t.body)||Me(t.body.statements)!==1)return;const o=Ha(t.body.statements);if(eu(o)&&Wfe(e,t,e.getTypeAtLocation(o.expression),r,i))return{declaration:t,kind:0,expression:o.expression,statement:o,commentSource:o.expression};if(o1(o)&&eu(o.statement)){const s=w.createObjectLiteralExpression([w.createPropertyAssignment(o.label,o.statement.expression)]),l=eMe(e,o.label,o.statement.expression);if(Wfe(e,t,l,r,i))return Gs(t)?{declaration:t,kind:1,expression:s,statement:o,commentSource:o.statement.expression}:{declaration:t,kind:0,expression:s,statement:o,commentSource:o.statement.expression}}else if(Xo(o)&&Me(o.statements)===1){const s=Ha(o.statements);if(o1(s)&&eu(s.statement)){const l=w.createObjectLiteralExpression([w.createPropertyAssignment(s.label,s.statement.expression)]),u=eMe(e,s.label,s.statement.expression);if(Wfe(e,t,u,r,i))return{declaration:t,kind:0,expression:l,statement:o,commentSource:s}}}}function Wfe(e,t,r,i,o){if(o){const s=e.getSignatureFromDeclaration(t);if(s){Zr(t,1024)&&(r=e.createPromiseType(r));const l=e.createSignature(t,s.typeParameters,s.thisParameter,s.parameters,r,void 0,s.minArgumentCount,s.flags);r=e.createAnonymousType(void 0,ua(),[l],[],[])}else r=e.getAnyType()}return e.isTypeAssignableTo(r,i)}function tMe(e,t,r,i){const o=po(t,r);if(!o.parent)return;const s=Gn(o.parent,Bs);switch(i){case f.A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value.code:return!s||!s.body||!s.type||!cf(s.type,o)?void 0:Ofe(e,s,e.getTypeFromTypeNode(s.type),!1);case f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code:if(!s||!la(s.parent)||!s.body)return;const l=s.parent.arguments.indexOf(s);if(l===-1)return;const u=e.getContextualTypeForArgumentAtIndex(s.parent,l);return u?Ofe(e,s,u,!0):void 0;case f.Type_0_is_not_assignable_to_type_1.code:if(!Bg(o)||!pR(o.parent)&&!F_(o.parent))return;const p=net(o.parent);return!p||!Bs(p)||!p.body?void 0:Ofe(e,p,e.getTypeAtLocation(o.parent),!0)}}function net(e){switch(e.kind){case 260:case 169:case 208:case 172:case 303:return e.initializer;case 291:return e.initializer&&(TP(e.initializer)?e.initializer.expression:void 0);case 304:case 171:case 306:case 355:case 348:return}}function nMe(e,t,r,i){Ap(r);const o=TO(t);e.replaceNode(t,i,w.createReturnStatement(r),{leadingTriviaOption:_r.LeadingTriviaOption.Exclude,trailingTriviaOption:_r.TrailingTriviaOption.Exclude,suffix:o?";":void 0})}function rMe(e,t,r,i,o,s){const l=S5(i)?w.createParenthesizedExpression(i):i;Ap(o),lT(o,l),e.replaceNode(t,r.body,l)}function iMe(e,t,r,i){e.replaceNode(t,r.body,w.createParenthesizedExpression(i))}function ret(e,t,r){const i=_r.ChangeTracker.with(e,o=>nMe(o,e.sourceFile,t,r));return ca(W$,i,f.Add_a_return_statement,F$,f.Add_all_missing_return_statement)}function iet(e,t,r,i){const o=_r.ChangeTracker.with(e,s=>rMe(s,e.sourceFile,t,r,i));return ca(W$,o,f.Remove_braces_from_arrow_function_body,z$,f.Remove_braces_from_all_arrow_function_bodies_with_relevant_issues)}function oet(e,t,r){const i=_r.ChangeTracker.with(e,o=>iMe(o,e.sourceFile,t,r));return ca(W$,i,f.Wrap_the_following_body_with_parentheses_which_should_be_an_object_literal,B$,f.Wrap_all_object_literal_with_parentheses)}var W$,F$,z$,B$,Ffe,aet=T({"src/services/codefixes/returnValueCorrect.ts"(){si(),Ra(),W$="returnValueCorrect",F$="fixAddReturnStatement",z$="fixRemoveBracesFromArrowFunctionBody",B$="fixWrapTheBlockWithParen",Ffe=[f.A_function_whose_declared_type_is_neither_undefined_void_nor_any_must_return_a_value.code,f.Type_0_is_not_assignable_to_type_1.code,f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code],Aa({errorCodes:Ffe,fixIds:[F$,z$,B$],getCodeActions:function(t){const{program:r,sourceFile:i,span:{start:o},errorCode:s}=t,l=tMe(r.getTypeChecker(),i,o,s);if(l)return l.kind===0?xn([ret(t,l.expression,l.statement)],Gs(l.declaration)?iet(t,l.declaration,l.expression,l.commentSource):void 0):[oet(t,l.declaration,l.expression)]},getAllCodeActions:e=>Es(e,Ffe,(t,r)=>{const i=tMe(e.program.getTypeChecker(),r.file,r.start,r.code);if(i)switch(e.fixId){case F$:nMe(t,r.file,i.expression,i.statement);break;case z$:if(!Gs(i.declaration))return;rMe(t,r.file,i.declaration,i.expression,i.commentSource);break;case B$:if(!Gs(i.declaration))return;iMe(t,r.file,i.declaration,i.expression);break;default:N.fail(JSON.stringify(e.fixId))}})})}});function oMe(e,t,r,i,o){var s;const l=po(e,t),u=l.parent;if(r===f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code){if(!(l.kind===19&&Oa(u)&&la(u.parent)))return;const I=Gr(u.parent.arguments,O=>O===u);if(I<0)return;const R=i.getResolvedSignature(u.parent);if(!(R&&R.declaration&&R.parameters[I]))return;const M=R.parameters[I].valueDeclaration;if(!(M&&Ao(M)&&Ve(M.name)))return;const C=zo(i.getUnmatchedProperties(i.getTypeAtLocation(u),i.getParameterType(R,I),!1,!1));return Me(C)?{kind:3,token:M.name,identifier:M.name.text,properties:C,parentDeclaration:u}:void 0}if(l.kind===19&&Oa(u)){const I=i.getContextualType(u)||i.getTypeAtLocation(u),R=zo(i.getUnmatchedProperties(i.getTypeAtLocation(u),I,!1,!1));return Me(R)?{kind:3,token:u,identifier:"",properties:R,parentDeclaration:u}:void 0}if(!Qh(l))return;if(Ve(l)&&zy(u)&&u.initializer&&Oa(u.initializer)){const I=i.getContextualType(l)||i.getTypeAtLocation(l),R=zo(i.getUnmatchedProperties(i.getTypeAtLocation(u.initializer),I,!1,!1));return Me(R)?{kind:3,token:l,identifier:l.text,properties:R,parentDeclaration:u.initializer}:void 0}if(Ve(l)&&ud(l.parent)){const I=os(o.getCompilerOptions()),R=met(i,I,l.parent);return Me(R)?{kind:4,token:l,attributes:R,parentDeclaration:l.parent}:void 0}if(Ve(l)){const I=(s=i.getContextualType(l))==null?void 0:s.getNonNullableType();if(I&&Wr(I)&16){const R=Xc(i.getSignaturesOfType(I,0));return R===void 0?void 0:{kind:5,token:l,signature:R,sourceFile:e,parentDeclaration:hMe(l)}}if(la(u)&&u.expression===l)return{kind:2,token:l,call:u,sourceFile:e,modifierFlags:0,parentDeclaration:hMe(l)}}if(!Fr(u))return;const p=hX(i.getTypeAtLocation(u.expression)),h=p.symbol;if(!h||!h.declarations)return;if(Ve(l)&&la(u.parent)){const I=An(h.declarations,Xl),R=I==null?void 0:I.getSourceFile();if(I&&R&&!MD(o,R))return{kind:2,token:l,call:u.parent,sourceFile:e,modifierFlags:32,parentDeclaration:I};const M=An(h.declarations,$i);if(e.commonJsModuleIndicator)return;if(M&&!MD(o,M))return{kind:2,token:l,call:u.parent,sourceFile:M,modifierFlags:32,parentDeclaration:M}}const m=An(h.declarations,ui);if(!m&&Ji(l))return;const b=m||An(h.declarations,I=>hd(I)||Ep(I));if(b&&!MD(o,b.getSourceFile())){const I=!Ep(b)&&(p.target||p)!==i.getDeclaredTypeOfSymbol(h);if(I&&(Ji(l)||hd(b)))return;const R=b.getSourceFile(),M=Ep(b)?0:(I?256:0)|(jX(l.text)?2:0),C=dd(R),O=ii(u.parent,la);return{kind:0,token:l,call:O,modifierFlags:M,parentDeclaration:b,declSourceFile:R,isJSFile:C}}const A=An(h.declarations,x0);if(A&&!(p.flags&1056)&&!Ji(l)&&!MD(o,A.getSourceFile()))return{kind:1,token:l,parentDeclaration:A}}function set(e,t){return t.isJSFile?AA(cet(e,t)):uet(e,t)}function cet(e,{parentDeclaration:t,declSourceFile:r,modifierFlags:i,token:o}){if(hd(t)||Ep(t))return;const s=_r.ChangeTracker.with(e,u=>aMe(u,r,t,o,!!(i&256)));if(s.length===0)return;const l=i&256?f.Initialize_static_property_0:Ji(o)?f.Declare_a_private_field_named_0:f.Initialize_property_0_in_the_constructor;return ca(cb,s,[l,o.text],cb,f.Add_all_missing_members)}function aMe(e,t,r,i,o){const s=i.text;if(o){if(r.kind===231)return;const l=r.name.getText(),u=sMe(w.createIdentifier(l),s);e.insertNodeAfter(t,r,u)}else if(Ji(i)){const l=w.createPropertyDeclaration(void 0,s,void 0,void 0,void 0),u=uMe(r);u?e.insertNodeAfter(t,u,l):e.insertMemberAtStart(t,r,l)}else{const l=ag(r);if(!l)return;const u=sMe(w.createThis(),s);e.insertNodeAtConstructorEnd(t,l,u)}}function sMe(e,t){return w.createExpressionStatement(w.createAssignment(w.createPropertyAccessExpression(e,t),zI()))}function uet(e,{parentDeclaration:t,declSourceFile:r,modifierFlags:i,token:o}){const s=o.text,l=i&256,u=lMe(e.program.getTypeChecker(),t,o),p=m=>_r.ChangeTracker.with(e,b=>cMe(b,r,t,s,u,m)),h=[ca(cb,p(i&256),[l?f.Declare_static_property_0:f.Declare_property_0,s],cb,f.Add_all_missing_members)];return l||Ji(o)||(i&2&&h.unshift(o_(cb,p(2),[f.Declare_private_property_0,s])),h.push(det(e,r,t,o.text,u))),h}function lMe(e,t,r){let i;if(r.parent.parent.kind===226){const o=r.parent.parent,s=r.parent===o.left?o.right:o.left,l=e.getWidenedType(e.getBaseTypeOfLiteralType(e.getTypeAtLocation(s)));i=e.typeToTypeNode(l,t,1)}else{const o=e.getContextualType(r.parent);i=o?e.typeToTypeNode(o,void 0,1):void 0}return i||w.createKeywordTypeNode(133)}function cMe(e,t,r,i,o,s){const l=s?w.createNodeArray(w.createModifiersFromModifierFlags(s)):void 0,u=ui(r)?w.createPropertyDeclaration(l,i,void 0,o,void 0):w.createPropertySignature(void 0,i,void 0,o),p=uMe(r);p?e.insertNodeAfter(t,p,u):e.insertMemberAtStart(t,r,u)}function uMe(e){let t;for(const r of e.members){if(!Jo(r))break;t=r}return t}function det(e,t,r,i,o){const s=w.createKeywordTypeNode(154),l=w.createParameterDeclaration(void 0,void 0,"x",void 0,s,void 0),u=w.createIndexSignature(void 0,[l],o),p=_r.ChangeTracker.with(e,h=>h.insertMemberAtStart(t,r,u));return o_(cb,p,[f.Add_index_signature_for_property_0,i])}function pet(e,t){const{parentDeclaration:r,declSourceFile:i,modifierFlags:o,token:s,call:l}=t;if(l===void 0)return;const u=s.text,p=m=>_r.ChangeTracker.with(e,b=>dMe(e,b,l,s,m,r,i)),h=[ca(cb,p(o&256),[o&256?f.Declare_static_method_0:f.Declare_method_0,u],cb,f.Add_all_missing_members)];return o&2&&h.unshift(o_(cb,p(2),[f.Declare_private_method_0,u])),h}function dMe(e,t,r,i,o,s,l){const u=FI(l,e.program,e.preferences,e.host),p=ui(s)?174:173,h=Sme(p,e,u,r,i,o,s),m=_et(s,r);m?t.insertNodeAfter(l,m,h):t.insertMemberAtStart(l,s,h),u.writeFixes(t)}function pMe(e,t,{token:r,parentDeclaration:i}){const o=bt(i.members,p=>{const h=t.getTypeAtLocation(p);return!!(h&&h.flags&402653316)}),s=i.getSourceFile(),l=w.createEnumMember(r,o?w.createStringLiteral(r.text):void 0),u=tl(i.members);u?e.insertNodeInListAfter(s,u,l,i.members):e.insertMemberAtStart(s,i,l)}function fMe(e,t,r){const i=uf(t.sourceFile,t.preferences),o=FI(t.sourceFile,t.program,t.preferences,t.host),s=r.kind===2?Sme(262,t,o,r.call,Sr(r.token),r.modifierFlags,r.parentDeclaration):fQ(262,t,i,r.signature,xz(f.Function_not_implemented.message,i),r.token,void 0,void 0,void 0,o);s===void 0&&N.fail("fixMissingFunctionDeclaration codefix got unexpected error."),Dm(r.parentDeclaration)?e.insertNodeBefore(r.sourceFile,r.parentDeclaration,s,!0):e.insertNodeAtEndOfScope(r.sourceFile,r.parentDeclaration,s),o.writeFixes(e)}function mMe(e,t,r){const i=FI(t.sourceFile,t.program,t.preferences,t.host),o=uf(t.sourceFile,t.preferences),s=t.program.getTypeChecker(),l=r.parentDeclaration.attributes,u=bt(l.properties,gI),p=wt(r.attributes,b=>{const A=G$(t,s,i,o,s.getTypeOfSymbol(b),r.parentDeclaration),I=w.createIdentifier(b.name),R=w.createJsxAttribute(I,w.createJsxExpression(void 0,A));return qa(I,R),R}),h=w.createJsxAttributes(u?[...p,...l.properties]:[...l.properties,...p]),m={prefix:l.pos===l.end?" ":void 0};e.replaceNode(t.sourceFile,l,h,m),i.writeFixes(e)}function _Me(e,t,r){const i=FI(t.sourceFile,t.program,t.preferences,t.host),o=uf(t.sourceFile,t.preferences),s=os(t.program.getCompilerOptions()),l=t.program.getTypeChecker(),u=wt(r.properties,h=>{const m=G$(t,l,i,o,l.getTypeOfSymbol(h),r.parentDeclaration);return w.createPropertyAssignment(het(h,s,o,l),m)}),p={leadingTriviaOption:_r.LeadingTriviaOption.Exclude,trailingTriviaOption:_r.TrailingTriviaOption.Exclude,indentation:r.indentation};e.replaceNode(t.sourceFile,r.parentDeclaration,w.createObjectLiteralExpression([...r.parentDeclaration.properties,...u],!0),p),i.writeFixes(e)}function G$(e,t,r,i,o,s){if(o.flags&3)return zI();if(o.flags&134217732)return w.createStringLiteral("",i===0);if(o.flags&8)return w.createNumericLiteral(0);if(o.flags&64)return w.createBigIntLiteral("0n");if(o.flags&16)return w.createFalse();if(o.flags&1056){const l=o.symbol.exports?L6(o.symbol.exports.values()):o.symbol,u=t.symbolToExpression(o.symbol.parent?o.symbol.parent:o.symbol,111551,void 0,64);return l===void 0||u===void 0?w.createNumericLiteral(0):w.createPropertyAccessExpression(u,t.symbolToString(l))}if(o.flags&256)return w.createNumericLiteral(o.value);if(o.flags&2048)return w.createBigIntLiteral(o.value);if(o.flags&128)return w.createStringLiteral(o.value,i===0);if(o.flags&512)return o===t.getFalseType()||o===t.getFalseType(!0)?w.createFalse():w.createTrue();if(o.flags&65536)return w.createNull();if(o.flags&1048576)return Ut(o.types,u=>G$(e,t,r,i,u,s))??zI();if(t.isArrayLikeType(o))return w.createArrayLiteralExpression();if(fet(o)){const l=wt(t.getPropertiesOfType(o),u=>{const p=G$(e,t,r,i,t.getTypeOfSymbol(u),s);return w.createPropertyAssignment(u.name,p)});return w.createObjectLiteralExpression(l,!0)}if(Wr(o)&16){if(An(o.symbol.declarations||et,Ym(Eh,bh,ql))===void 0)return zI();const u=t.getSignaturesOfType(o,0);return u===void 0?zI():fQ(218,e,i,u[0],xz(f.Function_not_implemented.message,i),void 0,void 0,void 0,s,r)??zI()}if(Wr(o)&1){const l=Vg(o.symbol);if(l===void 0||XE(l))return zI();const u=ag(l);return u&&Me(u.parameters)?zI():w.createNewExpression(w.createIdentifier(o.symbol.name),void 0,void 0)}return zI()}function zI(){return w.createIdentifier("undefined")}function fet(e){return e.flags&524288&&(Wr(e)&128||e.symbol&&ii(sh(e.symbol.declarations),Ep))}function met(e,t,r){const i=e.getContextualType(r.attributes);if(i===void 0)return et;const o=i.getProperties();if(!Me(o))return et;const s=new Set;for(const l of r.attributes.properties)if(F_(l)&&s.add(sP(l.name)),gI(l)){const u=e.getTypeAtLocation(l.expression);for(const p of u.getProperties())s.add(p.escapedName)}return nr(o,l=>tf(l.name,t,1)&&!(l.flags&16777216||xl(l)&48||s.has(l.escapedName)))}function _et(e,t){if(Ep(e))return;const r=Gn(t,i=>ql(i)||Ml(i));return r&&r.parent===e?r:void 0}function het(e,t,r,i){if(mh(e)){const o=i.symbolToNode(e,111551,void 0,1073741824);if(o&&Za(o))return o}return oW(e.name,t,r===0,!1,!1)}function hMe(e){if(Gn(e,TP)){const t=Gn(e.parent,Dm);if(t)return t}return jn(e)}var cb,vz,yz,bz,zfe,get=T({"src/services/codefixes/fixAddMissingMember.ts"(){si(),Ra(),cb="fixMissingMember",vz="fixMissingProperties",yz="fixMissingAttributes",bz="fixMissingFunctionDeclaration",zfe=[f.Property_0_does_not_exist_on_type_1.code,f.Property_0_does_not_exist_on_type_1_Did_you_mean_2.code,f.Property_0_is_missing_in_type_1_but_required_in_type_2.code,f.Type_0_is_missing_the_following_properties_from_type_1_Colon_2.code,f.Type_0_is_missing_the_following_properties_from_type_1_Colon_2_and_3_more.code,f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code,f.Cannot_find_name_0.code],Aa({errorCodes:zfe,getCodeActions(e){const t=e.program.getTypeChecker(),r=oMe(e.sourceFile,e.span.start,e.errorCode,t,e.program);if(r){if(r.kind===3){const i=_r.ChangeTracker.with(e,o=>_Me(o,e,r));return[ca(vz,i,f.Add_missing_properties,vz,f.Add_all_missing_properties)]}if(r.kind===4){const i=_r.ChangeTracker.with(e,o=>mMe(o,e,r));return[ca(yz,i,f.Add_missing_attributes,yz,f.Add_all_missing_attributes)]}if(r.kind===2||r.kind===5){const i=_r.ChangeTracker.with(e,o=>fMe(o,e,r));return[ca(bz,i,[f.Add_missing_function_declaration_0,r.token.text],bz,f.Add_all_missing_function_declarations)]}if(r.kind===1){const i=_r.ChangeTracker.with(e,o=>pMe(o,e.program.getTypeChecker(),r));return[ca(cb,i,[f.Add_missing_enum_member_0,r.token.text],cb,f.Add_all_missing_members)]}return So(pet(e,r),set(e,r))}},fixIds:[cb,bz,vz,yz],getAllCodeActions:e=>{const{program:t,fixId:r}=e,i=t.getTypeChecker(),o=new Map,s=new Map;return zD(_r.ChangeTracker.with(e,l=>{BD(e,zfe,u=>{const p=oMe(u.file,u.start,u.code,i,e.program);if(!(!p||!Rm(o,as(p.parentDeclaration)+"#"+(p.kind===3?p.identifier:p.token.text)))){if(r===bz&&(p.kind===2||p.kind===5))fMe(l,e,p);else if(r===vz&&p.kind===3)_Me(l,e,p);else if(r===yz&&p.kind===4)mMe(l,e,p);else if(p.kind===1&&pMe(l,i,p),p.kind===0){const{parentDeclaration:h,token:m}=p,b=n0(s,h,()=>[]);b.some(A=>A.token.text===m.text)||b.push(p)}}}),s.forEach((u,p)=>{const h=Ep(p)?void 0:Cme(p,i);for(const m of u){if(h!=null&&h.some(O=>{const U=s.get(O);return!!U&&U.some(({token:K})=>K.text===m.token.text)}))continue;const{parentDeclaration:b,declSourceFile:A,modifierFlags:I,token:R,call:M,isJSFile:C}=m;if(M&&!Ji(R))dMe(e,l,M,R,I&256,b,A);else if(C&&!hd(b)&&!Ep(b))aMe(l,A,b,R,!!(I&256));else{const O=lMe(i,b,R);cMe(l,A,b,R.text,O,I&256)}}})}))}})}});function gMe(e,t,r){const i=aa(vet(t,r),la),o=w.createNewExpression(i.expression,i.typeArguments,i.arguments);e.replaceNode(t,i,o)}function vet(e,t){let r=po(e,t.start);const i=Kl(t);for(;r.end<i;)r=r.parent;return r}var V$,Bfe,yet=T({"src/services/codefixes/fixAddMissingNewOperator.ts"(){si(),Ra(),V$="addMissingNewOperator",Bfe=[f.Value_of_type_0_is_not_callable_Did_you_mean_to_include_new.code],Aa({errorCodes:Bfe,getCodeActions(e){const{sourceFile:t,span:r}=e,i=_r.ChangeTracker.with(e,o=>gMe(o,t,r));return[ca(V$,i,f.Add_missing_new_operator_to_call,V$,f.Add_missing_new_operator_to_all_calls)]},fixIds:[V$],getAllCodeActions:e=>Es(e,Bfe,(t,r)=>gMe(t,e.sourceFile,r))})}});function vMe(e,t,r){const i=po(e,r),o=Gn(i,la);if(o===void 0||Me(o.arguments)===0)return;const s=t.getTypeChecker(),l=s.getTypeAtLocation(o.expression),u=nr(l.symbol.declarations,yMe);if(u===void 0)return;const p=tl(u);if(p===void 0||p.body===void 0||MD(t,p.getSourceFile()))return;const h=bet(p);if(h===void 0)return;const m=[],b=[],A=Me(p.parameters),I=Me(o.arguments);if(A>I)return;const R=[p,...Tet(p,u)];for(let M=0,C=0,O=0;M<I;M++){const U=o.arguments[M],K=ws(U)?PU(U):U,Z=s.getWidenedType(s.getBaseTypeOfLiteralType(s.getTypeAtLocation(U))),V=C<A?p.parameters[C]:void 0;if(V&&s.isTypeAssignableTo(Z,s.getTypeAtLocation(V))){C++;continue}const se=K&&Ve(K)?K.text:`p${O++}`,G=Eet(s,Z,p);xn(m,{pos:M,declaration:EMe(se,G,void 0)}),!Iet(R,C)&&xn(b,{pos:M,declaration:EMe(se,G,w.createToken(58))})}return{newParameters:m,newOptionalParameters:b,name:Rs(h),declarations:R}}function bet(e){const t=Mo(e);if(t)return t;if(wi(e.parent)&&Ve(e.parent.name)||Jo(e.parent)||Ao(e.parent))return e.parent.name}function Eet(e,t,r){return e.typeToTypeNode(e.getWidenedType(t),r,1)??w.createKeywordTypeNode(159)}function j$(e,t,r,i){Ue(r,o=>{Me(o.parameters)?e.replaceNodeRangeWithNodes(t,Ha(o.parameters),Ya(o.parameters),bMe(o,i),{joiner:", ",indentation:0,leadingTriviaOption:_r.LeadingTriviaOption.IncludeAll,trailingTriviaOption:_r.TrailingTriviaOption.Include}):Ue(bMe(o,i),(s,l)=>{Me(o.parameters)===0&&l===0?e.insertNodeAt(t,o.parameters.end,s):e.insertNodeAtEndOfList(t,o.parameters,s)})})}function yMe(e){switch(e.kind){case 262:case 218:case 174:case 219:return!0;default:return!1}}function bMe(e,t){const r=wt(e.parameters,i=>w.createParameterDeclaration(i.modifiers,i.dotDotDotToken,i.name,i.questionToken,i.type,i.initializer));for(const{pos:i,declaration:o}of t){const s=i>0?r[i-1]:void 0;r.splice(i,0,w.updateParameterDeclaration(o,o.modifiers,o.dotDotDotToken,o.name,s&&s.questionToken?w.createToken(58):o.questionToken,o.type,o.initializer))}return r}function Tet(e,t){const r=[];for(const i of t)if(Aet(i)){if(Me(i.parameters)===Me(e.parameters)){r.push(i);continue}if(Me(i.parameters)>Me(e.parameters))return[]}return r}function Aet(e){return yMe(e)&&e.body===void 0}function EMe(e,t,r){return w.createParameterDeclaration(void 0,void 0,e,r,t,void 0)}function Iet(e,t){return Me(e)&&bt(e,r=>t<Me(r.parameters)&&!!r.parameters[t]&&r.parameters[t].questionToken===void 0)}var Ez,Sz,Gfe,xet=T({"src/services/codefixes/fixAddMissingParam.ts"(){si(),Ra(),Ez="addMissingParam",Sz="addOptionalParam",Gfe=[f.Expected_0_arguments_but_got_1.code],Aa({errorCodes:Gfe,fixIds:[Ez,Sz],getCodeActions(e){const t=vMe(e.sourceFile,e.program,e.span.start);if(t===void 0)return;const{name:r,declarations:i,newParameters:o,newOptionalParameters:s}=t,l=[];return Me(o)&&xn(l,ca(Ez,_r.ChangeTracker.with(e,u=>j$(u,e.sourceFile,i,o)),[Me(o)>1?f.Add_missing_parameters_to_0:f.Add_missing_parameter_to_0,r],Ez,f.Add_all_missing_parameters)),Me(s)&&xn(l,ca(Sz,_r.ChangeTracker.with(e,u=>j$(u,e.sourceFile,i,s)),[Me(s)>1?f.Add_optional_parameters_to_0:f.Add_optional_parameter_to_0,r],Sz,f.Add_all_optional_parameters)),l},getAllCodeActions:e=>Es(e,Gfe,(t,r)=>{const i=vMe(e.sourceFile,e.program,r.start);if(i){const{declarations:o,newParameters:s,newOptionalParameters:l}=i;e.fixId===Ez&&j$(t,e.sourceFile,o,s),e.fixId===Sz&&j$(t,e.sourceFile,o,l)}})})}});function SMe(e,t){return{type:"install package",file:e,packageName:t}}function TMe(e,t){const r=ii(po(e,t),Ma);if(!r)return;const i=r.text,{packageName:o}=Nw(i);return Yc(o)?void 0:o}function AMe(e,t,r){var i;return r===Vfe?G_.nodeCoreModules.has(e)?"@types/node":void 0:(i=t.isKnownTypesPackageName)!=null&&i.call(t,e)?jF(e):void 0}var IMe,U$,Vfe,jfe,Ret=T({"src/services/codefixes/fixCannotFindModule.ts"(){si(),Ra(),IMe="fixCannotFindModule",U$="installTypesPackage",Vfe=f.Cannot_find_module_0_or_its_corresponding_type_declarations.code,jfe=[Vfe,f.Could_not_find_a_declaration_file_for_module_0_1_implicitly_has_an_any_type.code],Aa({errorCodes:jfe,getCodeActions:function(t){const{host:r,sourceFile:i,span:{start:o}}=t,s=TMe(i,o);if(s===void 0)return;const l=AMe(s,r,t.errorCode);return l===void 0?[]:[ca(IMe,[],[f.Install_0,l],U$,f.Install_all_missing_types_packages,SMe(i.fileName,l))]},fixIds:[U$],getAllCodeActions:e=>Es(e,jfe,(t,r,i)=>{const o=TMe(r.file,r.start);if(o!==void 0)switch(e.fixId){case U$:{const s=AMe(o,e.host,r.code);s&&i.push(SMe(r.file.fileName,s));break}default:N.fail(`Bad fixId: ${e.fixId}`)}})})}});function xMe(e,t){const r=po(e,t);return aa(r.parent,ui)}function RMe(e,t,r,i,o){const s=D_(e),l=r.program.getTypeChecker(),u=l.getTypeAtLocation(s),p=l.getPropertiesOfType(u).filter(Det),h=FI(t,r.program,o,r.host);Eme(e,p,t,r,o,h,m=>i.insertMemberAtStart(t,e,m)),h.writeFixes(i)}function Det(e){const t=Uy(Ha(e.getDeclarations()));return!(t&2)&&!!(t&64)}var Ufe,H$,Net=T({"src/services/codefixes/fixClassDoesntImplementInheritedAbstractMember.ts"(){si(),Ra(),Ufe=[f.Non_abstract_class_0_does_not_implement_all_abstract_members_of_1.code],H$="fixClassDoesntImplementInheritedAbstractMember",Aa({errorCodes:Ufe,getCodeActions:function(t){const{sourceFile:r,span:i}=t,o=_r.ChangeTracker.with(t,s=>RMe(xMe(r,i.start),r,t,s,t.preferences));return o.length===0?void 0:[ca(H$,o,f.Implement_inherited_abstract_class,H$,f.Implement_all_inherited_abstract_classes)]},fixIds:[H$],getAllCodeActions:function(t){const r=new Map;return Es(t,Ufe,(i,o)=>{const s=xMe(o.file,o.start);Rm(r,as(s))&&RMe(s,t.sourceFile,t,i,t.preferences)})}})}});function DMe(e,t,r,i){e.insertNodeAtConstructorStart(t,r,i),e.delete(t,i)}function NMe(e,t){const r=po(e,t);if(r.kind!==110)return;const i=Gp(r),o=CMe(i.body);return o&&!o.expression.arguments.some(s=>Fr(s)&&s.expression===r)?{constructor:i,superCall:o}:void 0}function CMe(e){return eu(e)&&IS(e.expression)?e:ea(e)?void 0:Ho(e,CMe)}var q$,Hfe,Cet=T({"src/services/codefixes/fixClassSuperMustPrecedeThisAccess.ts"(){si(),Ra(),q$="classSuperMustPrecedeThisAccess",Hfe=[f.super_must_be_called_before_accessing_this_in_the_constructor_of_a_derived_class.code],Aa({errorCodes:Hfe,getCodeActions(e){const{sourceFile:t,span:r}=e,i=NMe(t,r.start);if(!i)return;const{constructor:o,superCall:s}=i,l=_r.ChangeTracker.with(e,u=>DMe(u,t,o,s));return[ca(q$,l,f.Make_super_call_the_first_statement_in_the_constructor,q$,f.Make_all_super_calls_the_first_statement_in_their_constructor)]},fixIds:[q$],getAllCodeActions(e){const{sourceFile:t}=e,r=new Map;return Es(e,Hfe,(i,o)=>{const s=NMe(o.file,o.start);if(!s)return;const{constructor:l,superCall:u}=s;Rm(r,as(l.parent))&&DMe(i,t,l,u)})}})}});function PMe(e,t){const r=po(e,t);return N.assert(Ml(r.parent),"token should be at the constructor declaration"),r.parent}function MMe(e,t,r){const i=w.createExpressionStatement(w.createCallExpression(w.createSuper(),void 0,et));e.insertNodeAtConstructorStart(t,r,i)}var J$,qfe,Pet=T({"src/services/codefixes/fixConstructorForDerivedNeedSuperCall.ts"(){si(),Ra(),J$="constructorForDerivedNeedSuperCall",qfe=[f.Constructors_for_derived_classes_must_contain_a_super_call.code],Aa({errorCodes:qfe,getCodeActions(e){const{sourceFile:t,span:r}=e,i=PMe(t,r.start),o=_r.ChangeTracker.with(e,s=>MMe(s,t,i));return[ca(J$,o,f.Add_missing_super_call,J$,f.Add_all_missing_super_calls)]},fixIds:[J$],getAllCodeActions:e=>Es(e,qfe,(t,r)=>MMe(t,e.sourceFile,PMe(r.file,r.start)))})}});function LMe(e,t){xme(e,t,"jsx",w.createStringLiteral("react"))}var Jfe,Kfe,Met=T({"src/services/codefixes/fixEnableJsxFlag.ts"(){si(),Ra(),Jfe="fixEnableJsxFlag",Kfe=[f.Cannot_use_JSX_unless_the_jsx_flag_is_provided.code],Aa({errorCodes:Kfe,getCodeActions:function(t){const{configFile:r}=t.program.getCompilerOptions();if(r===void 0)return;const i=_r.ChangeTracker.with(t,o=>LMe(o,r));return[o_(Jfe,i,f.Enable_the_jsx_flag_in_your_configuration_file)]},fixIds:[Jfe],getAllCodeActions:e=>Es(e,Kfe,t=>{const{configFile:r}=e.program.getCompilerOptions();r!==void 0&&LMe(t,r)})})}});function kMe(e,t,r){const i=An(e.getSemanticDiagnostics(t),l=>l.start===r.start&&l.length===r.length);if(i===void 0||i.relatedInformation===void 0)return;const o=An(i.relatedInformation,l=>l.code===f.Did_you_mean_0.code);if(o===void 0||o.file===void 0||o.start===void 0||o.length===void 0)return;const s=Dme(o.file,vu(o.start,o.length));if(s!==void 0&&yt(s)&&mr(s.parent))return{suggestion:Let(o.messageText),expression:s.parent,arg:s}}function wMe(e,t,r,i){const o=w.createCallExpression(w.createPropertyAccessExpression(w.createIdentifier("Number"),w.createIdentifier("isNaN")),void 0,[r]),s=i.operatorToken.kind;e.replaceNode(t,i,s===38||s===36?w.createPrefixUnaryExpression(54,o):o)}function Let(e){const[,t]=z_(e,`
+`,0).match(/'(.*)'/)||[];return t}var K$,Xfe,ket=T({"src/services/codefixes/fixNaNEquality.ts"(){si(),Ra(),K$="fixNaNEquality",Xfe=[f.This_condition_will_always_return_0.code],Aa({errorCodes:Xfe,getCodeActions(e){const{sourceFile:t,span:r,program:i}=e,o=kMe(i,t,r);if(o===void 0)return;const{suggestion:s,expression:l,arg:u}=o,p=_r.ChangeTracker.with(e,h=>wMe(h,t,u,l));return[ca(K$,p,[f.Use_0,s],K$,f.Use_Number_isNaN_in_all_conditions)]},fixIds:[K$],getAllCodeActions:e=>Es(e,Xfe,(t,r)=>{const i=kMe(e.program,r.file,vu(r.start,r.length));i&&wMe(t,r.file,i.arg,i.expression)})})}}),wet=T({"src/services/codefixes/fixModuleAndTargetOptions.ts"(){si(),Ra(),Aa({errorCodes:[f.Top_level_await_expressions_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher.code,f.Top_level_await_using_statements_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher.code,f.Top_level_for_await_loops_are_only_allowed_when_the_module_option_is_set_to_es2022_esnext_system_node16_nodenext_or_preserve_and_the_target_option_is_set_to_es2017_or_higher.code],getCodeActions:function(t){const r=t.program.getCompilerOptions(),{configFile:i}=r;if(i===void 0)return;const o=[],s=wu(r);if(s>=5&&s<99){const h=_r.ChangeTracker.with(t,m=>{xme(m,i,"module",w.createStringLiteral("esnext"))});o.push(o_("fixModuleOption",h,[f.Set_the_module_option_in_your_configuration_file_to_0,"esnext"]))}const u=os(r);if(u<4||u>99){const h=_r.ChangeTracker.with(t,m=>{if(!AC(i))return;const A=[["target",w.createStringLiteral("es2017")]];s===1&&A.push(["module",w.createStringLiteral("commonjs")]),Ime(m,i,A)});o.push(o_("fixTargetOption",h,[f.Set_the_target_option_in_your_configuration_file_to_0,"es2017"]))}return o.length?o:void 0}})}});function OMe(e,t,r){e.replaceNode(t,r,w.createPropertyAssignment(r.name,r.objectAssignmentInitializer))}function WMe(e,t){return aa(po(e,t).parent,rp)}var X$,Yfe,Oet=T({"src/services/codefixes/fixPropertyAssignment.ts"(){si(),Ra(),X$="fixPropertyAssignment",Yfe=[f.Did_you_mean_to_use_a_Colon_An_can_only_follow_a_property_name_when_the_containing_object_literal_is_part_of_a_destructuring_pattern.code],Aa({errorCodes:Yfe,fixIds:[X$],getCodeActions(e){const{sourceFile:t,span:r}=e,i=WMe(t,r.start),o=_r.ChangeTracker.with(e,s=>OMe(s,e.sourceFile,i));return[ca(X$,o,[f.Change_0_to_1,"=",":"],X$,[f.Switch_each_misused_0_to_1,"=",":"])]},getAllCodeActions:e=>Es(e,Yfe,(t,r)=>OMe(t,r.file,WMe(r.file,r.start)))})}});function FMe(e,t){const r=po(e,t),i=su(r).heritageClauses,o=i[0].getFirstToken();return o.kind===96?{extendsToken:o,heritageClauses:i}:void 0}function zMe(e,t,r,i){if(e.replaceNode(t,r,w.createToken(119)),i.length===2&&i[0].token===96&&i[1].token===119){const o=i[1].getFirstToken(),s=o.getFullStart();e.replaceRange(t,{pos:s,end:s},w.createToken(28));const l=t.text;let u=o.end;for(;u<l.length&&A_(l.charCodeAt(u));)u++;e.deleteRange(t,{pos:o.getStart(),end:u})}}var Y$,$fe,Wet=T({"src/services/codefixes/fixExtendsInterfaceBecomesImplements.ts"(){si(),Ra(),Y$="extendsInterfaceBecomesImplements",$fe=[f.Cannot_extend_an_interface_0_Did_you_mean_implements.code],Aa({errorCodes:$fe,getCodeActions(e){const{sourceFile:t}=e,r=FMe(t,e.span.start);if(!r)return;const{extendsToken:i,heritageClauses:o}=r,s=_r.ChangeTracker.with(e,l=>zMe(l,t,i,o));return[ca(Y$,s,f.Change_extends_to_implements,Y$,f.Change_all_extended_interfaces_to_implements)]},fixIds:[Y$],getAllCodeActions:e=>Es(e,$fe,(t,r)=>{const i=FMe(r.file,r.start);i&&zMe(t,r.file,i.extendsToken,i.heritageClauses)})})}});function BMe(e,t,r){const i=po(e,t);if(Ve(i)||Ji(i))return{node:i,className:r===Qfe?su(i).name.text:void 0}}function GMe(e,t,{node:r,className:i}){Ap(r),e.replaceNode(t,r,w.createPropertyAccessExpression(i?w.createIdentifier(i):w.createThis(),r))}var $$,Qfe,Zfe,Fet=T({"src/services/codefixes/fixForgottenThisPropertyAccess.ts"(){si(),Ra(),$$="forgottenThisPropertyAccess",Qfe=f.Cannot_find_name_0_Did_you_mean_the_static_member_1_0.code,Zfe=[f.Cannot_find_name_0_Did_you_mean_the_instance_member_this_0.code,f.Private_identifiers_are_only_allowed_in_class_bodies_and_may_only_be_used_as_part_of_a_class_member_declaration_property_access_or_on_the_left_hand_side_of_an_in_expression.code,Qfe],Aa({errorCodes:Zfe,getCodeActions(e){const{sourceFile:t}=e,r=BMe(t,e.span.start,e.errorCode);if(!r)return;const i=_r.ChangeTracker.with(e,o=>GMe(o,t,r));return[ca($$,i,[f.Add_0_to_unresolved_variable,r.className||"this"],$$,f.Add_qualifier_to_all_unresolved_variables_matching_a_member_name)]},fixIds:[$$],getAllCodeActions:e=>Es(e,Zfe,(t,r)=>{const i=BMe(r.file,r.start,r.code);i&&GMe(t,e.sourceFile,i)})})}});function zet(e){return xs(nme,e)}function eme(e,t,r,i,o){const s=r.getText()[i];if(!zet(s))return;const l=o?nme[s]:`{${p2(r,t,s)}}`;e.replaceRangeWithText(r,{pos:i,end:i+1},l)}var Q$,Tz,tme,nme,Bet=T({"src/services/codefixes/fixInvalidJsxCharacters.ts"(){si(),Ra(),Q$="fixInvalidJsxCharacters_expression",Tz="fixInvalidJsxCharacters_htmlEntity",tme=[f.Unexpected_token_Did_you_mean_or_gt.code,f.Unexpected_token_Did_you_mean_or_rbrace.code],Aa({errorCodes:tme,fixIds:[Q$,Tz],getCodeActions(e){const{sourceFile:t,preferences:r,span:i}=e,o=_r.ChangeTracker.with(e,l=>eme(l,r,t,i.start,!1)),s=_r.ChangeTracker.with(e,l=>eme(l,r,t,i.start,!0));return[ca(Q$,o,f.Wrap_invalid_character_in_an_expression_container,Q$,f.Wrap_all_invalid_characters_in_an_expression_container),ca(Tz,s,f.Convert_invalid_character_to_its_html_entity_code,Tz,f.Convert_all_invalid_characters_to_HTML_entity_code)]},getAllCodeActions(e){return Es(e,tme,(t,r)=>eme(t,e.preferences,r.file,r.start,e.fixId===Tz))}}),nme={">":"&gt;","}":"&rbrace;"}}});function Get(e,{name:t,jsDocHost:r,jsDocParameterTag:i}){const o=_r.ChangeTracker.with(e,s=>s.filterJSDocTags(e.sourceFile,r,l=>l!==i));return ca(Az,o,[f.Delete_unused_param_tag_0,t.getText(e.sourceFile)],Az,f.Delete_all_unused_param_tags)}function Vet(e,{name:t,jsDocHost:r,signature:i,jsDocParameterTag:o}){if(!Me(i.parameters))return;const s=e.sourceFile,l=f0(i),u=new Set;for(const b of l)r_(b)&&Ve(b.name)&&u.add(b.name.escapedText);const p=Ut(i.parameters,b=>Ve(b.name)&&!u.has(b.name.escapedText)?b.name.getText(s):void 0);if(p===void 0)return;const h=w.updateJSDocParameterTag(o,o.tagName,w.createIdentifier(p),o.isBracketed,o.typeExpression,o.isNameFirst,o.comment),m=_r.ChangeTracker.with(e,b=>b.replaceJSDocComment(s,r,wt(l,A=>A===o?h:A)));return o_(rme,m,[f.Rename_param_tag_name_0_to_1,t.getText(s),p])}function VMe(e,t){const r=po(e,t);if(r.parent&&r_(r.parent)&&Ve(r.parent.name)){const i=r.parent,o=CS(i),s=v0(i);if(o&&s)return{jsDocHost:o,signature:s,name:r.parent.name,jsDocParameterTag:i}}}var Az,rme,ime,jet=T({"src/services/codefixes/fixUnmatchedParameter.ts"(){si(),Ra(),Az="deleteUnmatchedParameter",rme="renameUnmatchedParameter",ime=[f.JSDoc_param_tag_has_name_0_but_there_is_no_parameter_with_that_name.code],Aa({fixIds:[Az,rme],errorCodes:ime,getCodeActions:function(t){const{sourceFile:r,span:i}=t,o=[],s=VMe(r,i.start);if(s)return xn(o,Get(t,s)),xn(o,Vet(t,s)),o},getAllCodeActions:function(t){const r=new Map;return zD(_r.ChangeTracker.with(t,i=>{BD(t,ime,({file:o,start:s})=>{const l=VMe(o,s);l&&r.set(l.signature,xn(r.get(l.signature),l.jsDocParameterTag))}),r.forEach((o,s)=>{if(t.fixId===Az){const l=new Set(o);i.filterJSDocTags(s.getSourceFile(),s,u=>!l.has(u))}})}))}})}});function Uet(e,t,r){const i=ii(po(e,r),Ve);if(!i||i.parent.kind!==183)return;const s=t.getTypeChecker().getSymbolAtLocation(i);return An((s==null?void 0:s.declarations)||et,Ym(Sh,np,tu))}function Het(e,t,r,i){if(r.kind===271){e.insertModifierBefore(t,156,r.name);return}const o=r.kind===273?r:r.parent.parent;if(o.name&&o.namedBindings)return;const s=i.getTypeChecker();h3(o,u=>{if(bu(u.symbol,s).flags&111551)return!0})||e.insertModifierBefore(t,156,o)}function qet(e,t,r,i){wI.doChangeNamedToNamespaceOrDefault(t,i,e,r.parent)}var Z$,jMe,Jet=T({"src/services/codefixes/fixUnreferenceableDecoratorMetadata.ts"(){si(),Ra(),Z$="fixUnreferenceableDecoratorMetadata",jMe=[f.A_type_referenced_in_a_decorated_signature_must_be_imported_with_import_type_or_a_namespace_import_when_isolatedModules_and_emitDecoratorMetadata_are_enabled.code],Aa({errorCodes:jMe,getCodeActions:e=>{const t=Uet(e.sourceFile,e.program,e.span.start);if(!t)return;const r=_r.ChangeTracker.with(e,s=>t.kind===276&&qet(s,e.sourceFile,t,e.program)),i=_r.ChangeTracker.with(e,s=>Het(s,e.sourceFile,t,e.program));let o;return r.length&&(o=xn(o,o_(Z$,r,f.Convert_named_imports_to_namespace_import))),i.length&&(o=xn(o,o_(Z$,i,f.Use_import_type))),o},fixIds:[Z$]})}});function UMe(e,t,r){e.replaceNode(t,r.parent,w.createKeywordTypeNode(159))}function YO(e,t){return ca($O,e,t,nQ,f.Delete_all_unused_declarations)}function HMe(e,t,r){e.delete(t,N.checkDefined(aa(r.parent,Pj).typeParameters,"The type parameter to delete should exist"))}function ome(e){return e.kind===102||e.kind===80&&(e.parent.kind===276||e.parent.kind===273)}function qMe(e){return e.kind===102?ii(e.parent,kc):void 0}function JMe(e,t){return Uc(t.parent)&&Ha(t.parent.getChildren(e))===t}function KMe(e,t,r){e.delete(t,r.parent.kind===243?r.parent:r)}function Ket(e,t,r){Ue(r.elements,i=>e.delete(t,i))}function Xet(e,t,r,{parent:i}){if(wi(i)&&i.initializer&&wE(i.initializer))if(Uc(i.parent)&&Me(i.parent.declarations)>1){const o=i.parent.parent,s=o.getStart(r),l=o.end;t.delete(r,i),t.insertNodeAt(r,l,i.initializer,{prefix:ty(e.host,e.formatContext.options)+r.text.slice(E5(r.text,s-1),s),suffix:TO(r)?";":""})}else t.replaceNode(r,i.parent,i.initializer);else t.delete(r,i)}function XMe(e,t,r,i){t!==f.Property_0_is_declared_but_its_value_is_never_read.code&&(i.kind===140&&(i=aa(i.parent,BS).typeParameter.name),Ve(i)&&Yet(i)&&(e.replaceNode(r,i,w.createIdentifier(`_${i.text}`)),Ao(i.parent)&&Qx(i.parent).forEach(o=>{Ve(o.name)&&e.replaceNode(r,o.name,w.createIdentifier(`_${o.name.text}`))})))}function Yet(e){switch(e.parent.kind){case 169:case 168:return!0;case 260:switch(e.parent.parent.parent.kind){case 250:case 249:return!0}}return!1}function eQ(e,t,r,i,o,s,l,u){$et(t,r,e,i,o,s,l,u),Ve(t)&&Ws.Core.eachSymbolReferenceInFile(t,i,e,p=>{Fr(p.parent)&&p.parent.name===p&&(p=p.parent),!u&&ttt(p)&&r.delete(e,p.parent.parent)})}function $et(e,t,r,i,o,s,l,u){const{parent:p}=e;if(Ao(p))Qet(t,r,p,i,o,s,l,u);else if(!(u&&Ve(e)&&Ws.Core.isSymbolReferencedInFile(e,i,r))){const h=Sh(p)?e:Za(p)?p.parent:p;N.assert(h!==r,"should not delete whole source file"),t.delete(r,h)}}function Qet(e,t,r,i,o,s,l,u=!1){if(Zet(i,t,r,o,s,l,u))if(r.modifiers&&r.modifiers.length>0&&(!Ve(r.name)||Ws.Core.isSymbolReferencedInFile(r.name,i,t)))for(const p of r.modifiers)xa(p)&&e.deleteModifier(t,p);else!r.initializer&&YMe(r,i,o)&&e.delete(t,r)}function YMe(e,t,r){const i=e.parent.parameters.indexOf(e);return!Ws.Core.someSignatureUsage(e.parent,r,t,(o,s)=>!s||s.arguments.length>i)}function Zet(e,t,r,i,o,s,l){const{parent:u}=r;switch(u.kind){case 174:case 176:const p=u.parameters.indexOf(r),h=ql(u)?u.name:u,m=Ws.Core.getReferencedSymbolsForNode(u.pos,h,o,i,s);if(m){for(const b of m)for(const A of b.references)if(A.kind===Ws.EntryKind.Node){const I=hP(A.node)&&la(A.node.parent)&&A.node.parent.arguments.length>p,R=Fr(A.node.parent)&&hP(A.node.parent.expression)&&la(A.node.parent.parent)&&A.node.parent.parent.arguments.length>p,M=(ql(A.node.parent)||bh(A.node.parent))&&A.node.parent!==r.parent&&A.node.parent.parameters.length>p;if(I||R||M)return!1}}return!0;case 262:return u.name&&ett(e,t,u.name)?$Me(u,r,l):!0;case 218:case 219:return $Me(u,r,l);case 178:return!1;case 177:return!0;default:return N.failBadSyntaxKind(u)}}function ett(e,t,r){return!!Ws.Core.eachSymbolReferenceInFile(r,e,t,i=>Ve(i)&&la(i.parent)&&i.parent.arguments.includes(i))}function $Me(e,t,r){const i=e.parameters,o=i.indexOf(t);return N.assert(o!==-1,"The parameter should already be in the list"),r?i.slice(o+1).every(s=>Ve(s.name)&&!s.symbol.isReferenced):o===i.length-1}function ttt(e){return(mr(e.parent)&&e.parent.left===e||(PH(e.parent)||tb(e.parent))&&e.parent.operand===e)&&eu(e.parent.parent)}var $O,tQ,nQ,Iz,rQ,ame,ntt=T({"src/services/codefixes/fixUnusedIdentifier.ts"(){si(),Ra(),$O="unusedIdentifier",tQ="unusedIdentifier_prefix",nQ="unusedIdentifier_delete",Iz="unusedIdentifier_deleteImports",rQ="unusedIdentifier_infer",ame=[f._0_is_declared_but_its_value_is_never_read.code,f._0_is_declared_but_never_used.code,f.Property_0_is_declared_but_its_value_is_never_read.code,f.All_imports_in_import_declaration_are_unused.code,f.All_destructured_elements_are_unused.code,f.All_variables_are_unused.code,f.All_type_parameters_are_unused.code],Aa({errorCodes:ame,getCodeActions(e){const{errorCode:t,sourceFile:r,program:i,cancellationToken:o}=e,s=i.getTypeChecker(),l=i.getSourceFiles(),u=po(r,e.span.start);if(sm(u))return[YO(_r.ChangeTracker.with(e,b=>b.delete(r,u)),f.Remove_template_tag)];if(u.kind===30){const b=_r.ChangeTracker.with(e,A=>HMe(A,r,u));return[YO(b,f.Remove_type_parameters)]}const p=qMe(u);if(p){const b=_r.ChangeTracker.with(e,A=>A.delete(r,p));return[ca($O,b,[f.Remove_import_from_0,Coe(p)],Iz,f.Delete_all_unused_imports)]}else if(ome(u)){const b=_r.ChangeTracker.with(e,A=>eQ(r,u,A,s,l,i,o,!1));if(b.length)return[ca($O,b,[f.Remove_unused_declaration_for_Colon_0,u.getText(r)],Iz,f.Delete_all_unused_imports)]}if(am(u.parent)||n1(u.parent)){if(Ao(u.parent.parent)){const b=u.parent.elements,A=[b.length>1?f.Remove_unused_declarations_for_Colon_0:f.Remove_unused_declaration_for_Colon_0,wt(b,I=>I.getText(r)).join(", ")];return[YO(_r.ChangeTracker.with(e,I=>Ket(I,r,u.parent)),A)]}return[YO(_r.ChangeTracker.with(e,b=>Xet(e,b,r,u.parent)),f.Remove_unused_destructuring_declaration)]}if(JMe(r,u))return[YO(_r.ChangeTracker.with(e,b=>KMe(b,r,u.parent)),f.Remove_variable_statement)];const h=[];if(u.kind===140){const b=_r.ChangeTracker.with(e,I=>UMe(I,r,u)),A=aa(u.parent,BS).typeParameter.name.text;h.push(ca($O,b,[f.Replace_infer_0_with_unknown,A],rQ,f.Replace_all_unused_infer_with_unknown))}else{const b=_r.ChangeTracker.with(e,A=>eQ(r,u,A,s,l,i,o,!1));if(b.length){const A=Za(u.parent)?u.parent:u;h.push(YO(b,[f.Remove_unused_declaration_for_Colon_0,A.getText(r)]))}}const m=_r.ChangeTracker.with(e,b=>XMe(b,t,r,u));return m.length&&h.push(ca($O,m,[f.Prefix_0_with_an_underscore,u.getText(r)],tQ,f.Prefix_all_unused_declarations_with_where_possible)),h},fixIds:[tQ,nQ,Iz,rQ],getAllCodeActions:e=>{const{sourceFile:t,program:r,cancellationToken:i}=e,o=r.getTypeChecker(),s=r.getSourceFiles();return Es(e,ame,(l,u)=>{const p=po(t,u.start);switch(e.fixId){case tQ:XMe(l,u.code,t,p);break;case Iz:{const h=qMe(p);h?l.delete(t,h):ome(p)&&eQ(t,p,l,o,s,r,i,!0);break}case nQ:{if(p.kind===140||ome(p))break;if(sm(p))l.delete(t,p);else if(p.kind===30)HMe(l,t,p);else if(am(p.parent)){if(p.parent.parent.initializer)break;(!Ao(p.parent.parent)||YMe(p.parent.parent,o,s))&&l.delete(t,p.parent.parent)}else{if(n1(p.parent.parent)&&p.parent.parent.parent.initializer)break;JMe(t,p)?KMe(l,t,p.parent):eQ(t,p,l,o,s,r,i,!0)}break}case rQ:p.kind===140&&UMe(l,t,p);break;default:N.fail(JSON.stringify(e.fixId))}})}})}});function QMe(e,t,r,i,o){const s=po(t,r),l=Gn(s,qi);if(l.getStart(t)!==s.getStart(t)){const p=JSON.stringify({statementKind:N.formatSyntaxKind(l.kind),tokenKind:N.formatSyntaxKind(s.kind),errorCode:o,start:r,length:i});N.fail("Token and statement should start at the same point. "+p)}const u=(Xo(l.parent)?l.parent:l).parent;if(!Xo(l.parent)||l===Ha(l.parent.statements))switch(u.kind){case 245:if(u.elseStatement){if(Xo(l.parent))break;e.replaceNode(t,l,w.createBlock(et));return}case 247:case 248:e.delete(t,u);return}if(Xo(l.parent)){const p=r+i,h=N.checkDefined(rtt(BU(l.parent.statements,l),m=>m.pos<p),"Some statement should be last");e.deleteNodeRange(t,l,h)}else e.delete(t,l)}function rtt(e,t){let r;for(const i of e){if(!t(i))break;r=i}return r}var iQ,sme,itt=T({"src/services/codefixes/fixUnreachableCode.ts"(){si(),Ra(),iQ="fixUnreachableCode",sme=[f.Unreachable_code_detected.code],Aa({errorCodes:sme,getCodeActions(e){if(e.program.getSyntacticDiagnostics(e.sourceFile,e.cancellationToken).length)return;const r=_r.ChangeTracker.with(e,i=>QMe(i,e.sourceFile,e.span.start,e.span.length,e.errorCode));return[ca(iQ,r,f.Remove_unreachable_code,iQ,f.Remove_all_unreachable_code)]},fixIds:[iQ],getAllCodeActions:e=>Es(e,sme,(t,r)=>QMe(t,r.file,r.start,r.length,r.code))})}});function ZMe(e,t,r){const i=po(t,r),o=aa(i.parent,o1),s=i.getStart(t),l=o.statement.getStart(t),u=Rf(s,l,t)?l:ka(t.text,vs(o,59,t).end,!0);e.deleteRange(t,{pos:s,end:u})}var oQ,lme,ott=T({"src/services/codefixes/fixUnusedLabel.ts"(){si(),Ra(),oQ="fixUnusedLabel",lme=[f.Unused_label.code],Aa({errorCodes:lme,getCodeActions(e){const t=_r.ChangeTracker.with(e,r=>ZMe(r,e.sourceFile,e.span.start));return[ca(oQ,t,f.Remove_unused_label,oQ,f.Remove_all_unused_labels)]},fixIds:[oQ],getAllCodeActions:e=>Es(e,lme,(t,r)=>ZMe(t,r.file,r.start))})}});function eLe(e,t,r,i,o){e.replaceNode(t,r,o.typeToTypeNode(i,r,void 0))}function tLe(e,t,r){const i=Gn(po(e,t),att),o=i&&i.type;return o&&{typeNode:o,type:stt(r,o)}}function att(e){switch(e.kind){case 234:case 179:case 180:case 262:case 177:case 181:case 200:case 174:case 173:case 169:case 172:case 171:case 178:case 265:case 216:case 260:return!0;default:return!1}}function stt(e,t){if($R(t)){const r=e.getTypeFromTypeNode(t.type);return r===e.getNeverType()||r===e.getVoidType()?r:e.getUnionType(xn([r,e.getUndefinedType()],t.postfix?void 0:e.getNullType()))}return e.getTypeFromTypeNode(t)}var cme,aQ,ume,ltt=T({"src/services/codefixes/fixJSDocTypes.ts"(){si(),Ra(),cme="fixJSDocTypes_plain",aQ="fixJSDocTypes_nullable",ume=[f.JSDoc_types_can_only_be_used_inside_documentation_comments.code,f._0_at_the_end_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1.code,f._0_at_the_start_of_a_type_is_not_valid_TypeScript_syntax_Did_you_mean_to_write_1.code],Aa({errorCodes:ume,getCodeActions(e){const{sourceFile:t}=e,r=e.program.getTypeChecker(),i=tLe(t,e.span.start,r);if(!i)return;const{typeNode:o,type:s}=i,l=o.getText(t),u=[p(s,cme,f.Change_all_jsdoc_style_types_to_TypeScript)];return o.kind===321&&u.push(p(s,aQ,f.Change_all_jsdoc_style_types_to_TypeScript_and_add_undefined_to_nullable_types)),u;function p(h,m,b){const A=_r.ChangeTracker.with(e,I=>eLe(I,t,o,h,r));return ca("jdocTypes",A,[f.Change_0_to_1,l,r.typeToString(h)],m,b)}},fixIds:[cme,aQ],getAllCodeActions(e){const{fixId:t,program:r,sourceFile:i}=e,o=r.getTypeChecker();return Es(e,ume,(s,l)=>{const u=tLe(l.file,l.start,o);if(!u)return;const{typeNode:p,type:h}=u,m=p.kind===321&&t===aQ?o.getNullableType(h,32768):h;eLe(s,i,p,m,o)})}})}});function nLe(e,t,r){e.replaceNodeWithText(t,r,`${r.text}()`)}function rLe(e,t){const r=po(e,t);if(Fr(r.parent)){let i=r.parent;for(;Fr(i.parent);)i=i.parent;return i.name}if(Ve(r))return r}var sQ,dme,ctt=T({"src/services/codefixes/fixMissingCallParentheses.ts"(){si(),Ra(),sQ="fixMissingCallParentheses",dme=[f.This_condition_will_always_return_true_since_this_function_is_always_defined_Did_you_mean_to_call_it_instead.code],Aa({errorCodes:dme,fixIds:[sQ],getCodeActions(e){const{sourceFile:t,span:r}=e,i=rLe(t,r.start);if(!i)return;const o=_r.ChangeTracker.with(e,s=>nLe(s,e.sourceFile,i));return[ca(sQ,o,f.Add_missing_call_parentheses,sQ,f.Add_all_missing_call_parentheses)]},getAllCodeActions:e=>Es(e,dme,(t,r)=>{const i=rLe(r.file,r.start);i&&nLe(t,r.file,i)})})}});function utt(e){if(e.type)return e.type;if(wi(e.parent)&&e.parent.type&&Eh(e.parent.type))return e.parent.type.type}function iLe(e,t){const r=po(e,t),i=Gp(r);if(!i)return;let o;switch(i.kind){case 174:o=i.name;break;case 262:case 218:o=vs(i,100,e);break;case 219:const s=i.typeParameters?30:21;o=vs(i,s,e)||Ha(i.parameters);break;default:return}return o&&{insertBefore:o,returnType:utt(i)}}function oLe(e,t,{insertBefore:r,returnType:i}){if(i){const o=zL(i);(!o||o.kind!==80||o.text!=="Promise")&&e.replaceNode(t,i,w.createTypeReferenceNode("Promise",w.createNodeArray([i])))}e.insertModifierBefore(t,134,r)}var lQ,pme,dtt=T({"src/services/codefixes/fixAwaitInSyncFunction.ts"(){si(),Ra(),lQ="fixAwaitInSyncFunction",pme=[f.await_expressions_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,f.await_using_statements_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,f.for_await_loops_are_only_allowed_within_async_functions_and_at_the_top_levels_of_modules.code,f.Cannot_find_name_0_Did_you_mean_to_write_this_in_an_async_function.code],Aa({errorCodes:pme,getCodeActions(e){const{sourceFile:t,span:r}=e,i=iLe(t,r.start);if(!i)return;const o=_r.ChangeTracker.with(e,s=>oLe(s,t,i));return[ca(lQ,o,f.Add_async_modifier_to_containing_function,lQ,f.Add_all_missing_async_modifiers)]},fixIds:[lQ],getAllCodeActions:function(t){const r=new Map;return Es(t,pme,(i,o)=>{const s=iLe(o.file,o.start);!s||!Rm(r,as(s.insertBefore))||oLe(i,t.sourceFile,s)})}})}});function aLe(e,t,r,i,o){let s,l;if(i===f._0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property.code)s=t,l=t+r;else if(i===f._0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor.code){const u=o.program.getTypeChecker(),p=po(e,t).parent;N.assert(Oy(p),"error span of fixPropertyOverrideAccessor should only be on an accessor");const h=p.parent;N.assert(ui(h),"erroneous accessors should only be inside classes");const m=sh(Cme(h,u));if(!m)return[];const b=Vi(lR(p.name)),A=u.getPropertyOfType(u.getTypeAtLocation(m),b);if(!A||!A.valueDeclaration)return[];s=A.valueDeclaration.pos,l=A.valueDeclaration.end,e=jn(A.valueDeclaration)}else N.fail("fixPropertyOverrideAccessor codefix got unexpected error code "+i);return ELe(e,o.program,s,l,o,f.Generate_get_and_set_accessors.message)}var fme,cQ,ptt=T({"src/services/codefixes/fixPropertyOverrideAccessor.ts"(){si(),Ra(),fme=[f._0_is_defined_as_an_accessor_in_class_1_but_is_overridden_here_in_2_as_an_instance_property.code,f._0_is_defined_as_a_property_in_class_1_but_is_overridden_here_in_2_as_an_accessor.code],cQ="fixPropertyOverrideAccessor",Aa({errorCodes:fme,getCodeActions(e){const t=aLe(e.sourceFile,e.span.start,e.span.length,e.errorCode,e);if(t)return[ca(cQ,t,f.Generate_get_and_set_accessors,cQ,f.Generate_get_and_set_accessors_for_all_overriding_properties)]},fixIds:[cQ],getAllCodeActions:e=>Es(e,fme,(t,r)=>{const i=aLe(r.file,r.start,r.length,r.code,e);if(i)for(const o of i)t.pushRaw(e.sourceFile,o)})})}});function ftt(e,t){switch(e){case f.Parameter_0_implicitly_has_an_1_type.code:case f.Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code:return bp(Gp(t))?f.Infer_type_of_0_from_usage:f.Infer_parameter_types_from_usage;case f.Rest_parameter_0_implicitly_has_an_any_type.code:case f.Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage.code:return f.Infer_parameter_types_from_usage;case f.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation.code:return f.Infer_this_type_of_0_from_usage;default:return f.Infer_type_of_0_from_usage}}function mtt(e){switch(e){case f.Variable_0_implicitly_has_type_1_in_some_locations_but_a_better_type_may_be_inferred_from_usage.code:return f.Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined.code;case f.Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code:return f.Variable_0_implicitly_has_an_1_type.code;case f.Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code:return f.Parameter_0_implicitly_has_an_1_type.code;case f.Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage.code:return f.Rest_parameter_0_implicitly_has_an_any_type.code;case f.Property_0_implicitly_has_type_any_but_a_better_type_for_its_get_accessor_may_be_inferred_from_usage.code:return f.Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation.code;case f._0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage.code:return f._0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type.code;case f.Property_0_implicitly_has_type_any_but_a_better_type_for_its_set_accessor_may_be_inferred_from_usage.code:return f.Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation.code;case f.Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code:return f.Member_0_implicitly_has_an_1_type.code}return e}function sLe(e,t,r,i,o,s,l,u,p){if(!_C(r.kind)&&r.kind!==80&&r.kind!==26&&r.kind!==110)return;const{parent:h}=r,m=FI(t,o,p,u);switch(i=mtt(i),i){case f.Member_0_implicitly_has_an_1_type.code:case f.Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined.code:if(wi(h)&&l(h)||Jo(h)||yp(h))return lLe(e,m,t,h,o,u,s),m.writeFixes(e),h;if(Fr(h)){const I=QO(h.name,o,s),R=f2(I,h,o,u);if(R){const M=w.createJSDocTypeTag(void 0,w.createJSDocTypeExpression(R),void 0);e.addJSDocTags(t,aa(h.parent.parent,eu),[M])}return m.writeFixes(e),h}return;case f.Variable_0_implicitly_has_an_1_type.code:{const I=o.getTypeChecker().getSymbolAtLocation(r);return I&&I.valueDeclaration&&wi(I.valueDeclaration)&&l(I.valueDeclaration)?(lLe(e,m,jn(I.valueDeclaration),I.valueDeclaration,o,u,s),m.writeFixes(e),I.valueDeclaration):void 0}}const b=Gp(r);if(b===void 0)return;let A;switch(i){case f.Parameter_0_implicitly_has_an_1_type.code:if(bp(b)){cLe(e,m,t,b,o,u,s),A=b;break}case f.Rest_parameter_0_implicitly_has_an_any_type.code:if(l(b)){const I=aa(h,Ao);_tt(e,m,t,I,b,o,u,s),A=I}break;case f.Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation.code:case f._0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type.code:rf(b)&&Ve(b.name)&&(uQ(e,m,t,b,QO(b.name,o,s),o,u),A=b);break;case f.Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation.code:bp(b)&&(cLe(e,m,t,b,o,u,s),A=b);break;case f.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation.code:_r.isThisTypeAnnotatable(b)&&l(b)&&(htt(e,t,b,o,u,s),A=b);break;default:return N.fail(String(i))}return m.writeFixes(e),A}function lLe(e,t,r,i,o,s,l){Ve(i.name)&&uQ(e,t,r,i,QO(i.name,o,l),o,s)}function _tt(e,t,r,i,o,s,l,u){if(!Ve(i.name))return;const p=ytt(o,r,s,u);if(N.assert(o.parameters.length===p.length,"Parameter count and inference count should match"),lr(o))uLe(e,r,p,s,l);else{const h=Gs(o)&&!vs(o,21,r);h&&e.insertNodeBefore(r,Ha(o.parameters),w.createToken(21));for(const{declaration:m,type:b}of p)m&&!m.type&&!m.initializer&&uQ(e,t,r,m,b,s,l);h&&e.insertNodeAfter(r,Ya(o.parameters),w.createToken(22))}}function htt(e,t,r,i,o,s){const l=dLe(r,t,i,s);if(!l||!l.length)return;const u=_me(i,l,s).thisParameter(),p=f2(u,r,i,o);p&&(lr(r)?gtt(e,t,r,p):e.tryInsertThisTypeAnnotation(t,r,p))}function gtt(e,t,r,i){e.addJSDocTags(t,r,[w.createJSDocThisTag(void 0,w.createJSDocTypeExpression(i))])}function cLe(e,t,r,i,o,s,l){const u=Xc(i.parameters);if(u&&Ve(i.name)&&Ve(u.name)){let p=QO(i.name,o,l);p===o.getTypeChecker().getAnyType()&&(p=QO(u.name,o,l)),lr(i)?uLe(e,r,[{declaration:u,type:p}],o,s):uQ(e,t,r,u,p,o,s)}}function uQ(e,t,r,i,o,s,l){const u=f2(o,i,s,l);if(u)if(lr(r)&&i.kind!==171){const p=wi(i)?ii(i.parent.parent,Ll):i;if(!p)return;const h=w.createJSDocTypeExpression(u),m=rf(i)?w.createJSDocReturnTag(void 0,h,void 0):w.createJSDocTypeTag(void 0,h,void 0);e.addJSDocTags(r,p,[m])}else vtt(u,i,r,e,t,os(s.getCompilerOptions()))||e.tryInsertTypeAnnotation(r,i,u)}function vtt(e,t,r,i,o,s){const l=BI(e,s);return l&&i.tryInsertTypeAnnotation(r,t,l.typeNode)?(Ue(l.symbols,u=>o.addImportFromExportedSymbol(u,!0)),!0):!1}function uLe(e,t,r,i,o){const s=r.length&&r[0].declaration.parent;if(!s)return;const l=Hi(r,u=>{const p=u.declaration;if(p.initializer||p0(p)||!Ve(p.name))return;const h=u.type&&f2(u.type,p,i,o);if(h){const m=w.cloneNode(p.name);return pr(m,7168),{name:w.cloneNode(p.name),param:p,isOptional:!!u.isOptional,typeNode:h}}});if(l.length)if(Gs(s)||Os(s)){const u=Gs(s)&&!vs(s,21,t);u&&e.insertNodeBefore(t,Ha(s.parameters),w.createToken(21)),Ue(l,({typeNode:p,param:h})=>{const m=w.createJSDocTypeTag(void 0,w.createJSDocTypeExpression(p)),b=w.createJSDocComment(void 0,[m]);e.insertNodeAt(t,h.getStart(t),b,{suffix:" "})}),u&&e.insertNodeAfter(t,Ya(s.parameters),w.createToken(22))}else{const u=wt(l,({name:p,typeNode:h,isOptional:m})=>w.createJSDocParameterTag(void 0,p,!!m,w.createJSDocTypeExpression(h),!1,void 0));e.addJSDocTags(t,s,u)}}function mme(e,t,r){return Hi(Ws.getReferenceEntriesForNode(-1,e,t,t.getSourceFiles(),r),i=>i.kind!==Ws.EntryKind.Span?ii(i.node,Ve):void 0)}function QO(e,t,r){const i=mme(e,t,r);return _me(t,i,r).single()}function ytt(e,t,r,i){const o=dLe(e,t,r,i);return o&&_me(r,o,i).parameters(e)||e.parameters.map(s=>({declaration:s,type:Ve(s.name)?QO(s.name,r,i):r.getTypeChecker().getAnyType()}))}function dLe(e,t,r,i){let o;switch(e.kind){case 176:o=vs(e,137,t);break;case 219:case 218:const s=e.parent;o=(wi(s)||Jo(s))&&Ve(s.name)?s.name:e.name;break;case 262:case 174:case 173:o=e.name;break}if(o)return mme(o,r,i)}function _me(e,t,r){const i=e.getTypeChecker(),o={string:()=>i.getStringType(),number:()=>i.getNumberType(),Array:De=>i.createArrayType(De),Promise:De=>i.createPromiseType(De)},s=[i.getStringType(),i.getNumberType(),i.createArrayType(i.getAnyType()),i.createPromiseType(i.getAnyType())];return{single:p,parameters:h,thisParameter:m};function l(){return{isNumber:void 0,isString:void 0,isNumberOrString:void 0,candidateTypes:void 0,properties:void 0,calls:void 0,constructs:void 0,numberIndex:void 0,stringIndex:void 0,candidateThisTypes:void 0,inferredTypes:void 0}}function u(De){const Pe=new Map;for(const At of De)At.properties&&At.properties.forEach((Se,je)=>{Pe.has(je)||Pe.set(je,[]),Pe.get(je).push(Se)});const We=new Map;return Pe.forEach((At,Se)=>{We.set(Se,u(At))}),{isNumber:De.some(At=>At.isNumber),isString:De.some(At=>At.isString),isNumberOrString:De.some(At=>At.isNumberOrString),candidateTypes:Pi(De,At=>At.candidateTypes),properties:We,calls:Pi(De,At=>At.calls),constructs:Pi(De,At=>At.constructs),numberIndex:Ue(De,At=>At.numberIndex),stringIndex:Ue(De,At=>At.stringIndex),candidateThisTypes:Pi(De,At=>At.candidateThisTypes),inferredTypes:void 0}}function p(){return he(b(t))}function h(De){if(t.length===0||!De.parameters)return;const Pe=l();for(const At of t)r.throwIfCancellationRequested(),A(At,Pe);const We=[...Pe.constructs||[],...Pe.calls||[]];return De.parameters.map((At,Se)=>{const je=[],at=Zh(At);let Gt=!1;for(const $e of We)if($e.argumentTypes.length<=Se)Gt=lr(De),je.push(i.getUndefinedType());else if(at)for(let It=Se;It<$e.argumentTypes.length;It++)je.push(i.getBaseTypeOfLiteralType($e.argumentTypes[It]));else je.push(i.getBaseTypeOfLiteralType($e.argumentTypes[Se]));if(Ve(At.name)){const $e=b(mme(At.name,e,r));je.push(...at?Hi($e,i.getElementTypeOfArrayType):$e)}const vt=he(je);return{type:at?i.createArrayType(vt):vt,isOptional:Gt&&!at,declaration:At}})}function m(){const De=l();for(const Pe of t)r.throwIfCancellationRequested(),A(Pe,De);return he(De.candidateThisTypes||et)}function b(De){const Pe=l();for(const We of De)r.throwIfCancellationRequested(),A(We,Pe);return $(Pe)}function A(De,Pe){for(;VC(De);)De=De.parent;switch(De.parent.kind){case 244:R(De,Pe);break;case 225:Pe.isNumber=!0;break;case 224:M(De.parent,Pe);break;case 226:C(De,De.parent,Pe);break;case 296:case 297:O(De.parent,Pe);break;case 213:case 214:De.parent.expression===De?U(De.parent,Pe):I(De,Pe);break;case 211:K(De.parent,Pe);break;case 212:Z(De.parent,De,Pe);break;case 303:case 304:V(De.parent,Pe);break;case 172:se(De.parent,Pe);break;case 260:{const{name:We,initializer:At}=De.parent;if(De===We){At&&He(Pe,i.getTypeAtLocation(At));break}}default:return I(De,Pe)}}function I(De,Pe){ng(De)&&He(Pe,i.getContextualType(De))}function R(De,Pe){He(Pe,la(De)?i.getVoidType():i.getAnyType())}function M(De,Pe){switch(De.operator){case 46:case 47:case 41:case 55:Pe.isNumber=!0;break;case 40:Pe.isNumberOrString=!0;break}}function C(De,Pe,We){switch(Pe.operatorToken.kind){case 43:case 42:case 44:case 45:case 48:case 49:case 50:case 51:case 52:case 53:case 66:case 68:case 67:case 69:case 70:case 74:case 75:case 79:case 71:case 73:case 72:case 41:case 30:case 33:case 32:case 34:const At=i.getTypeAtLocation(Pe.left===De?Pe.right:Pe.left);At.flags&1056?He(We,At):We.isNumber=!0;break;case 65:case 40:const Se=i.getTypeAtLocation(Pe.left===De?Pe.right:Pe.left);Se.flags&1056?He(We,Se):Se.flags&296?We.isNumber=!0:Se.flags&402653316?We.isString=!0:Se.flags&1||(We.isNumberOrString=!0);break;case 64:case 35:case 37:case 38:case 36:case 77:case 78:case 76:He(We,i.getTypeAtLocation(Pe.left===De?Pe.right:Pe.left));break;case 103:De===Pe.left&&(We.isString=!0);break;case 57:case 61:De===Pe.left&&(De.parent.parent.kind===260||Lc(De.parent.parent,!0))&&He(We,i.getTypeAtLocation(Pe.right));break}}function O(De,Pe){He(Pe,i.getTypeAtLocation(De.parent.parent.expression))}function U(De,Pe){const We={argumentTypes:[],return_:l()};if(De.arguments)for(const At of De.arguments)We.argumentTypes.push(i.getTypeAtLocation(At));A(De,We.return_),De.kind===213?(Pe.calls||(Pe.calls=[])).push(We):(Pe.constructs||(Pe.constructs=[])).push(We)}function K(De,Pe){const We=hl(De.name.text);Pe.properties||(Pe.properties=new Map);const At=Pe.properties.get(We)||l();A(De,At),Pe.properties.set(We,At)}function Z(De,Pe,We){if(Pe===De.argumentExpression){We.isNumberOrString=!0;return}else{const At=i.getTypeAtLocation(De.argumentExpression),Se=l();A(De,Se),At.flags&296?We.numberIndex=Se:We.stringIndex=Se}}function V(De,Pe){const We=wi(De.parent.parent)?De.parent.parent:De.parent;Ee(Pe,i.getTypeAtLocation(We))}function se(De,Pe){Ee(Pe,i.getTypeAtLocation(De.parent))}function G(De,Pe){const We=[];for(const At of De)for(const{high:Se,low:je}of Pe)Se(At)&&(N.assert(!je(At),"Priority can't have both low and high"),We.push(je));return De.filter(At=>We.every(Se=>!Se(At)))}function ne(De){return he($(De))}function he(De){if(!De.length)return i.getAnyType();const Pe=i.getUnionType([i.getStringType(),i.getNumberType()]);let At=G(De,[{high:je=>je===i.getStringType()||je===i.getNumberType(),low:je=>je===Pe},{high:je=>!(je.flags&16385),low:je=>!!(je.flags&16385)},{high:je=>!(je.flags&114689)&&!(Wr(je)&16),low:je=>!!(Wr(je)&16)}]);const Se=At.filter(je=>Wr(je)&16);return Se.length&&(At=At.filter(je=>!(Wr(je)&16)),At.push(ye(Se))),i.getWidenedType(i.getUnionType(At.map(i.getBaseTypeOfLiteralType),2))}function ye(De){if(De.length===1)return De[0];const Pe=[],We=[],At=[],Se=[];let je=!1,at=!1;const Gt=Zp();for(const It of De){for(const Pn of i.getPropertiesOfType(It))Gt.add(Pn.escapedName,Pn.valueDeclaration?i.getTypeOfSymbolAtLocation(Pn,Pn.valueDeclaration):i.getAnyType());Pe.push(...i.getSignaturesOfType(It,0)),We.push(...i.getSignaturesOfType(It,1));const tn=i.getIndexInfoOfType(It,0);tn&&(At.push(tn.type),je=je||tn.isReadonly);const rn=i.getIndexInfoOfType(It,1);rn&&(Se.push(rn.type),at=at||rn.isReadonly)}const vt=Ane(Gt,(It,tn)=>{const rn=tn.length<De.length?16777216:0,Pn=i.createSymbol(4|rn,It);return Pn.links.type=i.getUnionType(tn),[It,Pn]}),$e=[];return At.length&&$e.push(i.createIndexInfo(i.getStringType(),i.getUnionType(At),je)),Se.length&&$e.push(i.createIndexInfo(i.getNumberType(),i.getUnionType(Se),at)),i.createAnonymousType(De[0].symbol,vt,Pe,We,$e)}function $(De){var Pe,We,At;const Se=[];De.isNumber&&Se.push(i.getNumberType()),De.isString&&Se.push(i.getStringType()),De.isNumberOrString&&Se.push(i.getUnionType([i.getStringType(),i.getNumberType()])),De.numberIndex&&Se.push(i.createArrayType(ne(De.numberIndex))),((Pe=De.properties)!=null&&Pe.size||(We=De.constructs)!=null&&We.length||De.stringIndex)&&Se.push(Y(De));const je=(De.candidateTypes||[]).map(Gt=>i.getBaseTypeOfLiteralType(Gt)),at=(At=De.calls)!=null&&At.length?Y(De):void 0;return at&&je?Se.push(i.getUnionType([at,...je],2)):(at&&Se.push(at),Me(je)&&Se.push(...je)),Se.push(...oe(De)),Se}function Y(De){const Pe=new Map;De.properties&&De.properties.forEach((je,at)=>{const Gt=i.createSymbol(4,at);Gt.links.type=ne(je),Pe.set(at,Gt)});const We=De.calls?[Le(De.calls)]:[],At=De.constructs?[Le(De.constructs)]:[],Se=De.stringIndex?[i.createIndexInfo(i.getStringType(),ne(De.stringIndex),!1)]:[];return i.createAnonymousType(void 0,Pe,We,At,Se)}function oe(De){if(!De.properties||!De.properties.size)return[];const Pe=s.filter(We=>fe(We,De));return 0<Pe.length&&Pe.length<3?Pe.map(We=>Ne(We,De)):[]}function fe(De,Pe){return Pe.properties?!Gc(Pe.properties,(We,At)=>{const Se=i.getTypeOfPropertyOfType(De,At);return Se?We.calls?!i.getSignaturesOfType(Se,0).length||!i.isTypeAssignableTo(Se,ve(We.calls)):!i.isTypeAssignableTo(Se,ne(We)):!0}):!1}function Ne(De,Pe){if(!(Wr(De)&4)||!Pe.properties)return De;const We=De.target,At=sh(We.typeParameters);if(!At)return De;const Se=[];return Pe.properties.forEach((je,at)=>{const Gt=i.getTypeOfPropertyOfType(We,at);N.assert(!!Gt,"generic should have all the properties of its reference."),Se.push(...pe(Gt,ne(je),At))}),o[De.symbol.escapedName](he(Se))}function pe(De,Pe,We){if(De===We)return[Pe];if(De.flags&3145728)return Pi(De.types,je=>pe(je,Pe,We));if(Wr(De)&4&&Wr(Pe)&4){const je=i.getTypeArguments(De),at=i.getTypeArguments(Pe),Gt=[];if(je&&at)for(let vt=0;vt<je.length;vt++)at[vt]&&Gt.push(...pe(je[vt],at[vt],We));return Gt}const At=i.getSignaturesOfType(De,0),Se=i.getSignaturesOfType(Pe,0);return At.length===1&&Se.length===1?ie(At[0],Se[0],We):[]}function ie(De,Pe,We){var At;const Se=[];for(let Gt=0;Gt<De.parameters.length;Gt++){const vt=De.parameters[Gt],$e=Pe.parameters[Gt],It=De.declaration&&Zh(De.declaration.parameters[Gt]);if(!$e)break;let tn=vt.valueDeclaration?i.getTypeOfSymbolAtLocation(vt,vt.valueDeclaration):i.getAnyType();const rn=It&&i.getElementTypeOfArrayType(tn);rn&&(tn=rn);const Pn=((At=ii($e,mh))==null?void 0:At.links.type)||($e.valueDeclaration?i.getTypeOfSymbolAtLocation($e,$e.valueDeclaration):i.getAnyType());Se.push(...pe(tn,Pn,We))}const je=i.getReturnTypeOfSignature(De),at=i.getReturnTypeOfSignature(Pe);return Se.push(...pe(je,at,We)),Se}function ve(De){return i.createAnonymousType(void 0,ua(),[Le(De)],et,et)}function Le(De){const Pe=[],We=Math.max(...De.map(Se=>Se.argumentTypes.length));for(let Se=0;Se<We;Se++){const je=i.createSymbol(1,hl(`arg${Se}`));je.links.type=he(De.map(at=>at.argumentTypes[Se]||i.getUndefinedType())),De.some(at=>at.argumentTypes[Se]===void 0)&&(je.flags|=16777216),Pe.push(je)}const At=ne(u(De.map(Se=>Se.return_)));return i.createSignature(void 0,void 0,void 0,Pe,At,void 0,We,0)}function He(De,Pe){Pe&&!(Pe.flags&1)&&!(Pe.flags&131072)&&(De.candidateTypes||(De.candidateTypes=[])).push(Pe)}function Ee(De,Pe){Pe&&!(Pe.flags&1)&&!(Pe.flags&131072)&&(De.candidateThisTypes||(De.candidateThisTypes=[])).push(Pe)}}var dQ,hme,btt=T({"src/services/codefixes/inferFromUsage.ts"(){si(),Ra(),dQ="inferFromUsage",hme=[f.Variable_0_implicitly_has_type_1_in_some_locations_where_its_type_cannot_be_determined.code,f.Variable_0_implicitly_has_an_1_type.code,f.Parameter_0_implicitly_has_an_1_type.code,f.Rest_parameter_0_implicitly_has_an_any_type.code,f.Property_0_implicitly_has_type_any_because_its_get_accessor_lacks_a_return_type_annotation.code,f._0_which_lacks_return_type_annotation_implicitly_has_an_1_return_type.code,f.Property_0_implicitly_has_type_any_because_its_set_accessor_lacks_a_parameter_type_annotation.code,f.Member_0_implicitly_has_an_1_type.code,f.Variable_0_implicitly_has_type_1_in_some_locations_but_a_better_type_may_be_inferred_from_usage.code,f.Variable_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code,f.Parameter_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code,f.Rest_parameter_0_implicitly_has_an_any_type_but_a_better_type_may_be_inferred_from_usage.code,f.Property_0_implicitly_has_type_any_but_a_better_type_for_its_get_accessor_may_be_inferred_from_usage.code,f._0_implicitly_has_an_1_return_type_but_a_better_type_may_be_inferred_from_usage.code,f.Property_0_implicitly_has_type_any_but_a_better_type_for_its_set_accessor_may_be_inferred_from_usage.code,f.Member_0_implicitly_has_an_1_type_but_a_better_type_may_be_inferred_from_usage.code,f.this_implicitly_has_type_any_because_it_does_not_have_a_type_annotation.code],Aa({errorCodes:hme,getCodeActions(e){const{sourceFile:t,program:r,span:{start:i},errorCode:o,cancellationToken:s,host:l,preferences:u}=e,p=po(t,i);let h;const m=_r.ChangeTracker.with(e,A=>{h=sLe(A,t,p,o,r,s,Pv,l,u)}),b=h&&Mo(h);return!b||m.length===0?void 0:[ca(dQ,m,[ftt(o,p),fc(b)],dQ,f.Infer_all_types_from_usage)]},fixIds:[dQ],getAllCodeActions(e){const{sourceFile:t,program:r,cancellationToken:i,host:o,preferences:s}=e,l=PI();return Es(e,hme,(u,p)=>{sLe(u,t,po(p.file,p.start),p.code,r,i,l,o,s)})}})}});function pLe(e,t,r){if(lr(e))return;const i=po(e,r),o=Gn(i,Bs),s=o==null?void 0:o.type;if(!s)return;const l=t.getTypeFromTypeNode(s),u=t.getAwaitedType(l)||t.getVoidType(),p=t.typeToTypeNode(u,s,void 0);if(p)return{returnTypeNode:s,returnType:l,promisedTypeNode:p,promisedType:u}}function fLe(e,t,r,i){e.replaceNode(t,r,w.createTypeReferenceNode("Promise",[i]))}var pQ,gme,Ett=T({"src/services/codefixes/fixReturnTypeInAsyncFunction.ts"(){si(),Ra(),pQ="fixReturnTypeInAsyncFunction",gme=[f.The_return_type_of_an_async_function_or_method_must_be_the_global_Promise_T_type_Did_you_mean_to_write_Promise_0.code],Aa({errorCodes:gme,fixIds:[pQ],getCodeActions:function(t){const{sourceFile:r,program:i,span:o}=t,s=i.getTypeChecker(),l=pLe(r,i.getTypeChecker(),o.start);if(!l)return;const{returnTypeNode:u,returnType:p,promisedTypeNode:h,promisedType:m}=l,b=_r.ChangeTracker.with(t,A=>fLe(A,r,u,h));return[ca(pQ,b,[f.Replace_0_with_Promise_1,s.typeToString(p),s.typeToString(m)],pQ,f.Fix_all_incorrect_return_type_of_an_async_functions)]},getAllCodeActions:e=>Es(e,gme,(t,r)=>{const i=pLe(r.file,e.program.getTypeChecker(),r.start);i&&fLe(t,r.file,i.returnTypeNode,i.promisedTypeNode)})})}});function mLe(e,t,r,i){const{line:o}=bs(t,r);(!i||Rv(i,o))&&e.insertCommentBeforeLine(t,o,r," @ts-ignore")}var vme,yme,bme,Stt=T({"src/services/codefixes/disableJsDiagnostics.ts"(){si(),Ra(),vme="disableJsDiagnostics",yme="disableJsDiagnostics",bme=Hi(Object.keys(f),e=>{const t=f[e];return t.category===1?t.code:void 0}),Aa({errorCodes:bme,getCodeActions:function(t){const{sourceFile:r,program:i,span:o,host:s,formatContext:l}=t;if(!lr(r)||!Ak(r,i.getCompilerOptions()))return;const u=r.checkJsDirective?"":ty(s,l.options),p=[o_(vme,[hPe(r.fileName,[fO(r.checkJsDirective?pc(r.checkJsDirective.pos,r.checkJsDirective.end):vu(0,0),`// @ts-nocheck${u}`)])],f.Disable_checking_for_this_file)];return _r.isValidLocationToAddComment(r,o.start)&&p.unshift(ca(vme,_r.ChangeTracker.with(t,h=>mLe(h,r,o.start)),f.Ignore_this_error_message,yme,f.Add_ts_ignore_to_all_error_messages)),p},fixIds:[yme],getAllCodeActions:e=>{const t=new Set;return Es(e,bme,(r,i)=>{_r.isValidLocationToAddComment(i.file,i.start)&&mLe(r,i.file,i.start,t)})}})}});function Eme(e,t,r,i,o,s,l){const u=e.symbol.members;for(const p of t)u.has(p.escapedName)||_Le(p,e,r,i,o,s,l,void 0)}function VD(e){return{trackSymbol:()=>!1,moduleResolverHost:vX(e.program,e.host)}}function _Le(e,t,r,i,o,s,l,u,p=3,h=!1){const m=e.getDeclarations(),b=Xc(m),A=i.program.getTypeChecker(),I=os(i.program.getCompilerOptions()),R=(b==null?void 0:b.kind)??171,M=oe(e,b),C=b?pd(b):0;let O=C&256;O|=C&1?1:C&4?4:0,b&&Wd(b)&&(O|=512);const U=ne(),K=A.getWidenedType(A.getTypeOfSymbolAtLocation(e,t)),Z=!!(e.flags&16777216),V=!!(t.flags&33554432)||h,se=uf(r,o);switch(R){case 171:case 172:const fe=se===0?268435456:void 0;let Ne=A.typeToTypeNode(K,t,fe,VD(i));if(s){const ie=BI(Ne,I);ie&&(Ne=ie.typeNode,jD(s,ie.symbols))}l(w.createPropertyDeclaration(U,b?ye(M):e.getName(),Z&&p&2?w.createToken(58):void 0,Ne,void 0));break;case 177:case 178:{N.assertIsDefined(m);let ie=A.typeToTypeNode(K,t,void 0,VD(i));const ve=wS(m,b),Le=ve.secondAccessor?[ve.firstAccessor,ve.secondAccessor]:[ve.firstAccessor];if(s){const He=BI(ie,I);He&&(ie=He.typeNode,jD(s,He.symbols))}for(const He of Le)if(rf(He))l(w.createGetAccessorDeclaration(U,ye(M),et,Y(ie),$(u,se,V)));else{N.assertNode(He,bp,"The counterpart to a getter should be a setter");const Ee=FC(He),De=Ee&&Ve(Ee.name)?Sr(Ee.name):void 0;l(w.createSetAccessorDeclaration(U,ye(M),Tme(1,[De],[Y(ie)],1,!1),$(u,se,V)))}break}case 173:case 174:N.assertIsDefined(m);const pe=K.isUnion()?Pi(K.types,ie=>ie.getCallSignatures()):K.getCallSignatures();if(!bt(pe))break;if(m.length===1){N.assert(pe.length===1,"One declaration implies one signature");const ie=pe[0];G(se,ie,U,ye(M),$(u,se,V));break}for(const ie of pe)G(se,ie,U,ye(M));if(!V)if(m.length>pe.length){const ie=A.getSignatureFromDeclaration(m[m.length-1]);G(se,ie,U,ye(M),$(u,se))}else N.assert(m.length===pe.length,"Declarations and signatures should match count"),l(Itt(A,i,t,pe,ye(M),Z&&!!(p&1),U,se,u));break}function G(fe,Ne,pe,ie,ve){const Le=fQ(174,i,fe,Ne,ve,ie,pe,Z&&!!(p&1),t,s);Le&&l(Le)}function ne(){let fe;return O&&(fe=Wx(fe,w.createModifiersFromModifierFlags(O))),he()&&(fe=xn(fe,w.createToken(164))),fe&&w.createNodeArray(fe)}function he(){return!!(i.program.getCompilerOptions().noImplicitOverride&&b&&XE(b))}function ye(fe){return Ve(fe)&&fe.escapedText==="constructor"?w.createComputedPropertyName(w.createStringLiteral(Sr(fe),se===0)):cl(fe,!1)}function $(fe,Ne,pe){return pe?void 0:cl(fe,!1)||Ame(Ne)}function Y(fe){return cl(fe,!1)}function oe(fe,Ne){if(xl(fe)&262144){const pe=fe.links.nameType;if(pe&&rm(pe))return w.createIdentifier(Vi(im(pe)))}return cl(Mo(Ne),!1)}}function fQ(e,t,r,i,o,s,l,u,p,h){const m=t.program,b=m.getTypeChecker(),A=os(m.getCompilerOptions()),I=lr(p),R=524545|(r===0?268435456:0),M=b.signatureToSignatureDeclaration(i,e,p,R,VD(t));if(!M)return;let C=I?void 0:M.typeParameters,O=M.parameters,U=I?void 0:M.type;if(h){if(C){const se=eo(C,G=>{let ne=G.constraint,he=G.default;if(ne){const ye=BI(ne,A);ye&&(ne=ye.typeNode,jD(h,ye.symbols))}if(he){const ye=BI(he,A);ye&&(he=ye.typeNode,jD(h,ye.symbols))}return w.updateTypeParameterDeclaration(G,G.modifiers,G.name,ne,he)});C!==se&&(C=dt(w.createNodeArray(se,C.hasTrailingComma),C))}const V=eo(O,se=>{let G=I?void 0:se.type;if(G){const ne=BI(G,A);ne&&(G=ne.typeNode,jD(h,ne.symbols))}return w.updateParameterDeclaration(se,se.modifiers,se.dotDotDotToken,se.name,I?void 0:se.questionToken,G,se.initializer)});if(O!==V&&(O=dt(w.createNodeArray(V,O.hasTrailingComma),O)),U){const se=BI(U,A);se&&(U=se.typeNode,jD(h,se.symbols))}}const K=u?w.createToken(58):void 0,Z=M.asteriskToken;if(Os(M))return w.updateFunctionExpression(M,l,M.asteriskToken,ii(s,Ve),C,O,U,o??M.body);if(Gs(M))return w.updateArrowFunction(M,l,C,O,U,M.equalsGreaterThanToken,o??M.body);if(ql(M))return w.updateMethodDeclaration(M,l,Z,s??w.createIdentifier(""),K,C,O,U,o);if(Ac(M))return w.updateFunctionDeclaration(M,l,M.asteriskToken,ii(s,Ve),C,O,U,o??M.body)}function Sme(e,t,r,i,o,s,l){const u=uf(t.sourceFile,t.preferences),p=os(t.program.getCompilerOptions()),h=VD(t),m=t.program.getTypeChecker(),b=lr(l),{typeArguments:A,arguments:I,parent:R}=i,M=b?void 0:m.getContextualType(i),C=wt(I,he=>Ve(he)?he.text:Fr(he)&&Ve(he.name)?he.name.text:void 0),O=b?[]:wt(I,he=>m.getTypeAtLocation(he)),{argumentTypeNodes:U,argumentTypeParameters:K}=vLe(m,r,O,l,p,1,h),Z=s?w.createNodeArray(w.createModifiersFromModifierFlags(s)):void 0,V=iF(R)?w.createToken(42):void 0,se=b?void 0:Ttt(m,K,A),G=Tme(I.length,C,U,void 0,b),ne=b||M===void 0?void 0:m.typeToTypeNode(M,l,void 0,h);switch(e){case 174:return w.createMethodDeclaration(Z,V,o,void 0,se,G,ne,Ame(u));case 173:return w.createMethodSignature(Z,o,void 0,se,G,ne===void 0?w.createKeywordTypeNode(159):ne);case 262:return N.assert(typeof o=="string"||Ve(o),"Unexpected name"),w.createFunctionDeclaration(Z,V,o,se,G,ne,xz(f.Function_not_implemented.message,u));default:N.fail("Unexpected kind")}}function Ttt(e,t,r){const i=new Set(t.map(s=>s[0])),o=new Map(t);if(r){const s=r.filter(u=>!t.some(p=>{var h;return e.getTypeAtLocation(u)===((h=p[1])==null?void 0:h.argumentType)})),l=i.size+s.length;for(let u=0;i.size<l;u+=1)i.add(hLe(u))}return zo(i.values(),s=>{var l;return w.createTypeParameterDeclaration(void 0,s,(l=o.get(s))==null?void 0:l.constraint)})}function hLe(e){return 84+e<=90?String.fromCharCode(84+e):`T${e}`}function mQ(e,t,r,i,o,s,l){let u=e.typeToTypeNode(r,i,s,l);if(u&&ug(u)){const p=BI(u,o);p&&(jD(t,p.symbols),u=p.typeNode)}return cl(u)}function gLe(e){return e.isUnionOrIntersection()?e.types.some(gLe):e.flags&262144}function vLe(e,t,r,i,o,s,l){const u=[],p=new Map;for(let h=0;h<r.length;h+=1){const m=r[h];if(m.isUnionOrIntersection()&&m.types.some(gLe)){const M=hLe(h);u.push(w.createTypeReferenceNode(M)),p.set(M,void 0);continue}const b=e.getBaseTypeOfLiteralType(m),A=mQ(e,t,b,i,o,s,l);if(!A)continue;u.push(A);const I=yLe(m),R=m.isTypeParameter()&&m.constraint&&!Att(m.constraint)?mQ(e,t,m.constraint,i,o,s,l):void 0;I&&p.set(I,{argumentType:m,constraint:R})}return{argumentTypeNodes:u,argumentTypeParameters:zo(p.entries())}}function Att(e){return e.flags&524288&&e.objectFlags===16}function yLe(e){var t;if(e.flags&3145728)for(const r of e.types){const i=yLe(r);if(i)return i}return e.flags&262144?(t=e.getSymbol())==null?void 0:t.getName():void 0}function Tme(e,t,r,i,o){const s=[],l=new Map;for(let u=0;u<e;u++){const p=(t==null?void 0:t[u])||`arg${u}`,h=l.get(p);l.set(p,(h||0)+1);const m=w.createParameterDeclaration(void 0,void 0,p+(h||""),i!==void 0&&u>=i?w.createToken(58):void 0,o?void 0:(r==null?void 0:r[u])||w.createKeywordTypeNode(159),void 0);s.push(m)}return s}function Itt(e,t,r,i,o,s,l,u,p){let h=i[0],m=i[0].minArgumentCount,b=!1;for(const M of i)m=Math.min(M.minArgumentCount,m),Yu(M)&&(b=!0),M.parameters.length>=h.parameters.length&&(!Yu(M)||Yu(h))&&(h=M);const A=h.parameters.length-(Yu(h)?1:0),I=h.parameters.map(M=>M.name),R=Tme(A,I,void 0,m,!1);if(b){const M=w.createParameterDeclaration(void 0,w.createToken(26),I[A]||"rest",A>=m?w.createToken(58):void 0,w.createArrayTypeNode(w.createKeywordTypeNode(159)),void 0);R.push(M)}return Rtt(l,o,s,void 0,R,xtt(i,e,t,r),u,p)}function xtt(e,t,r,i){if(Me(e)){const o=t.getUnionType(wt(e,t.getReturnTypeOfSignature));return t.typeToTypeNode(o,i,1,VD(r))}}function Rtt(e,t,r,i,o,s,l,u){return w.createMethodDeclaration(e,void 0,t,r?w.createToken(58):void 0,i,o,s,u||Ame(l))}function Ame(e){return xz(f.Method_not_implemented.message,e)}function xz(e,t){return w.createBlock([w.createThrowStatement(w.createNewExpression(w.createIdentifier("Error"),void 0,[w.createStringLiteral(e,t===0)]))],!0)}function Ime(e,t,r){const i=AC(t);if(!i)return;const o=Rme(i,"compilerOptions");if(o===void 0){e.insertNodeAtObjectStart(t,i,_Q("compilerOptions",w.createObjectLiteralExpression(r.map(([l,u])=>_Q(l,u)),!0)));return}const s=o.initializer;if(Oa(s))for(const[l,u]of r){const p=Rme(s,l);p===void 0?e.insertNodeAtObjectStart(t,s,_Q(l,u)):e.replaceNode(t,p.initializer,u)}}function xme(e,t,r,i){Ime(e,t,[[r,i]])}function _Q(e,t){return w.createPropertyAssignment(w.createStringLiteral(e),t)}function Rme(e,t){return An(e.properties,r=>hc(r)&&!!r.name&&Ma(r.name)&&r.name.text===t)}function BI(e,t){let r;const i=nt(e,o,ji);if(r&&i)return{typeNode:i,symbols:r};function o(s){if(Vy(s)&&s.qualifier){const l=Vp(s.qualifier),u=M5(l.symbol,t),p=u!==l.text?bLe(s.qualifier,w.createIdentifier(u)):s.qualifier;r=xn(r,l.symbol);const h=Vn(s.typeArguments,o,ji);return w.createTypeReferenceNode(p,h)}return In(s,o,void 0)}}function bLe(e,t){return e.kind===80?t:w.createQualifiedName(bLe(e.left,t),e.right)}function jD(e,t){t.forEach(r=>e.addImportFromExportedSymbol(r,!0))}function Dme(e,t){const r=Kl(t);let i=po(e,t.start);for(;i.end<r;)i=i.parent;return i}var Nme,Dtt=T({"src/services/codefixes/helpers.ts"(){si(),Nme=(e=>(e[e.Method=1]="Method",e[e.Property=2]="Property",e[e.All=3]="All",e))(Nme||{})}});function ELe(e,t,r,i,o,s){const l=ALe(e,t,r,i);if(!l||wI.isRefactorErrorInfo(l))return;const u=_r.ChangeTracker.fromContext(o),{isStatic:p,isReadonly:h,fieldName:m,accessorName:b,originalName:A,type:I,container:R,declaration:M}=l;Ap(m),Ap(b),Ap(M),Ap(R);let C,O;if(ui(R)){const K=pd(M);if(dd(e)){const Z=w.createModifiersFromModifierFlags(K);C=Z,O=Z}else C=w.createModifiersFromModifierFlags(Ptt(K)),O=w.createModifiersFromModifierFlags(Mtt(K));QS(M)&&(O=So(Ly(M),O))}Wtt(u,e,M,I,m,O);const U=Ltt(m,b,I,C,p,R);if(Ap(U),ILe(u,e,U,M,R),h){const K=ag(R);K&&Ftt(u,e,K,m.text,A)}else{const K=ktt(m,b,I,C,p,R);Ap(K),ILe(u,e,K,M,R)}return u.getChanges()}function Ntt(e){return Ve(e)||Ma(e)}function Ctt(e){return mp(e,e.parent)||Jo(e)||hc(e)}function SLe(e,t){return Ve(t)?w.createIdentifier(e):w.createStringLiteral(e)}function TLe(e,t,r){const i=t?r.name:w.createThis();return Ve(e)?w.createPropertyAccessExpression(i,e):w.createElementAccessExpression(i,w.createStringLiteralFromNode(e))}function Ptt(e){return e&=-9,e&=-3,e&4||(e|=1),e}function Mtt(e){return e&=-2,e&=-5,e|=2,e}function ALe(e,t,r,i,o=!0){const s=po(e,r),l=r===i&&o,u=Gn(s.parent,Ctt),p=271;if(!u||!(t5(u.name,e,r,i)||l))return{error:Wo(f.Could_not_find_property_for_which_to_generate_accessor)};if(!Ntt(u.name))return{error:Wo(f.Name_is_not_valid)};if((pd(u)&98303|p)!==p)return{error:Wo(f.Can_only_convert_property_with_modifier)};const h=u.name.text,m=jX(h),b=SLe(m?h:cT(`_${h}`,e),u.name),A=SLe(m?cT(h.substring(1),e):h,u.name);return{isStatic:mc(u),isReadonly:zC(u),type:ztt(u,t),container:u.kind===169?u.parent.parent:u.parent,originalName:u.name.text,declaration:u,fieldName:b,accessorName:A,renameAccessor:m}}function Ltt(e,t,r,i,o,s){return w.createGetAccessorDeclaration(i,t,[],r,w.createBlock([w.createReturnStatement(TLe(e,o,s))],!0))}function ktt(e,t,r,i,o,s){return w.createSetAccessorDeclaration(i,t,[w.createParameterDeclaration(void 0,void 0,w.createIdentifier("value"),void 0,r)],w.createBlock([w.createExpressionStatement(w.createAssignment(TLe(e,o,s),w.createIdentifier("value")))],!0))}function wtt(e,t,r,i,o,s){const l=w.updatePropertyDeclaration(r,s,o,r.questionToken||r.exclamationToken,i,r.initializer);e.replaceNode(t,r,l)}function Ott(e,t,r,i){let o=w.updatePropertyAssignment(r,i,r.initializer);(o.modifiers||o.questionToken||o.exclamationToken)&&(o===r&&(o=w.cloneNode(o)),o.modifiers=void 0,o.questionToken=void 0,o.exclamationToken=void 0),e.replacePropertyAssignment(t,r,o)}function Wtt(e,t,r,i,o,s){Jo(r)?wtt(e,t,r,i,o,s):hc(r)?Ott(e,t,r,o):e.replaceNode(t,r,w.updateParameterDeclaration(r,s,r.dotDotDotToken,aa(o,Ve),r.questionToken,r.type,r.initializer))}function ILe(e,t,r,i,o){mp(i,i.parent)?e.insertMemberAtStart(t,o,r):hc(i)?e.insertNodeAfterComma(t,i,r):e.insertNodeAfter(t,i,r)}function Ftt(e,t,r,i,o){r.body&&r.body.forEachChild(function s(l){Qs(l)&&l.expression.kind===110&&Ma(l.argumentExpression)&&l.argumentExpression.text===o&&HA(l)&&e.replaceNode(t,l.argumentExpression,w.createStringLiteral(i)),Fr(l)&&l.expression.kind===110&&l.name.text===o&&HA(l)&&e.replaceNode(t,l.name,w.createIdentifier(i)),!ea(l)&&!ui(l)&&l.forEachChild(s)})}function ztt(e,t){const r=ooe(e);if(Jo(e)&&r&&e.questionToken){const i=t.getTypeChecker(),o=i.getTypeFromTypeNode(r);if(!i.isTypeAssignableTo(i.getUndefinedType(),o)){const s=Qy(r)?r.types:[r];return w.createUnionTypeNode([...s,w.createKeywordTypeNode(157)])}}return r}function Cme(e,t){const r=[];for(;e;){const i=UE(e),o=i&&t.getSymbolAtLocation(i.expression);if(!o)break;const s=o.flags&2097152?t.getAliasedSymbol(o):o,l=s.declarations&&An(s.declarations,ui);if(!l)break;r.push(l),e=l}return r}var Btt=T({"src/services/codefixes/generateAccessors.ts"(){si()}});function Gtt(e,t){const r=jn(t),i=bR(t),o=e.program.getCompilerOptions(),s=[];return s.push(xLe(e,r,t,ey(i.name,void 0,t.moduleSpecifier,uf(r,e.preferences)))),wu(o)===1&&s.push(xLe(e,r,t,w.createImportEqualsDeclaration(void 0,!1,i.name,w.createExternalModuleReference(t.moduleSpecifier)))),s}function xLe(e,t,r,i){const o=_r.ChangeTracker.with(e,s=>s.replaceNode(t,r,i));return o_(Pme,o,[f.Replace_import_with_0,o[0].textChanges[0].newText])}function Vtt(e){const t=e.sourceFile,r=f.This_expression_is_not_callable.code===e.errorCode?213:214,i=Gn(po(t,e.span.start),s=>s.kind===r);if(!i)return[];const o=i.expression;return RLe(e,o)}function jtt(e){const t=e.sourceFile,r=Gn(po(t,e.span.start),i=>i.getStart()===e.span.start&&i.getEnd()===e.span.start+e.span.length);return r?RLe(e,r):[]}function RLe(e,t){const r=e.program.getTypeChecker().getTypeAtLocation(t);if(!(r.symbol&&mh(r.symbol)&&r.symbol.links.originatingImport))return[];const i=[],o=r.symbol.links.originatingImport;if(Bp(o)||Jr(i,Gtt(e,o)),yt(t)&&!(ld(t.parent)&&t.parent.name===t)){const s=e.sourceFile,l=_r.ChangeTracker.with(e,u=>u.replaceNode(s,t,w.createPropertyAccessExpression(t,"default"),{}));i.push(o_(Pme,l,f.Use_synthetic_default_member))}return i}var Pme,Utt=T({"src/services/codefixes/fixInvalidImportSyntax.ts"(){si(),Ra(),Pme="invalidImportSyntax",Aa({errorCodes:[f.This_expression_is_not_callable.code,f.This_expression_is_not_constructable.code],getCodeActions:Vtt}),Aa({errorCodes:[f.Argument_of_type_0_is_not_assignable_to_parameter_of_type_1.code,f.Type_0_does_not_satisfy_the_constraint_1.code,f.Type_0_is_not_assignable_to_type_1.code,f.Type_0_is_not_assignable_to_type_1_Two_different_types_with_this_name_exist_but_they_are_unrelated.code,f.Type_predicate_0_is_not_assignable_to_1.code,f.Property_0_of_type_1_is_not_assignable_to_2_index_type_3.code,f._0_index_type_1_is_not_assignable_to_2_index_type_3.code,f.Property_0_in_type_1_is_not_assignable_to_the_same_property_in_base_type_2.code,f.Property_0_in_type_1_is_not_assignable_to_type_2.code,f.Property_0_of_JSX_spread_attribute_is_not_assignable_to_target_property.code,f.The_this_context_of_type_0_is_not_assignable_to_method_s_this_of_type_1.code],getCodeActions:jtt})}});function DLe(e,t){const r=po(e,t);if(Ve(r)&&Jo(r.parent)){const i=yu(r.parent);if(i)return{type:i,prop:r.parent,isJs:lr(r.parent)}}}function Htt(e,t){if(t.isJs)return;const r=_r.ChangeTracker.with(e,i=>NLe(i,e.sourceFile,t.prop));return ca(hQ,r,[f.Add_definite_assignment_assertion_to_property_0,t.prop.getText()],gQ,f.Add_definite_assignment_assertions_to_all_uninitialized_properties)}function NLe(e,t,r){Ap(r);const i=w.updatePropertyDeclaration(r,r.modifiers,r.name,w.createToken(54),r.type,r.initializer);e.replaceNode(t,r,i)}function qtt(e,t){const r=_r.ChangeTracker.with(e,i=>CLe(i,e.sourceFile,t));return ca(hQ,r,[f.Add_undefined_type_to_property_0,t.prop.name.getText()],vQ,f.Add_undefined_type_to_all_uninitialized_properties)}function CLe(e,t,r){const i=w.createKeywordTypeNode(157),o=Qy(r.type)?r.type.types.concat(i):[r.type,i],s=w.createUnionTypeNode(o);r.isJs?e.addJSDocTags(t,r.prop,[w.createJSDocTypeTag(void 0,w.createJSDocTypeExpression(s))]):e.replaceNode(t,r.type,s)}function Jtt(e,t){if(t.isJs)return;const r=e.program.getTypeChecker(),i=MLe(r,t.prop);if(!i)return;const o=_r.ChangeTracker.with(e,s=>PLe(s,e.sourceFile,t.prop,i));return ca(hQ,o,[f.Add_initializer_to_property_0,t.prop.name.getText()],yQ,f.Add_initializers_to_all_uninitialized_properties)}function PLe(e,t,r,i){Ap(r);const o=w.updatePropertyDeclaration(r,r.modifiers,r.name,r.questionToken,r.type,i);e.replaceNode(t,r,o)}function MLe(e,t){return LLe(e,e.getTypeFromTypeNode(t.type))}function LLe(e,t){if(t.flags&512)return t===e.getFalseType()||t===e.getFalseType(!0)?w.createFalse():w.createTrue();if(t.isStringLiteral())return w.createStringLiteral(t.value);if(t.isNumberLiteral())return w.createNumericLiteral(t.value);if(t.flags&2048)return w.createBigIntLiteral(t.value);if(t.isUnion())return Ut(t.types,r=>LLe(e,r));if(t.isClass()){const r=Vg(t.symbol);if(!r||Zr(r,64))return;const i=ag(r);return i&&i.parameters.length?void 0:w.createNewExpression(w.createIdentifier(t.symbol.name),void 0,void 0)}else if(e.isArrayLikeType(t))return w.createArrayLiteralExpression()}var hQ,gQ,vQ,yQ,Mme,Ktt=T({"src/services/codefixes/fixStrictClassInitialization.ts"(){si(),Ra(),hQ="strictClassInitialization",gQ="addMissingPropertyDefiniteAssignmentAssertions",vQ="addMissingPropertyUndefinedType",yQ="addMissingPropertyInitializer",Mme=[f.Property_0_has_no_initializer_and_is_not_definitely_assigned_in_the_constructor.code],Aa({errorCodes:Mme,getCodeActions:function(t){const r=DLe(t.sourceFile,t.span.start);if(!r)return;const i=[];return xn(i,qtt(t,r)),xn(i,Htt(t,r)),xn(i,Jtt(t,r)),i},fixIds:[gQ,vQ,yQ],getAllCodeActions:e=>Es(e,Mme,(t,r)=>{const i=DLe(r.file,r.start);if(i)switch(e.fixId){case gQ:NLe(t,r.file,i.prop);break;case vQ:CLe(t,r.file,i);break;case yQ:const o=e.program.getTypeChecker(),s=MLe(o,i.prop);if(!s)return;PLe(t,r.file,i.prop,s);break;default:N.fail(JSON.stringify(e.fixId))}})})}});function kLe(e,t,r){const{allowSyntheticDefaults:i,defaultImportName:o,namedImports:s,statement:l,required:u}=r;e.replaceNode(t,l,o&&!i?w.createImportEqualsDeclaration(void 0,!1,o,w.createExternalModuleReference(u)):w.createImportDeclaration(void 0,w.createImportClause(!1,o,s),u,void 0))}function wLe(e,t,r){const{parent:i}=po(e,r);Ad(i,!0)||N.failBadSyntaxKind(i);const o=aa(i.parent,wi),s=ii(o.name,Ve),l=am(o.name)?Xtt(o.name):void 0;if(s||l)return{allowSyntheticDefaults:FS(t.getCompilerOptions()),defaultImportName:s,namedImports:l,statement:aa(o.parent.parent,Ll),required:Ha(i.arguments)}}function Xtt(e){const t=[];for(const r of e.elements){if(!Ve(r.name)||r.initializer)return;t.push(w.createImportSpecifier(!1,ii(r.propertyName,Ve),r.name))}if(t.length)return w.createNamedImports(t)}var bQ,Lme,Ytt=T({"src/services/codefixes/requireInTs.ts"(){si(),Ra(),bQ="requireInTs",Lme=[f.require_call_may_be_converted_to_an_import.code],Aa({errorCodes:Lme,getCodeActions(e){const t=wLe(e.sourceFile,e.program,e.span.start);if(!t)return;const r=_r.ChangeTracker.with(e,i=>kLe(i,e.sourceFile,t));return[ca(bQ,r,f.Convert_require_to_import,bQ,f.Convert_all_require_to_import)]},fixIds:[bQ],getAllCodeActions:e=>Es(e,Lme,(t,r)=>{const i=wLe(r.file,e.program,r.start);i&&kLe(t,e.sourceFile,i)})})}});function OLe(e,t){const r=po(e,t);if(!Ve(r))return;const{parent:i}=r;if(tu(i)&&Ah(i.moduleReference))return{importNode:i,name:r,moduleSpecifier:i.moduleReference.expression};if(nb(i)){const o=i.parent.parent;return{importNode:o,name:r,moduleSpecifier:o.moduleSpecifier}}}function WLe(e,t,r,i){e.replaceNode(t,r.importNode,ey(r.name,void 0,r.moduleSpecifier,uf(t,i)))}var EQ,kme,$tt=T({"src/services/codefixes/useDefaultImport.ts"(){si(),Ra(),EQ="useDefaultImport",kme=[f.Import_may_be_converted_to_a_default_import.code],Aa({errorCodes:kme,getCodeActions(e){const{sourceFile:t,span:{start:r}}=e,i=OLe(t,r);if(!i)return;const o=_r.ChangeTracker.with(e,s=>WLe(s,t,i,e.preferences));return[ca(EQ,o,f.Convert_to_default_import,EQ,f.Convert_all_to_default_imports)]},fixIds:[EQ],getAllCodeActions:e=>Es(e,kme,(t,r)=>{const i=OLe(r.file,r.start);i&&WLe(t,r.file,i,e.preferences)})})}});function FLe(e,t,r){const i=ii(po(t,r.start),vp);if(!i)return;const o=i.getText(t)+"n";e.replaceNode(t,i,w.createBigIntLiteral(o))}var SQ,wme,Qtt=T({"src/services/codefixes/useBigintLiteral.ts"(){si(),Ra(),SQ="useBigintLiteral",wme=[f.Numeric_literals_with_absolute_values_equal_to_2_53_or_greater_are_too_large_to_be_represented_accurately_as_integers.code],Aa({errorCodes:wme,getCodeActions:function(t){const r=_r.ChangeTracker.with(t,i=>FLe(i,t.sourceFile,t.span));if(r.length>0)return[ca(SQ,r,f.Convert_to_a_bigint_numeric_literal,SQ,f.Convert_all_to_bigint_numeric_literals)]},fixIds:[SQ],getAllCodeActions:e=>Es(e,wme,(t,r)=>FLe(t,r.file,r))})}});function zLe(e,t){const r=po(e,t);return N.assert(r.kind===102,"This token should be an ImportKeyword"),N.assert(r.parent.kind===205,"Token parent should be an ImportType"),r.parent}function BLe(e,t,r){const i=w.updateImportTypeNode(r,r.argument,r.attributes,r.qualifier,r.typeArguments,!0);e.replaceNode(t,r,i)}var GLe,TQ,Ome,Ztt=T({"src/services/codefixes/fixAddModuleReferTypeMissingTypeof.ts"(){si(),Ra(),GLe="fixAddModuleReferTypeMissingTypeof",TQ=GLe,Ome=[f.Module_0_does_not_refer_to_a_type_but_is_used_as_a_type_here_Did_you_mean_typeof_import_0.code],Aa({errorCodes:Ome,getCodeActions:function(t){const{sourceFile:r,span:i}=t,o=zLe(r,i.start),s=_r.ChangeTracker.with(t,l=>BLe(l,r,o));return[ca(TQ,s,f.Add_missing_typeof,TQ,f.Add_missing_typeof)]},fixIds:[TQ],getAllCodeActions:e=>Es(e,Ome,(t,r)=>BLe(t,e.sourceFile,zLe(r.file,r.start)))})}});function VLe(e,t){let o=po(e,t).parent.parent;if(!(!mr(o)&&(o=o.parent,!mr(o)))&&zl(o.operatorToken))return o}function jLe(e,t,r){const i=ent(r);i&&e.replaceNode(t,r,w.createJsxFragment(w.createJsxOpeningFragment(),i,w.createJsxJsxClosingFragment()))}function ent(e){const t=[];let r=e;for(;;)if(mr(r)&&zl(r.operatorToken)&&r.operatorToken.kind===28){if(t.push(r.left),AL(r.right))return t.push(r.right),t;if(mr(r.right)){r=r.right;continue}else return}else return}var AQ,Wme,tnt=T({"src/services/codefixes/wrapJsxInFragment.ts"(){si(),Ra(),AQ="wrapJsxInFragment",Wme=[f.JSX_expressions_must_have_one_parent_element.code],Aa({errorCodes:Wme,getCodeActions:function(t){const{sourceFile:r,span:i}=t,o=VLe(r,i.start);if(!o)return;const s=_r.ChangeTracker.with(t,l=>jLe(l,r,o));return[ca(AQ,s,f.Wrap_in_JSX_fragment,AQ,f.Wrap_all_unparented_JSX_in_JSX_fragment)]},fixIds:[AQ],getAllCodeActions:e=>Es(e,Wme,(t,r)=>{const i=VLe(e.sourceFile,r.start);i&&jLe(t,e.sourceFile,i)})})}});function ULe(e,t){const r=po(e,t),i=ii(r.parent.parent,t1);if(!i)return;const o=hd(i.parent)?i.parent:ii(i.parent.parent,Nm);if(o)return{indexSignature:i,container:o}}function nnt(e,t){return w.createTypeAliasDeclaration(e.modifiers,e.name,e.typeParameters,t)}function HLe(e,t,{indexSignature:r,container:i}){const s=(hd(i)?i.members:i.type.members).filter(m=>!t1(m)),l=Ha(r.parameters),u=w.createTypeParameterDeclaration(void 0,aa(l.name,Ve),l.type),p=w.createMappedTypeNode(zC(r)?w.createModifier(148):void 0,u,void 0,r.questionToken,r.type,void 0),h=w.createIntersectionTypeNode([...CC(i),p,...s.length?[w.createTypeLiteralNode(s)]:et]);e.replaceNode(t,i,nnt(i,h))}var IQ,Fme,rnt=T({"src/services/codefixes/convertToMappedObjectType.ts"(){si(),Ra(),IQ="fixConvertToMappedObjectType",Fme=[f.An_index_signature_parameter_type_cannot_be_a_literal_type_or_generic_type_Consider_using_a_mapped_object_type_instead.code],Aa({errorCodes:Fme,getCodeActions:function(t){const{sourceFile:r,span:i}=t,o=ULe(r,i.start);if(!o)return;const s=_r.ChangeTracker.with(t,u=>HLe(u,r,o)),l=Sr(o.container.name);return[ca(IQ,s,[f.Convert_0_to_mapped_object_type,l],IQ,[f.Convert_0_to_mapped_object_type,l])]},fixIds:[IQ],getAllCodeActions:e=>Es(e,Fme,(t,r)=>{const i=ULe(r.file,r.start);i&&HLe(t,r.file,i)})})}}),zme,qLe,int=T({"src/services/codefixes/removeAccidentalCallParentheses.ts"(){si(),Ra(),zme="removeAccidentalCallParentheses",qLe=[f.This_expression_is_not_callable_because_it_is_a_get_accessor_Did_you_mean_to_use_it_without.code],Aa({errorCodes:qLe,getCodeActions(e){const t=Gn(po(e.sourceFile,e.span.start),la);if(!t)return;const r=_r.ChangeTracker.with(e,i=>{i.deleteRange(e.sourceFile,{pos:t.expression.end,end:t.end})});return[o_(zme,r,f.Remove_parentheses)]},fixIds:[zme]})}});function JLe(e,t,r){const i=ii(po(t,r.start),u=>u.kind===135),o=i&&ii(i.parent,eb);if(!o)return;let s=o;if(Gd(o.parent)){const u=wR(o.expression,!1);if(Ve(u)){const p=xc(o.parent.pos,t);p&&p.kind!==105&&(s=o.parent)}}e.replaceNode(t,s,o.expression)}var xQ,Bme,ont=T({"src/services/codefixes/removeUnnecessaryAwait.ts"(){si(),Ra(),xQ="removeUnnecessaryAwait",Bme=[f.await_has_no_effect_on_the_type_of_this_expression.code],Aa({errorCodes:Bme,getCodeActions:function(t){const r=_r.ChangeTracker.with(t,i=>JLe(i,t.sourceFile,t.span));if(r.length>0)return[ca(xQ,r,f.Remove_unnecessary_await,xQ,f.Remove_all_unnecessary_uses_of_await)]},fixIds:[xQ],getAllCodeActions:e=>Es(e,Bme,(t,r)=>JLe(t,r.file,r))})}});function KLe(e,t){return Gn(po(e,t.start),kc)}function XLe(e,t,r){if(!t)return;const i=N.checkDefined(t.importClause);e.replaceNode(r.sourceFile,t,w.updateImportDeclaration(t,t.modifiers,w.updateImportClause(i,i.isTypeOnly,i.name,void 0),t.moduleSpecifier,t.attributes)),e.insertNodeAfter(r.sourceFile,t,w.createImportDeclaration(void 0,w.updateImportClause(i,i.isTypeOnly,void 0,i.namedBindings),t.moduleSpecifier,t.attributes))}var Gme,RQ,ant=T({"src/services/codefixes/splitTypeOnlyImport.ts"(){si(),Ra(),Gme=[f.A_type_only_import_can_specify_a_default_import_or_named_bindings_but_not_both.code],RQ="splitTypeOnlyImport",Aa({errorCodes:Gme,fixIds:[RQ],getCodeActions:function(t){const r=_r.ChangeTracker.with(t,i=>XLe(i,KLe(t.sourceFile,t.span),t));if(r.length)return[ca(RQ,r,f.Split_into_two_separate_import_declarations,RQ,f.Split_all_invalid_type_only_imports)]},getAllCodeActions:e=>Es(e,Gme,(t,r)=>{XLe(t,KLe(e.sourceFile,r),e)})})}});function YLe(e,t,r){var i;const s=r.getTypeChecker().getSymbolAtLocation(po(e,t));if(s===void 0)return;const l=ii((i=s==null?void 0:s.valueDeclaration)==null?void 0:i.parent,Uc);if(l===void 0)return;const u=vs(l,87,e);if(u!==void 0)return{symbol:s,token:u}}function $Le(e,t,r){e.replaceNode(t,r,w.createToken(121))}var DQ,Vme,snt=T({"src/services/codefixes/convertConstToLet.ts"(){si(),Ra(),DQ="fixConvertConstToLet",Vme=[f.Cannot_assign_to_0_because_it_is_a_constant.code],Aa({errorCodes:Vme,getCodeActions:function(t){const{sourceFile:r,span:i,program:o}=t,s=YLe(r,i.start,o);if(s===void 0)return;const l=_r.ChangeTracker.with(t,u=>$Le(u,r,s.token));return[Gpe(DQ,l,f.Convert_const_to_let,DQ,f.Convert_all_const_to_let)]},getAllCodeActions:e=>{const{program:t}=e,r=new Map;return zD(_r.ChangeTracker.with(e,i=>{BD(e,Vme,o=>{const s=YLe(o.file,o.start,t);if(s&&Rm(r,Ta(s.symbol)))return $Le(i,o.file,s.token)})}))},fixIds:[DQ]})}});function QLe(e,t,r){const i=po(e,t);return i.kind===27&&i.parent&&(Oa(i.parent)||_d(i.parent))?{node:i}:void 0}function ZLe(e,t,{node:r}){const i=w.createToken(28);e.replaceNode(t,r,i)}var NQ,eke,jme,lnt=T({"src/services/codefixes/fixExpectedComma.ts"(){si(),Ra(),NQ="fixExpectedComma",eke=f._0_expected.code,jme=[eke],Aa({errorCodes:jme,getCodeActions(e){const{sourceFile:t}=e,r=QLe(t,e.span.start,e.errorCode);if(!r)return;const i=_r.ChangeTracker.with(e,o=>ZLe(o,t,r));return[ca(NQ,i,[f.Change_0_to_1,";",","],NQ,[f.Change_0_to_1,";",","])]},fixIds:[NQ],getAllCodeActions:e=>Es(e,jme,(t,r)=>{const i=QLe(r.file,r.start,r.code);i&&ZLe(t,e.sourceFile,i)})})}});function tke(e,t,r,i,o){const s=po(t,r.start);if(!Ve(s)||!la(s.parent)||s.parent.expression!==s||s.parent.arguments.length!==0)return;const l=i.getTypeChecker(),u=l.getSymbolAtLocation(s),p=u==null?void 0:u.valueDeclaration;if(!p||!Ao(p)||!r1(p.parent.parent)||o!=null&&o.has(p))return;o==null||o.add(p);const h=cnt(p.parent.parent);if(bt(h)){const m=h[0],b=!Qy(m)&&!GS(m)&&GS(w.createUnionTypeNode([m,w.createKeywordTypeNode(116)]).types[0]);b&&e.insertText(t,m.pos,"("),e.insertText(t,m.end,b?") | void":" | void")}else{const m=l.getResolvedSignature(s.parent),b=m==null?void 0:m.parameters[0],A=b&&l.getTypeOfSymbolAtLocation(b,p.parent.parent);lr(p)?(!A||A.flags&3)&&(e.insertText(t,p.parent.parent.end,")"),e.insertText(t,ka(t.text,p.parent.parent.pos),"/** @type {Promise<void>} */(")):(!A||A.flags&2)&&e.insertText(t,p.parent.parent.expression.end,"<void>")}}function cnt(e){var t;if(lr(e)){if(Gd(e.parent)){const r=(t=d0(e.parent))==null?void 0:t.typeExpression.type;if(r&&Cf(r)&&Ve(r.typeName)&&Sr(r.typeName)==="Promise")return r.typeArguments}}else return e.typeArguments}var nke,Ume,Hme,unt=T({"src/services/codefixes/fixAddVoidToPromise.ts"(){si(),Ra(),nke="addVoidToPromise",Ume="addVoidToPromise",Hme=[f.Expected_1_argument_but_got_0_new_Promise_needs_a_JSDoc_hint_to_produce_a_resolve_that_can_be_called_without_arguments.code,f.Expected_0_arguments_but_got_1_Did_you_forget_to_include_void_in_your_type_argument_to_Promise.code],Aa({errorCodes:Hme,fixIds:[Ume],getCodeActions(e){const t=_r.ChangeTracker.with(e,r=>tke(r,e.sourceFile,e.span,e.program));if(t.length>0)return[ca(nke,t,f.Add_void_to_Promise_resolved_without_a_value,Ume,f.Add_void_to_all_Promises_resolved_without_a_value)]},getAllCodeActions(e){return Es(e,Hme,(t,r)=>tke(t,r.file,r,e.program,new Set))}})}}),Fu={};X(Fu,{PreserveOptionalFlags:()=>Nme,addNewNodeForMemberSymbol:()=>_Le,codeFixAll:()=>Es,createCodeFixAction:()=>ca,createCodeFixActionMaybeFixAll:()=>Gpe,createCodeFixActionWithoutFixAll:()=>o_,createCombinedCodeActions:()=>zD,createFileTextChanges:()=>hPe,createImportAdder:()=>FI,createImportSpecifierResolver:()=>hZe,createJsonPropertyAssignment:()=>_Q,createMissingMemberNodes:()=>Eme,createSignatureDeclarationFromCallExpression:()=>Sme,createSignatureDeclarationFromSignature:()=>fQ,createStubbedBody:()=>xz,eachDiagnostic:()=>BD,findAncestorMatchingSpan:()=>Dme,findJsonProperty:()=>Rme,generateAccessorFromProperty:()=>ELe,getAccessorConvertiblePropertyAtPosition:()=>ALe,getAllFixes:()=>q$e,getAllSupers:()=>Cme,getArgumentTypesAndTypeParameters:()=>vLe,getFixes:()=>H$e,getImportCompletionAction:()=>gZe,getImportKind:()=>hfe,getJSDocTypedefNodes:()=>dZe,getNoopSymbolTrackerWithResolver:()=>VD,getPromoteTypeOnlyCompletionAction:()=>vZe,getSupportedErrorCodes:()=>j$e,importFixName:()=>Tfe,importSymbols:()=>jD,moduleSpecifierToValidIdentifier:()=>Sfe,moduleSymbolToValidIdentifier:()=>Efe,parameterShouldGetTypeFromJSDoc:()=>OPe,registerCodeFix:()=>Aa,setJsonCompilerOptionValue:()=>xme,setJsonCompilerOptionValues:()=>Ime,tryGetAutoImportableReferenceFromTypeNode:()=>BI,typeToAutoImportableTypeNode:()=>mQ});var Ra=T({"src/services/_namespaces/ts.codefix.ts"(){J$e(),K$e(),X$e(),Q$e(),rQe(),sQe(),lQe(),cQe(),uQe(),mQe(),AQe(),xQe(),WQe(),tZe(),nZe(),iZe(),oZe(),pZe(),fZe(),_Ze(),FZe(),GZe(),UZe(),HZe(),qZe(),XZe(),QZe(),tet(),aet(),get(),yet(),xet(),Ret(),Net(),Cet(),Pet(),Met(),ket(),wet(),Oet(),Wet(),Fet(),Bet(),jet(),Jet(),ntt(),itt(),ott(),ltt(),ctt(),dtt(),ptt(),btt(),Ett(),Stt(),Dtt(),Btt(),Utt(),Ktt(),Ytt(),$tt(),Qtt(),Ztt(),tnt(),rnt(),int(),ont(),ant(),snt(),lnt(),unt()}});function dnt(e){return!!(e.kind&1)}function pnt(e){return!!(e.kind&2)}function Rz(e){return!!(e&&e.kind&4)}function E2(e){return!!(e&&e.kind===32)}function fnt(e){return Rz(e)||E2(e)||qme(e)}function mnt(e){return(Rz(e)||E2(e))&&!!e.isFromPackageJson}function _nt(e){return!!(e.kind&8)}function hnt(e){return!!(e.kind&16)}function rke(e){return!!(e&&e.kind&64)}function ike(e){return!!(e&&e.kind&128)}function gnt(e){return!!(e&&e.kind&256)}function qme(e){return!!(e&&e.kind&512)}function oke(e,t,r,i,o,s,l,u,p){var h,m,b;const A=Ys(),I=l||KA(md(i.getCompilerOptions()));let R=!1,M=0,C=0,O=0,U=0;const K=p({tryResolve:V,skippedAny:()=>R,resolvedAny:()=>C>0,resolvedBeyondLimit:()=>C>zQ}),Z=U?` (${(O/U*100).toFixed(1)}% hit rate)`:"";return(h=t.log)==null||h.call(t,`${e}: resolved ${C} module specifiers, plus ${M} ambient and ${O} from cache${Z}`),(m=t.log)==null||m.call(t,`${e}: response is ${R?"incomplete":"complete"}`),(b=t.log)==null||b.call(t,`${e}: ${Ys()-A}`),K;function V(se,G){if(G){const $=r.getModuleSpecifierForBestExportInfo(se,o,u);return $&&M++,$||"failed"}const ne=I||s.allowIncompleteCompletions&&C<zQ,he=!ne&&s.allowIncompleteCompletions&&U<n_e,ye=ne||he?r.getModuleSpecifierForBestExportInfo(se,o,u,he):void 0;return(!ne&&!he||he&&!ye)&&(R=!0),C+=(ye==null?void 0:ye.computedWithoutCacheCount)||0,O+=se.length-((ye==null?void 0:ye.computedWithoutCacheCount)||0),he&&U++,ye||(I?"failed":"skipped")}}function vnt(e,t,r,i,o,s,l,u,p,h,m=!1){var b;const{previousToken:A}=LQ(o,i);if(l&&!CI(i,o,A)&&!Znt(i,l,A,o))return;if(l===" ")return s.includeCompletionsForImportStatements&&s.includeCompletionsWithInsertText?{isGlobalCompletion:!0,isMemberCompletion:!1,isNewIdentifierLocation:!0,isIncomplete:!0,entries:[]}:void 0;const I=t.getCompilerOptions(),R=t.getTypeChecker(),M=s.allowIncompleteCompletions?(b=e.getIncompleteCompletionsCache)==null?void 0:b.call(e):void 0;if(M&&u===3&&A&&Ve(A)){const U=ynt(M,i,A,t,e,s,p,o);if(U)return U}else M==null||M.clear();const C=GQ.getStringLiteralCompletions(i,o,A,I,e,t,r,s,m);if(C)return C;if(A&&pC(A.parent)&&(A.kind===83||A.kind===88||A.kind===80))return Fnt(A.parent);const O=gke(t,r,i,I,o,s,void 0,e,h,p);if(O)switch(O.kind){case 0:const U=Ant(i,e,t,I,r,O,s,h,o,m);return U!=null&&U.isIncomplete&&(M==null||M.set(U)),U;case 1:return Jme([...G0.getJSDocTagNameCompletions(),...ske(i,o,R,I,s,!0)]);case 2:return Jme([...G0.getJSDocTagCompletions(),...ske(i,o,R,I,s,!1)]);case 3:return Jme(G0.getJSDocParameterNameCompletions(O.tag));case 4:return Snt(O.keywordCompletions,O.isNewIdentifierLocation);default:return N.assertNever(O)}}function Dz(e,t){var r,i;let o=BM(e.sortText,t.sortText);return o===0&&(o=BM(e.name,t.name)),o===0&&((r=e.data)!=null&&r.moduleSpecifier)&&((i=t.data)!=null&&i.moduleSpecifier)&&(o=Sk(e.data.moduleSpecifier,t.data.moduleSpecifier)),o===0?-1:o}function ake(e){return!!(e!=null&&e.moduleSpecifier)}function ynt(e,t,r,i,o,s,l,u){const p=e.get();if(!p)return;const h=Vd(t,u),m=r.text.toLowerCase(),b=RO(t,o,i,s,l),A=oke("continuePreviousIncompleteResponse",o,Fu.createImportSpecifierResolver(t,i,o,s),i,r.getStart(),s,!1,T0(r),I=>{const R=Hi(p.entries,M=>{var C;if(!M.hasAction||!M.source||!M.data||ake(M.data))return M;if(!Pke(M.name,m))return;const{origin:O}=N.checkDefined(vke(M.name,M.data,i,o)),U=b.get(t.path,M.data.exportMapKey),K=U&&I.tryResolve(U,!Yc(tm(O.moduleSymbol.name)));if(K==="skipped")return M;if(!K||K==="failed"){(C=o.log)==null||C.call(o,`Unexpected failure resolving auto import for '${M.name}' from '${M.source}'`);return}const Z={...O,kind:32,moduleSpecifier:K.moduleSpecifier};return M.data=mke(Z),M.source=Xme(Z),M.sourceDisplay=[df(Z.moduleSpecifier)],M});return I.skippedAny()||(p.isIncomplete=void 0),R});return p.entries=A,p.flags=(p.flags||0)|4,p.optionalReplacementSpan=uke(h),p}function Jme(e){return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:!1,entries:e}}function ske(e,t,r,i,o,s){const l=po(e,t);if(!iR(l)&&!n_(l))return[];const u=n_(l)?l:l.parent;if(!n_(u))return[];const p=u.parent;if(!ea(p))return[];const h=dd(e),m=o.includeCompletionsWithSnippetText||void 0,b=au(u.tags,A=>r_(A)&&A.getEnd()<=t);return Hi(p.parameters,A=>{if(!Qx(A).length){if(Ve(A.name)){const I={tabstop:1},R=A.name.text;let M=ZO(R,A.initializer,A.dotDotDotToken,h,!1,!1,r,i,o),C=m?ZO(R,A.initializer,A.dotDotDotToken,h,!1,!0,r,i,o,I):void 0;return s&&(M=M.slice(1),C&&(C=C.slice(1))),{name:M,kind:"parameter",sortText:zu.LocationPriority,insertText:m?C:void 0,isSnippet:m}}else if(A.parent.parameters.indexOf(A)===b){const I=`param${b}`,R=lke(I,A.name,A.initializer,A.dotDotDotToken,h,!1,r,i,o),M=m?lke(I,A.name,A.initializer,A.dotDotDotToken,h,!0,r,i,o):void 0;let C=R.join(Uv(i)+"* "),O=M==null?void 0:M.join(Uv(i)+"* ");return s&&(C=C.slice(1),O&&(O=O.slice(1))),{name:C,kind:"parameter",sortText:zu.LocationPriority,insertText:m?O:void 0,isSnippet:m}}}})}function lke(e,t,r,i,o,s,l,u,p){if(!o)return[ZO(e,r,i,o,!1,s,l,u,p,{tabstop:1})];return h(e,t,r,i,{tabstop:1});function h(b,A,I,R,M){if(am(A)&&!R){const O={tabstop:M.tabstop},U=ZO(b,I,R,o,!0,s,l,u,p,O);let K=[];for(const Z of A.elements){const V=m(b,Z,O);if(V)K.push(...V);else{K=void 0;break}}if(K)return M.tabstop=O.tabstop,[U,...K]}return[ZO(b,I,R,o,!1,s,l,u,p,M)]}function m(b,A,I){if(!A.propertyName&&Ve(A.name)||Ve(A.name)){const R=A.propertyName?SC(A.propertyName):A.name.text;if(!R)return;const M=`${b}.${R}`;return[ZO(M,A.initializer,A.dotDotDotToken,o,!1,s,l,u,p,I)]}else if(A.propertyName){const R=SC(A.propertyName);return R&&h(`${b}.${R}`,A.name,A.initializer,A.dotDotDotToken,I)}}}function ZO(e,t,r,i,o,s,l,u,p,h){if(s&&N.assertIsDefined(h),t&&(e=bnt(e,t)),s&&(e=ZE(e)),i){let m="*";if(o)N.assert(!r,"Cannot annotate a rest parameter with type 'Object'."),m="Object";else{if(t){const I=l.getTypeAtLocation(t.parent);if(!(I.flags&16385)){const R=t.getSourceFile(),C=uf(R,p)===0?268435456:0,O=l.typeToTypeNode(I,Gn(t,ea),C);if(O){const U=s?MQ({removeComments:!0,module:u.module,target:u.target}):k0({removeComments:!0,module:u.module,target:u.target});pr(O,1),m=U.printNode(4,O,R)}}}s&&m==="*"&&(m=`\${${h.tabstop++}:${m}}`)}const b=!o&&r?"...":"",A=s?`\${${h.tabstop++}}`:"";return`@param {${b}${m}} ${e} ${A}`}else{const m=s?`\${${h.tabstop++}}`:"";return`@param ${e} ${m}`}}function bnt(e,t){const r=t.getText().trim();return r.includes(`
+`)||r.length>80?`[${e}]`:`[${e}=${r}]`}function Ent(e){return{name:fa(e),kind:"keyword",kindModifiers:"",sortText:zu.GlobalsOrKeywords}}function Snt(e,t){return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:t,entries:e.slice()}}function cke(e,t,r){return{kind:4,keywordCompletions:yke(e,t),isNewIdentifierLocation:r}}function Tnt(e){switch(e){case 156:return 8;default:N.fail("Unknown mapping from SyntaxKind to KeywordCompletionFilters")}}function uke(e){return(e==null?void 0:e.kind)===80?Nd(e):void 0}function Ant(e,t,r,i,o,s,l,u,p,h){const{symbols:m,contextToken:b,completionKind:A,isInSnippetScope:I,isNewIdentifierLocation:R,location:M,propertyAccessToConvert:C,keywordFilters:O,symbolToOriginInfoMap:U,recommendedCompletion:K,isJsxInitializer:Z,isTypeOnlyLocation:V,isJsxIdentifierExpected:se,isRightOfOpenTag:G,isRightOfDotOrQuestionDot:ne,importStatementCompletion:he,insideJsDocTagTypeExpression:ye,symbolToSortTextMap:$,hasUnresolvedAutoImports:Y}=s;let oe=s.literals;const fe=r.getTypeChecker();if(yk(e.scriptKind)===1){const He=xnt(M,e);if(He)return He}const Ne=Gn(b,YR);if(Ne&&(zae(b)||jE(b,Ne.expression))){const He=O5(fe,Ne.parent.clauses);oe=oe.filter(Ee=>!He.hasValue(Ee)),m.forEach((Ee,De)=>{if(Ee.valueDeclaration&&u1(Ee.valueDeclaration)){const Pe=fe.getConstantValue(Ee.valueDeclaration);Pe!==void 0&&He.hasValue(Pe)&&(U[De]={kind:256})}})}const pe=uG(),ie=dke(e,i);if(ie&&!R&&(!m||m.length===0)&&O===0)return;const ve=Yme(m,pe,void 0,b,M,p,e,t,r,os(i),o,A,l,i,u,V,C,se,Z,he,K,U,$,se,G,h);if(O!==0)for(const He of yke(O,!ye&&dd(e)))(V&&a2(PE(He.name))||!V&&srt(He.name)||!ve.has(He.name))&&(ve.add(He.name),xy(pe,He,Dz,!0));for(const He of Knt(b,p))ve.has(He.name)||(ve.add(He.name),xy(pe,He,Dz,!0));for(const He of oe){const Ee=Dnt(e,l,He);ve.add(Ee.name),xy(pe,Ee,Dz,!0)}ie||Rnt(e,M.pos,ve,os(i),pe);let Le;if(l.includeCompletionsWithInsertText&&b&&!G&&!ne&&(Le=Gn(b,SP))){const He=pke(Le,e,l,i,t,r,u);He&&pe.push(He.entry)}return{flags:s.flags,isGlobalCompletion:I,isIncomplete:l.allowIncompleteCompletions&&Y?!0:void 0,isMemberCompletion:Int(A),isNewIdentifierLocation:R,optionalReplacementSpan:uke(M),entries:pe}}function dke(e,t){return!dd(e)||!!Ak(e,t)}function pke(e,t,r,i,o,s,l){const u=e.clauses,p=s.getTypeChecker(),h=p.getTypeAtLocation(e.parent.expression);if(h&&h.isUnion()&&Zn(h.types,m=>m.isLiteral())){const m=O5(p,u),b=os(i),A=uf(t,r),I=Fu.createImportAdder(t,s,r,o),R=[];for(const V of h.types)if(V.flags&1024){N.assert(V.symbol,"An enum member type should have a symbol"),N.assert(V.symbol.parent,"An enum member type should have a parent symbol (the enum symbol)");const se=V.symbol.valueDeclaration&&p.getConstantValue(V.symbol.valueDeclaration);if(se!==void 0){if(m.hasValue(se))continue;m.addValue(se)}const G=Fu.typeToAutoImportableTypeNode(p,I,V,e,b);if(!G)return;const ne=CQ(G,b,A);if(!ne)return;R.push(ne)}else if(!m.hasValue(V.value))switch(typeof V.value){case"object":R.push(V.value.negative?w.createPrefixUnaryExpression(41,w.createBigIntLiteral({negative:!1,base10Value:V.value.base10Value})):w.createBigIntLiteral(V.value));break;case"number":R.push(V.value<0?w.createPrefixUnaryExpression(41,w.createNumericLiteral(-V.value)):w.createNumericLiteral(V.value));break;case"string":R.push(w.createStringLiteral(V.value,A===0));break}if(R.length===0)return;const M=wt(R,V=>w.createCaseClause(V,[])),C=ty(o,l==null?void 0:l.options),O=MQ({removeComments:!0,module:i.module,target:i.target,newLine:xO(C)}),U=l?V=>O.printAndFormatNode(4,V,t,l):V=>O.printNode(4,V,t),K=wt(M,(V,se)=>r.includeCompletionsWithSnippetText?`${U(V)}$${se+1}`:`${U(V)}`).join(C);return{entry:{name:`${O.printNode(4,M[0],t)} ...`,kind:"",sortText:zu.GlobalsOrKeywords,insertText:K,hasAction:I.hasFixes()||void 0,source:"SwitchCases/",isSnippet:r.includeCompletionsWithSnippetText?!0:void 0},importAdder:I}}}function CQ(e,t,r){switch(e.kind){case 183:const i=e.typeName;return PQ(i,t,r);case 199:const o=CQ(e.objectType,t,r),s=CQ(e.indexType,t,r);return o&&s&&w.createElementAccessExpression(o,s);case 201:const l=e.literal;switch(l.kind){case 11:return w.createStringLiteral(l.text,r===0);case 9:return w.createNumericLiteral(l.text,l.numericLiteralFlags)}return;case 196:const u=CQ(e.type,t,r);return u&&(Ve(u)?u:w.createParenthesizedExpression(u));case 186:return PQ(e.exprName,t,r);case 205:N.fail("We should not get an import type after calling 'codefix.typeToAutoImportableTypeNode'.")}}function PQ(e,t,r){if(Ve(e))return e;const i=Vi(e.right.escapedText);return HU(i,t)?w.createPropertyAccessExpression(PQ(e.left,t,r),i):w.createElementAccessExpression(PQ(e.left,t,r),w.createStringLiteral(i,r===0))}function Int(e){switch(e){case 0:case 3:case 2:return!0;default:return!1}}function xnt(e,t){const r=Gn(e,i=>{switch(i.kind){case 287:return!0;case 44:case 32:case 80:case 211:return!1;default:return"quit"}});if(r){const i=!!vs(r,32,t),l=r.parent.openingElement.tagName.getText(t)+(i?"":">"),u=Nd(r.tagName),p={name:l,kind:"class",kindModifiers:void 0,sortText:zu.LocationPriority};return{isGlobalCompletion:!1,isMemberCompletion:!0,isNewIdentifierLocation:!1,optionalReplacementSpan:u,entries:[p]}}}function Rnt(e,t,r,i,o){JY(e).forEach((s,l)=>{if(s===t)return;const u=Vi(l);!r.has(u)&&tf(u,i)&&(r.add(u),xy(o,{name:u,kind:"warning",kindModifiers:"",sortText:zu.JavascriptIdentifiers,isFromUncheckedFile:!0},Dz))})}function Kme(e,t,r){return typeof r=="object"?$E(r)+"n":Po(r)?p2(e,t,r):JSON.stringify(r)}function Dnt(e,t,r){return{name:Kme(e,t,r),kind:"string",kindModifiers:"",sortText:zu.LocationPriority}}function Nnt(e,t,r,i,o,s,l,u,p,h,m,b,A,I,R,M,C,O,U,K,Z,V,se,G){var ne,he;let ye,$,Y=pX(r),oe,fe,Ne=Xme(b),pe,ie,ve;const Le=p.getTypeChecker(),He=b&&hnt(b),Ee=b&&pnt(b)||m;if(b&&dnt(b))ye=m?`this${He?"?.":""}[${_ke(l,U,h)}]`:`this${He?"?.":"."}${h}`;else if((Ee||He)&&I){ye=Ee?m?`[${_ke(l,U,h)}]`:`[${h}]`:h,(He||I.questionDotToken)&&(ye=`?.${ye}`);const Pe=vs(I,25,l)||vs(I,29,l);if(!Pe)return;const We=uo(h,I.name.text)?I.name.end:Pe.end;Y=pc(Pe.getStart(l),We)}if(R&&(ye===void 0&&(ye=h),ye=`{${ye}}`,typeof R!="boolean"&&(Y=Nd(R,l))),b&&_nt(b)&&I){ye===void 0&&(ye=h);const Pe=xc(I.pos,l);let We="";Pe&&x5(Pe.end,Pe.parent,l)&&(We=";"),We+=`(await ${I.expression.getText()})`,ye=m?`${We}${ye}`:`${We}${He?"?.":"."}${ye}`;const Se=ii(I.parent,eb)?I.parent:I.expression;Y=pc(Se.getStart(l),I.end)}if(E2(b)&&(pe=[df(b.moduleSpecifier)],M&&({insertText:ye,replacementSpan:Y}=Ont(h,M,b,C,l,O,U),fe=U.includeCompletionsWithSnippetText?!0:void 0)),(b==null?void 0:b.kind)===64&&(ie=!0),K===0&&i&&((ne=xc(i.pos,l,i))==null?void 0:ne.kind)!==28&&(ql(i.parent.parent)||rf(i.parent.parent)||bp(i.parent.parent)||Xv(i.parent)||((he=Gn(i.parent,hc))==null?void 0:he.getLastToken(l))===i||rp(i.parent)&&bs(l,i.getEnd()).line!==bs(l,s).line)&&(Ne="ObjectLiteralMemberWithComma/",ie=!0),U.includeCompletionsWithClassMemberSnippets&&U.includeCompletionsWithInsertText&&K===3&&Cnt(e,o,l)){let Pe;const We=fke(u,p,O,U,h,e,o,s,i,Z);if(We)({insertText:ye,filterText:$,isSnippet:fe,importAdder:Pe}=We),Pe!=null&&Pe.hasFixes()&&(ie=!0,Ne="ClassMemberSnippet/");else return}if(b&&ike(b)&&({insertText:ye,isSnippet:fe,labelDetails:ve}=b,U.useLabelDetailsInCompletionEntries||(h=h+ve.detail,ve=void 0),Ne="ObjectLiteralMethodSnippet/",t=zu.SortBelow(t)),V&&!se&&U.includeCompletionsWithSnippetText&&U.jsxAttributeCompletionStyle&&U.jsxAttributeCompletionStyle!=="none"&&!(F_(o.parent)&&o.parent.initializer)){let Pe=U.jsxAttributeCompletionStyle==="braces";const We=Le.getTypeOfSymbolAtLocation(e,o);U.jsxAttributeCompletionStyle==="auto"&&!(We.flags&528)&&!(We.flags&1048576&&An(We.types,At=>!!(At.flags&528)))&&(We.flags&402653316||We.flags&1048576&&Zn(We.types,At=>!!(At.flags&402686084||zue(At)))?(ye=`${ZE(h)}=${p2(l,U,"$1")}`,fe=!0):Pe=!0),Pe&&(ye=`${ZE(h)}={$1}`,fe=!0)}if(ye!==void 0&&!U.includeCompletionsWithInsertText)return;(Rz(b)||E2(b))&&(oe=mke(b),ie=!M);const De=Gn(o,B3);if((De==null?void 0:De.kind)===275){const Pe=PE(h);De&&Pe&&(Pe===135||tU(Pe))&&(ye=`${h} as ${h}_`)}return{name:h,kind:iy.getSymbolKind(Le,e,o),kindModifiers:iy.getSymbolModifiers(Le,e),sortText:t,source:Ne,hasAction:ie?!0:void 0,isRecommended:Wnt(e,A,Le)||void 0,insertText:ye,filterText:$,replacementSpan:Y,sourceDisplay:pe,labelDetails:ve,isSnippet:fe,isPackageJsonImport:mnt(b)||void 0,isImportStatementCompletion:!!M||void 0,data:oe,...G?{symbol:e}:void 0}}function Cnt(e,t,r){return lr(t)?!1:!!(e.flags&106500)&&(ui(t)||t.parent&&t.parent.parent&&$c(t.parent)&&t===t.parent.name&&t.parent.getLastToken(r)===t.parent.name&&ui(t.parent.parent)||t.parent&&eD(t)&&ui(t.parent))}function fke(e,t,r,i,o,s,l,u,p,h){const m=Gn(l,ui);if(!m)return;let b,A=o;const I=o,R=t.getTypeChecker(),M=l.getSourceFile(),C=MQ({removeComments:!0,module:r.module,target:r.target,omitTrailingSemicolon:!1,newLine:xO(ty(e,h==null?void 0:h.options))}),O=Fu.createImportAdder(M,t,i,e);let U;if(i.includeCompletionsWithSnippetText){b=!0;const he=w.createEmptyStatement();U=w.createBlock([he],!0),bH(he,{kind:0,order:0})}else U=w.createBlock([],!0);let K=0;const{modifiers:Z,range:V,decorators:se}=Pnt(p,M,u),G=Z&64&&m.modifierFlagsCache&64;let ne=[];if(Fu.addNewNodeForMemberSymbol(s,m,M,{program:t,host:e},i,O,he=>{let ye=0;G&&(ye|=64),$c(he)&&R.getMemberOverrideModifierStatus(m,he,s)===1&&(ye|=16),ne.length||(K=he.modifierFlagsCache|ye),he=w.replaceModifiers(he,K),ne.push(he)},U,Fu.PreserveOptionalFlags.Property,!!G),ne.length){const he=s.flags&8192;let ye=K|16|1;he?ye|=1024:ye|=136;const $=Z&ye;if(Z&~ye)return;if(K&4&&$&1&&(K&=-5),$!==0&&!($&1)&&(K&=-2),K|=$,ne=ne.map(oe=>w.replaceModifiers(oe,K)),se!=null&&se.length){const oe=ne[ne.length-1];QS(oe)&&(ne[ne.length-1]=w.replaceDecoratorsAndModifiers(oe,se.concat(ME(oe)||[])))}const Y=131073;h?A=C.printAndFormatSnippetList(Y,w.createNodeArray(ne),M,h):A=C.printSnippetList(Y,w.createNodeArray(ne),M)}return{insertText:A,filterText:I,isSnippet:b,importAdder:O,eraseRange:V}}function Pnt(e,t,r){if(!e||bs(t,r).line>bs(t,e.getEnd()).line)return{modifiers:0};let i=0,o,s;const l={pos:r,end:r};if(Jo(e.parent)&&e.parent.modifiers&&(i|=M_(e.parent.modifiers)&98303,o=e.parent.modifiers.filter(Eu)||[],l.pos=Math.min(l.pos,e.parent.modifiers.pos)),s=Mnt(e)){const u=UA(s);i&u||(i|=u,l.pos=Math.min(l.pos,e.pos))}return{modifiers:i,decorators:o,range:l.pos!==r?l:void 0}}function Mnt(e){if(xa(e))return e.kind;if(Ve(e)){const t=u0(e);if(t&&Wv(t))return t}}function Lnt(e,t,r,i,o,s,l,u){const p=l.includeCompletionsWithSnippetText||void 0;let h=t;const m=r.getSourceFile(),b=knt(e,r,m,i,o,l);if(!b)return;const A=MQ({removeComments:!0,module:s.module,target:s.target,omitTrailingSemicolon:!1,newLine:xO(ty(o,u==null?void 0:u.options))});u?h=A.printAndFormatSnippetList(80,w.createNodeArray([b],!0),m,u):h=A.printSnippetList(80,w.createNodeArray([b],!0),m);const I=k0({removeComments:!0,module:s.module,target:s.target,omitTrailingSemicolon:!0}),R=w.createMethodSignature(void 0,"",b.questionToken,b.typeParameters,b.parameters,b.type),M={detail:I.printNode(4,R,m)};return{isSnippet:p,insertText:h,labelDetails:M}}function knt(e,t,r,i,o,s){const l=e.getDeclarations();if(!(l&&l.length))return;const u=i.getTypeChecker(),p=l[0],h=cl(Mo(p),!1),m=u.getWidenedType(u.getTypeOfSymbolAtLocation(e,t)),A=33554432|(uf(r,s)===0?268435456:0);switch(p.kind){case 171:case 172:case 173:case 174:{let I=m.flags&1048576&&m.types.length<10?u.getUnionType(m.types,2):m;if(I.flags&1048576){const U=nr(I.types,K=>u.getSignaturesOfType(K,0).length>0);if(U.length===1)I=U[0];else return}if(u.getSignaturesOfType(I,0).length!==1)return;const M=u.typeToTypeNode(I,t,A,Fu.getNoopSymbolTrackerWithResolver({program:i,host:o}));if(!M||!Eh(M))return;let C;if(s.includeCompletionsWithSnippetText){const U=w.createEmptyStatement();C=w.createBlock([U],!0),bH(U,{kind:0,order:0})}else C=w.createBlock([],!0);const O=M.parameters.map(U=>w.createParameterDeclaration(void 0,U.dotDotDotToken,U.name,void 0,void 0,U.initializer));return w.createMethodDeclaration(void 0,void 0,h,void 0,void 0,O,void 0,C)}default:return}}function MQ(e){let t;const r=_r.createWriter(Uv(e)),i=k0(e,r),o={...r,write:A=>s(A,()=>r.write(A)),nonEscapingWrite:r.write,writeLiteral:A=>s(A,()=>r.writeLiteral(A)),writeStringLiteral:A=>s(A,()=>r.writeStringLiteral(A)),writeSymbol:(A,I)=>s(A,()=>r.writeSymbol(A,I)),writeParameter:A=>s(A,()=>r.writeParameter(A)),writeComment:A=>s(A,()=>r.writeComment(A)),writeProperty:A=>s(A,()=>r.writeProperty(A))};return{printSnippetList:l,printAndFormatSnippetList:p,printNode:h,printAndFormatNode:b};function s(A,I){const R=ZE(A);if(R!==A){const M=r.getTextPos();I();const C=r.getTextPos();t=xn(t||(t=[]),{newText:R,span:{start:M,length:C-M}})}else I()}function l(A,I,R){const M=u(A,I,R);return t?_r.applyChanges(M,t):M}function u(A,I,R){return t=void 0,o.clear(),i.writeList(A,I,R,o),o.getText()}function p(A,I,R,M){const C={text:u(A,I,R),getLineAndCharacterOfPosition(Z){return bs(this,Z)}},O=w5(M,R),U=Pi(I,Z=>{const V=_r.assignPositionsToNode(Z);return Oc.formatNodeGivenIndentation(V,C,R.languageVariant,0,0,{...M,options:O})}),K=t?Dv(So(U,t),(Z,V)=>W6(Z.span,V.span)):U;return _r.applyChanges(C.text,K)}function h(A,I,R){const M=m(A,I,R);return t?_r.applyChanges(M,t):M}function m(A,I,R){return t=void 0,o.clear(),i.writeNode(A,I,R,o),o.getText()}function b(A,I,R,M){const C={text:m(A,I,R),getLineAndCharacterOfPosition(V){return bs(this,V)}},O=w5(M,R),U=_r.assignPositionsToNode(I),K=Oc.formatNodeGivenIndentation(U,C,R.languageVariant,0,0,{...M,options:O}),Z=t?Dv(So(K,t),(V,se)=>W6(V.span,se.span)):K;return _r.applyChanges(C.text,Z)}}function mke(e){const t=e.fileName?void 0:tm(e.moduleSymbol.name),r=e.isFromPackageJson?!0:void 0;return E2(e)?{exportName:e.exportName,exportMapKey:e.exportMapKey,moduleSpecifier:e.moduleSpecifier,ambientModuleName:t,fileName:e.fileName,isPackageJsonImport:r}:{exportName:e.exportName,exportMapKey:e.exportMapKey,fileName:e.fileName,ambientModuleName:e.fileName?void 0:tm(e.moduleSymbol.name),isPackageJsonImport:e.isFromPackageJson?!0:void 0}}function wnt(e,t,r){const i=e.exportName==="default",o=!!e.isPackageJsonImport;return ake(e)?{kind:32,exportName:e.exportName,exportMapKey:e.exportMapKey,moduleSpecifier:e.moduleSpecifier,symbolName:t,fileName:e.fileName,moduleSymbol:r,isDefaultExport:i,isFromPackageJson:o}:{kind:4,exportName:e.exportName,exportMapKey:e.exportMapKey,symbolName:t,fileName:e.fileName,moduleSymbol:r,isDefaultExport:i,isFromPackageJson:o}}function Ont(e,t,r,i,o,s,l){const u=t.replacementSpan,p=ZE(p2(o,l,r.moduleSpecifier)),h=r.isDefaultExport?1:r.exportName==="export="?2:0,m=l.includeCompletionsWithSnippetText?"$1":"",b=Fu.getImportKind(o,h,s,!0),A=t.couldBeTypeOnlyImportSpecifier,I=t.isTopLevelTypeOnly?` ${fa(156)} `:" ",R=A?`${fa(156)} `:"",M=i?";":"";switch(b){case 3:return{replacementSpan:u,insertText:`import${I}${ZE(e)}${m} = require(${p})${M}`};case 1:return{replacementSpan:u,insertText:`import${I}${ZE(e)}${m} from ${p}${M}`};case 2:return{replacementSpan:u,insertText:`import${I}* as ${ZE(e)} from ${p}${M}`};case 0:return{replacementSpan:u,insertText:`import${I}{ ${R}${ZE(e)}${m} } from ${p}${M}`}}}function _ke(e,t,r){return/^\d+$/.test(r)?r:p2(e,t,r)}function Wnt(e,t,r){return e===t||!!(e.flags&1048576)&&r.getExportSymbolOfSymbol(e)===t}function Xme(e){if(Rz(e))return tm(e.moduleSymbol.name);if(E2(e))return e.moduleSpecifier;if((e==null?void 0:e.kind)===1)return"ThisProperty/";if((e==null?void 0:e.kind)===64)return"TypeOnlyAlias/"}function Yme(e,t,r,i,o,s,l,u,p,h,m,b,A,I,R,M,C,O,U,K,Z,V,se,G,ne,he=!1){const ye=Ys(),$=irt(i,o),Y=TO(l),oe=p.getTypeChecker(),fe=new Map;for(let ie=0;ie<e.length;ie++){const ve=e[ie],Le=V==null?void 0:V[ie],He=kQ(ve,h,Le,b,!!O);if(!He||fe.get(He.name)&&(!Le||!ike(Le))||b===1&&se&&!Ne(ve,se)||!M&&lr(l)&&pe(ve))continue;const{name:Ee,needsConvertPropertyAccess:De}=He,Pe=(se==null?void 0:se[Ta(ve)])??zu.LocationPriority,We=ort(ve,oe)?zu.Deprecated(Pe):Pe,At=Nnt(ve,We,r,i,o,s,l,u,p,Ee,De,Le,Z,C,U,K,Y,I,A,b,R,G,ne,he);if(!At)continue;const Se=(!Le||rke(Le))&&!(ve.parent===void 0&&!bt(ve.declarations,je=>je.getSourceFile()===o.getSourceFile()));fe.set(Ee,Se),xy(t,At,Dz,!0)}return m("getCompletionsAtPosition: getCompletionEntriesFromSymbols: "+(Ys()-ye)),{has:ie=>fe.has(ie),add:ie=>fe.set(ie,!0)};function Ne(ie,ve){var Le;let He=ie.flags;if(!$i(o)){if(kl(o.parent))return!0;if(ii($,wi)&&ie.valueDeclaration===$)return!1;const Ee=ie.valueDeclaration??((Le=ie.declarations)==null?void 0:Le[0]);if($&&Ee&&(gl($)&&gl(Ee)||Ao($)&&Ao(Ee))){const Pe=Ee.pos,We=Ao($)?$.parent.parameters:BS($.parent)?void 0:$.parent.typeParameters;if(Pe>=$.pos&&We&&Pe<We.end)return!1}const De=bu(ie,oe);if(l.externalModuleIndicator&&!I.allowUmdGlobalAccess&&ve[Ta(ie)]===zu.GlobalsOrKeywords&&(ve[Ta(De)]===zu.AutoImportSuggestions||ve[Ta(De)]===zu.LocationPriority))return!1;if(He|=LR(De),$7(o))return!!(He&1920);if(M)return t_e(ie,oe)}return!!(He&111551)}function pe(ie){var ve;const Le=LR(bu(ie,oe));return!(Le&111551)&&(!lr((ve=ie.declarations)==null?void 0:ve[0])||!!(Le&788968))}}function Fnt(e){const t=znt(e);if(t.length)return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:!1,entries:t}}function znt(e){const t=[],r=new Map;let i=e;for(;i&&!ea(i);){if(o1(i)){const o=i.label.text;r.has(o)||(r.set(o,!0),t.push({name:o,kindModifiers:"",kind:"label",sortText:zu.LocationPriority}))}i=i.parent}return t}function hke(e,t,r,i,o,s,l){if(o.source==="SwitchCases/")return{type:"cases"};if(o.data){const K=vke(o.name,o.data,e,s);if(K){const{contextToken:Z,previousToken:V}=LQ(i,r);return{type:"symbol",symbol:K.symbol,location:Vd(r,i),previousToken:V,contextToken:Z,isJsxInitializer:!1,isTypeOnlyLocation:!1,origin:K.origin}}}const u=e.getCompilerOptions(),p=gke(e,t,r,u,i,{includeCompletionsForModuleExports:!0,includeCompletionsWithInsertText:!0},o,s,void 0);if(!p)return{type:"none"};if(p.kind!==0)return{type:"request",request:p};const{symbols:h,literals:m,location:b,completionKind:A,symbolToOriginInfoMap:I,contextToken:R,previousToken:M,isJsxInitializer:C,isTypeOnlyLocation:O}=p,U=An(m,K=>Kme(r,l,K)===o.name);return U!==void 0?{type:"literal",literal:U}:Ut(h,(K,Z)=>{const V=I[Z],se=kQ(K,os(u),V,A,p.isJsxIdentifierExpected);return se&&se.name===o.name&&(o.source==="ClassMemberSnippet/"&&K.flags&106500||o.source==="ObjectLiteralMethodSnippet/"&&K.flags&8196||Xme(V)===o.source||o.source==="ObjectLiteralMemberWithComma/")?{type:"symbol",symbol:K,location:b,origin:V,contextToken:R,previousToken:M,isJsxInitializer:C,isTypeOnlyLocation:O}:void 0})||{type:"none"}}function Bnt(e,t,r,i,o,s,l,u,p){const h=e.getTypeChecker(),m=e.getCompilerOptions(),{name:b,source:A,data:I}=o,{previousToken:R,contextToken:M}=LQ(i,r);if(CI(r,i,R))return GQ.getStringLiteralCompletionDetails(b,r,i,R,e,s,p,u);const C=hke(e,t,r,i,o,s,u);switch(C.type){case"request":{const{request:O}=C;switch(O.kind){case 1:return G0.getJSDocTagNameCompletionDetails(b);case 2:return G0.getJSDocTagCompletionDetails(b);case 3:return G0.getJSDocParameterNameCompletionDetails(b);case 4:return bt(O.keywordCompletions,U=>U.name===b)?$me(b,"keyword",5):void 0;default:return N.assertNever(O)}}case"symbol":{const{symbol:O,location:U,contextToken:K,origin:Z,previousToken:V}=C,{codeActions:se,sourceDisplay:G}=Gnt(b,U,K,Z,O,e,s,m,r,i,V,l,u,I,A,p),ne=qme(Z)?Z.symbolName:O.name;return Qme(O,ne,h,r,U,p,se,G)}case"literal":{const{literal:O}=C;return $me(Kme(r,u,O),"string",typeof O=="string"?8:7)}case"cases":{const O=pke(M.parent,r,u,e.getCompilerOptions(),s,e,void 0);if(O!=null&&O.importAdder.hasFixes()){const{entry:U,importAdder:K}=O,Z=_r.ChangeTracker.with({host:s,formatContext:l,preferences:u},K.writeFixes);return{name:U.name,kind:"",kindModifiers:"",displayParts:[],sourceDisplay:void 0,codeActions:[{changes:Z,description:uT([f.Includes_imports_of_types_referenced_by_0,b])}]}}return{name:b,kind:"",kindModifiers:"",displayParts:[],sourceDisplay:void 0}}case"none":return a_e().some(O=>O.name===b)?$me(b,"keyword",5):void 0;default:N.assertNever(C)}}function $me(e,t,r){return Nz(e,"",t,[ip(e,r)])}function Qme(e,t,r,i,o,s,l,u){const{displayParts:p,documentation:h,symbolKind:m,tags:b}=r.runWithCancellationToken(s,A=>iy.getSymbolDisplayPartsDocumentationAndSymbolKind(A,e,i,o,o,7));return Nz(t,iy.getSymbolModifiers(r,e),m,p,h,b,l,u)}function Nz(e,t,r,i,o,s,l,u){return{name:e,kindModifiers:t,kind:r,displayParts:i,documentation:o,tags:s,codeActions:l,source:u,sourceDisplay:u}}function Gnt(e,t,r,i,o,s,l,u,p,h,m,b,A,I,R,M){if(I!=null&&I.moduleSpecifier&&m&&xke(r||m,p).replacementSpan)return{codeActions:void 0,sourceDisplay:[df(I.moduleSpecifier)]};if(R==="ClassMemberSnippet/"){const{importAdder:se,eraseRange:G}=fke(l,s,u,A,e,o,t,h,r,b);if(se||G)return{sourceDisplay:void 0,codeActions:[{changes:_r.ChangeTracker.with({host:l,formatContext:b,preferences:A},he=>{se&&se.writeFixes(he),G&&he.deleteRange(p,G)}),description:uT([f.Includes_imports_of_types_referenced_by_0,e])}]}}if(rke(i)){const se=Fu.getPromoteTypeOnlyCompletionAction(p,i.declaration.name,s,l,b,A);return N.assertIsDefined(se,"Expected to have a code action for promoting type-only alias"),{codeActions:[se],sourceDisplay:void 0}}if(R==="ObjectLiteralMemberWithComma/"&&r){const se=_r.ChangeTracker.with({host:l,formatContext:b,preferences:A},G=>G.insertText(p,r.end,","));if(se)return{sourceDisplay:void 0,codeActions:[{changes:se,description:uT([f.Add_missing_comma_for_object_member_completion_0,e])}]}}if(!i||!(Rz(i)||E2(i)))return{codeActions:void 0,sourceDisplay:void 0};const C=i.isFromPackageJson?l.getPackageJsonAutoImportProvider().getTypeChecker():s.getTypeChecker(),{moduleSymbol:O}=i,U=C.getMergedSymbol(bu(o.exportSymbol||o,C)),K=(r==null?void 0:r.kind)===30&&ud(r.parent),{moduleSpecifier:Z,codeAction:V}=Fu.getImportCompletionAction(U,O,I==null?void 0:I.exportMapKey,p,e,K,l,s,b,m&&Ve(m)?m.getStart(p):h,A,M);return N.assert(!(I!=null&&I.moduleSpecifier)||Z===I.moduleSpecifier),{sourceDisplay:[df(Z)],codeActions:[V]}}function Vnt(e,t,r,i,o,s,l){const u=hke(e,t,r,i,o,s,l);return u.type==="symbol"?u.symbol:void 0}function jnt(e,t,r){return Ut(t&&(t.isUnion()?t.types:[t]),i=>{const o=i&&i.symbol;return o&&o.flags&424&&!Noe(o)?Zme(o,e,r):void 0})}function Unt(e,t,r,i){const{parent:o}=e;switch(e.kind){case 80:return T5(e,i);case 64:switch(o.kind){case 260:return i.getContextualType(o.initializer);case 226:return i.getTypeAtLocation(o.left);case 291:return i.getContextualTypeForJsxAttribute(o);default:return}case 105:return i.getContextualType(o);case 84:const s=ii(o,YR);return s?wX(s,i):void 0;case 19:return TP(o)&&!dg(o.parent)&&!s1(o.parent)?i.getContextualTypeForJsxAttribute(o.parent):void 0;default:const l=o8.getArgumentInfoForCompletions(e,t,r,i);return l?i.getContextualTypeForArgumentAtIndex(l.invocation,l.argumentIndex):A5(e.kind)&&mr(o)&&A5(o.operatorToken.kind)?i.getTypeAtLocation(o.left):i.getContextualType(e,4)||i.getContextualType(e)}}function Zme(e,t,r){const i=r.getAccessibleSymbolChain(e,t,-1,!1);return i?Ha(i):e.parent&&(Hnt(e.parent)?e:Zme(e.parent,t,r))}function Hnt(e){var t;return!!((t=e.declarations)!=null&&t.some(r=>r.kind===312))}function gke(e,t,r,i,o,s,l,u,p,h){const m=e.getTypeChecker(),b=dke(r,i);let A=Ys(),I=po(r,o);t("getCompletionData: Get current token: "+(Ys()-A)),A=Ys();const R=Qv(r,o,I);t("getCompletionData: Is inside comment: "+(Ys()-A));let M=!1,C=!1;if(R){if(Oue(r,o)){if(r.text.charCodeAt(o-1)===64)return{kind:1};{const Ge=lm(o,r);if(!/[^*|\s(/)]/.test(r.text.substring(Ge,o)))return{kind:2}}}const me=Xnt(I,o);if(me){if(me.tagName.pos<=o&&o<=me.tagName.end)return{kind:1};const Ge=tn(me);if(Ge&&(I=po(r,o),(!I||!Bg(I)&&(I.parent.kind!==355||I.parent.name!==I))&&(M=J(Ge))),!M&&r_(me)&&(zl(me.name)||me.name.pos<=o&&o<=me.name.end))return{kind:3,tag:me}}if(!M){t("Returning an empty list because completion was inside a regular comment or plain text part of a JsDoc comment.");return}}A=Ys();const O=!M&&dd(r),U=LQ(o,r),K=U.previousToken;let Z=U.contextToken;t("getCompletionData: Get previous token: "+(Ys()-A));let V=I,se,G=!1,ne=!1,he=!1,ye=!1,$=!1,Y=!1,oe,fe=Vd(r,o),Ne=0,pe=!1,ie=0;if(Z){const me=xke(Z,r);if(me.keywordCompletion){if(me.isKeywordOnlyCompletion)return{kind:4,keywordCompletions:[Ent(me.keywordCompletion)],isNewIdentifierLocation:me.isNewIdentifierLocation};Ne=Tnt(me.keywordCompletion)}if(me.replacementSpan&&s.includeCompletionsForImportStatements&&s.includeCompletionsWithInsertText&&(ie|=2,oe=me,pe=me.isNewIdentifierLocation),!me.replacementSpan&&Qi(Z))return t("Returning an empty list because completion was requested in an invalid position."),Ne?cke(Ne,O,ma()):void 0;let Ge=Z.parent;if(Z.kind===25||Z.kind===29)switch(G=Z.kind===25,ne=Z.kind===29,Ge.kind){case 211:se=Ge,V=se.expression;const ke=kR(se);if(zl(ke)||(la(V)||ea(V))&&V.end===Z.pos&&V.getChildCount(r)&&Ya(V.getChildren(r)).kind!==22)return;break;case 166:V=Ge.left;break;case 267:V=Ge.name;break;case 205:V=Ge;break;case 236:V=Ge.getFirstToken(r),N.assert(V.kind===102||V.kind===105);break;default:return}else if(!oe){if(Ge&&Ge.kind===211&&(Z=Ge,Ge=Ge.parent),I.parent===fe)switch(I.kind){case 32:(I.parent.kind===284||I.parent.kind===286)&&(fe=I);break;case 44:I.parent.kind===285&&(fe=I);break}switch(Ge.kind){case 287:Z.kind===44&&(ye=!0,fe=Z);break;case 226:if(!Ike(Ge))break;case 285:case 284:case 286:Y=!0,Z.kind===30&&(he=!0,fe=Z);break;case 294:case 293:(K.kind===20||K.kind===80&&K.parent.kind===291)&&(Y=!0);break;case 291:if(Ge.initializer===K&&K.end<o){Y=!0;break}switch(K.kind){case 64:$=!0;break;case 80:Y=!0,Ge!==K.parent&&!Ge.initializer&&vs(Ge,64,r)&&($=K)}break}}}const ve=Ys();let Le=5,He=!1,Ee=[],De;const Pe=[],We=[],At=new Map,Se=Di(),je=uh(me=>sT(me?u.getPackageJsonAutoImportProvider():e,u));if(G||ne)rn();else if(he)Ee=m.getJsxIntrinsicTagNamesAt(fe),N.assertEachIsDefined(Ee,"getJsxIntrinsicTagNames() should all be defined"),Qt(),Le=1,Ne=0;else if(ye){const me=Z.parent.parent.openingElement.tagName,Ge=m.getSymbolAtLocation(me);Ge&&(Ee=[Ge]),Le=1,Ne=0}else if(!Qt())return Ne?cke(Ne,O,pe):void 0;t("getCompletionData: Semantic work: "+(Ys()-ve));const at=K&&Unt(K,o,r,m),vt=!ii(K,ls)&&!Y?Hi(at&&(at.isUnion()?at.types:[at]),me=>me.isLiteral()&&!(me.flags&1024)?me.value:void 0):[],$e=K&&at&&jnt(K,at,m);return{kind:0,symbols:Ee,completionKind:Le,isInSnippetScope:C,propertyAccessToConvert:se,isNewIdentifierLocation:pe,location:fe,keywordFilters:Ne,literals:vt,symbolToOriginInfoMap:Pe,recommendedCompletion:$e,previousToken:K,contextToken:Z,isJsxInitializer:$,insideJsDocTagTypeExpression:M,symbolToSortTextMap:We,isTypeOnlyLocation:Se,isJsxIdentifierExpected:Y,isRightOfOpenTag:he,isRightOfDotOrQuestionDot:G||ne,importStatementCompletion:oe,hasUnresolvedAutoImports:He,flags:ie};function It(me){switch(me.kind){case 348:case 355:case 349:case 351:case 353:case 356:case 357:return!0;case 352:return!!me.constraint;default:return!1}}function tn(me){if(It(me)){const Ge=sm(me)?me.constraint:me.typeExpression;return Ge&&Ge.kind===316?Ge:void 0}if(vI(me)||dF(me))return me.class}function rn(){Le=2;const me=Vy(V),Ge=me&&!V.isTypeOf||tg(V.parent)||dO(Z,r,m),ke=$7(V);if(Zd(V)||me||Fr(V)){const Tt=Xl(V.parent);Tt&&(pe=!0);let kt=m.getSymbolAtLocation(V);if(kt&&(kt=bu(kt,m),kt.flags&1920)){const en=m.getExportsOfModule(kt);N.assertEachIsDefined(en,"getExportsOfModule() should all be defined");const bn=Ni=>m.isValidPropertyAccess(me?V:V.parent,Ni.name),Bn=Ni=>t_e(Ni,m),Hn=Tt?Ni=>{var Ai;return!!(Ni.flags&1920)&&!((Ai=Ni.declarations)!=null&&Ai.every(ir=>ir.parent===V.parent))}:ke?Ni=>Bn(Ni)||bn(Ni):Ge||M?Bn:bn;for(const Ni of en)Hn(Ni)&&Ee.push(Ni);if(!Ge&&!M&&kt.declarations&&kt.declarations.some(Ni=>Ni.kind!==312&&Ni.kind!==267&&Ni.kind!==266)){let Ni=m.getTypeOfSymbolAtLocation(kt,V).getNonOptionalType(),Ai=!1;if(Ni.isNullableType()){const ir=G&&!ne&&s.includeAutomaticOptionalChainCompletions!==!1;(ir||ne)&&(Ni=Ni.getNonNullableType(),ir&&(Ai=!0))}Pn(Ni,!!(V.flags&65536),Ai)}return}}if(!Ge||kS(V)){m.tryGetThisTypeAt(V,!1);let Tt=m.getTypeAtLocation(V).getNonOptionalType();if(Ge)Pn(Tt.getNonNullableType(),!1,!1);else{let kt=!1;if(Tt.isNullableType()){const en=G&&!ne&&s.includeAutomaticOptionalChainCompletions!==!1;(en||ne)&&(Tt=Tt.getNonNullableType(),en&&(kt=!0))}Pn(Tt,!!(V.flags&65536),kt)}}}function Pn(me,Ge,ke){pe=!!me.getStringIndexType(),ne&&bt(me.getCallSignatures())&&(pe=!0);const Tt=V.kind===205?V:V.parent;if(b)for(const kt of me.getApparentProperties())m.isValidPropertyAccessForCompletions(Tt,me,kt)&&Xn(kt,!1,ke);else Ee.push(...nr(WQ(me,m),kt=>m.isValidPropertyAccessForCompletions(Tt,me,kt)));if(Ge&&s.includeCompletionsWithInsertText){const kt=m.getPromisedTypeOfPromise(me);if(kt)for(const en of kt.getApparentProperties())m.isValidPropertyAccessForCompletions(Tt,kt,en)&&Xn(en,!0,ke)}}function Xn(me,Ge,ke){var Tt;const kt=Ut(me.declarations,Hn=>ii(Mo(Hn),Za));if(kt){const Hn=hn(kt.expression),Ni=Hn&&m.getSymbolAtLocation(Hn),Ai=Ni&&Zme(Ni,Z,m),ir=Ai&&Ta(Ai);if(ir&&Rm(At,ir)){const jr=Ee.length;Ee.push(Ai);const vo=Ai.parent;if(!vo||!mO(vo)||m.tryGetMemberInModuleExportsAndProperties(Ai.name,vo)!==Ai)Pe[jr]={kind:Bn(2)};else{const Ci=Yc(tm(vo.name))?(Tt=G4(vo))==null?void 0:Tt.fileName:void 0,{moduleSpecifier:Ii}=(De||(De=Fu.createImportSpecifierResolver(r,e,u,s))).getModuleSpecifierForBestExportInfo([{exportKind:0,moduleFileName:Ci,isFromPackageJson:!1,moduleSymbol:vo,symbol:Ai,targetFlags:bu(Ai,m).flags}],o,T0(fe))||{};if(Ii){const Kr={kind:Bn(6),moduleSymbol:vo,isDefaultExport:!1,symbolName:Ai.name,exportName:Ai.name,fileName:Ci,moduleSpecifier:Ii};Pe[jr]=Kr}}}else if(s.includeCompletionsWithInsertText){if(ir&&At.has(ir))return;bn(me),en(me),Ee.push(me)}}else bn(me),en(me),Ee.push(me);function en(Hn){trt(Hn)&&(We[Ta(Hn)]=zu.LocalDeclarationPriority)}function bn(Hn){s.includeCompletionsWithInsertText&&(Ge&&Rm(At,Ta(Hn))?Pe[Ee.length]={kind:Bn(8)}:ke&&(Pe[Ee.length]={kind:16}))}function Bn(Hn){return ke?Hn|16:Hn}}function hn(me){return Ve(me)?me:Fr(me)?hn(me.expression):void 0}function Qt(){return(Sn()||kn()||ao()||qe()||mt()||ft()||$t()||Ct()||_i()||(Oi(),1))===1}function $t(){return Fe(Z)?(Le=5,pe=!0,Ne=4,1):0}function _i(){const me=j(Z),Ge=me&&m.getContextualType(me.attributes);if(!Ge)return 0;const ke=me&&m.getContextualType(me.attributes,4);return Ee=So(Ee,_e(OQ(Ge,ke,me.attributes,m),me.attributes.properties)),q(),Le=3,pe=!1,1}function ao(){return oe?(pe=!0,tt(),1):0}function Oi(){Ne=pt(Z)?5:1,Le=1,pe=ma(),K!==Z&&N.assert(!!K,"Expected 'contextToken' to be defined when different from 'previousToken'.");const me=K!==Z?K.getStart():o,Ge=hi(Z,me,r)||r;C=Mn(Ge);const ke=(Se?0:111551)|788968|1920|2097152,Tt=K&&!T0(K);Ee=So(Ee,m.getSymbolsInScope(Ge,ke)),N.assertEachIsDefined(Ee,"getSymbolsInScope() should all be defined");for(let kt=0;kt<Ee.length;kt++){const en=Ee[kt];if(!m.isArgumentsSymbol(en)&&!bt(en.declarations,bn=>bn.getSourceFile()===r)&&(We[Ta(en)]=zu.GlobalsOrKeywords),Tt&&!(en.flags&111551)){const bn=en.declarations&&An(en.declarations,_L);if(bn){const Bn={kind:64,declaration:bn};Pe[kt]=Bn}}}if(s.includeCompletionsWithInsertText&&Ge.kind!==312){const kt=m.tryGetThisTypeAt(Ge,!1,ui(Ge.parent)?Ge:void 0);if(kt&&!ert(kt,r,m))for(const en of WQ(kt,m))Pe[Ee.length]={kind:1},Ee.push(en),We[Ta(en)]=zu.SuggestedClassMembers}tt(),Se&&(Ne=Z&&bS(Z.parent)?6:7)}function No(){return oe?!0:s.includeCompletionsForModuleExports?r.externalModuleIndicator||r.commonJsModuleIndicator||gX(e.getCompilerOptions())?!0:Vue(e):!1}function Mn(me){switch(me.kind){case 312:case 228:case 294:case 241:return!0;default:return qi(me)}}function Di(){return M||!!oe&&m0(fe.parent)||!Ar(Z)&&(dO(Z,r,m)||tg(fe)||sn(Z))}function Ar(me){return me&&(me.kind===114&&(me.parent.kind===186||KR(me.parent))||me.kind===131&&me.parent.kind===182)}function sn(me){if(me){const Ge=me.parent.kind;switch(me.kind){case 59:return Ge===172||Ge===171||Ge===169||Ge===260||PA(Ge);case 64:return Ge===265||Ge===168;case 130:return Ge===234;case 30:return Ge===183||Ge===216;case 96:return Ge===168;case 152:return Ge===238}}return!1}function tt(){var me,Ge;if(!No()||(N.assert(!(l!=null&&l.data),"Should not run 'collectAutoImports' when faster path is available via `data`"),l&&!l.source))return;ie|=1;const Tt=K===Z&&oe?"":K&&Ve(K)?K.text.toLowerCase():"",kt=(me=u.getModuleSpecifierCache)==null?void 0:me.call(u),en=RO(r,u,e,s,h),bn=(Ge=u.getPackageJsonAutoImportProvider)==null?void 0:Ge.call(u),Bn=l?void 0:m2(r,s,u);oke("collectAutoImports",u,De||(De=Fu.createImportSpecifierResolver(r,e,u,s)),e,o,s,!!oe,T0(fe),Ni=>{en.search(r.path,he,(Ai,ir)=>{if(!tf(Ai,os(u.getCompilationSettings()))||!l&&GA(Ai)||!Se&&!oe&&!(ir&111551)||Se&&!(ir&790504))return!1;const jr=Ai.charCodeAt(0);return he&&(jr<65||jr>90)?!1:l?!0:Pke(Ai,Tt)},(Ai,ir,jr,vo)=>{if(l&&!bt(Ai,Fs=>l.source===tm(Fs.moduleSymbol.name))||(Ai=nr(Ai,Hn),!Ai.length))return;const Ci=Ni.tryResolve(Ai,jr)||{};if(Ci==="failed")return;let Ii=Ai[0],Kr;Ci!=="skipped"&&({exportInfo:Ii=Ai[0],moduleSpecifier:Kr}=Ci);const xo=Ii.exportKind===1,Dn=xo&&MR(Ii.symbol)||Ii.symbol;zt(Dn,{kind:Kr?32:4,moduleSpecifier:Kr,symbolName:ir,exportMapKey:vo,exportName:Ii.exportKind===2?"export=":Ii.symbol.name,fileName:Ii.moduleFileName,isDefaultExport:xo,moduleSymbol:Ii.moduleSymbol,isFromPackageJson:Ii.isFromPackageJson})}),He=Ni.skippedAny(),ie|=Ni.resolvedAny()?8:0,ie|=Ni.resolvedBeyondLimit()?16:0});function Hn(Ni){const Ai=ii(Ni.moduleSymbol.valueDeclaration,$i);if(!Ai){const ir=tm(Ni.moduleSymbol.name);return G_.nodeCoreModules.has(ir)&&uo(ir,"node:")!==k5(r,e)?!1:Bn?Bn.allowsImportingAmbientModule(Ni.moduleSymbol,je(Ni.isFromPackageJson)):!0}return $X(Ni.isFromPackageJson?bn:e,r,Ai,s,Bn,je(Ni.isFromPackageJson),kt)}}function zt(me,Ge){const ke=Ta(me);We[ke]!==zu.GlobalsOrKeywords&&(Pe[Ee.length]=Ge,We[ke]=oe?zu.LocationPriority:zu.AutoImportSuggestions,Ee.push(me))}function Rn(me,Ge){lr(fe)||me.forEach(ke=>{if(!li(ke))return;const Tt=kQ(ke,os(i),void 0,0,!1);if(!Tt)return;const{name:kt}=Tt,en=Lnt(ke,kt,Ge,e,u,i,s,p);if(!en)return;const bn={kind:128,...en};ie|=32,Pe[Ee.length]=bn,Ee.push(ke)})}function li(me){return!!(me.flags&8196)}function hi(me,Ge,ke){let Tt=me;for(;Tt&&!rX(Tt,Ge,ke);)Tt=Tt.parent;return Tt}function Qi(me){const Ge=Ys(),ke=Wa(me)||Rt(me)||Vt(me)||Io(me)||YW(me);return t("getCompletionsAtPosition: isCompletionListBlocker: "+(Ys()-Ge)),ke}function Io(me){if(me.kind===12)return!0;if(me.kind===32&&me.parent){if(fe===me.parent&&(fe.kind===286||fe.kind===285))return!1;if(me.parent.kind===286)return fe.parent.kind!==286;if(me.parent.kind===287||me.parent.kind===285)return!!me.parent.parent&&me.parent.parent.kind===284}return!1}function ma(){if(Z){const me=Z.parent.kind,Ge=wQ(Z);switch(Ge){case 28:return me===213||me===176||me===214||me===209||me===226||me===184||me===210;case 21:return me===213||me===176||me===214||me===217||me===196;case 23:return me===209||me===181||me===167;case 144:case 145:case 102:return!0;case 25:return me===267;case 19:return me===263||me===210;case 64:return me===260||me===226;case 16:return me===228;case 17:return me===239;case 134:return me===174||me===304;case 42:return me===174}if(Cz(Ge))return!0}return!1}function Wa(me){return(IH(me)||ij(me))&&(lO(me,o)||o===me.end&&(!!me.isUnterminated||IH(me)))}function Sn(){const me=Qnt(Z);if(!me)return 0;const ke=(uI(me.parent)?me.parent:void 0)||me,Tt=Ake(ke,m);if(!Tt)return 0;const kt=m.getTypeFromTypeNode(ke),en=WQ(Tt,m),bn=WQ(kt,m),Bn=new Set;return bn.forEach(Hn=>Bn.add(Hn.escapedName)),Ee=So(Ee,nr(en,Hn=>!Bn.has(Hn.escapedName))),Le=0,pe=!0,1}function kn(){const me=Ee.length,Ge=qnt(Z,o,r);if(!Ge)return 0;Le=0;let ke,Tt;if(Ge.kind===210){const kt=nrt(Ge,m);if(kt===void 0)return Ge.flags&67108864?2:0;const en=m.getContextualType(Ge,4),bn=(en||kt).getStringIndexType(),Bn=(en||kt).getNumberIndexType();if(pe=!!bn||!!Bn,ke=OQ(kt,en,Ge,m),Tt=Ge.properties,ke.length===0&&!Bn)return 0}else{N.assert(Ge.kind===206),pe=!1;const kt=C_(Ge.parent);if(!pR(kt))return N.fail("Root declaration is not variable-like.");let en=zy(kt)||!!yu(kt)||kt.parent.parent.kind===250;if(!en&&kt.kind===169&&(yt(kt.parent)?en=!!m.getContextualType(kt.parent):(kt.parent.kind===174||kt.parent.kind===178)&&(en=yt(kt.parent.parent)&&!!m.getContextualType(kt.parent.parent))),en){const bn=m.getTypeAtLocation(Ge);if(!bn)return 2;ke=m.getPropertiesOfType(bn).filter(Bn=>m.isPropertyAccessible(Ge,!1,!1,bn,Bn)),Tt=Ge.elements}}if(ke&&ke.length>0){const kt=fn(ke,N.checkDefined(Tt));Ee=So(Ee,kt),q(),Ge.kind===210&&s.includeCompletionsWithObjectLiteralMethodSnippets&&s.includeCompletionsWithInsertText&&(Lt(me),Rn(kt,Ge))}return 1}function qe(){if(!Z)return 0;const me=Z.kind===19||Z.kind===28?ii(Z.parent,B3):f5(Z)?ii(Z.parent.parent,B3):void 0;if(!me)return 0;f5(Z)||(Ne=8);const{moduleSpecifier:Ge}=me.kind===275?me.parent.parent:me.parent;if(!Ge)return pe=!0,me.kind===275?2:0;const ke=m.getSymbolAtLocation(Ge);if(!ke)return pe=!0,2;Le=3,pe=!1;const Tt=m.getExportsAndPropertiesOfModule(ke),kt=new Set(me.elements.filter(bn=>!J(bn)).map(bn=>(bn.propertyName||bn.name).escapedText)),en=Tt.filter(bn=>bn.escapedName!=="default"&&!kt.has(bn.escapedName));return Ee=So(Ee,en),en.length||(Ne=0),1}function mt(){if(Z===void 0)return 0;const me=Z.kind===19||Z.kind===28?ii(Z.parent,mI):Z.kind===59?ii(Z.parent.parent,mI):void 0;if(me===void 0)return 0;const Ge=new Set(me.elements.map(cW));return Ee=nr(m.getTypeAtLocation(me).getApparentProperties(),ke=>!Ge.has(ke.escapedName)),1}function ft(){var me;const Ge=Z&&(Z.kind===19||Z.kind===28)?ii(Z.parent,Pf):void 0;if(!Ge)return 0;const ke=Gn(Ge,Ym($i,Xl));return Le=5,pe=!1,(me=ke.locals)==null||me.forEach((Tt,kt)=>{var en,bn;Ee.push(Tt),(bn=(en=ke.symbol)==null?void 0:en.exports)!=null&&bn.has(kt)&&(We[Ta(Tt)]=zu.OptionalMember)}),1}function Ct(){const me=$nt(r,Z,fe,o);if(!me)return 0;if(Le=3,pe=!0,Ne=Z.kind===42?0:ui(me)?2:3,!ui(me))return 1;const Ge=Z.kind===27?Z.parent.parent:Z.parent;let ke=$c(Ge)?pd(Ge):0;if(Z.kind===80&&!J(Z))switch(Z.getText()){case"private":ke=ke|2;break;case"static":ke=ke|256;break;case"override":ke=ke|16;break}if(Rl(Ge)&&(ke|=256),!(ke&2)){const Tt=ui(me)&&ke&16?AA(D_(me)):CC(me),kt=Pi(Tt,en=>{const bn=m.getTypeAtLocation(en);return ke&256?(bn==null?void 0:bn.symbol)&&m.getPropertiesOfType(m.getTypeOfSymbolAtLocation(bn.symbol,me)):bn&&m.getPropertiesOfType(bn)});Ee=So(Ee,L(kt,me.members,ke)),Ue(Ee,(en,bn)=>{const Bn=en==null?void 0:en.valueDeclaration;if(Bn&&$c(Bn)&&Bn.name&&Za(Bn.name)){const Hn={kind:512,symbolName:m.symbolToString(en)};Pe[bn]=Hn}})}return 1}function le(me){return!!me.parent&&Ao(me.parent)&&Ml(me.parent.parent)&&(_C(me.kind)||Bg(me))}function Fe(me){if(me){const Ge=me.parent;switch(me.kind){case 21:case 28:return Ml(me.parent)?me.parent:void 0;default:if(le(me))return Ge.parent}}}function pt(me){if(me){let Ge;const ke=Gn(me.parent,Tt=>ui(Tt)?"quit":Bs(Tt)&&Ge===Tt.body?!0:(Ge=Tt,!1));return ke&&ke}}function j(me){if(me){const Ge=me.parent;switch(me.kind){case 32:case 31:case 44:case 80:case 211:case 292:case 291:case 293:if(Ge&&(Ge.kind===285||Ge.kind===286)){if(me.kind===32){const ke=xc(me.pos,r,void 0);if(!Ge.typeArguments||ke&&ke.kind===44)break}return Ge}else if(Ge.kind===291)return Ge.parent.parent;break;case 11:if(Ge&&(Ge.kind===291||Ge.kind===293))return Ge.parent.parent;break;case 20:if(Ge&&Ge.kind===294&&Ge.parent&&Ge.parent.kind===291)return Ge.parent.parent.parent;if(Ge&&Ge.kind===293)return Ge.parent.parent;break}}}function ot(me,Ge){return r.getLineEndOfPosition(me.getEnd())<Ge}function Rt(me){const Ge=me.parent,ke=Ge.kind;switch(me.kind){case 28:return ke===260||vn(me)||ke===243||ke===266||_t(ke)||ke===264||ke===207||ke===265||ui(Ge)&&!!Ge.typeParameters&&Ge.typeParameters.end>=me.pos;case 25:return ke===207;case 59:return ke===208;case 23:return ke===207;case 21:return ke===299||_t(ke);case 19:return ke===266;case 30:return ke===263||ke===231||ke===264||ke===265||PA(ke);case 126:return ke===172&&!ui(Ge.parent);case 26:return ke===169||!!Ge.parent&&Ge.parent.kind===207;case 125:case 123:case 124:return ke===169&&!Ml(Ge.parent);case 130:return ke===276||ke===281||ke===274;case 139:case 153:return!FQ(me);case 80:{if(ke===276&&me===Ge.name&&me.text==="type"||Gn(me.parent,wi)&&ot(me,o))return!1;break}case 86:case 94:case 120:case 100:case 115:case 102:case 121:case 87:case 140:return!0;case 156:return ke!==276;case 42:return ea(me.parent)&&!ql(me.parent)}if(Cz(wQ(me))&&FQ(me)||le(me)&&(!Ve(me)||_C(wQ(me))||J(me)))return!1;switch(wQ(me)){case 128:case 86:case 87:case 138:case 94:case 100:case 120:case 121:case 123:case 124:case 125:case 126:case 115:return!0;case 134:return Jo(me.parent)}if(Gn(me.parent,ui)&&me===K&&Ye(me,o))return!1;const kt=b0(me.parent,172);if(kt&&me!==K&&ui(K.parent.parent)&&o<=K.end){if(Ye(me,K.end))return!1;if(me.kind!==64&&(ww(kt)||w4(kt)))return!0}return Bg(me)&&!rp(me.parent)&&!F_(me.parent)&&!((ui(me.parent)||hd(me.parent)||gl(me.parent))&&(me!==K||o>K.end))}function Ye(me,Ge){return me.kind!==64&&(me.kind===27||!Rf(me.end,Ge,r))}function _t(me){return PA(me)&&me!==176}function Vt(me){if(me.kind===9){const Ge=me.getFullText();return Ge.charAt(Ge.length-1)==="."}return!1}function vn(me){return me.parent.kind===261&&!dO(me,r,m)}function fn(me,Ge){if(Ge.length===0)return me;const ke=new Set,Tt=new Set;for(const en of Ge){if(en.kind!==303&&en.kind!==304&&en.kind!==208&&en.kind!==174&&en.kind!==177&&en.kind!==178&&en.kind!==305||J(en))continue;let bn;if(Xv(en))_n(en,ke);else if(Qa(en)&&en.propertyName)en.propertyName.kind===80&&(bn=en.propertyName.escapedText);else{const Bn=Mo(en);bn=Bn&&N_(Bn)?LC(Bn):void 0}bn!==void 0&&Tt.add(bn)}const kt=me.filter(en=>!Tt.has(en.escapedName));return Oe(ke,kt),kt}function _n(me,Ge){const ke=me.expression,Tt=m.getSymbolAtLocation(ke),kt=Tt&&m.getTypeOfSymbolAtLocation(Tt,ke),en=kt&&kt.properties;en&&en.forEach(bn=>{Ge.add(bn.name)})}function q(){Ee.forEach(me=>{if(me.flags&16777216){const Ge=Ta(me);We[Ge]=We[Ge]??zu.OptionalMember}})}function Oe(me,Ge){if(me.size!==0)for(const ke of Ge)me.has(ke.name)&&(We[Ta(ke)]=zu.MemberDeclaredBySpreadAssignment)}function Lt(me){for(let Ge=me;Ge<Ee.length;Ge++){const ke=Ee[Ge],Tt=Ta(ke),kt=Pe==null?void 0:Pe[Ge],en=os(i),bn=kQ(ke,en,kt,0,!1);if(bn){const Bn=We[Tt]??zu.LocationPriority,{name:Hn}=bn;We[Tt]=zu.ObjectLiteralProperty(Bn,Hn)}}}function L(me,Ge,ke){const Tt=new Set;for(const kt of Ge){if(kt.kind!==172&&kt.kind!==174&&kt.kind!==177&&kt.kind!==178||J(kt)||gp(kt,2)||sa(kt)!==!!(ke&256))continue;const en=PS(kt.name);en&&Tt.add(en)}return me.filter(kt=>!Tt.has(kt.escapedName)&&!!kt.declarations&&!(Df(kt)&2)&&!(kt.valueDeclaration&&cd(kt.valueDeclaration)))}function _e(me,Ge){const ke=new Set,Tt=new Set;for(const en of Ge)J(en)||(en.kind===291?ke.add(sP(en.name)):gI(en)&&_n(en,Tt));const kt=me.filter(en=>!ke.has(en.escapedName));return Oe(Tt,kt),kt}function J(me){return me.getStart(r)<=o&&o<=me.getEnd()}}function qnt(e,t,r){var i;if(e){const{parent:o}=e;switch(e.kind){case 19:case 28:if(Oa(o)||am(o))return o;break;case 42:return ql(o)?ii(o.parent,Oa):void 0;case 134:return ii(o.parent,Oa);case 80:if(e.text==="async"&&rp(e.parent))return e.parent.parent;{if(Oa(e.parent.parent)&&(Xv(e.parent)||rp(e.parent)&&bs(r,e.getEnd()).line!==bs(r,t).line))return e.parent.parent;const l=Gn(o,hc);if((l==null?void 0:l.getLastToken(r))===e&&Oa(l.parent))return l.parent}break;default:if((i=o.parent)!=null&&i.parent&&(ql(o.parent)||rf(o.parent)||bp(o.parent))&&Oa(o.parent.parent))return o.parent.parent;if(Xv(o)&&Oa(o.parent))return o.parent;const s=Gn(o,hc);if(e.kind!==59&&(s==null?void 0:s.getLastToken(r))===e&&Oa(s.parent))return s.parent}}}function LQ(e,t){const r=xc(e,t);return r&&e<=r.end&&(Qh(r)||Bd(r.kind))?{contextToken:xc(r.getFullStart(),t,void 0),previousToken:r}:{contextToken:r,previousToken:r}}function vke(e,t,r,i){const o=t.isPackageJsonImport?i.getPackageJsonAutoImportProvider():r,s=o.getTypeChecker(),l=t.ambientModuleName?s.tryFindAmbientModule(t.ambientModuleName):t.fileName?s.getMergedSymbol(N.checkDefined(o.getSourceFile(t.fileName)).symbol):void 0;if(!l)return;let u=t.exportName==="export="?s.resolveExternalModuleSymbol(l):s.tryGetMemberInModuleExportsAndProperties(t.exportName,l);return u?(u=t.exportName==="default"&&MR(u)||u,{symbol:u,origin:wnt(t,e,l)}):void 0}function kQ(e,t,r,i,o){if(gnt(r))return;const s=fnt(r)?r.symbolName:e.name;if(s===void 0||e.flags&1536&&VL(s.charCodeAt(0))||lk(e))return;const l={name:s,needsConvertPropertyAccess:!1};if(tf(s,t,o?1:0)||e.valueDeclaration&&cd(e.valueDeclaration))return l;switch(i){case 3:return qme(r)?{name:r.symbolName,needsConvertPropertyAccess:!1}:void 0;case 0:return{name:JSON.stringify(s),needsConvertPropertyAccess:!1};case 2:case 1:return s.charCodeAt(0)===32?void 0:{name:s,needsConvertPropertyAccess:!0};case 5:case 4:return l;default:N.assertNever(i)}}function yke(e,t){if(!t)return bke(e);const r=e+8+1;return Pz[r]||(Pz[r]=bke(e).filter(i=>!Jnt(PE(i.name))))}function bke(e){return Pz[e]||(Pz[e]=a_e().filter(t=>{const r=PE(t.name);switch(e){case 0:return!1;case 1:return Ske(r)||r===138||r===144||r===156||r===145||r===128||a2(r)&&r!==157;case 5:return Ske(r);case 2:return Cz(r);case 3:return Eke(r);case 4:return _C(r);case 6:return a2(r)||r===87;case 7:return a2(r);case 8:return r===156;default:return N.assertNever(e)}}))}function Jnt(e){switch(e){case 128:case 133:case 163:case 136:case 138:case 94:case 162:case 119:case 140:case 120:case 142:case 143:case 144:case 145:case 146:case 150:case 151:case 164:case 123:case 124:case 125:case 148:case 154:case 155:case 156:case 158:case 159:return!0;default:return!1}}function Eke(e){return e===148}function Cz(e){switch(e){case 128:case 129:case 137:case 139:case 153:case 134:case 138:case 164:return!0;default:return oj(e)}}function Ske(e){return e===134||e===135||e===160||e===130||e===152||e===156||!y3(e)&&!Cz(e)}function wQ(e){return Ve(e)?u0(e)??0:e.kind}function Knt(e,t){const r=[];if(e){const i=e.getSourceFile(),o=e.parent,s=i.getLineAndCharacterOfPosition(e.end).line,l=i.getLineAndCharacterOfPosition(t).line;(kc(o)||Yl(o)&&o.moduleSpecifier)&&e===o.moduleSpecifier&&s===l&&r.push({name:fa(132),kind:"keyword",kindModifiers:"",sortText:zu.GlobalsOrKeywords})}return r}function Xnt(e,t){return Gn(e,r=>iR(r)&&sO(r,t)?!0:n_(r)?"quit":!1)}function OQ(e,t,r,i){const o=t&&t!==e,s=o&&!(t.flags&3)?i.getUnionType([e,t]):e,l=Ynt(s,r,i);return s.isClass()&&Tke(l)?[]:o?nr(l,u):l;function u(p){return Me(p.declarations)?bt(p.declarations,h=>h.parent!==r):!0}}function Ynt(e,t,r){return e.isUnion()?r.getAllPossiblePropertiesOfTypes(nr(e.types,i=>!(i.flags&402784252||r.isArrayLikeType(i)||r.isTypeInvalidDueToUnionDiscriminant(i,t)||r.typeHasCallOrConstructSignatures(i)||i.isClass()&&Tke(i.getApparentProperties())))):e.getApparentProperties()}function Tke(e){return bt(e,t=>!!(Df(t)&6))}function WQ(e,t){return e.isUnion()?N.checkEachDefined(t.getAllPossiblePropertiesOfTypes(e.types),"getAllPossiblePropertiesOfTypes() should all be defined"):N.checkEachDefined(e.getApparentProperties(),"getApparentProperties() should all be defined")}function $nt(e,t,r,i){switch(r.kind){case 358:return ii(r.parent,qA);case 1:const o=ii(tl(aa(r.parent,$i).statements),qA);if(o&&!vs(o,20,e))return o;break;case 81:if(ii(r.parent,Jo))return Gn(r,ui);break;case 80:{if(u0(r)||Jo(r.parent)&&r.parent.initializer===r)return;if(FQ(r))return Gn(r,qA)}}if(t){if(r.kind===137||Ve(t)&&Jo(t.parent)&&ui(r))return Gn(t,ui);switch(t.kind){case 64:return;case 27:case 20:return FQ(r)&&r.parent.name===r?r.parent.parent:ii(r,qA);case 19:case 28:return ii(t.parent,qA);default:if(qA(r)){if(bs(e,t.getEnd()).line!==bs(e,i).line)return r;const o=ui(t.parent.parent)?Cz:Eke;return o(t.kind)||t.kind===42||Ve(t)&&o(u0(t)??0)?t.parent.parent:void 0}return}}}function Qnt(e){if(!e)return;const t=e.parent;switch(e.kind){case 19:if(Ep(t))return t;break;case 27:case 28:case 80:if(t.kind===171&&Ep(t.parent))return t.parent;break}}function Ake(e,t){if(!e)return;if(ji(e)&&O4(e.parent))return t.getTypeArgumentConstraint(e);const r=Ake(e.parent,t);if(r)switch(e.kind){case 171:return t.getTypeOfPropertyOfContextualType(r,e.symbol.escapedName);case 193:case 187:case 192:return r}}function FQ(e){return e.parent&&D4(e.parent)&&qA(e.parent.parent)}function Znt(e,t,r,i){switch(t){case".":case"@":return!0;case'"':case"'":case"`":return!!r&&ode(r)&&i===r.getStart(e)+1;case"#":return!!r&&Ji(r)&&!!su(r);case"<":return!!r&&r.kind===30&&(!mr(r.parent)||Ike(r.parent));case"/":return!!r&&(ls(r)?!!$L(r):r.kind===44&&a1(r.parent));case" ":return!!r&&gP(r)&&r.parent.kind===312;default:return N.assertNever(t)}}function Ike({left:e}){return zl(e)}function ert(e,t,r){const i=r.resolveName("self",void 0,111551,!1);if(i&&r.getTypeOfSymbolAtLocation(i,t)===e)return!0;const o=r.resolveName("global",void 0,111551,!1);if(o&&r.getTypeOfSymbolAtLocation(o,t)===e)return!0;const s=r.resolveName("globalThis",void 0,111551,!1);return!!(s&&r.getTypeOfSymbolAtLocation(s,t)===e)}function trt(e){return!!(e.valueDeclaration&&pd(e.valueDeclaration)&256&&ui(e.valueDeclaration.parent))}function nrt(e,t){const r=t.getContextualType(e);if(r)return r;const i=Bv(e.parent);if(mr(i)&&i.operatorToken.kind===64&&e===i.left)return t.getTypeAtLocation(i);if(yt(i))return t.getContextualType(i)}function xke(e,t){var r,i,o;let s,l=!1;const u=p();return{isKeywordOnlyCompletion:l,keywordCompletion:s,isNewIdentifierLocation:!!(u||s===156),isTopLevelTypeOnly:!!((i=(r=ii(u,kc))==null?void 0:r.importClause)!=null&&i.isTypeOnly)||!!((o=ii(u,tu))!=null&&o.isTypeOnly),couldBeTypeOnlyImportSpecifier:!!u&&Dke(u,e),replacementSpan:rrt(u)};function p(){const h=e.parent;if(tu(h)){const m=h.getLastToken(t);if(Ve(e)&&m!==e){s=161,l=!0;return}return s=e.kind===156?void 0:156,e_e(h.moduleReference)?h:void 0}if(Dke(h,e)&&Nke(h.parent))return h;if(Hg(h)||nb(h)){if(!h.parent.isTypeOnly&&(e.kind===19||e.kind===102||e.kind===28)&&(s=156),Nke(h))if(e.kind===20||e.kind===80)l=!0,s=161;else return h.parent.parent;return}if(Yl(h)&&e.kind===42||Pf(h)&&e.kind===20){l=!0,s=161;return}if(gP(e)&&$i(h))return s=156,e;if(gP(e)&&kc(h))return s=156,e_e(h.moduleSpecifier)?h:void 0}}function rrt(e){var t;if(!e)return;const r=Gn(e,Ym(kc,tu))??e,i=r.getSourceFile();if(OS(r,i))return Nd(r,i);N.assert(r.kind!==102&&r.kind!==276);const o=r.kind===272?Rke((t=r.importClause)==null?void 0:t.namedBindings)??r.moduleSpecifier:r.moduleReference,s={pos:r.getFirstToken().getStart(),end:o.pos};if(OS(s,i))return sb(s)}function Rke(e){var t;return An((t=ii(e,Hg))==null?void 0:t.elements,r=>{var i;return!r.propertyName&&GA(r.name.text)&&((i=xc(r.name.pos,e.getSourceFile(),e))==null?void 0:i.kind)!==28})}function Dke(e,t){return np(e)&&(e.isTypeOnly||t===e.name&&f5(t))}function Nke(e){if(!e_e(e.parent.parent.moduleSpecifier)||e.parent.name)return!1;if(Hg(e)){const t=Rke(e);return(t?e.elements.indexOf(t):e.elements.length)<2}return!0}function e_e(e){var t;return zl(e)?!0:!((t=ii(Ah(e)?e.expression:e,ls))!=null&&t.text)}function irt(e,t){if(!e)return;const r=Gn(e,o=>BE(o)||Cke(o)||ta(o)?"quit":(Ao(o)||gl(o))&&!t1(o.parent)),i=Gn(t,o=>BE(o)||Cke(o)||ta(o)?"quit":wi(o));return r||i}function Cke(e){return e.parent&&Gs(e.parent)&&(e.parent.body===e||e.kind===39)}function t_e(e,t,r=new Map){return i(e)||i(bu(e.exportSymbol||e,t));function i(o){return!!(o.flags&788968)||t.isUnknownSymbol(o)||!!(o.flags&1536)&&Rm(r,Ta(o))&&t.getExportsOfModule(o).some(s=>t_e(s,t,r))}}function ort(e,t){const r=bu(e,t).declarations;return!!Me(r)&&Zn(r,L5)}function Pke(e,t){if(t.length===0)return!0;let r=!1,i,o=0;const s=e.length;for(let l=0;l<s;l++){const u=e.charCodeAt(l),p=t.charCodeAt(o);if((u===p||u===art(p))&&(r||(r=i===void 0||97<=i&&i<=122&&65<=u&&u<=90||i===95&&u!==95),r&&o++,o===t.length))return!0;i=u}return!1}function art(e){return 97<=e&&e<=122?e-32:e}function srt(e){return e==="abstract"||e==="async"||e==="await"||e==="declare"||e==="module"||e==="namespace"||e==="type"}var zQ,n_e,zu,r_e,i_e,o_e,Pz,a_e,lrt=T({"src/services/completions.ts"(){si(),f_e(),zQ=100,n_e=1e3,zu={LocalDeclarationPriority:"10",LocationPriority:"11",OptionalMember:"12",MemberDeclaredBySpreadAssignment:"13",SuggestedClassMembers:"14",GlobalsOrKeywords:"15",AutoImportSuggestions:"16",ClassMemberSnippets:"17",JavascriptIdentifiers:"18",Deprecated(e){return"z"+e},ObjectLiteralProperty(e,t){return`${e}\0${t}\0`},SortBelow(e){return e+"1"}},r_e=(e=>(e.ThisProperty="ThisProperty/",e.ClassMemberSnippet="ClassMemberSnippet/",e.TypeOnlyAlias="TypeOnlyAlias/",e.ObjectLiteralMethodSnippet="ObjectLiteralMethodSnippet/",e.SwitchCases="SwitchCases/",e.ObjectLiteralMemberWithComma="ObjectLiteralMemberWithComma/",e))(r_e||{}),i_e=(e=>(e[e.ThisType=1]="ThisType",e[e.SymbolMember=2]="SymbolMember",e[e.Export=4]="Export",e[e.Promise=8]="Promise",e[e.Nullable=16]="Nullable",e[e.ResolvedExport=32]="ResolvedExport",e[e.TypeOnlyAlias=64]="TypeOnlyAlias",e[e.ObjectLiteralMethod=128]="ObjectLiteralMethod",e[e.Ignore=256]="Ignore",e[e.ComputedPropertyName=512]="ComputedPropertyName",e[e.SymbolMemberNoExport=2]="SymbolMemberNoExport",e[e.SymbolMemberExport=6]="SymbolMemberExport",e))(i_e||{}),o_e=(e=>(e[e.ObjectPropertyDeclaration=0]="ObjectPropertyDeclaration",e[e.Global=1]="Global",e[e.PropertyAccess=2]="PropertyAccess",e[e.MemberLike=3]="MemberLike",e[e.String=4]="String",e[e.None=5]="None",e))(o_e||{}),Pz=[],a_e=Td(()=>{const e=[];for(let t=83;t<=165;t++)e.push({name:fa(t),kind:"keyword",kindModifiers:"",sortText:zu.GlobalsOrKeywords});return e})}});function s_e(){const e=new Map;function t(r){const i=e.get(r.name);(!i||p_e[i.kind]<p_e[r.kind])&&e.set(r.name,r)}return{add:t,has:e.has.bind(e),values:e.values.bind(e)}}function crt(e,t,r,i,o,s,l,u,p){if(Bue(e,t)){const h=Irt(e,t,i,o);return h&&Mke(h)}if(CI(e,t,r)){if(!r||!ls(r))return;const h=kke(e,r,t,s,o,u);return urt(h,r,e,o,s,l,i,u,t,p)}}function urt(e,t,r,i,o,s,l,u,p,h){if(e===void 0)return;const m=fX(t);switch(e.kind){case 0:return Mke(e.paths);case 1:{const b=uG();return Yme(e.symbols,b,t,t,r,p,r,i,o,99,s,4,u,l,void 0,void 0,void 0,void 0,void 0,void 0,void 0,void 0,void 0,void 0,void 0,h),{isGlobalCompletion:!1,isMemberCompletion:!0,isNewIdentifierLocation:e.hasIndexSignature,optionalReplacementSpan:m,entries:b}}case 2:{const b=t.kind===15?96:uo(fc(t),"'")?39:34,A=e.types.map(I=>({name:og(I.value,b),kindModifiers:"",kind:"string",sortText:zu.LocationPriority,replacementSpan:pX(t)}));return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:e.isNewIdentifier,optionalReplacementSpan:m,entries:A}}default:return N.assertNever(e)}}function drt(e,t,r,i,o,s,l,u){if(!i||!ls(i))return;const p=kke(t,i,r,o,s,u);return p&&prt(e,i,p,t,o.getTypeChecker(),l)}function prt(e,t,r,i,o,s){switch(r.kind){case 0:{const l=An(r.paths,u=>u.name===e);return l&&Nz(e,Lke(l.extension),l.kind,[df(e)])}case 1:{const l=An(r.symbols,u=>u.name===e);return l&&Qme(l,l.name,o,i,t,s)}case 2:return An(r.types,l=>l.value===e)?Nz(e,"","string",[df(e)]):void 0;default:return N.assertNever(r)}}function Mke(e){return{isGlobalCompletion:!1,isMemberCompletion:!1,isNewIdentifierLocation:!0,entries:e.map(({name:o,kind:s,span:l,extension:u})=>({name:o,kind:s,kindModifiers:Lke(u),sortText:zu.LocationPriority,replacementSpan:l}))}}function Lke(e){switch(e){case".d.ts":return".d.ts";case".js":return".js";case".json":return".json";case".jsx":return".jsx";case".ts":return".ts";case".tsx":return".tsx";case".d.mts":return".d.mts";case".mjs":return".mjs";case".mts":return".mts";case".d.cts":return".d.cts";case".cjs":return".cjs";case".cts":return".cts";case".tsbuildinfo":return N.fail("Extension .tsbuildinfo is unsupported.");case void 0:return"";default:return N.assertNever(e)}}function kke(e,t,r,i,o,s){const l=i.getTypeChecker(),u=l_e(t.parent);switch(u.kind){case 201:{const I=l_e(u.parent);return I.kind===205?{kind:0,paths:Wke(e,t,i,o,s)}:p(I)}case 303:return Oa(u.parent)&&u.name===t?_rt(l,u.parent):h()||h(0);case 212:{const{expression:I,argumentExpression:R}=u;return t===hs(R)?wke(l.getTypeAtLocation(I)):void 0}case 213:case 214:case 291:if(!Nrt(t)&&!Bp(u)){const I=o8.getArgumentInfoForCompletions(u.kind===291?u.parent:t,r,e,l);return I&&mrt(I.invocation,t,I,l)||h(0)}case 272:case 278:case 283:return{kind:0,paths:Wke(e,t,i,o,s)};case 296:const m=O5(l,u.parent.clauses),b=h();return b?{kind:2,types:b.types.filter(I=>!m.hasValue(I.value)),isNewIdentifier:!1}:void 0;default:return h()||h(0)}function p(m){switch(m.kind){case 233:case 183:{const I=Gn(u,R=>R.parent===m);return I?{kind:2,types:BQ(l.getTypeArgumentConstraint(I)),isNewIdentifier:!1}:void 0}case 199:const{indexType:b,objectType:A}=m;return sO(b,r)?wke(l.getTypeFromTypeNode(A)):void 0;case 192:{const I=p(l_e(m.parent));if(!I)return;const R=frt(m,u);return I.kind===1?{kind:1,symbols:I.symbols.filter(M=>!Nr(R,M.name)),hasIndexSignature:I.hasIndexSignature}:{kind:2,types:I.types.filter(M=>!Nr(R,M.value)),isNewIdentifier:!1}}default:return}}function h(m=4){const b=BQ(T5(t,l,m));if(b.length)return{kind:2,types:b,isNewIdentifier:!1}}}function l_e(e){switch(e.kind){case 196:return nk(e);case 217:return Bv(e);default:return e}}function frt(e,t){return Hi(e.types,r=>r!==t&&Zy(r)&&Ma(r.literal)?r.literal.text:void 0)}function mrt(e,t,r,i){let o=!1;const s=new Map,l=ud(e)?N.checkDefined(Gn(t.parent,F_)):t,u=i.getCandidateSignaturesForStringLiteralCompletions(e,l),p=Pi(u,h=>{if(!Yu(h)&&r.argumentCount>h.parameters.length)return;let m=h.getTypeParameterAtPosition(r.argumentIndex);if(ud(e)){const b=i.getTypeOfPropertyOfType(m,Dk(l.name));b&&(m=b)}return o=o||!!(m.flags&4),BQ(m,s)});return Me(p)?{kind:2,types:p,isNewIdentifier:o}:void 0}function wke(e){return e&&{kind:1,symbols:nr(e.getApparentProperties(),t=>!(t.valueDeclaration&&cd(t.valueDeclaration))),hasIndexSignature:kX(e)}}function _rt(e,t){const r=e.getContextualType(t);if(!r)return;const i=e.getContextualType(t,4);return{kind:1,symbols:OQ(r,i,t,e),hasIndexSignature:kX(r)}}function BQ(e,t=new Map){return e?(e=hX(e),e.isUnion()?Pi(e.types,r=>BQ(r,t)):e.isStringLiteral()&&!(e.flags&1024)&&Rm(t,e.value)?[e]:et):et}function S2(e,t,r){return{name:e,kind:t,extension:r}}function c_e(e){return S2(e,"directory",void 0)}function Oke(e,t,r){const i=Rrt(e,t),o=e.length===0?void 0:vu(t,e.length);return r.map(({name:s,kind:l,extension:u})=>s.includes(al)||s.includes(ZM)?{name:s,kind:l,extension:u,span:o}:{name:s,kind:l,extension:u,span:i})}function Wke(e,t,r,i,o){return Oke(t.text,t.getStart(e)+1,hrt(e,t,r,i,o))}function hrt(e,t,r,i,o){const s=Lu(t.text),l=ls(t)?r.getModeForUsageLocation(e,t):void 0,u=e.path,p=ai(u),h=r.getCompilerOptions(),m=r.getTypeChecker(),b=u_e(h,1,e,m,o,l);return Drt(s)||!h.baseUrl&&!h.paths&&(fp(s)||rre(s))?grt(s,p,h,i,u,b):Ert(s,p,l,h,i,b,m)}function u_e(e,t,r,i,o,s){return{extensionsToSearch:ia(vrt(e,i)),referenceKind:t,importingSourceFile:r,endingPreference:o==null?void 0:o.importModuleSpecifierEnding,resolutionMode:s}}function grt(e,t,r,i,o,s){return r.rootDirs?brt(r.rootDirs,e,t,s,r,i,o):zo(e8(e,t,s,i,!0,o).values())}function vrt(e,t){const r=t?Hi(t.getAmbientModules(),s=>{const l=s.name.slice(1,-1);if(!(!l.startsWith("*.")||l.includes("/")))return l.slice(1)}):[],i=[...YC(e),r],o=md(e);return m5(o)?Ek(e,i):i}function yrt(e,t,r,i){e=e.map(s=>Bc(ga(fp(s)?s:Qr(t,s))));const o=Ut(e,s=>bm(s,r,t,i)?r.substr(s.length):void 0);return DE([...e.map(s=>Qr(s,o)),r].map(s=>o0(s)),dS,Uu)}function brt(e,t,r,i,o,s,l){const u=o.project||s.getCurrentDirectory(),p=!(s.useCaseSensitiveFileNames&&s.useCaseSensitiveFileNames()),h=yrt(e,u,r,p);return DE(Pi(h,m=>zo(e8(t,m,i,s,!0,l).values())),(m,b)=>m.name===b.name&&m.kind===b.kind&&m.extension===b.extension)}function e8(e,t,r,i,o,s,l=s_e()){var u;e===void 0&&(e=""),e=Lu(e),kv(e)||(e=ai(e)),e===""&&(e="."+al),e=Bc(e);const p=Py(t,e),h=kv(p)?p:ai(p);if(!o){const I=sde(h,i);if(I){const M=jC(I,i).typesVersions;if(typeof M=="object"){const C=(u=OF(M))==null?void 0:u.paths;if(C){const O=ai(I),U=p.slice(Bc(O).length);if(zke(l,U,O,r,i,C))return l}}}}const m=!(i.useCaseSensitiveFileNames&&i.useCaseSensitiveFileNames());if(!D5(i,h))return l;const b=OX(i,h,r.extensionsToSearch,void 0,["./*"]);if(b)for(let I of b){if(I=ga(I),s&&Lg(I,s,t,m)===0)continue;const{name:R,extension:M}=Fke(ic(I),i.getCompilationSettings(),r,!1);l.add(S2(R,"script",M))}const A=R5(i,h);if(A)for(const I of A){const R=ic(ga(I));R!=="@types"&&l.add(c_e(R))}return l}function Fke(e,t,r,i){const o=m1.tryGetRealFileNameForNonJsDeclarationFileName(e);if(o)return{name:o,extension:jg(o)};if(r.referenceKind===0)return{name:e,extension:jg(e)};let s=Mw({importModuleSpecifierEnding:r.endingPreference},t,r.importingSourceFile).getAllowedEndingsInPreferredOrder(r.resolutionMode);if(i&&(s=s.filter(u=>u!==0&&u!==1)),s[0]===3){if(Tc(e,Lk))return{name:e,extension:jg(e)};const u=m1.tryGetJSExtensionForFile(e,t);return u?{name:S0(e,u),extension:u}:{name:e,extension:jg(e)}}if(!i&&(s[0]===0||s[0]===1)&&Tc(e,[".js",".jsx",".ts",".tsx",".d.ts"]))return{name:Id(e),extension:jg(e)};const l=m1.tryGetJSExtensionForFile(e,t);return l?{name:S0(e,l),extension:l}:{name:e,extension:jg(e)}}function zke(e,t,r,i,o,s){const l=p=>s[p],u=(p,h)=>{const m=WR(p),b=WR(h),A=typeof m=="object"?m.prefix.length:p.length,I=typeof b=="object"?b.prefix.length:h.length;return rl(I,A)};return Bke(e,!1,t,r,i,o,Xh(s),l,u)}function Bke(e,t,r,i,o,s,l,u,p){let h=[],m;for(const b of l){if(b===".")continue;const A=b.replace(/^\.\//,""),I=u(b);if(I){const R=WR(A);if(!R)continue;const M=typeof R=="object"&&z6(R,r);M&&(m===void 0||p(b,m)===-1)&&(m=b,h=h.filter(O=>!O.matchedPattern)),(typeof R=="string"||m===void 0||p(b,m)!==1)&&h.push({matchedPattern:M,results:Srt(A,I,r,i,o,t&&M,s).map(({name:O,kind:U,extension:K})=>S2(O,U,K))})}}return h.forEach(b=>b.results.forEach(A=>e.add(A))),m!==void 0}function Ert(e,t,r,i,o,s,l){const{baseUrl:u,paths:p}=i,h=s_e(),m=md(i);if(u){const A=ga(Qr(o.getCurrentDirectory(),u));e8(e,A,s,o,!1,void 0,h)}if(p){const A=x3(i,o);zke(h,e,A,s,o,p)}const b=Vke(e);for(const A of Art(e,b,l))h.add(S2(A,"external module name",void 0));if(Hke(o,i,t,b,s,h),m5(m)){let A=!1;if(b===void 0)for(const I of xrt(o,t)){const R=S2(I,"external module name",void 0);h.has(R.name)||(A=!0,h.add(R))}if(!A){let I=R=>{const M=Qr(R,"node_modules");D5(o,M)&&e8(e,M,s,o,!1,void 0,h)};if(b&&mW(i)){const R=I;I=M=>{const C=zc(e);C.shift();let O=C.shift();if(!O)return R(M);if(uo(O,"@")){const Z=C.shift();if(!Z)return R(M);O=Qr(O,Z)}const U=Qr(M,"node_modules",O),K=Qr(U,"package.json");if(AO(o,K)){const V=jC(K,o).exports;if(V){if(typeof V!="object"||V===null)return;const se=Xh(V),G=C.join("/")+(C.length&&kv(e)?"/":""),ne=ib(i,r);Bke(h,!0,G,U,s,o,se,he=>AA(Gke(V[he],ne)),Bq);return}}return R(M)}}Sm(t,I)}}return zo(h.values())}function Gke(e,t){if(typeof e=="string")return e;if(e&&typeof e=="object"&&!To(e)){for(const r in e)if(r==="default"||t.includes(r)||Cw(t,r)){const i=e[r];return Gke(i,t)}}}function Vke(e){return d_e(e)?kv(e)?e:ai(e):void 0}function Srt(e,t,r,i,o,s,l){if(!Al(e,"*"))return e.includes("*")?et:h(e,"script");const u=e.slice(0,e.length-1),p=TG(r,u);if(p===void 0)return e[e.length-2]==="/"?h(u,"directory"):Pi(t,b=>{var A;return(A=jke("",i,b,o,s,l))==null?void 0:A.map(({name:I,...R})=>({name:u+I,...R}))});return Pi(t,m=>jke(p,i,m,o,s,l));function h(m,b){return uo(m,r)?[{name:o0(m),kind:b,extension:void 0}]:et}}function jke(e,t,r,i,o,s){if(!s.readDirectory)return;const l=WR(r);if(l===void 0||Po(l))return;const u=Py(l.prefix),p=kv(l.prefix)?u:ai(u),h=kv(l.prefix)?"":ic(u),m=d_e(e),b=m?kv(e)?e:ai(e):void 0,A=m?Qr(p,h+b):p,I=ga(l.suffix),R=I&&I3("_"+I),M=R?[S0(I,R),I]:[I],C=ga(Qr(t,A)),O=m?C:Bc(C)+h,U=I?M.map(se=>"**/*"+se):["./*"],K=Hi(OX(s,C,i.extensionsToSearch,void 0,U),se=>{const G=V(se);if(G){if(d_e(G))return c_e(zc(Uke(G))[1]);const{name:ne,extension:he}=Fke(G,s.getCompilationSettings(),i,o);return S2(ne,"script",he)}}),Z=I?et:Hi(R5(s,C),se=>se==="node_modules"?void 0:c_e(se));return[...K,...Z];function V(se){return Ut(M,G=>{const ne=Trt(ga(se),O,G);return ne===void 0?void 0:Uke(ne)})}}function Trt(e,t,r){return uo(e,t)&&Al(e,r)?e.slice(t.length,e.length-r.length):void 0}function Uke(e){return e[0]===al?e.slice(1):e}function Art(e,t,r){const o=r.getAmbientModules().map(s=>tm(s.name)).filter(s=>uo(s,e)&&!s.includes("*"));if(t!==void 0){const s=Bc(t);return o.map(l=>QN(l,s))}return o}function Irt(e,t,r,i){const o=po(e,t),s=Yh(e.text,o.pos),l=s&&An(s,R=>t>=R.pos&&t<=R.end);if(!l)return;const u=e.text.slice(l.pos,t),p=qke.exec(u);if(!p)return;const[,h,m,b]=p,A=ai(e.path),I=m==="path"?e8(b,A,u_e(r,0,e),i,!0,e.path):m==="types"?Hke(i,r,A,Vke(b),u_e(r,1,e)):N.fail();return Oke(b,l.pos+h.length,zo(I.values()))}function Hke(e,t,r,i,o,s=s_e()){const l=new Map,u=N5(()=>OP(t,e))||et;for(const h of u)p(h);for(const h of WX(r,e)){const m=Qr(ai(h),"node_modules/@types");p(m)}return s;function p(h){if(D5(e,h))for(const m of R5(e,h)){const b=Pw(m);if(!(t.types&&!Nr(t.types,b)))if(i===void 0)l.has(b)||(s.add(S2(b,"external module name",void 0)),l.set(b,!0));else{const A=Qr(h,m),I=OU(i,b,Gv(e));I!==void 0&&e8(I,A,o,e,!1,void 0,s)}}}}function xrt(e,t){if(!e.readFile||!e.fileExists)return et;const r=[];for(const i of WX(t,e)){const o=jC(i,e);for(const s of Jke){const l=o[s];if(l)for(const u in l)xs(l,u)&&!uo(u,"@types/")&&r.push(u)}}return r}function Rrt(e,t){const r=Math.max(e.lastIndexOf(al),e.lastIndexOf(ZM)),i=r!==-1?r+1:0,o=e.length-i;return o===0||tf(e.substr(i,o),99)?void 0:vu(t+i,o)}function Drt(e){if(e&&e.length>=2&&e.charCodeAt(0)===46){const t=e.length>=3&&e.charCodeAt(1)===46?2:1,r=e.charCodeAt(t);return r===47||r===92}return!1}function d_e(e){return e.includes(al)}function Nrt(e){return la(e.parent)&&Xc(e.parent.arguments)===e&&Ve(e.parent.expression)&&e.parent.expression.escapedText==="require"}var p_e,qke,Jke,Crt=T({"src/services/stringCompletions.ts"(){mle(),si(),f_e(),p_e={directory:0,script:1,"external module name":2},qke=/^(\/\/\/\s*<reference\s+(path|types)\s*=\s*(?:'|"))([^\3"]*)$/,Jke=["dependencies","devDependencies","peerDependencies","optionalDependencies"]}}),GQ={};X(GQ,{getStringLiteralCompletionDetails:()=>drt,getStringLiteralCompletions:()=>crt});var Prt=T({"src/services/_namespaces/ts.Completions.StringCompletions.ts"(){Crt()}}),GI={};X(GI,{CompletionKind:()=>o_e,CompletionSource:()=>r_e,SortText:()=>zu,StringCompletions:()=>GQ,SymbolOriginInfoKind:()=>i_e,createCompletionDetails:()=>Nz,createCompletionDetailsForSymbol:()=>Qme,getCompletionEntriesFromSymbols:()=>Yme,getCompletionEntryDetails:()=>Bnt,getCompletionEntrySymbol:()=>Vnt,getCompletionsAtPosition:()=>vnt,getPropertiesForObjectExpression:()=>OQ,moduleSpecifierResolutionCacheAttemptLimit:()=>n_e,moduleSpecifierResolutionLimit:()=>zQ});var f_e=T({"src/services/_namespaces/ts.Completions.ts"(){lrt(),Prt()}});function m_e(e,t,r,i){const o=wrt(e,r,i);return(s,l,u)=>{const{directImports:p,indirectUsers:h}=Mrt(e,t,o,l,r,i);return{indirectUsers:h,...Lrt(p,s,l.exportKind,r,u)}}}function Mrt(e,t,r,{exportingModuleSymbol:i,exportKind:o},s,l){const u=PI(),p=PI(),h=[],m=!!i.globalExports,b=m?void 0:[];return I(i),{directImports:h,indirectUsers:A()};function A(){if(m)return e;if(i.declarations)for(const K of i.declarations)WE(K)&&t.has(K.getSourceFile().fileName)&&O(K);return b.map(jn)}function I(K){const Z=U(K);if(Z){for(const V of Z)if(u(V))switch(l&&l.throwIfCancellationRequested(),V.kind){case 213:if(Bp(V)){R(V);break}if(!m){const G=V.parent;if(o===2&&G.kind===260){const{name:ne}=G;if(ne.kind===80){h.push(ne);break}}}break;case 80:break;case 271:C(V,V.name,Zr(V,32),!1);break;case 272:h.push(V);const se=V.importClause&&V.importClause.namedBindings;se&&se.kind===274?C(V,se.name,!1,!0):!m&&WA(V)&&O(Mz(V));break;case 278:V.exportClause?V.exportClause.kind===280?O(Mz(V),!0):h.push(V):I(Brt(V,s));break;case 205:!m&&V.isTypeOf&&!V.qualifier&&M(V)&&O(V.getSourceFile(),!0),h.push(V);break;default:N.failBadSyntaxKind(V,"Unexpected import kind.")}}}function R(K){const Z=Gn(K,VQ)||K.getSourceFile();O(Z,!!M(K,!0))}function M(K,Z=!1){return Gn(K,V=>Z&&VQ(V)?"quit":Cm(V)&&bt(V.modifiers,oI))}function C(K,Z,V,se){if(o===2)se||h.push(K);else if(!m){const G=Mz(K);N.assert(G.kind===312||G.kind===267),V||krt(G,Z,s)?O(G,!0):O(G)}}function O(K,Z=!1){if(N.assert(!m),!p(K)||(b.push(K),!Z))return;const se=s.getMergedSymbol(K.symbol);if(!se)return;N.assert(!!(se.flags&1536));const G=U(se);if(G)for(const ne of G)ug(ne)||O(Mz(ne),!0)}function U(K){return r.get(Ta(K).toString())}}function Lrt(e,t,r,i,o){const s=[],l=[];function u(A,I){s.push([A,I])}if(e)for(const A of e)p(A);return{importSearches:s,singleReferences:l};function p(A){if(A.kind===271){h_e(A)&&h(A.name);return}if(A.kind===80){h(A);return}if(A.kind===205){if(A.qualifier){const M=Vp(A.qualifier);M.escapedText===Sl(t)&&l.push(M)}else r===2&&l.push(A.argument.literal);return}if(A.moduleSpecifier.kind!==11)return;if(A.kind===278){A.exportClause&&Pf(A.exportClause)&&m(A.exportClause);return}const{name:I,namedBindings:R}=A.importClause||{name:void 0,namedBindings:void 0};if(R)switch(R.kind){case 274:h(R.name);break;case 275:(r===0||r===1)&&m(R);break;default:N.assertNever(R)}if(I&&(r===1||r===2)&&(!o||I.escapedText===h5(t))){const M=i.getSymbolAtLocation(I);u(I,M)}}function h(A){r===2&&(!o||b(A.escapedText))&&u(A,i.getSymbolAtLocation(A))}function m(A){if(A)for(const I of A.elements){const{name:R,propertyName:M}=I;if(b((M||R).escapedText))if(M)l.push(M),(!o||R.escapedText===t.escapedName)&&u(R,i.getSymbolAtLocation(R));else{const C=I.kind===281&&I.propertyName?i.getExportSpecifierLocalTargetSymbol(I):i.getSymbolAtLocation(R);u(R,C)}}}function b(A){return A===t.escapedName||r!==0&&A==="default"}}function krt(e,t,r){const i=r.getSymbolAtLocation(t);return!!Xke(e,o=>{if(!Yl(o))return;const{exportClause:s,moduleSpecifier:l}=o;return!l&&s&&Pf(s)&&s.elements.some(u=>r.getExportSpecifierLocalTargetSymbol(u)===i)})}function Kke(e,t,r){var i;const o=[],s=e.getTypeChecker();for(const l of t){const u=r.valueDeclaration;if((u==null?void 0:u.kind)===312){for(const p of l.referencedFiles)e.getSourceFileFromReference(l,p)===u&&o.push({kind:"reference",referencingFile:l,ref:p});for(const p of l.typeReferenceDirectives){const h=(i=e.getResolvedTypeReferenceDirectives().get(p.fileName,p.resolutionMode||l.impliedNodeFormat))==null?void 0:i.resolvedTypeReferenceDirective;h!==void 0&&h.resolvedFileName===u.fileName&&o.push({kind:"reference",referencingFile:l,ref:p})}}Yke(l,(p,h)=>{s.getSymbolAtLocation(h)===r&&o.push($s(p)?{kind:"implicit",literal:h,referencingFile:l}:{kind:"import",literal:h})})}return o}function wrt(e,t,r){const i=new Map;for(const o of e)r&&r.throwIfCancellationRequested(),Yke(o,(s,l)=>{const u=t.getSymbolAtLocation(l);if(u){const p=Ta(u).toString();let h=i.get(p);h||i.set(p,h=[]),h.push(s)}});return i}function Xke(e,t){return Ue(e.kind===312?e.statements:e.body.statements,r=>t(r)||VQ(r)&&Ue(r.body&&r.body.statements,t))}function Yke(e,t){if(e.externalModuleIndicator||e.imports!==void 0)for(const r of e.imports)t(DC(r),r);else Xke(e,r=>{switch(r.kind){case 278:case 272:{const i=r;i.moduleSpecifier&&Ma(i.moduleSpecifier)&&t(i,i.moduleSpecifier);break}case 271:{const i=r;h_e(i)&&t(i,i.moduleReference.expression);break}}})}function $ke(e,t,r,i){return i?o():o()||s();function o(){var p;const{parent:h}=e,m=h.parent;if(t.exportSymbol)return h.kind===211?(p=t.declarations)!=null&&p.some(I=>I===h)&&mr(m)?A(m,!1):void 0:l(t.exportSymbol,u(h));{const I=Wrt(h,e);if(I&&Zr(I,32))return tu(I)&&I.moduleReference===e?i?void 0:{kind:0,symbol:r.getSymbolAtLocation(I.name)}:l(t,u(I));if(Th(h))return l(t,0);if(kl(h))return b(h);if(kl(m))return b(m);if(mr(h))return A(h,!0);if(mr(m))return A(m,!0);if(YS(h)||FH(h))return l(t,0)}function b(I){if(!I.symbol.parent)return;const R=I.isExportEquals?2:1;return{kind:1,symbol:t,exportInfo:{exportingModuleSymbol:I.symbol.parent,exportKind:R}}}function A(I,R){let M;switch(Bl(I)){case 1:M=0;break;case 2:M=2;break;default:return}const C=R?r.getSymbolAtLocation(PU(aa(I.left,ws))):t;return C&&l(C,M)}}function s(){if(!Frt(e))return;let h=r.getImmediateAliasedSymbol(t);if(!h||(h=zrt(h,r),h.escapedName==="export="&&(h=Ort(h,r),h===void 0)))return;const m=h5(h);if(m===void 0||m==="default"||m===t.escapedName)return{kind:0,symbol:h}}function l(p,h){const m=__e(p,h,r);return m&&{kind:1,symbol:p,exportInfo:m}}function u(p){return Zr(p,2048)?1:0}}function Ort(e,t){var r,i;if(e.flags&2097152)return t.getImmediateAliasedSymbol(e);const o=N.checkDefined(e.valueDeclaration);if(kl(o))return(r=ii(o.expression,x_))==null?void 0:r.symbol;if(mr(o))return(i=ii(o.right,x_))==null?void 0:i.symbol;if($i(o))return o.symbol}function Wrt(e,t){const r=wi(e)?e:Qa(e)?$x(e):void 0;return r?e.name!==t||c1(r.parent)?void 0:Ll(r.parent.parent)?r.parent.parent:void 0:e}function Frt(e){const{parent:t}=e;switch(t.kind){case 271:return t.name===e&&h_e(t);case 276:return!t.propertyName;case 273:case 274:return N.assert(t.name===e),!0;case 208:return lr(e)&&GE(t.parent.parent);default:return!1}}function __e(e,t,r){const i=e.parent;if(!i)return;const o=r.getMergedSymbol(i);return mO(o)?{exportingModuleSymbol:o,exportKind:t}:void 0}function zrt(e,t){if(e.declarations)for(const r of e.declarations){if(Ku(r)&&!r.propertyName&&!r.parent.parent.moduleSpecifier)return t.getExportSpecifierLocalTargetSymbol(r)||e;if(Fr(r)&&rg(r.expression)&&!Ji(r.name))return t.getSymbolAtLocation(r);if(rp(r)&&mr(r.parent.parent)&&Bl(r.parent.parent)===2)return t.getExportSpecifierLocalTargetSymbol(r.name)}return e}function Brt(e,t){return t.getMergedSymbol(Mz(e).symbol)}function Mz(e){if(e.kind===213)return e.getSourceFile();const{parent:t}=e;return t.kind===312?t:(N.assert(t.kind===268),aa(t.parent,VQ))}function VQ(e){return e.kind===267&&e.name.kind===11}function h_e(e){return e.moduleReference.kind===283&&e.moduleReference.expression.kind===11}var g_e,v_e,Grt=T({"src/services/importTracker.ts"(){si(),g_e=(e=>(e[e.Named=0]="Named",e[e.Default=1]="Default",e[e.ExportEquals=2]="ExportEquals",e))(g_e||{}),v_e=(e=>(e[e.Import=0]="Import",e[e.Export=1]="Export",e))(v_e||{})}});function mg(e,t=1){return{kind:t,node:e.name||e,context:Vrt(e)}}function y_e(e){return e&&e.kind===void 0}function Vrt(e){if(Ju(e))return dT(e);if(e.parent){if(!Ju(e.parent)&&!kl(e.parent)){if(lr(e)){const r=mr(e.parent)?e.parent:ws(e.parent)&&mr(e.parent.parent)&&e.parent.parent.left===e.parent?e.parent.parent:void 0;if(r&&Bl(r)!==0)return dT(r)}if(W_(e.parent)||a1(e.parent))return e.parent.parent;if(JS(e.parent)||o1(e.parent)||pC(e.parent))return e.parent;if(ls(e)){const r=$L(e);if(r){const i=Gn(r,o=>Ju(o)||qi(o)||iR(o));return Ju(i)?dT(i):i}}const t=Gn(e,Za);return t?dT(t.parent):void 0}if(e.parent.name===e||Ml(e.parent)||kl(e.parent)||(CA(e.parent)||Qa(e.parent))&&e.parent.propertyName===e||e.kind===90&&Zr(e.parent,2080))return dT(e.parent)}}function dT(e){if(e)switch(e.kind){case 260:return!Uc(e.parent)||e.parent.declarations.length!==1?e:Ll(e.parent.parent)?e.parent.parent:nR(e.parent.parent)?dT(e.parent.parent):e.parent;case 208:return dT(e.parent.parent);case 276:return e.parent.parent.parent;case 281:case 274:return e.parent.parent;case 273:case 280:return e.parent;case 226:return eu(e.parent)?e.parent:e;case 250:case 249:return{start:e.initializer,end:e.expression};case 303:case 304:return Zv(e.parent)?dT(Gn(e.parent,t=>mr(t)||nR(t))):e;case 255:return{start:An(e.getChildren(e.getSourceFile()),t=>t.kind===109),end:e.caseBlock};default:return e}}function b_e(e,t,r){if(!r)return;const i=y_e(r)?kz(r.start,t,r.end):kz(r,t);return i.start!==e.start||i.length!==e.length?{contextSpan:i}:void 0}function jrt(e,t,r,i,o){const s=Vd(i,o),l={use:1},u=VI.getReferencedSymbolsForNode(o,s,e,r,t,l),p=e.getTypeChecker(),h=VI.getAdjustedNode(s,l),m=Urt(h)?p.getSymbolAtLocation(h):void 0;return!u||!u.length?void 0:Hi(u,({definition:b,references:A})=>b&&{definition:p.runWithCancellationToken(t,I=>Jrt(b,I,s)),references:A.map(I=>Xrt(I,m))})}function Urt(e){return e.kind===90||!!NC(e)||ik(e)||e.kind===137&&Ml(e.parent)}function Hrt(e,t,r,i,o){const s=Vd(i,o);let l;const u=Qke(e,t,r,s,o);if(s.parent.kind===211||s.parent.kind===208||s.parent.kind===212||s.kind===108)l=u&&[...u];else if(u){const h=zM(u),m=new Map;for(;!h.isEmpty();){const b=h.dequeue();if(!Rm(m,as(b.node)))continue;l=xn(l,b);const A=Qke(e,t,r,b.node,b.node.pos);A&&h.enqueue(...A)}}const p=e.getTypeChecker();return wt(l,h=>$rt(h,p))}function Qke(e,t,r,i,o){if(i.kind===312)return;const s=e.getTypeChecker();if(i.parent.kind===304){const l=[];return VI.getReferenceEntriesForShorthandPropertyAssignment(i,s,u=>l.push(mg(u))),l}else if(i.kind===108||zd(i.parent)){const l=s.getSymbolAtLocation(i);return l.valueDeclaration&&[mg(l.valueDeclaration)]}else return Zke(o,i,e,r,t,{implementations:!0,use:1})}function qrt(e,t,r,i,o,s,l){return wt(ewe(VI.getReferencedSymbolsForNode(o,i,e,r,t,s)),u=>l(u,i,e.getTypeChecker()))}function Zke(e,t,r,i,o,s={},l=new Set(i.map(u=>u.fileName))){return ewe(VI.getReferencedSymbolsForNode(e,t,r,i,o,s,l))}function ewe(e){return e&&Pi(e,t=>t.references)}function Jrt(e,t,r){const i=(()=>{switch(e.type){case 0:{const{symbol:m}=e,{displayParts:b,kind:A}=twe(m,t,r),I=b.map(C=>C.text).join(""),R=m.declarations&&Xc(m.declarations),M=R?Mo(R)||R:r;return{...Lz(M),name:I,kind:A,displayParts:b,context:dT(R)}}case 1:{const{node:m}=e;return{...Lz(m),name:m.text,kind:"label",displayParts:[ip(m.text,17)]}}case 2:{const{node:m}=e,b=fa(m.kind);return{...Lz(m),name:b,kind:"keyword",displayParts:[{text:b,kind:"keyword"}]}}case 3:{const{node:m}=e,b=t.getSymbolAtLocation(m),A=b&&iy.getSymbolDisplayPartsDocumentationAndSymbolKind(t,b,m.getSourceFile(),aT(m),m).displayParts||[df("this")];return{...Lz(m),name:"this",kind:"var",displayParts:A}}case 4:{const{node:m}=e;return{...Lz(m),name:m.text,kind:"var",displayParts:[ip(fc(m),8)]}}case 5:return{textSpan:sb(e.reference),sourceFile:e.file,name:e.reference.fileName,kind:"string",displayParts:[ip(`"${e.reference.fileName}"`,8)]};default:return N.assertNever(e)}})(),{sourceFile:o,textSpan:s,name:l,kind:u,displayParts:p,context:h}=i;return{containerKind:"",containerName:"",fileName:o.fileName,kind:u,name:l,textSpan:s,displayParts:p,...b_e(s,o,h)}}function Lz(e){const t=e.getSourceFile();return{sourceFile:t,textSpan:kz(Za(e)?e.expression:e,t)}}function twe(e,t,r){const i=VI.getIntersectingMeaningFromDeclarations(r,e),o=e.declarations&&Xc(e.declarations)||r,{displayParts:s,symbolKind:l}=iy.getSymbolDisplayPartsDocumentationAndSymbolKind(t,e,o.getSourceFile(),o,o,i);return{displayParts:s,kind:l}}function Krt(e,t,r,i,o){return{...jQ(e),...i&&Yrt(e,t,r,o)}}function Xrt(e,t){const r=nwe(e);return t?{...r,isDefinition:e.kind!==0&&rwe(e.node,t)}:r}function nwe(e){const t=jQ(e);if(e.kind===0)return{...t,isWriteAccess:!1};const{kind:r,node:i}=e;return{...t,isWriteAccess:S_e(i),isInString:r===2?!0:void 0}}function jQ(e){if(e.kind===0)return{textSpan:e.textSpan,fileName:e.fileName};{const t=e.node.getSourceFile(),r=kz(e.node,t);return{textSpan:r,fileName:t.fileName,...b_e(r,t,e.context)}}}function Yrt(e,t,r,i){if(e.kind!==0&&Ve(t)){const{node:o,kind:s}=e,l=o.parent,u=t.text,p=rp(l);if(p||gO(l)&&l.name===o&&l.dotDotDotToken===void 0){const h={prefixText:u+": "},m={suffixText:": "+u};if(s===3)return h;if(s===4)return m;if(p){const b=l.parent;return Oa(b)&&mr(b.parent)&&rg(b.parent.left)?h:m}else return h}else if(np(l)&&!l.propertyName){const h=Ku(t.parent)?r.getExportSpecifierLocalTargetSymbol(t.parent):r.getSymbolAtLocation(t);return Nr(h.declarations,l)?{prefixText:u+" as "}:kf}else if(Ku(l)&&!l.propertyName)return t===e.node||r.getSymbolAtLocation(t)===r.getSymbolAtLocation(e.node)?{prefixText:u+" as "}:{suffixText:" as "+u}}if(e.kind!==0&&vp(e.node)&&ws(e.node.parent)){const o=bX(i);return{prefixText:o,suffixText:o}}return kf}function $rt(e,t){const r=jQ(e);if(e.kind!==0){const{node:i}=e;return{...r,...Qrt(i,t)}}else return{...r,kind:"",displayParts:[]}}function Qrt(e,t){const r=t.getSymbolAtLocation(Ju(e)&&e.name?e.name:e);return r?twe(r,t,e):e.kind===210?{kind:"interface",displayParts:[$u(21),df("object literal"),$u(22)]}:e.kind===231?{kind:"local class",displayParts:[$u(21),df("anonymous local class"),$u(22)]}:{kind:y1(e),displayParts:[]}}function Zrt(e){const t=jQ(e);if(e.kind===0)return{fileName:t.fileName,span:{textSpan:t.textSpan,kind:"reference"}};const r=S_e(e.node),i={textSpan:t.textSpan,kind:r?"writtenReference":"reference",isInString:e.kind===2?!0:void 0,...t.contextSpan&&{contextSpan:t.contextSpan}};return{fileName:t.fileName,span:i}}function kz(e,t,r){let i=e.getStart(t),o=(r||e).getEnd();return ls(e)&&o-i>2&&(N.assert(r===void 0),i+=1,o-=1),(r==null?void 0:r.kind)===269&&(o=r.getFullStart()),pc(i,o)}function E_e(e){return e.kind===0?e.textSpan:kz(e.node,e.node.getSourceFile())}function S_e(e){const t=NC(e);return!!t&&eit(t)||e.kind===90||HA(e)}function rwe(e,t){var r;if(!t)return!1;const i=NC(e)||(e.kind===90?e.parent:ik(e)||e.kind===137&&Ml(e.parent)?e.parent.parent:void 0),o=i&&mr(i)?i.left:void 0;return!!(i&&((r=t.declarations)!=null&&r.some(s=>s===i||s===o)))}function eit(e){if(e.flags&33554432)return!0;switch(e.kind){case 226:case 208:case 263:case 231:case 90:case 266:case 306:case 281:case 273:case 271:case 276:case 264:case 345:case 353:case 291:case 267:case 270:case 274:case 280:case 169:case 304:case 265:case 168:return!0;case 303:return!Zv(e.parent);case 262:case 218:case 176:case 174:case 177:case 178:return!!e.body;case 260:case 172:return!!e.initializer||c1(e.parent);case 173:case 171:case 355:case 348:return!1;default:return N.failBadSyntaxKind(e)}}var T_e,A_e,I_e,VI,tit=T({"src/services/findAllReferences.ts"(){si(),x_e(),T_e=(e=>(e[e.Symbol=0]="Symbol",e[e.Label=1]="Label",e[e.Keyword=2]="Keyword",e[e.This=3]="This",e[e.String=4]="String",e[e.TripleSlashReference=5]="TripleSlashReference",e))(T_e||{}),A_e=(e=>(e[e.Span=0]="Span",e[e.Node=1]="Node",e[e.StringLiteral=2]="StringLiteral",e[e.SearchedLocalFoundProperty=3]="SearchedLocalFoundProperty",e[e.SearchedPropertyFoundLocal=4]="SearchedPropertyFoundLocal",e))(A_e||{}),I_e=(e=>(e[e.Other=0]="Other",e[e.References=1]="References",e[e.Rename=2]="Rename",e))(I_e||{}),(e=>{function t(qe,mt,ft,Ct,le,Fe={},pt=new Set(Ct.map(j=>j.fileName))){var j,ot;if(mt=r(mt,Fe),$i(mt)){const _n=UD.getReferenceAtPosition(mt,qe,ft);if(!(_n!=null&&_n.file))return;const q=ft.getTypeChecker().getMergedSymbol(_n.file.symbol);if(q)return h(ft,q,!1,Ct,pt);const Oe=ft.getFileIncludeReasons();return Oe?[{definition:{type:5,reference:_n.reference,file:mt},references:o(_n.file,Oe,ft)||et}]:void 0}if(!Fe.implementations){const _n=b(mt,Ct,le);if(_n)return _n}const Rt=ft.getTypeChecker(),Ye=Rt.getSymbolAtLocation(Ml(mt)&&mt.parent.name||mt);if(!Ye){if(!Fe.implementations&&ls(mt)){if(g5(mt)){const _n=ft.getFileIncludeReasons(),q=(ot=(j=ft.getResolvedModuleFromModuleSpecifier(mt))==null?void 0:j.resolvedModule)==null?void 0:ot.resolvedFileName,Oe=q?ft.getSourceFile(q):void 0;if(Oe)return[{definition:{type:4,node:mt},references:o(Oe,_n,ft)||et}]}return Di(mt,Ct,Rt,le)}return}if(Ye.escapedName==="export=")return h(ft,Ye.parent,!1,Ct,pt);const _t=l(Ye,ft,Ct,le,Fe,pt);if(_t&&!(Ye.flags&33554432))return _t;const Vt=s(mt,Ye,Rt),vn=Vt&&l(Vt,ft,Ct,le,Fe,pt),fn=A(Ye,mt,Ct,pt,Rt,le,Fe);return u(ft,_t,fn,vn)}e.getReferencedSymbolsForNode=t;function r(qe,mt){return mt.use===1?qe=oX(qe):mt.use===2&&(qe=o5(qe)),qe}e.getAdjustedNode=r;function i(qe,mt,ft,Ct=new Set(ft.map(le=>le.fileName))){var le,Fe;const pt=(le=mt.getSourceFile(qe))==null?void 0:le.symbol;if(pt)return((Fe=h(mt,pt,!1,ft,Ct)[0])==null?void 0:Fe.references)||et;const j=mt.getFileIncludeReasons(),ot=mt.getSourceFile(qe);return ot&&j&&o(ot,j,mt)||et}e.getReferencesForFileName=i;function o(qe,mt,ft){let Ct;const le=mt.get(qe.path)||et;for(const Fe of le)if(w0(Fe)){const pt=ft.getSourceFileByPath(Fe.file),j=QP(ft,Fe);gD(j)&&(Ct=xn(Ct,{kind:0,fileName:pt.fileName,textSpan:sb(j)}))}return Ct}function s(qe,mt,ft){if(qe.parent&&Zk(qe.parent)){const Ct=ft.getAliasedSymbol(mt),le=ft.getMergedSymbol(Ct);if(Ct!==le)return le}}function l(qe,mt,ft,Ct,le,Fe){const pt=qe.flags&1536&&qe.declarations&&An(qe.declarations,$i);if(!pt)return;const j=qe.exports.get("export="),ot=h(mt,qe,!!j,ft,Fe);if(!j||!Fe.has(pt.fileName))return ot;const Rt=mt.getTypeChecker();return qe=bu(j,Rt),u(mt,ot,A(qe,void 0,ft,Fe,Rt,Ct,le))}function u(qe,...mt){let ft;for(const Ct of mt)if(!(!Ct||!Ct.length)){if(!ft){ft=Ct;continue}for(const le of Ct){if(!le.definition||le.definition.type!==0){ft.push(le);continue}const Fe=le.definition.symbol,pt=Gr(ft,ot=>!!ot.definition&&ot.definition.type===0&&ot.definition.symbol===Fe);if(pt===-1){ft.push(le);continue}const j=ft[pt];ft[pt]={definition:j.definition,references:j.references.concat(le.references).sort((ot,Rt)=>{const Ye=p(qe,ot),_t=p(qe,Rt);if(Ye!==_t)return rl(Ye,_t);const Vt=E_e(ot),vn=E_e(Rt);return Vt.start!==vn.start?rl(Vt.start,vn.start):rl(Vt.length,vn.length)})}}}return ft}function p(qe,mt){const ft=mt.kind===0?qe.getSourceFile(mt.fileName):mt.node.getSourceFile();return qe.getSourceFiles().indexOf(ft)}function h(qe,mt,ft,Ct,le){N.assert(!!mt.valueDeclaration);const Fe=Hi(Kke(qe,Ct,mt),j=>{if(j.kind==="import"){const ot=j.literal.parent;if(Zy(ot)){const Rt=aa(ot.parent,ug);if(ft&&!Rt.qualifier)return}return mg(j.literal)}else if(j.kind==="implicit"){const ot=j.literal.text!==Ky&&CP(j.referencingFile,Rt=>Rt.transformFlags&2?dg(Rt)||JS(Rt)||s1(Rt)?Rt:void 0:"skip")||j.referencingFile.statements[0]||j.referencingFile;return mg(ot)}else return{kind:0,fileName:j.referencingFile.fileName,textSpan:sb(j.ref)}});if(mt.declarations)for(const j of mt.declarations)switch(j.kind){case 312:break;case 267:le.has(j.getSourceFile().fileName)&&Fe.push(mg(j.name));break;default:N.assert(!!(mt.flags&33554432),"Expected a module symbol to be declared by a SourceFile or ModuleDeclaration.")}const pt=mt.exports.get("export=");if(pt!=null&&pt.declarations)for(const j of pt.declarations){const ot=j.getSourceFile();if(le.has(ot.fileName)){const Rt=mr(j)&&Fr(j.left)?j.left.expression:kl(j)?N.checkDefined(vs(j,95,ot)):Mo(j)||j;Fe.push(mg(Rt))}}return Fe.length?[{definition:{type:0,symbol:mt},references:Fe}]:et}function m(qe){return qe.kind===148&&VS(qe.parent)&&qe.parent.operator===148}function b(qe,mt,ft){if(a2(qe.kind))return qe.kind===116&&pI(qe.parent)||qe.kind===148&&!m(qe)?void 0:Le(mt,qe.kind,ft,qe.kind===148?m:void 0);if(dR(qe.parent)&&qe.parent.name===qe)return ve(mt,ft);if(aI(qe)&&Rl(qe.parent))return[{definition:{type:2,node:qe},references:[mg(qe)]}];if(aO(qe)){const Ct=Z7(qe.parent,qe.text);return Ct&&pe(Ct.parent,Ct)}else if(YK(qe))return pe(qe.parent,qe);if(ID(qe))return Mn(qe,mt,ft);if(qe.kind===108)return Oi(qe)}function A(qe,mt,ft,Ct,le,Fe,pt){const j=mt&&M(qe,mt,le,!kn(pt))||qe,ot=mt?hi(mt,j):7,Rt=[],Ye=new U(ft,Ct,mt?R(mt):0,le,Fe,ot,pt,Rt),_t=!kn(pt)||!j.declarations?void 0:An(j.declarations,Ku);if(_t)At(_t.name,j,_t,Ye.createSearch(mt,qe,void 0),Ye,!0,!0);else if(mt&&mt.kind===90&&j.escapedName==="default"&&j.parent)vt(mt,j,Ye),K(mt,j,{exportingModuleSymbol:j.parent,exportKind:1},Ye);else{const Vt=Ye.createSearch(mt,j,void 0,{allSearchSymbols:mt?sn(j,mt,le,pt.use===2,!!pt.providePrefixAndSuffixTextForRename,!!pt.implementations):[j]});I(j,Ye,Vt)}return Rt}function I(qe,mt,ft){const Ct=he(qe);if(Ct)Ee(Ct,Ct.getSourceFile(),ft,mt,!($i(Ct)&&!Nr(mt.sourceFiles,Ct)));else for(const le of mt.sourceFiles)mt.cancellationToken.throwIfCancellationRequested(),G(le,ft,mt)}function R(qe){switch(qe.kind){case 176:case 137:return 1;case 80:if(ui(qe.parent))return N.assert(qe.parent.name===qe),2;default:return 0}}function M(qe,mt,ft,Ct){const{parent:le}=mt;return Ku(le)&&Ct?Se(mt,qe,le,ft):Ut(qe.declarations,Fe=>{if(!Fe.parent){if(qe.flags&33554432)return;N.fail(`Unexpected symbol at ${N.formatSyntaxKind(mt.kind)}: ${N.formatSymbol(qe)}`)}return Ep(Fe.parent)&&Qy(Fe.parent.parent)?ft.getPropertyOfType(ft.getTypeFromTypeNode(Fe.parent.parent),qe.name):void 0})}let C;(qe=>{qe[qe.None=0]="None",qe[qe.Constructor=1]="Constructor",qe[qe.Class=2]="Class"})(C||(C={}));function O(qe){if(!(qe.flags&33555968))return;const mt=qe.declarations&&An(qe.declarations,ft=>!$i(ft)&&!Xl(ft));return mt&&mt.symbol}class U{constructor(mt,ft,Ct,le,Fe,pt,j,ot){this.sourceFiles=mt,this.sourceFilesSet=ft,this.specialSearchKind=Ct,this.checker=le,this.cancellationToken=Fe,this.searchMeaning=pt,this.options=j,this.result=ot,this.inheritsFromCache=new Map,this.markSeenContainingTypeReference=PI(),this.markSeenReExportRHS=PI(),this.symbolIdToReferences=[],this.sourceFileToSeenSymbols=[]}includesSourceFile(mt){return this.sourceFilesSet.has(mt.fileName)}getImportSearches(mt,ft){return this.importTracker||(this.importTracker=m_e(this.sourceFiles,this.sourceFilesSet,this.checker,this.cancellationToken)),this.importTracker(mt,ft,this.options.use===2)}createSearch(mt,ft,Ct,le={}){const{text:Fe=tm(Sl(MR(ft)||O(ft)||ft)),allSearchSymbols:pt=[ft]}=le,j=hl(Fe),ot=this.options.implementations&&mt?Sn(mt,ft,this.checker):void 0;return{symbol:ft,comingFrom:Ct,text:Fe,escapedText:j,parents:ot,allSearchSymbols:pt,includes:Rt=>Nr(pt,Rt)}}referenceAdder(mt){const ft=Ta(mt);let Ct=this.symbolIdToReferences[ft];return Ct||(Ct=this.symbolIdToReferences[ft]=[],this.result.push({definition:{type:0,symbol:mt},references:Ct})),(le,Fe)=>Ct.push(mg(le,Fe))}addStringOrCommentReference(mt,ft){this.result.push({definition:void 0,references:[{kind:0,fileName:mt,textSpan:ft}]})}markSearchedSymbols(mt,ft){const Ct=as(mt),le=this.sourceFileToSeenSymbols[Ct]||(this.sourceFileToSeenSymbols[Ct]=new Set);let Fe=!1;for(const pt of ft)Fe=Rv(le,Ta(pt))||Fe;return Fe}}function K(qe,mt,ft,Ct){const{importSearches:le,singleReferences:Fe,indirectUsers:pt}=Ct.getImportSearches(mt,ft);if(Fe.length){const j=Ct.referenceAdder(mt);for(const ot of Fe)V(ot,Ct)&&j(ot)}for(const[j,ot]of le)He(j.getSourceFile(),Ct.createSearch(j,ot,1),Ct);if(pt.length){let j;switch(ft.exportKind){case 0:j=Ct.createSearch(qe,mt,1);break;case 1:j=Ct.options.use===2?void 0:Ct.createSearch(qe,mt,1,{text:"default"});break}if(j)for(const ot of pt)G(ot,j,Ct)}}function Z(qe,mt,ft,Ct,le,Fe,pt,j){const ot=m_e(qe,new Set(qe.map(Vt=>Vt.fileName)),mt,ft),{importSearches:Rt,indirectUsers:Ye,singleReferences:_t}=ot(Ct,{exportKind:pt?1:0,exportingModuleSymbol:le},!1);for(const[Vt]of Rt)j(Vt);for(const Vt of _t)Ve(Vt)&&ug(Vt.parent)&&j(Vt);for(const Vt of Ye)for(const vn of fe(Vt,pt?"default":Fe)){const fn=mt.getSymbolAtLocation(vn),_n=bt(fn==null?void 0:fn.declarations,q=>!!ii(q,kl));Ve(vn)&&!CA(vn.parent)&&(fn===Ct||_n)&&j(vn)}}e.eachExportReference=Z;function V(qe,mt){return De(qe,mt)?mt.options.use!==2?!0:Ve(qe)?!(CA(qe.parent)&&qe.escapedText==="default"):!1:!1}function se(qe,mt){if(qe.declarations)for(const ft of qe.declarations){const Ct=ft.getSourceFile();He(Ct,mt.createSearch(ft,qe,0),mt,mt.includesSourceFile(Ct))}}function G(qe,mt,ft){JY(qe).get(mt.escapedText)!==void 0&&He(qe,mt,ft)}function ne(qe,mt){return Zv(qe.parent.parent)?mt.getPropertySymbolOfDestructuringAssignment(qe):void 0}function he(qe){const{declarations:mt,flags:ft,parent:Ct,valueDeclaration:le}=qe;if(le&&(le.kind===218||le.kind===231))return le;if(!mt)return;if(ft&8196){const j=An(mt,ot=>gp(ot,2)||cd(ot));return j?b0(j,263):void 0}if(mt.some(gO))return;const Fe=Ct&&!(qe.flags&262144);if(Fe&&!(mO(Ct)&&!Ct.globalExports))return;let pt;for(const j of mt){const ot=aT(j);if(pt&&pt!==ot||!ot||ot.kind===312&&!zp(ot))return;if(pt=ot,Os(pt)){let Rt;for(;Rt=Kj(pt);)pt=Rt}}return Fe?pt.getSourceFile():pt}function ye(qe,mt,ft,Ct=ft){return $(qe,mt,ft,()=>!0,Ct)||!1}e.isSymbolReferencedInFile=ye;function $(qe,mt,ft,Ct,le=ft){const Fe=mp(qe.parent,qe.parent.parent)?Ha(mt.getSymbolsOfParameterPropertyDeclaration(qe.parent,qe.text)):mt.getSymbolAtLocation(qe);if(Fe)for(const pt of fe(ft,Fe.name,le)){if(!Ve(pt)||pt===qe||pt.escapedText!==qe.escapedText)continue;const j=mt.getSymbolAtLocation(pt);if(j===Fe||mt.getShorthandAssignmentValueSymbol(pt.parent)===Fe||Ku(pt.parent)&&Se(pt,j,pt.parent,mt)===Fe){const ot=Ct(pt);if(ot)return ot}}}e.eachSymbolReferenceInFile=$;function Y(qe,mt){return nr(fe(mt,qe),le=>!!NC(le)).reduce((le,Fe)=>{const pt=Ct(Fe);return!bt(le.declarationNames)||pt===le.depth?(le.declarationNames.push(Fe),le.depth=pt):pt<le.depth&&(le.declarationNames=[Fe],le.depth=pt),le},{depth:1/0,declarationNames:[]}).declarationNames;function Ct(le){let Fe=0;for(;le;)le=aT(le),Fe++;return Fe}}e.getTopMostDeclarationNamesInFile=Y;function oe(qe,mt,ft,Ct){if(!qe.name||!Ve(qe.name))return!1;const le=N.checkDefined(ft.getSymbolAtLocation(qe.name));for(const Fe of mt)for(const pt of fe(Fe,le.name)){if(!Ve(pt)||pt===qe.name||pt.escapedText!==qe.name.escapedText)continue;const j=Q7(pt),ot=la(j.parent)&&j.parent.expression===j?j.parent:void 0,Rt=ft.getSymbolAtLocation(pt);if(Rt&&ft.getRootSymbols(Rt).some(Ye=>Ye===le)&&Ct(pt,ot))return!0}return!1}e.someSignatureUsage=oe;function fe(qe,mt,ft=qe){return Hi(Ne(qe,mt,ft),Ct=>{const le=Vd(qe,Ct);return le===qe?void 0:le})}function Ne(qe,mt,ft=qe){const Ct=[];if(!mt||!mt.length)return Ct;const le=qe.text,Fe=le.length,pt=mt.length;let j=le.indexOf(mt,ft.pos);for(;j>=0&&!(j>ft.end);){const ot=j+pt;(j===0||!s0(le.charCodeAt(j-1),99))&&(ot===Fe||!s0(le.charCodeAt(ot),99))&&Ct.push(j),j=le.indexOf(mt,j+pt+1)}return Ct}function pe(qe,mt){const ft=qe.getSourceFile(),Ct=mt.text,le=Hi(fe(ft,Ct,qe),Fe=>Fe===mt||aO(Fe)&&Z7(Fe,Ct)===mt?mg(Fe):void 0);return[{definition:{type:1,node:mt},references:le}]}function ie(qe,mt){switch(qe.kind){case 81:if(R0(qe.parent))return!0;case 80:return qe.text.length===mt.length;case 15:case 11:{const ft=qe;return(e5(ft)||eX(qe)||Rue(qe)||la(qe.parent)&&DS(qe.parent)&&qe.parent.arguments[1]===qe)&&ft.text.length===mt.length}case 9:return e5(qe)&&qe.text.length===mt.length;case 90:return mt.length===7;default:return!1}}function ve(qe,mt){const ft=Pi(qe,Ct=>(mt.throwIfCancellationRequested(),Hi(fe(Ct,"meta",Ct),le=>{const Fe=le.parent;if(dR(Fe))return mg(Fe)})));return ft.length?[{definition:{type:2,node:ft[0].node},references:ft}]:void 0}function Le(qe,mt,ft,Ct){const le=Pi(qe,Fe=>(ft.throwIfCancellationRequested(),Hi(fe(Fe,fa(mt),Fe),pt=>{if(pt.kind===mt&&(!Ct||Ct(pt)))return mg(pt)})));return le.length?[{definition:{type:2,node:le[0].node},references:le}]:void 0}function He(qe,mt,ft,Ct=!0){return ft.cancellationToken.throwIfCancellationRequested(),Ee(qe,qe,mt,ft,Ct)}function Ee(qe,mt,ft,Ct,le){if(Ct.markSearchedSymbols(mt,ft.allSearchSymbols))for(const Fe of Ne(mt,ft.text,qe))Pe(mt,Fe,ft,Ct,le)}function De(qe,mt){return!!(oT(qe)&mt.searchMeaning)}function Pe(qe,mt,ft,Ct,le){const Fe=Vd(qe,mt);if(!ie(Fe,ft.text)){!Ct.options.implementations&&(Ct.options.findInStrings&&CI(qe,mt)||Ct.options.findInComments&&Gue(qe,mt))&&Ct.addStringOrCommentReference(qe.fileName,vu(mt,ft.text.length));return}if(!De(Fe,Ct))return;let pt=Ct.checker.getSymbolAtLocation(Fe);if(!pt)return;const j=Fe.parent;if(np(j)&&j.propertyName===Fe)return;if(Ku(j)){N.assert(Fe.kind===80),At(Fe,pt,j,ft,Ct,le);return}if(fC(j)&&j.isNameFirst&&j.typeExpression&&XS(j.typeExpression.type)&&j.typeExpression.type.jsDocPropertyTags&&Me(j.typeExpression.type.jsDocPropertyTags)){We(j.typeExpression.type.jsDocPropertyTags,Fe,ft,Ct);return}const ot=li(ft,pt,Fe,Ct);if(!ot){Gt(pt,ft,Ct);return}switch(Ct.specialSearchKind){case 0:le&&vt(Fe,ot,Ct);break;case 1:$e(Fe,qe,ft,Ct);break;case 2:It(Fe,ft,Ct);break;default:N.assertNever(Ct.specialSearchKind)}lr(Fe)&&Qa(Fe.parent)&&GE(Fe.parent.parent.parent)&&(pt=Fe.parent.symbol,!pt)||at(Fe,pt,ft,Ct)}function We(qe,mt,ft,Ct){const le=Ct.referenceAdder(ft.symbol);vt(mt,ft.symbol,Ct),Ue(qe,Fe=>{xd(Fe.name)&&le(Fe.name.left)})}function At(qe,mt,ft,Ct,le,Fe,pt){N.assert(!pt||!!le.options.providePrefixAndSuffixTextForRename,"If alwaysGetReferences is true, then prefix/suffix text must be enabled");const{parent:j,propertyName:ot,name:Rt}=ft,Ye=j.parent,_t=Se(qe,mt,ft,le.checker);if(!pt&&!Ct.includes(_t))return;if(ot?qe===ot?(Ye.moduleSpecifier||Vt(),Fe&&le.options.use!==2&&le.markSeenReExportRHS(Rt)&&vt(Rt,N.checkDefined(ft.symbol),le)):le.markSeenReExportRHS(qe)&&Vt():le.options.use===2&&Rt.escapedText==="default"||Vt(),!kn(le.options)||pt){const fn=qe.escapedText==="default"||ft.name.escapedText==="default"?1:0,_n=N.checkDefined(ft.symbol),q=__e(_n,fn,le.checker);q&&K(qe,_n,q,le)}if(Ct.comingFrom!==1&&Ye.moduleSpecifier&&!ot&&!kn(le.options)){const vn=le.checker.getExportSpecifierLocalTargetSymbol(ft);vn&&se(vn,le)}function Vt(){Fe&&vt(qe,_t,le)}}function Se(qe,mt,ft,Ct){return je(qe,ft)&&Ct.getExportSpecifierLocalTargetSymbol(ft)||mt}function je(qe,mt){const{parent:ft,propertyName:Ct,name:le}=mt;return N.assert(Ct===qe||le===qe),Ct?Ct===qe:!ft.parent.moduleSpecifier}function at(qe,mt,ft,Ct){const le=$ke(qe,mt,Ct.checker,ft.comingFrom===1);if(!le)return;const{symbol:Fe}=le;le.kind===0?kn(Ct.options)||se(Fe,Ct):K(qe,Fe,le.exportInfo,Ct)}function Gt({flags:qe,valueDeclaration:mt},ft,Ct){const le=Ct.checker.getShorthandAssignmentValueSymbol(mt),Fe=mt&&Mo(mt);!(qe&33554432)&&Fe&&ft.includes(le)&&vt(Fe,le,Ct)}function vt(qe,mt,ft){const{kind:Ct,symbol:le}="kind"in mt?mt:{kind:void 0,symbol:mt};if(ft.options.use===2&&qe.kind===90)return;const Fe=ft.referenceAdder(le);ft.options.implementations?Qt(qe,Fe,ft):Fe(qe,Ct)}function $e(qe,mt,ft,Ct){r2(qe)&&vt(qe,ft.symbol,Ct);const le=()=>Ct.referenceAdder(ft.symbol);if(ui(qe.parent))N.assert(qe.kind===90||qe.parent.name===qe),tn(ft.symbol,mt,le());else{const Fe=Wa(qe);Fe&&(Pn(Fe,le()),hn(Fe,Ct))}}function It(qe,mt,ft){vt(qe,mt.symbol,ft);const Ct=qe.parent;if(ft.options.use===2||!ui(Ct))return;N.assert(Ct.name===qe);const le=ft.referenceAdder(mt.symbol);for(const Fe of Ct.members)MA(Fe)&&sa(Fe)&&Fe.body&&Fe.body.forEachChild(function pt(j){j.kind===110?le(j):!ea(j)&&!ui(j)&&j.forEachChild(pt)})}function tn(qe,mt,ft){const Ct=rn(qe);if(Ct&&Ct.declarations)for(const le of Ct.declarations){const Fe=vs(le,137,mt);N.assert(le.kind===176&&!!Fe),ft(Fe)}qe.exports&&qe.exports.forEach(le=>{const Fe=le.valueDeclaration;if(Fe&&Fe.kind===174){const pt=Fe.body;pt&&ma(pt,110,j=>{r2(j)&&ft(j)})}})}function rn(qe){return qe.members&&qe.members.get("__constructor")}function Pn(qe,mt){const ft=rn(qe.symbol);if(ft&&ft.declarations)for(const Ct of ft.declarations){N.assert(Ct.kind===176);const le=Ct.body;le&&ma(le,108,Fe=>{JK(Fe)&&mt(Fe)})}}function Xn(qe){return!!rn(qe.symbol)}function hn(qe,mt){if(Xn(qe))return;const ft=qe.symbol,Ct=mt.createSearch(void 0,ft,void 0);I(ft,mt,Ct)}function Qt(qe,mt,ft){if(Bg(qe)&&Qi(qe.parent)){mt(qe);return}if(qe.kind!==80)return;qe.parent.kind===304&&Io(qe,ft.checker,mt);const Ct=$t(qe);if(Ct){mt(Ct);return}const le=Gn(qe,j=>!xd(j.parent)&&!ji(j.parent)&&!yS(j.parent)),Fe=le.parent;if(w4(Fe)&&Fe.type===le&&ft.markSeenContainingTypeReference(Fe))if(zy(Fe))pt(Fe.initializer);else if(ea(Fe)&&Fe.body){const j=Fe.body;j.kind===241?zE(j,ot=>{ot.expression&&pt(ot.expression)}):pt(j)}else bS(Fe)&&pt(Fe.expression);function pt(j){_i(j)&&mt(j)}}function $t(qe){return Ve(qe)||Fr(qe)?$t(qe.parent):Kv(qe)?ii(qe.parent.parent,Ym(ui,hd)):void 0}function _i(qe){switch(qe.kind){case 217:return _i(qe.expression);case 219:case 218:case 210:case 231:case 209:return!0;default:return!1}}function ao(qe,mt,ft,Ct){if(qe===mt)return!0;const le=Ta(qe)+","+Ta(mt),Fe=ft.get(le);if(Fe!==void 0)return Fe;ft.set(le,!1);const pt=!!qe.declarations&&qe.declarations.some(j=>CC(j).some(ot=>{const Rt=Ct.getTypeAtLocation(ot);return!!Rt&&!!Rt.symbol&&ao(Rt.symbol,mt,ft,Ct)}));return ft.set(le,pt),pt}function Oi(qe){let mt=WL(qe,!1);if(!mt)return;let ft=256;switch(mt.kind){case 172:case 171:case 174:case 173:case 176:case 177:case 178:ft&=Uy(mt),mt=mt.parent;break;default:return}const Ct=mt.getSourceFile(),le=Hi(fe(Ct,"super",mt),Fe=>{if(Fe.kind!==108)return;const pt=WL(Fe,!1);return pt&&sa(pt)===!!ft&&pt.parent.symbol===mt.symbol?mg(Fe):void 0});return[{definition:{type:0,symbol:mt.symbol},references:le}]}function No(qe){return qe.kind===80&&qe.parent.kind===169&&qe.parent.name===qe}function Mn(qe,mt,ft){let Ct=Fd(qe,!1,!1),le=256;switch(Ct.kind){case 174:case 173:if(xm(Ct)){le&=Uy(Ct),Ct=Ct.parent;break}case 172:case 171:case 176:case 177:case 178:le&=Uy(Ct),Ct=Ct.parent;break;case 312:if(sc(Ct)||No(qe))return;case 262:case 218:break;default:return}const Fe=Pi(Ct.kind===312?mt:[Ct.getSourceFile()],j=>(ft.throwIfCancellationRequested(),fe(j,"this",$i(Ct)?j:Ct).filter(ot=>{if(!ID(ot))return!1;const Rt=Fd(ot,!1,!1);if(!x_(Rt))return!1;switch(Ct.kind){case 218:case 262:return Ct.symbol===Rt.symbol;case 174:case 173:return xm(Ct)&&Ct.symbol===Rt.symbol;case 231:case 263:case 210:return Rt.parent&&x_(Rt.parent)&&Ct.symbol===Rt.parent.symbol&&sa(Rt)===!!le;case 312:return Rt.kind===312&&!sc(Rt)&&!No(ot)}}))).map(j=>mg(j));return[{definition:{type:3,node:Ut(Fe,j=>Ao(j.node.parent)?j.node:void 0)||qe},references:Fe}]}function Di(qe,mt,ft,Ct){const le=i5(qe,ft),Fe=Pi(mt,pt=>(Ct.throwIfCancellationRequested(),Hi(fe(pt,qe.text),j=>{if(ls(j)&&j.text===qe.text)if(le){const ot=i5(j,ft);if(le!==ft.getStringType()&&(le===ot||Ar(j,ft)))return mg(j,2)}else return rI(j)&&!OS(j,pt)?void 0:mg(j,2)})));return[{definition:{type:4,node:qe},references:Fe}]}function Ar(qe,mt){if(yp(qe.parent))return mt.getPropertyOfType(mt.getTypeAtLocation(qe.parent.parent),qe.text)}function sn(qe,mt,ft,Ct,le,Fe){const pt=[];return tt(qe,mt,ft,Ct,!(Ct&&le),(j,ot,Rt)=>{Rt&&Rn(qe)!==Rn(Rt)&&(Rt=void 0),pt.push(Rt||ot||j)},()=>!Fe),pt}function tt(qe,mt,ft,Ct,le,Fe,pt){const j=UO(mt);if(j){const fn=ft.getShorthandAssignmentValueSymbol(mt.parent);if(fn&&Ct)return Fe(fn,void 0,void 0,3);const _n=ft.getContextualType(j.parent),q=_n&&Ut(fz(j,ft,_n,!0),_e=>Vt(_e,4));if(q)return q;const Oe=ne(mt,ft),Lt=Oe&&Fe(Oe,void 0,void 0,4);if(Lt)return Lt;const L=fn&&Fe(fn,void 0,void 0,3);if(L)return L}const ot=s(mt,qe,ft);if(ot){const fn=Fe(ot,void 0,void 0,1);if(fn)return fn}const Rt=Vt(qe);if(Rt)return Rt;if(qe.valueDeclaration&&mp(qe.valueDeclaration,qe.valueDeclaration.parent)){const fn=ft.getSymbolsOfParameterPropertyDeclaration(aa(qe.valueDeclaration,Ao),qe.name);return N.assert(fn.length===2&&!!(fn[0].flags&1)&&!!(fn[1].flags&4)),Vt(qe.flags&1?fn[1]:fn[0])}const Ye=fl(qe,281);if(!Ct||Ye&&!Ye.propertyName){const fn=Ye&&ft.getExportSpecifierLocalTargetSymbol(Ye);if(fn){const _n=Fe(fn,void 0,void 0,1);if(_n)return _n}}if(!Ct){let fn;return le?fn=gO(mt.parent)?v5(ft,mt.parent):void 0:fn=vn(qe,ft),fn&&Vt(fn,4)}if(N.assert(Ct),le){const fn=vn(qe,ft);return fn&&Vt(fn,4)}function Vt(fn,_n){return Ut(ft.getRootSymbols(fn),q=>Fe(fn,q,void 0,_n)||(q.parent&&q.parent.flags&96&&pt(q)?zt(q.parent,q.name,ft,Oe=>Fe(fn,q,Oe,_n)):void 0))}function vn(fn,_n){const q=fl(fn,208);if(q&&gO(q))return v5(_n,q)}}function zt(qe,mt,ft,Ct){const le=new Map;return Fe(qe);function Fe(pt){if(!(!(pt.flags&96)||!Rm(le,Ta(pt))))return Ut(pt.declarations,j=>Ut(CC(j),ot=>{const Rt=ft.getTypeAtLocation(ot),Ye=Rt&&Rt.symbol&&ft.getPropertyOfType(Rt,mt);return Rt&&Ye&&(Ut(ft.getRootSymbols(Ye),Ct)||Fe(Rt.symbol))}))}}function Rn(qe){return qe.valueDeclaration?!!(pd(qe.valueDeclaration)&256):!1}function li(qe,mt,ft,Ct){const{checker:le}=Ct;return tt(mt,ft,le,!1,Ct.options.use!==2||!!Ct.options.providePrefixAndSuffixTextForRename,(Fe,pt,j,ot)=>(j&&Rn(mt)!==Rn(j)&&(j=void 0),qe.includes(j||pt||Fe)?{symbol:pt&&!(xl(Fe)&6)?pt:Fe,kind:ot}:void 0),Fe=>!(qe.parents&&!qe.parents.some(pt=>ao(Fe.parent,pt,Ct.inheritsFromCache,le))))}function hi(qe,mt){let ft=oT(qe);const{declarations:Ct}=mt;if(Ct){let le;do{le=ft;for(const Fe of Ct){const pt=rO(Fe);pt&ft&&(ft|=pt)}}while(ft!==le)}return ft}e.getIntersectingMeaningFromDeclarations=hi;function Qi(qe){return qe.flags&33554432?!(hd(qe)||Nm(qe)):pR(qe)?zy(qe):Bs(qe)?!!qe.body:ui(qe)||SL(qe)}function Io(qe,mt,ft){const Ct=mt.getSymbolAtLocation(qe),le=mt.getShorthandAssignmentValueSymbol(Ct.valueDeclaration);if(le)for(const Fe of le.getDeclarations())rO(Fe)&1&&ft(Fe)}e.getReferenceEntriesForShorthandPropertyAssignment=Io;function ma(qe,mt,ft){Ho(qe,Ct=>{Ct.kind===mt&&ft(Ct),ma(Ct,mt,ft)})}function Wa(qe){return bU(Q7(qe).parent)}function Sn(qe,mt,ft){const Ct=AD(qe)?qe.parent:void 0,le=Ct&&ft.getTypeAtLocation(Ct.expression),Fe=Hi(le&&(le.isUnionOrIntersection()?le.types:le.symbol===mt.parent?void 0:[le]),pt=>pt.symbol&&pt.symbol.flags&96?pt.symbol:void 0);return Fe.length===0?void 0:Fe}function kn(qe){return qe.use===2&&qe.providePrefixAndSuffixTextForRename}})(VI||(VI={}))}}),Ws={};X(Ws,{Core:()=>VI,DefinitionKind:()=>T_e,EntryKind:()=>A_e,ExportKind:()=>g_e,FindReferencesUse:()=>I_e,ImportExport:()=>v_e,createImportTracker:()=>m_e,findModuleReferences:()=>Kke,findReferenceOrRenameEntries:()=>qrt,findReferencedSymbols:()=>jrt,getContextNode:()=>dT,getExportInfo:()=>__e,getImplementationsAtPosition:()=>Hrt,getImportOrExportSymbol:()=>$ke,getReferenceEntriesForNode:()=>Zke,getTextSpanOfEntry:()=>E_e,isContextWithStartAndEndNode:()=>y_e,isDeclarationOfSymbol:()=>rwe,isWriteAccessForReference:()=>S_e,nodeEntry:()=>mg,toContextSpan:()=>b_e,toHighlightSpan:()=>Zrt,toReferenceEntry:()=>nwe,toRenameLocation:()=>Krt});var x_e=T({"src/services/_namespaces/ts.FindAllReferences.ts"(){Grt(),tit()}});function iwe(e,t,r,i,o){var s;const l=awe(t,r,e),u=l&&[fit(l.reference.fileName,l.fileName,l.unverified)]||et;if(l!=null&&l.file)return u;const p=Vd(t,r);if(p===t)return;const{parent:h}=p,m=e.getTypeChecker();if(p.kind===164||Ve(p)&&cF(h)&&h.tagName===p)return rit(m,p)||et;if(aO(p)){const C=Z7(p.parent,p.text);return C?[R_e(m,C,"label",p.text,void 0)]:void 0}switch(p.kind){case 107:const C=Gn(p.parent,U=>Rl(U)?"quit":Bs(U));return C?[wz(m,C)]:void 0;case 90:if(!AP(p.parent))break;case 84:const O=Gn(p.parent,EP);if(O)return[pit(O,t)];break}if(p.kind===135){const C=Gn(p,U=>Bs(U));return C&&bt(C.modifiers,U=>U.kind===134)?[wz(m,C)]:void 0}if(p.kind===127){const C=Gn(p,U=>Bs(U));return C&&C.asteriskToken?[wz(m,C)]:void 0}if(aI(p)&&Rl(p.parent)){const C=p.parent.parent,{symbol:O,failedAliasResolution:U}=UQ(C,m,o),K=nr(C.members,Rl),Z=O?m.symbolToString(O,C):"",V=p.getSourceFile();return wt(K,se=>{let{pos:G}=L_(se);return G=ka(V.text,G),R_e(m,se,"constructor","static {}",Z,!1,U,{start:G,length:6})})}let{symbol:b,failedAliasResolution:A}=UQ(p,m,o),I=p;if(i&&A){const C=Ue([p,...(b==null?void 0:b.declarations)||et],U=>Gn(U,vie)),O=C&&vR(C);O&&({symbol:b,failedAliasResolution:A}=UQ(O,m,o),I=O)}if(!b&&g5(I)){const C=(s=e.getResolvedModuleFromModuleSpecifier(I))==null?void 0:s.resolvedModule;if(C)return[{name:I.text,fileName:C.resolvedFileName,containerName:void 0,containerKind:void 0,kind:"script",textSpan:vu(0,0),failedAliasResolution:A,isAmbient:Su(C.resolvedFileName),unverified:I!==p}]}if(!b)return So(u,cit(p,m));if(i&&Zn(b.declarations,C=>C.getSourceFile().fileName===t.fileName))return;const R=_it(m,p);if(R&&!(ud(p.parent)&&hit(R))){const C=wz(m,R,A);if(m.getRootSymbols(b).some(O=>nit(O,R)))return[C];{const O=T2(m,b,p,A,R)||et;return p.kind===108?[C,...O]:[...O,C]}}if(p.parent.kind===304){const C=m.getShorthandAssignmentValueSymbol(b.valueDeclaration),O=C!=null&&C.declarations?C.declarations.map(U=>n8(U,m,C,p,!1,A)):et;return So(O,owe(m,p))}if(oc(p)&&Qa(h)&&am(h.parent)&&p===(h.propertyName||h.name)){const C=hO(p),O=m.getTypeAtLocation(h.parent);return C===void 0?et:Pi(O.isUnion()?O.types:[O],U=>{const K=U.getProperty(C);return K&&T2(m,K,p)})}const M=owe(m,p);return So(u,M.length?M:T2(m,b,p,A))}function nit(e,t){var r;return e===t.symbol||e===t.symbol.parent||Lc(t.parent)||!wE(t.parent)&&e===((r=ii(t.parent,x_))==null?void 0:r.symbol)}function owe(e,t){const r=UO(t);if(r){const i=r&&e.getContextualType(r.parent);if(i)return Pi(fz(r,e,i,!1),o=>T2(e,o,t))}return et}function rit(e,t){const r=Gn(t,$c);if(!(r&&r.name))return;const i=Gn(r,ui);if(!i)return;const o=D_(i);if(!o)return;const s=hs(o.expression),l=Zc(s)?s.symbol:e.getSymbolAtLocation(s);if(!l)return;const u=Vi(lR(r.name)),p=mc(r)?e.getPropertyOfType(e.getTypeOfSymbol(l),u):e.getPropertyOfType(e.getDeclaredTypeOfSymbol(l),u);if(p)return T2(e,p,t)}function awe(e,t,r){var i,o;const s=A2(e.referencedFiles,t);if(s){const p=r.getSourceFileFromReference(e,s);return p&&{reference:s,fileName:p.fileName,file:p,unverified:!1}}const l=A2(e.typeReferenceDirectives,t);if(l){const p=(i=r.getResolvedTypeReferenceDirectives().get(l.fileName,l.resolutionMode||e.impliedNodeFormat))==null?void 0:i.resolvedTypeReferenceDirective,h=p&&r.getSourceFile(p.resolvedFileName);return h&&{reference:l,fileName:h.fileName,file:h,unverified:!1}}const u=A2(e.libReferenceDirectives,t);if(u){const p=r.getLibFileFromReference(u);return p&&{reference:u,fileName:p.fileName,file:p,unverified:!1}}if(e.imports.length||e.moduleAugmentations.length){const p=xD(e,t);let h;if(g5(p)&&Yc(p.text)&&(h=r.getResolvedModuleFromModuleSpecifier(p))){const m=(o=h.resolvedModule)==null?void 0:o.resolvedFileName,b=m||Py(ai(e.fileName),p.text);return{file:r.getSourceFile(b),fileName:b,reference:{pos:p.getStart(),end:p.getEnd(),fileName:p.text},unverified:!m}}}}function iit(e,t){const r=t.symbol.name;if(!N_e.has(r))return!1;const i=e.resolveName(r,void 0,788968,!1);return!!i&&i===t.target.symbol}function swe(e,t){if(!t.aliasSymbol)return!1;const r=t.aliasSymbol.name;if(!N_e.has(r))return!1;const i=e.resolveName(r,void 0,788968,!1);return!!i&&i===t.aliasSymbol}function oit(e,t,r,i){var o,s;if(Wr(t)&4&&iit(e,t))return t8(e.getTypeArguments(t)[0],e,r,i);if(swe(e,t)&&t.aliasTypeArguments)return t8(t.aliasTypeArguments[0],e,r,i);if(Wr(t)&32&&t.target&&swe(e,t.target)){const l=(s=(o=t.aliasSymbol)==null?void 0:o.declarations)==null?void 0:s[0];if(l&&Nm(l)&&Cf(l.type)&&l.type.typeArguments)return t8(e.getTypeAtLocation(l.type.typeArguments[0]),e,r,i)}return[]}function ait(e,t,r){const i=Vd(t,r);if(i===t)return;if(dR(i.parent)&&i.parent.name===i)return t8(e.getTypeAtLocation(i.parent),e,i.parent,!1);const{symbol:o,failedAliasResolution:s}=UQ(i,e,!1);if(!o)return;const l=e.getTypeOfSymbolAtLocation(o,i),u=sit(o,l,e),p=u&&t8(u,e,i,s),[h,m]=p&&p.length!==0?[u,p]:[l,t8(l,e,i,s)];return m.length?[...oit(e,h,i,s),...m]:!(o.flags&111551)&&o.flags&788968?T2(e,bu(o,e),i,s):void 0}function t8(e,t,r,i){return Pi(e.isUnion()&&!(e.flags&32)?e.types:[e],o=>o.symbol&&T2(t,o.symbol,r,i))}function sit(e,t,r){if(t.symbol===e||e.valueDeclaration&&t.symbol&&wi(e.valueDeclaration)&&e.valueDeclaration.initializer===t.symbol.valueDeclaration){const i=t.getCallSignatures();if(i.length===1)return r.getReturnTypeOfSignature(Ha(i))}}function lit(e,t,r){const i=iwe(e,t,r);if(!i||i.length===0)return;const o=A2(t.referencedFiles,r)||A2(t.typeReferenceDirectives,r)||A2(t.libReferenceDirectives,r);if(o)return{definitions:i,textSpan:sb(o)};const s=Vd(t,r),l=vu(s.getStart(),s.getWidth());return{definitions:i,textSpan:l}}function cit(e,t){return Hi(t.getIndexInfosAtLocation(e),r=>r.declaration&&wz(t,r.declaration))}function UQ(e,t,r){const i=t.getSymbolAtLocation(e);let o=!1;if(i!=null&&i.declarations&&i.flags&2097152&&!r&&uit(e,i.declarations[0])){const s=t.getAliasedSymbol(i);if(s.declarations)return{symbol:s};o=!0}return{symbol:i,failedAliasResolution:o}}function uit(e,t){return e.kind!==80?!1:e.parent===t?!0:t.kind!==274}function dit(e){if(!RC(e))return!1;const t=Gn(e,r=>Lc(r)?!0:RC(r)?!1:"quit");return!!t&&Bl(t)===5}function T2(e,t,r,i,o){const s=nr(t.declarations,b=>b!==o),l=nr(s,b=>!dit(b)),u=bt(l)?l:s;return p()||h()||wt(u,b=>n8(b,e,t,r,!1,i));function p(){if(t.flags&32&&!(t.flags&19)&&(r2(r)||r.kind===137)){const b=An(s,ui)||N.fail("Expected declaration to have at least one class-like declaration");return m(b.members,!0)}}function h(){return KK(r)||tX(r)?m(s,!1):void 0}function m(b,A){if(!b)return;const I=b.filter(A?Ml:ea),R=I.filter(M=>!!M.body);return I.length?R.length!==0?R.map(M=>n8(M,e,t,r)):[n8(Ya(I),e,t,r,!1,i)]:void 0}}function n8(e,t,r,i,o,s){const l=t.symbolToString(r),u=iy.getSymbolKind(t,r,i),p=r.parent?t.symbolToString(r.parent,i):"";return R_e(t,e,u,l,p,o,s)}function R_e(e,t,r,i,o,s,l,u){const p=t.getSourceFile();if(!u){const h=Mo(t)||t;u=Nd(h,p)}return{fileName:p.fileName,textSpan:u,kind:r,name:i,containerKind:void 0,containerName:o,...Ws.toContextSpan(u,p,Ws.getContextNode(t)),isLocal:!D_e(e,t),isAmbient:!!(t.flags&33554432),unverified:s,failedAliasResolution:l}}function pit(e,t){const r=Ws.getContextNode(e),i=Nd(y_e(r)?r.start:r,t);return{fileName:t.fileName,textSpan:i,kind:"keyword",name:"switch",containerKind:void 0,containerName:"",...Ws.toContextSpan(i,t,r),isLocal:!0,isAmbient:!1,unverified:!1,failedAliasResolution:void 0}}function D_e(e,t){if(e.isDeclarationVisible(t))return!0;if(!t.parent)return!1;if(zy(t.parent)&&t.parent.initializer===t)return D_e(e,t.parent);switch(t.kind){case 172:case 177:case 178:case 174:if(gp(t,2))return!1;case 176:case 303:case 304:case 210:case 231:case 219:case 218:return D_e(e,t.parent);default:return!1}}function wz(e,t,r){return n8(t,e,t.symbol,t,!1,r)}function A2(e,t){return An(e,r=>sL(r,t))}function fit(e,t,r){return{fileName:t,textSpan:pc(0,0),kind:"script",name:e,containerName:void 0,containerKind:void 0,unverified:r}}function mit(e){const t=Gn(e,i=>!AD(i)),r=t==null?void 0:t.parent;return r&&wE(r)&&o3(r)===t?r:void 0}function _it(e,t){const r=mit(t),i=r&&e.getResolvedSignature(r);return ii(i&&i.declaration,o=>ea(o)&&!Eh(o))}function hit(e){switch(e.kind){case 176:case 185:case 180:return!0;default:return!1}}var N_e,git=T({"src/services/goToDefinition.ts"(){si(),x_e(),N_e=new Set(["Array","ArrayLike","ReadonlyArray","Promise","PromiseLike","Iterable","IterableIterator","AsyncIterable","Set","WeakSet","ReadonlySet","Map","WeakMap","ReadonlyMap","Partial","Required","Readonly","Pick","Omit"])}}),UD={};X(UD,{createDefinitionInfo:()=>n8,findReferenceInPosition:()=>A2,getDefinitionAndBoundSpan:()=>lit,getDefinitionAtPosition:()=>iwe,getReferenceAtPosition:()=>awe,getTypeDefinitionAtPosition:()=>ait});var vit=T({"src/services/_namespaces/ts.GoToDefinition.ts"(){git()}});function yit(e){return e.includeInlayParameterNameHints==="literals"||e.includeInlayParameterNameHints==="all"}function bit(e){return e.includeInlayParameterNameHints==="literals"}function lwe(e){return e.interactiveInlayHints===!0}function Eit(e){const{file:t,program:r,span:i,cancellationToken:o,preferences:s}=e,l=t.text,u=r.getCompilerOptions(),p=uf(t,s),h=r.getTypeChecker(),m=[];return b(t),m;function b(pe){if(!(!pe||pe.getFullWidth()===0)){switch(pe.kind){case 267:case 263:case 264:case 262:case 231:case 218:case 174:case 219:o.throwIfCancellationRequested()}if(v4(i,pe.pos,pe.getFullWidth())&&!(ji(pe)&&!Kv(pe)))return s.includeInlayVariableTypeHints&&wi(pe)||s.includeInlayPropertyDeclarationTypeHints&&Jo(pe)?U(pe):s.includeInlayEnumMemberValueHints&&u1(pe)?C(pe):yit(s)&&(la(pe)||r1(pe))?K(pe):(s.includeInlayFunctionParameterTypeHints&&Bs(pe)&&iW(pe)&&he(pe),s.includeInlayFunctionLikeReturnTypeHints&&A(pe)&&G(pe)),Ho(pe,b)}}function A(pe){return Gs(pe)||Os(pe)||Ac(pe)||ql(pe)||rf(pe)}function I(pe,ie,ve,Le){let He=`${Le?"...":""}${pe}`,Ee;lwe(s)?(Ee=[Ne(He,ie),{text:":"}],He=""):He+=":",m.push({text:He,position:ve,kind:"Parameter",whitespaceAfter:!0,displayParts:Ee})}function R(pe,ie){m.push({text:typeof pe=="string"?`: ${pe}`:"",displayParts:typeof pe=="string"?void 0:[{text:": "},...pe],position:ie,kind:"Type",whitespaceBefore:!0})}function M(pe,ie){m.push({text:`= ${pe}`,position:ie,kind:"Enum",whitespaceBefore:!0})}function C(pe){if(pe.initializer)return;const ie=h.getConstantValue(pe);ie!==void 0&&M(ie.toString(),pe.end)}function O(pe){return pe.symbol&&pe.symbol.flags&1536}function U(pe){if(!pe.initializer||ta(pe.name)||wi(pe)&&!fe(pe)||yu(pe))return;const ve=h.getTypeAtLocation(pe);if(O(ve))return;const Le=Y(ve);if(Le){const He=typeof Le=="string"?Le:Le.map(De=>De.text).join("");if(s.includeInlayVariableTypeHintsWhenTypeMatchesName===!1&&i0(pe.name.getText(),He))return;R(Le,pe.name.end)}}function K(pe){const ie=pe.arguments;if(!ie||!ie.length)return;const ve=[],Le=h.getResolvedSignatureForSignatureHelp(pe,ve);if(!Le||!ve.length)return;let He=0;for(const Ee of ie){const De=hs(Ee);if(bit(s)&&!se(De)){He++;continue}let Pe=0;if(e_(De)){const At=h.getTypeAtLocation(De.expression);if(h.isTupleType(At)){const{elementFlags:Se,fixedLength:je}=At.target;if(je===0)continue;const at=Gr(Se,vt=>!(vt&1));(at<0?je:at)>0&&(Pe=at<0?je:at)}}const We=h.getParameterIdentifierInfoAtPosition(Le,He);if(He=He+(Pe||1),We){const{parameter:At,parameterName:Se,isRestParameter:je}=We;if(!(s.includeInlayParameterNameHintsWhenArgumentMatchesName||!Z(De,Se))&&!je)continue;const Gt=Vi(Se);if(V(De,Gt))continue;I(Gt,At,Ee.getStart(),je)}}}function Z(pe,ie){return Ve(pe)?pe.text===ie:Fr(pe)?pe.name.text===ie:!1}function V(pe,ie){if(!tf(ie,u.target,yk(t.scriptKind)))return!1;const ve=Yh(l,pe.pos);if(!(ve!=null&&ve.length))return!1;const Le=cwe(ie);return bt(ve,He=>Le.test(l.substring(He.pos,He.end)))}function se(pe){switch(pe.kind){case 224:{const ie=pe.operand;return kE(ie)||Ve(ie)&&iP(ie.escapedText)}case 112:case 97:case 106:case 15:case 228:return!0;case 80:{const ie=pe.escapedText;return oe(ie)||iP(ie)}}return kE(pe)}function G(pe){if(Gs(pe)&&!vs(pe,21,t)||nm(pe)||!pe.body)return;const ve=h.getSignatureFromDeclaration(pe);if(!ve)return;const Le=h.getReturnTypeOfSignature(ve);if(O(Le))return;const He=Y(Le);He&&R(He,ne(pe))}function ne(pe){const ie=vs(pe,22,t);return ie?ie.end:pe.parameters.end}function he(pe){const ie=h.getSignatureFromDeclaration(pe);if(ie)for(let ve=0;ve<pe.parameters.length&&ve<ie.parameters.length;++ve){const Le=pe.parameters[ve];if(!fe(Le)||yu(Le))continue;const Ee=ye(ie.parameters[ve]);Ee&&R(Ee,Le.questionToken?Le.questionToken.end:Le.name.end)}}function ye(pe){const ie=pe.valueDeclaration;if(!ie||!Ao(ie))return;const ve=h.getTypeOfSymbolAtLocation(pe,ie);if(!O(ve))return Y(ve)}function $(pe){const ve=g1();return yC(Le=>{const He=h.typeToTypeNode(pe,void 0,71286784);N.assertIsDefined(He,"should always get typenode"),ve.writeNode(4,He,t,Le)})}function Y(pe){if(!lwe(s))return $(pe);const ve=h.typeToTypeNode(pe,void 0,71286784);N.assertIsDefined(ve,"should always get typenode");const Le=[];return He(ve),Le;function He(We){var At,Se;if(!We)return;const je=fa(We.kind);if(je){Le.push({text:je});return}if(kE(We)){Le.push({text:Pe(We)});return}switch(We.kind){case 80:N.assertNode(We,Ve);const at=Sr(We),Gt=We.symbol&&We.symbol.declarations&&We.symbol.declarations.length&&Mo(We.symbol.declarations[0]);Gt?Le.push(Ne(at,Gt)):Le.push({text:at});break;case 166:N.assertNode(We,xd),He(We.left),Le.push({text:"."}),He(We.right);break;case 182:N.assertNode(We,Kk),We.assertsModifier&&Le.push({text:"asserts "}),He(We.parameterName),We.type&&(Le.push({text:" is "}),He(We.type));break;case 183:N.assertNode(We,Cf),He(We.typeName),We.typeArguments&&(Le.push({text:"<"}),De(We.typeArguments,", "),Le.push({text:">"}));break;case 168:N.assertNode(We,gl),We.modifiers&&De(We.modifiers," "),He(We.name),We.constraint&&(Le.push({text:" extends "}),He(We.constraint)),We.default&&(Le.push({text:" = "}),He(We.default));break;case 169:N.assertNode(We,Ao),We.modifiers&&De(We.modifiers," "),We.dotDotDotToken&&Le.push({text:"..."}),He(We.name),We.questionToken&&Le.push({text:"?"}),We.type&&(Le.push({text:": "}),He(We.type));break;case 185:N.assertNode(We,HR),Le.push({text:"new "}),Ee(We),Le.push({text:" => "}),He(We.type);break;case 186:N.assertNode(We,lI),Le.push({text:"typeof "}),He(We.exprName),We.typeArguments&&(Le.push({text:"<"}),De(We.typeArguments,", "),Le.push({text:">"}));break;case 187:N.assertNode(We,Ep),Le.push({text:"{"}),We.members.length&&(Le.push({text:" "}),De(We.members,"; "),Le.push({text:" "})),Le.push({text:"}"});break;case 188:N.assertNode(We,Xk),He(We.elementType),Le.push({text:"[]"});break;case 189:N.assertNode(We,cI),Le.push({text:"["}),De(We.elements,", "),Le.push({text:"]"});break;case 202:N.assertNode(We,qR),We.dotDotDotToken&&Le.push({text:"..."}),He(We.name),We.questionToken&&Le.push({text:"?"}),Le.push({text:": "}),He(We.type);break;case 190:N.assertNode(We,tF),He(We.type),Le.push({text:"?"});break;case 191:N.assertNode(We,nF),Le.push({text:"..."}),He(We.type);break;case 192:N.assertNode(We,Qy),De(We.types," | ");break;case 193:N.assertNode(We,uI),De(We.types," & ");break;case 194:N.assertNode(We,dI),He(We.checkType),Le.push({text:" extends "}),He(We.extendsType),Le.push({text:" ? "}),He(We.trueType),Le.push({text:" : "}),He(We.falseType);break;case 195:N.assertNode(We,BS),Le.push({text:"infer "}),He(We.typeParameter);break;case 196:N.assertNode(We,GS),Le.push({text:"("}),He(We.type),Le.push({text:")"});break;case 198:N.assertNode(We,VS),Le.push({text:`${fa(We.operator)} `}),He(We.type);break;case 199:N.assertNode(We,jS),He(We.objectType),Le.push({text:"["}),He(We.indexType),Le.push({text:"]"});break;case 200:N.assertNode(We,JR),Le.push({text:"{ "}),We.readonlyToken&&(We.readonlyToken.kind===40?Le.push({text:"+"}):We.readonlyToken.kind===41&&Le.push({text:"-"}),Le.push({text:"readonly "})),Le.push({text:"["}),He(We.typeParameter),We.nameType&&(Le.push({text:" as "}),He(We.nameType)),Le.push({text:"]"}),We.questionToken&&(We.questionToken.kind===40?Le.push({text:"+"}):We.questionToken.kind===41&&Le.push({text:"-"}),Le.push({text:"?"})),Le.push({text:": "}),We.type&&He(We.type),Le.push({text:"; }"});break;case 201:N.assertNode(We,Zy),He(We.literal);break;case 184:N.assertNode(We,Eh),Ee(We),Le.push({text:" => "}),He(We.type);break;case 205:N.assertNode(We,ug),We.isTypeOf&&Le.push({text:"typeof "}),Le.push({text:"import("}),He(We.argument),We.assertions&&(Le.push({text:", { assert: "}),De(We.assertions.assertClause.elements,", "),Le.push({text:" }"})),Le.push({text:")"}),We.qualifier&&(Le.push({text:"."}),He(We.qualifier)),We.typeArguments&&(Le.push({text:"<"}),De(We.typeArguments,", "),Le.push({text:">"}));break;case 171:N.assertNode(We,yp),(At=We.modifiers)!=null&&At.length&&(De(We.modifiers," "),Le.push({text:" "})),He(We.name),We.questionToken&&Le.push({text:"?"}),We.type&&(Le.push({text:": "}),He(We.type));break;case 181:N.assertNode(We,t1),Le.push({text:"["}),De(We.parameters,", "),Le.push({text:"]"}),We.type&&(Le.push({text:": "}),He(We.type));break;case 173:N.assertNode(We,bh),(Se=We.modifiers)!=null&&Se.length&&(De(We.modifiers," "),Le.push({text:" "})),He(We.name),We.questionToken&&Le.push({text:"?"}),Ee(We),We.type&&(Le.push({text:": "}),He(We.type));break;case 179:N.assertNode(We,sI),Ee(We),We.type&&(Le.push({text:": "}),He(We.type));break;case 207:N.assertNode(We,n1),Le.push({text:"["}),De(We.elements,", "),Le.push({text:"]"});break;case 206:N.assertNode(We,am),Le.push({text:"{"}),We.elements.length&&(Le.push({text:" "}),De(We.elements,", "),Le.push({text:" "})),Le.push({text:"}"});break;case 208:N.assertNode(We,Qa),He(We.name);break;case 224:N.assertNode(We,tb),Le.push({text:fa(We.operator)}),He(We.operand);break;case 203:N.assertNode(We,Bae),He(We.head),We.templateSpans.forEach(He);break;case 16:N.assertNode(We,iI),Le.push({text:Pe(We)});break;case 204:N.assertNode(We,CH),He(We.type),He(We.literal);break;case 17:N.assertNode(We,xH),Le.push({text:Pe(We)});break;case 18:N.assertNode(We,$W),Le.push({text:Pe(We)});break;case 197:N.assertNode(We,Yk),Le.push({text:"this"});break;default:N.failBadSyntaxKind(We)}}function Ee(We){We.typeParameters&&(Le.push({text:"<"}),De(We.typeParameters,", "),Le.push({text:">"})),Le.push({text:"("}),De(We.parameters,", "),Le.push({text:")"})}function De(We,At){We.forEach((Se,je)=>{je>0&&Le.push({text:At}),He(Se)})}function Pe(We){switch(We.kind){case 11:return p===0?`'${og(We.text,39)}'`:`"${og(We.text,34)}"`;case 16:case 17:case 18:{const At=We.rawText??cU(og(We.text,96));switch(We.kind){case 16:return"`"+At+"${";case 17:return"}"+At+"${";case 18:return"}"+At+"`"}}}return We.text}}function oe(pe){return pe==="undefined"}function fe(pe){if((HE(pe)||wi(pe)&&uR(pe))&&pe.initializer){const ie=hs(pe.initializer);return!(se(ie)||r1(ie)||Oa(ie)||bS(ie))}return!0}function Ne(pe,ie){const ve=ie.getSourceFile();return{text:pe,span:Nd(ie,ve),file:ve.fileName}}}var cwe,Sit=T({"src/services/inlayHints.ts"(){si(),cwe=e=>new RegExp(`^\\s?/\\*\\*?\\s?${e}\\s?\\*\\/\\s?$`)}}),HQ={};X(HQ,{provideInlayHints:()=>Eit});var Tit=T({"src/services/_namespaces/ts.InlayHints.ts"(){Sit()}});function Ait(e,t){const r=[];return AX(e,i=>{for(const o of xit(i)){const s=n_(o)&&o.tags&&An(o.tags,u=>u.kind===334&&(u.tagName.escapedText==="inheritDoc"||u.tagName.escapedText==="inheritdoc"));if(o.comment===void 0&&!s||n_(o)&&i.kind!==353&&i.kind!==345&&o.tags&&o.tags.some(u=>u.kind===353||u.kind===345)&&!o.tags.some(u=>u.kind===348||u.kind===349))continue;let l=o.comment?HD(o.comment,t):[];s&&s.comment&&(l=l.concat(HD(s.comment,t))),Nr(r,l,Iit)||r.push(l)}}),ia(co(r,[CD()]))}function Iit(e,t){return Ca(e,t,(r,i)=>r.kind===i.kind&&r.text===i.text)}function xit(e){switch(e.kind){case 348:case 355:return[e];case 345:case 353:return[e,e.parent];case 330:if(ZR(e.parent))return[e.parent.parent];default:return Jj(e)}}function Rit(e,t){const r=[];return AX(e,i=>{const o=f0(i);if(!(o.some(s=>s.kind===353||s.kind===345)&&!o.some(s=>s.kind===348||s.kind===349)))for(const s of o)r.push({name:s.tagName.text,text:pwe(s,t)}),r.push(...uwe(dwe(s),t))}),r}function uwe(e,t){return Pi(e,r=>So([{name:r.tagName.text,text:pwe(r,t)}],uwe(dwe(r),t)))}function dwe(e){return fC(e)&&e.isNameFirst&&e.typeExpression&&XS(e.typeExpression.type)?e.typeExpression.type.jsDocPropertyTags:void 0}function HD(e,t){return typeof e=="string"?[df(e)]:Pi(e,r=>r.kind===328?[df(r.text)]:Que(r,t))}function pwe(e,t){const{comment:r,kind:i}=e,o=Dit(i);switch(i){case 356:const u=e.typeExpression;return u?s(u):r===void 0?void 0:HD(r,t);case 336:return s(e.class);case 335:return s(e.class);case 352:const p=e,h=[];if(p.constraint&&h.push(df(p.constraint.getText())),Me(p.typeParameters)){Me(h)&&h.push(wl());const b=p.typeParameters[p.typeParameters.length-1];Ue(p.typeParameters,A=>{h.push(o(A.getText())),b!==A&&h.push($u(28),wl())})}return r&&h.push(wl(),...HD(r,t)),h;case 351:case 357:return s(e.typeExpression);case 353:case 345:case 355:case 348:case 354:const{name:m}=e;return m?s(m):r===void 0?void 0:HD(r,t);default:return r===void 0?void 0:HD(r,t)}function s(u){return l(u.getText())}function l(u){return r?u.match(/^https?$/)?[df(u),...HD(r,t)]:[o(u),wl(),...HD(r,t)]:[df(u)]}}function Dit(e){switch(e){case 348:return Jue;case 355:return Kue;case 352:return Yue;case 353:case 345:return Xue;default:return df}}function Nit(){return mwe||(mwe=wt(P_e,e=>({name:e,kind:"keyword",kindModifiers:"",sortText:GI.SortText.LocationPriority})))}function Cit(){return _we||(_we=wt(P_e,e=>({name:`@${e}`,kind:"keyword",kindModifiers:"",sortText:GI.SortText.LocationPriority})))}function fwe(e){return{name:e,kind:"",kindModifiers:"",displayParts:[df(e)],documentation:et,tags:void 0,codeActions:void 0}}function Pit(e){if(!Ve(e.name))return et;const t=e.name.text,r=e.parent,i=r.parent;return ea(i)?Hi(i.parameters,o=>{if(!Ve(o.name))return;const s=o.name.text;if(!(r.tags.some(l=>l!==e&&r_(l)&&Ve(l.name)&&l.name.escapedText===s)||t!==void 0&&!uo(s,t)))return{name:s,kind:"parameter",kindModifiers:"",sortText:GI.SortText.LocationPriority}}):[]}function Mit(e){return{name:e,kind:"parameter",kindModifiers:"",displayParts:[df(e)],documentation:et,tags:void 0,codeActions:void 0}}function Lit(e,t,r,i){const o=po(t,r),s=Gn(o,n_);if(s&&(s.comment!==void 0||Me(s.tags)))return;const l=o.getStart(t);if(!s&&l<r)return;const u=Wit(o,i);if(!u)return;const{commentOwner:p,parameters:h,hasReturn:m}=u,b=Fp(p)&&p.jsDoc?p.jsDoc:void 0,A=tl(b);if(p.getStart(t)<r||A&&s&&A!==s)return;const I=kit(t,r),R=YE(t.fileName),M=(h?wit(h||[],R,I,e):"")+(m?Oit(I,e):""),C="/**",O=" */",U=Me(f0(p))>0;if(M&&!U){const K=C+e+I+" * ",Z=l===r?e+I:"";return{newText:K+e+M+I+O+Z,caretOffset:K.length}}return{newText:C+O,caretOffset:3}}function kit(e,t){const{text:r}=e,i=lm(t,e);let o=i;for(;o<=t&&A_(r.charCodeAt(o));o++);return r.slice(i,o)}function wit(e,t,r,i){return e.map(({name:o,dotDotDotToken:s},l)=>{const u=o.kind===80?o.text:"param"+l;return`${r} * @param ${t?s?"{...any} ":"{any} ":""}${u}${i}`}).join("")}function Oit(e,t){return`${e} * @returns${t}`}function Wit(e,t){return sie(e,r=>C_e(r,t))}function C_e(e,t){switch(e.kind){case 262:case 218:case 174:case 176:case 173:case 219:const r=e;return{commentOwner:e,parameters:r.parameters,hasReturn:Oz(r,t)};case 303:return C_e(e.initializer,t);case 263:case 264:case 266:case 306:case 265:return{commentOwner:e};case 171:{const o=e;return o.type&&Eh(o.type)?{commentOwner:e,parameters:o.type.parameters,hasReturn:Oz(o.type,t)}:{commentOwner:e}}case 243:{const s=e.declarationList.declarations,l=s.length===1&&s[0].initializer?Fit(s[0].initializer):void 0;return l?{commentOwner:e,parameters:l.parameters,hasReturn:Oz(l,t)}:{commentOwner:e}}case 312:return"quit";case 267:return e.parent.kind===267?void 0:{commentOwner:e};case 244:return C_e(e.expression,t);case 226:{const o=e;return Bl(o)===0?"quit":ea(o.right)?{commentOwner:e,parameters:o.right.parameters,hasReturn:Oz(o.right,t)}:{commentOwner:e}}case 172:const i=e.initializer;if(i&&(Os(i)||Gs(i)))return{commentOwner:e,parameters:i.parameters,hasReturn:Oz(i,t)}}}function Oz(e,t){return!!(t!=null&&t.generateReturnInDocTemplate)&&(Eh(e)||Gs(e)&&yt(e.body)||Bs(e)&&e.body&&Xo(e.body)&&!!zE(e.body,r=>r))}function Fit(e){for(;e.kind===217;)e=e.expression;switch(e.kind){case 218:case 219:return e;case 231:return An(e.members,Ml)}}var P_e,mwe,_we,hwe,zit=T({"src/services/jsDoc.ts"(){si(),P_e=["abstract","access","alias","argument","async","augments","author","borrows","callback","class","classdesc","constant","constructor","constructs","copyright","default","deprecated","description","emits","enum","event","example","exports","extends","external","field","file","fileoverview","fires","function","generator","global","hideconstructor","host","ignore","implements","inheritdoc","inner","instance","interface","kind","lends","license","link","linkcode","linkplain","listens","member","memberof","method","mixes","module","name","namespace","overload","override","package","param","private","prop","property","protected","public","readonly","requires","returns","satisfies","see","since","static","summary","template","this","throws","todo","tutorial","type","typedef","var","variation","version","virtual","yields"],hwe=fwe}}),G0={};X(G0,{getDocCommentTemplateAtPosition:()=>Lit,getJSDocParameterNameCompletionDetails:()=>Mit,getJSDocParameterNameCompletions:()=>Pit,getJSDocTagCompletionDetails:()=>fwe,getJSDocTagCompletions:()=>Cit,getJSDocTagNameCompletionDetails:()=>hwe,getJSDocTagNameCompletions:()=>Nit,getJsDocCommentsFromDeclarations:()=>Ait,getJsDocTagsFromDeclarations:()=>Rit});var Bit=T({"src/services/_namespaces/ts.JsDoc.ts"(){zit()}});function Git(e,t,r,i,o,s){const l=_r.ChangeTracker.fromContext({host:r,formatContext:t,preferences:o}),u=s==="SortAndCombine"||s==="All",p=u,h=s==="RemoveUnused"||s==="All",m=qQ(e,e.statements.filter(kc)),b=iot(o,u?()=>ywe(m,o)===2:void 0),A=R=>(h&&(R=jit(R,e,i)),p&&(R=gwe(R,b,e,o)),u&&(R=Dv(R,(M,C)=>O_e(M,C,b))),R);m.forEach(R=>I(R,A)),s!=="RemoveUnused"&&oot(e).forEach(R=>I(R,M=>M_e(M,b,o)));for(const R of e.statements.filter(ku)){if(!R.body)continue;if(qQ(e,R.body.statements.filter(kc)).forEach(C=>I(C,A)),s!=="RemoveUnused"){const C=R.body.statements.filter(Yl);I(C,O=>M_e(O,b,o))}}return l.getChanges();function I(R,M){if(Me(R)===0)return;pr(R[0],1024);const C=p?YN(R,K=>Wz(K.moduleSpecifier)):[R],O=u?Dv(C,(K,Z)=>k_e(K[0].moduleSpecifier,Z[0].moduleSpecifier,b)):C,U=Pi(O,K=>Wz(K[0].moduleSpecifier)||K[0].moduleSpecifier===void 0?M(K):K);if(U.length===0)l.deleteNodes(e,R,{leadingTriviaOption:_r.LeadingTriviaOption.Exclude,trailingTriviaOption:_r.TrailingTriviaOption.Include},!0);else{const K={leadingTriviaOption:_r.LeadingTriviaOption.Exclude,trailingTriviaOption:_r.TrailingTriviaOption.Include,suffix:ty(r,t.options)};l.replaceNodeWithNodes(e,R[0],U,K);const Z=l.nodeHasTrailingComment(e,R[0],K);l.deleteNodes(e,R.slice(1),{trailingTriviaOption:_r.TrailingTriviaOption.Include},Z)}}}function qQ(e,t){const r=wv(e.languageVersion,!1,e.languageVariant),i=[];let o=0;for(const s of t)i[o]&&Vit(e,s,r)&&o++,i[o]||(i[o]=[]),i[o].push(s);return i}function Vit(e,t,r){const i=t.getFullStart(),o=t.getStart();r.setText(e.text,i,o-i);let s=0;for(;r.getTokenStart()<o;)if(r.scan()===4&&(s++,s>=2))return!0;return!1}function jit(e,t,r){const i=r.getTypeChecker(),o=r.getCompilerOptions(),s=i.getJsxNamespace(t),l=i.getJsxFragmentFactory(t),u=!!(t.transformFlags&2),p=[];for(const m of e){const{importClause:b,moduleSpecifier:A}=m;if(!b){p.push(m);continue}let{name:I,namedBindings:R}=b;if(I&&!h(I)&&(I=void 0),R)if(nb(R))h(R.name)||(R=void 0);else{const M=R.elements.filter(C=>h(C.name));M.length<R.elements.length&&(R=M.length?w.updateNamedImports(R,M):void 0)}I||R?p.push(r8(m,I,R)):Uit(t,A)&&(t.isDeclarationFile?p.push(w.createImportDeclaration(m.modifiers,void 0,A,void 0)):p.push(m))}return p;function h(m){return u&&(m.text===s||l&&m.text===l)&&UX(o.jsx)||Ws.Core.isSymbolReferencedInFile(m,i,t)}}function Uit(e,t){const r=Ma(t)&&t.text;return Po(r)&&bt(e.moduleAugmentations,i=>Ma(i)&&i.text===r)}function Wz(e){return e!==void 0&&ls(e)?e.text:void 0}function Hit(e,t,r,i){const o=JQ(t);return gwe(e,o,r,i)}function gwe(e,t,r,i){if(e.length===0)return e;const o=w6(e,l=>{if(l.attributes){let u=l.attributes.token+" ";for(const p of uS(l.attributes.elements,(h,m)=>Uu(h.name.text,m.name.text)))u+=p.name.text+":",u+=ls(p.value)?`"${p.value.text}"`:p.value.getText()+" ";return u}return""}),s=[];for(const l in o){const u=o[l],{importWithoutClause:p,typeOnlyImports:h,regularImports:m}=qit(u);p&&s.push(p);for(const b of[m,h]){const A=b===h,{defaultImports:I,namespaceImports:R,namedImports:M}=b;if(!A&&I.length===1&&R.length===1&&M.length===0){const ne=I[0];s.push(r8(ne,ne.importClause.name,R[0].importClause.namedBindings));continue}const C=Dv(R,(ne,he)=>t(ne.importClause.namedBindings.name.text,he.importClause.namedBindings.name.text));for(const ne of C)s.push(r8(ne,void 0,ne.importClause.namedBindings));const O=Xc(I),U=Xc(M),K=O??U;if(!K)continue;let Z;const V=[];if(I.length===1)Z=I[0].importClause.name;else for(const ne of I)V.push(w.createImportSpecifier(!1,w.createIdentifier("default"),ne.importClause.name));V.push(...eot(M));const se=w.createNodeArray(vwe(V,t,i),U==null?void 0:U.importClause.namedBindings.elements.hasTrailingComma),G=se.length===0?Z?void 0:w.createNamedImports(et):U?w.updateNamedImports(U.importClause.namedBindings,se):w.createNamedImports(se);r&&G&&(U!=null&&U.importClause.namedBindings)&&!OS(U.importClause.namedBindings,r)&&pr(G,2),A&&Z&&G?(s.push(r8(K,Z,void 0)),s.push(r8(U??K,void 0,G))):s.push(r8(K,Z,G))}}return s}function qit(e){let t;const r={defaultImports:[],namespaceImports:[],namedImports:[]},i={defaultImports:[],namespaceImports:[],namedImports:[]};for(const o of e){if(o.importClause===void 0){t=t||o;continue}const s=o.importClause.isTypeOnly?r:i,{name:l,namedBindings:u}=o.importClause;l&&s.defaultImports.push(o),u&&(nb(u)?s.namespaceImports.push(o):s.namedImports.push(o))}return{importWithoutClause:t,typeOnlyImports:r,regularImports:i}}function Jit(e,t,r){const i=JQ(t);return M_e(e,i,r)}function M_e(e,t,r){if(e.length===0)return e;const{exportWithoutClause:i,namedExports:o,typeOnlyExports:s}=u(e),l=[];i&&l.push(i);for(const p of[o,s]){if(p.length===0)continue;const h=[];h.push(...Pi(p,A=>A.exportClause&&Pf(A.exportClause)?A.exportClause.elements:et));const m=vwe(h,t,r),b=p[0];l.push(w.updateExportDeclaration(b,b.modifiers,b.isTypeOnly,b.exportClause&&(Pf(b.exportClause)?w.updateNamedExports(b.exportClause,m):w.updateNamespaceExport(b.exportClause,b.exportClause.name)),b.moduleSpecifier,b.attributes))}return l;function u(p){let h;const m=[],b=[];for(const A of p)A.exportClause===void 0?h=h||A:A.isTypeOnly?b.push(A):m.push(A);return{exportWithoutClause:h,namedExports:m,typeOnlyExports:b}}}function r8(e,t,r){return w.updateImportDeclaration(e,e.modifiers,w.updateImportClause(e.importClause,e.importClause.isTypeOnly,t,r),e.moduleSpecifier,e.attributes)}function vwe(e,t,r){return Dv(e,(i,o)=>L_e(i,o,t,r))}function L_e(e,t,r,i){switch(i==null?void 0:i.organizeImportsTypeOrder){case"first":return Ry(t.isTypeOnly,e.isTypeOnly)||r(e.name.text,t.name.text);case"inline":return r(e.name.text,t.name.text);default:return Ry(e.isTypeOnly,t.isTypeOnly)||r(e.name.text,t.name.text)}}function Kit(e,t,r){const i=JQ(!!r);return k_e(e,t,i)}function k_e(e,t,r){const i=e===void 0?void 0:Wz(e),o=t===void 0?void 0:Wz(t);return Ry(i===void 0,o===void 0)||Ry(Yc(i),Yc(o))||r(i,o)}function w_e(e){var t;switch(e.kind){case 271:return(t=ii(e.moduleReference,Ah))==null?void 0:t.expression;case 272:return e.moduleSpecifier;case 243:return e.declarationList.declarations[0].initializer.arguments[0]}}function Xit(e,t){return ywe(qQ(e,e.statements.filter(kc)),t)}function ywe(e,t){const r=qD(t,!1),i=qD(t,!0);let o=3,s=!1;for(const l of e){if(l.length>1){const p=XN(l,h=>{var m;return((m=ii(h.moduleSpecifier,Ma))==null?void 0:m.text)??""},r,i);if(p&&(o&=p,s=!0),!o)return o}const u=An(l,p=>{var h,m;return((m=ii((h=p.importClause)==null?void 0:h.namedBindings,Hg))==null?void 0:m.elements.length)>1});if(u){const p=W_e(u.importClause.namedBindings.elements,t);if(p&&(o&=p,s=!0),!o)return o}if(o!==3)return o}return s?0:o}function Yit(e,t){const r=qD(t,!1),i=qD(t,!0);return XN(e,o=>Wz(w_e(o))||"",r,i)}function $it(e,t,r){const i=Nv(e,t,nl,(o,s)=>O_e(o,s,r));return i<0?~i:i}function Qit(e,t,r,i){const o=Nv(e,t,nl,(s,l)=>L_e(s,l,r,i));return o<0?~o:o}function O_e(e,t,r){return k_e(w_e(e),w_e(t),r)||Zit(e,t)}function Zit(e,t){return rl(bwe(e),bwe(t))}function bwe(e){var t;switch(e.kind){case 272:return e.importClause?e.importClause.isTypeOnly?1:((t=e.importClause.namedBindings)==null?void 0:t.kind)===274?2:e.importClause.name?3:4:0;case 271:return 5;case 243:return 6}}function eot(e){return Pi(e,t=>wt(tot(t),r=>r.name&&r.propertyName&&r.name.escapedText===r.propertyName.escapedText?w.updateImportSpecifier(r,r.isTypeOnly,void 0,r.name):r))}function tot(e){var t;return(t=e.importClause)!=null&&t.namedBindings&&Hg(e.importClause.namedBindings)?e.importClause.namedBindings.elements:void 0}function JQ(e){return e?Mne:Uu}function not(e,t){const r=rot(t),i=t.organizeImportsCaseFirst??!1,o=t.organizeImportsNumericCollation??!1,s=t.organizeImportsAccentCollation??!0,l=e?s?"accent":"base":s?"variant":"case";return new Intl.Collator(r,{usage:"sort",caseFirst:i||"false",sensitivity:l,numeric:o}).compare}function rot(e){let t=e.organizeImportsLocale;t==="auto"&&(t=Lne()),t===void 0&&(t="en");const r=Intl.Collator.supportedLocalesOf(t);return r.length?r[0]:"en"}function qD(e,t){return(e.organizeImportsCollation??"ordinal")==="unicode"?not(t,e):JQ(t)}function iot(e,t){const r=typeof e.organizeImportsIgnoreCase=="boolean"?e.organizeImportsIgnoreCase:(t==null?void 0:t())??!1;return qD(e,r)}function oot(e){const t=[],r=e.statements,i=Me(r);let o=0,s=0;for(;o<i;)if(Yl(r[o])){t[s]===void 0&&(t[s]=[]);const l=r[o];if(l.moduleSpecifier)t[s].push(l),o++;else{for(;o<i&&Yl(r[o]);)t[s].push(r[o++]);s++}}else o++;return Pi(t,l=>qQ(e,l))}var Ewe,W_e,aot=T({"src/services/organizeImports.ts"(){si(),Ewe=class{has([e,t]){return this._lastPreferences!==t||!this._cache?!1:this._cache.has(e)}get([e,t]){if(!(this._lastPreferences!==t||!this._cache))return this._cache.get(e)}set([e,t],r){this._lastPreferences!==t&&(this._lastPreferences=t,this._cache=void 0),this._cache??(this._cache=new WeakMap),this._cache.set(e,r)}},W_e=Pne((e,t)=>{switch(t.organizeImportsTypeOrder){case"first":if(!M6(e,(o,s)=>Ry(s.isTypeOnly,o.isTypeOnly)))return 0;break;case"inline":if(!M6(e,(o,s)=>zx(!0)(o.name.text,s.name.text)))return 0;break;default:if(!M6(e,(o,s)=>Ry(o.isTypeOnly,s.isTypeOnly)))return 0;break}const r=qD(t,!1),i=qD(t,!0);if(t.organizeImportsTypeOrder!=="inline"){const{type:o,regular:s}=w6(e,p=>p.isTypeOnly?"type":"regular"),l=o!=null&&o.length?XN(o,p=>p.name.text,r,i):void 0,u=s!=null&&s.length?XN(s,p=>p.name.text??"",r,i):void 0;return l===void 0?u??0:u===void 0?l:l===0||u===0?0:u&l}return XN(e,o=>o.name.text,r,i)},new Ewe)}}),Lm={};X(Lm,{coalesceExports:()=>Jit,coalesceImports:()=>Hit,compareImportOrExportSpecifiers:()=>L_e,compareImportsOrRequireStatements:()=>O_e,compareModuleSpecifiers:()=>Kit,detectImportDeclarationSorting:()=>Yit,detectImportSpecifierSorting:()=>W_e,detectSorting:()=>Xit,getImportDeclarationInsertionIndex:()=>$it,getImportSpecifierInsertionIndex:()=>Qit,getOrganizeImportsComparer:()=>qD,organizeImports:()=>Git});var sot=T({"src/services/_namespaces/ts.OrganizeImports.ts"(){aot()}});function lot(e,t){const r=[];return cot(e,t,r),uot(e,r),r.sort((i,o)=>i.textSpan.start-o.textSpan.start)}function cot(e,t,r){let i=40,o=0;const s=[...e.statements,e.endOfFileToken],l=s.length;for(;o<l;){for(;o<l&&!TS(s[o]);)u(s[o]),o++;if(o===l)break;const p=o;for(;o<l&&TS(s[o]);)u(s[o]),o++;const h=o-1;h!==p&&r.push(Fz(vs(s[p],102,e).getStart(e),s[h].getEnd(),"imports"))}function u(p){var h;if(i===0)return;t.throwIfCancellationRequested(),(Ju(p)||Ll(p)||Dm(p)||I_(p)||p.kind===1)&&Twe(p,e,t,r),ea(p)&&mr(p.parent)&&Fr(p.parent.left)&&Twe(p.parent.left,e,t,r),(Xo(p)||O_(p))&&F_e(p.statements.end,e,t,r),(ui(p)||hd(p))&&F_e(p.members.end,e,t,r);const m=dot(p,e);m&&r.push(m),i--,la(p)?(i++,u(p.expression),i--,p.arguments.forEach(u),(h=p.typeArguments)==null||h.forEach(u)):US(p)&&p.elseStatement&&US(p.elseStatement)?(u(p.expression),u(p.thenStatement),i++,u(p.elseStatement),i--):p.forEachChild(u),i++}}function uot(e,t){const r=[],i=e.getLineStarts();for(const o of i){const s=e.getLineEndOfPosition(o),l=e.text.substring(o,s),u=Swe(l);if(!(!u||Qv(e,o)))if(u[1]){const p=r.pop();p&&(p.textSpan.length=s-p.textSpan.start,p.hintSpan.length=s-p.textSpan.start,t.push(p))}else{const p=pc(e.text.indexOf("//",o),s);r.push(jI(p,"region",p,!1,u[2]||"#region"))}}}function Swe(e){return e=e.trimStart(),uo(e,"//")?(e=e.slice(2).trim(),Awe.exec(e)):null}function F_e(e,t,r,i){const o=Yh(t.text,e);if(!o)return;let s=-1,l=-1,u=0;const p=t.getFullText();for(const{kind:m,pos:b,end:A}of o)switch(r.throwIfCancellationRequested(),m){case 2:const I=p.slice(b,A);if(Swe(I)){h(),u=0;break}u===0&&(s=b),l=A,u++;break;case 3:h(),i.push(Fz(b,A,"comment")),u=0;break;default:N.assertNever(m)}h();function h(){u>1&&i.push(Fz(s,l,"comment"))}}function Twe(e,t,r,i){nI(e)||F_e(e.pos,t,r,i)}function Fz(e,t,r){return jI(pc(e,t),r)}function dot(e,t){switch(e.kind){case 241:if(ea(e.parent))return pot(e.parent,e,t);switch(e.parent.kind){case 246:case 249:case 250:case 248:case 245:case 247:case 254:case 299:return m(e.parent);case 258:const I=e.parent;if(I.tryBlock===e)return m(e.parent);if(I.finallyBlock===e){const R=vs(I,98,t);if(R)return m(R)}default:return jI(Nd(e,t),"code")}case 268:return m(e.parent);case 263:case 231:case 264:case 266:case 269:case 187:case 206:return m(e);case 189:return m(e,!1,!cI(e.parent),23);case 296:case 297:return b(e.statements);case 210:return h(e);case 209:return h(e,23);case 284:return s(e);case 288:return l(e);case 285:case 286:return u(e.attributes);case 228:case 15:return p(e);case 207:return m(e,!1,!Qa(e.parent),23);case 219:return o(e);case 213:return i(e);case 217:return A(e);case 275:case 279:case 300:return r(e)}function r(I){if(!I.elements.length)return;const R=vs(I,19,t),M=vs(I,20,t);if(!(!R||!M||Rf(R.pos,M.pos,t)))return KQ(R,M,I,t,!1,!1)}function i(I){if(!I.arguments.length)return;const R=vs(I,21,t),M=vs(I,22,t);if(!(!R||!M||Rf(R.pos,M.pos,t)))return KQ(R,M,I,t,!1,!0)}function o(I){if(Xo(I.body)||Gd(I.body)||Rf(I.body.getFullStart(),I.body.getEnd(),t))return;const R=pc(I.body.getFullStart(),I.body.getEnd());return jI(R,"code",Nd(I))}function s(I){const R=pc(I.openingElement.getStart(t),I.closingElement.getEnd()),M=I.openingElement.tagName.getText(t),C="<"+M+">...</"+M+">";return jI(R,"code",R,!1,C)}function l(I){const R=pc(I.openingFragment.getStart(t),I.closingFragment.getEnd());return jI(R,"code",R,!1,"<>...</>")}function u(I){if(I.properties.length!==0)return Fz(I.getStart(t),I.getEnd(),"code")}function p(I){if(!(I.kind===15&&I.text.length===0))return Fz(I.getStart(t),I.getEnd(),"code")}function h(I,R=19){return m(I,!1,!_d(I.parent)&&!la(I.parent),R)}function m(I,R=!1,M=!0,C=19,O=C===19?20:24){const U=vs(e,C,t),K=vs(e,O,t);return U&&K&&KQ(U,K,I,t,R,M)}function b(I){return I.length?jI(sb(I),"code"):void 0}function A(I){if(Rf(I.getStart(),I.getEnd(),t))return;const R=pc(I.getStart(),I.getEnd());return jI(R,"code",Nd(I))}}function pot(e,t,r){const i=fot(e,t,r),o=vs(t,20,r);return i&&o&&KQ(i,o,e,r,e.kind!==219)}function KQ(e,t,r,i,o=!1,s=!0){const l=pc(s?e.getFullStart():e.getStart(i),t.getEnd());return jI(l,"code",Nd(r,i),o)}function jI(e,t,r=e,i=!1,o="..."){return{textSpan:e,kind:t,hintSpan:r,bannerText:o,autoCollapse:i}}function fot(e,t,r){if(xoe(e.parameters,r)){const i=vs(e,21,r);if(i)return i}return vs(t,19,r)}var Awe,mot=T({"src/services/outliningElementsCollector.ts"(){si(),Awe=/^#(end)?region(?:\s+(.*))?(?:\r)?$/}}),XQ={};X(XQ,{collectElements:()=>lot});var _ot=T({"src/services/_namespaces/ts.OutliningElementsCollector.ts"(){mot()}});function hot(e,t,r,i){const o=o5(Vd(t,r));if(xwe(o)){const s=got(o,e.getTypeChecker(),t,e,i);if(s)return s}return YQ(f.You_cannot_rename_this_element)}function got(e,t,r,i,o){const s=t.getSymbolAtLocation(e);if(!s){if(ls(e)){const A=i5(e,t);if(A&&(A.flags&128||A.flags&1048576&&Zn(A.types,I=>!!(I.flags&128))))return z_e(e.text,e.text,"string","",e,r)}else if($K(e)){const A=fc(e);return z_e(A,A,"label","",e,r)}return}const{declarations:l}=s;if(!l||l.length===0)return;if(l.some(A=>vot(i,A)))return YQ(f.You_cannot_rename_elements_that_are_defined_in_the_standard_TypeScript_library);if(Ve(e)&&e.escapedText==="default"&&s.parent&&s.parent.flags&1536)return;if(ls(e)&&$L(e))return o.allowRenameOfImportPath?bot(e,r,s):void 0;const u=yot(r,s,t,o);if(u)return YQ(u);const p=iy.getSymbolKind(t,s,e),h=Zue(e)||nf(e)&&e.parent.kind===167?tm(em(e)):void 0,m=h||t.symbolToString(s),b=h||t.getFullyQualifiedName(s);return z_e(m,b,p,iy.getSymbolModifiers(t,s),e,r)}function vot(e,t){const r=t.getSourceFile();return e.isSourceFileDefaultLibrary(r)&&Il(r.fileName,".d.ts")}function yot(e,t,r,i){if(!i.providePrefixAndSuffixTextForRename&&t.flags&2097152){const l=t.declarations&&An(t.declarations,u=>np(u));l&&!l.propertyName&&(t=r.getAliasedSymbol(t))}const{declarations:o}=t;if(!o)return;const s=Iwe(e.path);if(s===void 0)return bt(o,l=>IO(l.getSourceFile().path))?f.You_cannot_rename_elements_that_are_defined_in_a_node_modules_folder:void 0;for(const l of o){const u=Iwe(l.getSourceFile().path);if(u){const p=Math.min(s.length,u.length);for(let h=0;h<=p;h++)if(Uu(s[h],u[h])!==0)return f.You_cannot_rename_elements_that_are_defined_in_another_node_modules_folder}}}function Iwe(e){const t=zc(e),r=t.lastIndexOf("node_modules");if(r!==-1)return t.slice(0,r+2)}function bot(e,t,r){if(!Yc(e.text))return YQ(f.You_cannot_rename_a_module_via_a_global_import);const i=r.declarations&&An(r.declarations,$i);if(!i)return;const o=Al(e.text,"/index")||Al(e.text,"/index.js")?void 0:One(Id(i.fileName),"/index"),s=o===void 0?i.fileName:o,l=o===void 0?"module":"directory",u=e.text.lastIndexOf("/")+1,p=vu(e.getStart(t)+1+u,e.text.length-u);return{canRename:!0,fileToRename:s,kind:l,displayName:s,fullDisplayName:e.text,kindModifiers:"",triggerSpan:p}}function z_e(e,t,r,i,o,s){return{canRename:!0,fileToRename:void 0,kind:r,displayName:e,fullDisplayName:t,kindModifiers:i,triggerSpan:Eot(o,s)}}function YQ(e){return{canRename:!1,localizedErrorMessage:Wo(e)}}function Eot(e,t){let r=e.getStart(t),i=e.getWidth(t);return ls(e)&&(r+=1,i-=2),vu(r,i)}function xwe(e){switch(e.kind){case 80:case 81:case 11:case 15:case 110:return!0;case 9:return e5(e);default:return!1}}var Sot=T({"src/services/rename.ts"(){si()}}),zz={};X(zz,{getRenameInfo:()=>hot,nodeIsEligibleForRename:()=>xwe});var Tot=T({"src/services/_namespaces/ts.Rename.ts"(){Sot()}});function Aot(e,t,r,i,o){const s=e.getTypeChecker(),l=a5(t,r);if(!l)return;const u=!!i&&i.kind==="characterTyped";if(u&&(CI(t,r,l)||Qv(t,r)))return;const p=!!i&&i.kind==="invoked",h=Got(l,r,t,s,p);if(!h)return;o.throwIfCancellationRequested();const m=Iot(h,s,t,l,u);return o.throwIfCancellationRequested(),m?s.runWithCancellationToken(o,b=>m.kind===0?kwe(m.candidates,m.resolvedSignature,h,t,b):jot(m.symbol,h,t,b)):dd(t)?Rot(h,e,o):void 0}function Iot({invocation:e,argumentCount:t},r,i,o,s){switch(e.kind){case 0:{if(s&&!xot(o,e.node,i))return;const l=[],u=r.getResolvedSignatureForSignatureHelp(e.node,l,t);return l.length===0?void 0:{kind:0,candidates:l,resolvedSignature:u}}case 1:{const{called:l}=e;if(s&&!Rwe(o,i,Ve(l)?l.parent:l))return;const u=sX(l,t,r);if(u.length!==0)return{kind:0,candidates:u,resolvedSignature:Ha(u)};const p=r.getSymbolAtLocation(l);return p&&{kind:1,symbol:p}}case 2:return{kind:0,candidates:[e.signature],resolvedSignature:e.signature};default:return N.assertNever(e)}}function xot(e,t,r){if(!I_(t))return!1;const i=t.getChildren(r);switch(e.kind){case 21:return Nr(i,e);case 28:{const o=r5(e);return!!o&&Nr(i,o)}case 30:return Rwe(e,r,t.expression);default:return!1}}function Rot(e,t,r){if(e.invocation.kind===2)return;const i=Mwe(e.invocation),o=Fr(i)?i.name.text:void 0,s=t.getTypeChecker();return o===void 0?void 0:Ut(t.getSourceFiles(),l=>Ut(l.getNamedDeclarations().get(o),u=>{const p=u.symbol&&s.getTypeOfSymbolAtLocation(u.symbol,u),h=p&&p.getCallSignatures();if(h&&h.length)return s.runWithCancellationToken(r,m=>kwe(h,h[0],e,l,m,!0))}))}function Rwe(e,t,r){const i=e.getFullStart();let o=e.parent;for(;o;){const s=xc(i,t,o,!0);if(s)return cf(r,s);o=o.parent}return N.fail("Could not find preceding token")}function Dot(e,t,r,i){const o=Nwe(e,t,r,i);return!o||o.isTypeParameterList||o.invocation.kind!==0?void 0:{invocation:o.invocation.node,argumentCount:o.argumentCount,argumentIndex:o.argumentIndex}}function Dwe(e,t,r,i){const o=Not(e,r,i);if(!o)return;const{list:s,argumentIndex:l}=o,u=Wot(i,s);l!==0&&N.assertLessThan(l,u);const p=zot(s,r);return{list:s,argumentIndex:l,argumentCount:u,argumentsSpan:p}}function Not(e,t,r){if(e.kind===30||e.kind===21)return{list:Vot(e.parent,e,t),argumentIndex:0};{const i=r5(e);return i&&{list:i,argumentIndex:Oot(r,i,e)}}}function Nwe(e,t,r,i){const{parent:o}=e;if(I_(o)){const s=o,l=Dwe(e,t,r,i);if(!l)return;const{list:u,argumentIndex:p,argumentCount:h,argumentsSpan:m}=l;return{isTypeParameterList:!!o.typeArguments&&o.typeArguments.pos===u.pos,invocation:{kind:0,node:s},argumentsSpan:m,argumentIndex:p,argumentCount:h}}else{if(rI(e)&&i1(o))return pO(e,t,r)?G_e(o,0,r):void 0;if(iI(e)&&o.parent.kind===215){const s=o,l=s.parent;N.assert(s.kind===228);const u=pO(e,t,r)?0:1;return G_e(l,u,r)}else if(bP(o)&&i1(o.parent.parent)){const s=o,l=o.parent.parent;if($W(e)&&!pO(e,t,r))return;const u=s.parent.templateSpans.indexOf(s),p=Fot(u,e,t,r);return G_e(l,p,r)}else if(ud(o)){const s=o.attributes.pos,l=ka(r.text,o.attributes.end,!1);return{isTypeParameterList:!1,invocation:{kind:0,node:o},argumentsSpan:vu(s,l-s),argumentIndex:0,argumentCount:1}}else{const s=lX(e,r);if(s){const{called:l,nTypeArguments:u}=s,p={kind:1,called:l},h=pc(l.getStart(r),e.end);return{isTypeParameterList:!0,invocation:p,argumentsSpan:h,argumentIndex:u,argumentCount:u+1}}return}}}function Cot(e,t,r,i){return Pot(e,t,r,i)||Nwe(e,t,r,i)}function Cwe(e){return mr(e.parent)?Cwe(e.parent):e}function B_e(e){return mr(e.left)?B_e(e.left)+1:2}function Pot(e,t,r,i){const o=Mot(e);if(o===void 0)return;const s=Lot(o,r,t,i);if(s===void 0)return;const{contextualType:l,argumentIndex:u,argumentCount:p,argumentsSpan:h}=s,m=l.getNonNullableType(),b=m.symbol;if(b===void 0)return;const A=tl(m.getCallSignatures());return A===void 0?void 0:{isTypeParameterList:!1,invocation:{kind:2,signature:A,node:e,symbol:kot(b)},argumentsSpan:h,argumentIndex:u,argumentCount:p}}function Mot(e){switch(e.kind){case 21:case 28:return e;default:return Gn(e.parent,t=>Ao(t)?!0:Qa(t)||am(t)||n1(t)?!1:"quit")}}function Lot(e,t,r,i){const{parent:o}=e;switch(o.kind){case 217:case 174:case 218:case 219:const s=Dwe(e,r,t,i);if(!s)return;const{argumentIndex:l,argumentCount:u,argumentsSpan:p}=s,h=ql(o)?i.getContextualTypeForObjectLiteralElement(o):i.getContextualType(o);return h&&{contextualType:h,argumentIndex:l,argumentCount:u,argumentsSpan:p};case 226:{const m=Cwe(o),b=i.getContextualType(m),A=e.kind===21?0:B_e(o)-1,I=B_e(m);return b&&{contextualType:b,argumentIndex:A,argumentCount:I,argumentsSpan:Nd(o)}}default:return}}function kot(e){return e.name==="__type"&&Ut(e.declarations,t=>{var r;return Eh(t)?(r=ii(t.parent,x_))==null?void 0:r.symbol:void 0})||e}function wot(e,t){const r=t.getTypeAtLocation(e.expression);if(t.isTupleType(r)){const{elementFlags:i,fixedLength:o}=r.target;if(o===0)return 0;const s=Gr(i,l=>!(l&1));return s<0?o:s}return 0}function Oot(e,t,r){return Pwe(e,t,r)}function Wot(e,t){return Pwe(e,t,void 0)}function Pwe(e,t,r){const i=t.getChildren();let o=0,s=!1;for(const l of i){if(r&&l===r)return!s&&l.kind===28&&o++,o;if(e_(l)){o+=wot(l,e),s=!0;continue}if(l.kind!==28){o++,s=!0;continue}if(s){s=!1;continue}o++}return r?o:i.length&&Ya(i).kind===28?o+1:o}function Fot(e,t,r,i){return N.assert(r>=t.getStart(),"Assumed 'position' could not occur before node."),Wre(t)?pO(t,r,i)?0:e+2:e+1}function G_e(e,t,r){const i=rI(e.template)?1:e.template.templateSpans.length+1;return t!==0&&N.assertLessThan(t,i),{isTypeParameterList:!1,invocation:{kind:0,node:e},argumentsSpan:Bot(e,r),argumentIndex:t,argumentCount:i}}function zot(e,t){const r=e.getFullStart(),i=ka(t.text,e.getEnd(),!1);return vu(r,i-r)}function Bot(e,t){const r=e.template,i=r.getStart();let o=r.getEnd();return r.kind===228&&Ya(r.templateSpans).literal.getFullWidth()===0&&(o=ka(t.text,o,!1)),vu(i,o-i)}function Got(e,t,r,i,o){for(let s=e;!$i(s)&&(o||!Xo(s));s=s.parent){N.assert(cf(s.parent,s),"Not a subspan",()=>`Child: ${N.formatSyntaxKind(s.kind)}, parent: ${N.formatSyntaxKind(s.parent.kind)}`);const l=Cot(s,t,r,i);if(l)return l}}function Vot(e,t,r){const i=e.getChildren(r),o=i.indexOf(t);return N.assert(o>=0&&i.length>o+1),i[o+1]}function Mwe(e){return e.kind===0?o3(e.node):e.called}function Lwe(e){return e.kind===0?e.node:e.kind===1?e.called:e.node}function kwe(e,t,{isTypeParameterList:r,argumentCount:i,argumentsSpan:o,invocation:s,argumentIndex:l},u,p,h){var m;const b=Lwe(s),A=s.kind===2?s.symbol:p.getSymbolAtLocation(Mwe(s))||h&&((m=t.declaration)==null?void 0:m.symbol),I=A?u2(p,A,h?u:void 0,void 0):et,R=wt(e,K=>Hot(K,I,r,p,b,u));l!==0&&N.assertLessThan(l,i);let M=0,C=0;for(let K=0;K<R.length;K++){const Z=R[K];if(e[K]===t&&(M=C,Z.length>1)){let V=0;for(const se of Z){if(se.isVariadic||se.parameters.length>=i){M=C+V;break}V++}}C+=Z.length}N.assert(M!==-1);const O={items:rc(R,nl),applicableSpan:o,selectedItemIndex:M,argumentIndex:l,argumentCount:i},U=O.items[M];if(U.isVariadic){const K=Gr(U.parameters,Z=>!!Z.isRest);-1<K&&K<U.parameters.length-1?O.argumentIndex=U.parameters.length:O.argumentIndex=Math.min(O.argumentIndex,U.parameters.length-1)}return O}function jot(e,{argumentCount:t,argumentsSpan:r,invocation:i,argumentIndex:o},s,l){const u=l.getLocalTypeParametersOfClassOrInterfaceOrTypeAlias(e);return u?{items:[Uot(e,u,l,Lwe(i),s)],applicableSpan:r,selectedItemIndex:0,argumentIndex:o,argumentCount:t}:void 0}function Uot(e,t,r,i,o){const s=u2(r,e),l=g1(),u=t.map(b=>wwe(b,r,i,o,l)),p=e.getDocumentationComment(r),h=e.getJsDocTags(r);return{isVariadic:!1,prefixDisplayParts:[...s,$u(30)],suffixDisplayParts:[$u(32)],separatorDisplayParts:V_e,parameters:u,documentation:p,tags:h}}function Hot(e,t,r,i,o,s){const l=(r?Jot:Kot)(e,i,o,s);return wt(l,({isVariadic:u,parameters:p,prefix:h,suffix:m})=>{const b=[...t,...h],A=[...m,...qot(e,o,i)],I=e.getDocumentationComment(i),R=e.getJsDocTags();return{isVariadic:u,prefixDisplayParts:b,suffixDisplayParts:A,separatorDisplayParts:V_e,parameters:p,documentation:I,tags:R}})}function qot(e,t,r){return lb(i=>{i.writePunctuation(":"),i.writeSpace(" ");const o=r.getTypePredicateOfSignature(e);o?r.writeTypePredicate(o,t,void 0,i):r.writeType(r.getReturnTypeOfSignature(e),t,void 0,i)})}function Jot(e,t,r,i){const o=(e.target||e).typeParameters,s=g1(),l=(o||et).map(p=>wwe(p,t,r,i,s)),u=e.thisParameter?[t.symbolToParameterDeclaration(e.thisParameter,r,i8)]:[];return t.getExpandedParameters(e).map(p=>{const h=w.createNodeArray([...u,...wt(p,b=>t.symbolToParameterDeclaration(b,r,i8))]),m=lb(b=>{s.writeList(2576,h,i,b)});return{isVariadic:!1,parameters:l,prefix:[$u(30)],suffix:[$u(32),...m]}})}function Kot(e,t,r,i){const o=g1(),s=lb(p=>{if(e.typeParameters&&e.typeParameters.length){const h=w.createNodeArray(e.typeParameters.map(m=>t.typeParameterToDeclaration(m,r,i8)));o.writeList(53776,h,i,p)}}),l=t.getExpandedParameters(e),u=t.hasEffectiveRestParameter(e)?l.length===1?p=>!0:p=>{var h;return!!(p.length&&((h=ii(p[p.length-1],mh))==null?void 0:h.links.checkFlags)&32768)}:p=>!1;return l.map(p=>({isVariadic:u(p),parameters:p.map(h=>Xot(h,t,r,i,o)),prefix:[...s,$u(21)],suffix:[$u(22)]}))}function Xot(e,t,r,i,o){const s=lb(p=>{const h=t.symbolToParameterDeclaration(e,r,i8);o.writeNode(4,h,i,p)}),l=t.isOptionalParameter(e.valueDeclaration),u=mh(e)&&!!(e.links.checkFlags&32768);return{name:e.name,documentation:e.getDocumentationComment(t),displayParts:s,isOptional:l,isRest:u}}function wwe(e,t,r,i,o){const s=lb(l=>{const u=t.typeParameterToDeclaration(e,r,i8);o.writeNode(4,u,i,l)});return{name:e.symbol.name,documentation:e.symbol.getDocumentationComment(t),displayParts:s,isOptional:!1,isRest:!1}}var i8,V_e,Yot=T({"src/services/signatureHelp.ts"(){si(),i8=70246400,V_e=[$u(28),wl()]}}),o8={};X(o8,{getArgumentInfoForCompletions:()=>Dot,getSignatureHelpItems:()=>Aot});var $ot=T({"src/services/_namespaces/ts.SignatureHelp.ts"(){Yot()}});function Qot(e,t){var r,i;let o={textSpan:pc(t.getFullStart(),t.getEnd())},s=t;e:for(;;){const p=eat(s);if(!p.length)break;for(let h=0;h<p.length;h++){const m=p[h-1],b=p[h],A=p[h+1];if(_0(b,t,!0)>e)break e;const I=sh(a0(t.text,b.end));if(I&&I.kind===2&&u(I.pos,I.end),Zot(t,e,b)){if(dj(b)&&Bs(s)&&!Rf(b.getStart(t),b.getEnd(),t)&&l(b.getStart(t),b.getEnd()),Xo(b)||bP(b)||iI(b)||$W(b)||m&&iI(m)||Uc(b)&&Ll(s)||eD(b)&&Uc(s)||wi(b)&&eD(s)&&p.length===1||d1(b)||D0(b)||XS(b)){s=b;break}if(bP(s)&&A&&R4(A)){const O=b.getFullStart()-2,U=A.getStart()+1;l(O,U)}const R=eD(b)&&tat(m)&&nat(A)&&!Rf(m.getStart(),A.getStart(),t);let M=R?m.getEnd():b.getStart();const C=R?A.getStart():rat(t,b);if(Fp(b)&&((r=b.jsDoc)!=null&&r.length)&&l(Ha(b.jsDoc).getStart(),C),eD(b)){const O=b.getChildren()[0];O&&Fp(O)&&((i=O.jsDoc)!=null&&i.length)&&O.getStart()!==b.pos&&(M=Math.min(M,Ha(O.jsDoc).getStart()))}l(M,C),(Ma(b)||LA(b))&&l(M+1,C-1),s=b;break}if(h===p.length-1)break e}}return o;function l(p,h){if(p!==h){const m=pc(p,h);(!o||!ND(m,o.textSpan)&&_re(m,e))&&(o={textSpan:m,...o&&{parent:o}})}}function u(p,h){l(p,h);let m=p;for(;t.text.charCodeAt(m)===47;)m++;l(m,h)}}function Zot(e,t,r){return N.assert(r.pos<=t),t<r.end?!0:r.getEnd()===t?Vd(e,t).pos<r.end:!1}function eat(e){var t;if($i(e))return a8(e.getChildAt(0).getChildren(),Owe);if(JR(e)){const[r,...i]=e.getChildren(),o=N.checkDefined(i.pop());N.assertEqual(r.kind,19),N.assertEqual(o.kind,20);const s=a8(i,u=>u===e.readonlyToken||u.kind===148||u===e.questionToken||u.kind===58),l=a8(s,({kind:u})=>u===23||u===168||u===24);return[r,s8($Q(l,({kind:u})=>u===59)),o]}if(yp(e)){const r=a8(e.getChildren(),l=>l===e.name||Nr(e.modifiers,l)),i=((t=r[0])==null?void 0:t.kind)===327?r[0]:void 0,o=i?r.slice(1):r,s=$Q(o,({kind:l})=>l===59);return i?[i,s8(s)]:s}if(Ao(e)){const r=a8(e.getChildren(),o=>o===e.dotDotDotToken||o===e.name),i=a8(r,o=>o===r[0]||o===e.questionToken);return $Q(i,({kind:o})=>o===64)}return Qa(e)?$Q(e.getChildren(),({kind:r})=>r===64):e.getChildren()}function a8(e,t){const r=[];let i;for(const o of e)t(o)?(i=i||[],i.push(o)):(i&&(r.push(s8(i)),i=void 0),r.push(o));return i&&r.push(s8(i)),r}function $Q(e,t,r=!0){if(e.length<2)return e;const i=Gr(e,t);if(i===-1)return e;const o=e.slice(0,i),s=e[i],l=Ya(e),u=r&&l.kind===27,p=e.slice(i+1,u?e.length-1:void 0),h=WM([o.length?s8(o):void 0,s,p.length?s8(p):void 0]);return u?h.concat(l):h}function s8(e){return N.assertGreaterThanOrEqual(e.length,1),vh(Ih.createSyntaxList(e),e[0].pos,Ya(e).end)}function tat(e){const t=e&&e.kind;return t===19||t===23||t===21||t===286}function nat(e){const t=e&&e.kind;return t===20||t===24||t===22||t===287}function rat(e,t){switch(t.kind){case 348:case 345:case 355:case 353:case 350:return e.getLineEndOfPosition(t.getStart());default:return t.getEnd()}}var Owe,iat=T({"src/services/smartSelection.ts"(){si(),Owe=Ym(kc,tu)}}),QQ={};X(QQ,{getSmartSelectionRange:()=>Qot});var oat=T({"src/services/_namespaces/ts.SmartSelectionRange.ts"(){iat()}});function Wwe(e,t,r){const i=Fwe(e,t,r);if(i!=="")return i;const o=LR(t);return o&32?fl(t,231)?"local class":"class":o&384?"enum":o&524288?"type":o&64?"interface":o&262144?"type parameter":o&8?"enum member":o&2097152?"alias":o&1536?"module":i}function Fwe(e,t,r){const i=e.getRootSymbols(t);if(i.length===1&&Ha(i).flags&8192&&e.getTypeOfSymbolAtLocation(t,r).getNonNullableType().getCallSignatures().length!==0)return"method";if(e.isUndefinedSymbol(t))return"var";if(e.isArgumentsSymbol(t))return"local var";if(r.kind===110&&yt(r)||VA(r))return"parameter";const o=LR(t);if(o&3)return xX(t)?"parameter":t.valueDeclaration&&uR(t.valueDeclaration)?"const":t.valueDeclaration&&kL(t.valueDeclaration)?"using":t.valueDeclaration&&LL(t.valueDeclaration)?"await using":Ue(t.declarations,X4)?"let":Gwe(t)?"local var":"var";if(o&16)return Gwe(t)?"local function":"function";if(o&32768)return"getter";if(o&65536)return"setter";if(o&8192)return"method";if(o&16384)return"constructor";if(o&131072)return"index";if(o&4){if(o&33554432&&t.links.checkFlags&6){const s=Ue(e.getRootSymbols(t),l=>{if(l.getFlags()&98311)return"property"});return s||(e.getTypeOfSymbolAtLocation(t,r).getCallSignatures().length?"method":"property")}return"property"}return""}function zwe(e){if(e.declarations&&e.declarations.length){const[t,...r]=e.declarations,i=Me(r)&&L5(t)&&bt(r,s=>!L5(s))?65536:0,o=o2(t,i);if(o)return o.split(",")}return[]}function aat(e,t){if(!t)return"";const r=new Set(zwe(t));if(t.flags&2097152){const i=e.getAliasedSymbol(t);i!==t&&Ue(zwe(i),o=>{r.add(o)})}return t.flags&16777216&&r.add("optional"),r.size>0?zo(r.values()).join(","):""}function Bwe(e,t,r,i,o,s,l,u){var p;const h=[];let m=[],b=[];const A=LR(t);let I=l&1?Fwe(e,t,o):"",R=!1;const M=o.kind===110&&s3(o)||VA(o);let C,O,U=!1;if(o.kind===110&&!M)return{displayParts:[Tp(110)],documentation:[],symbolKind:"primitive type",tags:void 0};if(I!==""||A&32||A&2097152){if(I==="getter"||I==="setter"){const fe=An(t.declarations,Ne=>Ne.name===o);if(fe)switch(fe.kind){case 177:I="getter";break;case 178:I="setter";break;case 172:I="accessor";break;default:N.assertNever(fe)}else I="property"}let Y;if(s??(s=M?e.getTypeAtLocation(o):e.getTypeOfSymbolAtLocation(t,o)),o.parent&&o.parent.kind===211){const fe=o.parent.name;(fe===o||fe&&fe.getFullWidth()===0)&&(o=o.parent)}let oe;if(I_(o)?oe=o:(JK(o)||r2(o)||o.parent&&(ud(o.parent)||i1(o.parent))&&ea(t.valueDeclaration))&&(oe=o.parent),oe){Y=e.getResolvedSignature(oe);const fe=oe.kind===214||la(oe)&&oe.expression.kind===108,Ne=fe?s.getConstructSignatures():s.getCallSignatures();if(Y&&!Nr(Ne,Y.target)&&!Nr(Ne,Y)&&(Y=Ne.length?Ne[0]:void 0),Y){switch(fe&&A&32?(I="constructor",ne(s.symbol,I)):A&2097152?(I="alias",he(I),h.push(wl()),fe&&(Y.flags&4&&(h.push(Tp(128)),h.push(wl())),h.push(Tp(105)),h.push(wl())),G(t)):ne(t,I),I){case"JSX attribute":case"property":case"var":case"const":case"let":case"parameter":case"local var":h.push($u(59)),h.push(wl()),!(Wr(s)&16)&&s.symbol&&(Jr(h,u2(e,s.symbol,i,void 0,5)),h.push(CD())),fe&&(Y.flags&4&&(h.push(Tp(128)),h.push(wl())),h.push(Tp(105)),h.push(wl())),ye(Y,Ne,262144);break;default:ye(Y,Ne)}R=!0,U=Ne.length>1}}else if(tX(o)&&!(A&98304)||o.kind===137&&o.parent.kind===176){const fe=o.parent;if(t.declarations&&An(t.declarations,pe=>pe===(o.kind===137?fe.parent:fe))){const pe=fe.kind===176?s.getNonNullableType().getConstructSignatures():s.getNonNullableType().getCallSignatures();e.isImplementationOfOverload(fe)?Y=pe[0]:Y=e.getSignatureFromDeclaration(fe),fe.kind===176?(I="constructor",ne(s.symbol,I)):ne(fe.kind===179&&!(s.symbol.flags&2048||s.symbol.flags&4096)?s.symbol:t,I),Y&&ye(Y,pe),R=!0,U=pe.length>1}}}if(A&32&&!R&&!M&&(V(),fl(t,231)?he("local class"):h.push(Tp(86)),h.push(wl()),G(t),$(t,r)),A&64&&l&2&&(Z(),h.push(Tp(120)),h.push(wl()),G(t),$(t,r)),A&524288&&l&2&&(Z(),h.push(Tp(156)),h.push(wl()),G(t),$(t,r),h.push(wl()),h.push(c2(64)),h.push(wl()),Jr(h,yO(e,o.parent&&Og(o.parent)?e.getTypeAtLocation(o.parent):e.getDeclaredTypeOfSymbol(t),i,8388608))),A&384&&(Z(),bt(t.declarations,Y=>x0(Y)&&FE(Y))&&(h.push(Tp(87)),h.push(wl())),h.push(Tp(94)),h.push(wl()),G(t)),A&1536&&!M){Z();const Y=fl(t,267),oe=Y&&Y.name&&Y.name.kind===80;h.push(Tp(oe?145:144)),h.push(wl()),G(t)}if(A&262144&&l&2)if(Z(),h.push($u(21)),h.push(df("type parameter")),h.push($u(22)),h.push(wl()),G(t),t.parent)se(),G(t.parent,i),$(t.parent,i);else{const Y=fl(t,168);if(Y===void 0)return N.fail();const oe=Y.parent;if(oe)if(ea(oe)){se();const fe=e.getSignatureFromDeclaration(oe);oe.kind===180?(h.push(Tp(105)),h.push(wl())):oe.kind!==179&&oe.name&&G(oe.symbol),Jr(h,NX(e,fe,r,32))}else Nm(oe)&&(se(),h.push(Tp(156)),h.push(wl()),G(oe.symbol),$(oe.symbol,r))}if(A&8){I="enum member",ne(t,"enum member");const Y=(p=t.declarations)==null?void 0:p[0];if((Y==null?void 0:Y.kind)===306){const oe=e.getConstantValue(Y);oe!==void 0&&(h.push(wl()),h.push(c2(64)),h.push(wl()),h.push(ip(mie(oe),typeof oe=="number"?7:8)))}}if(t.flags&2097152){if(Z(),!R||m.length===0&&b.length===0){const Y=e.getAliasedSymbol(t);if(Y!==t&&Y.declarations&&Y.declarations.length>0){const oe=Y.declarations[0],fe=Mo(oe);if(fe&&!R){const Ne=H4(oe)&&Zr(oe,128),pe=t.name!=="default"&&!Ne,ie=Bwe(e,Y,jn(oe),oe,fe,s,l,pe?t:Y);h.push(...ie.displayParts),h.push(CD()),C=ie.documentation,O=ie.tags}else C=Y.getContextualDocumentationComment(oe,e),O=Y.getJsDocTags(e)}}if(t.declarations)switch(t.declarations[0].kind){case 270:h.push(Tp(95)),h.push(wl()),h.push(Tp(145));break;case 277:h.push(Tp(95)),h.push(wl()),h.push(Tp(t.declarations[0].isExportEquals?64:90));break;case 281:h.push(Tp(95));break;default:h.push(Tp(102))}h.push(wl()),G(t),Ue(t.declarations,Y=>{if(Y.kind===271){const oe=Y;if(h0(oe))h.push(wl()),h.push(c2(64)),h.push(wl()),h.push(Tp(149)),h.push($u(21)),h.push(ip(fc(xC(oe)),8)),h.push($u(22));else{const fe=e.getSymbolAtLocation(oe.moduleReference);fe&&(h.push(wl()),h.push(c2(64)),h.push(wl()),G(fe,i))}return!0}})}if(!R)if(I!==""){if(s){if(M?(Z(),h.push(Tp(110))):ne(t,I),I==="property"||I==="accessor"||I==="getter"||I==="setter"||I==="JSX attribute"||A&3||I==="local var"||I==="index"||I==="using"||I==="await using"||M){if(h.push($u(59)),h.push(wl()),s.symbol&&s.symbol.flags&262144&&I!=="index"){const Y=lb(oe=>{const fe=e.typeParameterToDeclaration(s,i,j_e);K().writeNode(4,fe,jn(Do(i)),oe)});Jr(h,Y)}else Jr(h,yO(e,s,i));if(mh(t)&&t.links.target&&mh(t.links.target)&&t.links.target.links.tupleLabelDeclaration){const Y=t.links.target.links.tupleLabelDeclaration;N.assertNode(Y.name,Ve),h.push(wl()),h.push($u(21)),h.push(df(Sr(Y.name))),h.push($u(22))}}else if(A&16||A&8192||A&16384||A&131072||A&98304||I==="method"){const Y=s.getNonNullableType().getCallSignatures();Y.length&&(ye(Y[0],Y),U=Y.length>1)}}}else I=Wwe(e,t,o);if(m.length===0&&!U&&(m=t.getContextualDocumentationComment(i,e)),m.length===0&&A&4&&t.parent&&t.declarations&&Ue(t.parent.declarations,Y=>Y.kind===312))for(const Y of t.declarations){if(!Y.parent||Y.parent.kind!==226)continue;const oe=e.getSymbolAtLocation(Y.parent.right);if(oe&&(m=oe.getDocumentationComment(e),b=oe.getJsDocTags(e),m.length>0))break}if(m.length===0&&Ve(o)&&t.valueDeclaration&&Qa(t.valueDeclaration)){const Y=t.valueDeclaration,oe=Y.parent,fe=Y.propertyName||Y.name;if(Ve(fe)&&am(oe)){const Ne=em(fe),pe=e.getTypeAtLocation(oe);m=Ut(pe.isUnion()?pe.types:[pe],ie=>{const ve=ie.getProperty(Ne);return ve?ve.getDocumentationComment(e):void 0})||et}}return b.length===0&&!U&&(b=t.getContextualJsDocTags(i,e)),m.length===0&&C&&(m=C),b.length===0&&O&&(b=O),{displayParts:h,documentation:m,symbolKind:I,tags:b.length===0?void 0:b};function K(){return g1()}function Z(){h.length&&h.push(CD()),V()}function V(){u&&(he("alias"),h.push(wl()))}function se(){h.push(wl()),h.push(Tp(103)),h.push(wl())}function G(Y,oe){let fe;u&&Y===t&&(Y=u),I==="index"&&(fe=e.getIndexInfosOfIndexSymbol(Y));let Ne=[];Y.flags&131072&&fe?(Y.parent&&(Ne=u2(e,Y.parent)),Ne.push($u(23)),fe.forEach((pe,ie)=>{Ne.push(...yO(e,pe.keyType)),ie!==fe.length-1&&(Ne.push(wl()),Ne.push($u(52)),Ne.push(wl()))}),Ne.push($u(24))):Ne=u2(e,Y,oe||r,void 0,7),Jr(h,Ne),t.flags&16777216&&h.push($u(58))}function ne(Y,oe){Z(),oe&&(he(oe),Y&&!bt(Y.declarations,fe=>Gs(fe)||(Os(fe)||Zc(fe))&&!fe.name)&&(h.push(wl()),G(Y)))}function he(Y){switch(Y){case"var":case"function":case"let":case"const":case"constructor":case"using":case"await using":h.push(RX(Y));return;default:h.push($u(21)),h.push(RX(Y)),h.push($u(22));return}}function ye(Y,oe,fe=0){Jr(h,NX(e,Y,i,fe|32)),oe.length>1&&(h.push(wl()),h.push($u(21)),h.push(c2(40)),h.push(ip((oe.length-1).toString(),7)),h.push(wl()),h.push(df(oe.length===2?"overload":"overloads")),h.push($u(22))),m=Y.getDocumentationComment(e),b=Y.getJsDocTags(),oe.length>1&&m.length===0&&b.length===0&&(m=oe[0].getDocumentationComment(e),b=oe[0].getJsDocTags().filter(Ne=>Ne.name!=="deprecated"))}function $(Y,oe){const fe=lb(Ne=>{const pe=e.symbolToTypeParameterDeclarations(Y,oe,j_e);K().writeList(53776,pe,jn(Do(oe)),Ne)});Jr(h,fe)}}function sat(e,t,r,i,o,s=oT(o),l){return Bwe(e,t,r,i,o,void 0,s,l)}function Gwe(e){return e.parent?!1:Ue(e.declarations,t=>{if(t.kind===218)return!0;if(t.kind!==260&&t.kind!==262)return!1;for(let r=t.parent;!BE(r);r=r.parent)if(r.kind===312||r.kind===268)return!1;return!0})}var j_e,lat=T({"src/services/symbolDisplay.ts"(){si(),j_e=70246400}}),iy={};X(iy,{getSymbolDisplayPartsDocumentationAndSymbolKind:()=>sat,getSymbolKind:()=>Wwe,getSymbolModifiers:()=>aat});var cat=T({"src/services/_namespaces/ts.SymbolDisplay.ts"(){lat()}});function Vwe(e){const t=e.__pos;return N.assert(typeof t=="number"),t}function U_e(e,t){N.assert(typeof t=="number"),e.__pos=t}function jwe(e){const t=e.__end;return N.assert(typeof t=="number"),t}function H_e(e,t){N.assert(typeof t=="number"),e.__end=t}function Uwe(e,t){return ka(e,t,!1,!0)}function uat(e,t){let r=t;for(;r<e.length;){const i=e.charCodeAt(r);if(A_(i)){r++;continue}return i===47}return!1}function l8(e,t,r,i){return{pos:pT(e,t,i),end:JD(e,r,i)}}function pT(e,t,r,i=!1){var o,s;const{leadingTriviaOption:l}=r;if(l===0)return t.getStart(e);if(l===3){const I=t.getStart(e),R=lm(I,e);return sO(t,R)?R:I}if(l===2){const I=wj(t,e.text);if(I!=null&&I.length)return lm(I[0].pos,e)}const u=t.getFullStart(),p=t.getStart(e);if(u===p)return p;const h=lm(u,e);if(lm(p,e)===h)return l===1?u:p;if(i){const I=((o=Yh(e.text,u))==null?void 0:o[0])||((s=a0(e.text,u))==null?void 0:s[0]);if(I)return ka(e.text,I.end,!0,!0)}const b=u>0?1:0;let A=Gy(WC(e,h)+b,e);return A=Uwe(e.text,A),Gy(WC(e,A),e)}function q_e(e,t,r){const{end:i}=t,{trailingTriviaOption:o}=r;if(o===2){const s=a0(e.text,i);if(s){const l=WC(e,t.end);for(const u of s){if(u.kind===2||WC(e,u.pos)>l)break;if(WC(e,u.end)>l)return ka(e.text,u.end,!0,!0)}}}}function JD(e,t,r){var i;const{end:o}=t,{trailingTriviaOption:s}=r;if(s===0)return o;if(s===1){const p=So(a0(e.text,o),Yh(e.text,o)),h=(i=p==null?void 0:p[p.length-1])==null?void 0:i.end;return h||o}const l=q_e(e,t,r);if(l)return l;const u=ka(e.text,o,!0);return u!==o&&(s===2||Hu(e.text.charCodeAt(u-1)))?u:o}function ZQ(e,t){return!!t&&!!e.parent&&(t.kind===28||t.kind===27&&e.parent.kind===210)}function dat(e){return Os(e)||Ac(e)}function pat(e){if(e.kind!==219)return e;const t=e.parent.kind===172?e.parent:e.parent.parent;return t.jsDoc=e.jsDoc,t}function fat(e,t){if(e.kind===t.kind)switch(e.kind){case 348:{const r=e,i=t;return Ve(r.name)&&Ve(i.name)&&r.name.escapedText===i.name.escapedText?w.createJSDocParameterTag(void 0,i.name,!1,i.typeExpression,i.isNameFirst,r.comment):void 0}case 349:return w.createJSDocReturnTag(void 0,t.typeExpression,e.comment);case 351:return w.createJSDocTypeTag(void 0,t.typeExpression,e.comment)}}function J_e(e,t){return ka(e.text,pT(e,t,{leadingTriviaOption:1}),!1,!0)}function mat(e,t,r,i){const o=J_e(e,i);if(r===void 0||Rf(JD(e,t,{}),o,e))return o;const s=xc(i.getStart(e),e);if(ZQ(t,s)){const l=xc(t.getStart(e),e);if(ZQ(r,l)){const u=ka(e.text,s.getEnd(),!0,!0);if(Rf(l.getStart(e),s.getStart(e),e))return Hu(e.text.charCodeAt(u-1))?u-1:u;if(Hu(e.text.charCodeAt(u)))return u}}return o}function _at(e,t){const r=vs(e,19,t),i=vs(e,20,t);return[r==null?void 0:r.end,i==null?void 0:i.end]}function eZ(e){return Oa(e)?e.properties:e.members}function K_e(e,t){for(let r=t.length-1;r>=0;r--){const{span:i,newText:o}=t[r];e=`${e.substring(0,i.start)}${o}${e.substring(Kl(i))}`}return e}function hat(e){return ka(e,0)===e.length}function tZ(e){const t=In(e,tZ,Kwe,gat,tZ),r=$s(t)?t:Object.create(t);return vh(r,Vwe(e),jwe(e)),r}function gat(e,t,r,i,o){const s=Vn(e,t,r,i,o);if(!s)return s;N.assert(e);const l=s===e?w.createNodeArray(s.slice(0)):s;return vh(l,Vwe(e),jwe(e)),l}function Hwe(e){let t=0;const r=pk(e),i=ie=>{ie&&U_e(ie,t)},o=ie=>{ie&&H_e(ie,t)},s=ie=>{ie&&U_e(ie,t)},l=ie=>{ie&&H_e(ie,t)},u=ie=>{ie&&U_e(ie,t)},p=ie=>{ie&&H_e(ie,t)};function h(ie,ve){if(ve||!hat(ie)){t=r.getTextPos();let Le=0;for(;wg(ie.charCodeAt(ie.length-Le-1));)Le++;t-=Le}}function m(ie){r.write(ie),h(ie,!1)}function b(ie){r.writeComment(ie)}function A(ie){r.writeKeyword(ie),h(ie,!1)}function I(ie){r.writeOperator(ie),h(ie,!1)}function R(ie){r.writePunctuation(ie),h(ie,!1)}function M(ie){r.writeTrailingSemicolon(ie),h(ie,!1)}function C(ie){r.writeParameter(ie),h(ie,!1)}function O(ie){r.writeProperty(ie),h(ie,!1)}function U(ie){r.writeSpace(ie),h(ie,!1)}function K(ie){r.writeStringLiteral(ie),h(ie,!1)}function Z(ie,ve){r.writeSymbol(ie,ve),h(ie,!1)}function V(ie){r.writeLine(ie)}function se(){r.increaseIndent()}function G(){r.decreaseIndent()}function ne(){return r.getText()}function he(ie){r.rawWrite(ie),h(ie,!1)}function ye(ie){r.writeLiteral(ie),h(ie,!0)}function $(){return r.getTextPos()}function Y(){return r.getLine()}function oe(){return r.getColumn()}function fe(){return r.getIndent()}function Ne(){return r.isAtStartOfLine()}function pe(){r.clear(),t=0}return{onBeforeEmitNode:i,onAfterEmitNode:o,onBeforeEmitNodeArray:s,onAfterEmitNodeArray:l,onBeforeEmitToken:u,onAfterEmitToken:p,write:m,writeComment:b,writeKeyword:A,writeOperator:I,writePunctuation:R,writeTrailingSemicolon:M,writeParameter:C,writeProperty:O,writeSpace:U,writeStringLiteral:K,writeSymbol:Z,writeLine:V,increaseIndent:se,decreaseIndent:G,getText:ne,rawWrite:he,writeLiteral:ye,getTextPos:$,getLine:Y,getColumn:oe,getIndent:fe,isAtStartOfLine:Ne,hasTrailingComment:()=>r.hasTrailingComment(),hasTrailingWhitespace:()=>r.hasTrailingWhitespace(),clear:pe}}function vat(e){let t;for(const h of e.statements)if(Im(h))t=h;else break;let r=0;const i=e.text;if(t)return r=t.end,p(),r;const o=h4(i);o!==void 0&&(r=o.length,p());const s=Yh(i,r);if(!s)return r;let l,u;for(const h of s){if(h.kind===3){if(j4(i,h.pos)){l={range:h,pinnedOrTripleSlash:!0};continue}}else if(bj(i,h.pos,h.end)){l={range:h,pinnedOrTripleSlash:!0};continue}if(l){if(l.pinnedOrTripleSlash)break;const m=e.getLineAndCharacterOfPosition(h.pos).line,b=e.getLineAndCharacterOfPosition(l.range.end).line;if(m>=b+2)break}if(e.statements.length){u===void 0&&(u=e.getLineAndCharacterOfPosition(e.statements[0].getStart()).line);const m=e.getLineAndCharacterOfPosition(h.end).line;if(u<m+2)break}l={range:h,pinnedOrTripleSlash:!1}}return l&&(r=l.range.end,p()),r;function p(){if(r<i.length){const h=i.charCodeAt(r);Hu(h)&&(r++,r<i.length&&h===13&&i.charCodeAt(r)===10&&r++)}}}function qwe(e,t){return!Qv(e,t)&&!CI(e,t)&&!aX(e,t)&&!kue(e,t)}function yat(e,t){return(yp(e)||Jo(e))&&D4(t)&&t.name.kind===167||TL(e)&&TL(t)}function Yg(e,t,r,i={leadingTriviaOption:1}){const o=pT(t,r,i),s=JD(t,r,i);e.deleteRange(t,{pos:o,end:s})}function c8(e,t,r,i){const o=N.checkDefined(Oc.SmartIndenter.getContainingList(i,r)),s=sR(o,i);if(N.assert(s!==-1),o.length===1){Yg(e,r,i);return}N.assert(!t.has(i),"Deleting a node twice"),t.add(i),e.deleteRange(r,{pos:J_e(r,i),end:s===o.length-1?JD(r,i,{}):mat(r,i,o[s-1],o[s+1])})}var X_e,Y_e,I2,Jwe,nZ,Kwe,$_e,bat=T({"src/services/textChanges.ts"(){si(),X_e=(e=>(e[e.Exclude=0]="Exclude",e[e.IncludeAll=1]="IncludeAll",e[e.JSDoc=2]="JSDoc",e[e.StartLine=3]="StartLine",e))(X_e||{}),Y_e=(e=>(e[e.Exclude=0]="Exclude",e[e.ExcludeWhitespace=1]="ExcludeWhitespace",e[e.Include=2]="Include",e))(Y_e||{}),I2={leadingTriviaOption:0,trailingTriviaOption:0},Jwe=class jbe{constructor(t,r){this.newLineCharacter=t,this.formatContext=r,this.changes=[],this.classesWithNodesInsertedAtStart=new Map,this.deletedNodes=[]}static fromContext(t){return new jbe(ty(t.host,t.formatContext.options),t.formatContext)}static with(t,r){const i=jbe.fromContext(t);return r(i),i.getChanges()}pushRaw(t,r){N.assertEqual(t.fileName,r.fileName);for(const i of r.textChanges)this.changes.push({kind:3,sourceFile:t,text:i.newText,range:d5(i.span)})}deleteRange(t,r){this.changes.push({kind:0,sourceFile:t,range:r})}delete(t,r){this.deletedNodes.push({sourceFile:t,node:r})}deleteNode(t,r,i={leadingTriviaOption:1}){this.deleteRange(t,l8(t,r,r,i))}deleteNodes(t,r,i={leadingTriviaOption:1},o){for(const s of r){const l=pT(t,s,i,o),u=JD(t,s,i);this.deleteRange(t,{pos:l,end:u}),o=!!q_e(t,s,i)}}deleteModifier(t,r){this.deleteRange(t,{pos:r.getStart(t),end:ka(t.text,r.end,!0)})}deleteNodeRange(t,r,i,o={leadingTriviaOption:1}){const s=pT(t,r,o),l=JD(t,i,o);this.deleteRange(t,{pos:s,end:l})}deleteNodeRangeExcludingEnd(t,r,i,o={leadingTriviaOption:1}){const s=pT(t,r,o),l=i===void 0?t.text.length:pT(t,i,o);this.deleteRange(t,{pos:s,end:l})}replaceRange(t,r,i,o={}){this.changes.push({kind:1,sourceFile:t,range:r,options:o,node:i})}replaceNode(t,r,i,o=I2){this.replaceRange(t,l8(t,r,r,o),i,o)}replaceNodeRange(t,r,i,o,s=I2){this.replaceRange(t,l8(t,r,i,s),o,s)}replaceRangeWithNodes(t,r,i,o={}){this.changes.push({kind:2,sourceFile:t,range:r,options:o,nodes:i})}replaceNodeWithNodes(t,r,i,o=I2){this.replaceRangeWithNodes(t,l8(t,r,r,o),i,o)}replaceNodeWithText(t,r,i){this.replaceRangeWithText(t,l8(t,r,r,I2),i)}replaceNodeRangeWithNodes(t,r,i,o,s=I2){this.replaceRangeWithNodes(t,l8(t,r,i,s),o,s)}nodeHasTrailingComment(t,r,i=I2){return!!q_e(t,r,i)}nextCommaToken(t,r){const i=b1(r,r.parent,t);return i&&i.kind===28?i:void 0}replacePropertyAssignment(t,r,i){const o=this.nextCommaToken(t,r)?"":","+this.newLineCharacter;this.replaceNode(t,r,i,{suffix:o})}insertNodeAt(t,r,i,o={}){this.replaceRange(t,xf(r),i,o)}insertNodesAt(t,r,i,o={}){this.replaceRangeWithNodes(t,xf(r),i,o)}insertNodeAtTopOfFile(t,r,i){this.insertAtTopOfFile(t,r,i)}insertNodesAtTopOfFile(t,r,i){this.insertAtTopOfFile(t,r,i)}insertAtTopOfFile(t,r,i){const o=vat(t),s={prefix:o===0?void 0:this.newLineCharacter,suffix:(Hu(t.text.charCodeAt(o))?"":this.newLineCharacter)+(i?this.newLineCharacter:"")};To(r)?this.insertNodesAt(t,o,r,s):this.insertNodeAt(t,o,r,s)}insertNodesAtEndOfFile(t,r,i){this.insertAtEndOfFile(t,r,i)}insertAtEndOfFile(t,r,i){const o=t.end+1,s={prefix:this.newLineCharacter,suffix:this.newLineCharacter+(i?this.newLineCharacter:"")};this.insertNodesAt(t,o,r,s)}insertStatementsInNewFile(t,r,i){this.newFileChanges||(this.newFileChanges=Zp()),this.newFileChanges.add(t,{oldFile:i,statements:r})}insertFirstParameter(t,r,i){const o=Xc(r);o?this.insertNodeBefore(t,o,i):this.insertNodeAt(t,r.pos,i)}insertNodeBefore(t,r,i,o=!1,s={}){this.insertNodeAt(t,pT(t,r,s),i,this.getOptionsForInsertNodeBefore(r,i,o))}insertNodesBefore(t,r,i,o=!1,s={}){this.insertNodesAt(t,pT(t,r,s),i,this.getOptionsForInsertNodeBefore(r,Ha(i),o))}insertModifierAt(t,r,i,o={}){this.insertNodeAt(t,r,w.createToken(i),o)}insertModifierBefore(t,r,i){return this.insertModifierAt(t,i.getStart(t),r,{suffix:" "})}insertCommentBeforeLine(t,r,i,o){const s=Gy(r,t),l=tde(t.text,s),u=qwe(t,l),p=xD(t,u?l:i),h=t.text.slice(s,l),m=`${u?"":this.newLineCharacter}//${o}${this.newLineCharacter}${h}`;this.insertText(t,p.getStart(t),m)}insertJsdocCommentBefore(t,r,i){const o=r.getStart(t);if(r.jsDoc)for(const u of r.jsDoc)this.deleteRange(t,{pos:lm(u.getStart(t),t),end:JD(t,u,{})});const s=E5(t.text,o-1),l=t.text.slice(s,o);this.insertNodeAt(t,o,i,{suffix:this.newLineCharacter+l})}createJSDocText(t,r){const i=Pi(r.jsDoc,s=>Po(s.comment)?w.createJSDocText(s.comment):s.comment),o=sh(r.jsDoc);return o&&Rf(o.pos,o.end,t)&&Me(i)===0?void 0:w.createNodeArray(co(i,w.createJSDocText(`
+`)))}replaceJSDocComment(t,r,i){this.insertJsdocCommentBefore(t,pat(r),w.createJSDocComment(this.createJSDocText(t,r),w.createNodeArray(i)))}addJSDocTags(t,r,i){const o=rc(r.jsDoc,l=>l.tags),s=i.filter(l=>!o.some((u,p)=>{const h=fat(u,l);return h&&(o[p]=h),!!h}));this.replaceJSDocComment(t,r,[...o,...s])}filterJSDocTags(t,r,i){this.replaceJSDocComment(t,r,nr(rc(r.jsDoc,o=>o.tags),i))}replaceRangeWithText(t,r,i){this.changes.push({kind:3,sourceFile:t,range:r,text:i})}insertText(t,r,i){this.replaceRangeWithText(t,xf(r),i)}tryInsertTypeAnnotation(t,r,i){let o;if(ea(r)){if(o=vs(r,22,t),!o){if(!Gs(r))return!1;o=Ha(r.parameters)}}else o=(r.kind===260?r.exclamationToken:r.questionToken)??r.name;return this.insertNodeAt(t,o.end,i,{prefix:": "}),!0}tryInsertThisTypeAnnotation(t,r,i){const o=vs(r,21,t).getStart(t)+1,s=r.parameters.length?", ":"";this.insertNodeAt(t,o,i,{prefix:"this: ",suffix:s})}insertTypeParameters(t,r,i){const o=(vs(r,21,t)||Ha(r.parameters)).getStart(t);this.insertNodesAt(t,o,i,{prefix:"<",suffix:">",joiner:", "})}getOptionsForInsertNodeBefore(t,r,i){return qi(t)||$c(t)?{suffix:i?this.newLineCharacter+this.newLineCharacter:this.newLineCharacter}:wi(t)?{suffix:", "}:Ao(t)?Ao(r)?{suffix:", "}:{}:Ma(t)&&kc(t.parent)||Hg(t)?{suffix:", "}:np(t)?{suffix:","+(i?this.newLineCharacter:" ")}:N.failBadSyntaxKind(t)}insertNodeAtConstructorStart(t,r,i){const o=Xc(r.body.statements);!o||!r.body.multiLine?this.replaceConstructorBody(t,r,[i,...r.body.statements]):this.insertNodeBefore(t,o,i)}insertNodeAtConstructorStartAfterSuperCall(t,r,i){const o=An(r.body.statements,s=>eu(s)&&IS(s.expression));!o||!r.body.multiLine?this.replaceConstructorBody(t,r,[...r.body.statements,i]):this.insertNodeAfter(t,o,i)}insertNodeAtConstructorEnd(t,r,i){const o=tl(r.body.statements);!o||!r.body.multiLine?this.replaceConstructorBody(t,r,[...r.body.statements,i]):this.insertNodeAfter(t,o,i)}replaceConstructorBody(t,r,i){this.replaceNode(t,r.body,w.createBlock(i,!0))}insertNodeAtEndOfScope(t,r,i){const o=pT(t,r.getLastToken(),{});this.insertNodeAt(t,o,i,{prefix:Hu(t.text.charCodeAt(r.getLastToken().pos))?this.newLineCharacter:this.newLineCharacter+this.newLineCharacter,suffix:this.newLineCharacter})}insertMemberAtStart(t,r,i){this.insertNodeAtStartWorker(t,r,i)}insertNodeAtObjectStart(t,r,i){this.insertNodeAtStartWorker(t,r,i)}insertNodeAtStartWorker(t,r,i){const o=this.guessIndentationFromExistingMembers(t,r)??this.computeIndentationForNewMember(t,r);this.insertNodeAt(t,eZ(r).pos,i,this.getInsertNodeAtStartInsertOptions(t,r,o))}guessIndentationFromExistingMembers(t,r){let i,o=r;for(const s of eZ(r)){if(W3(o,s,t))return;const l=s.getStart(t),u=Oc.SmartIndenter.findFirstNonWhitespaceColumn(lm(l,t),l,t,this.formatContext.options);if(i===void 0)i=u;else if(u!==i)return;o=s}return i}computeIndentationForNewMember(t,r){const i=r.getStart(t);return Oc.SmartIndenter.findFirstNonWhitespaceColumn(lm(i,t),i,t,this.formatContext.options)+(this.formatContext.options.indentSize??4)}getInsertNodeAtStartInsertOptions(t,r,i){const s=eZ(r).length===0,l=Rm(this.classesWithNodesInsertedAtStart,as(r),{node:r,sourceFile:t}),u=Oa(r)&&(!Qf(t)||!s),p=Oa(r)&&Qf(t)&&s&&!l;return{indentation:i,prefix:(p?",":"")+this.newLineCharacter,suffix:u?",":hd(r)&&s?";":""}}insertNodeAfterComma(t,r,i){const o=this.insertNodeAfterWorker(t,this.nextCommaToken(t,r)||r,i);this.insertNodeAt(t,o,i,this.getInsertNodeAfterOptions(t,r))}insertNodeAfter(t,r,i){const o=this.insertNodeAfterWorker(t,r,i);this.insertNodeAt(t,o,i,this.getInsertNodeAfterOptions(t,r))}insertNodeAtEndOfList(t,r,i){this.insertNodeAt(t,r.end,i,{prefix:", "})}insertNodesAfter(t,r,i){const o=this.insertNodeAfterWorker(t,r,Ha(i));this.insertNodesAt(t,o,i,this.getInsertNodeAfterOptions(t,r))}insertNodeAfterWorker(t,r,i){return yat(r,i)&&t.text.charCodeAt(r.end-1)!==59&&this.replaceRange(t,xf(r.end),w.createToken(27)),JD(t,r,{})}getInsertNodeAfterOptions(t,r){const i=this.getInsertNodeAfterOptionsWorker(r);return{...i,prefix:r.end===t.end&&qi(r)?i.prefix?`
+${i.prefix}`:`
+`:i.prefix}}getInsertNodeAfterOptionsWorker(t){switch(t.kind){case 263:case 267:return{prefix:this.newLineCharacter,suffix:this.newLineCharacter};case 260:case 11:case 80:return{prefix:", "};case 303:return{suffix:","+this.newLineCharacter};case 95:return{prefix:" "};case 169:return{};default:return N.assert(qi(t)||D4(t)),{suffix:this.newLineCharacter}}}insertName(t,r,i){if(N.assert(!r.name),r.kind===219){const o=vs(r,39,t),s=vs(r,21,t);s?(this.insertNodesAt(t,s.getStart(t),[w.createToken(100),w.createIdentifier(i)],{joiner:" "}),Yg(this,t,o)):(this.insertText(t,Ha(r.parameters).getStart(t),`function ${i}(`),this.replaceRange(t,o,w.createToken(22))),r.body.kind!==241&&(this.insertNodesAt(t,r.body.getStart(t),[w.createToken(19),w.createToken(107)],{joiner:" ",suffix:" "}),this.insertNodesAt(t,r.body.end,[w.createToken(27),w.createToken(20)],{joiner:" "}))}else{const o=vs(r,r.kind===218?100:86,t).end;this.insertNodeAt(t,o,w.createIdentifier(i),{prefix:" "})}}insertExportModifier(t,r){this.insertText(t,r.getStart(t),"export ")}insertImportSpecifierAtIndex(t,r,i,o){const s=i.elements[o-1];s?this.insertNodeInListAfter(t,s,r):this.insertNodeBefore(t,i.elements[0],r,!Rf(i.elements[0].getStart(),i.parent.parent.getStart(),t))}insertNodeInListAfter(t,r,i,o=Oc.SmartIndenter.getContainingList(r,t)){if(!o){N.fail("node is not a list element");return}const s=sR(o,r);if(s<0)return;const l=r.getEnd();if(s!==o.length-1){const u=po(t,r.end);if(u&&ZQ(r,u)){const p=o[s+1],h=Uwe(t.text,p.getFullStart()),m=`${fa(u.kind)}${t.text.substring(u.end,h)}`;this.insertNodesAt(t,h,[i],{suffix:m})}}else{const u=r.getStart(t),p=lm(u,t);let h,m=!1;if(o.length===1)h=28;else{const b=xc(r.pos,t);h=ZQ(r,b)?b.kind:28,m=lm(o[s-1].getStart(t),t)!==p}if((uat(t.text,r.end)||!Rf(o.pos,o.end,t))&&(m=!0),m){this.replaceRange(t,xf(l),w.createToken(h));const b=Oc.SmartIndenter.findFirstNonWhitespaceColumn(p,u,t,this.formatContext.options);let A=ka(t.text,l,!0,!1);for(;A!==l&&Hu(t.text.charCodeAt(A-1));)A--;this.replaceRange(t,xf(A),i,{indentation:b,prefix:this.newLineCharacter})}else this.replaceRange(t,xf(l),i,{prefix:`${fa(h)} `})}}parenthesizeExpression(t,r){this.replaceRange(t,GU(r),w.createParenthesizedExpression(r))}finishClassesWithNodesInsertedAtStart(){this.classesWithNodesInsertedAtStart.forEach(({node:t,sourceFile:r})=>{const[i,o]=_at(t,r);if(i!==void 0&&o!==void 0){const s=eZ(t).length===0,l=Rf(i,o,r);s&&l&&i!==o-1&&this.deleteRange(r,xf(i,o-1)),l&&this.insertText(r,o-1,this.newLineCharacter)}})}finishDeleteDeclarations(){const t=new Set;for(const{sourceFile:r,node:i}of this.deletedNodes)this.deletedNodes.some(o=>o.sourceFile===r&&Due(o.node,i))||(To(i)?this.deleteRange(r,VU(r,i)):$_e.deleteDeclaration(this,t,r,i));t.forEach(r=>{const i=r.getSourceFile(),o=Oc.SmartIndenter.getContainingList(r,i);if(r!==Ya(o))return;const s=Bi(o,l=>!t.has(l),o.length-2);s!==-1&&this.deleteRange(i,{pos:o[s].end,end:J_e(i,o[s+1])})})}getChanges(t){this.finishDeleteDeclarations(),this.finishClassesWithNodesInsertedAtStart();const r=nZ.getTextChangesFromChanges(this.changes,this.newLineCharacter,this.formatContext,t);return this.newFileChanges&&this.newFileChanges.forEach((i,o)=>{r.push(nZ.newFileChanges(o,i,this.newLineCharacter,this.formatContext))}),r}createNewFile(t,r,i){this.insertStatementsInNewFile(r,i,t)}},(e=>{function t(u,p,h,m){return Hi(YN(u,b=>b.sourceFile.path),b=>{const A=b[0].sourceFile,I=Dv(b,(M,C)=>M.range.pos-C.range.pos||M.range.end-C.range.end);for(let M=0;M<I.length-1;M++)N.assert(I[M].range.end<=I[M+1].range.pos,"Changes overlap",()=>`${JSON.stringify(I[M].range)} and ${JSON.stringify(I[M+1].range)}`);const R=Hi(I,M=>{const C=sb(M.range),O=M.kind===1?jn(Pl(M.node))??M.sourceFile:M.kind===2?jn(Pl(M.nodes[0]))??M.sourceFile:M.sourceFile,U=o(M,O,A,p,h,m);if(!(C.length===U.length&&fde(O.text,U,C.start)))return fO(C,U)});return R.length>0?{fileName:A.fileName,textChanges:R}:void 0})}e.getTextChangesFromChanges=t;function r(u,p,h,m){const b=i(Z3(u),p,h,m);return{fileName:u,textChanges:[fO(vu(0,0),b)],isNewFile:!0}}e.newFileChanges=r;function i(u,p,h,m){const b=Pi(p,R=>R.statements.map(M=>M===4?"":l(M,R.oldFile,h).text)).join(h),A=dw("any file name",b,{languageVersion:99,jsDocParsingMode:1},!0,u),I=Oc.formatDocument(A,m);return K_e(b,I)+h}e.newFileChangesWorker=i;function o(u,p,h,m,b,A){var I;if(u.kind===0)return"";if(u.kind===3)return u.text;const{options:R={},range:{pos:M}}=u,C=K=>s(K,p,h,M,R,m,b,A),O=u.kind===2?u.nodes.map(K=>Bx(C(K),m)).join(((I=u.options)==null?void 0:I.joiner)||m):C(u.node),U=R.indentation!==void 0||lm(M,p)===M?O:O.replace(/^\s+/,"");return(R.prefix||"")+U+(!R.suffix||Al(U,R.suffix)?"":R.suffix)}function s(u,p,h,m,{indentation:b,prefix:A,delta:I},R,M,C){const{node:O,text:U}=l(u,p,R);C&&C(O,U);const K=w5(M,p),Z=b!==void 0?b:Oc.SmartIndenter.getIndentation(m,h,K,A===R||lm(m,p)===m);I===void 0&&(I=Oc.SmartIndenter.shouldIndentChildNode(K,u)&&K.indentSize||0);const V={text:U,getLineAndCharacterOfPosition(G){return bs(this,G)}},se=Oc.formatNodeGivenIndentation(O,V,p.languageVariant,Z,I,{...M,options:K});return K_e(U,se)}function l(u,p,h){const m=Hwe(h),b=xO(h);return k0({newLine:b,neverAsciiEscape:!0,preserveSourceNewlines:!0,terminateUnterminatedLiterals:!0},m).writeNode(4,u,p,m),{text:m.getText(),node:tZ(u)}}e.getNonformattedText=l})(nZ||(nZ={})),Kwe={...JP,factory:wk(JP.factory.flags|1,JP.factory.baseFactory)},(e=>{function t(s,l,u,p){switch(p.kind){case 169:{const I=p.parent;Gs(I)&&I.parameters.length===1&&!vs(I,21,u)?s.replaceNodeWithText(u,p,"()"):c8(s,l,u,p);break}case 272:case 271:const h=u.imports.length&&p===Ha(u.imports).parent||p===An(u.statements,TS);Yg(s,u,p,{leadingTriviaOption:h?0:Fp(p)?2:3});break;case 208:const m=p.parent;m.kind===207&&p!==Ya(m.elements)?Yg(s,u,p):c8(s,l,u,p);break;case 260:o(s,l,u,p);break;case 168:c8(s,l,u,p);break;case 276:const A=p.parent;A.elements.length===1?i(s,u,A):c8(s,l,u,p);break;case 274:i(s,u,p);break;case 27:Yg(s,u,p,{trailingTriviaOption:0});break;case 100:Yg(s,u,p,{leadingTriviaOption:0});break;case 263:case 262:Yg(s,u,p,{leadingTriviaOption:Fp(p)?2:3});break;default:p.parent?Sh(p.parent)&&p.parent.name===p?r(s,u,p.parent):la(p.parent)&&Nr(p.parent.arguments,p)?c8(s,l,u,p):Yg(s,u,p):Yg(s,u,p)}}e.deleteDeclaration=t;function r(s,l,u){if(!u.namedBindings)Yg(s,l,u.parent);else{const p=u.name.getStart(l),h=po(l,u.name.end);if(h&&h.kind===28){const m=ka(l.text,h.end,!1,!0);s.deleteRange(l,{pos:p,end:m})}else Yg(s,l,u.name)}}function i(s,l,u){if(u.parent.name){const p=N.checkDefined(po(l,u.pos-1));s.deleteRange(l,{pos:p.getStart(l),end:u.end})}else{const p=b0(u,272);Yg(s,l,p)}}function o(s,l,u,p){const{parent:h}=p;if(h.kind===299){s.deleteNodeRange(u,vs(h,21,u),vs(h,22,u));return}if(h.declarations.length!==1){c8(s,l,u,p);return}const m=h.parent;switch(m.kind){case 250:case 249:s.replaceNode(u,p,w.createObjectLiteralExpression());break;case 248:Yg(s,u,h);break;case 243:Yg(s,u,m,{leadingTriviaOption:Fp(m)?2:3});break;default:N.assertNever(m)}}})($_e||($_e={}))}}),_r={};X(_r,{ChangeTracker:()=>Jwe,LeadingTriviaOption:()=>X_e,TrailingTriviaOption:()=>Y_e,applyChanges:()=>K_e,assignPositionsToNode:()=>tZ,createWriter:()=>Hwe,deleteNode:()=>Yg,isThisTypeAnnotatable:()=>dat,isValidLocationToAddComment:()=>qwe});var Eat=T({"src/services/_namespaces/ts.textChanges.ts"(){bat()}}),Q_e,Z_e,Sat=T({"src/services/formatting/formattingContext.ts"(){si(),Q_e=(e=>(e[e.FormatDocument=0]="FormatDocument",e[e.FormatSelection=1]="FormatSelection",e[e.FormatOnEnter=2]="FormatOnEnter",e[e.FormatOnSemicolon=3]="FormatOnSemicolon",e[e.FormatOnOpeningCurlyBrace=4]="FormatOnOpeningCurlyBrace",e[e.FormatOnClosingCurlyBrace=5]="FormatOnClosingCurlyBrace",e))(Q_e||{}),Z_e=class{constructor(e,t,r){this.sourceFile=e,this.formattingRequestKind=t,this.options=r}updateContext(e,t,r,i,o){this.currentTokenSpan=N.checkDefined(e),this.currentTokenParent=N.checkDefined(t),this.nextTokenSpan=N.checkDefined(r),this.nextTokenParent=N.checkDefined(i),this.contextNode=N.checkDefined(o),this.contextNodeAllOnSameLine=void 0,this.nextNodeAllOnSameLine=void 0,this.tokensAreOnSameLine=void 0,this.contextNodeBlockIsOnOneLine=void 0,this.nextNodeBlockIsOnOneLine=void 0}ContextNodeAllOnSameLine(){return this.contextNodeAllOnSameLine===void 0&&(this.contextNodeAllOnSameLine=this.NodeIsOnOneLine(this.contextNode)),this.contextNodeAllOnSameLine}NextNodeAllOnSameLine(){return this.nextNodeAllOnSameLine===void 0&&(this.nextNodeAllOnSameLine=this.NodeIsOnOneLine(this.nextTokenParent)),this.nextNodeAllOnSameLine}TokensAreOnSameLine(){if(this.tokensAreOnSameLine===void 0){const e=this.sourceFile.getLineAndCharacterOfPosition(this.currentTokenSpan.pos).line,t=this.sourceFile.getLineAndCharacterOfPosition(this.nextTokenSpan.pos).line;this.tokensAreOnSameLine=e===t}return this.tokensAreOnSameLine}ContextNodeBlockIsOnOneLine(){return this.contextNodeBlockIsOnOneLine===void 0&&(this.contextNodeBlockIsOnOneLine=this.BlockIsOnOneLine(this.contextNode)),this.contextNodeBlockIsOnOneLine}NextNodeBlockIsOnOneLine(){return this.nextNodeBlockIsOnOneLine===void 0&&(this.nextNodeBlockIsOnOneLine=this.BlockIsOnOneLine(this.nextTokenParent)),this.nextNodeBlockIsOnOneLine}NodeIsOnOneLine(e){const t=this.sourceFile.getLineAndCharacterOfPosition(e.getStart(this.sourceFile)).line,r=this.sourceFile.getLineAndCharacterOfPosition(e.getEnd()).line;return t===r}BlockIsOnOneLine(e){const t=vs(e,19,this.sourceFile),r=vs(e,20,this.sourceFile);if(t&&r){const i=this.sourceFile.getLineAndCharacterOfPosition(t.getEnd()).line,o=this.sourceFile.getLineAndCharacterOfPosition(r.getStart(this.sourceFile)).line;return i===o}return!1}}}});function ehe(e,t,r,i,o){const s=t===1?Ywe:Xwe;s.setText(e),s.resetTokenState(r);let l=!0,u,p,h,m,b;const A=o({advance:I,readTokenInfo:V,readEOFTokenRange:G,isOnToken:ne,isOnEOF:he,getCurrentLeadingTrivia:()=>u,lastTrailingTriviaWasNewLine:()=>l,skipToEndOf:$,skipToStartOf:Y,getTokenFullStart:()=>(b==null?void 0:b.token.pos)??s.getTokenStart(),getStartPos:()=>(b==null?void 0:b.token.pos)??s.getTokenStart()});return b=void 0,s.setText(void 0),A;function I(){b=void 0,s.getTokenFullStart()!==r?l=!!p&&Ya(p).kind===4:s.scan(),u=void 0,p=void 0;let fe=s.getTokenFullStart();for(;fe<i;){const Ne=s.getToken();if(!IR(Ne))break;s.scan();const pe={pos:fe,end:s.getTokenFullStart(),kind:Ne};fe=s.getTokenFullStart(),u=xn(u,pe)}h=s.getTokenFullStart()}function R(oe){switch(oe.kind){case 34:case 72:case 73:case 50:case 49:return!0}return!1}function M(oe){if(oe.parent)switch(oe.parent.kind){case 291:case 286:case 287:case 285:return Bd(oe.kind)||oe.kind===80}return!1}function C(oe){return nI(oe)||dg(oe)&&(b==null?void 0:b.token.kind)===12}function O(oe){return oe.kind===14}function U(oe){return oe.kind===17||oe.kind===18}function K(oe){return oe.parent&&F_(oe.parent)&&oe.parent.initializer===oe}function Z(oe){return oe===44||oe===69}function V(oe){N.assert(ne());const fe=R(oe)?1:O(oe)?2:U(oe)?3:M(oe)?4:C(oe)?5:K(oe)?6:0;if(b&&fe===m)return ye(b,oe);s.getTokenFullStart()!==h&&(N.assert(b!==void 0),s.resetTokenState(h),s.scan());let Ne=se(oe,fe);const pe=aZ(s.getTokenFullStart(),s.getTokenEnd(),Ne);for(p&&(p=void 0);s.getTokenFullStart()<i&&(Ne=s.scan(),!!IR(Ne));){const ie=aZ(s.getTokenFullStart(),s.getTokenEnd(),Ne);if(p||(p=[]),p.push(ie),Ne===4){s.scan();break}}return b={leadingTrivia:u,trailingTrivia:p,token:pe},ye(b,oe)}function se(oe,fe){const Ne=s.getToken();switch(m=0,fe){case 1:if(Ne===32){m=1;const pe=s.reScanGreaterToken();return N.assert(oe.kind===pe),pe}break;case 2:if(Z(Ne)){m=2;const pe=s.reScanSlashToken();return N.assert(oe.kind===pe),pe}break;case 3:if(Ne===20)return m=3,s.reScanTemplateToken(!1);break;case 4:return m=4,s.scanJsxIdentifier();case 5:return m=5,s.reScanJsxToken(!1);case 6:return m=6,s.reScanJsxAttributeValue();case 0:break;default:N.assertNever(fe)}return Ne}function G(){return N.assert(he()),aZ(s.getTokenFullStart(),s.getTokenEnd(),1)}function ne(){const oe=b?b.token.kind:s.getToken();return oe!==1&&!IR(oe)}function he(){return(b?b.token.kind:s.getToken())===1}function ye(oe,fe){return NA(fe)&&oe.token.kind!==fe.kind&&(oe.token.kind=fe.kind),oe}function $(oe){s.resetTokenState(oe.end),h=s.getTokenFullStart(),m=void 0,b=void 0,l=!1,u=void 0,p=void 0}function Y(oe){s.resetTokenState(oe.pos),h=s.getTokenFullStart(),m=void 0,b=void 0,l=!1,u=void 0,p=void 0}}var Xwe,Ywe,Tat=T({"src/services/formatting/formattingScanner.ts"(){si(),p8(),Xwe=wv(99,!1,0),Ywe=wv(99,!1,1)}}),Bz,the,nhe,Aat=T({"src/services/formatting/rule.ts"(){si(),Bz=et,the=(e=>(e[e.None=0]="None",e[e.StopProcessingSpaceActions=1]="StopProcessingSpaceActions",e[e.StopProcessingTokenActions=2]="StopProcessingTokenActions",e[e.InsertSpace=4]="InsertSpace",e[e.InsertNewLine=8]="InsertNewLine",e[e.DeleteSpace=16]="DeleteSpace",e[e.DeleteToken=32]="DeleteToken",e[e.InsertTrailingSemicolon=64]="InsertTrailingSemicolon",e[e.StopAction=3]="StopAction",e[e.ModifySpaceAction=28]="ModifySpaceAction",e[e.ModifyTokenAction=96]="ModifyTokenAction",e))(the||{}),nhe=(e=>(e[e.None=0]="None",e[e.CanDeleteNewLines=1]="CanDeleteNewLines",e))(nhe||{})}});function $we(){const e=[];for(let se=0;se<=165;se++)se!==1&&e.push(se);function t(...se){return{tokens:e.filter(G=>!se.some(ne=>ne===G)),isSpecific:!1}}const r={tokens:e,isSpecific:!1},i=x2([...e,3]),o=x2([...e,1]),s=Zwe(83,165),l=Zwe(30,79),u=[103,104,165,130,142,152],p=[46,47,55,54],h=[9,10,80,21,23,19,110,105],m=[80,21,110,105],b=[80,22,24,105],A=[80,21,110,105],I=[80,22,24,105],R=[2,3],M=[80,...W5],C=i,O=x2([80,32,3,86,95,102]),U=x2([22,3,92,113,98,93,85]),K=[Yr("IgnoreBeforeComment",r,R,Bz,1),Yr("IgnoreAfterLineComment",2,r,Bz,1),Yr("NotSpaceBeforeColon",r,59,[Ki,Gz,nOe],16),Yr("SpaceAfterColon",59,r,[Ki,Gz,Gat],4),Yr("NoSpaceBeforeQuestionMark",r,58,[Ki,Gz,nOe],16),Yr("SpaceAfterQuestionMarkInConditionalOperator",58,r,[Ki,Dat],4),Yr("NoSpaceAfterQuestionMark",58,r,[Ki,Rat],16),Yr("NoSpaceBeforeDot",r,[25,29],[Ki,est],16),Yr("NoSpaceAfterDot",[25,29],r,[Ki],16),Yr("NoSpaceBetweenImportParenInImportType",102,21,[Ki,zat],16),Yr("NoSpaceAfterUnaryPrefixOperator",p,h,[Ki,Gz],16),Yr("NoSpaceAfterUnaryPreincrementOperator",46,m,[Ki],16),Yr("NoSpaceAfterUnaryPredecrementOperator",47,A,[Ki],16),Yr("NoSpaceBeforeUnaryPostincrementOperator",b,46,[Ki,vOe],16),Yr("NoSpaceBeforeUnaryPostdecrementOperator",I,47,[Ki,vOe],16),Yr("SpaceAfterPostincrementWhenFollowedByAdd",46,40,[Ki,ub],4),Yr("SpaceAfterAddWhenFollowedByUnaryPlus",40,40,[Ki,ub],4),Yr("SpaceAfterAddWhenFollowedByPreincrement",40,46,[Ki,ub],4),Yr("SpaceAfterPostdecrementWhenFollowedBySubtract",47,41,[Ki,ub],4),Yr("SpaceAfterSubtractWhenFollowedByUnaryMinus",41,41,[Ki,ub],4),Yr("SpaceAfterSubtractWhenFollowedByPredecrement",41,47,[Ki,ub],4),Yr("NoSpaceAfterCloseBrace",20,[28,27],[Ki],16),Yr("NewLineBeforeCloseBraceInBlockContext",i,20,[iOe],8),Yr("SpaceAfterCloseBrace",20,t(22),[Ki,Pat],4),Yr("SpaceBetweenCloseBraceAndElse",20,93,[Ki],4),Yr("SpaceBetweenCloseBraceAndWhile",20,117,[Ki],4),Yr("NoSpaceBetweenEmptyBraceBrackets",19,20,[Ki,uOe],16),Yr("SpaceAfterConditionalClosingParen",22,23,[Vz],4),Yr("NoSpaceBetweenFunctionKeywordAndStar",100,42,[sOe],16),Yr("SpaceAfterStarInGeneratorDeclaration",42,80,[sOe],4),Yr("SpaceAfterFunctionInFuncDecl",100,r,[fT],4),Yr("NewLineAfterOpenBraceInBlockContext",19,r,[iOe],8),Yr("SpaceAfterGetSetInMember",[139,153],80,[fT],4),Yr("NoSpaceBetweenYieldKeywordAndStar",127,42,[Ki,gOe],16),Yr("SpaceBetweenYieldOrYieldStarAndOperand",[127,42],r,[Ki,gOe],4),Yr("NoSpaceBetweenReturnAndSemicolon",107,27,[Ki],16),Yr("SpaceAfterCertainKeywords",[115,111,105,91,107,114,135],r,[Ki],4),Yr("SpaceAfterLetConstInVariableDeclaration",[121,87],r,[Ki,Uat],4),Yr("NoSpaceBeforeOpenParenInFuncCall",r,21,[Ki,kat,wat],16),Yr("SpaceBeforeBinaryKeywordOperator",r,u,[Ki,ub],4),Yr("SpaceAfterBinaryKeywordOperator",u,r,[Ki,ub],4),Yr("SpaceAfterVoidOperator",116,r,[Ki,Xat],4),Yr("SpaceBetweenAsyncAndOpenParen",134,21,[Fat,Ki],4),Yr("SpaceBetweenAsyncAndFunctionKeyword",134,[100,80],[Ki],4),Yr("NoSpaceBetweenTagAndTemplateString",[80,22],[15,16],[Ki],16),Yr("SpaceBeforeJsxAttribute",r,80,[Bat,Ki],4),Yr("SpaceBeforeSlashInJsxOpeningElement",r,44,[mOe,Ki],4),Yr("NoSpaceBeforeGreaterThanTokenInJsxOpeningElement",44,32,[mOe,Ki],16),Yr("NoSpaceBeforeEqualInJsxAttribute",r,64,[pOe,Ki],16),Yr("NoSpaceAfterEqualInJsxAttribute",64,r,[pOe,Ki],16),Yr("NoSpaceBeforeJsxNamespaceColon",80,59,[fOe],16),Yr("NoSpaceAfterJsxNamespaceColon",59,80,[fOe],16),Yr("NoSpaceAfterModuleImport",[144,149],21,[Ki],16),Yr("SpaceAfterCertainTypeScriptKeywords",[128,129,86,138,90,94,95,96,139,119,102,120,144,145,123,125,124,148,153,126,156,161,143,140],r,[Ki],4),Yr("SpaceBeforeCertainTypeScriptKeywords",r,[96,119,161],[Ki],4),Yr("SpaceAfterModuleName",11,19,[Hat],4),Yr("SpaceBeforeArrow",r,39,[Ki],4),Yr("SpaceAfterArrow",39,r,[Ki],4),Yr("NoSpaceAfterEllipsis",26,80,[Ki],16),Yr("NoSpaceAfterOptionalParameters",58,[22,28],[Ki,Gz],16),Yr("NoSpaceBetweenEmptyInterfaceBraceBrackets",19,20,[Ki,qat],16),Yr("NoSpaceBeforeOpenAngularBracket",M,30,[Ki,jz],16),Yr("NoSpaceBetweenCloseParenAndAngularBracket",22,30,[Ki,jz],16),Yr("NoSpaceAfterOpenAngularBracket",30,r,[Ki,jz],16),Yr("NoSpaceBeforeCloseAngularBracket",r,32,[Ki,jz],16),Yr("NoSpaceAfterCloseAngularBracket",32,[21,23,32,28],[Ki,jz,Cat,Kat],16),Yr("SpaceBeforeAt",[22,80],60,[Ki],4),Yr("NoSpaceAfterAt",60,r,[Ki],16),Yr("SpaceAfterDecorator",r,[128,80,95,90,86,126,125,123,124,139,153,23,42],[jat],4),Yr("NoSpaceBeforeNonNullAssertionOperator",r,54,[Ki,Yat],16),Yr("NoSpaceAfterNewKeywordOnConstructorSignature",105,21,[Ki,Jat],16),Yr("SpaceLessThanAndNonJSXTypeAnnotation",30,30,[Ki],4)],Z=[Yr("SpaceAfterConstructor",137,21,[pf("insertSpaceAfterConstructor"),Ki],4),Yr("NoSpaceAfterConstructor",137,21,[V_("insertSpaceAfterConstructor"),Ki],16),Yr("SpaceAfterComma",28,r,[pf("insertSpaceAfterCommaDelimiter"),Ki,che,Oat,Wat],4),Yr("NoSpaceAfterComma",28,r,[V_("insertSpaceAfterCommaDelimiter"),Ki,che],16),Yr("SpaceAfterAnonymousFunctionKeyword",[100,42],21,[pf("insertSpaceAfterFunctionKeywordForAnonymousFunctions"),fT],4),Yr("NoSpaceAfterAnonymousFunctionKeyword",[100,42],21,[V_("insertSpaceAfterFunctionKeywordForAnonymousFunctions"),fT],16),Yr("SpaceAfterKeywordInControl",s,21,[pf("insertSpaceAfterKeywordsInControlFlowStatements"),Vz],4),Yr("NoSpaceAfterKeywordInControl",s,21,[V_("insertSpaceAfterKeywordsInControlFlowStatements"),Vz],16),Yr("SpaceAfterOpenParen",21,r,[pf("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),Ki],4),Yr("SpaceBeforeCloseParen",r,22,[pf("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),Ki],4),Yr("SpaceBetweenOpenParens",21,21,[pf("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),Ki],4),Yr("NoSpaceBetweenParens",21,22,[Ki],16),Yr("NoSpaceAfterOpenParen",21,r,[V_("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),Ki],16),Yr("NoSpaceBeforeCloseParen",r,22,[V_("insertSpaceAfterOpeningAndBeforeClosingNonemptyParenthesis"),Ki],16),Yr("SpaceAfterOpenBracket",23,r,[pf("insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets"),Ki],4),Yr("SpaceBeforeCloseBracket",r,24,[pf("insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets"),Ki],4),Yr("NoSpaceBetweenBrackets",23,24,[Ki],16),Yr("NoSpaceAfterOpenBracket",23,r,[V_("insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets"),Ki],16),Yr("NoSpaceBeforeCloseBracket",r,24,[V_("insertSpaceAfterOpeningAndBeforeClosingNonemptyBrackets"),Ki],16),Yr("SpaceAfterOpenBrace",19,r,[tOe("insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces"),rOe],4),Yr("SpaceBeforeCloseBrace",r,20,[tOe("insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces"),rOe],4),Yr("NoSpaceBetweenEmptyBraceBrackets",19,20,[Ki,uOe],16),Yr("NoSpaceAfterOpenBrace",19,r,[rhe("insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces"),Ki],16),Yr("NoSpaceBeforeCloseBrace",r,20,[rhe("insertSpaceAfterOpeningAndBeforeClosingNonemptyBraces"),Ki],16),Yr("SpaceBetweenEmptyBraceBrackets",19,20,[pf("insertSpaceAfterOpeningAndBeforeClosingEmptyBraces")],4),Yr("NoSpaceBetweenEmptyBraceBrackets",19,20,[rhe("insertSpaceAfterOpeningAndBeforeClosingEmptyBraces"),Ki],16),Yr("SpaceAfterTemplateHeadAndMiddle",[16,17],r,[pf("insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces"),dOe],4,1),Yr("SpaceBeforeTemplateMiddleAndTail",r,[17,18],[pf("insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces"),Ki],4),Yr("NoSpaceAfterTemplateHeadAndMiddle",[16,17],r,[V_("insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces"),dOe],16,1),Yr("NoSpaceBeforeTemplateMiddleAndTail",r,[17,18],[V_("insertSpaceAfterOpeningAndBeforeClosingTemplateStringBraces"),Ki],16),Yr("SpaceAfterOpenBraceInJsxExpression",19,r,[pf("insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces"),Ki,iZ],4),Yr("SpaceBeforeCloseBraceInJsxExpression",r,20,[pf("insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces"),Ki,iZ],4),Yr("NoSpaceAfterOpenBraceInJsxExpression",19,r,[V_("insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces"),Ki,iZ],16),Yr("NoSpaceBeforeCloseBraceInJsxExpression",r,20,[V_("insertSpaceAfterOpeningAndBeforeClosingJsxExpressionBraces"),Ki,iZ],16),Yr("SpaceAfterSemicolonInFor",27,r,[pf("insertSpaceAfterSemicolonInForStatements"),Ki,ohe],4),Yr("NoSpaceAfterSemicolonInFor",27,r,[V_("insertSpaceAfterSemicolonInForStatements"),Ki,ohe],16),Yr("SpaceBeforeBinaryOperator",r,l,[pf("insertSpaceBeforeAndAfterBinaryOperators"),Ki,ub],4),Yr("SpaceAfterBinaryOperator",l,r,[pf("insertSpaceBeforeAndAfterBinaryOperators"),Ki,ub],4),Yr("NoSpaceBeforeBinaryOperator",r,l,[V_("insertSpaceBeforeAndAfterBinaryOperators"),Ki,ub],16),Yr("NoSpaceAfterBinaryOperator",l,r,[V_("insertSpaceBeforeAndAfterBinaryOperators"),Ki,ub],16),Yr("SpaceBeforeOpenParenInFuncDecl",r,21,[pf("insertSpaceBeforeFunctionParenthesis"),Ki,fT],4),Yr("NoSpaceBeforeOpenParenInFuncDecl",r,21,[V_("insertSpaceBeforeFunctionParenthesis"),Ki,fT],16),Yr("NewLineBeforeOpenBraceInControl",U,19,[pf("placeOpenBraceOnNewLineForControlBlocks"),Vz,lhe],8,1),Yr("NewLineBeforeOpenBraceInFunction",C,19,[pf("placeOpenBraceOnNewLineForFunctions"),fT,lhe],8,1),Yr("NewLineBeforeOpenBraceInTypeScriptDeclWithBlock",O,19,[pf("placeOpenBraceOnNewLineForFunctions"),lOe,lhe],8,1),Yr("SpaceAfterTypeAssertion",32,r,[pf("insertSpaceAfterTypeAssertion"),Ki,dhe],4),Yr("NoSpaceAfterTypeAssertion",32,r,[V_("insertSpaceAfterTypeAssertion"),Ki,dhe],16),Yr("SpaceBeforeTypeAnnotation",r,[58,59],[pf("insertSpaceBeforeTypeAnnotation"),Ki,ahe],4),Yr("NoSpaceBeforeTypeAnnotation",r,[58,59],[V_("insertSpaceBeforeTypeAnnotation"),Ki,ahe],16),Yr("NoOptionalSemicolon",27,o,[eOe("semicolons","remove"),Qat],32),Yr("OptionalSemicolon",r,o,[eOe("semicolons","insert"),Zat],64)],V=[Yr("NoSpaceBeforeSemicolon",r,27,[Ki],16),Yr("SpaceBeforeOpenBraceInControl",U,19,[ihe("placeOpenBraceOnNewLineForControlBlocks"),Vz,uhe,she],4,1),Yr("SpaceBeforeOpenBraceInFunction",C,19,[ihe("placeOpenBraceOnNewLineForFunctions"),fT,rZ,uhe,she],4,1),Yr("SpaceBeforeOpenBraceInTypeScriptDeclWithBlock",O,19,[ihe("placeOpenBraceOnNewLineForFunctions"),lOe,uhe,she],4,1),Yr("NoSpaceBeforeComma",r,28,[Ki],16),Yr("NoSpaceBeforeOpenBracket",t(134,84),23,[Ki],16),Yr("NoSpaceAfterCloseBracket",24,r,[Ki,Vat],16),Yr("SpaceAfterSemicolon",27,r,[Ki],4),Yr("SpaceBetweenForAndAwaitKeyword",99,135,[Ki],4),Yr("SpaceBetweenStatements",[22,92,93,84],r,[Ki,che,Iat],4),Yr("SpaceAfterTryCatchFinally",[113,85,98],19,[Ki],4)];return[...K,...Z,...V]}function Yr(e,t,r,i,o,s=0){return{leftTokenRange:Qwe(t),rightTokenRange:Qwe(r),rule:{debugName:e,context:i,action:o,flags:s}}}function x2(e){return{tokens:e,isSpecific:!0}}function Qwe(e){return typeof e=="number"?x2([e]):To(e)?x2(e):e}function Zwe(e,t,r=[]){const i=[];for(let o=e;o<=t;o++)Nr(r,o)||i.push(o);return x2(i)}function eOe(e,t){return r=>r.options&&r.options[e]===t}function pf(e){return t=>t.options&&xs(t.options,e)&&!!t.options[e]}function rhe(e){return t=>t.options&&xs(t.options,e)&&!t.options[e]}function V_(e){return t=>!t.options||!xs(t.options,e)||!t.options[e]}function ihe(e){return t=>!t.options||!xs(t.options,e)||!t.options[e]||t.TokensAreOnSameLine()}function tOe(e){return t=>!t.options||!xs(t.options,e)||!!t.options[e]}function ohe(e){return e.contextNode.kind===248}function Iat(e){return!ohe(e)}function ub(e){switch(e.contextNode.kind){case 226:return e.contextNode.operatorToken.kind!==28;case 227:case 194:case 234:case 281:case 276:case 182:case 192:case 193:case 238:return!0;case 208:case 265:case 271:case 277:case 260:case 169:case 306:case 172:case 171:return e.currentTokenSpan.kind===64||e.nextTokenSpan.kind===64;case 249:case 168:return e.currentTokenSpan.kind===103||e.nextTokenSpan.kind===103||e.currentTokenSpan.kind===64||e.nextTokenSpan.kind===64;case 250:return e.currentTokenSpan.kind===165||e.nextTokenSpan.kind===165}return!1}function Gz(e){return!ub(e)}function nOe(e){return!ahe(e)}function ahe(e){const t=e.contextNode.kind;return t===172||t===171||t===169||t===260||PA(t)}function xat(e){return Jo(e.contextNode)&&e.contextNode.questionToken}function Rat(e){return!xat(e)}function Dat(e){return e.contextNode.kind===227||e.contextNode.kind===194}function she(e){return e.TokensAreOnSameLine()||rZ(e)}function rOe(e){return e.contextNode.kind===206||e.contextNode.kind===200||Nat(e)}function lhe(e){return rZ(e)&&!(e.NextNodeAllOnSameLine()||e.NextNodeBlockIsOnOneLine())}function iOe(e){return oOe(e)&&!(e.ContextNodeAllOnSameLine()||e.ContextNodeBlockIsOnOneLine())}function Nat(e){return oOe(e)&&(e.ContextNodeAllOnSameLine()||e.ContextNodeBlockIsOnOneLine())}function oOe(e){return aOe(e.contextNode)}function rZ(e){return aOe(e.nextTokenParent)}function aOe(e){if(cOe(e))return!0;switch(e.kind){case 241:case 269:case 210:case 268:return!0}return!1}function fT(e){switch(e.contextNode.kind){case 262:case 174:case 173:case 177:case 178:case 179:case 218:case 176:case 219:case 264:return!0}return!1}function Cat(e){return!fT(e)}function sOe(e){return e.contextNode.kind===262||e.contextNode.kind===218}function lOe(e){return cOe(e.contextNode)}function cOe(e){switch(e.kind){case 263:case 231:case 264:case 266:case 187:case 267:case 278:case 279:case 272:case 275:return!0}return!1}function Pat(e){switch(e.currentTokenParent.kind){case 263:case 267:case 266:case 299:case 268:case 255:return!0;case 241:{const t=e.currentTokenParent.parent;if(!t||t.kind!==219&&t.kind!==218)return!0}}return!1}function Vz(e){switch(e.contextNode.kind){case 245:case 255:case 248:case 249:case 250:case 247:case 258:case 246:case 254:case 299:return!0;default:return!1}}function uOe(e){return e.contextNode.kind===210}function Mat(e){return e.contextNode.kind===213}function Lat(e){return e.contextNode.kind===214}function kat(e){return Mat(e)||Lat(e)}function wat(e){return e.currentTokenSpan.kind!==28}function Oat(e){return e.nextTokenSpan.kind!==24}function Wat(e){return e.nextTokenSpan.kind!==22}function Fat(e){return e.contextNode.kind===219}function zat(e){return e.contextNode.kind===205}function Ki(e){return e.TokensAreOnSameLine()&&e.contextNode.kind!==12}function dOe(e){return e.contextNode.kind!==12}function che(e){return e.contextNode.kind!==284&&e.contextNode.kind!==288}function iZ(e){return e.contextNode.kind===294||e.contextNode.kind===293}function Bat(e){return e.nextTokenParent.kind===291||e.nextTokenParent.kind===295&&e.nextTokenParent.parent.kind===291}function pOe(e){return e.contextNode.kind===291}function Gat(e){return e.nextTokenParent.kind!==295}function fOe(e){return e.nextTokenParent.kind===295}function mOe(e){return e.contextNode.kind===285}function Vat(e){return!fT(e)&&!rZ(e)}function jat(e){return e.TokensAreOnSameLine()&&If(e.contextNode)&&_Oe(e.currentTokenParent)&&!_Oe(e.nextTokenParent)}function _Oe(e){for(;e&&yt(e);)e=e.parent;return e&&e.kind===170}function Uat(e){return e.currentTokenParent.kind===261&&e.currentTokenParent.getStart(e.sourceFile)===e.currentTokenSpan.pos}function uhe(e){return e.formattingRequestKind!==2}function Hat(e){return e.contextNode.kind===267}function qat(e){return e.contextNode.kind===187}function Jat(e){return e.contextNode.kind===180}function hOe(e,t){if(e.kind!==30&&e.kind!==32)return!1;switch(t.kind){case 183:case 216:case 265:case 263:case 231:case 264:case 262:case 218:case 219:case 174:case 173:case 179:case 180:case 213:case 214:case 233:return!0;default:return!1}}function jz(e){return hOe(e.currentTokenSpan,e.currentTokenParent)||hOe(e.nextTokenSpan,e.nextTokenParent)}function dhe(e){return e.contextNode.kind===216}function Kat(e){return!dhe(e)}function Xat(e){return e.currentTokenSpan.kind===116&&e.currentTokenParent.kind===222}function gOe(e){return e.contextNode.kind===229&&e.contextNode.expression!==void 0}function Yat(e){return e.contextNode.kind===235}function vOe(e){return!$at(e)}function $at(e){switch(e.contextNode.kind){case 245:case 248:case 249:case 250:case 246:case 247:return!0;default:return!1}}function Qat(e){let t=e.nextTokenSpan.kind,r=e.nextTokenSpan.pos;if(IR(t)){const s=e.nextTokenParent===e.currentTokenParent?b1(e.currentTokenParent,Gn(e.currentTokenParent,l=>!l.parent),e.sourceFile):e.nextTokenParent.getFirstToken(e.sourceFile);if(!s)return!0;t=s.kind,r=s.getStart(e.sourceFile)}const i=e.sourceFile.getLineAndCharacterOfPosition(e.currentTokenSpan.pos).line,o=e.sourceFile.getLineAndCharacterOfPosition(r).line;return i===o?t===20||t===1:t===240||t===27?!1:e.contextNode.kind===264||e.contextNode.kind===265?!yp(e.currentTokenParent)||!!e.currentTokenParent.type||t!==21:Jo(e.currentTokenParent)?!e.currentTokenParent.initializer:e.currentTokenParent.kind!==248&&e.currentTokenParent.kind!==242&&e.currentTokenParent.kind!==240&&t!==23&&t!==21&&t!==40&&t!==41&&t!==44&&t!==14&&t!==28&&t!==228&&t!==16&&t!==15&&t!==25}function Zat(e){return x5(e.currentTokenSpan.end,e.currentTokenParent,e.sourceFile)}function est(e){return!Fr(e.contextNode)||!vp(e.contextNode.expression)||e.contextNode.expression.getText().includes(".")}var tst=T({"src/services/formatting/rules.ts"(){si(),p8()}});function nst(e,t){return{options:e,getRules:rst(),host:t}}function rst(){return phe===void 0&&(phe=ost($we())),phe}function ist(e){let t=0;return e&1&&(t|=28),e&2&&(t|=96),e&28&&(t|=28),e&96&&(t|=96),t}function ost(e){const t=ast(e);return r=>{const i=t[yOe(r.currentTokenSpan.kind,r.nextTokenSpan.kind)];if(i){const o=[];let s=0;for(const l of i){const u=~ist(s);l.action&u&&Zn(l.context,p=>p(r))&&(o.push(l),s|=l.action)}if(o.length)return o}}}function ast(e){const t=new Array(oZ*oZ),r=new Array(t.length);for(const i of e){const o=i.leftTokenRange.isSpecific&&i.rightTokenRange.isSpecific;for(const s of i.leftTokenRange.tokens)for(const l of i.rightTokenRange.tokens){const u=yOe(s,l);let p=t[u];p===void 0&&(p=t[u]=[]),sst(p,i.rule,o,r,u)}}return t}function yOe(e,t){return N.assert(e<=165&&t<=165,"Must compute formatting context from tokens"),e*oZ+t}function sst(e,t,r,i,o){const s=t.action&3?r?0:R2.StopRulesAny:t.context!==Bz?r?R2.ContextRulesSpecific:R2.ContextRulesAny:r?R2.NoContextRulesSpecific:R2.NoContextRulesAny,l=i[o]||0;e.splice(lst(l,s),0,t),i[o]=cst(l,s)}function lst(e,t){let r=0;for(let i=0;i<=t;i+=KD)r+=e&Uz,e>>=KD;return r}function cst(e,t){const r=(e>>t&Uz)+1;return N.assert((r&Uz)===r,"Adding more rules into the sub-bucket than allowed. Maximum allowed is 32 rules."),e&~(Uz<<t)|r<<t}var phe,KD,Uz,oZ,R2,ust=T({"src/services/formatting/rulesMap.ts"(){si(),p8(),KD=5,Uz=31,oZ=166,R2=(e=>(e[e.StopRulesSpecific=0]="StopRulesSpecific",e[e.StopRulesAny=KD*1]="StopRulesAny",e[e.ContextRulesSpecific=KD*2]="ContextRulesSpecific",e[e.ContextRulesAny=KD*3]="ContextRulesAny",e[e.NoContextRulesSpecific=KD*4]="NoContextRulesSpecific",e[e.NoContextRulesAny=KD*5]="NoContextRulesAny",e))(R2||{})}});function aZ(e,t,r){const i={pos:e,end:t,kind:r};return N.isDebugging&&Object.defineProperty(i,"__debugKind",{get:()=>N.formatSyntaxKind(r)}),i}function dst(e,t,r){const i=t.getLineAndCharacterOfPosition(e).line;if(i===0)return[];let o=DL(i,t);for(;A_(t.text.charCodeAt(o));)o--;Hu(t.text.charCodeAt(o))&&o--;const s={pos:Gy(i-1,t),end:o+1};return Hz(s,t,r,2)}function pst(e,t,r){const i=fhe(e,27,t);return bOe(mhe(i),t,r,3)}function fst(e,t,r){const i=fhe(e,19,t);if(!i)return[];const o=i.parent,s=mhe(o),l={pos:lm(s.getStart(t),t),end:e};return Hz(l,t,r,4)}function mst(e,t,r){const i=fhe(e,20,t);return bOe(mhe(i),t,r,5)}function _st(e,t){const r={pos:0,end:e.text.length};return Hz(r,e,t,0)}function hst(e,t,r,i){const o={pos:lm(e,r),end:t};return Hz(o,r,i,1)}function fhe(e,t,r){const i=xc(e,r);return i&&i.kind===t&&e===i.getEnd()?i:void 0}function mhe(e){let t=e;for(;t&&t.parent&&t.parent.end===e.end&&!gst(t.parent,t);)t=t.parent;return t}function gst(e,t){switch(e.kind){case 263:case 264:return cf(e.members,t);case 267:const r=e.body;return!!r&&r.kind===268&&cf(r.statements,t);case 312:case 241:case 268:return cf(e.statements,t);case 299:return cf(e.block.statements,t)}return!1}function vst(e,t){return r(t);function r(i){const o=Ho(i,s=>nX(s.getStart(t),s.end,e)&&s);if(o){const s=r(o);if(s)return s}return i}}function yst(e,t){if(!e.length)return o;const r=e.filter(s=>i2(t,s.start,s.start+s.length)).sort((s,l)=>s.start-l.start);if(!r.length)return o;let i=0;return s=>{for(;;){if(i>=r.length)return!1;const l=r[i];if(s.end<=l.start)return!1;if(n5(s.pos,s.end,l.start,l.start+l.length))return!0;i++}};function o(){return!1}}function bst(e,t,r){const i=e.getStart(r);if(i===t.pos&&e.end===t.end)return i;const o=xc(t.pos,r);return!o||o.end>=t.pos?e.pos:o.end}function Est(e,t,r){let i=-1,o;for(;e;){const s=r.getLineAndCharacterOfPosition(e.getStart(r)).line;if(i!==-1&&s!==i)break;if(j_.shouldIndentChildNode(t,e,o,r))return t.indentSize;i=s,o=e,e=e.parent}return 0}function Sst(e,t,r,i,o,s){const l={pos:e.pos,end:e.end};return ehe(t.text,r,l.pos,l.end,u=>EOe(l,e,i,o,u,s,1,p=>!1,t))}function bOe(e,t,r,i){if(!e)return[];const o={pos:lm(e.getStart(t),t),end:e.end};return Hz(o,t,r,i)}function Hz(e,t,r,i){const o=vst(e,t);return ehe(t.text,t.languageVariant,bst(o,e,t),e.end,s=>EOe(e,o,j_.getIndentationForNode(o,e,t,r.options),Est(o,r.options,t),s,r,i,yst(t.parseDiagnostics,e),t))}function EOe(e,t,r,i,o,{options:s,getRules:l,host:u},p,h,m){var b;const A=new Z_e(m,p,s);let I,R,M,C,O,U=-1;const K=[];if(o.advance(),o.isOnToken()){const Se=m.getLineAndCharacterOfPosition(t.getStart(m)).line;let je=Se;If(t)&&(je=m.getLineAndCharacterOfPosition(Ej(t,m)).line),he(t,t,Se,je,r,i)}const Z=o.getCurrentLeadingTrivia();if(Z){const Se=j_.nodeWillIndentChild(s,t,void 0,m,!1)?r+s.indentSize:r;ye(Z,Se,!0,je=>{Y(je,m.getLineAndCharacterOfPosition(je.pos),t,t,void 0),fe(je.pos,Se,!1)}),s.trimTrailingWhitespace!==!1&&He(Z)}if(R&&o.getTokenFullStart()>=e.end){const Se=o.isOnEOF()?o.readEOFTokenRange():o.isOnToken()?o.readTokenInfo(t).token:void 0;if(Se&&Se.pos===I){const je=((b=xc(Se.end,m,t))==null?void 0:b.parent)||M;oe(Se,m.getLineAndCharacterOfPosition(Se.pos).line,je,R,C,M,je,void 0)}}return K;function V(Se,je,at,Gt,vt){if(i2(Gt,Se,je)||cO(Gt,Se,je)){if(vt!==-1)return vt}else{const $e=m.getLineAndCharacterOfPosition(Se).line,It=lm(Se,m),tn=j_.findFirstNonWhitespaceColumn(It,Se,m,s);if($e!==at||Se===tn){const rn=j_.getBaseIndentation(s);return rn>tn?rn:tn}}return-1}function se(Se,je,at,Gt,vt,$e){const It=j_.shouldIndentChildNode(s,Se)?s.indentSize:0;return $e===je?{indentation:je===O?U:vt.getIndentation(),delta:Math.min(s.indentSize,vt.getDelta(Se)+It)}:at===-1?Se.kind===21&&je===O?{indentation:U,delta:vt.getDelta(Se)}:j_.childStartsOnTheSameLineWithElseInIfStatement(Gt,Se,je,m)||j_.childIsUnindentedBranchOfConditionalExpression(Gt,Se,je,m)||j_.argumentStartsOnSameLineAsPreviousArgument(Gt,Se,je,m)?{indentation:vt.getIndentation(),delta:It}:{indentation:vt.getIndentation()+vt.getDelta(Se),delta:It}:{indentation:at,delta:It}}function G(Se){if(Cm(Se)){const je=An(Se.modifiers,xa,Gr(Se.modifiers,Eu));if(je)return je.kind}switch(Se.kind){case 263:return 86;case 264:return 120;case 262:return 100;case 266:return 266;case 177:return 139;case 178:return 153;case 174:if(Se.asteriskToken)return 42;case 172:case 169:const je=Mo(Se);if(je)return je.kind}}function ne(Se,je,at,Gt){return{getIndentationForComment:(It,tn,rn)=>{switch(It){case 20:case 24:case 22:return at+$e(rn)}return tn!==-1?tn:at},getIndentationForToken:(It,tn,rn,Pn)=>!Pn&&vt(It,tn,rn)?at+$e(rn):at,getIndentation:()=>at,getDelta:$e,recomputeIndentation:(It,tn)=>{j_.shouldIndentChildNode(s,tn,Se,m)&&(at+=It?s.indentSize:-s.indentSize,Gt=j_.shouldIndentChildNode(s,Se)?s.indentSize:0)}};function vt(It,tn,rn){switch(tn){case 19:case 20:case 22:case 93:case 117:case 60:return!1;case 44:case 32:switch(rn.kind){case 286:case 287:case 285:return!1}break;case 23:case 24:if(rn.kind!==200)return!1;break}return je!==It&&!(If(Se)&&tn===G(Se))}function $e(It){return j_.nodeWillIndentChild(s,Se,It,m,!0)?Gt:0}}function he(Se,je,at,Gt,vt,$e){if(!i2(e,Se.getStart(m),Se.getEnd()))return;const It=ne(Se,at,vt,$e);let tn=je;for(Ho(Se,hn=>{rn(hn,-1,Se,It,at,Gt,!1)},hn=>{Pn(hn,Se,at,It)});o.isOnToken()&&o.getTokenFullStart()<e.end;){const hn=o.readTokenInfo(Se);if(hn.token.end>Math.min(Se.end,e.end))break;Xn(hn,Se,It,Se)}function rn(hn,Qt,$t,_i,ao,Oi,No,Mn){if(N.assert(!$s(hn)),zl(hn)||die($t,hn))return Qt;const Di=hn.getStart(m),Ar=m.getLineAndCharacterOfPosition(Di).line;let sn=Ar;If(hn)&&(sn=m.getLineAndCharacterOfPosition(Ej(hn,m)).line);let tt=-1;if(No&&cf(e,$t)&&(tt=V(Di,hn.end,ao,e,Qt),tt!==-1&&(Qt=tt)),!i2(e,hn.pos,hn.end))return hn.end<e.pos&&o.skipToEndOf(hn),Qt;if(hn.getFullWidth()===0)return Qt;for(;o.isOnToken()&&o.getTokenFullStart()<e.end;){const li=o.readTokenInfo(Se);if(li.token.end>e.end)return Qt;if(li.token.end>Di){li.token.pos>Di&&o.skipToStartOf(hn);break}Xn(li,Se,_i,Se)}if(!o.isOnToken()||o.getTokenFullStart()>=e.end)return Qt;if(NA(hn)){const li=o.readTokenInfo(hn);if(hn.kind!==12)return N.assert(li.token.end===hn.end,"Token end is child end"),Xn(li,Se,_i,hn),Qt}const zt=hn.kind===170?Ar:Oi,Rn=se(hn,Ar,tt,Se,_i,zt);return he(hn,tn,Ar,sn,Rn.indentation,Rn.delta),tn=Se,Mn&&$t.kind===209&&Qt===-1&&(Qt=Rn.indentation),Qt}function Pn(hn,Qt,$t,_i){N.assert(LE(hn)),N.assert(!$s(hn));const ao=Tst(Qt,hn);let Oi=_i,No=$t;if(!i2(e,hn.pos,hn.end)){hn.end<e.pos&&o.skipToEndOf(hn);return}if(ao!==0)for(;o.isOnToken()&&o.getTokenFullStart()<e.end;){const Ar=o.readTokenInfo(Qt);if(Ar.token.end>hn.pos)break;if(Ar.token.kind===ao){No=m.getLineAndCharacterOfPosition(Ar.token.pos).line,Xn(Ar,Qt,_i,Qt);let sn;if(U!==-1)sn=U;else{const tt=lm(Ar.token.pos,m);sn=j_.findFirstNonWhitespaceColumn(tt,Ar.token.pos,m,s)}Oi=ne(Qt,$t,sn,s.indentSize)}else Xn(Ar,Qt,_i,Qt)}let Mn=-1;for(let Ar=0;Ar<hn.length;Ar++){const sn=hn[Ar];Mn=rn(sn,Mn,Se,Oi,No,No,!0,Ar===0)}const Di=Ast(ao);if(Di!==0&&o.isOnToken()&&o.getTokenFullStart()<e.end){let Ar=o.readTokenInfo(Qt);Ar.token.kind===28&&(Xn(Ar,Qt,Oi,Qt),Ar=o.isOnToken()?o.readTokenInfo(Qt):void 0),Ar&&Ar.token.kind===Di&&cf(Qt,Ar.token)&&Xn(Ar,Qt,Oi,Qt,!0)}}function Xn(hn,Qt,$t,_i,ao){N.assert(cf(Qt,hn.token));const Oi=o.lastTrailingTriviaWasNewLine();let No=!1;hn.leadingTrivia&&$(hn.leadingTrivia,Qt,tn,$t);let Mn=0;const Di=cf(e,hn.token),Ar=m.getLineAndCharacterOfPosition(hn.token.pos);if(Di){const sn=h(hn.token),tt=R;if(Mn=Y(hn.token,Ar,Qt,tn,$t),!sn)if(Mn===0){const zt=tt&&m.getLineAndCharacterOfPosition(tt.end).line;No=Oi&&Ar.line!==zt}else No=Mn===1}if(hn.trailingTrivia&&(I=Ya(hn.trailingTrivia).end,$(hn.trailingTrivia,Qt,tn,$t)),No){const sn=Di&&!h(hn.token)?$t.getIndentationForToken(Ar.line,hn.token.kind,_i,!!ao):-1;let tt=!0;if(hn.leadingTrivia){const zt=$t.getIndentationForComment(hn.token.kind,sn,_i);tt=ye(hn.leadingTrivia,zt,tt,Rn=>fe(Rn.pos,zt,!1))}sn!==-1&&tt&&(fe(hn.token.pos,sn,Mn===1),O=Ar.line,U=sn)}o.advance(),tn=Qt}}function ye(Se,je,at,Gt){for(const vt of Se){const $e=cf(e,vt);switch(vt.kind){case 3:$e&&ie(vt,je,!at),at=!1;break;case 2:at&&$e&&Gt(vt),at=!1;break;case 4:at=!0;break}}return at}function $(Se,je,at,Gt){for(const vt of Se)if(u5(vt.kind)&&cf(e,vt)){const $e=m.getLineAndCharacterOfPosition(vt.pos);Y(vt,$e,je,at,Gt)}}function Y(Se,je,at,Gt,vt){const $e=h(Se);let It=0;if(!$e)if(R)It=oe(Se,je.line,at,R,C,M,Gt,vt);else{const tn=m.getLineAndCharacterOfPosition(e.pos);ve(tn.line,je.line)}return R=Se,I=Se.end,M=at,C=je.line,It}function oe(Se,je,at,Gt,vt,$e,It,tn){A.updateContext(Gt,$e,Se,at,It);const rn=l(A);let Pn=A.options.trimTrailingWhitespace!==!1,Xn=0;return rn?Bt(rn,hn=>{if(Xn=At(hn,Gt,vt,Se,je),tn)switch(Xn){case 2:at.getStart(m)===Se.pos&&tn.recomputeIndentation(!1,It);break;case 1:at.getStart(m)===Se.pos&&tn.recomputeIndentation(!0,It);break;default:N.assert(Xn===0)}Pn=Pn&&!(hn.action&16)&&hn.flags!==1}):Pn=Pn&&Se.kind!==1,je!==vt&&Pn&&ve(vt,je,Gt),Xn}function fe(Se,je,at){const Gt=_he(je,s);if(at)Pe(Se,0,Gt);else{const vt=m.getLineAndCharacterOfPosition(Se),$e=Gy(vt.line,m);(je!==Ne($e,vt.character)||pe(Gt,$e))&&Pe($e,vt.character,Gt)}}function Ne(Se,je){let at=0;for(let Gt=0;Gt<je;Gt++)m.text.charCodeAt(Se+Gt)===9?at+=s.tabSize-at%s.tabSize:at++;return at}function pe(Se,je){return Se!==m.text.substr(je,Se.length)}function ie(Se,je,at,Gt=!0){let vt=m.getLineAndCharacterOfPosition(Se.pos).line;const $e=m.getLineAndCharacterOfPosition(Se.end).line;if(vt===$e){at||fe(Se.pos,je,!1);return}const It=[];let tn=Se.pos;for(let Qt=vt;Qt<$e;Qt++){const $t=DL(Qt,m);It.push({pos:tn,end:$t}),tn=Gy(Qt+1,m)}if(Gt&&It.push({pos:tn,end:Se.end}),It.length===0)return;const rn=Gy(vt,m),Pn=j_.findFirstNonWhitespaceCharacterAndColumn(rn,It[0].pos,m,s);let Xn=0;at&&(Xn=1,vt++);const hn=je-Pn.column;for(let Qt=Xn;Qt<It.length;Qt++,vt++){const $t=Gy(vt,m),_i=Qt===0?Pn:j_.findFirstNonWhitespaceCharacterAndColumn(It[Qt].pos,It[Qt].end,m,s),ao=_i.column+hn;if(ao>0){const Oi=_he(ao,s);Pe($t,_i.character,Oi)}else De($t,_i.character)}}function ve(Se,je,at){for(let Gt=Se;Gt<je;Gt++){const vt=Gy(Gt,m),$e=DL(Gt,m);if(at&&(u5(at.kind)||cX(at.kind))&&at.pos<=$e&&at.end>$e)continue;const It=Le(vt,$e);It!==-1&&(N.assert(It===vt||!A_(m.text.charCodeAt(It-1))),De(It,$e+1-It))}}function Le(Se,je){let at=je;for(;at>=Se&&A_(m.text.charCodeAt(at));)at--;return at!==je?at+1:-1}function He(Se){let je=R?R.end:e.pos;for(const at of Se)u5(at.kind)&&(je<at.pos&&Ee(je,at.pos-1,R),je=at.end+1);je<e.end&&Ee(je,e.end,R)}function Ee(Se,je,at){const Gt=m.getLineAndCharacterOfPosition(Se).line,vt=m.getLineAndCharacterOfPosition(je).line;ve(Gt,vt+1,at)}function De(Se,je){je&&K.push(p5(Se,je,""))}function Pe(Se,je,at){(je||at)&&K.push(p5(Se,je,at))}function We(Se,je){K.push(p5(Se,0,je))}function At(Se,je,at,Gt,vt){const $e=vt!==at;switch(Se.action){case 1:return 0;case 16:if(je.end!==Gt.pos)return De(je.end,Gt.pos-je.end),$e?2:0;break;case 32:De(je.pos,je.end-je.pos);break;case 8:if(Se.flags!==1&&at!==vt)return 0;if(vt-at!==1)return Pe(je.end,Gt.pos-je.end,ty(u,s)),$e?0:1;break;case 4:if(Se.flags!==1&&at!==vt)return 0;if(Gt.pos-je.end!==1||m.text.charCodeAt(je.end)!==32)return Pe(je.end,Gt.pos-je.end," "),$e?2:0;break;case 64:We(je.end,";")}return 0}}function SOe(e,t,r,i=po(e,t)){const o=Gn(i,n_);if(o&&(i=o.parent),i.getStart(e)<=t&&t<i.getEnd())return;r=r===null?void 0:r===void 0?xc(t,e):r;const l=r&&a0(e.text,r.end),u=kj(i,e),p=So(l,u);return p&&An(p,h=>lO(h,t)||t===h.end&&(h.kind===2||t===e.getFullWidth()))}function Tst(e,t){switch(e.kind){case 176:case 262:case 218:case 174:case 173:case 219:case 179:case 180:case 184:case 185:case 177:case 178:if(e.typeParameters===t)return 30;if(e.parameters===t)return 21;break;case 213:case 214:if(e.typeArguments===t)return 30;if(e.arguments===t)return 21;break;case 263:case 231:case 264:case 265:if(e.typeParameters===t)return 30;break;case 183:case 215:case 186:case 233:case 205:if(e.typeArguments===t)return 30;break;case 187:return 19}return 0}function Ast(e){switch(e){case 21:return 22;case 30:return 32;case 19:return 20}return 0}function _he(e,t){if((!sZ||sZ.tabSize!==t.tabSize||sZ.indentSize!==t.indentSize)&&(sZ={tabSize:t.tabSize,indentSize:t.indentSize},u8=d8=void 0),t.convertTabsToSpaces){let i;const o=Math.floor(e/t.indentSize),s=e%t.indentSize;return d8||(d8=[]),d8[o]===void 0?(i=_O(" ",t.indentSize*o),d8[o]=i):i=d8[o],s?i+_O(" ",s):i}else{const i=Math.floor(e/t.tabSize),o=e-i*t.tabSize;let s;return u8||(u8=[]),u8[i]===void 0?u8[i]=s=_O(" ",i):s=u8[i],o?s+_O(" ",o):s}}var sZ,u8,d8,Ist=T({"src/services/formatting/formatting.ts"(){si(),p8()}}),j_,xst=T({"src/services/formatting/smartIndenter.ts"(){si(),p8(),(e=>{let t;(ie=>{ie[ie.Unknown=-1]="Unknown"})(t||(t={}));function r(ie,ve,Le,He=!1){if(ie>ve.text.length)return u(Le);if(Le.indentStyle===0)return 0;const Ee=xc(ie,ve,void 0,!0),De=SOe(ve,ie,Ee||null);if(De&&De.kind===3)return i(ve,ie,Le,De);if(!Ee)return u(Le);if(cX(Ee.kind)&&Ee.getStart(ve)<=ie&&ie<Ee.end)return 0;const We=ve.getLineAndCharacterOfPosition(ie).line,At=po(ve,ie),Se=At.kind===19&&At.parent.kind===210;if(Le.indentStyle===1||Se)return o(ve,ie,Le);if(Ee.kind===28&&Ee.parent.kind!==226){const at=m(Ee,ve,Le);if(at!==-1)return at}const je=Z(ie,Ee.parent,ve);if(je&&!cf(je,Ee)){const Gt=[218,219].includes(At.parent.kind)?0:Le.indentSize;return G(je,ve,Le)+Gt}return s(ve,ie,Ee,We,He,Le)}e.getIndentation=r;function i(ie,ve,Le,He){const Ee=bs(ie,ve).line-1,De=bs(ie,He.pos).line;if(N.assert(De>=0),Ee<=De)return Y(Gy(De,ie),ve,ie,Le);const Pe=Gy(Ee,ie),{column:We,character:At}=$(Pe,ve,ie,Le);return We===0?We:ie.text.charCodeAt(Pe+At)===42?We-1:We}function o(ie,ve,Le){let He=ve;for(;He>0;){const De=ie.text.charCodeAt(He);if(!wg(De))break;He--}const Ee=lm(He,ie);return Y(Ee,He,ie,Le)}function s(ie,ve,Le,He,Ee,De){let Pe,We=Le;for(;We;){if(rX(We,ve,ie)&&Ne(De,We,Pe,ie,!0)){const Se=R(We,ie),je=I(Le,We,He,ie),at=je!==0?Ee&&je===2?De.indentSize:0:He!==Se.line?De.indentSize:0;return p(We,Se,void 0,at,ie,!0,De)}const At=ne(We,ie,De,!0);if(At!==-1)return At;Pe=We,We=We.parent}return u(De)}function l(ie,ve,Le,He){const Ee=Le.getLineAndCharacterOfPosition(ie.getStart(Le));return p(ie,Ee,ve,0,Le,!1,He)}e.getIndentationForNode=l;function u(ie){return ie.baseIndentSize||0}e.getBaseIndentation=u;function p(ie,ve,Le,He,Ee,De,Pe){var We;let At=ie.parent;for(;At;){let Se=!0;if(Le){const vt=ie.getStart(Ee);Se=vt<Le.pos||vt>Le.end}const je=h(At,ie,Ee),at=je.line===ve.line||C(At,ie,ve.line,Ee);if(Se){const vt=(We=K(ie,Ee))==null?void 0:We[0],$e=!!vt&&R(vt,Ee).line>je.line;let It=ne(ie,Ee,Pe,$e);if(It!==-1||(It=b(ie,At,ve,at,Ee,Pe),It!==-1))return It+He}Ne(Pe,At,ie,Ee,De)&&!at&&(He+=Pe.indentSize);const Gt=M(At,ie,ve.line,Ee);ie=At,At=ie.parent,ve=Gt?Ee.getLineAndCharacterOfPosition(ie.getStart(Ee)):je}return He+u(Pe)}function h(ie,ve,Le){const He=K(ve,Le),Ee=He?He.pos:ie.getStart(Le);return Le.getLineAndCharacterOfPosition(Ee)}function m(ie,ve,Le){const He=Nue(ie);return He&&He.listItemIndex>0?he(He.list.getChildren(),He.listItemIndex-1,ve,Le):-1}function b(ie,ve,Le,He,Ee,De){return(Ju(ie)||TL(ie))&&(ve.kind===312||!He)?ye(Le,Ee,De):-1}let A;(ie=>{ie[ie.Unknown=0]="Unknown",ie[ie.OpenBrace=1]="OpenBrace",ie[ie.CloseBrace=2]="CloseBrace"})(A||(A={}));function I(ie,ve,Le,He){const Ee=b1(ie,ve,He);if(!Ee)return 0;if(Ee.kind===19)return 1;if(Ee.kind===20){const De=R(Ee,He).line;return Le===De?2:0}return 0}function R(ie,ve){return ve.getLineAndCharacterOfPosition(ie.getStart(ve))}function M(ie,ve,Le,He){if(!(la(ie)&&Nr(ie.arguments,ve)))return!1;const Ee=ie.expression.getEnd();return bs(He,Ee).line===Le}e.isArgumentAndStartLineOverlapsExpressionBeingCalled=M;function C(ie,ve,Le,He){if(ie.kind===245&&ie.elseStatement===ve){const Ee=vs(ie,93,He);return N.assert(Ee!==void 0),R(Ee,He).line===Le}return!1}e.childStartsOnTheSameLineWithElseInIfStatement=C;function O(ie,ve,Le,He){if(XR(ie)&&(ve===ie.whenTrue||ve===ie.whenFalse)){const Ee=bs(He,ie.condition.end).line;if(ve===ie.whenTrue)return Le===Ee;{const De=R(ie.whenTrue,He).line,Pe=bs(He,ie.whenTrue.end).line;return Ee===De&&Pe===Le}}return!1}e.childIsUnindentedBranchOfConditionalExpression=O;function U(ie,ve,Le,He){if(I_(ie)){if(!ie.arguments)return!1;const Ee=An(ie.arguments,At=>At.pos===ve.pos);if(!Ee)return!1;const De=ie.arguments.indexOf(Ee);if(De===0)return!1;const Pe=ie.arguments[De-1],We=bs(He,Pe.getEnd()).line;if(Le===We)return!0}return!1}e.argumentStartsOnSameLineAsPreviousArgument=U;function K(ie,ve){return ie.parent&&V(ie.getStart(ve),ie.getEnd(),ie.parent,ve)}e.getContainingList=K;function Z(ie,ve,Le){return ve&&V(ie,ie,ve,Le)}function V(ie,ve,Le,He){switch(Le.kind){case 183:return Ee(Le.typeArguments);case 210:return Ee(Le.properties);case 209:return Ee(Le.elements);case 187:return Ee(Le.members);case 262:case 218:case 219:case 174:case 173:case 179:case 176:case 185:case 180:return Ee(Le.typeParameters)||Ee(Le.parameters);case 177:return Ee(Le.parameters);case 263:case 231:case 264:case 265:case 352:return Ee(Le.typeParameters);case 214:case 213:return Ee(Le.typeArguments)||Ee(Le.arguments);case 261:return Ee(Le.declarations);case 275:case 279:return Ee(Le.elements);case 206:case 207:return Ee(Le.elements)}function Ee(De){return De&&cO(se(Le,De,He),ie,ve)?De:void 0}}function se(ie,ve,Le){const He=ie.getChildren(Le);for(let Ee=1;Ee<He.length-1;Ee++)if(He[Ee].pos===ve.pos&&He[Ee].end===ve.end)return{pos:He[Ee-1].end,end:He[Ee+1].getStart(Le)};return ve}function G(ie,ve,Le){return ie?ye(ve.getLineAndCharacterOfPosition(ie.pos),ve,Le):-1}function ne(ie,ve,Le,He){if(ie.parent&&ie.parent.kind===261)return-1;const Ee=K(ie,ve);if(Ee){const De=Ee.indexOf(ie);if(De!==-1){const Pe=he(Ee,De,ve,Le);if(Pe!==-1)return Pe}return G(Ee,ve,Le)+(He?Le.indentSize:0)}return-1}function he(ie,ve,Le,He){N.assert(ve>=0&&ve<ie.length);const Ee=ie[ve];let De=R(Ee,Le);for(let Pe=ve-1;Pe>=0;Pe--){if(ie[Pe].kind===28)continue;if(Le.getLineAndCharacterOfPosition(ie[Pe].end).line!==De.line)return ye(De,Le,He);De=R(ie[Pe],Le)}return-1}function ye(ie,ve,Le){const He=ve.getPositionOfLineAndCharacter(ie.line,0);return Y(He,He+ie.character,ve,Le)}function $(ie,ve,Le,He){let Ee=0,De=0;for(let Pe=ie;Pe<ve;Pe++){const We=Le.text.charCodeAt(Pe);if(!A_(We))break;We===9?De+=He.tabSize+De%He.tabSize:De++,Ee++}return{column:De,character:Ee}}e.findFirstNonWhitespaceCharacterAndColumn=$;function Y(ie,ve,Le,He){return $(ie,ve,Le,He).column}e.findFirstNonWhitespaceColumn=Y;function oe(ie,ve,Le,He,Ee){const De=Le?Le.kind:0;switch(ve.kind){case 244:case 263:case 231:case 264:case 266:case 265:case 209:case 241:case 268:case 210:case 187:case 200:case 189:case 217:case 211:case 213:case 214:case 243:case 277:case 253:case 227:case 207:case 206:case 286:case 289:case 285:case 294:case 173:case 179:case 180:case 169:case 184:case 185:case 196:case 215:case 223:case 279:case 275:case 281:case 276:case 172:case 296:case 297:return!0;case 269:return ie.indentSwitchCase??!0;case 260:case 303:case 226:if(!ie.indentMultiLineObjectLiteralBeginningOnBlankLine&&He&&De===210)return pe(He,Le);if(ve.kind===226&&He&&Le&&De===284){const Pe=He.getLineAndCharacterOfPosition(ka(He.text,ve.pos)).line,We=He.getLineAndCharacterOfPosition(ka(He.text,Le.pos)).line;return Pe!==We}if(ve.kind!==226)return!0;break;case 246:case 247:case 249:case 250:case 248:case 245:case 262:case 218:case 174:case 176:case 177:case 178:return De!==241;case 219:return He&&De===217?pe(He,Le):De!==241;case 278:return De!==279;case 272:return De!==273||!!Le.namedBindings&&Le.namedBindings.kind!==275;case 284:return De!==287;case 288:return De!==290;case 193:case 192:if(De===187||De===189)return!1;break}return Ee}e.nodeWillIndentChild=oe;function fe(ie,ve){switch(ie){case 253:case 257:case 251:case 252:return ve.kind!==241;default:return!1}}function Ne(ie,ve,Le,He,Ee=!1){return oe(ie,ve,Le,He,!1)&&!(Ee&&Le&&fe(Le.kind,ve))}e.shouldIndentChildNode=Ne;function pe(ie,ve){const Le=ka(ie.text,ve.pos),He=ie.getLineAndCharacterOfPosition(Le).line,Ee=ie.getLineAndCharacterOfPosition(ve.end).line;return He===Ee}})(j_||(j_={}))}}),Oc={};X(Oc,{FormattingContext:()=>Z_e,FormattingRequestKind:()=>Q_e,RuleAction:()=>the,RuleFlags:()=>nhe,SmartIndenter:()=>j_,anyContext:()=>Bz,createTextRangeWithKind:()=>aZ,formatDocument:()=>_st,formatNodeGivenIndentation:()=>Sst,formatOnClosingCurly:()=>mst,formatOnEnter:()=>dst,formatOnOpeningCurly:()=>fst,formatOnSemicolon:()=>pst,formatSelection:()=>hst,getAllRules:()=>$we,getFormatContext:()=>nst,getFormattingScanner:()=>ehe,getIndentationString:()=>_he,getRangeOfEnclosingComment:()=>SOe});var p8=T({"src/services/_namespaces/ts.formatting.ts"(){Sat(),Tat(),Aat(),tst(),ust(),Ist(),xst()}}),si=T({"src/services/_namespaces/ts.ts"(){ra(),tO(),Lqe(),rJe(),sJe(),YDe(),yJe(),bJe(),RJe(),WJe(),FJe(),BJe(),KJe(),XJe(),h$e(),g$e(),b$e(),B$e(),V$e(),Ra(),f_e(),x_e(),vit(),Tit(),Bit(),bNe(),GNe(),sot(),_ot(),Dh(),Tot(),$ot(),oat(),cat(),Eat(),p8()}});function Rst(){return IOe??(IOe=new ym(Re))}function TOe(e,t,r,i,o){let s=t?"DeprecationError: ":"DeprecationWarning: ";return s+=`'${e}' `,s+=i?`has been deprecated since v${i}`:"is deprecated",s+=t?" and can no longer be used.":r?` and will no longer be usable after v${r}.`:".",s+=o?` ${lg(o,[e])}`:"",s}function Dst(e,t,r,i){const o=TOe(e,!0,t,r,i);return()=>{throw new TypeError(o)}}function Nst(e,t,r,i){let o=!1;return()=>{AOe&&!o&&(N.log.warn(TOe(e,!1,t,r,i)),o=!0)}}function Cst(e,t={}){const r=typeof t.typeScriptVersion=="string"?new ym(t.typeScriptVersion):t.typeScriptVersion??Rst(),i=typeof t.errorAfter=="string"?new ym(t.errorAfter):t.errorAfter,o=typeof t.warnAfter=="string"?new ym(t.warnAfter):t.warnAfter,s=typeof t.since=="string"?new ym(t.since):t.since??o,l=t.error||i&&r.compareTo(i)>=0,u=!o||r.compareTo(o)>=0;return l?Dst(e,i,s,t.message):u?Nst(e,i,s,t.message):$a}function Pst(e,t){return function(){return e(),t.apply(this,arguments)}}function hhe(e,t){const r=Cst((t==null?void 0:t.name)??N.getFunctionName(e),t);return Pst(r,e)}var AOe,IOe,xOe=T({"src/deprecatedCompat/deprecate.ts"(){cZ(),AOe=!0}});function lZ(e,t,r,i){if(Object.defineProperty(s,"name",{...Object.getOwnPropertyDescriptor(s,"name"),value:e}),i)for(const l of Object.keys(i)){const u=+l;!isNaN(u)&&xs(t,`${u}`)&&(t[u]=hhe(t[u],{...i[u],name:e}))}const o=Mst(t,r);return s;function s(...l){const u=o(l),p=u!==void 0?t[u]:void 0;if(typeof p=="function")return p(...l);throw new TypeError("Invalid arguments")}}function Mst(e,t){return r=>{for(let i=0;xs(e,`${i}`)&&xs(t,`${i}`);i++){const o=t[i];if(o(r))return i}}}function ROe(e){return{overload:t=>({bind:r=>({finish:()=>lZ(e,t,r),deprecate:i=>({finish:()=>lZ(e,t,r,i)})})})}}var Lst=T({"src/deprecatedCompat/deprecations.ts"(){cZ(),xOe()}}),kst=T({"src/deprecatedCompat/5.0/identifierProperties.ts"(){cZ(),xOe(),koe(e=>{const t=e.getIdentifierConstructor();xs(t.prototype,"originalKeywordKind")||Object.defineProperty(t.prototype,"originalKeywordKind",{get:hhe(function(){return u0(this)},{name:"originalKeywordKind",since:"5.0",warnAfter:"5.1",errorAfter:"5.2",message:"Use 'identifierToKeywordKind(identifier)' instead."})}),xs(t.prototype,"isInJSDocNamespace")||Object.defineProperty(t.prototype,"isInJSDocNamespace",{get:hhe(function(){return this.flags&4096?!0:void 0},{name:"isInJSDocNamespace",since:"5.0",warnAfter:"5.1",errorAfter:"5.2",message:"Use '.parent' or the surrounding context to determine this instead."})})})}}),cZ=T({"src/deprecatedCompat/_namespaces/ts.ts"(){ra(),Lst(),kst()}}),wst=T({"src/typingsInstallerCore/_namespaces/ts.ts"(){ra(),tO(),vhe()}});function DOe(e,t,r,i){try{const o=uD(t,Qr(e,"index.d.ts"),{moduleResolution:2},r);return o.resolvedModule&&o.resolvedModule.resolvedFileName}catch(o){i.isEnabled()&&i.writeLine(`Failed to resolve ${t} in folder '${e}': ${o.message}`);return}}function Ost(e,t,r,i){let o=!1;for(let s=r.length;s>0;){const l=NOe(e,t,r,s);s=l.remaining,o=i(l.command)||o}return o}function NOe(e,t,r,i){const o=r.length-i;let s,l=i;for(;s=`${e} install --ignore-scripts ${(l===r.length?r:r.slice(o,o+l)).join(" ")} --save-dev --user-agent="typesInstaller/${t}"`,!(s.length<8e3);)l=l-Math.floor(l/2);return{command:s,remaining:i-l}}function COe(e){return`@types/${e}@ts${ue}`}var POe,MOe,Wst=T({"src/typingsInstallerCore/typingsInstaller.ts"(){wst(),vhe(),POe={isEnabled:()=>!1,writeLine:$a},MOe=class{constructor(e,t,r,i,o,s=POe){this.installTypingHost=e,this.globalCachePath=t,this.safeListPath=r,this.typesMapLocation=i,this.throttleLimit=o,this.log=s,this.packageNameToTypingLocation=new Map,this.missingTypingsSet=new Set,this.knownCachesSet=new Set,this.projectWatchers=new Map,this.pendingRunRequests=[],this.installRunCount=1,this.inFlightRequestCount=0,this.latestDistTag="latest",this.log.isEnabled()&&this.log.writeLine(`Global cache location '${t}', safe file path '${r}', types map path ${i}`),this.processCacheLocation(this.globalCachePath)}handleRequest(e){switch(e.kind){case"discover":this.install(e);break;case"closeProject":this.closeProject(e);break;case"typesRegistry":{const t={};this.typesRegistry.forEach((i,o)=>{t[o]=i});const r={kind:H7,typesRegistry:t};this.sendResponse(r);break}case"installPackage":{this.installPackage(e);break}default:N.assertNever(e)}}closeProject(e){this.closeWatchers(e.projectName)}closeWatchers(e){if(this.log.isEnabled()&&this.log.writeLine(`Closing file watchers for project '${e}'`),!this.projectWatchers.get(e)){this.log.isEnabled()&&this.log.writeLine(`No watchers are registered for project '${e}'`);return}this.projectWatchers.delete(e),this.sendResponse({kind:n2,projectName:e,files:[]}),this.log.isEnabled()&&this.log.writeLine(`Closing file watchers for project '${e}' - done.`)}install(e){this.log.isEnabled()&&this.log.writeLine(`Got install request${O0(e)}`),e.cachePath&&(this.log.isEnabled()&&this.log.writeLine(`Request specifies cache path '${e.cachePath}', loading cached information...`),this.processCacheLocation(e.cachePath)),this.safeList===void 0&&this.initializeSafeList();const t=G_.discoverTypings(this.installTypingHost,this.log.isEnabled()?r=>this.log.writeLine(r):void 0,e.fileNames,e.projectRootPath,this.safeList,this.packageNameToTypingLocation,e.typeAcquisition,e.unresolvedImports,this.typesRegistry,e.compilerOptions);this.watchFiles(e.projectName,t.filesToWatch),t.newTypingNames.length?this.installTypings(e,e.cachePath||this.globalCachePath,t.cachedTypingPaths,t.newTypingNames):(this.sendResponse(this.createSetTypings(e,t.cachedTypingPaths)),this.log.isEnabled()&&this.log.writeLine("No new typings were requested as a result of typings discovery"))}installPackage(e){const{fileName:t,packageName:r,projectName:i,projectRootPath:o,id:s}=e,l=Sm(ai(t),u=>{if(this.installTypingHost.fileExists(Qr(u,"package.json")))return u})||o;if(l)this.installWorker(-1,[r],l,u=>{const p=u?`Package ${r} installed.`:`There was an error installing ${r}.`,h={kind:eO,projectName:i,id:s,success:u,message:p};this.sendResponse(h)});else{const u={kind:eO,projectName:i,id:s,success:!1,message:"Could not determine a project root path."};this.sendResponse(u)}}initializeSafeList(){if(this.typesMapLocation){const e=G_.loadTypesMap(this.installTypingHost,this.typesMapLocation);if(e){this.log.writeLine(`Loaded safelist from types map file '${this.typesMapLocation}'`),this.safeList=e;return}this.log.writeLine(`Failed to load safelist from types map file '${this.typesMapLocation}'`)}this.safeList=G_.loadSafeList(this.installTypingHost,this.safeListPath)}processCacheLocation(e){if(this.log.isEnabled()&&this.log.writeLine(`Processing cache location '${e}'`),this.knownCachesSet.has(e)){this.log.isEnabled()&&this.log.writeLine("Cache location was already processed...");return}const t=Qr(e,"package.json"),r=Qr(e,"package-lock.json");if(this.log.isEnabled()&&this.log.writeLine(`Trying to find '${t}'...`),this.installTypingHost.fileExists(t)&&this.installTypingHost.fileExists(r)){const i=JSON.parse(this.installTypingHost.readFile(t)),o=JSON.parse(this.installTypingHost.readFile(r));if(this.log.isEnabled()&&(this.log.writeLine(`Loaded content of '${t}':${O0(i)}`),this.log.writeLine(`Loaded content of '${r}':${O0(o)}`)),i.devDependencies&&o.dependencies)for(const s in i.devDependencies){if(!xs(o.dependencies,s))continue;const l=ic(s);if(!l)continue;const u=DOe(e,l,this.installTypingHost,this.log);if(!u){this.missingTypingsSet.add(l);continue}const p=this.packageNameToTypingLocation.get(l);if(p){if(p.typingLocation===u)continue;this.log.isEnabled()&&this.log.writeLine(`New typing for package ${l} from '${u}' conflicts with existing typing file '${p}'`)}this.log.isEnabled()&&this.log.writeLine(`Adding entry into typings cache: '${l}' => '${u}'`);const h=k6(o.dependencies,s),m=h&&h.version;if(!m)continue;const b={typingLocation:u,version:new ym(m)};this.packageNameToTypingLocation.set(l,b)}}this.log.isEnabled()&&this.log.writeLine(`Finished processing cache location '${e}'`),this.knownCachesSet.add(e)}filterTypings(e){return Hi(e,t=>{const r=pD(t);if(this.missingTypingsSet.has(r)){this.log.isEnabled()&&this.log.writeLine(`'${t}':: '${r}' is in missingTypingsSet - skipping...`);return}const i=G_.validatePackageName(t);if(i!==G_.NameValidationResult.Ok){this.missingTypingsSet.add(r),this.log.isEnabled()&&this.log.writeLine(G_.renderPackageNameValidationFailure(i,t));return}if(!this.typesRegistry.has(r)){this.log.isEnabled()&&this.log.writeLine(`'${t}':: Entry for package '${r}' does not exist in local types registry - skipping...`);return}if(this.packageNameToTypingLocation.get(r)&&G_.isTypingUpToDate(this.packageNameToTypingLocation.get(r),this.typesRegistry.get(r))){this.log.isEnabled()&&this.log.writeLine(`'${t}':: '${r}' already has an up-to-date typing - skipping...`);return}return r})}ensurePackageDirectoryExists(e){const t=Qr(e,"package.json");this.log.isEnabled()&&this.log.writeLine(`Npm config file: ${t}`),this.installTypingHost.fileExists(t)||(this.log.isEnabled()&&this.log.writeLine(`Npm config file: '${t}' is missing, creating new one...`),this.ensureDirectoryExists(e,this.installTypingHost),this.installTypingHost.writeFile(t,'{ "private": true }'))}installTypings(e,t,r,i){this.log.isEnabled()&&this.log.writeLine(`Installing typings ${JSON.stringify(i)}`);const o=this.filterTypings(i);if(o.length===0){this.log.isEnabled()&&this.log.writeLine("All typings are known to be missing or invalid - no need to install more typings"),this.sendResponse(this.createSetTypings(e,r));return}this.ensurePackageDirectoryExists(t);const s=this.installRunCount;this.installRunCount++,this.sendResponse({kind:q7,eventId:s,typingsInstallerVersion:Re,projectName:e.projectName});const l=o.map(COe);this.installTypingsAsync(s,l,t,u=>{try{if(!u){this.log.isEnabled()&&this.log.writeLine(`install request failed, marking packages as missing to prevent repeated requests: ${JSON.stringify(o)}`);for(const h of o)this.missingTypingsSet.add(h);return}this.log.isEnabled()&&this.log.writeLine(`Installed typings ${JSON.stringify(l)}`);const p=[];for(const h of o){const m=DOe(t,h,this.installTypingHost,this.log);if(!m){this.missingTypingsSet.add(h);continue}const b=this.typesRegistry.get(h),A=new ym(b[`ts${ue}`]||b[this.latestDistTag]),I={typingLocation:m,version:A};this.packageNameToTypingLocation.set(h,I),p.push(m)}this.log.isEnabled()&&this.log.writeLine(`Installed typing files ${JSON.stringify(p)}`),this.sendResponse(this.createSetTypings(e,r.concat(p)))}finally{const p={kind:J7,eventId:s,projectName:e.projectName,packagesToInstall:l,installSuccess:u,typingsInstallerVersion:Re};this.sendResponse(p)}})}ensureDirectoryExists(e,t){const r=ai(e);t.directoryExists(r)||this.ensureDirectoryExists(r,t),t.directoryExists(e)||t.createDirectory(e)}watchFiles(e,t){if(!t.length){this.closeWatchers(e);return}const r=this.projectWatchers.get(e),i=new Set(t);!r||_h(i,o=>!r.has(o))||_h(r,o=>!i.has(o))?(this.projectWatchers.set(e,i),this.sendResponse({kind:n2,projectName:e,files:t})):this.sendResponse({kind:n2,projectName:e,files:void 0})}createSetTypings(e,t){return{projectName:e.projectName,typeAcquisition:e.typeAcquisition,compilerOptions:e.compilerOptions,typings:t,unresolvedImports:e.unresolvedImports,kind:Qw}}installTypingsAsync(e,t,r,i){this.pendingRunRequests.unshift({requestId:e,packageNames:t,cwd:r,onRequestCompleted:i}),this.executeWithThrottling()}executeWithThrottling(){for(;this.inFlightRequestCount<this.throttleLimit&&this.pendingRunRequests.length;){this.inFlightRequestCount++;const e=this.pendingRunRequests.pop();this.installWorker(e.requestId,e.packageNames,e.cwd,t=>{this.inFlightRequestCount--,e.onRequestCompleted(t),this.executeWithThrottling()})}}}}}),ghe={};X(ghe,{TypingsInstaller:()=>MOe,getNpmCommandForInstallation:()=>NOe,installNpmPackages:()=>Ost,typingsName:()=>COe});var Fst=T({"src/typingsInstallerCore/_namespaces/ts.server.typingsInstaller.ts"(){Wst()}}),vhe=T({"src/typingsInstallerCore/_namespaces/ts.server.ts"(){K7(),Fst()}}),zst=T({"src/server/types.ts"(){}});function yhe(e,t,r,i){return{projectName:e.getProjectName(),fileNames:e.getFileNames(!0,!0).concat(e.getExcludedFiles()),compilerOptions:e.getCompilationSettings(),typeAcquisition:t,unresolvedImports:r,projectRootPath:e.getCurrentDirectory(),cachePath:i,kind:"discover"}}function ml(e){return ga(e)}function f8(e,t,r){const i=fp(e)?e:go(e,t);return r(i)}function LOe(e){return e}function kOe(){const e=new Map;return{get(t){return e.get(t)},set(t,r){e.set(t,r)},contains(t){return e.has(t)},remove(t){e.delete(t)}}}function bhe(e){return/dev\/null\/inferredProject\d+\*/.test(e)}function Ehe(e){return`/dev/null/inferredProject${e}*`}function She(e){return`/dev/null/autoImportProviderProject${e}*`}function The(e){return`/dev/null/auxiliaryProject${e}*`}function Ahe(){return[]}var uZ,gc,dZ,oy,Bst=T({"src/server/utilitiesPublic.ts"(){db(),uZ=(e=>(e[e.terse=0]="terse",e[e.normal=1]="normal",e[e.requestTime=2]="requestTime",e[e.verbose=3]="verbose",e))(uZ||{}),gc=Ahe(),dZ=(e=>(e.Err="Err",e.Info="Info",e.Perf="Perf",e))(dZ||{}),(e=>{function t(){throw new Error("No Project.")}e.ThrowNoProject=t;function r(){throw new Error("The project's language service is disabled.")}e.ThrowProjectLanguageServiceDisabled=r;function i(o,s){throw new Error(`Project '${s.getProjectName()}' does not contain document '${o}'`)}e.ThrowProjectDoesNotContainDocument=i})(oy||(oy={}))}});function pZ(e){const t=ic(e);return t==="tsconfig.json"||t==="jsconfig.json"?t:void 0}function wOe(e,t,r){if(!e||e.length===0)return;if(e[0]===t){e.splice(0,1);return}const i=Nv(e,t,nl,r);i>=0&&e.splice(i,1)}var fZ,mZ,Gst=T({"src/server/utilities.ts"(){db(),_T(),fZ=class D7e{constructor(t,r){this.host=t,this.pendingTimeouts=new Map,this.logger=r.hasLevel(3)?r:void 0}schedule(t,r,i){const o=this.pendingTimeouts.get(t);o&&this.host.clearTimeout(o),this.pendingTimeouts.set(t,this.host.setTimeout(D7e.run,r,t,this,i)),this.logger&&this.logger.info(`Scheduled: ${t}${o?", Cancelled earlier one":""}`)}cancel(t){const r=this.pendingTimeouts.get(t);return r?(this.host.clearTimeout(r),this.pendingTimeouts.delete(t)):!1}static run(t,r,i){var o,s;(o=ad)==null||o.logStartScheduledOperation(t),r.pendingTimeouts.delete(t),r.logger&&r.logger.info(`Running: ${t}`),i(),(s=ad)==null||s.logStopScheduledOperation()}},mZ=class N7e{constructor(t,r,i){this.host=t,this.delay=r,this.logger=i}scheduleCollect(){!this.host.gc||this.timerId!==void 0||(this.timerId=this.host.setTimeout(N7e.run,this.delay,this))}static run(t){var r,i;t.timerId=void 0,(r=ad)==null||r.logStartScheduledOperation("GC collect");const o=t.logger.hasLevel(2),s=o&&t.host.getMemoryUsage();if(t.host.gc(),o){const l=t.host.getMemoryUsage();t.logger.perftrc(`GC::before ${s}, after ${l}`)}(i=ad)==null||i.logStopScheduledOperation()}}}}),_Z,Ihe,xhe,Rhe,Dhe,Nhe,Che,Phe,Mhe,Lhe,khe,whe,Ohe,Whe,Fhe=T({"src/server/protocol.ts"(){_Z=(e=>(e.JsxClosingTag="jsxClosingTag",e.LinkedEditingRange="linkedEditingRange",e.Brace="brace",e.BraceFull="brace-full",e.BraceCompletion="braceCompletion",e.GetSpanOfEnclosingComment="getSpanOfEnclosingComment",e.Change="change",e.Close="close",e.Completions="completions",e.CompletionInfo="completionInfo",e.CompletionsFull="completions-full",e.CompletionDetails="completionEntryDetails",e.CompletionDetailsFull="completionEntryDetails-full",e.CompileOnSaveAffectedFileList="compileOnSaveAffectedFileList",e.CompileOnSaveEmitFile="compileOnSaveEmitFile",e.Configure="configure",e.Definition="definition",e.DefinitionFull="definition-full",e.DefinitionAndBoundSpan="definitionAndBoundSpan",e.DefinitionAndBoundSpanFull="definitionAndBoundSpan-full",e.Implementation="implementation",e.ImplementationFull="implementation-full",e.EmitOutput="emit-output",e.Exit="exit",e.FileReferences="fileReferences",e.FileReferencesFull="fileReferences-full",e.Format="format",e.Formatonkey="formatonkey",e.FormatFull="format-full",e.FormatonkeyFull="formatonkey-full",e.FormatRangeFull="formatRange-full",e.Geterr="geterr",e.GeterrForProject="geterrForProject",e.SemanticDiagnosticsSync="semanticDiagnosticsSync",e.SyntacticDiagnosticsSync="syntacticDiagnosticsSync",e.SuggestionDiagnosticsSync="suggestionDiagnosticsSync",e.NavBar="navbar",e.NavBarFull="navbar-full",e.Navto="navto",e.NavtoFull="navto-full",e.NavTree="navtree",e.NavTreeFull="navtree-full",e.DocumentHighlights="documentHighlights",e.DocumentHighlightsFull="documentHighlights-full",e.Open="open",e.Quickinfo="quickinfo",e.QuickinfoFull="quickinfo-full",e.References="references",e.ReferencesFull="references-full",e.Reload="reload",e.Rename="rename",e.RenameInfoFull="rename-full",e.RenameLocationsFull="renameLocations-full",e.Saveto="saveto",e.SignatureHelp="signatureHelp",e.SignatureHelpFull="signatureHelp-full",e.FindSourceDefinition="findSourceDefinition",e.Status="status",e.TypeDefinition="typeDefinition",e.ProjectInfo="projectInfo",e.ReloadProjects="reloadProjects",e.Unknown="unknown",e.OpenExternalProject="openExternalProject",e.OpenExternalProjects="openExternalProjects",e.CloseExternalProject="closeExternalProject",e.SynchronizeProjectList="synchronizeProjectList",e.ApplyChangedToOpenFiles="applyChangedToOpenFiles",e.UpdateOpen="updateOpen",e.EncodedSyntacticClassificationsFull="encodedSyntacticClassifications-full",e.EncodedSemanticClassificationsFull="encodedSemanticClassifications-full",e.Cleanup="cleanup",e.GetOutliningSpans="getOutliningSpans",e.GetOutliningSpansFull="outliningSpans",e.TodoComments="todoComments",e.Indentation="indentation",e.DocCommentTemplate="docCommentTemplate",e.CompilerOptionsDiagnosticsFull="compilerOptionsDiagnostics-full",e.NameOrDottedNameSpan="nameOrDottedNameSpan",e.BreakpointStatement="breakpointStatement",e.CompilerOptionsForInferredProjects="compilerOptionsForInferredProjects",e.GetCodeFixes="getCodeFixes",e.GetCodeFixesFull="getCodeFixes-full",e.GetCombinedCodeFix="getCombinedCodeFix",e.GetCombinedCodeFixFull="getCombinedCodeFix-full",e.ApplyCodeActionCommand="applyCodeActionCommand",e.GetSupportedCodeFixes="getSupportedCodeFixes",e.GetApplicableRefactors="getApplicableRefactors",e.GetEditsForRefactor="getEditsForRefactor",e.GetMoveToRefactoringFileSuggestions="getMoveToRefactoringFileSuggestions",e.GetEditsForRefactorFull="getEditsForRefactor-full",e.OrganizeImports="organizeImports",e.OrganizeImportsFull="organizeImports-full",e.GetEditsForFileRename="getEditsForFileRename",e.GetEditsForFileRenameFull="getEditsForFileRename-full",e.ConfigurePlugin="configurePlugin",e.SelectionRange="selectionRange",e.SelectionRangeFull="selectionRange-full",e.ToggleLineComment="toggleLineComment",e.ToggleLineCommentFull="toggleLineComment-full",e.ToggleMultilineComment="toggleMultilineComment",e.ToggleMultilineCommentFull="toggleMultilineComment-full",e.CommentSelection="commentSelection",e.CommentSelectionFull="commentSelection-full",e.UncommentSelection="uncommentSelection",e.UncommentSelectionFull="uncommentSelection-full",e.PrepareCallHierarchy="prepareCallHierarchy",e.ProvideCallHierarchyIncomingCalls="provideCallHierarchyIncomingCalls",e.ProvideCallHierarchyOutgoingCalls="provideCallHierarchyOutgoingCalls",e.ProvideInlayHints="provideInlayHints",e.WatchChange="watchChange",e))(_Z||{}),Ihe=(e=>(e.All="All",e.SortAndCombine="SortAndCombine",e.RemoveUnused="RemoveUnused",e))(Ihe||{}),xhe=(e=>(e.FixedPollingInterval="FixedPollingInterval",e.PriorityPollingInterval="PriorityPollingInterval",e.DynamicPriorityPolling="DynamicPriorityPolling",e.FixedChunkSizePolling="FixedChunkSizePolling",e.UseFsEvents="UseFsEvents",e.UseFsEventsOnParentDirectory="UseFsEventsOnParentDirectory",e))(xhe||{}),Rhe=(e=>(e.UseFsEvents="UseFsEvents",e.FixedPollingInterval="FixedPollingInterval",e.DynamicPriorityPolling="DynamicPriorityPolling",e.FixedChunkSizePolling="FixedChunkSizePolling",e))(Rhe||{}),Dhe=(e=>(e.FixedInterval="FixedInterval",e.PriorityInterval="PriorityInterval",e.DynamicPriority="DynamicPriority",e.FixedChunkSize="FixedChunkSize",e))(Dhe||{}),Nhe=(e=>(e[e.Invoked=1]="Invoked",e[e.TriggerCharacter=2]="TriggerCharacter",e[e.TriggerForIncompleteCompletions=3]="TriggerForIncompleteCompletions",e))(Nhe||{}),Che=(e=>(e.None="None",e.Block="Block",e.Smart="Smart",e))(Che||{}),Phe=(e=>(e.Ignore="ignore",e.Insert="insert",e.Remove="remove",e))(Phe||{}),Mhe=(e=>(e.None="None",e.Preserve="Preserve",e.ReactNative="ReactNative",e.React="React",e))(Mhe||{}),Lhe=(e=>(e.None="None",e.CommonJS="CommonJS",e.AMD="AMD",e.UMD="UMD",e.System="System",e.ES6="ES6",e.ES2015="ES2015",e.ESNext="ESNext",e.Node16="Node16",e.NodeNext="NodeNext",e.Preserve="Preserve",e))(Lhe||{}),khe=(e=>(e.Classic="Classic",e.Node="Node",e.Node10="Node10",e.Node16="Node16",e.NodeNext="NodeNext",e.Bundler="Bundler",e))(khe||{}),whe=(e=>(e.Crlf="Crlf",e.Lf="Lf",e))(whe||{}),Ohe=(e=>(e.ES3="ES3",e.ES5="ES5",e.ES6="ES6",e.ES2015="ES2015",e.ES2016="ES2016",e.ES2017="ES2017",e.ES2018="ES2018",e.ES2019="ES2019",e.ES2020="ES2020",e.ES2021="ES2021",e.ES2022="ES2022",e.ESNext="ESNext",e))(Ohe||{}),Whe=(e=>(e[e.comment=1]="comment",e[e.identifier=2]="identifier",e[e.keyword=3]="keyword",e[e.numericLiteral=4]="numericLiteral",e[e.operator=5]="operator",e[e.stringLiteral=6]="stringLiteral",e[e.regularExpressionLiteral=7]="regularExpressionLiteral",e[e.whiteSpace=8]="whiteSpace",e[e.text=9]="text",e[e.punctuation=10]="punctuation",e[e.className=11]="className",e[e.enumName=12]="enumName",e[e.interfaceName=13]="interfaceName",e[e.moduleName=14]="moduleName",e[e.typeParameterName=15]="typeParameterName",e[e.typeAliasName=16]="typeAliasName",e[e.parameterName=17]="parameterName",e[e.docCommentTagName=18]="docCommentTagName",e[e.jsxOpenTagName=19]="jsxOpenTagName",e[e.jsxCloseTagName=20]="jsxCloseTagName",e[e.jsxSelfClosingTagName=21]="jsxSelfClosingTagName",e[e.jsxAttribute=22]="jsxAttribute",e[e.jsxText=23]="jsxText",e[e.jsxAttributeStringLiteralValue=24]="jsxAttributeStringLiteralValue",e[e.bigintLiteral=25]="bigintLiteral",e))(Whe||{})}}),zhe={};X(zhe,{ClassificationType:()=>Whe,CommandTypes:()=>_Z,CompletionTriggerKind:()=>Nhe,IndentStyle:()=>Che,JsxEmit:()=>Mhe,ModuleKind:()=>Lhe,ModuleResolutionKind:()=>khe,NewLineKind:()=>whe,OrganizeImportsMode:()=>Ihe,PollingWatchKind:()=>Dhe,ScriptTarget:()=>Ohe,SemicolonPreference:()=>Phe,WatchDirectoryKind:()=>Rhe,WatchFileKind:()=>xhe});var Vst=T({"src/server/_namespaces/ts.server.protocol.ts"(){Fhe()}});function m8(e){return e[0]==="^"||(e.includes("walkThroughSnippet:/")||e.includes("untitled:/"))&&ic(e)[0]==="^"||e.includes(":^")&&!e.includes(al)}function OOe(e){return!e||h8(e)?oy.ThrowNoProject():e}function jst(e){N.assert(typeof e=="number",`Expected position ${e} to be a number.`),N.assert(e>=0,"Expected position to be non-negative.")}function Ust(e){N.assert(typeof e.line=="number",`Expected line ${e.line} to be a number.`),N.assert(typeof e.offset=="number",`Expected offset ${e.offset} to be a number.`),N.assert(e.line>0,`Expected line to be non-${e.line===0?"zero":"negative"}`),N.assert(e.offset>0,`Expected offset to be non-${e.offset===0?"zero":"negative"}`)}var hZ,gZ,Hst=T({"src/server/scriptInfo.ts"(){db(),_T(),hZ=class{constructor(e,t,r){this.host=e,this.info=t,this.isOpen=!1,this.ownFileText=!1,this.pendingReloadFromDisk=!1,this.version=r||0}getVersion(){return this.svc?`SVC-${this.version}-${this.svc.getSnapshotVersion()}`:`Text-${this.version}`}hasScriptVersionCache_TestOnly(){return this.svc!==void 0}resetSourceMapInfo(){this.info.sourceFileLike=void 0,this.info.closeSourceMapFileWatcher(),this.info.sourceMapFilePath=void 0,this.info.declarationInfoPath=void 0,this.info.sourceInfos=void 0,this.info.documentPositionMapper=void 0}useText(e){this.svc=void 0,this.text=e,this.textSnapshot=void 0,this.lineMap=void 0,this.fileSize=void 0,this.resetSourceMapInfo(),this.version++}edit(e,t,r){this.switchToScriptVersionCache().edit(e,t-e,r),this.ownFileText=!1,this.text=void 0,this.textSnapshot=void 0,this.lineMap=void 0,this.fileSize=void 0,this.resetSourceMapInfo()}reload(e){return N.assert(e!==void 0),this.pendingReloadFromDisk=!1,!this.text&&this.svc&&(this.text=RD(this.svc.getSnapshot())),this.text!==e?(this.useText(e),this.ownFileText=!1,!0):!1}reloadWithFileText(e){const{text:t,fileSize:r}=e||!this.info.isDynamicOrHasMixedContent()?this.getFileTextAndSize(e):{text:"",fileSize:void 0},i=this.reload(t);return this.fileSize=r,this.ownFileText=!e||e===this.info.fileName,i}scheduleReloadIfNeeded(){return!this.pendingReloadFromDisk&&!this.ownFileText?this.pendingReloadFromDisk=!0:!1}delayReloadFromFileIntoText(){this.pendingReloadFromDisk=!0}getTelemetryFileSize(){return this.fileSize?this.fileSize:this.text?this.text.length:this.svc?this.svc.getSnapshot().getLength():this.getSnapshot().getLength()}getSnapshot(){var e;return((e=this.tryUseScriptVersionCache())==null?void 0:e.getSnapshot())||(this.textSnapshot??(this.textSnapshot=Y7.fromString(N.checkDefined(this.text))))}getAbsolutePositionAndLineText(e){const t=this.tryUseScriptVersionCache();if(t)return t.getAbsolutePositionAndLineText(e);const r=this.getLineMap();return e<=r.length?{absolutePosition:r[e-1],lineText:this.text.substring(r[e-1],r[e])}:{absolutePosition:this.text.length,lineText:void 0}}lineToTextSpan(e){const t=this.tryUseScriptVersionCache();if(t)return t.lineToTextSpan(e);const r=this.getLineMap(),i=r[e],o=e+1<r.length?r[e+1]:this.text.length;return pc(i,o)}lineOffsetToPosition(e,t,r){const i=this.tryUseScriptVersionCache();return i?i.lineOffsetToPosition(e,t):m4(this.getLineMap(),e-1,t-1,this.text,r)}positionToLineOffset(e){const t=this.tryUseScriptVersionCache();if(t)return t.positionToLineOffset(e);const{line:r,character:i}=Kx(this.getLineMap(),e);return{line:r+1,offset:i+1}}getFileTextAndSize(e){let t;const r=e||this.info.fileName,i=()=>t===void 0?t=this.host.readFile(r)||"":t;if(!XA(this.info.fileName)){const o=this.host.getFileSize?this.host.getFileSize(r):i().length;if(o>Yz)return N.assert(!!this.info.containingProjects.length),this.info.containingProjects[0].projectService.logger.info(`Skipped loading contents of large file ${r} for info ${this.info.fileName}: fileSize: ${o}`),this.info.containingProjects[0].projectService.sendLargeFileReferencedEvent(r,o),{text:"",fileSize:o}}return{text:i()}}switchToScriptVersionCache(){return(!this.svc||this.pendingReloadFromDisk)&&(this.svc=c9.fromString(this.getOrLoadText()),this.textSnapshot=void 0,this.version++),this.svc}tryUseScriptVersionCache(){return(!this.svc||this.pendingReloadFromDisk)&&this.getOrLoadText(),this.isOpen?(!this.svc&&!this.textSnapshot&&(this.svc=c9.fromString(N.checkDefined(this.text)),this.textSnapshot=void 0),this.svc):this.svc}getOrLoadText(){return(this.text===void 0||this.pendingReloadFromDisk)&&(N.assert(!this.svc||this.pendingReloadFromDisk,"ScriptVersionCache should not be set when reloading from disk"),this.reloadWithFileText()),this.text}getLineMap(){return N.assert(!this.svc,"ScriptVersionCache should not be set"),this.lineMap||(this.lineMap=DA(N.checkDefined(this.text)))}getLineInfo(){const e=this.tryUseScriptVersionCache();if(e)return{getLineCount:()=>e.getLineCount(),getLineText:r=>e.getAbsolutePositionAndLineText(r+1).lineText};const t=this.getLineMap();return eJ(this.text,t)}},gZ=class{constructor(e,t,r,i,o,s){this.host=e,this.fileName=t,this.scriptKind=r,this.hasMixedContent=i,this.path=o,this.containingProjects=[],this.isDynamic=m8(t),this.textStorage=new hZ(e,this,s),(i||this.isDynamic)&&(this.realpath=this.path),this.scriptKind=r||Z3(t)}isDynamicOrHasMixedContent(){return this.hasMixedContent||this.isDynamic}isScriptOpen(){return this.textStorage.isOpen}open(e){this.textStorage.isOpen=!0,e!==void 0&&this.textStorage.reload(e)&&this.markContainingProjectsAsDirty()}close(e=!0){this.textStorage.isOpen=!1,e&&this.textStorage.scheduleReloadIfNeeded()&&this.markContainingProjectsAsDirty()}getSnapshot(){return this.textStorage.getSnapshot()}ensureRealPath(){if(this.realpath===void 0&&(this.realpath=this.path,this.host.realpath)){N.assert(!!this.containingProjects.length);const e=this.containingProjects[0],t=this.host.realpath(this.path);t&&(this.realpath=e.toPath(t),this.realpath!==this.path&&e.projectService.realpathToScriptInfos.add(this.realpath,this))}}getRealpathIfDifferent(){return this.realpath&&this.realpath!==this.path?this.realpath:void 0}isSymlink(){return this.realpath&&this.realpath!==this.path}getFormatCodeSettings(){return this.formatSettings}getPreferences(){return this.preferences}attachToProject(e){const t=!this.isAttached(e);return t&&(this.containingProjects.push(e),e.getCompilerOptions().preserveSymlinks||this.ensureRealPath(),e.onFileAddedOrRemoved(this.isSymlink())),t}isAttached(e){switch(this.containingProjects.length){case 0:return!1;case 1:return this.containingProjects[0]===e;case 2:return this.containingProjects[0]===e||this.containingProjects[1]===e;default:return Nr(this.containingProjects,e)}}detachFromProject(e){switch(this.containingProjects.length){case 0:return;case 1:this.containingProjects[0]===e&&(e.onFileAddedOrRemoved(this.isSymlink()),this.containingProjects.pop());break;case 2:this.containingProjects[0]===e?(e.onFileAddedOrRemoved(this.isSymlink()),this.containingProjects[0]=this.containingProjects.pop()):this.containingProjects[1]===e&&(e.onFileAddedOrRemoved(this.isSymlink()),this.containingProjects.pop());break;default:Gx(this.containingProjects,e)&&e.onFileAddedOrRemoved(this.isSymlink());break}}detachAllProjects(){for(const e of this.containingProjects){V0(e)&&e.getCachedDirectoryStructureHost().addOrDeleteFile(this.fileName,this.path,2);const t=e.getRootFilesMap().get(this.path);e.removeFile(this,!1,!1),e.onFileAddedOrRemoved(this.isSymlink()),t&&!XD(e)&&e.addMissingFileRoot(t.fileName)}Vo(this.containingProjects)}getDefaultProject(){switch(this.containingProjects.length){case 0:return oy.ThrowNoProject();case 1:return OOe(this.containingProjects[0]);default:let e,t,r,i,o;for(let s=0;s<this.containingProjects.length;s++){const l=this.containingProjects[s];if(V0(l)){if(!l.isSourceOfProjectReferenceRedirect(this.fileName)){if(o===void 0&&s!==this.containingProjects.length-1&&(o=l.projectService.findDefaultConfiguredProject(this)||!1),o===l)return l;i||(i=l)}t||(t=l)}else!e&&yZ(l)?e=l:!r&&XD(l)&&(r=l)}return OOe(o||i||t||e||r)}}registerFileUpdate(){for(const e of this.containingProjects)e.registerFileUpdate(this.path)}setOptions(e,t){e&&(this.formatSettings?this.formatSettings={...this.formatSettings,...e}:(this.formatSettings=X7(this.host.newLine),Fx(this.formatSettings,e))),t&&(this.preferences||(this.preferences=kf),this.preferences={...this.preferences,...t})}getLatestVersion(){return this.textStorage.getSnapshot(),this.textStorage.getVersion()}saveTo(e){this.host.writeFile(e,RD(this.textStorage.getSnapshot()))}delayReloadNonMixedContentFile(){N.assert(!this.isDynamicOrHasMixedContent()),this.textStorage.delayReloadFromFileIntoText(),this.markContainingProjectsAsDirty()}reloadFromFile(e){return this.textStorage.reloadWithFileText(e)?(this.markContainingProjectsAsDirty(),!0):!1}editContent(e,t,r){this.textStorage.edit(e,t,r),this.markContainingProjectsAsDirty()}markContainingProjectsAsDirty(){for(const e of this.containingProjects)e.markFileAsDirty(this.path)}isOrphan(){return!Ue(this.containingProjects,e=>!e.isOrphan())}isContainedByBackgroundProject(){return bt(this.containingProjects,h8)}lineToTextSpan(e){return this.textStorage.lineToTextSpan(e)}lineOffsetToPosition(e,t,r){return this.textStorage.lineOffsetToPosition(e,t,r)}positionToLineOffset(e){jst(e);const t=this.textStorage.positionToLineOffset(e);return Ust(t),t}isJavaScript(){return this.scriptKind===1||this.scriptKind===2}closeSourceMapFileWatcher(){this.sourceMapFilePath&&!Po(this.sourceMapFilePath)&&(Mf(this.sourceMapFilePath),this.sourceMapFilePath=void 0)}}}});function WOe(e,t){if(e===t||(e||gc).length===0&&(t||gc).length===0)return!0;const r=new Map;let i=0;for(const o of e)r.get(o)!==!0&&(r.set(o,!0),i++);for(const o of t){const s=r.get(o);if(s===void 0)return!1;s===!0&&(r.set(o,!1),i--)}return i===0}function qst(e,t){return e.enable!==t.enable||!WOe(e.include,t.include)||!WOe(e.exclude,t.exclude)}function Jst(e,t){return Xy(e)!==Xy(t)}function Kst(e,t){return e===t?!1:!Km(e,t)}var qz,vZ,Xst=T({"src/server/typingsCache.ts"(){db(),_T(),qz={isKnownTypesPackageName:Xm,installPackage:Ko,enqueueInstallTypingsRequest:$a,attach:$a,onProjectClosed:$a,globalTypingsCacheLocation:void 0},vZ=class{constructor(e){this.installer=e,this.perProjectCache=new Map}isKnownTypesPackageName(e){return this.installer.isKnownTypesPackageName(e)}installPackage(e){return this.installer.installPackage(e)}enqueueInstallTypingsForProject(e,t,r){const i=e.getTypeAcquisition();if(!i||!i.enable)return;const o=this.perProjectCache.get(e.getProjectName());(r||!o||qst(i,o.typeAcquisition)||Jst(e.getCompilationSettings(),o.compilerOptions)||Kst(t,o.unresolvedImports))&&(this.perProjectCache.set(e.getProjectName(),{compilerOptions:e.getCompilationSettings(),typeAcquisition:i,typings:o?o.typings:gc,unresolvedImports:t,poisoned:!0}),this.installer.enqueueInstallTypingsRequest(e,i,t))}updateTypingsForProject(e,t,r,i,o){const s=uS(o);return this.perProjectCache.set(e,{compilerOptions:t,typeAcquisition:r,typings:s,unresolvedImports:i,poisoned:!1}),!r||!r.enable?gc:s}onProjectClosed(e){this.perProjectCache.delete(e.getProjectName())&&this.installer.onProjectClosed(e)}}}});function _8(e,t=!1){const r={js:0,jsSize:0,jsx:0,jsxSize:0,ts:0,tsSize:0,tsx:0,tsxSize:0,dts:0,dtsSize:0,deferred:0,deferredSize:0};for(const i of e){const o=t?i.textStorage.getTelemetryFileSize():0;switch(i.scriptKind){case 1:r.js+=1,r.jsSize+=o;break;case 2:r.jsx+=1,r.jsxSize+=o;break;case 3:Su(i.fileName)?(r.dts+=1,r.dtsSize+=o):(r.ts+=1,r.tsSize+=o);break;case 4:r.tsx+=1,r.tsxSize+=o;break;case 7:r.deferred+=1,r.deferredSize+=o;break}}return r}function Yst(e){const t=_8(e.getScriptInfos());return t.js>0&&t.ts===0&&t.tsx===0}function Bhe(e){const t=_8(e.getRootScriptInfos());return t.ts===0&&t.tsx===0}function Ghe(e){const t=_8(e.getScriptInfos());return t.ts===0&&t.tsx===0}function Vhe(e){return!e.some(t=>Il(t,".ts")&&!Su(t)||Il(t,".tsx"))}function jhe(e){return e.generatedFilePath!==void 0}function $st(e,t){var r,i;const o=e.getSourceFiles();(r=sr)==null||r.push(sr.Phase.Session,"getUnresolvedImports",{count:o.length});const s=e.getTypeChecker().getAmbientModules().map(u=>tm(u.getName())),l=KN(Pi(o,u=>Qst(e,u,s,t)));return(i=sr)==null||i.pop(),l}function Qst(e,t,r,i){return n0(i,t.path,()=>{let o;return e.forEachResolvedModule(({resolvedModule:s},l)=>{(!s||!$C(s.extension))&&!Yc(l)&&!r.some(u=>u===l)&&(o=xn(o,Nw(l).packageName))},t),o||gc})}function XD(e){return e.projectKind===0}function V0(e){return e.projectKind===1}function yZ(e){return e.projectKind===2}function h8(e){return e.projectKind===3||e.projectKind===4}var D2,mT,bZ,EZ,SZ,TZ,AZ,Jz,Zst=T({"src/server/project.ts"(){db(),db(),_T(),D2=(e=>(e[e.Inferred=0]="Inferred",e[e.Configured=1]="Configured",e[e.External=2]="External",e[e.AutoImportProvider=3]="AutoImportProvider",e[e.Auxiliary=4]="Auxiliary",e))(D2||{}),mT=class C7e{constructor(t,r,i,o,s,l,u,p,h,m,b){switch(this.projectKind=r,this.projectService=i,this.documentRegistry=o,this.compilerOptions=u,this.compileOnSaveEnabled=p,this.watchOptions=h,this.rootFiles=[],this.rootFilesMap=new Map,this.plugins=[],this.cachedUnresolvedImportsPerFile=new Map,this.hasAddedorRemovedFiles=!1,this.hasAddedOrRemovedSymlinks=!1,this.lastReportedVersion=0,this.projectProgramVersion=0,this.projectStateVersion=0,this.isInitialLoadPending=Xm,this.dirty=!1,this.typingFiles=gc,this.moduleSpecifierCache=tge(this),this.createHash=oa(this.projectService.host,this.projectService.host.createHash),this.globalCacheResolutionModuleName=G_.nonRelativeModuleNameForTypingCache,this.updateFromProjectInProgress=!1,this.projectName=t,this.directoryStructureHost=m,this.currentDirectory=this.projectService.getNormalizedAbsolutePath(b),this.getCanonicalFileName=this.projectService.toCanonicalFileName,this.jsDocParsingMode=this.projectService.jsDocParsingMode,this.cancellationToken=new QY(this.projectService.cancellationToken,this.projectService.throttleWaitMilliseconds),this.compilerOptions?(s||Xy(this.compilerOptions)||this.projectService.hasDeferredExtension())&&(this.compilerOptions.allowNonTsExtensions=!0):(this.compilerOptions=dz(),this.compilerOptions.allowNonTsExtensions=!0,this.compilerOptions.allowJs=!0),i.serverMode){case 0:this.languageServiceEnabled=!0;break;case 1:this.languageServiceEnabled=!0,this.compilerOptions.noResolve=!0,this.compilerOptions.types=[];break;case 2:this.languageServiceEnabled=!1,this.compilerOptions.noResolve=!0,this.compilerOptions.types=[];break;default:N.assertNever(i.serverMode)}this.setInternalCompilerOptionsForEmittingJsFiles();const A=this.projectService.host;this.projectService.logger.loggingEnabled()?this.trace=I=>this.writeLog(I):A.trace&&(this.trace=I=>A.trace(I)),this.realpath=oa(A,A.realpath),this.resolutionCache=sK(this,this.currentDirectory,!0),this.languageService=kpe(this,this.documentRegistry,this.projectService.serverMode),l&&this.disableLanguageService(l),this.markAsDirty(),h8(this)||(this.projectService.pendingEnsureProjectForOpenFiles=!0),this.projectService.onProjectCreation(this)}getResolvedProjectReferenceToRedirect(t){}isNonTsProject(){return jp(this),Ghe(this)}isJsOnlyProject(){return jp(this),Yst(this)}static resolveModule(t,r,i,o){return C7e.importServicePluginSync({name:t},[r],i,o).resolvedModule}static importServicePluginSync(t,r,i,o){N.assertIsDefined(i.require);let s,l;for(const u of r){const p=Lu(i.resolvePath(Qr(u,"node_modules")));o(`Loading ${t.name} from ${u} (resolved to ${p})`);const h=i.require(p,t.name);if(!h.error){l=h.module;break}const m=h.error.stack||h.error.message||JSON.stringify(h.error);(s??(s=[])).push(`Failed to load module '${t.name}' from ${p}: ${m}`)}return{pluginConfigEntry:t,resolvedModule:l,errorLogs:s}}static async importServicePluginAsync(t,r,i,o){N.assertIsDefined(i.importPlugin);let s,l;for(const u of r){const p=Qr(u,"node_modules");o(`Dynamically importing ${t.name} from ${u} (resolved to ${p})`);let h;try{h=await i.importPlugin(p,t.name)}catch(b){h={module:void 0,error:b}}if(!h.error){l=h.module;break}const m=h.error.stack||h.error.message||JSON.stringify(h.error);(s??(s=[])).push(`Failed to dynamically import module '${t.name}' from ${p}: ${m}`)}return{pluginConfigEntry:t,resolvedModule:l,errorLogs:s}}isKnownTypesPackageName(t){return this.typingsCache.isKnownTypesPackageName(t)}installPackage(t){return this.typingsCache.installPackage({...t,projectName:this.projectName,projectRootPath:this.toPath(this.currentDirectory)})}getGlobalTypingsCacheLocation(){return this.getGlobalCache()}get typingsCache(){return this.projectService.typingsCache}getSymlinkCache(){return this.symlinks||(this.symlinks=wU(this.getCurrentDirectory(),this.getCanonicalFileName)),this.program&&!this.symlinks.hasProcessedResolutions()&&this.symlinks.setSymlinksFromResolutions(this.program.forEachResolvedModule,this.program.forEachResolvedTypeReferenceDirective,this.program.getAutomaticTypeDirectiveResolutions()),this.symlinks}getCompilationSettings(){return this.compilerOptions}getCompilerOptions(){return this.getCompilationSettings()}getNewLine(){return this.projectService.host.newLine}getProjectVersion(){return this.projectStateVersion.toString()}getProjectReferences(){}getScriptFileNames(){if(!this.rootFiles)return et;let t;return this.rootFilesMap.forEach(r=>{(this.languageServiceEnabled||r.info&&r.info.isScriptOpen())&&(t||(t=[])).push(r.fileName)}),Jr(t,this.typingFiles)||et}getOrCreateScriptInfoAndAttachToProject(t){const r=this.projectService.getOrCreateScriptInfoNotOpenedByClient(t,this.currentDirectory,this.directoryStructureHost);if(r){const i=this.rootFilesMap.get(r.path);i&&i.info!==r&&(this.rootFiles.push(r),i.info=r),r.attachToProject(this)}return r}getScriptKind(t){const r=this.projectService.getScriptInfoForPath(this.toPath(t));return r&&r.scriptKind}getScriptVersion(t){const r=this.projectService.getOrCreateScriptInfoNotOpenedByClient(t,this.currentDirectory,this.directoryStructureHost);return r&&r.getLatestVersion()}getScriptSnapshot(t){const r=this.getOrCreateScriptInfoAndAttachToProject(t);if(r)return r.getSnapshot()}getCancellationToken(){return this.cancellationToken}getCurrentDirectory(){return this.currentDirectory}getDefaultLibFileName(){const t=ai(ga(this.projectService.getExecutingFilePath()));return Qr(t,aL(this.compilerOptions))}useCaseSensitiveFileNames(){return this.projectService.host.useCaseSensitiveFileNames}readDirectory(t,r,i,o,s){return this.directoryStructureHost.readDirectory(t,r,i,o,s)}readFile(t){return this.projectService.host.readFile(t)}writeFile(t,r){return this.projectService.host.writeFile(t,r)}fileExists(t){const r=this.toPath(t);return!this.isWatchedMissingFile(r)&&this.directoryStructureHost.fileExists(t)}resolveModuleNameLiterals(t,r,i,o,s,l){return this.resolutionCache.resolveModuleNameLiterals(t,r,i,o,s,l)}getModuleResolutionCache(){return this.resolutionCache.getModuleResolutionCache()}resolveTypeReferenceDirectiveReferences(t,r,i,o,s,l){return this.resolutionCache.resolveTypeReferenceDirectiveReferences(t,r,i,o,s,l)}resolveLibrary(t,r,i,o){return this.resolutionCache.resolveLibrary(t,r,i,o)}directoryExists(t){return this.directoryStructureHost.directoryExists(t)}getDirectories(t){return this.directoryStructureHost.getDirectories(t)}getCachedDirectoryStructureHost(){}toPath(t){return ol(t,this.currentDirectory,this.projectService.toCanonicalFileName)}watchDirectoryOfFailedLookupLocation(t,r,i){return this.projectService.watchFactory.watchDirectory(t,r,i,this.projectService.getWatchOptions(this),wc.FailedLookupLocations,this)}watchAffectingFileLocation(t,r){return this.projectService.watchFactory.watchFile(t,r,2e3,this.projectService.getWatchOptions(this),wc.AffectingFileLocation,this)}clearInvalidateResolutionOfFailedLookupTimer(){return this.projectService.throttledOperations.cancel(`${this.getProjectName()}FailedLookupInvalidation`)}scheduleInvalidateResolutionsOfFailedLookupLocations(){this.projectService.throttledOperations.schedule(`${this.getProjectName()}FailedLookupInvalidation`,1e3,()=>{this.resolutionCache.invalidateResolutionsOfFailedLookupLocations()&&this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this)})}invalidateResolutionsOfFailedLookupLocations(){this.clearInvalidateResolutionOfFailedLookupTimer()&&this.resolutionCache.invalidateResolutionsOfFailedLookupLocations()&&(this.markAsDirty(),this.projectService.delayEnsureProjectForOpenFiles())}onInvalidatedResolution(){this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this)}watchTypeRootsDirectory(t,r,i){return this.projectService.watchFactory.watchDirectory(t,r,i,this.projectService.getWatchOptions(this),wc.TypeRoots,this)}hasChangedAutomaticTypeDirectiveNames(){return this.resolutionCache.hasChangedAutomaticTypeDirectiveNames()}onChangedAutomaticTypeDirectiveNames(){this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this)}getGlobalCache(){return this.getTypeAcquisition().enable?this.projectService.typingsInstaller.globalTypingsCacheLocation:void 0}fileIsOpen(t){return this.projectService.openFiles.has(t)}writeLog(t){this.projectService.logger.info(t)}log(t){this.writeLog(t)}error(t){this.projectService.logger.msg(t,"Err")}setInternalCompilerOptionsForEmittingJsFiles(){(this.projectKind===0||this.projectKind===2)&&(this.compilerOptions.noEmitForJsFiles=!0)}getGlobalProjectErrors(){return nr(this.projectErrors,t=>!t.file)||gc}getAllProjectErrors(){return this.projectErrors||gc}setProjectErrors(t){this.projectErrors=t}getLanguageService(t=!0){return t&&jp(this),this.languageService}getSourceMapper(){return this.getLanguageService().getSourceMapper()}clearSourceMapperCache(){this.languageService.clearSourceMapperCache()}getDocumentPositionMapper(t,r){return this.projectService.getDocumentPositionMapper(this,t,r)}getSourceFileLike(t){return this.projectService.getSourceFileLike(t,this)}shouldEmitFile(t){return t&&!t.isDynamicOrHasMixedContent()&&!this.program.isSourceOfProjectReferenceRedirect(t.path)}getCompileOnSaveAffectedFileList(t){return this.languageServiceEnabled?(jp(this),this.builderState=Mm.create(this.program,this.builderState,!0),Hi(Mm.getFilesAffectedBy(this.builderState,this.program,t.path,this.cancellationToken,this.projectService.host),r=>this.shouldEmitFile(this.projectService.getScriptInfoForPath(r.path))?r.fileName:void 0)):[]}emitFile(t,r){if(!this.languageServiceEnabled||!this.shouldEmitFile(t))return{emitSkipped:!0,diagnostics:gc};const{emitSkipped:i,diagnostics:o,outputFiles:s}=this.getLanguageService().getEmitOutput(t.fileName);if(!i){for(const l of s){const u=go(l.name,this.currentDirectory);r(u,l.text,l.writeByteOrderMark)}if(this.builderState&&Nf(this.compilerOptions)){const l=s.filter(u=>Su(u.name));if(l.length===1){const u=this.program.getSourceFile(t.fileName),p=this.projectService.host.createHash?this.projectService.host.createHash(l[0].text):tC(l[0].text);Mm.updateSignatureOfFile(this.builderState,p,u.resolvedPath)}}}return{emitSkipped:i,diagnostics:o}}enableLanguageService(){this.languageServiceEnabled||this.projectService.serverMode===2||(this.languageServiceEnabled=!0,this.lastFileExceededProgramSize=void 0,this.projectService.onUpdateLanguageServiceStateForProject(this,!0))}cleanupProgram(){if(this.program){for(const t of this.program.getSourceFiles())this.detachScriptInfoIfNotRoot(t.fileName);this.program.forEachResolvedProjectReference(t=>this.detachScriptInfoFromProject(t.sourceFile.fileName)),this.program=void 0}}disableLanguageService(t){this.languageServiceEnabled&&(N.assert(this.projectService.serverMode!==2),this.languageService.cleanupSemanticCache(),this.languageServiceEnabled=!1,this.cleanupProgram(),this.lastFileExceededProgramSize=t,this.builderState=void 0,this.autoImportProviderHost&&this.autoImportProviderHost.close(),this.autoImportProviderHost=void 0,this.resolutionCache.closeTypeRootsWatch(),this.clearGeneratedFileWatch(),this.projectService.verifyDocumentRegistry(),this.projectService.onUpdateLanguageServiceStateForProject(this,!1))}getProjectName(){return this.projectName}removeLocalTypingsFromTypeAcquisition(t){return!t||!t.include?t:{...t,include:this.removeExistingTypings(t.include)}}getExternalFiles(t){return uS(Pi(this.plugins,r=>{if(typeof r.module.getExternalFiles=="function")try{return r.module.getExternalFiles(this,t||0)}catch(i){this.projectService.logger.info(`A plugin threw an exception in getExternalFiles: ${i}`),i.stack&&this.projectService.logger.info(i.stack)}}))}getSourceFile(t){if(this.program)return this.program.getSourceFileByPath(t)}getSourceFileOrConfigFile(t){const r=this.program.getCompilerOptions();return t===r.configFilePath?r.configFile:this.getSourceFile(t)}close(){var t;this.projectService.typingsCache.onProjectClosed(this),this.closeWatchingTypingLocations(),this.cleanupProgram(),Ue(this.externalFiles,r=>this.detachScriptInfoIfNotRoot(r));for(const r of this.rootFiles)r.detachFromProject(this);this.projectService.pendingEnsureProjectForOpenFiles=!0,this.rootFiles=void 0,this.rootFilesMap=void 0,this.externalFiles=void 0,this.program=void 0,this.builderState=void 0,this.resolutionCache.clear(),this.resolutionCache=void 0,this.cachedUnresolvedImportsPerFile=void 0,(t=this.packageJsonWatches)==null||t.forEach(r=>{r.projects.delete(this),r.close()}),this.packageJsonWatches=void 0,this.moduleSpecifierCache.clear(),this.moduleSpecifierCache=void 0,this.directoryStructureHost=void 0,this.exportMapCache=void 0,this.projectErrors=void 0,this.plugins.length=0,this.missingFilesMap&&(tp(this.missingFilesMap,Qm),this.missingFilesMap=void 0),this.clearGeneratedFileWatch(),this.clearInvalidateResolutionOfFailedLookupTimer(),this.autoImportProviderHost&&this.autoImportProviderHost.close(),this.autoImportProviderHost=void 0,this.noDtsResolutionProject&&this.noDtsResolutionProject.close(),this.noDtsResolutionProject=void 0,this.languageService.dispose(),this.languageService=void 0}detachScriptInfoIfNotRoot(t){const r=this.projectService.getScriptInfo(t);r&&!this.isRoot(r)&&r.detachFromProject(this)}isClosed(){return this.rootFiles===void 0}hasRoots(){return this.rootFiles&&this.rootFiles.length>0}isOrphan(){return!1}getRootFiles(){return this.rootFiles&&this.rootFiles.map(t=>t.fileName)}getRootFilesMap(){return this.rootFilesMap}getRootScriptInfos(){return this.rootFiles}getScriptInfos(){return this.languageServiceEnabled?wt(this.program.getSourceFiles(),t=>{const r=this.projectService.getScriptInfoForPath(t.resolvedPath);return N.assert(!!r,"getScriptInfo",()=>`scriptInfo for a file '${t.fileName}' Path: '${t.path}' / '${t.resolvedPath}' is missing.`),r}):this.rootFiles}getExcludedFiles(){return gc}getFileNames(t,r){if(!this.program)return[];if(!this.languageServiceEnabled){let o=this.getRootFiles();if(this.compilerOptions){const s=wpe(this.compilerOptions);s&&(o||(o=[])).push(s)}return o}const i=[];for(const o of this.program.getSourceFiles())t&&this.program.isSourceFileFromExternalLibrary(o)||i.push(o.fileName);if(!r){const o=this.program.getCompilerOptions().configFile;if(o&&(i.push(o.fileName),o.extendedSourceFiles))for(const s of o.extendedSourceFiles)i.push(s)}return i}getFileNamesWithRedirectInfo(t){return this.getFileNames().map(r=>({fileName:r,isSourceOfProjectReferenceRedirect:t&&this.isSourceOfProjectReferenceRedirect(r)}))}hasConfigFile(t){if(this.program&&this.languageServiceEnabled){const r=this.program.getCompilerOptions().configFile;if(r){if(t===r.fileName)return!0;if(r.extendedSourceFiles){for(const i of r.extendedSourceFiles)if(t===i)return!0}}}return!1}containsScriptInfo(t){if(this.isRoot(t))return!0;if(!this.program)return!1;const r=this.program.getSourceFileByPath(t.path);return!!r&&r.resolvedPath===t.path}containsFile(t,r){const i=this.projectService.getScriptInfoForNormalizedPath(t);return i&&(i.isScriptOpen()||!r)?this.containsScriptInfo(i):!1}isRoot(t){var r;return this.rootFilesMap&&((r=this.rootFilesMap.get(t.path))==null?void 0:r.info)===t}addRoot(t,r){N.assert(!this.isRoot(t)),this.rootFiles.push(t),this.rootFilesMap.set(t.path,{fileName:r||t.fileName,info:t}),t.attachToProject(this),this.markAsDirty()}addMissingFileRoot(t){const r=this.projectService.toPath(t);this.rootFilesMap.set(r,{fileName:t}),this.markAsDirty()}removeFile(t,r,i){this.isRoot(t)&&this.removeRoot(t),r?this.resolutionCache.removeResolutionsOfFile(t.path):this.resolutionCache.invalidateResolutionOfFile(t.path),this.cachedUnresolvedImportsPerFile.delete(t.path),i&&t.detachFromProject(this),this.markAsDirty()}registerFileUpdate(t){(this.updatedFileNames||(this.updatedFileNames=new Set)).add(t)}markFileAsDirty(t){this.markAsDirty(),this.exportMapCache&&!this.exportMapCache.isEmpty()&&(this.changedFilesForExportMapCache||(this.changedFilesForExportMapCache=new Set)).add(t)}markAsDirty(){this.dirty||(this.projectStateVersion++,this.dirty=!0)}onAutoImportProviderSettingsChanged(){var t;this.autoImportProviderHost===!1?this.autoImportProviderHost=void 0:(t=this.autoImportProviderHost)==null||t.markAsDirty()}onPackageJsonChange(){this.moduleSpecifierCache.clear(),this.autoImportProviderHost&&this.autoImportProviderHost.markAsDirty()}onFileAddedOrRemoved(t){this.hasAddedorRemovedFiles=!0,t&&(this.hasAddedOrRemovedSymlinks=!0)}onDiscoveredSymlink(){this.hasAddedOrRemovedSymlinks=!0}updateFromProject(){jp(this)}updateGraph(){var t,r,i,o,s;(t=sr)==null||t.push(sr.Phase.Session,"updateGraph",{name:this.projectName,kind:D2[this.projectKind]}),(r=ad)==null||r.logStartUpdateGraph(),this.resolutionCache.startRecordingFilesWithChangedResolutions();const l=this.updateGraphWorker(),u=this.hasAddedorRemovedFiles;this.hasAddedorRemovedFiles=!1,this.hasAddedOrRemovedSymlinks=!1;const p=this.resolutionCache.finishRecordingFilesWithChangedResolutions()||gc;for(const m of p)this.cachedUnresolvedImportsPerFile.delete(m);this.languageServiceEnabled&&this.projectService.serverMode===0&&!this.isOrphan()?((l||p.length)&&(this.lastCachedUnresolvedImportsList=$st(this.program,this.cachedUnresolvedImportsPerFile)),this.projectService.typingsCache.enqueueInstallTypingsForProject(this,this.lastCachedUnresolvedImportsList,u)):this.lastCachedUnresolvedImportsList=void 0;const h=this.projectProgramVersion===0&&l;return l&&this.projectProgramVersion++,u&&(this.autoImportProviderHost||(this.autoImportProviderHost=void 0),(i=this.autoImportProviderHost)==null||i.markAsDirty()),h&&this.getPackageJsonAutoImportProvider(),(o=ad)==null||o.logStopUpdateGraph(),(s=sr)==null||s.pop(),!l}updateTypingFiles(t){V6(t,this.typingFiles,zx(!this.useCaseSensitiveFileNames()),$a,r=>this.detachScriptInfoFromProject(r))&&(this.typingFiles=t,this.resolutionCache.setFilesWithInvalidatedNonRelativeUnresolvedImports(this.cachedUnresolvedImportsPerFile),this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this))}closeWatchingTypingLocations(){this.typingWatchers&&tp(this.typingWatchers,Qm),this.typingWatchers=void 0}onTypingInstallerWatchInvoke(){this.typingWatchers.isInvoked=!0,this.projectService.updateTypingsForProject({projectName:this.getProjectName(),kind:Zw})}watchTypingLocations(t){if(!t){this.typingWatchers.isInvoked=!1;return}if(!t.length){this.closeWatchingTypingLocations();return}const r=new Map(this.typingWatchers);this.typingWatchers||(this.typingWatchers=new Map),this.typingWatchers.isInvoked=!1;const i=(o,s)=>{const l=this.toPath(o);r.delete(l),this.typingWatchers.has(l)||this.typingWatchers.set(l,s==="FileWatcher"?this.projectService.watchFactory.watchFile(o,()=>this.typingWatchers.isInvoked?this.writeLog("TypingWatchers already invoked"):this.onTypingInstallerWatchInvoke(),2e3,this.projectService.getWatchOptions(this),wc.TypingInstallerLocationFile,this):this.projectService.watchFactory.watchDirectory(o,u=>{if(this.typingWatchers.isInvoked)return this.writeLog("TypingWatchers already invoked");if(!Il(u,".json"))return this.writeLog("Ignoring files that are not *.json");if(Lg(u,Qr(this.projectService.typingsInstaller.globalTypingsCacheLocation,"package.json"),!this.useCaseSensitiveFileNames()))return this.writeLog("Ignoring package.json change at global typings location");this.onTypingInstallerWatchInvoke()},1,this.projectService.getWatchOptions(this),wc.TypingInstallerLocationDirectory,this))};for(const o of t){const s=ic(o);if(s==="package.json"||s==="bower.json"){i(o,"FileWatcher");continue}if(bm(this.currentDirectory,o,this.currentDirectory,!this.useCaseSensitiveFileNames())){const l=o.indexOf(al,this.currentDirectory.length+1);i(l!==-1?o.substr(0,l):o,"DirectoryWatcher");continue}if(bm(this.projectService.typingsInstaller.globalTypingsCacheLocation,o,this.currentDirectory,!this.useCaseSensitiveFileNames())){i(this.projectService.typingsInstaller.globalTypingsCacheLocation,"DirectoryWatcher");continue}i(o,"DirectoryWatcher")}r.forEach((o,s)=>{o.close(),this.typingWatchers.delete(s)})}getCurrentProgram(){return this.program}removeExistingTypings(t){const r=WF(this.getCompilerOptions(),this.directoryStructureHost);return t.filter(i=>!r.includes(i))}updateGraphWorker(){var t,r;const i=this.languageService.getCurrentProgram();N.assert(i===this.program),N.assert(!this.isClosed(),"Called update graph worker of closed project"),this.writeLog(`Starting updateGraphWorker: Project: ${this.getProjectName()}`);const o=Ys(),{hasInvalidatedResolutions:s,hasInvalidatedLibResolutions:l}=this.resolutionCache.createHasInvalidatedResolutions(Xm,Xm);this.hasInvalidatedResolutions=s,this.hasInvalidatedLibResolutions=l,this.resolutionCache.startCachingPerDirectoryResolution(),this.dirty=!1,this.updateFromProjectInProgress=!0,this.program=this.languageService.getProgram(),this.updateFromProjectInProgress=!1,(t=sr)==null||t.push(sr.Phase.Session,"finishCachingPerDirectoryResolution"),this.resolutionCache.finishCachingPerDirectoryResolution(this.program,i),(r=sr)==null||r.pop(),N.assert(i===void 0||this.program!==void 0);let u=!1;if(this.program&&(!i||this.program!==i&&this.program.structureIsReused!==2)){if(u=!0,i){for(const m of i.getSourceFiles()){const b=this.program.getSourceFileByPath(m.resolvedPath);(!b||m.resolvedPath===m.path&&b.resolvedPath!==m.path)&&this.detachScriptInfoFromProject(m.fileName,!!this.program.getSourceFileByPath(m.path),!0)}i.forEachResolvedProjectReference(m=>{this.program.getResolvedProjectReferenceByPath(m.sourceFile.path)||this.detachScriptInfoFromProject(m.sourceFile.fileName,void 0,!0)})}if(DJ(this.program,this.missingFilesMap||(this.missingFilesMap=new Map),(m,b)=>this.addMissingFileWatcher(m,b)),this.generatedFilesMap){const m=Ps(this.compilerOptions);jhe(this.generatedFilesMap)?(!m||!this.isValidGeneratedFileWatcher(Id(m)+".d.ts",this.generatedFilesMap))&&this.clearGeneratedFileWatch():m?this.clearGeneratedFileWatch():this.generatedFilesMap.forEach((b,A)=>{const I=this.program.getSourceFileByPath(A);(!I||I.resolvedPath!==A||!this.isValidGeneratedFileWatcher(A3(I.fileName,this.compilerOptions,this.currentDirectory,this.program.getCommonSourceDirectory(),this.getCanonicalFileName),b))&&(Mf(b),this.generatedFilesMap.delete(A))})}this.languageServiceEnabled&&this.projectService.serverMode===0&&this.resolutionCache.updateTypeRootsWatch()}this.projectService.verifyProgram(this),this.exportMapCache&&!this.exportMapCache.isEmpty()&&(this.exportMapCache.releaseSymbols(),this.hasAddedorRemovedFiles||i&&!this.program.structureIsReused?this.exportMapCache.clear():this.changedFilesForExportMapCache&&i&&this.program&&_h(this.changedFilesForExportMapCache,m=>{const b=i.getSourceFileByPath(m),A=this.program.getSourceFileByPath(m);return!b||!A?(this.exportMapCache.clear(),!0):this.exportMapCache.onFileChanged(b,A,!!this.getTypeAcquisition().enable)})),this.changedFilesForExportMapCache&&this.changedFilesForExportMapCache.clear(),(this.hasAddedOrRemovedSymlinks||this.program&&!this.program.structureIsReused&&this.getCompilerOptions().preserveSymlinks)&&(this.symlinks=void 0,this.moduleSpecifierCache.clear());const p=this.externalFiles||gc;this.externalFiles=this.getExternalFiles(),V6(this.externalFiles,p,zx(!this.useCaseSensitiveFileNames()),m=>{const b=this.projectService.getOrCreateScriptInfoNotOpenedByClient(m,this.currentDirectory,this.directoryStructureHost);b==null||b.attachToProject(this)},m=>this.detachScriptInfoFromProject(m));const h=Ys()-o;return this.sendPerformanceEvent("UpdateGraph",h),this.writeLog(`Finishing updateGraphWorker: Project: ${this.getProjectName()} projectStateVersion: ${this.projectStateVersion} projectProgramVersion: ${this.projectProgramVersion} structureChanged: ${u}${this.program?` structureIsReused:: ${Q6[this.program.structureIsReused]}`:""} Elapsed: ${h}ms`),this.projectService.logger.isTestLogger?this.program!==i?this.print(!0,this.hasAddedorRemovedFiles,!0):this.writeLog("Same program as before"):this.hasAddedorRemovedFiles?this.print(!0,!0,!1):this.program!==i&&this.writeLog("Different program with same set of files"),this.projectService.verifyDocumentRegistry(),u}sendPerformanceEvent(t,r){this.projectService.sendPerformanceEvent(t,r)}detachScriptInfoFromProject(t,r,i){const o=this.projectService.getScriptInfo(t);o&&(o.detachFromProject(this),r||this.resolutionCache.removeResolutionsOfFile(o.path,i))}addMissingFileWatcher(t,r){var i;if(V0(this)){const s=this.projectService.configFileExistenceInfoCache.get(t);if((i=s==null?void 0:s.config)!=null&&i.projects.has(this.canonicalConfigFilePath))return SD}const o=this.projectService.watchFactory.watchFile(go(r,this.currentDirectory),(s,l)=>{V0(this)&&this.getCachedDirectoryStructureHost().addOrDeleteFile(s,t,l),l===0&&this.missingFilesMap.has(t)&&(this.missingFilesMap.delete(t),o.close(),this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this))},500,this.projectService.getWatchOptions(this),wc.MissingFile,this);return o}isWatchedMissingFile(t){return!!this.missingFilesMap&&this.missingFilesMap.has(t)}addGeneratedFileWatch(t,r){if(Ps(this.compilerOptions))this.generatedFilesMap||(this.generatedFilesMap=this.createGeneratedFileWatcher(t));else{const i=this.toPath(r);if(this.generatedFilesMap){if(jhe(this.generatedFilesMap)){N.fail(`${this.projectName} Expected to not have --out watcher for generated file with options: ${JSON.stringify(this.compilerOptions)}`);return}if(this.generatedFilesMap.has(i))return}else this.generatedFilesMap=new Map;this.generatedFilesMap.set(i,this.createGeneratedFileWatcher(t))}}createGeneratedFileWatcher(t){return{generatedFilePath:this.toPath(t),watcher:this.projectService.watchFactory.watchFile(t,()=>{this.clearSourceMapperCache(),this.projectService.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(this)},2e3,this.projectService.getWatchOptions(this),wc.MissingGeneratedFile,this)}}isValidGeneratedFileWatcher(t,r){return this.toPath(t)===r.generatedFilePath}clearGeneratedFileWatch(){this.generatedFilesMap&&(jhe(this.generatedFilesMap)?Mf(this.generatedFilesMap):tp(this.generatedFilesMap,Mf),this.generatedFilesMap=void 0)}getScriptInfoForNormalizedPath(t){const r=this.projectService.getScriptInfoForPath(this.toPath(t));return r&&!r.isAttached(this)?oy.ThrowProjectDoesNotContainDocument(t,this):r}getScriptInfo(t){return this.projectService.getScriptInfo(t)}filesToString(t){return this.filesToStringWorker(t,!0,!1)}filesToStringWorker(t,r,i){if(this.isInitialLoadPending())return` Files (0) InitialLoadPending
+`;if(!this.program)return` Files (0) NoProgram
+`;const o=this.program.getSourceFiles();let s=` Files (${o.length})
+`;if(t){for(const l of o)s+=` ${l.fileName}${i?` ${l.version} ${JSON.stringify(l.text)}`:""}
+`;r&&(s+=`
+
+`,uK(this.program,l=>s+=` ${l}
+`))}return s}print(t,r,i){var o;this.writeLog(`Project '${this.projectName}' (${D2[this.projectKind]})`),this.writeLog(this.filesToStringWorker(t&&this.projectService.logger.hasLevel(3),r&&this.projectService.logger.hasLevel(3),i&&this.projectService.logger.hasLevel(3))),this.writeLog("-----------------------------------------------"),this.autoImportProviderHost&&this.autoImportProviderHost.print(!1,!1,!1),(o=this.noDtsResolutionProject)==null||o.print(!1,!1,!1)}setCompilerOptions(t){var r;if(t){t.allowNonTsExtensions=!0;const i=this.compilerOptions;this.compilerOptions=t,this.setInternalCompilerOptionsForEmittingJsFiles(),(r=this.noDtsResolutionProject)==null||r.setCompilerOptions(this.getCompilerOptionsForNoDtsResolutionProject()),W4(i,t)&&(this.cachedUnresolvedImportsPerFile.clear(),this.lastCachedUnresolvedImportsList=void 0,this.resolutionCache.onChangesAffectModuleResolution(),this.moduleSpecifierCache.clear()),this.markAsDirty()}}setWatchOptions(t){this.watchOptions=t}getWatchOptions(){return this.watchOptions}setTypeAcquisition(t){t&&(this.typeAcquisition=this.removeLocalTypingsFromTypeAcquisition(t))}getTypeAcquisition(){return this.typeAcquisition||{}}getChangesSinceVersion(t,r){var i,o;const s=r?p=>zo(p.entries(),([h,m])=>({fileName:h,isSourceOfProjectReferenceRedirect:m})):p=>zo(p.keys());this.isInitialLoadPending()||jp(this);const l={projectName:this.getProjectName(),version:this.projectProgramVersion,isInferred:XD(this),options:this.getCompilationSettings(),languageServiceDisabled:!this.languageServiceEnabled,lastFileExceededProgramSize:this.lastFileExceededProgramSize},u=this.updatedFileNames;if(this.updatedFileNames=void 0,this.lastReportedFileNames&&t===this.lastReportedVersion){if(this.projectProgramVersion===this.lastReportedVersion&&!u)return{info:l,projectErrors:this.getGlobalProjectErrors()};const p=this.lastReportedFileNames,h=((i=this.externalFiles)==null?void 0:i.map(M=>({fileName:ml(M),isSourceOfProjectReferenceRedirect:!1})))||gc,m=NE(this.getFileNamesWithRedirectInfo(!!r).concat(h),M=>M.fileName,M=>M.isSourceOfProjectReferenceRedirect),b=new Map,A=new Map,I=u?zo(u.keys()):[],R=[];return Gc(m,(M,C)=>{p.has(C)?r&&M!==p.get(C)&&R.push({fileName:C,isSourceOfProjectReferenceRedirect:M}):b.set(C,M)}),Gc(p,(M,C)=>{m.has(C)||A.set(C,M)}),this.lastReportedFileNames=m,this.lastReportedVersion=this.projectProgramVersion,{info:l,changes:{added:s(b),removed:s(A),updated:r?I.map(M=>({fileName:M,isSourceOfProjectReferenceRedirect:this.isSourceOfProjectReferenceRedirect(M)})):I,updatedRedirects:r?R:void 0},projectErrors:this.getGlobalProjectErrors()}}else{const p=this.getFileNamesWithRedirectInfo(!!r),h=((o=this.externalFiles)==null?void 0:o.map(b=>({fileName:ml(b),isSourceOfProjectReferenceRedirect:!1})))||gc,m=p.concat(h);return this.lastReportedFileNames=NE(m,b=>b.fileName,b=>b.isSourceOfProjectReferenceRedirect),this.lastReportedVersion=this.projectProgramVersion,{info:l,files:r?m:m.map(b=>b.fileName),projectErrors:this.getGlobalProjectErrors()}}}removeRoot(t){Gx(this.rootFiles,t),this.rootFilesMap.delete(t.path)}isSourceOfProjectReferenceRedirect(t){return!!this.program&&this.program.isSourceOfProjectReferenceRedirect(t)}getGlobalPluginSearchPaths(){return[...this.projectService.pluginProbeLocations,Qr(this.projectService.getExecutingFilePath(),"../../..")]}enableGlobalPlugins(t){if(!this.projectService.globalPlugins.length)return;const r=this.projectService.host;if(!r.require&&!r.importPlugin){this.projectService.logger.info("Plugins were requested but not running in environment that supports 'require'. Nothing will be loaded");return}const i=this.getGlobalPluginSearchPaths();for(const o of this.projectService.globalPlugins)o&&(t.plugins&&t.plugins.some(s=>s.name===o)||(this.projectService.logger.info(`Loading global plugin ${o}`),this.enablePlugin({name:o,global:!0},i)))}enablePlugin(t,r){this.projectService.requestEnablePlugin(this,t,r)}enableProxy(t,r){try{if(typeof t!="function"){this.projectService.logger.info(`Skipped loading plugin ${r.name} because it did not expose a proper factory function`);return}const i={config:r,project:this,languageService:this.languageService,languageServiceHost:this,serverHost:this.projectService.host,session:this.projectService.session},o=t({typescript:o8e}),s=o.create(i);for(const l of Object.keys(this.languageService))l in s||(this.projectService.logger.info(`Plugin activation warning: Missing proxied method ${l} in created LS. Patching.`),s[l]=this.languageService[l]);this.projectService.logger.info("Plugin validation succeeded"),this.languageService=s,this.plugins.push({name:r.name,module:o})}catch(i){this.projectService.logger.info(`Plugin activation failed: ${i}`)}}onPluginConfigurationChanged(t,r){this.plugins.filter(i=>i.name===t).forEach(i=>{i.module.onConfigurationChanged&&i.module.onConfigurationChanged(r)})}refreshDiagnostics(){this.projectService.sendProjectsUpdatedInBackgroundEvent()}getPackageJsonsVisibleToFile(t,r){return this.projectService.serverMode!==0?gc:this.projectService.getPackageJsonsVisibleToFile(t,this,r)}getNearestAncestorDirectoryWithPackageJson(t){return this.projectService.getNearestAncestorDirectoryWithPackageJson(t)}getPackageJsonsForAutoImport(t){return this.getPackageJsonsVisibleToFile(Qr(this.currentDirectory,yD),t)}getPackageJsonCache(){return this.projectService.packageJsonCache}getCachedExportInfoMap(){return this.exportMapCache||(this.exportMapCache=YX(this))}clearCachedExportInfoMap(){var t;(t=this.exportMapCache)==null||t.clear()}getModuleSpecifierCache(){return this.moduleSpecifierCache}includePackageJsonAutoImports(){return this.projectService.includePackageJsonAutoImports()===0||!this.languageServiceEnabled||IO(this.currentDirectory)||!this.isDefaultProjectForOpenFiles()?0:this.projectService.includePackageJsonAutoImports()}getHostForAutoImportProvider(){var t,r;return this.program?{fileExists:this.program.fileExists,directoryExists:this.program.directoryExists,realpath:this.program.realpath||((t=this.projectService.host.realpath)==null?void 0:t.bind(this.projectService.host)),getCurrentDirectory:this.getCurrentDirectory.bind(this),readFile:this.projectService.host.readFile.bind(this.projectService.host),getDirectories:this.projectService.host.getDirectories.bind(this.projectService.host),trace:(r=this.projectService.host.trace)==null?void 0:r.bind(this.projectService.host),useCaseSensitiveFileNames:this.program.useCaseSensitiveFileNames(),readDirectory:this.projectService.host.readDirectory.bind(this.projectService.host)}:this.projectService.host}getPackageJsonAutoImportProvider(){var t,r,i;if(this.autoImportProviderHost===!1)return;if(this.projectService.serverMode!==0){this.autoImportProviderHost=!1;return}if(this.autoImportProviderHost){if(jp(this.autoImportProviderHost),this.autoImportProviderHost.isEmpty()){this.autoImportProviderHost.close(),this.autoImportProviderHost=void 0;return}return this.autoImportProviderHost.getCurrentProgram()}const o=this.includePackageJsonAutoImports();if(o){(t=sr)==null||t.push(sr.Phase.Session,"getPackageJsonAutoImportProvider");const s=Ys();if(this.autoImportProviderHost=TZ.create(o,this,this.getHostForAutoImportProvider(),this.documentRegistry),this.autoImportProviderHost)return jp(this.autoImportProviderHost),this.sendPerformanceEvent("CreatePackageJsonAutoImportProvider",Ys()-s),(r=sr)==null||r.pop(),this.autoImportProviderHost.getCurrentProgram();(i=sr)==null||i.pop()}}isDefaultProjectForOpenFiles(){return!!Gc(this.projectService.openFiles,(t,r)=>this.projectService.tryGetDefaultProjectForFile(ml(r))===this)}watchNodeModulesForPackageJsonChanges(t){return this.projectService.watchPackageJsonsInNodeModules(t,this)}getIncompleteCompletionsCache(){return this.projectService.getIncompleteCompletionsCache()}getNoDtsResolutionProject(t){return N.assert(this.projectService.serverMode===0),this.noDtsResolutionProject||(this.noDtsResolutionProject=new EZ(this.projectService,this.documentRegistry,this.getCompilerOptionsForNoDtsResolutionProject(),this.currentDirectory)),this.noDtsResolutionProject.rootFile!==t&&(this.projectService.setFileNamesOfAutpImportProviderOrAuxillaryProject(this.noDtsResolutionProject,[t]),this.noDtsResolutionProject.rootFile=t),this.noDtsResolutionProject}getCompilerOptionsForNoDtsResolutionProject(){return{...this.getCompilerOptions(),noDtsResolution:!0,allowJs:!0,maxNodeModuleJsDepth:3,diagnostics:!1,skipLibCheck:!0,sourceMap:!1,types:et,lib:et,noLib:!0}}},bZ=class extends mT{constructor(e,t,r,i,o,s,l){super(e.newInferredProjectName(),0,e,t,void 0,void 0,r,!1,i,e.host,s),this._isJsInferredProject=!1,this.typeAcquisition=l,this.projectRootPath=o&&e.toCanonicalFileName(o),!o&&!e.useSingleInferredProject&&(this.canonicalCurrentDirectory=e.toCanonicalFileName(this.currentDirectory)),this.enableGlobalPlugins(this.getCompilerOptions())}toggleJsInferredProject(e){e!==this._isJsInferredProject&&(this._isJsInferredProject=e,this.setCompilerOptions())}setCompilerOptions(e){if(!e&&!this.getCompilationSettings())return;const t=dX(e||this.getCompilationSettings());this._isJsInferredProject&&typeof t.maxNodeModuleJsDepth!="number"?t.maxNodeModuleJsDepth=2:this._isJsInferredProject||(t.maxNodeModuleJsDepth=void 0),t.allowJs=!0,super.setCompilerOptions(t)}addRoot(e){N.assert(e.isScriptOpen()),this.projectService.startWatchingConfigFilesForInferredProjectRoot(e),!this._isJsInferredProject&&e.isJavaScript()?this.toggleJsInferredProject(!0):this.isOrphan()&&this._isJsInferredProject&&!e.isJavaScript()&&this.toggleJsInferredProject(!1),super.addRoot(e)}removeRoot(e){this.projectService.stopWatchingConfigFilesForInferredProjectRoot(e),super.removeRoot(e),!this.isOrphan()&&this._isJsInferredProject&&e.isJavaScript()&&Zn(this.getRootScriptInfos(),t=>!t.isJavaScript())&&this.toggleJsInferredProject(!1)}isOrphan(){return!this.hasRoots()}isProjectWithSingleRoot(){return!this.projectRootPath&&!this.projectService.useSingleInferredProject||this.getRootScriptInfos().length===1}close(){Ue(this.getRootScriptInfos(),e=>this.projectService.stopWatchingConfigFilesForInferredProjectRoot(e)),super.close()}getTypeAcquisition(){return this.typeAcquisition||{enable:Bhe(this),include:et,exclude:et}}},EZ=class extends mT{constructor(e,t,r,i){super(e.newAuxiliaryProjectName(),4,e,t,!1,void 0,r,!1,void 0,e.host,i)}isOrphan(){return!0}scheduleInvalidateResolutionsOfFailedLookupLocations(){}},SZ=class Ube extends mT{constructor(t,r,i,o){super(t.projectService.newAutoImportProviderProjectName(),3,t.projectService,i,!1,void 0,o,!1,t.getWatchOptions(),t.projectService.host,t.currentDirectory),this.hostProject=t,this.rootFileNames=r,this.useSourceOfProjectReferenceRedirect=oa(this.hostProject,this.hostProject.useSourceOfProjectReferenceRedirect),this.getParsedCommandLine=oa(this.hostProject,this.hostProject.getParsedCommandLine)}static getRootFileNames(t,r,i,o){var s,l;if(!t)return et;const u=r.getCurrentProgram();if(!u)return et;const p=Ys();let h,m;const b=Qr(r.currentDirectory,yD),A=r.getPackageJsonsForAutoImport(Qr(r.currentDirectory,b));for(const C of A)(s=C.dependencies)==null||s.forEach((O,U)=>R(U)),(l=C.peerDependencies)==null||l.forEach((O,U)=>R(U));let I=0;if(h){const C=r.getSymlinkCache();for(const O of zo(h.keys())){if(t===2&&I>this.maxDependencies)return r.log(`AutoImportProviderProject: attempted to add more than ${this.maxDependencies} dependencies. Aborting.`),et;const U=Cq(O,r.currentDirectory,o,i,u.getModuleResolutionCache());if(U){const Z=M(U,u,C);if(Z){m=So(m,Z),I+=Z.length?1:0;continue}}if(!Ue([r.currentDirectory,r.getGlobalTypingsCacheLocation()],Z=>{if(Z){const V=Cq(`@types/${O}`,Z,o,i,u.getModuleResolutionCache());if(V){const se=M(V,u,C);return m=So(m,se),I+=se!=null&&se.length?1:0,!0}}})&&U&&o.allowJs&&o.maxNodeModuleJsDepth){const Z=M(U,u,C,!0);m=So(m,Z),I+=Z!=null&&Z.length?1:0}}}return m!=null&&m.length&&r.log(`AutoImportProviderProject: found ${m.length} root files in ${I} dependencies in ${Ys()-p} ms`),m||et;function R(C){uo(C,"@types/")||(h||(h=new Set)).add(C)}function M(C,O,U,K){var Z;const V=Wq(C,o,i,O.getModuleResolutionCache(),K);if(V){const se=(Z=i.realpath)==null?void 0:Z.call(i,C.packageDirectory),G=se?r.toPath(se):void 0,ne=G&&G!==r.toPath(C.packageDirectory);return ne&&U.setSymlinkedDirectory(C.packageDirectory,{real:Bc(se),realPath:Bc(G)}),Hi(V,he=>{const ye=ne?he.replace(C.packageDirectory,se):he;if(!O.getSourceFile(ye)&&!(ne&&O.getSourceFile(he)))return ye})}}}static create(t,r,i,o){if(t===0)return;const s={...r.getCompilerOptions(),...this.compilerOptionsOverrides},l=this.getRootFileNames(t,r,i,s);if(l.length)return new Ube(r,l,o,s)}isEmpty(){return!bt(this.rootFileNames)}isOrphan(){return!0}updateGraph(){let t=this.rootFileNames;t||(t=Ube.getRootFileNames(this.hostProject.includePackageJsonAutoImports(),this.hostProject,this.hostProject.getHostForAutoImportProvider(),this.getCompilationSettings())),this.projectService.setFileNamesOfAutpImportProviderOrAuxillaryProject(this,t),this.rootFileNames=t;const r=this.getCurrentProgram(),i=super.updateGraph();return r&&r!==this.getCurrentProgram()&&this.hostProject.clearCachedExportInfoMap(),i}scheduleInvalidateResolutionsOfFailedLookupLocations(){}hasRoots(){var t;return!!((t=this.rootFileNames)!=null&&t.length)}markAsDirty(){this.rootFileNames=void 0,super.markAsDirty()}getScriptFileNames(){return this.rootFileNames||et}getLanguageService(){throw new Error("AutoImportProviderProject language service should never be used. To get the program, use `project.getCurrentProgram()`.")}onAutoImportProviderSettingsChanged(){throw new Error("AutoImportProviderProject is an auto import provider; use `markAsDirty()` instead.")}onPackageJsonChange(){throw new Error("package.json changes should be notified on an AutoImportProvider's host project")}getHostForAutoImportProvider(){throw new Error("AutoImportProviderProject cannot provide its own host; use `hostProject.getModuleResolutionHostForAutomImportProvider()` instead.")}getProjectReferences(){return this.hostProject.getProjectReferences()}includePackageJsonAutoImports(){return 0}getSymlinkCache(){return this.hostProject.getSymlinkCache()}getModuleResolutionCache(){var t;return(t=this.hostProject.getCurrentProgram())==null?void 0:t.getModuleResolutionCache()}},SZ.maxDependencies=10,SZ.compilerOptionsOverrides={diagnostics:!1,skipLibCheck:!0,sourceMap:!1,types:et,lib:et,noLib:!0},TZ=SZ,AZ=class extends mT{constructor(e,t,r,i,o){super(e,1,r,i,!1,void 0,{},!1,void 0,o,ai(e)),this.canonicalConfigFilePath=t,this.openFileWatchTriggered=new Map,this.canConfigFileJsonReportNoInputFiles=!1,this.externalProjectRefCount=0,this.isInitialLoadPending=Pv,this.sendLoadingProjectFinish=!1}setCompilerHost(e){this.compilerHost=e}getCompilerHost(){return this.compilerHost}useSourceOfProjectReferenceRedirect(){return this.languageServiceEnabled}getParsedCommandLine(e){const t=ga(e),r=this.projectService.toCanonicalFileName(t);let i=this.projectService.configFileExistenceInfoCache.get(r);return i||this.projectService.configFileExistenceInfoCache.set(r,i={exists:this.projectService.host.fileExists(t)}),this.projectService.ensureParsedConfigUptoDate(t,r,i,this),this.languageServiceEnabled&&this.projectService.serverMode===0&&this.projectService.watchWildcards(t,i,this),i.exists?i.config.parsedCommandLine:void 0}onReleaseParsedCommandLine(e){this.releaseParsedConfig(this.projectService.toCanonicalFileName(ga(e)))}releaseParsedConfig(e){this.projectService.stopWatchingWildCards(e,this),this.projectService.releaseParsedConfig(e,this)}updateGraph(){const e=this.isInitialLoadPending();this.isInitialLoadPending=Xm;const t=this.pendingUpdateLevel;this.pendingUpdateLevel=0;let r;switch(t){case 1:this.openFileWatchTriggered.clear(),r=this.projectService.reloadFileNamesOfConfiguredProject(this);break;case 2:this.openFileWatchTriggered.clear();const i=N.checkDefined(this.pendingUpdateReason);this.pendingUpdateReason=void 0,this.projectService.reloadConfiguredProject(this,i,e,!1),r=!0;break;default:r=super.updateGraph()}return this.compilerHost=void 0,this.projectService.sendProjectLoadingFinishEvent(this),this.projectService.sendProjectTelemetry(this),r}getCachedDirectoryStructureHost(){return this.directoryStructureHost}getConfigFilePath(){return this.getProjectName()}getProjectReferences(){return this.projectReferences}updateReferences(e){this.projectReferences=e,this.potentialProjectReferences=void 0}setPotentialProjectReference(e){N.assert(this.isInitialLoadPending()),(this.potentialProjectReferences||(this.potentialProjectReferences=new Set)).add(e)}getResolvedProjectReferenceToRedirect(e){const t=this.getCurrentProgram();return t&&t.getResolvedProjectReferenceToRedirect(e)}forEachResolvedProjectReference(e){var t;return(t=this.getCurrentProgram())==null?void 0:t.forEachResolvedProjectReference(e)}enablePluginsWithOptions(e){var t;if(this.plugins.length=0,!((t=e.plugins)!=null&&t.length)&&!this.projectService.globalPlugins.length)return;const r=this.projectService.host;if(!r.require&&!r.importPlugin){this.projectService.logger.info("Plugins were requested but not running in environment that supports 'require'. Nothing will be loaded");return}const i=this.getGlobalPluginSearchPaths();if(this.projectService.allowLocalPluginLoads){const o=ai(this.canonicalConfigFilePath);this.projectService.logger.info(`Local plugin loading enabled; adding ${o} to search paths`),i.unshift(o)}if(e.plugins)for(const o of e.plugins)this.enablePlugin(o,i);return this.enableGlobalPlugins(e)}getGlobalProjectErrors(){return nr(this.projectErrors,e=>!e.file)||gc}getAllProjectErrors(){return this.projectErrors||gc}setProjectErrors(e){this.projectErrors=e}close(){this.projectService.configFileExistenceInfoCache.forEach((e,t)=>this.releaseParsedConfig(t)),this.projectErrors=void 0,this.openFileWatchTriggered.clear(),this.compilerHost=void 0,super.close()}addExternalProjectReference(){this.externalProjectRefCount++}deleteExternalProjectReference(){this.externalProjectRefCount--}isSolution(){return this.getRootFilesMap().size===0&&!this.canConfigFileJsonReportNoInputFiles}getDefaultChildProjectFromProjectWithReferences(e){return $D(this,e.path,t=>UI(t,e)?t:void 0,0)}hasOpenRef(){var e;if(this.externalProjectRefCount)return!0;if(this.isClosed())return!1;const t=this.projectService.configFileExistenceInfoCache.get(this.canonicalConfigFilePath);return this.projectService.hasPendingProjectUpdate(this)?!!((e=t.openFilesImpactedByConfigFile)!=null&&e.size):!!t.openFilesImpactedByConfigFile&&Gc(t.openFilesImpactedByConfigFile,(r,i)=>{const o=this.projectService.getScriptInfoForPath(i);return this.containsScriptInfo(o)||!!$D(this,o.path,s=>s.containsScriptInfo(o),0)})||!1}hasExternalProjectRef(){return!!this.externalProjectRefCount}getEffectiveTypeRoots(){return OP(this.getCompilationSettings(),this)||[]}updateErrorOnNoInputFiles(e){xF(e,this.getConfigFilePath(),this.getCompilerOptions().configFile.configFileSpecs,this.projectErrors,this.canConfigFileJsonReportNoInputFiles)}},Jz=class extends mT{constructor(e,t,r,i,o,s,l,u){super(e,2,t,r,!0,o,i,s,u,t.host,ai(l||Lu(e))),this.externalProjectName=e,this.compileOnSaveEnabled=s,this.excludedFiles=[],this.enableGlobalPlugins(this.getCompilerOptions())}updateGraph(){const e=super.updateGraph();return this.projectService.sendProjectTelemetry(this),e}getExcludedFiles(){return this.excludedFiles}}}});function FOe(e){const t=new Map;for(const r of e)if(typeof r.type=="object"){const i=r.type;i.forEach(o=>{N.assert(typeof o=="number")}),t.set(r.name,i)}return t}function YD(e){return Po(e.indentStyle)&&(e.indentStyle=qOe.get(e.indentStyle.toLowerCase()),N.assert(e.indentStyle!==void 0)),e}function Kz(e){return UOe.forEach((t,r)=>{const i=e[r];Po(i)&&(e[r]=t.get(i.toLowerCase()))}),e}function g8(e,t){let r,i;return sD.forEach(o=>{const s=e[o.name];if(s===void 0)return;const l=HOe.get(o.name);(r||(r={}))[o.name]=l?Po(s)?l.get(s.toLowerCase()):s:ZS(o,s,t||"",i||(i=[]))}),r&&{watchOptions:r,errors:i}}function Uhe(e){let t;return Sw.forEach(r=>{const i=e[r.name];i!==void 0&&((t||(t={}))[r.name]=i)}),t}function IZ(e){return Po(e)?xZ(e):e}function xZ(e){switch(e){case"JS":return 1;case"JSX":return 2;case"TS":return 3;case"TSX":return 4;default:return 0}}function Hhe(e){const{lazyConfiguredProjectsFromExternalProject:t,...r}=e;return r}function zOe(e,t){for(const r of t)if(r.getProjectName()===e)return r}function RZ(e){return!!e.containingProjects}function BOe(e){return!!e.configFileInfo}function $D(e,t,r,i,o){var s;const l=(s=e.getCurrentProgram())==null?void 0:s.getResolvedProjectReferences();if(!l)return;let u;const p=t?e.getResolvedProjectReferenceToRedirect(t):void 0;if(p){const m=ml(p.sourceFile.fileName),b=e.projectService.findConfiguredProjectByProjectName(m);if(b){const A=r(b);if(A)return A}else if(i!==0){u=new Map;const A=qhe(l,e.getCompilerOptions(),(I,R)=>p===I?h(I,R):void 0,i,e.projectService,u);if(A)return A;u.clear()}}return qhe(l,e.getCompilerOptions(),(m,b)=>p!==m?h(m,b):void 0,i,e.projectService,u);function h(m,b){const A=ml(m.sourceFile.fileName),I=e.projectService.findConfiguredProjectByProjectName(A)||(b===0?void 0:b===1?e.projectService.createConfiguredProject(A):b===2?e.projectService.createAndLoadConfiguredProject(A,o):N.assertNever(b));return I&&r(I)}}function qhe(e,t,r,i,o,s){const l=t.disableReferencedProjectLoad?0:i;return Ue(e,u=>{if(!u)return;const p=ml(u.sourceFile.fileName),h=o.toCanonicalFileName(p),m=s==null?void 0:s.get(h);if(m!==void 0&&m>=l)return;const b=r(u,l);return b||((s||(s=new Map)).set(h,l),u.references&&qhe(u.references,u.commandLine.options,r,l,o,s))})}function GOe(e,t){return e.potentialProjectReferences&&_h(e.potentialProjectReferences,t)}function elt(e,t,r,i){return e.getCurrentProgram()?e.forEachResolvedProjectReference(t):e.isInitialLoadPending()?GOe(e,i):Ue(e.getProjectReferences(),r)}function Jhe(e,t,r){const i=r&&e.projectService.configuredProjects.get(r);return i&&t(i)}function VOe(e,t){return elt(e,r=>Jhe(e,t,r.sourceFile.path),r=>Jhe(e,t,e.toPath(vD(r))),r=>Jhe(e,t,r))}function tlt(e,t){return`${Po(t)?`Config: ${t} `:t?`Project: ${t.getProjectName()} `:""}WatchType: ${e}`}function jOe(e){return!e.isScriptOpen()&&e.mTime!==void 0}function UI(e,t){return e.containsScriptInfo(t)&&!e.isSourceOfProjectReferenceRedirect(t.path)}function jp(e){return e.invalidateResolutionsOfFailedLookupLocations(),e.dirty&&e.updateGraph()}function Khe(e){V0(e)&&(e.projectOptions=!0)}function Xhe(e){let t=1;return()=>e(t++)}function Yhe(){return{idToCallbacks:new Map,pathToId:new Map}}function nlt(e,t){if(!t||!e.eventHandler||!e.session)return;const r=Yhe(),i=Yhe(),o=Yhe();let s=1;return e.session.addProtocolHandler("watchChange",I=>(h(I.arguments),{responseRequired:!1})),{watchFile:l,watchDirectory:u,getCurrentDirectory:()=>e.host.getCurrentDirectory(),useCaseSensitiveFileNames:e.host.useCaseSensitiveFileNames};function l(I,R){return p(r,I,R,M=>({eventName:r9,data:{id:M,path:I}}))}function u(I,R,M){return p(M?o:i,I,R,C=>({eventName:i9,data:{id:C,path:I,recursive:!!M,ignoreUpdate:I.endsWith("/node_modules")?void 0:!0}}))}function p({pathToId:I,idToCallbacks:R},M,C,O){const U=e.toPath(M);let K=I.get(U);K||I.set(U,K=s++);let Z=R.get(K);return Z||(R.set(K,Z=new Set),e.eventHandler(O(K))),Z.add(C),{close(){const V=R.get(K);V!=null&&V.delete(C)&&(V.size||(R.delete(K),I.delete(U),e.eventHandler({eventName:o9,data:{id:K}})))}}}function h(I){To(I)?I.forEach(m):m(I)}function m({id:I,created:R,deleted:M,updated:C}){b(I,R,0),b(I,M,2),b(I,C,1)}function b(I,R,M){R!=null&&R.length&&(A(r,I,R,(C,O)=>C(O,M)),A(i,I,R,(C,O)=>C(O)),A(o,I,R,(C,O)=>C(O)))}function A(I,R,M,C){var O;(O=I.idToCallbacks.get(R))==null||O.forEach(U=>{M.forEach(K=>C(U,Lu(K)))})}}function rlt(){let e;return{get(){return e},set(t){e=t},clear(){e=void 0}}}function $he(e){return e.kind!==void 0}function Qhe(e){e.print(!1,!1,!1)}var Xz,Yz,v8,$z,Qz,Zz,e9,t9,n9,DZ,r9,i9,o9,Zhe,UOe,HOe,qOe,NZ,a9,s9,CZ,PZ,ege,MZ,ilt=T({"src/server/editorServices.ts"(){db(),_T(),Fhe(),Xz=20*1024*1024,Yz=4*1024*1024,v8="projectsUpdatedInBackground",$z="projectLoadingStart",Qz="projectLoadingFinish",Zz="largeFileReferenced",e9="configFileDiag",t9="projectLanguageServiceState",n9="projectInfo",DZ="openFileInfo",r9="createFileWatcher",i9="createDirectoryWatcher",o9="closeFileWatcher",Zhe="*ensureProjectForOpenFiles*",UOe=FOe(pg),HOe=FOe(sD),qOe=new Map(Object.entries({none:0,block:1,smart:2})),NZ={jquery:{match:/jquery(-[\d.]+)?(\.intellisense)?(\.min)?\.js$/i,types:["jquery"]},WinJS:{match:/^(.*\/winjs-[.\d]+)\/js\/base\.js$/i,exclude:[["^",1,"/.*"]],types:["winjs"]},Kendo:{match:/^(.*\/kendo(-ui)?)\/kendo\.all(\.min)?\.js$/i,exclude:[["^",1,"/.*"]],types:["kendo-ui"]},"Office Nuget":{match:/^(.*\/office\/1)\/excel-\d+\.debug\.js$/i,exclude:[["^",1,"/.*"]],types:["office"]},References:{match:/^(.*\/_references\.js)$/i,exclude:[["^",1,"$"]]}},a9={getFileName:e=>e,getScriptKind:(e,t)=>{let r;if(t){const i=Jx(e);i&&bt(t,o=>o.extension===i?(r=o.scriptKind,!0):!1)}return r},hasMixedContent:(e,t)=>bt(t,r=>r.isMixedContent&&Il(e,r.extension))},s9={getFileName:e=>e.fileName,getScriptKind:e=>IZ(e.scriptKind),hasMixedContent:e=>!!e.hasMixedContent},CZ={close:$a},PZ=(e=>(e[e.Find=0]="Find",e[e.FindCreate=1]="FindCreate",e[e.FindCreateLoad=2]="FindCreateLoad",e))(PZ||{}),ege=class Hbe{constructor(t){this.filenameToScriptInfo=new Map,this.nodeModulesWatchers=new Map,this.filenameToScriptInfoVersion=new Map,this.allJsFilesForOpenFileTelemetry=new Map,this.externalProjectToConfiguredProjectMap=new Map,this.externalProjects=[],this.inferredProjects=[],this.configuredProjects=new Map,this.newInferredProjectName=Xhe(Ehe),this.newAutoImportProviderProjectName=Xhe(She),this.newAuxiliaryProjectName=Xhe(The),this.openFiles=new Map,this.configFileForOpenFiles=new Map,this.openFilesWithNonRootedDiskPath=new Map,this.compilerOptionsForInferredProjectsPerProjectRoot=new Map,this.watchOptionsForInferredProjectsPerProjectRoot=new Map,this.typeAcquisitionForInferredProjectsPerProjectRoot=new Map,this.projectToSizeMap=new Map,this.configFileExistenceInfoCache=new Map,this.safelist=NZ,this.legacySafelist=new Map,this.pendingProjectUpdates=new Map,this.pendingEnsureProjectForOpenFiles=!1,this.seenProjects=new Map,this.sharedExtendedConfigFileWatchers=new Map,this.extendedConfigCache=new Map,this.baseline=$a,this.verifyDocumentRegistry=$a,this.verifyProgram=$a,this.onProjectCreation=$a;var r;this.host=t.host,this.logger=t.logger,this.cancellationToken=t.cancellationToken,this.useSingleInferredProject=t.useSingleInferredProject,this.useInferredProjectPerProjectRoot=t.useInferredProjectPerProjectRoot,this.typingsInstaller=t.typingsInstaller||qz,this.throttleWaitMilliseconds=t.throttleWaitMilliseconds,this.eventHandler=t.eventHandler,this.suppressDiagnosticEvents=t.suppressDiagnosticEvents,this.globalPlugins=t.globalPlugins||gc,this.pluginProbeLocations=t.pluginProbeLocations||gc,this.allowLocalPluginLoads=!!t.allowLocalPluginLoads,this.typesMapLocation=t.typesMapLocation===void 0?Qr(ai(this.getExecutingFilePath()),"typesMap.json"):t.typesMapLocation,this.session=t.session,this.jsDocParsingMode=t.jsDocParsingMode,t.serverMode!==void 0?this.serverMode=t.serverMode:this.serverMode=0,this.host.realpath&&(this.realpathToScriptInfos=Zp()),this.currentDirectory=ml(this.host.getCurrentDirectory()),this.toCanonicalFileName=Mu(this.host.useCaseSensitiveFileNames),this.globalCacheLocationDirectoryPath=this.typingsInstaller.globalTypingsCacheLocation?Bc(this.toPath(this.typingsInstaller.globalTypingsCacheLocation)):void 0,this.throttledOperations=new fZ(this.host,this.logger),this.typesMapLocation?this.loadTypesMap():this.logger.info("No types map provided; using the default"),this.typingsInstaller.attach(this),this.typingsCache=new vZ(this.typingsInstaller),this.hostConfiguration={formatCodeOptions:X7(this.host.newLine),preferences:kf,hostInfo:"Unknown host",extraFileExtensions:[]},this.documentRegistry=rY(this.host.useCaseSensitiveFileNames,this.currentDirectory,this.jsDocParsingMode,this);const i=this.logger.hasLevel(3)?2:this.logger.loggingEnabled()?1:0,o=i!==0?s=>this.logger.info(s):$a;this.packageJsonCache=nge(this),this.watchFactory=this.serverMode!==0?{watchFile:TD,watchDirectory:TD}:NJ(nlt(this,t.canUseWatchEvents)||this.host,i,o,tlt),(r=t.incrementalVerifier)==null||r.call(t,this)}toPath(t){return ol(t,this.currentDirectory,this.toCanonicalFileName)}getExecutingFilePath(){return this.getNormalizedAbsolutePath(this.host.getExecutingFilePath())}getNormalizedAbsolutePath(t){return go(t,this.host.getCurrentDirectory())}setDocument(t,r,i){const o=N.checkDefined(this.getScriptInfoForPath(r));o.cacheSourceFile={key:t,sourceFile:i}}getDocument(t,r){const i=this.getScriptInfoForPath(r);return i&&i.cacheSourceFile&&i.cacheSourceFile.key===t?i.cacheSourceFile.sourceFile:void 0}ensureInferredProjectsUpToDate_TestOnly(){this.ensureProjectStructuresUptoDate()}getCompilerOptionsForInferredProjects(){return this.compilerOptionsForInferredProjects}onUpdateLanguageServiceStateForProject(t,r){if(!this.eventHandler)return;const i={eventName:t9,data:{project:t,languageServiceEnabled:r}};this.eventHandler(i)}loadTypesMap(){try{const t=this.host.readFile(this.typesMapLocation);if(t===void 0){this.logger.info(`Provided types map file "${this.typesMapLocation}" doesn't exist`);return}const r=JSON.parse(t);for(const i of Object.keys(r.typesMap))r.typesMap[i].match=new RegExp(r.typesMap[i].match,"i");this.safelist=r.typesMap;for(const i in r.simpleMap)xs(r.simpleMap,i)&&this.legacySafelist.set(i,r.simpleMap[i].toLowerCase())}catch(t){this.logger.info(`Error loading types map: ${t}`),this.safelist=NZ,this.legacySafelist.clear()}}updateTypingsForProject(t){const r=this.findProject(t.projectName);if(r)switch(t.kind){case Qw:r.updateTypingFiles(this.typingsCache.updateTypingsForProject(t.projectName,t.compilerOptions,t.typeAcquisition,t.unresolvedImports,t.typings));return;case Zw:this.typingsCache.enqueueInstallTypingsForProject(r,r.lastCachedUnresolvedImportsList,!0);return}}watchTypingLocations(t){var r;(r=this.findProject(t.projectName))==null||r.watchTypingLocations(t.files)}delayEnsureProjectForOpenFiles(){this.openFiles.size&&(this.pendingEnsureProjectForOpenFiles=!0,this.throttledOperations.schedule(Zhe,2500,()=>{this.pendingProjectUpdates.size!==0?this.delayEnsureProjectForOpenFiles():this.pendingEnsureProjectForOpenFiles&&(this.ensureProjectForOpenFiles(),this.sendProjectsUpdatedInBackgroundEvent())}))}delayUpdateProjectGraph(t){if(t.markAsDirty(),h8(t))return;const r=t.getProjectName();this.pendingProjectUpdates.set(r,t),this.throttledOperations.schedule(r,250,()=>{this.pendingProjectUpdates.delete(r)&&jp(t)})}hasPendingProjectUpdate(t){return this.pendingProjectUpdates.has(t.getProjectName())}sendProjectsUpdatedInBackgroundEvent(){if(!this.eventHandler)return;const t={eventName:v8,data:{openFiles:zo(this.openFiles.keys(),r=>this.getScriptInfoForPath(r).fileName)}};this.eventHandler(t)}sendLargeFileReferencedEvent(t,r){if(!this.eventHandler)return;const i={eventName:Zz,data:{file:t,fileSize:r,maxFileSize:Yz}};this.eventHandler(i)}sendProjectLoadingStartEvent(t,r){if(!this.eventHandler)return;t.sendLoadingProjectFinish=!0;const i={eventName:$z,data:{project:t,reason:r}};this.eventHandler(i)}sendProjectLoadingFinishEvent(t){if(!this.eventHandler||!t.sendLoadingProjectFinish)return;t.sendLoadingProjectFinish=!1;const r={eventName:Qz,data:{project:t}};this.eventHandler(r)}sendPerformanceEvent(t,r){this.performanceEventHandler&&this.performanceEventHandler({kind:t,durationMs:r})}delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(t){this.delayUpdateProjectGraph(t),this.delayEnsureProjectForOpenFiles()}delayUpdateProjectGraphs(t,r){if(t.length){for(const i of t)r&&i.clearSourceMapperCache(),this.delayUpdateProjectGraph(i);this.delayEnsureProjectForOpenFiles()}}setCompilerOptionsForInferredProjects(t,r){N.assert(r===void 0||this.useInferredProjectPerProjectRoot,"Setting compiler options per project root path is only supported when useInferredProjectPerProjectRoot is enabled");const i=Kz(t),o=g8(t,r),s=Uhe(t);i.allowNonTsExtensions=!0;const l=r&&this.toCanonicalFileName(r);l?(this.compilerOptionsForInferredProjectsPerProjectRoot.set(l,i),this.watchOptionsForInferredProjectsPerProjectRoot.set(l,o||!1),this.typeAcquisitionForInferredProjectsPerProjectRoot.set(l,s)):(this.compilerOptionsForInferredProjects=i,this.watchOptionsForInferredProjects=o,this.typeAcquisitionForInferredProjects=s);for(const u of this.inferredProjects)(l?u.projectRootPath===l:!u.projectRootPath||!this.compilerOptionsForInferredProjectsPerProjectRoot.has(u.projectRootPath))&&(u.setCompilerOptions(i),u.setTypeAcquisition(s),u.setWatchOptions(o==null?void 0:o.watchOptions),u.setProjectErrors(o==null?void 0:o.errors),u.compileOnSaveEnabled=i.compileOnSave,u.markAsDirty(),this.delayUpdateProjectGraph(u));this.delayEnsureProjectForOpenFiles()}findProject(t){if(t!==void 0)return bhe(t)?zOe(t,this.inferredProjects):this.findExternalProjectByProjectName(t)||this.findConfiguredProjectByProjectName(ml(t))}forEachProject(t){this.externalProjects.forEach(t),this.configuredProjects.forEach(t),this.inferredProjects.forEach(t)}forEachEnabledProject(t){this.forEachProject(r=>{!r.isOrphan()&&r.languageServiceEnabled&&t(r)})}getDefaultProjectForFile(t,r){return r?this.ensureDefaultProjectForFile(t):this.tryGetDefaultProjectForFile(t)}tryGetDefaultProjectForFile(t){const r=Po(t)?this.getScriptInfoForNormalizedPath(t):t;return r&&!r.isOrphan()?r.getDefaultProject():void 0}ensureDefaultProjectForFile(t){return this.tryGetDefaultProjectForFile(t)||this.doEnsureDefaultProjectForFile(t)}doEnsureDefaultProjectForFile(t){this.ensureProjectStructuresUptoDate();const r=Po(t)?this.getScriptInfoForNormalizedPath(t):t;return r?r.getDefaultProject():(this.logErrorForScriptInfoNotFound(Po(t)?t:t.fileName),oy.ThrowNoProject())}getScriptInfoEnsuringProjectsUptoDate(t){return this.ensureProjectStructuresUptoDate(),this.getScriptInfo(t)}ensureProjectStructuresUptoDate(){let t=this.pendingEnsureProjectForOpenFiles;this.pendingProjectUpdates.clear();const r=i=>{t=jp(i)||t};this.externalProjects.forEach(r),this.configuredProjects.forEach(r),this.inferredProjects.forEach(r),t&&this.ensureProjectForOpenFiles()}getFormatCodeOptions(t){const r=this.getScriptInfoForNormalizedPath(t);return r&&r.getFormatCodeSettings()||this.hostConfiguration.formatCodeOptions}getPreferences(t){const r=this.getScriptInfoForNormalizedPath(t);return{...this.hostConfiguration.preferences,...r&&r.getPreferences()}}getHostFormatCodeOptions(){return this.hostConfiguration.formatCodeOptions}getHostPreferences(){return this.hostConfiguration.preferences}onSourceFileChanged(t,r){r===2?this.handleDeletedFile(t):t.isScriptOpen()||(t.delayReloadNonMixedContentFile(),this.delayUpdateProjectGraphs(t.containingProjects,!1),this.handleSourceMapProjects(t))}handleSourceMapProjects(t){if(t.sourceMapFilePath)if(Po(t.sourceMapFilePath)){const r=this.getScriptInfoForPath(t.sourceMapFilePath);this.delayUpdateSourceInfoProjects(r&&r.sourceInfos)}else this.delayUpdateSourceInfoProjects(t.sourceMapFilePath.sourceInfos);this.delayUpdateSourceInfoProjects(t.sourceInfos),t.declarationInfoPath&&this.delayUpdateProjectsOfScriptInfoPath(t.declarationInfoPath)}delayUpdateSourceInfoProjects(t){t&&t.forEach((r,i)=>this.delayUpdateProjectsOfScriptInfoPath(i))}delayUpdateProjectsOfScriptInfoPath(t){const r=this.getScriptInfoForPath(t);r&&this.delayUpdateProjectGraphs(r.containingProjects,!0)}handleDeletedFile(t){if(this.stopWatchingScriptInfo(t),!t.isScriptOpen()){this.deleteScriptInfo(t);const r=t.containingProjects.slice();if(t.detachAllProjects(),this.delayUpdateProjectGraphs(r,!1),this.handleSourceMapProjects(t),t.closeSourceMapFileWatcher(),t.declarationInfoPath){const i=this.getScriptInfoForPath(t.declarationInfoPath);i&&(i.sourceMapFilePath=void 0)}}}watchWildcardDirectory(t,r,i,o){let s=this.watchFactory.watchDirectory(t,u=>{const p=this.toPath(u),h=o.cachedDirectoryStructureHost.addOrDeleteFileOrDirectory(u,p);if(ic(p)==="package.json"&&!IO(p)&&(h&&h.fileExists||!h&&this.host.fileExists(u))){const b=this.getNormalizedAbsolutePath(u);this.logger.info(`Config: ${i} Detected new package.json: ${b}`),this.packageJsonCache.addOrUpdate(b,p),this.watchPackageJsonFile(b,p,l)}const m=this.findConfiguredProjectByProjectName(i);Vw({watchedDirPath:this.toPath(t),fileOrDirectory:u,fileOrDirectoryPath:p,configFileName:i,extraFileExtensions:this.hostConfiguration.extraFileExtensions,currentDirectory:this.currentDirectory,options:o.parsedCommandLine.options,program:(m==null?void 0:m.getCurrentProgram())||o.parsedCommandLine.fileNames,useCaseSensitiveFileNames:this.host.useCaseSensitiveFileNames,writeLog:b=>this.logger.info(b),toPath:b=>this.toPath(b),getScriptKind:m?b=>m.getScriptKind(b):void 0})||(o.updateLevel!==2&&(o.updateLevel=1),o.projects.forEach((b,A)=>{if(!b)return;const I=this.getConfiguredProjectByCanonicalConfigFilePath(A);if(!I)return;const R=m===I?1:0;if(!(I.pendingUpdateLevel!==void 0&&I.pendingUpdateLevel>R))if(this.openFiles.has(p))if(N.checkDefined(this.getScriptInfoForPath(p)).isAttached(I)){const C=Math.max(R,I.openFileWatchTriggered.get(p)||0);I.openFileWatchTriggered.set(p,C)}else I.pendingUpdateLevel=R,this.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(I);else I.pendingUpdateLevel=R,this.delayUpdateProjectGraphAndEnsureProjectStructureForOpenFiles(I)}))},r,this.getWatchOptionsFromProjectWatchOptions(o.parsedCommandLine.watchOptions),wc.WildcardDirectory,i);const l={packageJsonWatches:void 0,close(){var u;s&&(s.close(),s=void 0,(u=l.packageJsonWatches)==null||u.forEach(p=>{p.projects.delete(l),p.close()}),l.packageJsonWatches=void 0)}};return l}delayUpdateProjectsFromParsedConfigOnConfigFileChange(t,r){const i=this.configFileExistenceInfoCache.get(t);if(!(i!=null&&i.config))return!1;let o=!1;return i.config.updateLevel=2,i.config.projects.forEach((s,l)=>{const u=this.getConfiguredProjectByCanonicalConfigFilePath(l);if(u)if(o=!0,l===t){if(u.isInitialLoadPending())return;u.pendingUpdateLevel=2,u.pendingUpdateReason=r,this.delayUpdateProjectGraph(u)}else u.resolutionCache.removeResolutionsFromProjectReferenceRedirects(this.toPath(t)),this.delayUpdateProjectGraph(u)}),o}onConfigFileChanged(t,r){var i;const o=this.configFileExistenceInfoCache.get(t);if(r===2){o.exists=!1;const s=(i=o.config)!=null&&i.projects.has(t)?this.getConfiguredProjectByCanonicalConfigFilePath(t):void 0;s&&this.removeProject(s)}else o.exists=!0;this.delayUpdateProjectsFromParsedConfigOnConfigFileChange(t,"Change in config file detected"),this.reloadConfiguredProjectForFiles(o.openFilesImpactedByConfigFile,!1,!0,r!==2?nl:Pv,"Change in config file detected"),this.delayEnsureProjectForOpenFiles()}removeProject(t){switch(this.logger.info("`remove Project::"),t.print(!0,!0,!1),t.close(),N.shouldAssert(1)&&this.filenameToScriptInfo.forEach(r=>N.assert(!r.isAttached(t),"Found script Info still attached to project",()=>`${t.projectName}: ScriptInfos still attached: ${JSON.stringify(zo(Pg(this.filenameToScriptInfo.values(),i=>i.isAttached(t)?{fileName:i.fileName,projects:i.containingProjects.map(o=>o.projectName),hasMixedContent:i.hasMixedContent}:void 0)),void 0," ")}`)),this.pendingProjectUpdates.delete(t.getProjectName()),t.projectKind){case 2:TA(this.externalProjects,t),this.projectToSizeMap.delete(t.getProjectName());break;case 1:this.configuredProjects.delete(t.canonicalConfigFilePath),this.projectToSizeMap.delete(t.canonicalConfigFilePath);break;case 0:TA(this.inferredProjects,t);break}}assignOrphanScriptInfoToInferredProject(t,r){N.assert(t.isOrphan());const i=this.getOrCreateInferredProjectForProjectRootPathIfEnabled(t,r)||this.getOrCreateSingleInferredProjectIfEnabled()||this.getOrCreateSingleInferredWithoutProjectRoot(t.isDynamic?r||this.currentDirectory:ai(fp(t.fileName)?t.fileName:go(t.fileName,r?this.getNormalizedAbsolutePath(r):this.currentDirectory)));if(i.addRoot(t),t.containingProjects[0]!==i&&(Gx(t.containingProjects,i),t.containingProjects.unshift(i)),i.updateGraph(),!this.useSingleInferredProject&&!i.projectRootPath)for(const o of this.inferredProjects){if(o===i||o.isOrphan())continue;const s=o.getRootScriptInfos();N.assert(s.length===1||!!o.projectRootPath),s.length===1&&Ue(s[0].containingProjects,l=>l!==s[0].containingProjects[0]&&!l.isOrphan())&&o.removeFile(s[0],!0,!0)}return i}assignOrphanScriptInfosToInferredProject(){this.openFiles.forEach((t,r)=>{const i=this.getScriptInfoForPath(r);i.isOrphan()&&this.assignOrphanScriptInfoToInferredProject(i,t)})}closeOpenFile(t,r){const i=t.isDynamic?!1:this.host.fileExists(t.fileName);t.close(i),this.stopWatchingConfigFilesForClosedScriptInfo(t);const o=this.toCanonicalFileName(t.fileName);this.openFilesWithNonRootedDiskPath.get(o)===t&&this.openFilesWithNonRootedDiskPath.delete(o);let s=!1;for(const l of t.containingProjects){if(V0(l)){t.hasMixedContent&&t.registerFileUpdate();const u=l.openFileWatchTriggered.get(t.path);u!==void 0&&(l.openFileWatchTriggered.delete(t.path),l.pendingUpdateLevel!==void 0&&l.pendingUpdateLevel<u&&(l.pendingUpdateLevel=u,l.markFileAsDirty(t.path)))}else XD(l)&&l.isRoot(t)&&(l.isProjectWithSingleRoot()&&(s=!0),l.removeFile(t,i,!0));l.languageServiceEnabled||l.markAsDirty()}return this.openFiles.delete(t.path),this.configFileForOpenFiles.delete(t.path),!r&&s&&this.assignOrphanScriptInfosToInferredProject(),i?this.watchClosedScriptInfo(t):this.handleDeletedFile(t),s}deleteScriptInfo(t){this.filenameToScriptInfo.delete(t.path),this.filenameToScriptInfoVersion.set(t.path,t.textStorage.version);const r=t.getRealpathIfDifferent();r&&this.realpathToScriptInfos.remove(r,t)}configFileExists(t,r,i){var o;let s=this.configFileExistenceInfoCache.get(r);if(s)return RZ(i)&&!((o=s.openFilesImpactedByConfigFile)!=null&&o.has(i.path))&&(s.openFilesImpactedByConfigFile||(s.openFilesImpactedByConfigFile=new Map)).set(i.path,!1),s.exists;const l=this.host.fileExists(t);let u;return RZ(i)&&(u||(u=new Map)).set(i.path,!1),s={exists:l,openFilesImpactedByConfigFile:u},this.configFileExistenceInfoCache.set(r,s),l}createConfigFileWatcherForParsedConfig(t,r,i){var o,s;const l=this.configFileExistenceInfoCache.get(r);(!l.watcher||l.watcher===CZ)&&(l.watcher=this.watchFactory.watchFile(t,(p,h)=>this.onConfigFileChanged(r,h),2e3,this.getWatchOptionsFromProjectWatchOptions((s=(o=l==null?void 0:l.config)==null?void 0:o.parsedCommandLine)==null?void 0:s.watchOptions),wc.ConfigFile,i));const u=l.config.projects;u.set(i.canonicalConfigFilePath,u.get(i.canonicalConfigFilePath)||!1)}configFileExistenceImpactsRootOfInferredProject(t){return t.openFilesImpactedByConfigFile&&Gc(t.openFilesImpactedByConfigFile,nl)}releaseParsedConfig(t,r){var i,o,s;const l=this.configFileExistenceInfoCache.get(t);(i=l.config)!=null&&i.projects.delete(r.canonicalConfigFilePath)&&((o=l.config)!=null&&o.projects.size||(l.config=void 0,RJ(t,this.sharedExtendedConfigFileWatchers),N.checkDefined(l.watcher),(s=l.openFilesImpactedByConfigFile)!=null&&s.size?this.configFileExistenceImpactsRootOfInferredProject(l)?M7(zc(ai(t)))||(l.watcher.close(),l.watcher=CZ):(l.watcher.close(),l.watcher=void 0):(l.watcher.close(),this.configFileExistenceInfoCache.delete(t))))}closeConfigFileWatcherOnReleaseOfOpenFile(t){t.watcher&&!t.config&&!this.configFileExistenceImpactsRootOfInferredProject(t)&&(t.watcher.close(),t.watcher=void 0)}stopWatchingConfigFilesForClosedScriptInfo(t){N.assert(!t.isScriptOpen()),this.forEachConfigFileLocation(t,r=>{var i,o,s;const l=this.configFileExistenceInfoCache.get(r);if(l){const u=(i=l.openFilesImpactedByConfigFile)==null?void 0:i.get(t.path);(o=l.openFilesImpactedByConfigFile)==null||o.delete(t.path),u&&this.closeConfigFileWatcherOnReleaseOfOpenFile(l),!((s=l.openFilesImpactedByConfigFile)!=null&&s.size)&&!l.config&&(N.assert(!l.watcher),this.configFileExistenceInfoCache.delete(r))}})}startWatchingConfigFilesForInferredProjectRoot(t){N.assert(t.isScriptOpen()),this.forEachConfigFileLocation(t,(r,i)=>{let o=this.configFileExistenceInfoCache.get(r);o||(o={exists:this.host.fileExists(i)},this.configFileExistenceInfoCache.set(r,o)),(o.openFilesImpactedByConfigFile||(o.openFilesImpactedByConfigFile=new Map)).set(t.path,!0),o.watcher||(o.watcher=M7(zc(ai(r)))?this.watchFactory.watchFile(i,(s,l)=>this.onConfigFileChanged(r,l),2e3,this.hostConfiguration.watchOptions,wc.ConfigFileForInferredRoot):CZ)})}stopWatchingConfigFilesForInferredProjectRoot(t){this.forEachConfigFileLocation(t,r=>{var i;const o=this.configFileExistenceInfoCache.get(r);(i=o==null?void 0:o.openFilesImpactedByConfigFile)!=null&&i.has(t.path)&&(N.assert(t.isScriptOpen()),o.openFilesImpactedByConfigFile.set(t.path,!1),this.closeConfigFileWatcherOnReleaseOfOpenFile(o))})}forEachConfigFileLocation(t,r){if(this.serverMode!==0)return;N.assert(!RZ(t)||this.openFiles.has(t.path));const i=this.openFiles.get(t.path);if(N.checkDefined(this.getScriptInfo(t.path)).isDynamic)return;let s=ai(t.fileName);const l=()=>bm(i,s,this.currentDirectory,!this.host.useCaseSensitiveFileNames),u=!i||!l();let p=!BOe(t);do{if(p){const m=f8(s,this.currentDirectory,this.toCanonicalFileName),b=Qr(s,"tsconfig.json");let A=r(Qr(m,"tsconfig.json"),b);if(A)return b;const I=Qr(s,"jsconfig.json");if(A=r(Qr(m,"jsconfig.json"),I),A)return I;if(d4(m))break}const h=ai(s);if(h===s)break;s=h,p=!0}while(u||l())}findDefaultConfiguredProject(t){if(!t.isScriptOpen())return;const r=this.getConfigFileNameForFile(t),i=r&&this.findConfiguredProjectByProjectName(r);return i&&UI(i,t)?i:i==null?void 0:i.getDefaultChildProjectFromProjectWithReferences(t)}getConfigFileNameForFile(t){if(!BOe(t)){const i=this.configFileForOpenFiles.get(t.path);if(i!==void 0)return i||void 0}this.logger.info(`Search path: ${ai(t.fileName)}`);const r=this.forEachConfigFileLocation(t,(i,o)=>this.configFileExists(o,i,t));return r?this.logger.info(`For info: ${t.fileName} :: Config file name: ${r}`):this.logger.info(`For info: ${t.fileName} :: No config files found.`),RZ(t)&&this.configFileForOpenFiles.set(t.path,r||!1),r}printProjects(){this.logger.hasLevel(1)&&(this.logger.startGroup(),this.externalProjects.forEach(Qhe),this.configuredProjects.forEach(Qhe),this.inferredProjects.forEach(Qhe),this.logger.info("Open files: "),this.openFiles.forEach((t,r)=>{const i=this.getScriptInfoForPath(r);this.logger.info(` FileName: ${i.fileName} ProjectRootPath: ${t}`),this.logger.info(` Projects: ${i.containingProjects.map(o=>o.getProjectName())}`)}),this.logger.endGroup())}findConfiguredProjectByProjectName(t){const r=this.toCanonicalFileName(t);return this.getConfiguredProjectByCanonicalConfigFilePath(r)}getConfiguredProjectByCanonicalConfigFilePath(t){return this.configuredProjects.get(t)}findExternalProjectByProjectName(t){return zOe(t,this.externalProjects)}getFilenameForExceededTotalSizeLimitForNonTsFiles(t,r,i,o){if(r&&r.disableSizeLimit||!this.host.getFileSize)return;let s=Xz;this.projectToSizeMap.set(t,0),this.projectToSizeMap.forEach(u=>s-=u||0);let l=0;for(const u of i){const p=o.getFileName(u);if(!XA(p)&&(l+=this.host.getFileSize(p),l>Xz||l>s)){const h=i.map(m=>o.getFileName(m)).filter(m=>!XA(m)).map(m=>({name:m,size:this.host.getFileSize(m)})).sort((m,b)=>b.size-m.size).slice(0,5);return this.logger.info(`Non TS file size exceeded limit (${l}). Largest files: ${h.map(m=>`${m.name}:${m.size}`).join(", ")}`),p}}this.projectToSizeMap.set(t,l)}createExternalProject(t,r,i,o,s){const l=Kz(i),u=g8(i,ai(Lu(t))),p=new Jz(t,this,this.documentRegistry,l,this.getFilenameForExceededTotalSizeLimitForNonTsFiles(t,l,r,s9),i.compileOnSave===void 0?!0:i.compileOnSave,void 0,u==null?void 0:u.watchOptions);return p.setProjectErrors(u==null?void 0:u.errors),p.excludedFiles=s,this.addFilesToNonInferredProject(p,r,s9,o),this.externalProjects.push(p),p}sendProjectTelemetry(t){if(this.seenProjects.has(t.projectName)){Khe(t);return}if(this.seenProjects.set(t.projectName,!0),!this.eventHandler||!this.host.createSHA256Hash){Khe(t);return}const r=V0(t)?t.projectOptions:void 0;Khe(t);const i={projectId:this.host.createSHA256Hash(t.projectName),fileStats:_8(t.getScriptInfos(),!0),compilerOptions:kse(t.getCompilationSettings()),typeAcquisition:s(t.getTypeAcquisition()),extends:r&&r.configHasExtendsProperty,files:r&&r.configHasFilesProperty,include:r&&r.configHasIncludeProperty,exclude:r&&r.configHasExcludeProperty,compileOnSave:t.compileOnSaveEnabled,configFileName:o(),projectType:t instanceof Jz?"external":"configured",languageServiceEnabled:t.languageServiceEnabled,version:Re};this.eventHandler({eventName:n9,data:i});function o(){return V0(t)&&pZ(t.getConfigFilePath())||"other"}function s({enable:l,include:u,exclude:p}){return{enable:l,include:u!==void 0&&u.length!==0,exclude:p!==void 0&&p.length!==0}}}addFilesToNonInferredProject(t,r,i,o){this.updateNonInferredProjectFiles(t,r,i),t.setTypeAcquisition(o),t.markAsDirty()}createConfiguredProject(t){var r;(r=sr)==null||r.instant(sr.Phase.Session,"createConfiguredProject",{configFilePath:t}),this.logger.info(`Creating configuration project ${t}`);const i=this.toCanonicalFileName(t);let o=this.configFileExistenceInfoCache.get(i);o?o.exists=!0:this.configFileExistenceInfoCache.set(i,o={exists:!0}),o.config||(o.config={cachedDirectoryStructureHost:g7(this.host,this.host.getCurrentDirectory(),this.host.useCaseSensitiveFileNames),projects:new Map,updateLevel:2});const s=new AZ(t,i,this,this.documentRegistry,o.config.cachedDirectoryStructureHost);return this.configuredProjects.set(i,s),this.createConfigFileWatcherForParsedConfig(t,i,s),s}createConfiguredProjectWithDelayLoad(t,r){const i=this.createConfiguredProject(t);return i.pendingUpdateLevel=2,i.pendingUpdateReason=r,i}createAndLoadConfiguredProject(t,r){const i=this.createConfiguredProject(t);return this.loadConfiguredProject(i,r),i}createLoadAndUpdateConfiguredProject(t,r){const i=this.createAndLoadConfiguredProject(t,r);return i.updateGraph(),i}loadConfiguredProject(t,r){var i,o;(i=sr)==null||i.push(sr.Phase.Session,"loadConfiguredProject",{configFilePath:t.canonicalConfigFilePath}),this.sendProjectLoadingStartEvent(t,r);const s=ga(t.getConfigFilePath()),l=this.ensureParsedConfigUptoDate(s,t.canonicalConfigFilePath,this.configFileExistenceInfoCache.get(t.canonicalConfigFilePath),t),u=l.config.parsedCommandLine;N.assert(!!u.fileNames);const p=u.options;t.projectOptions||(t.projectOptions={configHasExtendsProperty:u.raw.extends!==void 0,configHasFilesProperty:u.raw.files!==void 0,configHasIncludeProperty:u.raw.include!==void 0,configHasExcludeProperty:u.raw.exclude!==void 0}),t.canConfigFileJsonReportNoInputFiles=MP(u.raw),t.setProjectErrors(u.options.configFile.parseDiagnostics),t.updateReferences(u.projectReferences);const h=this.getFilenameForExceededTotalSizeLimitForNonTsFiles(t.canonicalConfigFilePath,p,u.fileNames,a9);h?(t.disableLanguageService(h),this.configFileExistenceInfoCache.forEach((b,A)=>this.stopWatchingWildCards(A,t))):(t.setCompilerOptions(p),t.setWatchOptions(u.watchOptions),t.enableLanguageService(),this.watchWildcards(s,l,t)),t.enablePluginsWithOptions(p);const m=u.fileNames.concat(t.getExternalFiles(2));this.updateRootAndOptionsOfNonInferredProject(t,m,a9,p,u.typeAcquisition,u.compileOnSave,u.watchOptions),(o=sr)==null||o.pop()}ensureParsedConfigUptoDate(t,r,i,o){var s,l,u;if(i.config){if(!i.config.updateLevel)return i;if(i.config.updateLevel===1)return this.reloadFileNamesOfParsedConfig(t,i.config),i}const p=((s=i.config)==null?void 0:s.cachedDirectoryStructureHost)||g7(this.host,this.host.getCurrentDirectory(),this.host.useCaseSensitiveFileNames),h=PP(t,R=>this.host.readFile(R)),m=pw(t,Po(h)?h:""),b=m.parseDiagnostics;Po(h)||b.push(h);const A=hw(m,p,ai(t),{},t,[],this.hostConfiguration.extraFileExtensions,this.extendedConfigCache);A.errors.length&&b.push(...A.errors),this.logger.info(`Config: ${t} : ${JSON.stringify({rootNames:A.fileNames,options:A.options,watchOptions:A.watchOptions,projectReferences:A.projectReferences},void 0," ")}`);const I=(l=i.config)==null?void 0:l.parsedCommandLine;return i.config?(i.config.parsedCommandLine=A,i.config.watchedDirectoriesStale=!0,i.config.updateLevel=void 0):i.config={parsedCommandLine:A,cachedDirectoryStructureHost:p,projects:new Map},!I&&!nW(this.getWatchOptionsFromProjectWatchOptions(void 0),this.getWatchOptionsFromProjectWatchOptions(A.watchOptions))&&((u=i.watcher)==null||u.close(),i.watcher=void 0),this.createConfigFileWatcherForParsedConfig(t,r,o),v7(r,A.options,this.sharedExtendedConfigFileWatchers,(R,M)=>this.watchFactory.watchFile(R,()=>{var C;y7(this.extendedConfigCache,M,U=>this.toPath(U));let O=!1;(C=this.sharedExtendedConfigFileWatchers.get(M))==null||C.projects.forEach(U=>{O=this.delayUpdateProjectsFromParsedConfigOnConfigFileChange(U,`Change in extended config file ${R} detected`)||O}),O&&this.delayEnsureProjectForOpenFiles()},2e3,this.hostConfiguration.watchOptions,wc.ExtendedConfigFile,t),R=>this.toPath(R)),i}watchWildcards(t,{exists:r,config:i},o){if(i.projects.set(o.canonicalConfigFilePath,!0),r){if(i.watchedDirectories&&!i.watchedDirectoriesStale)return;i.watchedDirectoriesStale=!1,Gw(i.watchedDirectories||(i.watchedDirectories=new Map),i.parsedCommandLine.wildcardDirectories,(s,l)=>this.watchWildcardDirectory(s,l,t,i))}else{if(i.watchedDirectoriesStale=!1,!i.watchedDirectories)return;tp(i.watchedDirectories,Mf),i.watchedDirectories=void 0}}stopWatchingWildCards(t,r){const i=this.configFileExistenceInfoCache.get(t);!i.config||!i.config.projects.get(r.canonicalConfigFilePath)||(i.config.projects.set(r.canonicalConfigFilePath,!1),!Gc(i.config.projects,nl)&&(i.config.watchedDirectories&&(tp(i.config.watchedDirectories,Mf),i.config.watchedDirectories=void 0),i.config.watchedDirectoriesStale=void 0))}updateNonInferredProjectFiles(t,r,i){const o=t.getRootFilesMap(),s=new Map;for(const l of r){const u=i.getFileName(l),p=ml(u),h=m8(p);let m;if(!h&&!t.fileExists(u)){m=f8(p,this.currentDirectory,this.toCanonicalFileName);const b=o.get(m);b?(b.info&&(t.removeFile(b.info,!1,!0),b.info=void 0),b.fileName=p):o.set(m,{fileName:p})}else{const b=i.getScriptKind(l,this.hostConfiguration.extraFileExtensions),A=i.hasMixedContent(l,this.hostConfiguration.extraFileExtensions),I=N.checkDefined(this.getOrCreateScriptInfoNotOpenedByClientForNormalizedPath(p,t.currentDirectory,b,A,t.directoryStructureHost));m=I.path;const R=o.get(m);!R||R.info!==I?(t.addRoot(I,p),I.isScriptOpen()&&this.removeRootOfInferredProjectIfNowPartOfOtherProject(I)):R.fileName=p}s.set(m,!0)}o.size>s.size&&o.forEach((l,u)=>{s.has(u)||(l.info?t.removeFile(l.info,t.fileExists(l.info.fileName),!0):o.delete(u))})}updateRootAndOptionsOfNonInferredProject(t,r,i,o,s,l,u){t.setCompilerOptions(o),t.setWatchOptions(u),l!==void 0&&(t.compileOnSaveEnabled=l),this.addFilesToNonInferredProject(t,r,i,s)}reloadFileNamesOfConfiguredProject(t){const r=this.reloadFileNamesOfParsedConfig(t.getConfigFilePath(),this.configFileExistenceInfoCache.get(t.canonicalConfigFilePath).config);return t.updateErrorOnNoInputFiles(r),this.updateNonInferredProjectFiles(t,r.concat(t.getExternalFiles(1)),a9),t.markAsDirty(),t.updateGraph()}reloadFileNamesOfParsedConfig(t,r){if(r.updateLevel===void 0)return r.parsedCommandLine.fileNames;N.assert(r.updateLevel===1);const i=r.parsedCommandLine.options.configFile.configFileSpecs,o=LP(i,ai(t),r.parsedCommandLine.options,r.cachedDirectoryStructureHost,this.hostConfiguration.extraFileExtensions);return r.parsedCommandLine={...r.parsedCommandLine,fileNames:o},o}setFileNamesOfAutpImportProviderOrAuxillaryProject(t,r){this.updateNonInferredProjectFiles(t,r,a9)}reloadConfiguredProject(t,r,i,o){const s=t.getCachedDirectoryStructureHost();o&&this.clearSemanticCache(t),s.clearCache();const l=t.getConfigFilePath();this.logger.info(`${i?"Loading":"Reloading"} configured project ${l}`),this.loadConfiguredProject(t,r),t.updateGraph(),this.sendConfigFileDiagEvent(t,l)}clearSemanticCache(t){t.resolutionCache.clear(),t.getLanguageService(!1).cleanupSemanticCache(),t.cleanupProgram(),t.markAsDirty()}sendConfigFileDiagEvent(t,r){if(!this.eventHandler||this.suppressDiagnosticEvents)return;const i=t.getLanguageService().getCompilerOptionsDiagnostics();i.push(...t.getAllProjectErrors()),this.eventHandler({eventName:e9,data:{configFileName:t.getConfigFilePath(),diagnostics:i,triggerFile:r}})}getOrCreateInferredProjectForProjectRootPathIfEnabled(t,r){if(!this.useInferredProjectPerProjectRoot||t.isDynamic&&r===void 0)return;if(r){const o=this.toCanonicalFileName(r);for(const s of this.inferredProjects)if(s.projectRootPath===o)return s;return this.createInferredProject(r,!1,r)}let i;for(const o of this.inferredProjects)o.projectRootPath&&bm(o.projectRootPath,t.path,this.host.getCurrentDirectory(),!this.host.useCaseSensitiveFileNames)&&(i&&i.projectRootPath.length>o.projectRootPath.length||(i=o));return i}getOrCreateSingleInferredProjectIfEnabled(){if(this.useSingleInferredProject)return this.inferredProjects.length>0&&this.inferredProjects[0].projectRootPath===void 0?this.inferredProjects[0]:this.createInferredProject("",!0)}getOrCreateSingleInferredWithoutProjectRoot(t){N.assert(!this.useSingleInferredProject);const r=this.toCanonicalFileName(this.getNormalizedAbsolutePath(t));for(const i of this.inferredProjects)if(!i.projectRootPath&&i.isOrphan()&&i.canonicalCurrentDirectory===r)return i;return this.createInferredProject(t)}createInferredProject(t,r,i){const o=i&&this.compilerOptionsForInferredProjectsPerProjectRoot.get(i)||this.compilerOptionsForInferredProjects;let s,l;i&&(s=this.watchOptionsForInferredProjectsPerProjectRoot.get(i),l=this.typeAcquisitionForInferredProjectsPerProjectRoot.get(i)),s===void 0&&(s=this.watchOptionsForInferredProjects),l===void 0&&(l=this.typeAcquisitionForInferredProjects),s=s||void 0;const u=new bZ(this,this.documentRegistry,o,s==null?void 0:s.watchOptions,i,t,l);return u.setProjectErrors(s==null?void 0:s.errors),r?this.inferredProjects.unshift(u):this.inferredProjects.push(u),u}getOrCreateScriptInfoNotOpenedByClient(t,r,i){return this.getOrCreateScriptInfoNotOpenedByClientForNormalizedPath(ml(t),r,void 0,void 0,i)}getScriptInfo(t){return this.getScriptInfoForNormalizedPath(ml(t))}getScriptInfoOrConfig(t){const r=ml(t),i=this.getScriptInfoForNormalizedPath(r);if(i)return i;const o=this.configuredProjects.get(this.toPath(t));return o&&o.getCompilerOptions().configFile}logErrorForScriptInfoNotFound(t){const r=zo(this.filenameToScriptInfo.entries(),([i,o])=>({path:i,fileName:o.fileName}));this.logger.msg(`Could not find file ${JSON.stringify(t)}.
+All files are: ${JSON.stringify(r)}`,"Err")}getSymlinkedProjects(t){let r;if(this.realpathToScriptInfos){const o=t.getRealpathIfDifferent();o&&Ue(this.realpathToScriptInfos.get(o),i),Ue(this.realpathToScriptInfos.get(t.path),i)}return r;function i(o){if(o!==t)for(const s of o.containingProjects)s.languageServiceEnabled&&!s.isOrphan()&&!s.getCompilerOptions().preserveSymlinks&&!t.isAttached(s)&&(r?Gc(r,(l,u)=>u===o.path?!1:Nr(l,s))||r.add(o.path,s):(r=Zp(),r.add(o.path,s)))}}watchClosedScriptInfo(t){if(N.assert(!t.fileWatcher),!t.isDynamicOrHasMixedContent()&&(!this.globalCacheLocationDirectoryPath||!uo(t.path,this.globalCacheLocationDirectoryPath))){const r=t.fileName.indexOf("/node_modules/");!this.host.getModifiedTime||r===-1?t.fileWatcher=this.watchFactory.watchFile(t.fileName,(i,o)=>this.onSourceFileChanged(t,o),500,this.hostConfiguration.watchOptions,wc.ClosedScriptInfo):(t.mTime=this.getModifiedTime(t),t.fileWatcher=this.watchClosedScriptInfoInNodeModules(t.fileName.substring(0,r)))}}createNodeModulesWatcher(t,r){let i=this.watchFactory.watchDirectory(t,s=>{var l;const u=P7(this.toPath(s));if(!u)return;const p=ic(u);if((l=o.affectedModuleSpecifierCacheProjects)!=null&&l.size&&(p==="package.json"||p==="node_modules")&&o.affectedModuleSpecifierCacheProjects.forEach(h=>{var m;(m=h.getModuleSpecifierCache())==null||m.clear()}),o.refreshScriptInfoRefCount)if(r===u)this.refreshScriptInfosInDirectory(r);else{const h=this.getScriptInfoForPath(u);h?jOe(h)&&this.refreshScriptInfo(h):xA(u)||this.refreshScriptInfosInDirectory(u)}},1,this.hostConfiguration.watchOptions,wc.NodeModules);const o={refreshScriptInfoRefCount:0,affectedModuleSpecifierCacheProjects:void 0,close:()=>{var s;i&&!o.refreshScriptInfoRefCount&&!((s=o.affectedModuleSpecifierCacheProjects)!=null&&s.size)&&(i.close(),i=void 0,this.nodeModulesWatchers.delete(r))}};return this.nodeModulesWatchers.set(r,o),o}watchPackageJsonsInNodeModules(t,r){var i;const o=this.toPath(t),s=this.nodeModulesWatchers.get(o)||this.createNodeModulesWatcher(t,o);return N.assert(!((i=s.affectedModuleSpecifierCacheProjects)!=null&&i.has(r))),(s.affectedModuleSpecifierCacheProjects||(s.affectedModuleSpecifierCacheProjects=new Set)).add(r),{close:()=>{var l;(l=s.affectedModuleSpecifierCacheProjects)==null||l.delete(r),s.close()}}}watchClosedScriptInfoInNodeModules(t){const r=t+"/node_modules",i=this.toPath(r),o=this.nodeModulesWatchers.get(i)||this.createNodeModulesWatcher(r,i);return o.refreshScriptInfoRefCount++,{close:()=>{o.refreshScriptInfoRefCount--,o.close()}}}getModifiedTime(t){return(this.host.getModifiedTime(t.fileName)||Op).getTime()}refreshScriptInfo(t){const r=this.getModifiedTime(t);if(r!==t.mTime){const i=MV(t.mTime,r);t.mTime=r,this.onSourceFileChanged(t,i)}}refreshScriptInfosInDirectory(t){t=t+al,this.filenameToScriptInfo.forEach(r=>{jOe(r)&&uo(r.path,t)&&this.refreshScriptInfo(r)})}stopWatchingScriptInfo(t){t.fileWatcher&&(t.fileWatcher.close(),t.fileWatcher=void 0)}getOrCreateScriptInfoNotOpenedByClientForNormalizedPath(t,r,i,o,s){if(fp(t)||m8(t))return this.getOrCreateScriptInfoWorker(t,r,!1,void 0,i,o,s);const l=this.openFilesWithNonRootedDiskPath.get(this.toCanonicalFileName(t));if(l)return l}getOrCreateScriptInfoOpenedByClientForNormalizedPath(t,r,i,o,s){return this.getOrCreateScriptInfoWorker(t,r,!0,i,o,s)}getOrCreateScriptInfoForNormalizedPath(t,r,i,o,s,l){return this.getOrCreateScriptInfoWorker(t,this.currentDirectory,r,i,o,s,l)}getOrCreateScriptInfoWorker(t,r,i,o,s,l,u){N.assert(o===void 0||i,"ScriptInfo needs to be opened by client to be able to set its user defined content");const p=f8(t,r,this.toCanonicalFileName);let h=this.getScriptInfoForPath(p);if(!h){const m=m8(t);if(N.assert(fp(t)||m||i,"",()=>`${JSON.stringify({fileName:t,currentDirectory:r,hostCurrentDirectory:this.currentDirectory,openKeys:zo(this.openFilesWithNonRootedDiskPath.keys())})}
+Script info with non-dynamic relative file name can only be open script info or in context of host currentDirectory`),N.assert(!fp(t)||this.currentDirectory===r||!this.openFilesWithNonRootedDiskPath.has(this.toCanonicalFileName(t)),"",()=>`${JSON.stringify({fileName:t,currentDirectory:r,hostCurrentDirectory:this.currentDirectory,openKeys:zo(this.openFilesWithNonRootedDiskPath.keys())})}
+Open script files with non rooted disk path opened with current directory context cannot have same canonical names`),N.assert(!m||this.currentDirectory===r||this.useInferredProjectPerProjectRoot,"",()=>`${JSON.stringify({fileName:t,currentDirectory:r,hostCurrentDirectory:this.currentDirectory,openKeys:zo(this.openFilesWithNonRootedDiskPath.keys())})}
+Dynamic files must always be opened with service's current directory or service should support inferred project per projectRootPath.`),!i&&!m&&!(u||this.host).fileExists(t))return;h=new gZ(this.host,t,s,!!l,p,this.filenameToScriptInfoVersion.get(p)),this.filenameToScriptInfo.set(h.path,h),this.filenameToScriptInfoVersion.delete(h.path),i?!fp(t)&&(!m||this.currentDirectory!==r)&&this.openFilesWithNonRootedDiskPath.set(this.toCanonicalFileName(t),h):this.watchClosedScriptInfo(h)}return i&&(this.stopWatchingScriptInfo(h),h.open(o),l&&h.registerFileUpdate()),h}getScriptInfoForNormalizedPath(t){return!fp(t)&&this.openFilesWithNonRootedDiskPath.get(this.toCanonicalFileName(t))||this.getScriptInfoForPath(f8(t,this.currentDirectory,this.toCanonicalFileName))}getScriptInfoForPath(t){return this.filenameToScriptInfo.get(t)}getDocumentPositionMapper(t,r,i){const o=this.getOrCreateScriptInfoNotOpenedByClient(r,t.currentDirectory,this.host);if(!o){i&&t.addGeneratedFileWatch(r,i);return}if(o.getSnapshot(),Po(o.sourceMapFilePath)){const m=this.getScriptInfoForPath(o.sourceMapFilePath);if(m&&(m.getSnapshot(),m.documentPositionMapper!==void 0))return m.sourceInfos=this.addSourceInfoToSourceMap(i,t,m.sourceInfos),m.documentPositionMapper?m.documentPositionMapper:void 0;o.sourceMapFilePath=void 0}else if(o.sourceMapFilePath){o.sourceMapFilePath.sourceInfos=this.addSourceInfoToSourceMap(i,t,o.sourceMapFilePath.sourceInfos);return}else if(o.sourceMapFilePath!==void 0)return;let s,l,u=(m,b)=>{const A=this.getOrCreateScriptInfoNotOpenedByClient(m,t.currentDirectory,this.host);if(!A){l=b;return}s=A;const I=A.getSnapshot();return A.documentPositionMapper!==void 0?A.documentPositionMapper:RD(I)};const p=t.projectName,h=sY({getCanonicalFileName:this.toCanonicalFileName,log:m=>this.logger.info(m),getSourceFileLike:m=>this.getSourceFileLike(m,p,o)},o.fileName,o.textStorage.getLineInfo(),u);return u=void 0,s?(o.sourceMapFilePath=s.path,s.declarationInfoPath=o.path,s.documentPositionMapper=h||!1,s.sourceInfos=this.addSourceInfoToSourceMap(i,t,s.sourceInfos)):l?o.sourceMapFilePath={watcher:this.addMissingSourceMapFile(t.currentDirectory===this.currentDirectory?l:go(l,t.currentDirectory),o.path),sourceInfos:this.addSourceInfoToSourceMap(i,t)}:o.sourceMapFilePath=!1,h}addSourceInfoToSourceMap(t,r,i){if(t){const o=this.getOrCreateScriptInfoNotOpenedByClient(t,r.currentDirectory,r.directoryStructureHost);(i||(i=new Set)).add(o.path)}return i}addMissingSourceMapFile(t,r){return this.watchFactory.watchFile(t,()=>{const o=this.getScriptInfoForPath(r);o&&o.sourceMapFilePath&&!Po(o.sourceMapFilePath)&&(this.delayUpdateProjectGraphs(o.containingProjects,!0),this.delayUpdateSourceInfoProjects(o.sourceMapFilePath.sourceInfos),o.closeSourceMapFileWatcher())},2e3,this.hostConfiguration.watchOptions,wc.MissingSourceMapFile)}getSourceFileLike(t,r,i){const o=r.projectName?r:this.findProject(r);if(o){const l=o.toPath(t),u=o.getSourceFile(l);if(u&&u.resolvedPath===l)return u}const s=this.getOrCreateScriptInfoNotOpenedByClient(t,(o||this).currentDirectory,o?o.directoryStructureHost:this.host);if(s){if(i&&Po(i.sourceMapFilePath)&&s!==i){const l=this.getScriptInfoForPath(i.sourceMapFilePath);l&&(l.sourceInfos||(l.sourceInfos=new Set)).add(s.path)}return s.cacheSourceFile?s.cacheSourceFile.sourceFile:(s.sourceFileLike||(s.sourceFileLike={get text(){return N.fail("shouldnt need text"),""},getLineAndCharacterOfPosition:l=>{const u=s.positionToLineOffset(l);return{line:u.line-1,character:u.offset-1}},getPositionOfLineAndCharacter:(l,u,p)=>s.lineOffsetToPosition(l+1,u+1,p)}),s.sourceFileLike)}}setPerformanceEventHandler(t){this.performanceEventHandler=t}setHostConfiguration(t){var r;if(t.file){const i=this.getScriptInfoForNormalizedPath(ml(t.file));i&&(i.setOptions(YD(t.formatOptions),t.preferences),this.logger.info(`Host configuration update for file ${t.file}`))}else{if(t.hostInfo!==void 0&&(this.hostConfiguration.hostInfo=t.hostInfo,this.logger.info(`Host information ${t.hostInfo}`)),t.formatOptions&&(this.hostConfiguration.formatCodeOptions={...this.hostConfiguration.formatCodeOptions,...YD(t.formatOptions)},this.logger.info("Format host information updated")),t.preferences){const{lazyConfiguredProjectsFromExternalProject:i,includePackageJsonAutoImports:o}=this.hostConfiguration.preferences;this.hostConfiguration.preferences={...this.hostConfiguration.preferences,...t.preferences},i&&!this.hostConfiguration.preferences.lazyConfiguredProjectsFromExternalProject&&this.externalProjectToConfiguredProjectMap.forEach(s=>s.forEach(l=>{!l.isClosed()&&l.hasExternalProjectRef()&&l.pendingUpdateLevel===2&&!this.pendingProjectUpdates.has(l.getProjectName())&&l.updateGraph()})),o!==t.preferences.includePackageJsonAutoImports&&this.forEachProject(s=>{s.onAutoImportProviderSettingsChanged()})}t.extraFileExtensions&&(this.hostConfiguration.extraFileExtensions=t.extraFileExtensions,this.reloadProjects(),this.logger.info("Host file extension mappings updated")),t.watchOptions&&(this.hostConfiguration.watchOptions=(r=g8(t.watchOptions))==null?void 0:r.watchOptions,this.logger.info(`Host watch options changed to ${JSON.stringify(this.hostConfiguration.watchOptions)}, it will be take effect for next watches.`))}}getWatchOptions(t){return this.getWatchOptionsFromProjectWatchOptions(t.getWatchOptions())}getWatchOptionsFromProjectWatchOptions(t){return t&&this.hostConfiguration.watchOptions?{...this.hostConfiguration.watchOptions,...t}:t||this.hostConfiguration.watchOptions}closeLog(){this.logger.close()}reloadProjects(){this.logger.info("reload projects."),this.filenameToScriptInfo.forEach(t=>{this.openFiles.has(t.path)||t.fileWatcher&&this.onSourceFileChanged(t,this.host.fileExists(t.fileName)?1:2)}),this.pendingProjectUpdates.forEach((t,r)=>{this.throttledOperations.cancel(r),this.pendingProjectUpdates.delete(r)}),this.throttledOperations.cancel(Zhe),this.pendingEnsureProjectForOpenFiles=!1,this.configFileExistenceInfoCache.forEach(t=>{t.config&&(t.config.updateLevel=2)}),this.reloadConfiguredProjectForFiles(this.openFiles,!0,!1,Pv,"User requested reload projects"),this.externalProjects.forEach(t=>{this.clearSemanticCache(t),t.updateGraph()}),this.inferredProjects.forEach(t=>this.clearSemanticCache(t)),this.ensureProjectForOpenFiles(),this.logger.info("After reloading projects.."),this.printProjects()}reloadConfiguredProjectForFiles(t,r,i,o,s){const l=new Map,u=p=>{l.has(p.canonicalConfigFilePath)||(l.set(p.canonicalConfigFilePath,!0),this.reloadConfiguredProject(p,s,!1,r))};t==null||t.forEach((p,h)=>{if(this.configFileForOpenFiles.delete(h),!o(p))return;const m=this.getScriptInfoForPath(h);N.assert(m.isScriptOpen());const b=this.getConfigFileNameForFile(m);if(b){const A=this.findConfiguredProjectByProjectName(b)||this.createConfiguredProject(b);l.has(A.canonicalConfigFilePath)||(l.set(A.canonicalConfigFilePath,!0),i?(A.pendingUpdateLevel=2,A.pendingUpdateReason=s,r&&this.clearSemanticCache(A),this.delayUpdateProjectGraph(A)):(this.reloadConfiguredProject(A,s,!1,r),UI(A,m)||$D(A,m.path,R=>(u(R),UI(R,m)),1)&&$D(A,void 0,u,0)))}})}removeRootOfInferredProjectIfNowPartOfOtherProject(t){N.assert(t.containingProjects.length>0);const r=t.containingProjects[0];!r.isOrphan()&&XD(r)&&r.isRoot(t)&&Ue(t.containingProjects,i=>i!==r&&!i.isOrphan())&&r.removeFile(t,!0,!0)}ensureProjectForOpenFiles(){this.logger.info("Before ensureProjectForOpenFiles:"),this.printProjects(),this.openFiles.forEach((t,r)=>{const i=this.getScriptInfoForPath(r);i.isOrphan()?this.assignOrphanScriptInfoToInferredProject(i,t):this.removeRootOfInferredProjectIfNowPartOfOtherProject(i)}),this.pendingEnsureProjectForOpenFiles=!1,this.inferredProjects.forEach(jp),this.logger.info("After ensureProjectForOpenFiles:"),this.printProjects()}openClientFile(t,r,i,o){return this.openClientFileWithNormalizedPath(ml(t),r,i,!1,o?ml(o):void 0)}getOriginalLocationEnsuringConfiguredProject(t,r){const i=t.isSourceOfProjectReferenceRedirect(r.fileName),o=i?r:t.getSourceMapper().tryGetSourcePosition(r);if(!o)return;const{fileName:s}=o,l=this.getScriptInfo(s);if(!l&&!this.host.fileExists(s))return;const u={fileName:ml(s),path:this.toPath(s)},p=this.getConfigFileNameForFile(u);if(!p)return;let h=this.findConfiguredProjectByProjectName(p);if(!h){if(t.getCompilerOptions().disableReferencedProjectLoad)return i?r:l!=null&&l.containingProjects.length?o:r;h=this.createAndLoadConfiguredProject(p,`Creating project for original file: ${u.fileName}${r!==o?" for location: "+r.fileName:""}`)}jp(h);const m=I=>{const R=this.getScriptInfo(s);return R&&UI(I,R)};if(h.isSolution()||!m(h)){if(h=$D(h,s,I=>(jp(I),m(I)?I:void 0),2,`Creating project referenced in solution ${h.projectName} to find possible configured project for original file: ${u.fileName}${r!==o?" for location: "+r.fileName:""}`),!h)return;if(h===t)return o}A(h);const b=this.getScriptInfo(s);if(!b||!b.containingProjects.length)return;return b.containingProjects.forEach(I=>{V0(I)&&A(I)}),o;function A(I){t.originalConfiguredProjects||(t.originalConfiguredProjects=new Set),t.originalConfiguredProjects.add(I.canonicalConfigFilePath)}}fileExists(t){return!!this.getScriptInfoForNormalizedPath(t)||this.host.fileExists(t)}findExternalProjectContainingOpenScriptInfo(t){return An(this.externalProjects,r=>(jp(r),r.containsScriptInfo(t)))}getOrCreateOpenScriptInfo(t,r,i,o,s){const l=this.getOrCreateScriptInfoOpenedByClientForNormalizedPath(t,s?this.getNormalizedAbsolutePath(s):this.currentDirectory,r,i,o);return this.openFiles.set(l.path,s),l}assignProjectToOpenedScriptInfo(t){let r,i,o=this.findExternalProjectContainingOpenScriptInfo(t),s,l,u=!1;return!o&&this.serverMode===0&&(r=this.getConfigFileNameForFile(t),r&&(o=this.findConfiguredProjectByProjectName(r),o?jp(o):(o=this.createLoadAndUpdateConfiguredProject(r,`Creating possible configured project for ${t.fileName} to open`),u=!0),l=o.containsScriptInfo(t)?o:void 0,s=o,UI(o,t)||$D(o,t.path,p=>{if(jp(p),To(s)?s.push(p):s=[o,p],UI(p,t))return l=p,p;!l&&p.containsScriptInfo(t)&&(l=p)},2,`Creating project referenced in solution ${o.projectName} to find possible configured project for ${t.fileName} to open`),l?(r=l.getConfigFilePath(),(l!==o||u)&&(i=l.getAllProjectErrors(),this.sendConfigFileDiagEvent(l,t.fileName))):r=void 0,this.createAncestorProjects(t,o))),t.containingProjects.forEach(jp),t.isOrphan()&&(To(s)?s.forEach(p=>this.sendConfigFileDiagEvent(p,t.fileName)):s&&this.sendConfigFileDiagEvent(s,t.fileName),N.assert(this.openFiles.has(t.path)),this.assignOrphanScriptInfoToInferredProject(t,this.openFiles.get(t.path))),N.assert(!t.isOrphan()),{configFileName:r,configFileErrors:i,retainProjects:s}}createAncestorProjects(t,r){if(t.isAttached(r))for(;;){if(!r.isInitialLoadPending()&&(!r.getCompilerOptions().composite||r.getCompilerOptions().disableSolutionSearching))return;const i=this.getConfigFileNameForFile({fileName:r.getConfigFilePath(),path:t.path,configFileInfo:!0});if(!i)return;const o=this.findConfiguredProjectByProjectName(i)||this.createConfiguredProjectWithDelayLoad(i,`Creating project possibly referencing default composite project ${r.getProjectName()} of open file ${t.fileName}`);o.isInitialLoadPending()&&o.setPotentialProjectReference(r.canonicalConfigFilePath),r=o}}loadAncestorProjectTree(t){t=t||C6(this.configuredProjects,(i,o)=>o.isInitialLoadPending()?void 0:[i,!0]);const r=new Set;for(const i of zo(this.configuredProjects.values()))GOe(i,o=>t.has(o))&&jp(i),this.ensureProjectChildren(i,t,r)}ensureProjectChildren(t,r,i){var o;if(!Rv(i,t.canonicalConfigFilePath)||t.getCompilerOptions().disableReferencedProjectLoad)return;const s=(o=t.getCurrentProgram())==null?void 0:o.getResolvedProjectReferences();if(s)for(const l of s){if(!l)continue;const u=VJ(l.references,m=>r.has(m.sourceFile.path)?m:void 0);if(!u)continue;const p=ml(l.sourceFile.fileName),h=t.projectService.findConfiguredProjectByProjectName(p)||t.projectService.createAndLoadConfiguredProject(p,`Creating project referenced by : ${t.projectName} as it references project ${u.sourceFile.fileName}`);jp(h),this.ensureProjectChildren(h,r,i)}}cleanupAfterOpeningFile(t){this.removeOrphanConfiguredProjects(t);for(const r of this.inferredProjects.slice())r.isOrphan()&&this.removeProject(r);this.removeOrphanScriptInfos()}openClientFileWithNormalizedPath(t,r,i,o,s){const l=this.getOrCreateOpenScriptInfo(t,r,i,o,s),{retainProjects:u,...p}=this.assignProjectToOpenedScriptInfo(l);return this.cleanupAfterOpeningFile(u),this.telemetryOnOpenFile(l),this.printProjects(),p}removeOrphanConfiguredProjects(t){const r=new Map(this.configuredProjects),i=l=>{!l.isOrphan()&&l.originalConfiguredProjects&&l.originalConfiguredProjects.forEach((u,p)=>{const h=this.getConfiguredProjectByCanonicalConfigFilePath(p);return h&&s(h)})};t&&(To(t)?t.forEach(s):s(t)),this.inferredProjects.forEach(i),this.externalProjects.forEach(i),this.configuredProjects.forEach(l=>{l.hasOpenRef()?s(l):r.has(l.canonicalConfigFilePath)&&VOe(l,u=>o(u)&&s(l))}),r.forEach(l=>this.removeProject(l));function o(l){return l.hasOpenRef()||!r.has(l.canonicalConfigFilePath)}function s(l){r.delete(l.canonicalConfigFilePath)&&(i(l),VOe(l,s))}}removeOrphanScriptInfos(){const t=new Map(this.filenameToScriptInfo);this.filenameToScriptInfo.forEach(r=>{if(!r.isScriptOpen()&&r.isOrphan()&&!r.isContainedByBackgroundProject()){if(!r.sourceMapFilePath)return;let i;if(Po(r.sourceMapFilePath)){const o=this.getScriptInfoForPath(r.sourceMapFilePath);i=o&&o.sourceInfos}else i=r.sourceMapFilePath.sourceInfos;if(!i||!_h(i,o=>{const s=this.getScriptInfoForPath(o);return!!s&&(s.isScriptOpen()||!s.isOrphan())}))return}if(t.delete(r.path),r.sourceMapFilePath){let i;if(Po(r.sourceMapFilePath)){t.delete(r.sourceMapFilePath);const o=this.getScriptInfoForPath(r.sourceMapFilePath);i=o&&o.sourceInfos}else i=r.sourceMapFilePath.sourceInfos;i&&i.forEach((o,s)=>t.delete(s))}}),t.forEach(r=>{this.stopWatchingScriptInfo(r),this.deleteScriptInfo(r),r.closeSourceMapFileWatcher()})}telemetryOnOpenFile(t){if(this.serverMode!==0||!this.eventHandler||!t.isJavaScript()||!Rm(this.allJsFilesForOpenFileTelemetry,t.path))return;const r=this.ensureDefaultProjectForFile(t);if(!r.languageServiceEnabled)return;const i=r.getSourceFile(t.path),o=!!i&&!!i.checkJsDirective;this.eventHandler({eventName:DZ,data:{info:{checkJs:o}}})}closeClientFile(t,r){const i=this.getScriptInfoForNormalizedPath(ml(t)),o=i?this.closeOpenFile(i,r):!1;return r||this.printProjects(),o}collectChanges(t,r,i,o){for(const s of r){const l=An(t,u=>u.projectName===s.getProjectName());o.push(s.getChangesSinceVersion(l&&l.version,i))}}synchronizeProjectList(t,r){const i=[];return this.collectChanges(t,this.externalProjects,r,i),this.collectChanges(t,this.configuredProjects.values(),r,i),this.collectChanges(t,this.inferredProjects,r,i),i}applyChangesInOpenFiles(t,r,i){let o,s=!1;if(t)for(const u of t){const p=this.getOrCreateOpenScriptInfo(ml(u.fileName),u.content,IZ(u.scriptKind),u.hasMixedContent,u.projectRootPath?ml(u.projectRootPath):void 0);(o||(o=[])).push(p)}if(r)for(const u of r){const p=this.getScriptInfo(u.fileName);N.assert(!!p),this.applyChangesToFile(p,u.changes)}if(i)for(const u of i)s=this.closeClientFile(u,!0)||s;let l;o&&(l=Pi(o,u=>this.assignProjectToOpenedScriptInfo(u).retainProjects)),s&&this.assignOrphanScriptInfosToInferredProject(),o?(this.cleanupAfterOpeningFile(l),o.forEach(u=>this.telemetryOnOpenFile(u)),this.printProjects()):Me(i)&&this.printProjects()}applyChangesToFile(t,r){for(const i of r)t.editContent(i.span.start,i.span.start+i.span.length,i.newText)}closeConfiguredProjectReferencedFromExternalProject(t){t==null||t.forEach(r=>{r.isClosed()||(r.deleteExternalProjectReference(),r.hasOpenRef()||this.removeProject(r))})}closeExternalProject(t,r){const i=ml(t),o=this.externalProjectToConfiguredProjectMap.get(i);if(o)this.closeConfiguredProjectReferencedFromExternalProject(o),this.externalProjectToConfiguredProjectMap.delete(i);else{const s=this.findExternalProjectByProjectName(t);s&&this.removeProject(s)}r&&this.printProjects()}openExternalProjects(t){const r=NE(this.externalProjects,i=>i.getProjectName(),i=>!0);_h(this.externalProjectToConfiguredProjectMap,i=>{r.set(i,!0)});for(const i of t)this.openExternalProject(i,!1),r.delete(i.projectFileName);_h(r,i=>{this.closeExternalProject(i,!1)}),this.printProjects()}static escapeFilenameForRegex(t){return t.replace(this.filenameEscapeRegexp,"\\$&")}resetSafeList(){this.safelist=NZ}applySafeList(t){const r=t.typeAcquisition;N.assert(!!r,"proj.typeAcquisition should be set by now");const i=this.applySafeListWorker(t,t.rootFiles,r);return(i==null?void 0:i.excludedFiles)??[]}applySafeListWorker(t,r,i){if(i.enable===!1||i.disableFilenameBasedTypeAcquisition)return;const o=i.include||(i.include=[]),s=[],l=r.map(b=>Lu(b.fileName));for(const b of Object.keys(this.safelist)){const A=this.safelist[b];for(const I of l)if(A.match.test(I)){if(this.logger.info(`Excluding files based on rule ${b} matching file '${I}'`),A.types)for(const R of A.types)o.includes(R)||o.push(R);if(A.exclude)for(const R of A.exclude){const M=I.replace(A.match,(...C)=>R.map(O=>typeof O=="number"?Po(C[O])?Hbe.escapeFilenameForRegex(C[O]):(this.logger.info(`Incorrect RegExp specification in safelist rule ${b} - not enough groups`),"\\*"):O).join(""));s.includes(M)||s.push(M)}else{const R=Hbe.escapeFilenameForRegex(I);s.includes(R)||s.push(R)}}}const u=s.map(b=>new RegExp(b,"i"));let p,h;for(let b=0;b<r.length;b++)if(u.some(A=>A.test(l[b])))m(b);else{if(i.enable){const A=ic(ch(l[b]));if(Il(A,"js")){const I=Id(A),R=bG(I),M=this.legacySafelist.get(R);if(M!==void 0){this.logger.info(`Excluded '${l[b]}' because it matched ${R} from the legacy safelist`),m(b),o.includes(M)||o.push(M);continue}}}/^.+[.-]min\.js$/.test(l[b])?m(b):p==null||p.push(r[b])}return h?{rootFiles:p,excludedFiles:h}:void 0;function m(b){h||(N.assert(!p),p=r.slice(0,b),h=[]),h.push(l[b])}}openExternalProject(t,r){const i=this.findExternalProjectByProjectName(t.projectFileName),o=this.externalProjectToConfiguredProjectMap.get(t.projectFileName);let s,l=[];for(const u of t.rootFiles){const p=ml(u.fileName);if(pZ(p)){if(this.serverMode===0&&this.host.fileExists(p)){let h=this.findConfiguredProjectByProjectName(p);h||(h=this.getHostPreferences().lazyConfiguredProjectsFromExternalProject?this.createConfiguredProjectWithDelayLoad(p,`Creating configured project in external project: ${t.projectFileName}`):this.createLoadAndUpdateConfiguredProject(p,`Creating configured project in external project: ${t.projectFileName}`)),o!=null&&o.has(h)||h.addExternalProjectReference(),(s??(s=new Set)).add(h),o==null||o.delete(h)}}else l.push(u)}if(s)this.externalProjectToConfiguredProjectMap.set(t.projectFileName,s),i&&this.removeProject(i);else{this.externalProjectToConfiguredProjectMap.delete(t.projectFileName);const u=t.typeAcquisition||{};u.include=u.include||[],u.exclude=u.exclude||[],u.enable===void 0&&(u.enable=Vhe(l.map(m=>m.fileName)));const p=this.applySafeListWorker(t,l,u),h=(p==null?void 0:p.excludedFiles)??[];if(l=(p==null?void 0:p.rootFiles)??l,i){i.excludedFiles=h;const m=Kz(t.options),b=g8(t.options,i.getCurrentDirectory()),A=this.getFilenameForExceededTotalSizeLimitForNonTsFiles(t.projectFileName,m,l,s9);A?i.disableLanguageService(A):i.enableLanguageService(),i.setProjectErrors(b==null?void 0:b.errors),this.updateRootAndOptionsOfNonInferredProject(i,l,s9,m,u,t.options.compileOnSave,b==null?void 0:b.watchOptions),i.updateGraph()}else this.createExternalProject(t.projectFileName,l,t.options,u,h).updateGraph()}this.closeConfiguredProjectReferencedFromExternalProject(o),r&&this.printProjects()}hasDeferredExtension(){for(const t of this.hostConfiguration.extraFileExtensions)if(t.scriptKind===7)return!0;return!1}requestEnablePlugin(t,r,i){if(!this.host.importPlugin&&!this.host.require){this.logger.info("Plugins were requested but not running in environment that supports 'require'. Nothing will be loaded");return}if(this.logger.info(`Enabling plugin ${r.name} from candidate paths: ${i.join(",")}`),!r.name||Nw(r.name).rest){this.logger.info(`Skipped loading plugin ${r.name||JSON.stringify(r)} because only package name is allowed plugin name`);return}if(this.host.importPlugin){const o=mT.importServicePluginAsync(r,i,this.host,l=>this.logger.info(l));this.pendingPluginEnablements??(this.pendingPluginEnablements=new Map);let s=this.pendingPluginEnablements.get(t);s||this.pendingPluginEnablements.set(t,s=[]),s.push(o);return}this.endEnablePlugin(t,mT.importServicePluginSync(r,i,this.host,o=>this.logger.info(o)))}endEnablePlugin(t,{pluginConfigEntry:r,resolvedModule:i,errorLogs:o}){var s;if(i){const l=(s=this.currentPluginConfigOverrides)==null?void 0:s.get(r.name);if(l){const u=r.name;r=l,r.name=u}t.enableProxy(i,r)}else Ue(o,l=>this.logger.info(l)),this.logger.info(`Couldn't find ${r.name}`)}hasNewPluginEnablementRequests(){return!!this.pendingPluginEnablements}hasPendingPluginEnablements(){return!!this.currentPluginEnablementPromise}async waitForPendingPlugins(){for(;this.currentPluginEnablementPromise;)await this.currentPluginEnablementPromise}enableRequestedPlugins(){this.pendingPluginEnablements&&this.enableRequestedPluginsAsync()}async enableRequestedPluginsAsync(){if(this.currentPluginEnablementPromise&&await this.waitForPendingPlugins(),!this.pendingPluginEnablements)return;const t=zo(this.pendingPluginEnablements.entries());this.pendingPluginEnablements=void 0,this.currentPluginEnablementPromise=this.enableRequestedPluginsWorker(t),await this.currentPluginEnablementPromise}async enableRequestedPluginsWorker(t){N.assert(this.currentPluginEnablementPromise===void 0),await Promise.all(wt(t,([r,i])=>this.enableRequestedPluginsForProjectAsync(r,i))),this.currentPluginEnablementPromise=void 0,this.sendProjectsUpdatedInBackgroundEvent()}async enableRequestedPluginsForProjectAsync(t,r){const i=await Promise.all(r);if(!t.isClosed()){for(const o of i)this.endEnablePlugin(t,o);this.delayUpdateProjectGraph(t)}}configurePlugin(t){this.forEachEnabledProject(r=>r.onPluginConfigurationChanged(t.pluginName,t.configuration)),this.currentPluginConfigOverrides=this.currentPluginConfigOverrides||new Map,this.currentPluginConfigOverrides.set(t.pluginName,t.configuration)}getPackageJsonsVisibleToFile(t,r,i){const o=this.packageJsonCache,s=i&&this.toPath(i),l=[],u=p=>{switch(o.directoryHasPackageJson(p)){case 3:return o.searchDirectoryAndAncestors(p),u(p);case-1:const h=Qr(p,"package.json");this.watchPackageJsonFile(h,this.toPath(h),r);const m=o.getInDirectory(p);m&&l.push(m)}if(s&&s===p)return!0};return Sm(ai(t),u),l}getNearestAncestorDirectoryWithPackageJson(t){return Sm(t,r=>{switch(this.packageJsonCache.directoryHasPackageJson(r)){case-1:return r;case 0:return;case 3:return this.host.fileExists(Qr(r,"package.json"))?r:void 0}})}watchPackageJsonFile(t,r,i){N.assert(i!==void 0);let o=(this.packageJsonFilesMap??(this.packageJsonFilesMap=new Map)).get(r);if(!o){let s=this.watchFactory.watchFile(t,(l,u)=>{switch(u){case 0:return N.fail();case 1:this.packageJsonCache.addOrUpdate(l,r),this.onPackageJsonChange(o);break;case 2:this.packageJsonCache.delete(r),this.onPackageJsonChange(o),o.projects.clear(),o.close()}},250,this.hostConfiguration.watchOptions,wc.PackageJson);o={projects:new Set,close:()=>{var l;o.projects.size||!s||(s.close(),s=void 0,(l=this.packageJsonFilesMap)==null||l.delete(r),this.packageJsonCache.invalidate(r))}},this.packageJsonFilesMap.set(r,o)}o.projects.add(i),(i.packageJsonWatches??(i.packageJsonWatches=new Set)).add(o)}onPackageJsonChange(t){t.projects.forEach(r=>{var i;return(i=r.onPackageJsonChange)==null?void 0:i.call(r)})}includePackageJsonAutoImports(){switch(this.hostConfiguration.preferences.includePackageJsonAutoImports){case"on":return 1;case"off":return 0;default:return 2}}getIncompleteCompletionsCache(){return this.incompleteCompletionsCache||(this.incompleteCompletionsCache=rlt())}},ege.filenameEscapeRegexp=/[-/\\^$*+?.()|[\]{}]/g,MZ=ege}});function tge(e){let t,r,i;const o={get(p,h,m,b){if(!(!r||i!==l(p,m,b)))return r.get(h)},set(p,h,m,b,A,I){if(s(p,m,b).set(h,u(A,I,!1)),I){for(const R of A)if(R.isInNodeModules){const M=R.path.substring(0,R.path.indexOf(xh)+xh.length-1),C=e.toPath(M);t!=null&&t.has(C)||(t||(t=new Map)).set(C,e.watchNodeModulesForPackageJsonChanges(M))}}},setModulePaths(p,h,m,b,A){const I=s(p,m,b),R=I.get(h);R?R.modulePaths=A:I.set(h,u(A,void 0,void 0))},setBlockedByPackageJsonDependencies(p,h,m,b,A){const I=s(p,m,b),R=I.get(h);R?R.isBlockedByPackageJsonDependencies=A:I.set(h,u(void 0,void 0,A))},clear(){t==null||t.forEach(Qm),r==null||r.clear(),t==null||t.clear(),i=void 0},count(){return r?r.size:0}};return N.isDebugging&&Object.defineProperty(o,"__cache",{get:()=>r}),o;function s(p,h,m){const b=l(p,h,m);return r&&i!==b&&o.clear(),i=b,r||(r=new Map)}function l(p,h,m){return`${p},${h.importModuleSpecifierEnding},${h.importModuleSpecifierPreference},${m.overrideImportMode}`}function u(p,h,m){return{modulePaths:p,moduleSpecifiers:h,isBlockedByPackageJsonDependencies:m}}}var olt=T({"src/server/moduleSpecifierCache.ts"(){db()}});function nge(e){const t=new Map,r=new Map;return{addOrUpdate:i,invalidate:o,delete:l=>{t.delete(l),r.set(ai(l),!0)},getInDirectory:l=>t.get(e.toPath(Qr(l,"package.json")))||void 0,directoryHasPackageJson:l=>s(e.toPath(l)),searchDirectoryAndAncestors:l=>{Sm(l,u=>{const p=e.toPath(u);if(s(p)!==3)return!0;const h=Qr(u,"package.json");AO(e,h)?i(h,Qr(p,"package.json")):r.set(p,!0)})}};function i(l,u){const p=N.checkDefined(FX(l,e.host));t.set(u,p),r.delete(ai(u))}function o(l){t.delete(l),r.delete(ai(l))}function s(l){return t.has(Qr(l,"package.json"))?-1:r.has(l)?0:3}}var alt=T({"src/server/packageJsonCache.ts"(){db()}});function slt(e){const t=e[0],r=e[1];return(1e9*t+r)/1e6}function JOe(e,t){if((XD(e)||yZ(e))&&e.isJsOnlyProject()){const r=e.getScriptInfoForNormalizedPath(t);return r&&!r.isJavaScript()}return!1}function llt(e){return Nf(e)||!!e.emitDecoratorMetadata}function KOe(e,t,r){const i=t.getScriptInfoForNormalizedPath(e);return{start:i.positionToLineOffset(r.start),end:i.positionToLineOffset(r.start+r.length),text:z_(r.messageText,`
+`),code:r.code,category:mS(r),reportsUnnecessary:r.reportsUnnecessary,reportsDeprecated:r.reportsDeprecated,source:r.source,relatedInformation:wt(r.relatedInformation,LZ)}}function LZ(e){return e.file?{span:{start:y8(bs(e.file,e.start)),end:y8(bs(e.file,e.start+e.length)),file:e.file.fileName},message:z_(e.messageText,`
+`),category:mS(e),code:e.code}:{message:z_(e.messageText,`
+`),category:mS(e),code:e.code}}function y8(e){return{line:e.line+1,offset:e.character+1}}function b8(e,t){const r=e.file&&y8(bs(e.file,e.start)),i=e.file&&y8(bs(e.file,e.start+e.length)),o=z_(e.messageText,`
+`),{code:s,source:l}=e,u=mS(e),p={start:r,end:i,text:o,code:s,category:u,reportsUnnecessary:e.reportsUnnecessary,reportsDeprecated:e.reportsDeprecated,source:l,relatedInformation:wt(e.relatedInformation,LZ)};return t?{...p,fileName:e.file&&e.file.fileName}:p}function clt(e,t){return e.every(r=>Kl(r.span)<t)}function rge(e,t,r,i){const o=t.hasLevel(3),s=JSON.stringify(e);return o&&t.info(`${e.type}:${O0(e)}`),`Content-Length: ${1+r(s,"utf8")}\r
+\r
+${s}${i}`}function ige(e,t){return{seq:0,type:"event",event:e,body:t}}function ult(e,t,r,i){const o=rc(To(r)?r:r.projects,s=>i(s,e));return!To(r)&&r.symLinkedProjects&&r.symLinkedProjects.forEach((s,l)=>{const u=t(l);o.push(...Pi(s,p=>i(p,u)))}),DE(o,Mv)}function kZ(e){return vG(({textSpan:t})=>t.start+100003*t.length,TX(e))}function dlt(e,t,r,i,o,s,l){const u=XOe(e,t,r,!0,(m,b)=>m.getLanguageService().findRenameLocations(b.fileName,b.pos,i,o,s),(m,b)=>b(N2(m)));if(To(u))return u;const p=[],h=kZ(l);return u.forEach((m,b)=>{for(const A of m)!h.has(A)&&!wZ(N2(A),b)&&(p.push(A),h.add(A))}),p}function plt(e,t,r){const i=e.getLanguageService().getDefinitionAtPosition(t.fileName,t.pos,!1,r),o=i&&Xc(i);return o&&!o.isLocal?{fileName:o.fileName,pos:o.textSpan.start}:void 0}function flt(e,t,r,i,o){var s,l;const u=XOe(e,t,r,!1,(b,A)=>(o.info(`Finding references to ${A.fileName} position ${A.pos} in project ${b.getProjectName()}`),b.getLanguageService().findReferences(A.fileName,A.pos)),(b,A)=>{A(N2(b.definition));for(const I of b.references)A(N2(I))});if(To(u))return u;const p=u.get(t);if(((l=(s=p==null?void 0:p[0])==null?void 0:s.references[0])==null?void 0:l.isDefinition)===void 0)u.forEach(b=>{for(const A of b)for(const I of A.references)delete I.isDefinition});else{const b=kZ(i);for(const I of p)for(const R of I.references)if(R.isDefinition){b.add(R);break}const A=new Set;for(;;){let I=!1;if(u.forEach((R,M)=>{if(A.has(M))return;M.getLanguageService().updateIsDefinitionOfReferencedSymbols(R,b)&&(A.add(M),I=!0)}),!I)break}u.forEach((I,R)=>{if(!A.has(R))for(const M of I)for(const C of M.references)C.isDefinition=!1})}const h=[],m=kZ(i);return u.forEach((b,A)=>{for(const I of b){const R=wZ(N2(I.definition),A),M=R===void 0?I.definition:{...I.definition,textSpan:vu(R.pos,I.definition.textSpan.length),fileName:R.fileName,contextSpan:hlt(I.definition,A)};let C=An(h,O=>SX(O.definition,M,i));C||(C={definition:M,references:[]},h.push(C));for(const O of I.references)!m.has(O)&&!wZ(N2(O),A)&&(m.add(O),C.references.push(O))}}),h.filter(b=>b.references.length!==0)}function oge(e,t,r){for(const i of To(e)?e:e.projects)r(i,t);!To(e)&&e.symLinkedProjects&&e.symLinkedProjects.forEach((i,o)=>{for(const s of i)r(s,o)})}function XOe(e,t,r,i,o,s){const l=new Map,u=zM();u.enqueue({project:t,location:r}),oge(e,r.fileName,(M,C)=>{const O={fileName:C,pos:r.pos};u.enqueue({project:M,location:O})});const p=t.projectService,h=t.getCancellationToken(),m=plt(t,r,i),b=Td(()=>t.isSourceOfProjectReferenceRedirect(m.fileName)?m:t.getLanguageService().getSourceMapper().tryGetGeneratedPosition(m)),A=Td(()=>t.isSourceOfProjectReferenceRedirect(m.fileName)?m:t.getLanguageService().getSourceMapper().tryGetSourcePosition(m)),I=new Set;e:for(;!u.isEmpty();){for(;!u.isEmpty();){if(h.isCancellationRequested())break e;const{project:M,location:C}=u.dequeue();if(l.has(M)||YOe(M,C)||(jp(M),!M.containsFile(ml(C.fileName))))continue;const O=R(M,C);l.set(M,O??gc),I.add(_lt(M))}m&&(p.loadAncestorProjectTree(I),p.forEachEnabledProject(M=>{if(h.isCancellationRequested()||l.has(M))return;const C=mlt(m,M,b,A);C&&u.enqueue({project:M,location:C})}))}if(l.size===1)return fG(l.values());return l;function R(M,C){const O=o(M,C);if(O){for(const U of O)s(U,K=>{const Z=p.getOriginalLocationEnsuringConfiguredProject(M,K);if(!Z)return;const V=p.getScriptInfo(Z.fileName);for(const G of V.containingProjects)!G.isOrphan()&&!l.has(G)&&u.enqueue({project:G,location:Z});const se=p.getSymlinkedProjects(V);se&&se.forEach((G,ne)=>{for(const he of G)!he.isOrphan()&&!l.has(he)&&u.enqueue({project:he,location:{fileName:ne,pos:Z.pos}})})});return O}}}function mlt(e,t,r,i){if(t.containsFile(ml(e.fileName))&&!YOe(t,e))return e;const o=r();if(o&&t.containsFile(ml(o.fileName)))return o;const s=i();return s&&t.containsFile(ml(s.fileName))?s:void 0}function YOe(e,t){if(!t)return!1;const r=e.getLanguageService().getProgram();if(!r)return!1;const i=r.getSourceFile(t.fileName);return!!i&&i.resolvedPath!==i.path&&i.resolvedPath!==e.toPath(t.fileName)}function _lt(e){return V0(e)?e.canonicalConfigFilePath:e.getProjectName()}function N2({fileName:e,textSpan:t}){return{fileName:e,pos:t.start}}function wZ(e,t){return l2(e,t.getSourceMapper(),r=>t.projectService.fileExists(r))}function $Oe(e,t){return y5(e,t.getSourceMapper(),r=>t.projectService.fileExists(r))}function hlt(e,t){return IX(e,t.getSourceMapper(),r=>t.projectService.fileExists(r))}function Nh(e,t){return{start:t.positionToLineOffset(e.start),end:t.positionToLineOffset(Kl(e))}}function age(e,t,r){const i=Nh(e,r),o=t&&Nh(t,r);return o?{...i,contextStart:o.start,contextEnd:o.end}:i}function glt(e,t){return{start:QOe(t,e.span.start),end:QOe(t,Kl(e.span)),newText:e.newText}}function QOe(e,t){return $he(e)?ylt(e.getLineAndCharacterOfPosition(t)):e.positionToLineOffset(t)}function vlt(e,t){const r=e.ranges.map(i=>({start:t.positionToLineOffset(i.start),end:t.positionToLineOffset(i.start+i.length)}));return e.wordPattern?{ranges:r,wordPattern:e.wordPattern}:{ranges:r}}function ylt(e){return{line:e.line+1,offset:e.character+1}}function blt(e){N.assert(e.textChanges.length===1);const t=Ha(e.textChanges);return N.assert(t.span.start===0&&t.span.length===0),{fileName:e.fileName,textChanges:[{start:{line:0,offset:0},end:{line:0,offset:0},newText:t.newText}]}}function sge(e,t,r,i){const o=Elt(e,t,i),{line:s,character:l}=Kx(DA(o),r);return{line:s+1,offset:l+1}}function Elt(e,t,r){for(const{fileName:i,textChanges:o}of r)if(i===t)for(let s=o.length-1;s>=0;s--){const{newText:l,span:{start:u,length:p}}=o[s];e=e.slice(0,u)+l+e.slice(u+p)}return e}function ZOe(e,{fileName:t,textSpan:r,contextSpan:i,isWriteAccess:o,isDefinition:s},{disableLineTextInReferences:l}){const u=N.checkDefined(e.getScriptInfo(t)),p=age(r,i,u),h=l?void 0:Slt(u,p);return{file:t,...p,lineText:h,isWriteAccess:o,isDefinition:s}}function Slt(e,t){const r=e.lineToTextSpan(t.start.line-1);return e.getSnapshot().getText(r.start,Kl(r)).replace(/\r|\n/g,"")}function Tlt(e){return e===void 0||e&&typeof e=="object"&&typeof e.exportName=="string"&&(e.fileName===void 0||typeof e.fileName=="string")&&(e.ambientModuleName===void 0||typeof e.ambientModuleName=="string"&&(e.isPackageJsonImport===void 0||typeof e.isPackageJsonImport=="boolean"))}var lge,cge,e8e,uge,t8e,dge,Alt=T({"src/server/session.ts"(){db(),_T(),Fhe(),lge={isCancellationRequested:()=>!1,setRequest:()=>{},resetRequest:()=>{}},cge=_Z,e8e=class{constructor(e){this.operationHost=e}startNew(e){this.complete(),this.requestId=this.operationHost.getCurrentRequestId(),this.executeAction(e)}complete(){this.requestId!==void 0&&(this.operationHost.sendRequestCompletedEvent(this.requestId),this.requestId=void 0),this.setTimerHandle(void 0),this.setImmediateId(void 0)}immediate(e,t){const r=this.requestId;N.assert(r===this.operationHost.getCurrentRequestId(),"immediate: incorrect request id"),this.setImmediateId(this.operationHost.getServerHost().setImmediate(()=>{this.immediateId=void 0,this.operationHost.executeWithRequestId(r,()=>this.executeAction(t))},e))}delay(e,t,r){const i=this.requestId;N.assert(i===this.operationHost.getCurrentRequestId(),"delay: incorrect request id"),this.setTimerHandle(this.operationHost.getServerHost().setTimeout(()=>{this.timerHandle=void 0,this.operationHost.executeWithRequestId(i,()=>this.executeAction(r))},t,e))}executeAction(e){var t,r,i,o,s,l;let u=!1;try{this.operationHost.isCancellationRequested()?(u=!0,(t=sr)==null||t.instant(sr.Phase.Session,"stepCanceled",{seq:this.requestId,early:!0})):((r=sr)==null||r.push(sr.Phase.Session,"stepAction",{seq:this.requestId}),e(this),(i=sr)==null||i.pop())}catch(p){(o=sr)==null||o.popAll(),u=!0,p instanceof Hx?(s=sr)==null||s.instant(sr.Phase.Session,"stepCanceled",{seq:this.requestId}):((l=sr)==null||l.instant(sr.Phase.Session,"stepError",{seq:this.requestId,message:p.message}),this.operationHost.logError(p,`delayed processing of request ${this.requestId}`))}(u||!this.hasPendingWork())&&this.complete()}setTimerHandle(e){this.timerHandle!==void 0&&this.operationHost.getServerHost().clearTimeout(this.timerHandle),this.timerHandle=e}setImmediateId(e){this.immediateId!==void 0&&this.operationHost.getServerHost().clearImmediate(this.immediateId),this.immediateId=e}hasPendingWork(){return!!this.timerHandle||!!this.immediateId}},uge=["openExternalProject","openExternalProjects","closeExternalProject","synchronizeProjectList","emit-output","compileOnSaveAffectedFileList","compileOnSaveEmitFile","compilerOptionsDiagnostics-full","encodedSemanticClassifications-full","semanticDiagnosticsSync","suggestionDiagnosticsSync","geterrForProject","reload","reloadProjects","getCodeFixes","getCodeFixes-full","getCombinedCodeFix","getCombinedCodeFix-full","applyCodeActionCommand","getSupportedCodeFixes","getApplicableRefactors","getMoveToRefactoringFileSuggestions","getEditsForRefactor","getEditsForRefactor-full","organizeImports","organizeImports-full","getEditsForFileRename","getEditsForFileRename-full","prepareCallHierarchy","provideCallHierarchyIncomingCalls","provideCallHierarchyOutgoingCalls"],t8e=[...uge,"definition","definition-full","definitionAndBoundSpan","definitionAndBoundSpan-full","typeDefinition","implementation","implementation-full","references","references-full","rename","renameLocations-full","rename-full","quickinfo","quickinfo-full","completionInfo","completions","completions-full","completionEntryDetails","completionEntryDetails-full","signatureHelp","signatureHelp-full","navto","navto-full","documentHighlights","documentHighlights-full"],dge=class wte{constructor(t){this.changeSeq=0,this.handlers=new Map(Object.entries({status:()=>{const s={version:Re};return this.requiredResponse(s)},openExternalProject:s=>(this.projectService.openExternalProject(s.arguments,!0),this.requiredResponse(!0)),openExternalProjects:s=>(this.projectService.openExternalProjects(s.arguments.projects),this.requiredResponse(!0)),closeExternalProject:s=>(this.projectService.closeExternalProject(s.arguments.projectFileName,!0),this.requiredResponse(!0)),synchronizeProjectList:s=>{const l=this.projectService.synchronizeProjectList(s.arguments.knownProjects,s.arguments.includeProjectReferenceRedirectInfo);if(!l.some(p=>p.projectErrors&&p.projectErrors.length!==0))return this.requiredResponse(l);const u=wt(l,p=>!p.projectErrors||p.projectErrors.length===0?p:{info:p.info,changes:p.changes,files:p.files,projectErrors:this.convertToDiagnosticsWithLinePosition(p.projectErrors,void 0)});return this.requiredResponse(u)},updateOpen:s=>(this.changeSeq++,this.projectService.applyChangesInOpenFiles(s.arguments.openFiles&&Ia(s.arguments.openFiles,l=>({fileName:l.file,content:l.fileContent,scriptKind:l.scriptKindName,projectRootPath:l.projectRootPath})),s.arguments.changedFiles&&Ia(s.arguments.changedFiles,l=>({fileName:l.fileName,changes:Pg(dG(l.textChanges),u=>{const p=N.checkDefined(this.projectService.getScriptInfo(l.fileName)),h=p.lineOffsetToPosition(u.start.line,u.start.offset),m=p.lineOffsetToPosition(u.end.line,u.end.offset);return h>=0?{span:{start:h,length:m-h},newText:u.newText}:void 0})})),s.arguments.closedFiles),this.requiredResponse(!0)),applyChangedToOpenFiles:s=>(this.changeSeq++,this.projectService.applyChangesInOpenFiles(s.arguments.openFiles,s.arguments.changedFiles&&Ia(s.arguments.changedFiles,l=>({fileName:l.fileName,changes:dG(l.changes)})),s.arguments.closedFiles),this.requiredResponse(!0)),exit:()=>(this.exit(),this.notRequired()),definition:s=>this.requiredResponse(this.getDefinition(s.arguments,!0)),"definition-full":s=>this.requiredResponse(this.getDefinition(s.arguments,!1)),definitionAndBoundSpan:s=>this.requiredResponse(this.getDefinitionAndBoundSpan(s.arguments,!0)),"definitionAndBoundSpan-full":s=>this.requiredResponse(this.getDefinitionAndBoundSpan(s.arguments,!1)),findSourceDefinition:s=>this.requiredResponse(this.findSourceDefinition(s.arguments)),"emit-output":s=>this.requiredResponse(this.getEmitOutput(s.arguments)),typeDefinition:s=>this.requiredResponse(this.getTypeDefinition(s.arguments)),implementation:s=>this.requiredResponse(this.getImplementation(s.arguments,!0)),"implementation-full":s=>this.requiredResponse(this.getImplementation(s.arguments,!1)),references:s=>this.requiredResponse(this.getReferences(s.arguments,!0)),"references-full":s=>this.requiredResponse(this.getReferences(s.arguments,!1)),rename:s=>this.requiredResponse(this.getRenameLocations(s.arguments,!0)),"renameLocations-full":s=>this.requiredResponse(this.getRenameLocations(s.arguments,!1)),"rename-full":s=>this.requiredResponse(this.getRenameInfo(s.arguments)),open:s=>(this.openClientFile(ml(s.arguments.file),s.arguments.fileContent,xZ(s.arguments.scriptKindName),s.arguments.projectRootPath?ml(s.arguments.projectRootPath):void 0),this.notRequired()),quickinfo:s=>this.requiredResponse(this.getQuickInfoWorker(s.arguments,!0)),"quickinfo-full":s=>this.requiredResponse(this.getQuickInfoWorker(s.arguments,!1)),getOutliningSpans:s=>this.requiredResponse(this.getOutliningSpans(s.arguments,!0)),outliningSpans:s=>this.requiredResponse(this.getOutliningSpans(s.arguments,!1)),todoComments:s=>this.requiredResponse(this.getTodoComments(s.arguments)),indentation:s=>this.requiredResponse(this.getIndentation(s.arguments)),nameOrDottedNameSpan:s=>this.requiredResponse(this.getNameOrDottedNameSpan(s.arguments)),breakpointStatement:s=>this.requiredResponse(this.getBreakpointStatement(s.arguments)),braceCompletion:s=>this.requiredResponse(this.isValidBraceCompletion(s.arguments)),docCommentTemplate:s=>this.requiredResponse(this.getDocCommentTemplate(s.arguments)),getSpanOfEnclosingComment:s=>this.requiredResponse(this.getSpanOfEnclosingComment(s.arguments)),fileReferences:s=>this.requiredResponse(this.getFileReferences(s.arguments,!0)),"fileReferences-full":s=>this.requiredResponse(this.getFileReferences(s.arguments,!1)),format:s=>this.requiredResponse(this.getFormattingEditsForRange(s.arguments)),formatonkey:s=>this.requiredResponse(this.getFormattingEditsAfterKeystroke(s.arguments)),"format-full":s=>this.requiredResponse(this.getFormattingEditsForDocumentFull(s.arguments)),"formatonkey-full":s=>this.requiredResponse(this.getFormattingEditsAfterKeystrokeFull(s.arguments)),"formatRange-full":s=>this.requiredResponse(this.getFormattingEditsForRangeFull(s.arguments)),completionInfo:s=>this.requiredResponse(this.getCompletions(s.arguments,"completionInfo")),completions:s=>this.requiredResponse(this.getCompletions(s.arguments,"completions")),"completions-full":s=>this.requiredResponse(this.getCompletions(s.arguments,"completions-full")),completionEntryDetails:s=>this.requiredResponse(this.getCompletionEntryDetails(s.arguments,!1)),"completionEntryDetails-full":s=>this.requiredResponse(this.getCompletionEntryDetails(s.arguments,!0)),compileOnSaveAffectedFileList:s=>this.requiredResponse(this.getCompileOnSaveAffectedFileList(s.arguments)),compileOnSaveEmitFile:s=>this.requiredResponse(this.emitFile(s.arguments)),signatureHelp:s=>this.requiredResponse(this.getSignatureHelpItems(s.arguments,!0)),"signatureHelp-full":s=>this.requiredResponse(this.getSignatureHelpItems(s.arguments,!1)),"compilerOptionsDiagnostics-full":s=>this.requiredResponse(this.getCompilerOptionsDiagnostics(s.arguments)),"encodedSyntacticClassifications-full":s=>this.requiredResponse(this.getEncodedSyntacticClassifications(s.arguments)),"encodedSemanticClassifications-full":s=>this.requiredResponse(this.getEncodedSemanticClassifications(s.arguments)),cleanup:()=>(this.cleanup(),this.requiredResponse(!0)),semanticDiagnosticsSync:s=>this.requiredResponse(this.getSemanticDiagnosticsSync(s.arguments)),syntacticDiagnosticsSync:s=>this.requiredResponse(this.getSyntacticDiagnosticsSync(s.arguments)),suggestionDiagnosticsSync:s=>this.requiredResponse(this.getSuggestionDiagnosticsSync(s.arguments)),geterr:s=>(this.errorCheck.startNew(l=>this.getDiagnostics(l,s.arguments.delay,s.arguments.files)),this.notRequired()),geterrForProject:s=>(this.errorCheck.startNew(l=>this.getDiagnosticsForProject(l,s.arguments.delay,s.arguments.file)),this.notRequired()),change:s=>(this.change(s.arguments),this.notRequired()),configure:s=>(this.projectService.setHostConfiguration(s.arguments),this.doOutput(void 0,"configure",s.seq,!0),this.notRequired()),reload:s=>(this.reload(s.arguments,s.seq),this.requiredResponse({reloadFinished:!0})),saveto:s=>{const l=s.arguments;return this.saveToTmp(l.file,l.tmpfile),this.notRequired()},close:s=>{const l=s.arguments;return this.closeClientFile(l.file),this.notRequired()},navto:s=>this.requiredResponse(this.getNavigateToItems(s.arguments,!0)),"navto-full":s=>this.requiredResponse(this.getNavigateToItems(s.arguments,!1)),brace:s=>this.requiredResponse(this.getBraceMatching(s.arguments,!0)),"brace-full":s=>this.requiredResponse(this.getBraceMatching(s.arguments,!1)),navbar:s=>this.requiredResponse(this.getNavigationBarItems(s.arguments,!0)),"navbar-full":s=>this.requiredResponse(this.getNavigationBarItems(s.arguments,!1)),navtree:s=>this.requiredResponse(this.getNavigationTree(s.arguments,!0)),"navtree-full":s=>this.requiredResponse(this.getNavigationTree(s.arguments,!1)),documentHighlights:s=>this.requiredResponse(this.getDocumentHighlights(s.arguments,!0)),"documentHighlights-full":s=>this.requiredResponse(this.getDocumentHighlights(s.arguments,!1)),compilerOptionsForInferredProjects:s=>(this.setCompilerOptionsForInferredProjects(s.arguments),this.requiredResponse(!0)),projectInfo:s=>this.requiredResponse(this.getProjectInfo(s.arguments)),reloadProjects:()=>(this.projectService.reloadProjects(),this.notRequired()),jsxClosingTag:s=>this.requiredResponse(this.getJsxClosingTag(s.arguments)),linkedEditingRange:s=>this.requiredResponse(this.getLinkedEditingRange(s.arguments)),getCodeFixes:s=>this.requiredResponse(this.getCodeFixes(s.arguments,!0)),"getCodeFixes-full":s=>this.requiredResponse(this.getCodeFixes(s.arguments,!1)),getCombinedCodeFix:s=>this.requiredResponse(this.getCombinedCodeFix(s.arguments,!0)),"getCombinedCodeFix-full":s=>this.requiredResponse(this.getCombinedCodeFix(s.arguments,!1)),applyCodeActionCommand:s=>this.requiredResponse(this.applyCodeActionCommand(s.arguments)),getSupportedCodeFixes:s=>this.requiredResponse(this.getSupportedCodeFixes(s.arguments)),getApplicableRefactors:s=>this.requiredResponse(this.getApplicableRefactors(s.arguments)),getEditsForRefactor:s=>this.requiredResponse(this.getEditsForRefactor(s.arguments,!0)),getMoveToRefactoringFileSuggestions:s=>this.requiredResponse(this.getMoveToRefactoringFileSuggestions(s.arguments)),"getEditsForRefactor-full":s=>this.requiredResponse(this.getEditsForRefactor(s.arguments,!1)),organizeImports:s=>this.requiredResponse(this.organizeImports(s.arguments,!0)),"organizeImports-full":s=>this.requiredResponse(this.organizeImports(s.arguments,!1)),getEditsForFileRename:s=>this.requiredResponse(this.getEditsForFileRename(s.arguments,!0)),"getEditsForFileRename-full":s=>this.requiredResponse(this.getEditsForFileRename(s.arguments,!1)),configurePlugin:s=>(this.configurePlugin(s.arguments),this.doOutput(void 0,"configurePlugin",s.seq,!0),this.notRequired()),selectionRange:s=>this.requiredResponse(this.getSmartSelectionRange(s.arguments,!0)),"selectionRange-full":s=>this.requiredResponse(this.getSmartSelectionRange(s.arguments,!1)),prepareCallHierarchy:s=>this.requiredResponse(this.prepareCallHierarchy(s.arguments)),provideCallHierarchyIncomingCalls:s=>this.requiredResponse(this.provideCallHierarchyIncomingCalls(s.arguments)),provideCallHierarchyOutgoingCalls:s=>this.requiredResponse(this.provideCallHierarchyOutgoingCalls(s.arguments)),toggleLineComment:s=>this.requiredResponse(this.toggleLineComment(s.arguments,!0)),"toggleLineComment-full":s=>this.requiredResponse(this.toggleLineComment(s.arguments,!1)),toggleMultilineComment:s=>this.requiredResponse(this.toggleMultilineComment(s.arguments,!0)),"toggleMultilineComment-full":s=>this.requiredResponse(this.toggleMultilineComment(s.arguments,!1)),commentSelection:s=>this.requiredResponse(this.commentSelection(s.arguments,!0)),"commentSelection-full":s=>this.requiredResponse(this.commentSelection(s.arguments,!1)),uncommentSelection:s=>this.requiredResponse(this.uncommentSelection(s.arguments,!0)),"uncommentSelection-full":s=>this.requiredResponse(this.uncommentSelection(s.arguments,!1)),provideInlayHints:s=>this.requiredResponse(this.provideInlayHints(s.arguments))})),this.host=t.host,this.cancellationToken=t.cancellationToken,this.typingsInstaller=t.typingsInstaller||qz,this.byteLength=t.byteLength,this.hrtime=t.hrtime,this.logger=t.logger,this.canUseEvents=t.canUseEvents,this.suppressDiagnosticEvents=t.suppressDiagnosticEvents,this.noGetErrOnBackgroundUpdate=t.noGetErrOnBackgroundUpdate;const{throttleWaitMilliseconds:r}=t;this.eventHandler=this.canUseEvents?t.eventHandler||(s=>this.defaultEventHandler(s)):void 0;const i={executeWithRequestId:(s,l)=>this.executeWithRequestId(s,l),getCurrentRequestId:()=>this.currentRequestId,getServerHost:()=>this.host,logError:(s,l)=>this.logError(s,l),sendRequestCompletedEvent:s=>this.sendRequestCompletedEvent(s),isCancellationRequested:()=>this.cancellationToken.isCancellationRequested()};this.errorCheck=new e8e(i);const o={host:this.host,logger:this.logger,cancellationToken:this.cancellationToken,useSingleInferredProject:t.useSingleInferredProject,useInferredProjectPerProjectRoot:t.useInferredProjectPerProjectRoot,typingsInstaller:this.typingsInstaller,throttleWaitMilliseconds:r,eventHandler:this.eventHandler,suppressDiagnosticEvents:this.suppressDiagnosticEvents,globalPlugins:t.globalPlugins,pluginProbeLocations:t.pluginProbeLocations,allowLocalPluginLoads:t.allowLocalPluginLoads,typesMapLocation:t.typesMapLocation,serverMode:t.serverMode,session:this,canUseWatchEvents:t.canUseWatchEvents,incrementalVerifier:t.incrementalVerifier};switch(this.projectService=new MZ(o),this.projectService.setPerformanceEventHandler(this.performanceEventHandler.bind(this)),this.gcTimer=new mZ(this.host,7e3,this.logger),this.projectService.serverMode){case 0:break;case 1:uge.forEach(s=>this.handlers.set(s,l=>{throw new Error(`Request: ${l.command} not allowed in LanguageServiceMode.PartialSemantic`)}));break;case 2:t8e.forEach(s=>this.handlers.set(s,l=>{throw new Error(`Request: ${l.command} not allowed in LanguageServiceMode.Syntactic`)}));break;default:N.assertNever(this.projectService.serverMode)}}sendRequestCompletedEvent(t){this.event({request_seq:t},"requestCompleted")}addPerformanceData(t,r){this.performanceData||(this.performanceData={}),this.performanceData[t]=(this.performanceData[t]??0)+r}performanceEventHandler(t){switch(t.kind){case"UpdateGraph":this.addPerformanceData("updateGraphDurationMs",t.durationMs);break;case"CreatePackageJsonAutoImportProvider":this.addPerformanceData("createAutoImportProviderProgramDurationMs",t.durationMs);break}}defaultEventHandler(t){switch(t.eventName){case v8:this.projectsUpdatedInBackgroundEvent(t.data.openFiles);break;case $z:this.event({projectName:t.data.project.getProjectName(),reason:t.data.reason},t.eventName);break;case Qz:this.event({projectName:t.data.project.getProjectName()},t.eventName);break;case Zz:case r9:case i9:case o9:this.event(t.data,t.eventName);break;case e9:this.event({triggerFile:t.data.triggerFile,configFile:t.data.configFileName,diagnostics:wt(t.data.diagnostics,r=>b8(r,!0))},t.eventName);break;case t9:{this.event({projectName:t.data.project.getProjectName(),languageServiceEnabled:t.data.languageServiceEnabled},t.eventName);break}case n9:{this.event({telemetryEventName:t.eventName,payload:t.data},"telemetry");break}}}projectsUpdatedInBackgroundEvent(t){this.projectService.logger.info(`got projects updated in background ${t}`),t.length&&(!this.suppressDiagnosticEvents&&!this.noGetErrOnBackgroundUpdate&&(this.projectService.logger.info(`Queueing diagnostics update for ${t}`),this.errorCheck.startNew(r=>this.updateErrorCheck(r,t,100,!0))),this.event({openFiles:t},v8))}logError(t,r){this.logErrorWorker(t,r)}logErrorWorker(t,r,i){let o="Exception on executing command "+r;if(t.message&&(o+=`:
+`+t2(t.message),t.stack&&(o+=`
+`+t2(t.stack))),this.logger.hasLevel(3)){if(i)try{const{file:s,project:l}=this.getFileAndProject(i),u=l.getScriptInfoForNormalizedPath(s);if(u){const p=RD(u.getSnapshot());o+=`
+
+File text of ${i.file}:${t2(p)}
+`}}catch{}if(t.ProgramFiles){o+=`
+
+Program files: ${JSON.stringify(t.ProgramFiles)}
+`,o+=`
+
+Projects::
+`;let s=0;const l=u=>{o+=`
+Project '${u.projectName}' (${D2[u.projectKind]}) ${s}
+`,o+=u.filesToString(!0),o+=`
+-----------------------------------------------
+`,s++};this.projectService.externalProjects.forEach(l),this.projectService.configuredProjects.forEach(l),this.projectService.inferredProjects.forEach(l)}}this.logger.msg(o,"Err")}send(t){if(t.type==="event"&&!this.canUseEvents){this.logger.hasLevel(3)&&this.logger.info(`Session does not support events: ignored event: ${O0(t)}`);return}this.writeMessage(t)}writeMessage(t){var r;const i=rge(t,this.logger,this.byteLength,this.host.newLine);(r=ad)==null||r.logEvent(`Response message size: ${i.length}`),this.host.write(i)}event(t,r){this.send(ige(r,t))}doOutput(t,r,i,o,s){const l={seq:0,type:"response",command:r,request_seq:i,success:o,performanceData:this.performanceData};if(o){let u;if(To(t))l.body=t,u=t.metadata,delete t.metadata;else if(typeof t=="object")if(t.metadata){const{metadata:p,...h}=t;l.body=h,u=p}else l.body=t;else l.body=t;u&&(l.metadata=u)}else N.assert(t===void 0);s&&(l.message=s),this.send(l)}semanticCheck(t,r){var i,o;(i=sr)==null||i.push(sr.Phase.Session,"semanticCheck",{file:t,configFilePath:r.canonicalConfigFilePath});const s=JOe(r,t)?gc:r.getLanguageService().getSemanticDiagnostics(t).filter(l=>!!l.file);this.sendDiagnosticsEvent(t,r,s,"semanticDiag"),(o=sr)==null||o.pop()}syntacticCheck(t,r){var i,o;(i=sr)==null||i.push(sr.Phase.Session,"syntacticCheck",{file:t,configFilePath:r.canonicalConfigFilePath}),this.sendDiagnosticsEvent(t,r,r.getLanguageService().getSyntacticDiagnostics(t),"syntaxDiag"),(o=sr)==null||o.pop()}suggestionCheck(t,r){var i,o;(i=sr)==null||i.push(sr.Phase.Session,"suggestionCheck",{file:t,configFilePath:r.canonicalConfigFilePath}),this.sendDiagnosticsEvent(t,r,r.getLanguageService().getSuggestionDiagnostics(t),"suggestionDiag"),(o=sr)==null||o.pop()}sendDiagnosticsEvent(t,r,i,o){try{this.event({file:t,diagnostics:i.map(s=>KOe(t,r,s))},o)}catch(s){this.logError(s,o)}}updateErrorCheck(t,r,i,o=!0){N.assert(!this.suppressDiagnosticEvents);const s=this.changeSeq,l=Math.min(i,200);let u=0;const p=()=>{u++,r.length>u&&t.delay("checkOne",l,h)},h=()=>{if(this.changeSeq!==s)return;let m=r[u];if(Po(m)&&(m=this.toPendingErrorCheck(m),!m)){p();return}const{fileName:b,project:A}=m;if(jp(A),!!A.containsFile(b,o)&&(this.syntacticCheck(b,A),this.changeSeq===s)){if(A.projectService.serverMode!==0){p();return}t.immediate("semanticCheck",()=>{if(this.semanticCheck(b,A),this.changeSeq===s){if(this.getPreferences(b).disableSuggestions){p();return}t.immediate("suggestionCheck",()=>{this.suggestionCheck(b,A),p()})}})}};r.length>u&&this.changeSeq===s&&t.delay("checkOne",i,h)}cleanProjects(t,r){if(r){this.logger.info(`cleaning ${t}`);for(const i of r)i.getLanguageService(!1).cleanupSemanticCache(),i.cleanupProgram()}}cleanup(){this.cleanProjects("inferred projects",this.projectService.inferredProjects),this.cleanProjects("configured projects",zo(this.projectService.configuredProjects.values())),this.cleanProjects("external projects",this.projectService.externalProjects),this.host.gc&&(this.logger.info("host.gc()"),this.host.gc())}getEncodedSyntacticClassifications(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t);return i.getEncodedSyntacticClassifications(r,t)}getEncodedSemanticClassifications(t){const{file:r,project:i}=this.getFileAndProject(t),o=t.format==="2020"?"2020":"original";return i.getLanguageService().getEncodedSemanticClassifications(r,t,o)}getProject(t){return t===void 0?void 0:this.projectService.findProject(t)}getConfigFileAndProject(t){const r=this.getProject(t.projectFileName),i=ml(t.file);return{configFile:r&&r.hasConfigFile(i)?i:void 0,project:r}}getConfigFileDiagnostics(t,r,i){const o=r.getAllProjectErrors(),s=r.getLanguageService().getCompilerOptionsDiagnostics(),l=nr(So(o,s),u=>!!u.file&&u.file.fileName===t);return i?this.convertToDiagnosticsWithLinePositionFromDiagnosticFile(l):wt(l,u=>b8(u,!1))}convertToDiagnosticsWithLinePositionFromDiagnosticFile(t){return t.map(r=>({message:z_(r.messageText,this.host.newLine),start:r.start,length:r.length,category:mS(r),code:r.code,source:r.source,startLocation:r.file&&y8(bs(r.file,r.start)),endLocation:r.file&&y8(bs(r.file,r.start+r.length)),reportsUnnecessary:r.reportsUnnecessary,reportsDeprecated:r.reportsDeprecated,relatedInformation:wt(r.relatedInformation,LZ)}))}getCompilerOptionsDiagnostics(t){const r=this.getProject(t.projectFileName);return this.convertToDiagnosticsWithLinePosition(nr(r.getLanguageService().getCompilerOptionsDiagnostics(),i=>!i.file),void 0)}convertToDiagnosticsWithLinePosition(t,r){return t.map(i=>({message:z_(i.messageText,this.host.newLine),start:i.start,length:i.length,category:mS(i),code:i.code,source:i.source,startLocation:r&&r.positionToLineOffset(i.start),endLocation:r&&r.positionToLineOffset(i.start+i.length),reportsUnnecessary:i.reportsUnnecessary,reportsDeprecated:i.reportsDeprecated,relatedInformation:wt(i.relatedInformation,LZ)}))}getDiagnosticsWorker(t,r,i,o){const{project:s,file:l}=this.getFileAndProject(t);if(r&&JOe(s,l))return gc;const u=s.getScriptInfoForNormalizedPath(l),p=i(s,l);return o?this.convertToDiagnosticsWithLinePosition(p,u):p.map(h=>KOe(l,s,h))}getDefinition(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=this.getPositionInFile(t,i),l=this.mapDefinitionInfoLocations(o.getLanguageService().getDefinitionAtPosition(i,s)||gc,o);return r?this.mapDefinitionInfo(l,o):l.map(wte.mapToOriginalLocation)}mapDefinitionInfoLocations(t,r){return t.map(i=>{const o=$Oe(i,r);return o?{...o,containerKind:i.containerKind,containerName:i.containerName,kind:i.kind,name:i.name,failedAliasResolution:i.failedAliasResolution,...i.unverified&&{unverified:i.unverified}}:i})}getDefinitionAndBoundSpan(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=this.getPositionInFile(t,i),l=N.checkDefined(o.getScriptInfo(i)),u=o.getLanguageService().getDefinitionAndBoundSpan(i,s);if(!u||!u.definitions)return{definitions:gc,textSpan:void 0};const p=this.mapDefinitionInfoLocations(u.definitions,o),{textSpan:h}=u;return r?{definitions:this.mapDefinitionInfo(p,o),textSpan:Nh(h,l)}:{definitions:p.map(wte.mapToOriginalLocation),textSpan:h}}findSourceDefinition(t){var r;const{file:i,project:o}=this.getFileAndProject(t),s=this.getPositionInFile(t,i),l=o.getLanguageService().getDefinitionAtPosition(i,s);let u=this.mapDefinitionInfoLocations(l||gc,o).slice();if(this.projectService.serverMode===0&&(!bt(u,I=>ml(I.fileName)!==i&&!I.isAmbient)||bt(u,I=>!!I.failedAliasResolution))){const I=vG(O=>O.textSpan.start,TX(this.host.useCaseSensitiveFileNames));u==null||u.forEach(O=>I.add(O));const R=o.getNoDtsResolutionProject(i),M=R.getLanguageService(),C=(r=M.getDefinitionAtPosition(i,s,!0,!1))==null?void 0:r.filter(O=>ml(O.fileName)!==i);if(bt(C))for(const O of C){if(O.unverified){const U=b(O,o.getLanguageService().getProgram(),M.getProgram());if(bt(U)){for(const K of U)I.add(K);continue}}I.add(O)}else{const O=u.filter(U=>ml(U.fileName)!==i&&U.isAmbient);for(const U of bt(O)?O:m()){const K=h(U.fileName,i,R);if(!K)continue;const Z=this.projectService.getOrCreateScriptInfoNotOpenedByClient(K,R.currentDirectory,R.directoryStructureHost);if(!Z)continue;R.containsScriptInfo(Z)||(R.addRoot(Z),R.updateGraph());const V=M.getProgram(),se=N.checkDefined(V.getSourceFile(K));for(const G of A(U.name,se,V))I.add(G)}}u=zo(I.values())}return u=u.filter(I=>!I.isAmbient&&!I.failedAliasResolution),this.mapDefinitionInfo(u,o);function h(I,R,M){var C,O,U;const K=aW(I);if(K&&I.lastIndexOf(xh)===K.topLevelNodeModulesIndex){const Z=I.substring(0,K.packageRootIndex),V=(C=o.getModuleResolutionCache())==null?void 0:C.getPackageJsonInfoCache(),se=o.getCompilationSettings(),G=Dw(go(Z+"/package.json",o.getCurrentDirectory()),Rw(V,o,se));if(!G)return;const ne=Wq(G,{moduleResolution:2},o,o.getModuleResolutionCache()),he=I.substring(K.topLevelPackageNameIndex+1,K.packageRootIndex),ye=FP(Pw(he)),$=o.toPath(I);if(ne&&bt(ne,Y=>o.toPath(Y)===$))return(O=M.resolutionCache.resolveSingleModuleNameWithoutWatching(ye,R).resolvedModule)==null?void 0:O.resolvedFileName;{const Y=I.substring(K.packageRootIndex+1),oe=`${ye}/${Id(Y)}`;return(U=M.resolutionCache.resolveSingleModuleNameWithoutWatching(oe,R).resolvedModule)==null?void 0:U.resolvedFileName}}}function m(){const I=o.getLanguageService(),R=I.getProgram(),M=Vd(R.getSourceFile(i),s);return(ls(M)||Ve(M))&&ws(M.parent)&&Moe(M,C=>{var O;if(C===M)return;const U=(O=I.getDefinitionAtPosition(i,C.getStart(),!0,!1))==null?void 0:O.filter(K=>ml(K.fileName)!==i&&K.isAmbient).map(K=>({fileName:K.fileName,name:em(M)}));if(bt(U))return U})||gc}function b(I,R,M){var C;const O=M.getSourceFile(I.fileName);if(!O)return;const U=Vd(R.getSourceFile(i),s),K=R.getTypeChecker().getSymbolAtLocation(U),Z=K&&fl(K,276);if(!Z)return;const V=((C=Z.propertyName)==null?void 0:C.text)||Z.name.text;return A(V,O,M)}function A(I,R,M){const C=Ws.Core.getTopMostDeclarationNamesInFile(I,R);return Hi(C,O=>{const U=M.getTypeChecker().getSymbolAtLocation(O),K=NC(O);if(U&&K)return UD.createDefinitionInfo(K,M.getTypeChecker(),U,K,!0)})}}getEmitOutput(t){const{file:r,project:i}=this.getFileAndProject(t);if(!i.shouldEmitFile(i.getScriptInfo(r)))return{emitSkipped:!0,outputFiles:[],diagnostics:[]};const o=i.getLanguageService().getEmitOutput(r);return t.richResponse?{...o,diagnostics:t.includeLinePosition?this.convertToDiagnosticsWithLinePositionFromDiagnosticFile(o.diagnostics):o.diagnostics.map(s=>b8(s,!0))}:o}mapJSDocTagInfo(t,r,i){return t?t.map(o=>{var s;return{...o,text:i?this.mapDisplayParts(o.text,r):(s=o.text)==null?void 0:s.map(l=>l.text).join("")}}):[]}mapDisplayParts(t,r){return t?t.map(i=>i.kind!=="linkName"?i:{...i,target:this.toFileSpan(i.target.fileName,i.target.textSpan,r)}):[]}mapSignatureHelpItems(t,r,i){return t.map(o=>({...o,documentation:this.mapDisplayParts(o.documentation,r),parameters:o.parameters.map(s=>({...s,documentation:this.mapDisplayParts(s.documentation,r)})),tags:this.mapJSDocTagInfo(o.tags,r,i)}))}mapDefinitionInfo(t,r){return t.map(i=>({...this.toFileSpanWithContext(i.fileName,i.textSpan,i.contextSpan,r),...i.unverified&&{unverified:i.unverified}}))}static mapToOriginalLocation(t){return t.originalFileName?(N.assert(t.originalTextSpan!==void 0,"originalTextSpan should be present if originalFileName is"),{...t,fileName:t.originalFileName,textSpan:t.originalTextSpan,targetFileName:t.fileName,targetTextSpan:t.textSpan,contextSpan:t.originalContextSpan,targetContextSpan:t.contextSpan}):t}toFileSpan(t,r,i){const o=i.getLanguageService(),s=o.toLineColumnOffset(t,r.start),l=o.toLineColumnOffset(t,Kl(r));return{file:t,start:{line:s.line+1,offset:s.character+1},end:{line:l.line+1,offset:l.character+1}}}toFileSpanWithContext(t,r,i,o){const s=this.toFileSpan(t,r,o),l=i&&this.toFileSpan(t,i,o);return l?{...s,contextStart:l.start,contextEnd:l.end}:s}getTypeDefinition(t){const{file:r,project:i}=this.getFileAndProject(t),o=this.getPositionInFile(t,r),s=this.mapDefinitionInfoLocations(i.getLanguageService().getTypeDefinitionAtPosition(r,o)||gc,i);return this.mapDefinitionInfo(s,i)}mapImplementationLocations(t,r){return t.map(i=>{const o=$Oe(i,r);return o?{...o,kind:i.kind,displayParts:i.displayParts}:i})}getImplementation(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=this.getPositionInFile(t,i),l=this.mapImplementationLocations(o.getLanguageService().getImplementationAtPosition(i,s)||gc,o);return r?l.map(({fileName:u,textSpan:p,contextSpan:h})=>this.toFileSpanWithContext(u,p,h,o)):l.map(wte.mapToOriginalLocation)}getSyntacticDiagnosticsSync(t){const{configFile:r}=this.getConfigFileAndProject(t);return r?gc:this.getDiagnosticsWorker(t,!1,(i,o)=>i.getLanguageService().getSyntacticDiagnostics(o),!!t.includeLinePosition)}getSemanticDiagnosticsSync(t){const{configFile:r,project:i}=this.getConfigFileAndProject(t);return r?this.getConfigFileDiagnostics(r,i,!!t.includeLinePosition):this.getDiagnosticsWorker(t,!0,(o,s)=>o.getLanguageService().getSemanticDiagnostics(s).filter(l=>!!l.file),!!t.includeLinePosition)}getSuggestionDiagnosticsSync(t){const{configFile:r}=this.getConfigFileAndProject(t);return r?gc:this.getDiagnosticsWorker(t,!0,(i,o)=>i.getLanguageService().getSuggestionDiagnostics(o),!!t.includeLinePosition)}getJsxClosingTag(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.getPositionInFile(t,r),s=i.getJsxClosingTagAtPosition(r,o);return s===void 0?void 0:{newText:s.newText,caretOffset:0}}getLinkedEditingRange(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.getPositionInFile(t,r),s=i.getLinkedEditingRangeAtPosition(r,o),l=this.projectService.getScriptInfoForNormalizedPath(r);if(!(l===void 0||s===void 0))return vlt(s,l)}getDocumentHighlights(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=this.getPositionInFile(t,i),l=o.getLanguageService().getDocumentHighlights(i,s,t.filesToSearch);return l?r?l.map(({fileName:u,highlightSpans:p})=>{const h=o.getScriptInfo(u);return{file:u,highlightSpans:p.map(({textSpan:m,kind:b,contextSpan:A})=>({...age(m,A,h),kind:b}))}}):l:gc}provideInlayHints(t){const{file:r,project:i}=this.getFileAndProject(t),o=this.projectService.getScriptInfoForNormalizedPath(r);return i.getLanguageService().provideInlayHints(r,t,this.getPreferences(r)).map(l=>{const{position:u,displayParts:p}=l;return{...l,position:o.positionToLineOffset(u),displayParts:p==null?void 0:p.map(({text:h,span:m,file:b})=>{if(m){N.assertIsDefined(b,"Target file should be defined together with its span.");const A=this.projectService.getScriptInfo(b);return{text:h,span:{start:A.positionToLineOffset(m.start),end:A.positionToLineOffset(m.start+m.length),file:b}}}else return{text:h}})}})}setCompilerOptionsForInferredProjects(t){this.projectService.setCompilerOptionsForInferredProjects(t.options,t.projectRootPath)}getProjectInfo(t){return this.getProjectInfoWorker(t.file,t.projectFileName,t.needFileNameList,!1)}getProjectInfoWorker(t,r,i,o){const{project:s}=this.getFileAndProjectWorker(t,r);return jp(s),{configFileName:s.getProjectName(),languageServiceDisabled:!s.languageServiceEnabled,fileNames:i?s.getFileNames(!1,o):void 0}}getRenameInfo(t){const{file:r,project:i}=this.getFileAndProject(t),o=this.getPositionInFile(t,r),s=this.getPreferences(r);return i.getLanguageService().getRenameInfo(r,o,s)}getProjects(t,r,i){let o,s;if(t.projectFileName){const l=this.getProject(t.projectFileName);l&&(o=[l])}else{const l=r?this.projectService.getScriptInfoEnsuringProjectsUptoDate(t.file):this.projectService.getScriptInfo(t.file);if(l)r||this.projectService.ensureDefaultProjectForFile(l);else return i?gc:(this.projectService.logErrorForScriptInfoNotFound(t.file),oy.ThrowNoProject());o=l.containingProjects,s=this.projectService.getSymlinkedProjects(l)}return o=nr(o,l=>l.languageServiceEnabled&&!l.isOrphan()),!i&&(!o||!o.length)&&!s?(this.projectService.logErrorForScriptInfoNotFound(t.file??t.projectFileName),oy.ThrowNoProject()):s?{projects:o,symLinkedProjects:s}:o}getDefaultProject(t){if(t.projectFileName){const i=this.getProject(t.projectFileName);if(i)return i;if(!t.file)return oy.ThrowNoProject()}return this.projectService.getScriptInfo(t.file).getDefaultProject()}getRenameLocations(t,r){const i=ml(t.file),o=this.getPositionInFile(t,i),s=this.getProjects(t),l=this.getDefaultProject(t),u=this.getPreferences(i),p=this.mapRenameInfo(l.getLanguageService().getRenameInfo(i,o,u),N.checkDefined(this.projectService.getScriptInfo(i)));if(!p.canRename)return r?{info:p,locs:[]}:[];const h=dlt(s,l,{fileName:t.file,pos:o},!!t.findInStrings,!!t.findInComments,u,this.host.useCaseSensitiveFileNames);return r?{info:p,locs:this.toSpanGroups(h)}:h}mapRenameInfo(t,r){if(t.canRename){const{canRename:i,fileToRename:o,displayName:s,fullDisplayName:l,kind:u,kindModifiers:p,triggerSpan:h}=t;return{canRename:i,fileToRename:o,displayName:s,fullDisplayName:l,kind:u,kindModifiers:p,triggerSpan:Nh(h,r)}}else return t}toSpanGroups(t){const r=new Map;for(const{fileName:i,textSpan:o,contextSpan:s,originalContextSpan:l,originalTextSpan:u,originalFileName:p,...h}of t){let m=r.get(i);m||r.set(i,m={file:i,locs:[]});const b=N.checkDefined(this.projectService.getScriptInfo(i));m.locs.push({...age(o,s,b),...h})}return zo(r.values())}getReferences(t,r){const i=ml(t.file),o=this.getProjects(t),s=this.getPositionInFile(t,i),l=flt(o,this.getDefaultProject(t),{fileName:t.file,pos:s},this.host.useCaseSensitiveFileNames,this.logger);if(!r)return l;const u=this.getPreferences(i),p=this.getDefaultProject(t),h=p.getScriptInfoForNormalizedPath(i),m=p.getLanguageService().getQuickInfoAtPosition(i,s),b=m?jO(m.displayParts):"",A=m&&m.textSpan,I=A?h.positionToLineOffset(A.start).offset:0,R=A?h.getSnapshot().getText(A.start,Kl(A)):"";return{refs:Pi(l,C=>C.references.map(O=>ZOe(this.projectService,O,u))),symbolName:R,symbolStartOffset:I,symbolDisplayString:b}}getFileReferences(t,r){const i=this.getProjects(t),o=t.file,s=this.getPreferences(ml(o)),l=[],u=kZ(this.host.useCaseSensitiveFileNames);return oge(i,void 0,h=>{if(h.getCancellationToken().isCancellationRequested())return;const m=h.getLanguageService().getFileReferences(o);if(m)for(const b of m)u.has(b)||(l.push(b),u.add(b))}),r?{refs:l.map(h=>ZOe(this.projectService,h,s)),symbolName:`"${t.file}"`}:l}openClientFile(t,r,i,o){this.projectService.openClientFileWithNormalizedPath(t,r,i,!1,o)}getPosition(t,r){return t.position!==void 0?t.position:r.lineOffsetToPosition(t.line,t.offset)}getPositionInFile(t,r){const i=this.projectService.getScriptInfoForNormalizedPath(r);return this.getPosition(t,i)}getFileAndProject(t){return this.getFileAndProjectWorker(t.file,t.projectFileName)}getFileAndLanguageServiceForSyntacticOperation(t){const{file:r,project:i}=this.getFileAndProject(t);return{file:r,languageService:i.getLanguageService(!1)}}getFileAndProjectWorker(t,r){const i=ml(t),o=this.getProject(r)||this.projectService.ensureDefaultProjectForFile(i);return{file:i,project:o}}getOutliningSpans(t,r){const{file:i,languageService:o}=this.getFileAndLanguageServiceForSyntacticOperation(t),s=o.getOutliningSpans(i);if(r){const l=this.projectService.getScriptInfoForNormalizedPath(i);return s.map(u=>({textSpan:Nh(u.textSpan,l),hintSpan:Nh(u.hintSpan,l),bannerText:u.bannerText,autoCollapse:u.autoCollapse,kind:u.kind}))}else return s}getTodoComments(t){const{file:r,project:i}=this.getFileAndProject(t);return i.getLanguageService().getTodoComments(r,t.descriptors)}getDocCommentTemplate(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.getPositionInFile(t,r);return i.getDocCommentTemplateAtPosition(r,o,this.getPreferences(r),this.getFormatOptions(r))}getSpanOfEnclosingComment(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=t.onlyMultiLine,s=this.getPositionInFile(t,r);return i.getSpanOfEnclosingComment(r,s,o)}getIndentation(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.getPositionInFile(t,r),s=t.options?YD(t.options):this.getFormatOptions(r),l=i.getIndentationAtPosition(r,o,s);return{position:o,indentation:l}}getBreakpointStatement(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.getPositionInFile(t,r);return i.getBreakpointStatementAtPosition(r,o)}getNameOrDottedNameSpan(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.getPositionInFile(t,r);return i.getNameOrDottedNameSpan(r,o,o)}isValidBraceCompletion(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.getPositionInFile(t,r);return i.isValidBraceCompletionAtPosition(r,o,t.openingBrace.charCodeAt(0))}getQuickInfoWorker(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=this.projectService.getScriptInfoForNormalizedPath(i),l=o.getLanguageService().getQuickInfoAtPosition(i,this.getPosition(t,s));if(!l)return;const u=!!this.getPreferences(i).displayPartsForJSDoc;if(r){const p=jO(l.displayParts);return{kind:l.kind,kindModifiers:l.kindModifiers,start:s.positionToLineOffset(l.textSpan.start),end:s.positionToLineOffset(Kl(l.textSpan)),displayString:p,documentation:u?this.mapDisplayParts(l.documentation,o):jO(l.documentation),tags:this.mapJSDocTagInfo(l.tags,o,u)}}else return u?l:{...l,tags:this.mapJSDocTagInfo(l.tags,o,!1)}}getFormattingEditsForRange(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.projectService.getScriptInfoForNormalizedPath(r),s=o.lineOffsetToPosition(t.line,t.offset),l=o.lineOffsetToPosition(t.endLine,t.endOffset),u=i.getFormattingEditsForRange(r,s,l,this.getFormatOptions(r));if(u)return u.map(p=>this.convertTextChangeToCodeEdit(p,o))}getFormattingEditsForRangeFull(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=t.options?YD(t.options):this.getFormatOptions(r);return i.getFormattingEditsForRange(r,t.position,t.endPosition,o)}getFormattingEditsForDocumentFull(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=t.options?YD(t.options):this.getFormatOptions(r);return i.getFormattingEditsForDocument(r,o)}getFormattingEditsAfterKeystrokeFull(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=t.options?YD(t.options):this.getFormatOptions(r);return i.getFormattingEditsAfterKeystroke(r,t.position,t.key,o)}getFormattingEditsAfterKeystroke(t){const{file:r,languageService:i}=this.getFileAndLanguageServiceForSyntacticOperation(t),o=this.projectService.getScriptInfoForNormalizedPath(r),s=o.lineOffsetToPosition(t.line,t.offset),l=this.getFormatOptions(r),u=i.getFormattingEditsAfterKeystroke(r,s,t.key,l);if(t.key===`
+`&&(!u||u.length===0||clt(u,s))){const{lineText:p,absolutePosition:h}=o.textStorage.getAbsolutePositionAndLineText(t.line);if(p&&p.search("\\S")<0){const m=i.getIndentationAtPosition(r,s,l);let b=0,A,I;for(A=0,I=p.length;A<I;A++)if(p.charAt(A)===" ")b++;else if(p.charAt(A)===" ")b+=l.tabSize;else break;if(m!==b){const R=h+A;u.push({span:pc(h,R),newText:Oc.getIndentationString(m,l)})}}}if(u)return u.map(p=>({start:o.positionToLineOffset(p.span.start),end:o.positionToLineOffset(Kl(p.span)),newText:p.newText?p.newText:""}))}getCompletions(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=this.projectService.getScriptInfoForNormalizedPath(i),l=this.getPosition(t,s),u=o.getLanguageService().getCompletionsAtPosition(i,l,{...Hhe(this.getPreferences(i)),triggerCharacter:t.triggerCharacter,triggerKind:t.triggerKind,includeExternalModuleExports:t.includeExternalModuleExports,includeInsertTextCompletions:t.includeInsertTextCompletions},o.projectService.getFormatCodeOptions(i));if(u===void 0)return;if(r==="completions-full")return u;const p=t.prefix||"",h=Hi(u.entries,b=>{if(u.isMemberCompletion||uo(b.name.toLowerCase(),p.toLowerCase())){const{name:A,kind:I,kindModifiers:R,sortText:M,insertText:C,filterText:O,replacementSpan:U,hasAction:K,source:Z,sourceDisplay:V,labelDetails:se,isSnippet:G,isRecommended:ne,isPackageJsonImport:he,isImportStatementCompletion:ye,data:$}=b,Y=U?Nh(U,s):void 0;return{name:A,kind:I,kindModifiers:R,sortText:M,insertText:C,filterText:O,replacementSpan:Y,isSnippet:G,hasAction:K||void 0,source:Z,sourceDisplay:V,labelDetails:se,isRecommended:ne,isPackageJsonImport:he,isImportStatementCompletion:ye,data:$}}});return r==="completions"?(u.metadata&&(h.metadata=u.metadata),h):{...u,optionalReplacementSpan:u.optionalReplacementSpan&&Nh(u.optionalReplacementSpan,s),entries:h}}getCompletionEntryDetails(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=this.projectService.getScriptInfoForNormalizedPath(i),l=this.getPosition(t,s),u=o.projectService.getFormatCodeOptions(i),p=!!this.getPreferences(i).displayPartsForJSDoc,h=Hi(t.entryNames,m=>{const{name:b,source:A,data:I}=typeof m=="string"?{name:m,source:void 0,data:void 0}:m;return o.getLanguageService().getCompletionEntryDetails(i,l,b,u,A,this.getPreferences(i),I?aa(I,Tlt):void 0)});return r?p?h:h.map(m=>({...m,tags:this.mapJSDocTagInfo(m.tags,o,!1)})):h.map(m=>({...m,codeActions:wt(m.codeActions,b=>this.mapCodeAction(b)),documentation:this.mapDisplayParts(m.documentation,o),tags:this.mapJSDocTagInfo(m.tags,o,p)}))}getCompileOnSaveAffectedFileList(t){const r=this.getProjects(t,!0,!0),i=this.projectService.getScriptInfo(t.file);return i?ult(i,o=>this.projectService.getScriptInfoForPath(o),r,(o,s)=>{if(!o.compileOnSaveEnabled||!o.languageServiceEnabled||o.isOrphan())return;const l=o.getCompilationSettings();if(!(l.noEmit||Su(s.fileName)&&!llt(l)))return{projectFileName:o.getProjectName(),fileNames:o.getCompileOnSaveAffectedFileList(s),projectUsesOutFile:!!Ps(l)}}):gc}emitFile(t){const{file:r,project:i}=this.getFileAndProject(t);if(i||oy.ThrowNoProject(),!i.languageServiceEnabled)return t.richResponse?{emitSkipped:!0,diagnostics:[]}:!1;const o=i.getScriptInfo(r),{emitSkipped:s,diagnostics:l}=i.emitFile(o,(u,p,h)=>this.host.writeFile(u,p,h));return t.richResponse?{emitSkipped:s,diagnostics:t.includeLinePosition?this.convertToDiagnosticsWithLinePositionFromDiagnosticFile(l):l.map(u=>b8(u,!0))}:!s}getSignatureHelpItems(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=this.projectService.getScriptInfoForNormalizedPath(i),l=this.getPosition(t,s),u=o.getLanguageService().getSignatureHelpItems(i,l,t),p=!!this.getPreferences(i).displayPartsForJSDoc;if(u&&r){const h=u.applicableSpan;return{...u,applicableSpan:{start:s.positionToLineOffset(h.start),end:s.positionToLineOffset(h.start+h.length)},items:this.mapSignatureHelpItems(u.items,o,p)}}else return p||!u?u:{...u,items:u.items.map(h=>({...h,tags:this.mapJSDocTagInfo(h.tags,o,!1)}))}}toPendingErrorCheck(t){const r=ml(t),i=this.projectService.tryGetDefaultProjectForFile(r);return i&&{fileName:r,project:i}}getDiagnostics(t,r,i){this.suppressDiagnosticEvents||i.length>0&&this.updateErrorCheck(t,i,r)}change(t){const r=this.projectService.getScriptInfo(t.file);N.assert(!!r),r.textStorage.switchToScriptVersionCache();const i=r.lineOffsetToPosition(t.line,t.offset),o=r.lineOffsetToPosition(t.endLine,t.endOffset);i>=0&&(this.changeSeq++,this.projectService.applyChangesToFile(r,P6({span:{start:i,length:o-i},newText:t.insertString})))}reload(t,r){const i=ml(t.file),o=t.tmpfile===void 0?void 0:ml(t.tmpfile),s=this.projectService.getScriptInfoForNormalizedPath(i);s&&(this.changeSeq++,s.reloadFromFile(o)&&this.doOutput(void 0,"reload",r,!0))}saveToTmp(t,r){const i=this.projectService.getScriptInfo(t);i&&i.saveTo(r)}closeClientFile(t){if(!t)return;const r=ga(t);this.projectService.closeClientFile(r)}mapLocationNavigationBarItems(t,r){return wt(t,i=>({text:i.text,kind:i.kind,kindModifiers:i.kindModifiers,spans:i.spans.map(o=>Nh(o,r)),childItems:this.mapLocationNavigationBarItems(i.childItems,r),indent:i.indent}))}getNavigationBarItems(t,r){const{file:i,languageService:o}=this.getFileAndLanguageServiceForSyntacticOperation(t),s=o.getNavigationBarItems(i);return s?r?this.mapLocationNavigationBarItems(s,this.projectService.getScriptInfoForNormalizedPath(i)):s:void 0}toLocationNavigationTree(t,r){return{text:t.text,kind:t.kind,kindModifiers:t.kindModifiers,spans:t.spans.map(i=>Nh(i,r)),nameSpan:t.nameSpan&&Nh(t.nameSpan,r),childItems:wt(t.childItems,i=>this.toLocationNavigationTree(i,r))}}getNavigationTree(t,r){const{file:i,languageService:o}=this.getFileAndLanguageServiceForSyntacticOperation(t),s=o.getNavigationTree(i);return s?r?this.toLocationNavigationTree(s,this.projectService.getScriptInfoForNormalizedPath(i)):s:void 0}getNavigateToItems(t,r){const i=this.getFullNavigateToItems(t);return r?Pi(i,({project:o,navigateToItems:s})=>s.map(l=>{const u=o.getScriptInfo(l.fileName),p={name:l.name,kind:l.kind,kindModifiers:l.kindModifiers,isCaseSensitive:l.isCaseSensitive,matchKind:l.matchKind,file:l.fileName,start:u.positionToLineOffset(l.textSpan.start),end:u.positionToLineOffset(Kl(l.textSpan))};return l.kindModifiers&&l.kindModifiers!==""&&(p.kindModifiers=l.kindModifiers),l.containerName&&l.containerName.length>0&&(p.containerName=l.containerName),l.containerKind&&l.containerKind.length>0&&(p.containerKind=l.containerKind),p})):Pi(i,({navigateToItems:o})=>o)}getFullNavigateToItems(t){const{currentFileOnly:r,searchValue:i,maxResultCount:o,projectFileName:s}=t;if(r){N.assertIsDefined(t.file);const{file:A,project:I}=this.getFileAndProject(t);return[{project:I,navigateToItems:I.getLanguageService().getNavigateToItems(i,o,A)}]}const l=this.getHostPreferences(),u=[],p=new Map;if(!t.file&&!s)this.projectService.loadAncestorProjectTree(),this.projectService.forEachEnabledProject(A=>h(A));else{const A=this.getProjects(t);oge(A,void 0,I=>h(I))}return u;function h(A){const I=A.getLanguageService().getNavigateToItems(i,o,void 0,A.isNonTsProject(),l.excludeLibrarySymbolsInNavTo),R=nr(I,M=>m(M)&&!wZ(N2(M),A));R.length&&u.push({project:A,navigateToItems:R})}function m(A){const I=A.name;if(!p.has(I))return p.set(I,[A]),!0;const R=p.get(I);for(const M of R)if(b(M,A))return!1;return R.push(A),!0}function b(A,I){return A===I?!0:!A||!I?!1:A.containerKind===I.containerKind&&A.containerName===I.containerName&&A.fileName===I.fileName&&A.isCaseSensitive===I.isCaseSensitive&&A.kind===I.kind&&A.kindModifiers===I.kindModifiers&&A.matchKind===I.matchKind&&A.name===I.name&&A.textSpan.start===I.textSpan.start&&A.textSpan.length===I.textSpan.length}}getSupportedCodeFixes(t){if(!t)return HY();if(t.file){const{file:i,project:o}=this.getFileAndProject(t);return o.getLanguageService().getSupportedCodeFixes(i)}const r=this.getProject(t.projectFileName);return r||oy.ThrowNoProject(),r.getLanguageService().getSupportedCodeFixes()}isLocation(t){return t.line!==void 0}extractPositionOrRange(t,r){let i,o;return this.isLocation(t)?i=s(t):o=this.getRange(t,r),N.checkDefined(i===void 0?o:i);function s(l){return l.position!==void 0?l.position:r.lineOffsetToPosition(l.line,l.offset)}}getRange(t,r){const{startPosition:i,endPosition:o}=this.getStartAndEndPosition(t,r);return{pos:i,end:o}}getApplicableRefactors(t){const{file:r,project:i}=this.getFileAndProject(t),o=i.getScriptInfoForNormalizedPath(r);return i.getLanguageService().getApplicableRefactors(r,this.extractPositionOrRange(t,o),this.getPreferences(r),t.triggerReason,t.kind,t.includeInteractiveActions)}getEditsForRefactor(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=o.getScriptInfoForNormalizedPath(i),l=o.getLanguageService().getEditsForRefactor(i,this.getFormatOptions(i),this.extractPositionOrRange(t,s),t.refactor,t.action,this.getPreferences(i),t.interactiveRefactorArguments);if(l===void 0)return{edits:[]};if(r){const{renameFilename:u,renameLocation:p,edits:h}=l;let m;if(u!==void 0&&p!==void 0){const b=o.getScriptInfoForNormalizedPath(ml(u));m=sge(RD(b.getSnapshot()),u,p,h)}return{renameLocation:m,renameFilename:u,edits:this.mapTextChangesToCodeEdits(h),notApplicableReason:l.notApplicableReason}}return l}getMoveToRefactoringFileSuggestions(t){const{file:r,project:i}=this.getFileAndProject(t),o=i.getScriptInfoForNormalizedPath(r);return i.getLanguageService().getMoveToRefactoringFileSuggestions(r,this.extractPositionOrRange(t,o),this.getPreferences(r))}organizeImports(t,r){N.assert(t.scope.type==="file");const{file:i,project:o}=this.getFileAndProject(t.scope.args),s=o.getLanguageService().organizeImports({fileName:i,mode:t.mode??(t.skipDestructiveCodeActions?"SortAndCombine":void 0),type:"file"},this.getFormatOptions(i),this.getPreferences(i));return r?this.mapTextChangesToCodeEdits(s):s}getEditsForFileRename(t,r){const i=ml(t.oldFilePath),o=ml(t.newFilePath),s=this.getHostFormatOptions(),l=this.getHostPreferences(),u=new Set,p=[];return this.projectService.loadAncestorProjectTree(),this.projectService.forEachEnabledProject(h=>{const m=h.getLanguageService().getEditsForFileRename(i,o,s,l),b=[];for(const A of m)u.has(A.fileName)||(p.push(A),b.push(A.fileName));for(const A of b)u.add(A)}),r?p.map(h=>this.mapTextChangeToCodeEdit(h)):p}getCodeFixes(t,r){const{file:i,project:o}=this.getFileAndProject(t),s=o.getScriptInfoForNormalizedPath(i),{startPosition:l,endPosition:u}=this.getStartAndEndPosition(t,s);let p;try{p=o.getLanguageService().getCodeFixesAtPosition(i,l,u,t.errorCodes,this.getFormatOptions(i),this.getPreferences(i))}catch(h){const m=o.getLanguageService(),b=[...m.getSyntacticDiagnostics(i),...m.getSemanticDiagnostics(i),...m.getSuggestionDiagnostics(i)].map(I=>lL(l,u-l,I.start,I.length)&&I.code),A=t.errorCodes.find(I=>!b.includes(I));throw A!==void 0&&(h.message=`BADCLIENT: Bad error code, ${A} not found in range ${l}..${u} (found: ${b.join(", ")}); could have caused this error:
+${h.message}`),h}return r?p.map(h=>this.mapCodeFixAction(h)):p}getCombinedCodeFix({scope:t,fixId:r},i){N.assert(t.type==="file");const{file:o,project:s}=this.getFileAndProject(t.args),l=s.getLanguageService().getCombinedCodeFix({type:"file",fileName:o},r,this.getFormatOptions(o),this.getPreferences(o));return i?{changes:this.mapTextChangesToCodeEdits(l.changes),commands:l.commands}:l}applyCodeActionCommand(t){const r=t.command;for(const i of SA(r)){const{file:o,project:s}=this.getFileAndProject(i);s.getLanguageService().applyCodeActionCommand(i,this.getFormatOptions(o)).then(l=>{},l=>{})}return{}}getStartAndEndPosition(t,r){let i,o;return t.startPosition!==void 0?i=t.startPosition:(i=r.lineOffsetToPosition(t.startLine,t.startOffset),t.startPosition=i),t.endPosition!==void 0?o=t.endPosition:(o=r.lineOffsetToPosition(t.endLine,t.endOffset),t.endPosition=o),{startPosition:i,endPosition:o}}mapCodeAction({description:t,changes:r,commands:i}){return{description:t,changes:this.mapTextChangesToCodeEdits(r),commands:i}}mapCodeFixAction({fixName:t,description:r,changes:i,commands:o,fixId:s,fixAllDescription:l}){return{fixName:t,description:r,changes:this.mapTextChangesToCodeEdits(i),commands:o,fixId:s,fixAllDescription:l}}mapTextChangesToCodeEdits(t){return t.map(r=>this.mapTextChangeToCodeEdit(r))}mapTextChangeToCodeEdit(t){const r=this.projectService.getScriptInfoOrConfig(t.fileName);return!!t.isNewFile==!!r&&(r||this.projectService.logErrorForScriptInfoNotFound(t.fileName),N.fail("Expected isNewFile for (only) new files. "+JSON.stringify({isNewFile:!!t.isNewFile,hasScriptInfo:!!r}))),r?{fileName:t.fileName,textChanges:t.textChanges.map(i=>glt(i,r))}:blt(t)}convertTextChangeToCodeEdit(t,r){return{start:r.positionToLineOffset(t.span.start),end:r.positionToLineOffset(t.span.start+t.span.length),newText:t.newText?t.newText:""}}getBraceMatching(t,r){const{file:i,languageService:o}=this.getFileAndLanguageServiceForSyntacticOperation(t),s=this.projectService.getScriptInfoForNormalizedPath(i),l=this.getPosition(t,s),u=o.getBraceMatchingAtPosition(i,l);return u?r?u.map(p=>Nh(p,s)):u:void 0}getDiagnosticsForProject(t,r,i){if(this.suppressDiagnosticEvents)return;const{fileNames:o,languageServiceDisabled:s}=this.getProjectInfoWorker(i,void 0,!0,!0);if(s)return;const l=o.filter(M=>!M.includes("lib.d.ts"));if(l.length===0)return;const u=[],p=[],h=[],m=[],b=ml(i),A=this.projectService.ensureDefaultProjectForFile(b);for(const M of l)this.getCanonicalFileName(M)===this.getCanonicalFileName(i)?u.push(M):this.projectService.getScriptInfo(M).isScriptOpen()?p.push(M):Su(M)?m.push(M):h.push(M);const R=[...u,...p,...h,...m].map(M=>({fileName:M,project:A}));this.updateErrorCheck(t,R,r,!1)}configurePlugin(t){this.projectService.configurePlugin(t)}getSmartSelectionRange(t,r){const{locations:i}=t,{file:o,languageService:s}=this.getFileAndLanguageServiceForSyntacticOperation(t),l=N.checkDefined(this.projectService.getScriptInfo(o));return wt(i,u=>{const p=this.getPosition(u,l),h=s.getSmartSelectionRange(o,p);return r?this.mapSelectionRange(h,l):h})}toggleLineComment(t,r){const{file:i,languageService:o}=this.getFileAndLanguageServiceForSyntacticOperation(t),s=this.projectService.getScriptInfo(i),l=this.getRange(t,s),u=o.toggleLineComment(i,l);if(r){const p=this.projectService.getScriptInfoForNormalizedPath(i);return u.map(h=>this.convertTextChangeToCodeEdit(h,p))}return u}toggleMultilineComment(t,r){const{file:i,languageService:o}=this.getFileAndLanguageServiceForSyntacticOperation(t),s=this.projectService.getScriptInfoForNormalizedPath(i),l=this.getRange(t,s),u=o.toggleMultilineComment(i,l);if(r){const p=this.projectService.getScriptInfoForNormalizedPath(i);return u.map(h=>this.convertTextChangeToCodeEdit(h,p))}return u}commentSelection(t,r){const{file:i,languageService:o}=this.getFileAndLanguageServiceForSyntacticOperation(t),s=this.projectService.getScriptInfoForNormalizedPath(i),l=this.getRange(t,s),u=o.commentSelection(i,l);if(r){const p=this.projectService.getScriptInfoForNormalizedPath(i);return u.map(h=>this.convertTextChangeToCodeEdit(h,p))}return u}uncommentSelection(t,r){const{file:i,languageService:o}=this.getFileAndLanguageServiceForSyntacticOperation(t),s=this.projectService.getScriptInfoForNormalizedPath(i),l=this.getRange(t,s),u=o.uncommentSelection(i,l);if(r){const p=this.projectService.getScriptInfoForNormalizedPath(i);return u.map(h=>this.convertTextChangeToCodeEdit(h,p))}return u}mapSelectionRange(t,r){const i={textSpan:Nh(t.textSpan,r)};return t.parent&&(i.parent=this.mapSelectionRange(t.parent,r)),i}getScriptInfoFromProjectService(t){const r=ml(t),i=this.projectService.getScriptInfoForNormalizedPath(r);return i||(this.projectService.logErrorForScriptInfoNotFound(r),oy.ThrowNoProject())}toProtocolCallHierarchyItem(t){const r=this.getScriptInfoFromProjectService(t.file);return{name:t.name,kind:t.kind,kindModifiers:t.kindModifiers,file:t.file,containerName:t.containerName,span:Nh(t.span,r),selectionSpan:Nh(t.selectionSpan,r)}}toProtocolCallHierarchyIncomingCall(t){const r=this.getScriptInfoFromProjectService(t.from.file);return{from:this.toProtocolCallHierarchyItem(t.from),fromSpans:t.fromSpans.map(i=>Nh(i,r))}}toProtocolCallHierarchyOutgoingCall(t,r){return{to:this.toProtocolCallHierarchyItem(t.to),fromSpans:t.fromSpans.map(i=>Nh(i,r))}}prepareCallHierarchy(t){const{file:r,project:i}=this.getFileAndProject(t),o=this.projectService.getScriptInfoForNormalizedPath(r);if(o){const s=this.getPosition(t,o),l=i.getLanguageService().prepareCallHierarchy(r,s);return l&&GX(l,u=>this.toProtocolCallHierarchyItem(u))}}provideCallHierarchyIncomingCalls(t){const{file:r,project:i}=this.getFileAndProject(t),o=this.getScriptInfoFromProjectService(r);return i.getLanguageService().provideCallHierarchyIncomingCalls(r,this.getPosition(t,o)).map(l=>this.toProtocolCallHierarchyIncomingCall(l))}provideCallHierarchyOutgoingCalls(t){const{file:r,project:i}=this.getFileAndProject(t),o=this.getScriptInfoFromProjectService(r);return i.getLanguageService().provideCallHierarchyOutgoingCalls(r,this.getPosition(t,o)).map(l=>this.toProtocolCallHierarchyOutgoingCall(l,o))}getCanonicalFileName(t){const r=this.host.useCaseSensitiveFileNames?t:ch(t);return ga(r)}exit(){}notRequired(){return{responseRequired:!1}}requiredResponse(t){return{response:t,responseRequired:!0}}addProtocolHandler(t,r){if(this.handlers.has(t))throw new Error(`Protocol handler already exists for command "${t}"`);this.handlers.set(t,r)}setCurrentRequest(t){N.assert(this.currentRequestId===void 0),this.currentRequestId=t,this.cancellationToken.setRequest(t)}resetCurrentRequest(t){N.assert(this.currentRequestId===t),this.currentRequestId=void 0,this.cancellationToken.resetRequest(t)}executeWithRequestId(t,r){try{return this.setCurrentRequest(t),r()}finally{this.resetCurrentRequest(t)}}executeCommand(t){const r=this.handlers.get(t.command);if(r){const i=this.executeWithRequestId(t.seq,()=>r(t));return this.projectService.enableRequestedPlugins(),i}else return this.logger.msg(`Unrecognized JSON command:${O0(t)}`,"Err"),this.doOutput(void 0,"unknown",t.seq,!1,`Unrecognized JSON command: ${t.command}`),{responseRequired:!1}}onMessage(t){var r,i,o,s,l,u,p,h,m,b,A;this.gcTimer.scheduleCollect(),this.performanceData=void 0;let I;this.logger.hasLevel(2)&&(I=this.hrtime(),this.logger.hasLevel(3)&&this.logger.info(`request:${t2(this.toStringMessage(t))}`));let R,M;try{R=this.parseMessage(t),M=R.arguments&&R.arguments.file?R.arguments:void 0,(r=sr)==null||r.instant(sr.Phase.Session,"request",{seq:R.seq,command:R.command}),(i=ad)==null||i.logStartCommand(""+R.command,this.toStringMessage(t).substring(0,100)),(o=sr)==null||o.push(sr.Phase.Session,"executeCommand",{seq:R.seq,command:R.command},!0);const{response:C,responseRequired:O}=this.executeCommand(R);if((s=sr)==null||s.pop(),this.logger.hasLevel(2)){const U=slt(this.hrtime(I)).toFixed(4);O?this.logger.perftrc(`${R.seq}::${R.command}: elapsed time (in milliseconds) ${U}`):this.logger.perftrc(`${R.seq}::${R.command}: async elapsed time (in milliseconds) ${U}`)}(l=ad)==null||l.logStopCommand(""+R.command,"Success"),(u=sr)==null||u.instant(sr.Phase.Session,"response",{seq:R.seq,command:R.command,success:!!C}),C?this.doOutput(C,R.command,R.seq,!0):O&&this.doOutput(void 0,R.command,R.seq,!1,"No content available.")}catch(C){if((p=sr)==null||p.popAll(),C instanceof Hx){(h=ad)==null||h.logStopCommand(""+(R&&R.command),"Canceled: "+C),(m=sr)==null||m.instant(sr.Phase.Session,"commandCanceled",{seq:R==null?void 0:R.seq,command:R==null?void 0:R.command}),this.doOutput({canceled:!0},R.command,R.seq,!0);return}this.logErrorWorker(C,this.toStringMessage(t),M),(b=ad)==null||b.logStopCommand(""+(R&&R.command),"Error: "+C),(A=sr)==null||A.instant(sr.Phase.Session,"commandError",{seq:R==null?void 0:R.seq,command:R==null?void 0:R.command,message:C.message}),this.doOutput(void 0,R?R.command:"unknown",R?R.seq:0,!1,"Error processing request. "+C.message+`
+`+C.stack)}}parseMessage(t){return JSON.parse(t)}toStringMessage(t){return t}getFormatOptions(t){return this.projectService.getFormatCodeOptions(t)}getPreferences(t){return this.projectService.getPreferences(t)}getHostFormatOptions(){return this.projectService.getHostFormatCodeOptions()}getHostPreferences(){return this.projectService.getHostPreferences()}}}}),HI,OZ,n8e,r8e,l9,c9,pge,C2,qI,E8,Ilt=T({"src/server/scriptVersionCache.ts"(){db(),_T(),HI=4,OZ=(e=>(e[e.PreStart=0]="PreStart",e[e.Start=1]="Start",e[e.Entire=2]="Entire",e[e.Mid=3]="Mid",e[e.End=4]="End",e[e.PostEnd=5]="PostEnd",e))(OZ||{}),n8e=class{constructor(){this.goSubtree=!0,this.lineIndex=new C2,this.endBranch=[],this.state=2,this.initialText="",this.trailingText="",this.lineIndex.root=new qI,this.startPath=[this.lineIndex.root],this.stack=[this.lineIndex.root]}get done(){return!1}insertLines(e,t){t&&(this.trailingText=""),e?e=this.initialText+e+this.trailingText:e=this.initialText+this.trailingText;const i=C2.linesFromText(e).lines;i.length>1&&i[i.length-1]===""&&i.pop();let o,s;for(let u=this.endBranch.length-1;u>=0;u--)this.endBranch[u].updateCounts(),this.endBranch[u].charCount()===0&&(s=this.endBranch[u],u>0?o=this.endBranch[u-1]:o=this.branchNode);s&&o.remove(s);const l=this.startPath[this.startPath.length-1];if(i.length>0)if(l.text=i[0],i.length>1){let u=new Array(i.length-1),p=l;for(let b=1;b<i.length;b++)u[b-1]=new E8(i[b]);let h=this.startPath.length-2;for(;h>=0;){const b=this.startPath[h];u=b.insertAt(p,u),h--,p=b}let m=u.length;for(;m>0;){const b=new qI;b.add(this.lineIndex.root),u=b.insertAt(this.lineIndex.root,u),m=u.length,this.lineIndex.root=b}this.lineIndex.root.updateCounts()}else for(let u=this.startPath.length-2;u>=0;u--)this.startPath[u].updateCounts();else{this.startPath[this.startPath.length-2].remove(l);for(let p=this.startPath.length-2;p>=0;p--)this.startPath[p].updateCounts()}return this.lineIndex}post(e,t,r){r===this.lineCollectionAtBranch&&(this.state=4),this.stack.pop()}pre(e,t,r,i,o){const s=this.stack[this.stack.length-1];this.state===2&&o===1&&(this.state=1,this.branchNode=s,this.lineCollectionAtBranch=r);let l;function u(p){return p.isLeaf()?new E8(""):new qI}switch(o){case 0:this.goSubtree=!1,this.state!==4&&s.add(r);break;case 1:this.state===4?this.goSubtree=!1:(l=u(r),s.add(l),this.startPath.push(l));break;case 2:this.state!==4?(l=u(r),s.add(l),this.startPath.push(l)):r.isLeaf()||(l=u(r),s.add(l),this.endBranch.push(l));break;case 3:this.goSubtree=!1;break;case 4:this.state!==4?this.goSubtree=!1:r.isLeaf()||(l=u(r),s.add(l),this.endBranch.push(l));break;case 5:this.goSubtree=!1,this.state!==1&&s.add(r);break}this.goSubtree&&this.stack.push(l)}leaf(e,t,r){this.state===1?this.initialText=r.text.substring(0,e):this.state===2?(this.initialText=r.text.substring(0,e),this.trailingText=r.text.substring(e+t)):this.trailingText=r.text.substring(e+t)}},r8e=class{constructor(e,t,r){this.pos=e,this.deleteLen=t,this.insertedText=r}getTextChangeRange(){return cL(vu(this.pos,this.deleteLen),this.insertedText?this.insertedText.length:0)}},l9=class mA{constructor(){this.changes=[],this.versions=new Array(mA.maxVersions),this.minVersion=0,this.currentVersion=0}versionToIndex(t){if(!(t<this.minVersion||t>this.currentVersion))return t%mA.maxVersions}currentVersionToIndex(){return this.currentVersion%mA.maxVersions}edit(t,r,i){this.changes.push(new r8e(t,r,i)),(this.changes.length>mA.changeNumberThreshold||r>mA.changeLengthThreshold||i&&i.length>mA.changeLengthThreshold)&&this.getSnapshot()}getSnapshot(){return this._getSnapshot()}_getSnapshot(){let t=this.versions[this.currentVersionToIndex()];if(this.changes.length>0){let r=t.index;for(const i of this.changes)r=r.edit(i.pos,i.deleteLen,i.insertedText);t=new pge(this.currentVersion+1,this,r,this.changes),this.currentVersion=t.version,this.versions[this.currentVersionToIndex()]=t,this.changes=[],this.currentVersion-this.minVersion>=mA.maxVersions&&(this.minVersion=this.currentVersion-mA.maxVersions+1)}return t}getSnapshotVersion(){return this._getSnapshot().version}getAbsolutePositionAndLineText(t){return this._getSnapshot().index.lineNumberToInfo(t)}lineOffsetToPosition(t,r){return this._getSnapshot().index.absolutePositionOfStartOfLine(t)+(r-1)}positionToLineOffset(t){return this._getSnapshot().index.positionToLineOffset(t)}lineToTextSpan(t){const r=this._getSnapshot().index,{lineText:i,absolutePosition:o}=r.lineNumberToInfo(t+1),s=i!==void 0?i.length:r.absolutePositionOfStartOfLine(t+2)-o;return vu(o,s)}getTextChangesBetweenVersions(t,r){if(t<r)if(t>=this.minVersion){const i=[];for(let o=t+1;o<=r;o++){const s=this.versions[this.versionToIndex(o)];for(const l of s.changesSincePreviousVersion)i.push(l.getTextChangeRange())}return vre(i)}else return;else return IL}getLineCount(){return this._getSnapshot().index.getLineCount()}static fromString(t){const r=new mA,i=new pge(0,r,new C2);r.versions[r.currentVersion]=i;const o=C2.linesFromText(t);return i.index.load(o.lines),r}},l9.changeNumberThreshold=8,l9.changeLengthThreshold=256,l9.maxVersions=8,c9=l9,pge=class P7e{constructor(t,r,i,o=gc){this.version=t,this.cache=r,this.index=i,this.changesSincePreviousVersion=o}getText(t,r){return this.index.getText(t,r-t)}getLength(){return this.index.getLength()}getChangeRange(t){if(t instanceof P7e&&this.cache===t.cache)return this.version<=t.version?IL:this.cache.getTextChangesBetweenVersions(t.version,this.version)}},C2=class qbe{constructor(){this.checkEdits=!1}absolutePositionOfStartOfLine(t){return this.lineNumberToInfo(t).absolutePosition}positionToLineOffset(t){const{oneBasedLine:r,zeroBasedColumn:i}=this.root.charOffsetToLineInfo(1,t);return{line:r,offset:i+1}}positionToColumnAndLineText(t){return this.root.charOffsetToLineInfo(1,t)}getLineCount(){return this.root.lineCount()}lineNumberToInfo(t){const r=this.getLineCount();if(t<=r){const{position:i,leaf:o}=this.root.lineNumberToInfo(t,0);return{absolutePosition:i,lineText:o&&o.text}}else return{absolutePosition:this.root.charCount(),lineText:void 0}}load(t){if(t.length>0){const r=[];for(let i=0;i<t.length;i++)r[i]=new E8(t[i]);this.root=qbe.buildTreeFromBottom(r)}else this.root=new qI}walk(t,r,i){this.root.walk(t,r,i)}getText(t,r){let i="";return r>0&&t<this.root.charCount()&&this.walk(t,r,{goSubtree:!0,done:!1,leaf:(o,s,l)=>{i=i.concat(l.text.substring(o,o+s))}}),i}getLength(){return this.root.charCount()}every(t,r,i){i||(i=this.root.charCount());const o={goSubtree:!0,done:!1,leaf(s,l,u){t(u,s,l)||(this.done=!0)}};return this.walk(r,i-r,o),!o.done}edit(t,r,i){if(this.root.charCount()===0)return N.assert(r===0),i!==void 0?(this.load(qbe.linesFromText(i).lines),this):void 0;{let o;if(this.checkEdits){const u=this.getText(0,this.root.charCount());o=u.slice(0,t)+i+u.slice(t+r)}const s=new n8e;let l=!1;if(t>=this.root.charCount()){t=this.root.charCount()-1;const u=this.getText(t,1);i?i=u+i:i=u,r=0,l=!0}else if(r>0){const u=t+r,{zeroBasedColumn:p,lineText:h}=this.positionToColumnAndLineText(u);p===0&&(r+=h.length,i=i?i+h:h)}if(this.root.walk(t,r,s),s.insertLines(i,l),this.checkEdits){const u=s.lineIndex.getText(0,s.lineIndex.getLength());N.assert(o===u,"buffer edit mismatch")}return s.lineIndex}}static buildTreeFromBottom(t){if(t.length<HI)return new qI(t);const r=new Array(Math.ceil(t.length/HI));let i=0;for(let o=0;o<r.length;o++){const s=Math.min(i+HI,t.length);r[o]=new qI(t.slice(i,s)),i=s}return this.buildTreeFromBottom(r)}static linesFromText(t){const r=DA(t);if(r.length===0)return{lines:[],lineMap:r};const i=new Array(r.length),o=r.length-1;for(let l=0;l<o;l++)i[l]=t.substring(r[l],r[l+1]);const s=t.substring(r[o]);return s.length>0?i[o]=s:i.pop(),{lines:i,lineMap:r}}},qI=class Jbe{constructor(t=[]){this.children=t,this.totalChars=0,this.totalLines=0,t.length&&this.updateCounts()}isLeaf(){return!1}updateCounts(){this.totalChars=0,this.totalLines=0;for(const t of this.children)this.totalChars+=t.charCount(),this.totalLines+=t.lineCount()}execWalk(t,r,i,o,s){return i.pre&&i.pre(t,r,this.children[o],this,s),i.goSubtree?(this.children[o].walk(t,r,i),i.post&&i.post(t,r,this.children[o],this,s)):i.goSubtree=!0,i.done}skipChild(t,r,i,o,s){o.pre&&!o.done&&(o.pre(t,r,this.children[i],this,s),o.goSubtree=!0)}walk(t,r,i){let o=0,s=this.children[o].charCount(),l=t;for(;l>=s;)this.skipChild(l,r,o,i,0),l-=s,o++,s=this.children[o].charCount();if(l+r<=s){if(this.execWalk(l,r,i,o,2))return}else{if(this.execWalk(l,s-l,i,o,1))return;let u=r-(s-l);for(o++,s=this.children[o].charCount();u>s;){if(this.execWalk(0,s,i,o,3))return;u-=s,o++,s=this.children[o].charCount()}if(u>0&&this.execWalk(0,u,i,o,4))return}if(i.pre){const u=this.children.length;if(o<u-1)for(let p=o+1;p<u;p++)this.skipChild(0,0,p,i,5)}}charOffsetToLineInfo(t,r){if(this.children.length===0)return{oneBasedLine:t,zeroBasedColumn:r,lineText:void 0};for(const s of this.children){if(s.charCount()>r)return s.isLeaf()?{oneBasedLine:t,zeroBasedColumn:r,lineText:s.text}:s.charOffsetToLineInfo(t,r);r-=s.charCount(),t+=s.lineCount()}const i=this.lineCount();if(i===0)return{oneBasedLine:1,zeroBasedColumn:0,lineText:void 0};const o=N.checkDefined(this.lineNumberToInfo(i,0).leaf);return{oneBasedLine:i,zeroBasedColumn:o.charCount(),lineText:void 0}}lineNumberToInfo(t,r){for(const i of this.children){const o=i.lineCount();if(o>=t)return i.isLeaf()?{position:r,leaf:i}:i.lineNumberToInfo(t,r);t-=o,r+=i.charCount()}return{position:r,leaf:void 0}}splitAfter(t){let r;const i=this.children.length;t++;const o=t;if(t<i){for(r=new Jbe;t<i;)r.add(this.children[t]),t++;r.updateCounts()}return this.children.length=o,r}remove(t){const r=this.findChildIndex(t),i=this.children.length;if(r<i-1)for(let o=r;o<i-1;o++)this.children[o]=this.children[o+1];this.children.pop()}findChildIndex(t){const r=this.children.indexOf(t);return N.assert(r!==-1),r}insertAt(t,r){let i=this.findChildIndex(t);const o=this.children.length,s=r.length;if(o<HI&&i===o-1&&s===1)return this.add(r[0]),this.updateCounts(),[];{const l=this.splitAfter(i);let u=0;for(i++;i<HI&&u<s;)this.children[i]=r[u],i++,u++;let p=[],h=0;if(u<s){h=Math.ceil((s-u)/HI),p=new Array(h);let m=0;for(let A=0;A<h;A++)p[A]=new Jbe;let b=p[0];for(;u<s;)b.add(r[u]),u++,b.children.length===HI&&(m++,b=p[m]);for(let A=p.length-1;A>=0;A--)p[A].children.length===0&&p.pop()}l&&p.push(l),this.updateCounts();for(let m=0;m<h;m++)p[m].updateCounts();return p}}add(t){this.children.push(t),N.assert(this.children.length<=HI)}charCount(){return this.totalChars}lineCount(){return this.totalLines}},E8=class{constructor(e){this.text=e}isLeaf(){return!0}walk(e,t,r){r.leaf(e,t,this)}charCount(){return this.text.length}lineCount(){return 1}}}}),fge,mge,xlt=T({"src/server/typingInstallerAdapter.ts"(){db(),_T(),fge=class M7e{constructor(t,r,i,o,s,l){this.telemetryEnabled=t,this.logger=r,this.host=i,this.globalTypingsCacheLocation=o,this.event=s,this.maxActiveRequestCount=l,this.activeRequestCount=0,this.requestQueue=zM(),this.requestMap=new Map,this.requestedRegistry=!1,this.packageInstallId=0}isKnownTypesPackageName(t){var r;return G_.validatePackageName(t)!==G_.NameValidationResult.Ok?!1:(this.requestedRegistry||(this.requestedRegistry=!0,this.installer.send({kind:"typesRegistry"})),!!((r=this.typesRegistryCache)!=null&&r.has(t)))}installPackage(t){this.packageInstallId++;const r={kind:"installPackage",...t,id:this.packageInstallId},i=new Promise((o,s)=>{(this.packageInstalledPromise??(this.packageInstalledPromise=new Map)).set(this.packageInstallId,{resolve:o,reject:s})});return this.installer.send(r),i}attach(t){this.projectService=t,this.installer=this.createInstallerProcess()}onProjectClosed(t){this.installer.send({projectName:t.getProjectName(),kind:"closeProject"})}enqueueInstallTypingsRequest(t,r,i){const o=yhe(t,r,i);this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Scheduling throttled operation:${O0(o)}`),this.activeRequestCount<this.maxActiveRequestCount?this.scheduleRequest(o):(this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Deferring request for: ${o.projectName}`),this.requestQueue.enqueue(o),this.requestMap.set(o.projectName,o))}handleMessage(t){var r,i;switch(this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Received response:${O0(t)}`),t.kind){case H7:this.typesRegistryCache=new Map(Object.entries(t.typesRegistry));break;case eO:{const o=(r=this.packageInstalledPromise)==null?void 0:r.get(t.id);N.assertIsDefined(o,"Should find the promise for package install"),(i=this.packageInstalledPromise)==null||i.delete(t.id),t.success?o.resolve({successMessage:t.message}):o.reject(t.message),this.projectService.updateTypingsForProject(t),this.event(t,"setTypings");break}case xK:{const o={message:t.message};this.event(o,"typesInstallerInitializationFailed");break}case q7:{const o={eventId:t.eventId,packages:t.packagesToInstall};this.event(o,"beginInstallTypes");break}case J7:{if(this.telemetryEnabled){const l={telemetryEventName:"typingsInstalled",payload:{installedPackages:t.packagesToInstall.join(","),installSuccess:t.installSuccess,typingsInstallerVersion:t.typingsInstallerVersion}};this.event(l,"telemetry")}const o={eventId:t.eventId,packages:t.packagesToInstall,success:t.installSuccess};this.event(o,"endInstallTypes");break}case Zw:{this.projectService.updateTypingsForProject(t);break}case Qw:{for(this.activeRequestCount>0?this.activeRequestCount--:N.fail("TIAdapter:: Received too many responses");!this.requestQueue.isEmpty();){const o=this.requestQueue.dequeue();if(this.requestMap.get(o.projectName)===o){this.requestMap.delete(o.projectName),this.scheduleRequest(o);break}this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Skipping defunct request for: ${o.projectName}`)}this.projectService.updateTypingsForProject(t),this.event(t,"setTypings");break}case n2:this.projectService.watchTypingLocations(t);break}}scheduleRequest(t){this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Scheduling request for: ${t.projectName}`),this.activeRequestCount++,this.host.setTimeout(()=>{this.logger.hasLevel(3)&&this.logger.info(`TIAdapter:: Sending request:${O0(t)}`),this.installer.send(t)},M7e.requestDelayMillis,`${t.projectName}::${t.kind}`)}},fge.requestDelayMillis=100,mge=fge}}),i8e={};X(i8e,{ActionInvalidate:()=>Zw,ActionPackageInstalled:()=>eO,ActionSet:()=>Qw,ActionWatchTypingLocations:()=>n2,Arguments:()=>RK,AutoImportProviderProject:()=>TZ,AuxiliaryProject:()=>EZ,CharRangeSection:()=>OZ,CloseFileWatcherEvent:()=>o9,CommandNames:()=>cge,ConfigFileDiagEvent:()=>e9,ConfiguredProject:()=>AZ,CreateDirectoryWatcherEvent:()=>i9,CreateFileWatcherEvent:()=>r9,Errors:()=>oy,EventBeginInstallTypes:()=>q7,EventEndInstallTypes:()=>J7,EventInitializationFailed:()=>xK,EventTypesRegistry:()=>H7,ExternalProject:()=>Jz,GcTimer:()=>mZ,InferredProject:()=>bZ,LargeFileReferencedEvent:()=>Zz,LineIndex:()=>C2,LineLeaf:()=>E8,LineNode:()=>qI,LogLevel:()=>uZ,Msg:()=>dZ,OpenFileInfoTelemetryEvent:()=>DZ,Project:()=>mT,ProjectInfoTelemetryEvent:()=>n9,ProjectKind:()=>D2,ProjectLanguageServiceStateEvent:()=>t9,ProjectLoadingFinishEvent:()=>Qz,ProjectLoadingStartEvent:()=>$z,ProjectReferenceProjectLoadKind:()=>PZ,ProjectService:()=>MZ,ProjectsUpdatedInBackgroundEvent:()=>v8,ScriptInfo:()=>gZ,ScriptVersionCache:()=>c9,Session:()=>dge,TextStorage:()=>hZ,ThrottledOperations:()=>fZ,TypingsCache:()=>vZ,TypingsInstallerAdapter:()=>mge,allFilesAreJsOrDts:()=>Ghe,allRootFilesAreJsOrDts:()=>Bhe,asNormalizedPath:()=>LOe,convertCompilerOptions:()=>Kz,convertFormatOptions:()=>YD,convertScriptKindName:()=>xZ,convertTypeAcquisition:()=>Uhe,convertUserPreferences:()=>Hhe,convertWatchOptions:()=>g8,countEachFileTypes:()=>_8,createInstallTypingsRequest:()=>yhe,createModuleSpecifierCache:()=>tge,createNormalizedPathMap:()=>kOe,createPackageJsonCache:()=>nge,createSortedArray:()=>Ahe,emptyArray:()=>gc,findArgument:()=>EDe,forEachResolvedProjectReferenceProject:()=>$D,formatDiagnosticToProtocol:()=>b8,formatMessage:()=>rge,getBaseConfigFileName:()=>pZ,getLocationInNewDocument:()=>sge,hasArgument:()=>bDe,hasNoTypeScriptSource:()=>Vhe,indent:()=>t2,isBackgroundProject:()=>h8,isConfigFile:()=>$he,isConfiguredProject:()=>V0,isDynamicFileName:()=>m8,isExternalProject:()=>yZ,isInferredProject:()=>XD,isInferredProjectName:()=>bhe,makeAutoImportProviderProjectName:()=>She,makeAuxiliaryProjectName:()=>The,makeInferredProjectName:()=>Ehe,maxFileSize:()=>Yz,maxProgramSizeForNonTsFiles:()=>Xz,normalizedPathToPath:()=>f8,nowString:()=>SDe,nullCancellationToken:()=>lge,nullTypingsInstaller:()=>qz,projectContainsInfoDirectly:()=>UI,protocol:()=>zhe,removeSorted:()=>wOe,stringifyIndented:()=>O0,toEvent:()=>ige,toNormalizedPath:()=>ml,tryConvertScriptKindName:()=>IZ,typingsInstaller:()=>ghe,updateProjectIfDirty:()=>jp});var _T=T({"src/server/_namespaces/ts.server.ts"(){K7(),vhe(),zst(),Bst(),Gst(),Vst(),Hst(),Xst(),Zst(),ilt(),olt(),alt(),Alt(),Ilt(),xlt()}}),o8e={};X(o8e,{ANONYMOUS:()=>F5,AccessFlags:()=>tV,AssertionLevel:()=>xG,AssignmentDeclarationKind:()=>uV,AssignmentKind:()=>QU,Associativity:()=>eH,BreakpointResolver:()=>ZY,BuilderFileEmit:()=>rK,BuilderProgramKind:()=>iK,BuilderState:()=>Mm,BundleFileSectionKind:()=>DV,CallHierarchy:()=>OI,CharacterCodes:()=>EV,CheckFlags:()=>YG,CheckMode:()=>YF,ClassificationType:()=>qK,ClassificationTypeNames:()=>HK,CommentDirectiveType:()=>wG,Comparison:()=>Be,CompletionInfoFlags:()=>FK,CompletionTriggerKind:()=>LK,Completions:()=>GI,ContainerFlags:()=>qq,ContextFlags:()=>GG,Debug:()=>N,DiagnosticCategory:()=>HM,Diagnostics:()=>f,DocumentHighlights:()=>G5,ElementFlags:()=>eV,EmitFlags:()=>a4,EmitHint:()=>IV,EmitOnly:()=>WG,EndOfLineState:()=>GK,EnumKind:()=>XG,ExitStatus:()=>FG,ExportKind:()=>eY,Extension:()=>SV,ExternalEmitHelpers:()=>AV,FileIncludeKind:()=>$6,FilePreprocessingDiagnosticsKind:()=>OG,FileSystemEntryKind:()=>kV,FileWatcherEventKind:()=>LV,FindAllReferences:()=>Ws,FlattenLevel:()=>uJ,FlowFlags:()=>UM,ForegroundColorEscapeSequences:()=>XJ,FunctionFlags:()=>ZU,GeneratedIdentifierFlags:()=>Y6,GetLiteralTextFlags:()=>XU,GoToDefinition:()=>UD,HighlightSpanKind:()=>wK,IdentifierNameMap:()=>II,IdentifierNameMultiMap:()=>cJ,ImportKind:()=>ZX,ImportsNotUsedAsValues:()=>hV,IndentStyle:()=>OK,IndexFlags:()=>nV,IndexKind:()=>oV,InferenceFlags:()=>lV,InferencePriority:()=>sV,InlayHintKind:()=>kK,InlayHints:()=>HQ,InternalEmitFlags:()=>TV,InternalSymbolName:()=>$G,InvalidatedProjectKind:()=>IK,JSDocParsingMode:()=>PV,JsDoc:()=>G0,JsTyping:()=>G_,JsxEmit:()=>_V,JsxFlags:()=>LG,JsxReferenceKind:()=>rV,LanguageServiceMode:()=>CK,LanguageVariant:()=>yV,LexicalEnvironmentFlags:()=>RV,ListFormat:()=>NV,LogLevel:()=>DG,MemberOverrideStatus:()=>zG,ModifierFlags:()=>K6,ModuleDetectionKind:()=>dV,ModuleInstanceState:()=>Hq,ModuleKind:()=>eC,ModuleResolutionKind:()=>qx,ModuleSpecifierEnding:()=>cH,NavigateTo:()=>wde,NavigationBar:()=>Hde,NewLineKind:()=>gV,NodeBuilderFlags:()=>VG,NodeCheckFlags:()=>QG,NodeFactoryFlags:()=>gH,NodeFlags:()=>J6,NodeResolutionFeatures:()=>Vq,ObjectFlags:()=>t4,OperationCanceledException:()=>Hx,OperatorPrecedence:()=>tH,OrganizeImports:()=>Lm,OrganizeImportsMode:()=>MK,OuterExpressionKinds:()=>xV,OutliningElementsCollector:()=>XQ,OutliningSpanKind:()=>zK,OutputFileType:()=>BK,PackageJsonAutoImportPreference:()=>NK,PackageJsonDependencyGroup:()=>DK,PatternMatchKind:()=>V5,PollingInterval:()=>s4,PollingWatchKind:()=>mV,PragmaKindFlags:()=>CV,PrivateIdentifierKind:()=>AH,ProcessLevel:()=>mJ,ProgramUpdateLevel:()=>CJ,QuotePreference:()=>JX,RelationComparisonResult:()=>X6,Rename:()=>zz,ScriptElementKind:()=>jK,ScriptElementKindModifier:()=>UK,ScriptKind:()=>r4,ScriptSnapshot:()=>Y7,ScriptTarget:()=>vV,SemanticClassificationFormat:()=>PK,SemanticMeaning:()=>qX,SemicolonPreference:()=>WK,SignatureCheckMode:()=>$F,SignatureFlags:()=>n4,SignatureHelp:()=>o8,SignatureKind:()=>iV,SmartSelectionRange:()=>QQ,SnippetKind:()=>o4,SortKind:()=>IG,StructureIsReused:()=>Q6,SymbolAccessibility:()=>HG,SymbolDisplay:()=>iy,SymbolDisplayPartKind:()=>nO,SymbolFlags:()=>Z6,SymbolFormatFlags:()=>UG,SyntaxKind:()=>q6,SyntheticSymbolKind:()=>qG,Ternary:()=>cV,ThrottledCancellationToken:()=>QY,TokenClass:()=>VK,TokenFlags:()=>kG,TransformFlags:()=>i4,TypeFacts:()=>XF,TypeFlags:()=>e4,TypeFormatFlags:()=>jG,TypeMapKind:()=>aV,TypePredicateKind:()=>JG,TypeReferenceSerializationKind:()=>KG,UnionReduction:()=>BG,UpToDateStatusType:()=>EK,VarianceFlags:()=>ZG,Version:()=>ym,VersionRange:()=>GM,WatchDirectoryFlags:()=>bV,WatchDirectoryKind:()=>fV,WatchFileKind:()=>pV,WatchLogLevel:()=>PJ,WatchType:()=>wc,accessPrivateIdentifier:()=>Mle,addDisposableResourceHelper:()=>KW,addEmitFlags:()=>k_,addEmitHelper:()=>eI,addEmitHelpers:()=>Ug,addInternalEmitFlags:()=>QA,addNodeFactoryPatcher:()=>jTe,addObjectAllocatorPatcher:()=>koe,addRange:()=>Jr,addRelatedInfo:()=>wa,addSyntheticLeadingComment:()=>fP,addSyntheticTrailingComment:()=>EW,addToSeen:()=>Rm,advancedAsyncSuperHelper:()=>Uk,affectsDeclarationPathOptionDeclarations:()=>Aq,affectsEmitOptionDeclarations:()=>Tq,allKeysStartWithDot:()=>VF,altDirectorySeparator:()=>ZM,and:()=>B6,append:()=>xn,appendIfUnique:()=>Mg,arrayFrom:()=>zo,arrayIsEqualTo:()=>Km,arrayIsHomogeneous:()=>eae,arrayIsSorted:()=>M6,arrayOf:()=>Rne,arrayReverseIterator:()=>dG,arrayToMap:()=>NE,arrayToMultiMap:()=>FM,arrayToNumericMap:()=>Nne,arraysEqual:()=>Ca,assertType:()=>T1e,assign:()=>Fx,assignHelper:()=>DW,asyncDelegator:()=>CW,asyncGeneratorHelper:()=>NW,asyncSuperHelper:()=>jk,asyncValues:()=>PW,attachFileToDiagnostics:()=>JA,awaitHelper:()=>tI,awaiterHelper:()=>LW,base64decode:()=>Toe,base64encode:()=>Soe,binarySearch:()=>Nv,binarySearchKey:()=>bA,bindSourceFile:()=>sle,breakIntoCharacterSpans:()=>Dde,breakIntoWordSpans:()=>Nde,buildLinkParts:()=>Que,buildOpts:()=>PF,buildOverload:()=>ROe,bundlerModuleNameResolver:()=>$se,canBeConvertedToAsync:()=>dY,canHaveDecorators:()=>QS,canHaveExportModifier:()=>Ik,canHaveFlowNode:()=>ZL,canHaveIllegalDecorators:()=>ZH,canHaveIllegalModifiers:()=>dse,canHaveIllegalType:()=>vAe,canHaveIllegalTypeParameters:()=>use,canHaveJSDoc:()=>ek,canHaveLocals:()=>fh,canHaveModifiers:()=>Cm,canHaveSymbol:()=>x_,canJsonReportNoInputFiles:()=>MP,canProduceDiagnostics:()=>d7,canUsePropertyAccess:()=>HU,canWatchAffectingLocation:()=>zce,canWatchAtTypes:()=>Fce,canWatchDirectoryOrFile:()=>M7,cartesianProduct:()=>zne,cast:()=>aa,chainBundle:()=>Pm,chainDiagnosticMessages:()=>jo,changeAnyExtension:()=>$M,changeCompilerHostLikeToUseCache:()=>Uw,changeExtension:()=>S0,changeFullExtension:()=>ire,changesAffectModuleResolution:()=>W4,changesAffectingProgramStructure:()=>aie,childIsDecorated:()=>IC,classElementOrClassElementParameterIsDecorated:()=>Fj,classHasClassThisAssignment:()=>dJ,classHasDeclaredOrExplicitlyAssignedName:()=>pJ,classHasExplicitlyAssignedName:()=>l7,classOrConstructorParameterIsDecorated:()=>zv,classPrivateFieldGetHelper:()=>HW,classPrivateFieldInHelper:()=>JW,classPrivateFieldSetHelper:()=>qW,classicNameResolver:()=>rle,classifier:()=>Bpe,cleanExtendedConfigCache:()=>y7,clear:()=>Vo,clearMap:()=>tp,clearSharedExtendedConfigFileWatcher:()=>RJ,climbPastPropertyAccess:()=>Q7,climbPastPropertyOrElementAccess:()=>Iue,clone:()=>hG,cloneCompilerOptions:()=>dX,closeFileWatcher:()=>Qm,closeFileWatcherOf:()=>Mf,codefix:()=>Fu,collapseTextChangeRangesAcrossMultipleVersions:()=>vre,collectExternalModuleInfo:()=>oJ,combine:()=>Wx,combinePaths:()=>Qr,commentPragmas:()=>qM,commonOptionsWithBuild:()=>bw,commonPackageFolders:()=>iH,compact:()=>WM,compareBooleans:()=>Ry,compareDataObjects:()=>DU,compareDiagnostics:()=>KC,compareDiagnosticsSkipRelatedInformation:()=>V3,compareEmitHelpers:()=>Cae,compareNumberOfDirectorySeparators:()=>Sk,comparePaths:()=>Lg,comparePathsCaseInsensitive:()=>Y1e,comparePathsCaseSensitive:()=>X1e,comparePatternKeys:()=>Bq,compareProperties:()=>wne,compareStringsCaseInsensitive:()=>F6,compareStringsCaseInsensitiveEslintCompatible:()=>Mne,compareStringsCaseSensitive:()=>Uu,compareStringsCaseSensitiveUI:()=>BM,compareTextSpans:()=>W6,compareValues:()=>rl,compileOnSaveCommandLineOption:()=>vw,compilerOptionsAffectDeclarationPath:()=>Uoe,compilerOptionsAffectEmit:()=>joe,compilerOptionsAffectSemanticDiagnostics:()=>Voe,compilerOptionsDidYouMeanDiagnostics:()=>Tw,compilerOptionsIndicateEsModules:()=>gX,compose:()=>E1e,computeCommonSourceDirectoryOfFilenames:()=>gce,computeLineAndCharacterOfPosition:()=>Kx,computeLineOfPosition:()=>iC,computeLineStarts:()=>DA,computePositionOfLineAndCharacter:()=>m4,computeSignature:()=>iT,computeSignatureWithDiagnostics:()=>ZJ,computeSuggestionDiagnostics:()=>lY,computedOptions:()=>_c,concatenate:()=>So,concatenateDiagnosticMessageChains:()=>Foe,consumesNodeCoreModules:()=>P5,contains:()=>Nr,containsIgnoredPath:()=>rP,containsObjectRestOrSpread:()=>cw,containsParseError:()=>aR,containsPath:()=>bm,convertCompilerOptionsForTelemetry:()=>kse,convertCompilerOptionsFromJson:()=>EIe,convertJsonOption:()=>ZS,convertToBase64:()=>Eoe,convertToJson:()=>_w,convertToObject:()=>Nse,convertToOptionsWithAbsolutePaths:()=>gq,convertToRelativePath:()=>rC,convertToTSConfig:()=>sIe,convertTypeAcquisitionFromJson:()=>SIe,copyComments:()=>lT,copyEntries:()=>F4,copyLeadingComments:()=>PD,copyProperties:()=>gG,copyTrailingAsLeadingComments:()=>SO,copyTrailingComments:()=>d2,couldStartTrivia:()=>lre,countWhere:()=>au,createAbstractBuilder:()=>DRe,createAccessorPropertyBackingField:()=>tq,createAccessorPropertyGetRedirector:()=>yse,createAccessorPropertySetRedirector:()=>bse,createBaseNodeFactory:()=>mae,createBinaryExpressionTrampoline:()=>yF,createBindingHelper:()=>UR,createBuildInfo:()=>zw,createBuilderProgram:()=>eK,createBuilderProgramUsingProgramBuildInfo:()=>Oce,createBuilderStatusReporter:()=>Zce,createCacheWithRedirects:()=>Mq,createCacheableExportInfoMap:()=>YX,createCachedDirectoryStructureHost:()=>g7,createClassNamedEvaluationHelperBlock:()=>Fle,createClassThisAssignmentBlock:()=>Ole,createClassifier:()=>HDe,createCommentDirectivesMap:()=>pie,createCompilerDiagnostic:()=>Hl,createCompilerDiagnosticForInvalidCustomType:()=>Ase,createCompilerDiagnosticFromMessageChain:()=>G3,createCompilerHost:()=>vce,createCompilerHostFromProgramHost:()=>gK,createCompilerHostWorker:()=>kJ,createDetachedDiagnostic:()=>OR,createDiagnosticCollection:()=>RR,createDiagnosticForFileFromMessageChain:()=>Lj,createDiagnosticForNode:()=>wr,createDiagnosticForNodeArray:()=>cR,createDiagnosticForNodeArrayFromMessageChain:()=>ML,createDiagnosticForNodeFromMessageChain:()=>Fg,createDiagnosticForNodeInSourceFile:()=>$f,createDiagnosticForRange:()=>Aie,createDiagnosticMessageChainFromDiagnostic:()=>Tie,createDiagnosticReporter:()=>Xw,createDocumentPositionMapper:()=>xle,createDocumentRegistry:()=>gde,createDocumentRegistryInternal:()=>rY,createEmitAndSemanticDiagnosticsBuilderProgram:()=>oK,createEmitHelperFactory:()=>Nae,createEmptyExports:()=>tw,createExpressionForJsxElement:()=>rse,createExpressionForJsxFragment:()=>ise,createExpressionForObjectLiteralElementLike:()=>ose,createExpressionForPropertyName:()=>JH,createExpressionFromEntityName:()=>nw,createExternalHelpersImportDeclarationIfNeeded:()=>YH,createFileDiagnostic:()=>Qc,createFileDiagnosticFromMessageChain:()=>J4,createForOfBindingStatement:()=>qH,createGetCanonicalFileName:()=>Mu,createGetSourceFile:()=>MJ,createGetSymbolAccessibilityDiagnosticForNode:()=>Yv,createGetSymbolAccessibilityDiagnosticForNodeName:()=>lce,createGetSymbolWalker:()=>lle,createIncrementalCompilerHost:()=>yK,createIncrementalProgram:()=>$ce,createInputFiles:()=>HTe,createInputFilesWithFilePaths:()=>_H,createInputFilesWithFileTexts:()=>hH,createJsxFactoryExpression:()=>HH,createLanguageService:()=>kpe,createLanguageServiceSourceFile:()=>pz,createMemberAccessForPropertyName:()=>$S,createModeAwareCache:()=>TI,createModeAwareCacheKey:()=>WP,createModuleNotFoundChain:()=>z4,createModuleResolutionCache:()=>cD,createModuleResolutionLoader:()=>BJ,createModuleResolutionLoaderUsingGlobalCache:()=>jce,createModuleSpecifierResolutionHost:()=>sT,createMultiMap:()=>Zp,createNodeConverters:()=>hae,createNodeFactory:()=>wk,createOptionNameMap:()=>SF,createOverload:()=>lZ,createPackageJsonImportFilter:()=>m2,createPackageJsonInfo:()=>FX,createParenthesizerRules:()=>_ae,createPatternMatcher:()=>Sde,createPrependNodes:()=>JJ,createPrinter:()=>k0,createPrinterWithDefaults:()=>IJ,createPrinterWithRemoveComments:()=>g1,createPrinterWithRemoveCommentsNeverAsciiEscape:()=>xJ,createPrinterWithRemoveCommentsOmitTrailingSemicolon:()=>Bw,createProgram:()=>A7,createProgramHost:()=>vK,createPropertyNameNodeForIdentifierOrLiteral:()=>oW,createQueue:()=>zM,createRange:()=>xf,createRedirectedBuilderProgram:()=>nK,createResolutionCache:()=>sK,createRuntimeTypeSerializer:()=>jle,createScanner:()=>wv,createSemanticDiagnosticsBuilderProgram:()=>RRe,createSet:()=>vG,createSolutionBuilder:()=>URe,createSolutionBuilderHost:()=>VRe,createSolutionBuilderWithWatch:()=>HRe,createSolutionBuilderWithWatchHost:()=>jRe,createSortedArray:()=>uG,createSourceFile:()=>dw,createSourceMapGenerator:()=>Sle,createSourceMapSource:()=>qTe,createSuperAccessVariableStatement:()=>u7,createSymbolTable:()=>ua,createSymlinkCache:()=>wU,createSystemWatchFunctions:()=>ere,createTextChange:()=>fO,createTextChangeFromStartLength:()=>p5,createTextChangeRange:()=>cL,createTextRangeFromNode:()=>mX,createTextRangeFromSpan:()=>d5,createTextSpan:()=>vu,createTextSpanFromBounds:()=>pc,createTextSpanFromNode:()=>Nd,createTextSpanFromRange:()=>sb,createTextSpanFromStringLiteralLikeContent:()=>fX,createTextWriter:()=>pk,createTokenRange:()=>IU,createTypeChecker:()=>hle,createTypeReferenceDirectiveResolutionCache:()=>zF,createTypeReferenceResolutionLoader:()=>E7,createUnparsedSourceFile:()=>mH,createWatchCompilerHost:()=>zRe,createWatchCompilerHostOfConfigFile:()=>Kce,createWatchCompilerHostOfFilesAndCompilerOptions:()=>Xce,createWatchFactory:()=>hK,createWatchHost:()=>_K,createWatchProgram:()=>BRe,createWatchStatusReporter:()=>Uce,createWriteFileMeasuringIO:()=>LJ,declarationNameToString:()=>Rs,decodeMappings:()=>nJ,decodedTextSpanIntersectsWith:()=>lL,decorateHelper:()=>TW,deduplicate:()=>DE,defaultIncludeSpec:()=>kF,defaultInitCompilerOptions:()=>MF,defaultMaximumTruncationLength:()=>Nk,detectSortCaseSensitivity:()=>XN,diagnosticCategoryName:()=>mS,diagnosticToString:()=>uT,directoryProbablyExists:()=>$m,directorySeparator:()=>al,displayPart:()=>ip,displayPartsToString:()=>jO,disposeEmitNodes:()=>vH,disposeResourcesHelper:()=>XW,documentSpansEqual:()=>SX,dumpTracingLegend:()=>$ne,elementAt:()=>Lv,elideNodes:()=>vse,emitComments:()=>uoe,emitDetachedComments:()=>doe,emitFiles:()=>m7,emitFilesAndReportErrors:()=>O7,emitFilesAndReportErrorsAndGetExitStatus:()=>Jce,emitModuleKindIsNonNodeESM:()=>j3,emitNewLineBeforeLeadingCommentOfPosition:()=>coe,emitNewLineBeforeLeadingComments:()=>soe,emitNewLineBeforeLeadingCommentsOfPosition:()=>loe,emitSkippedWithNoDiagnostics:()=>N7,emitUsingBuildInfo:()=>fce,emptyArray:()=>et,emptyFileSystemEntries:()=>gW,emptyMap:()=>U6,emptyOptions:()=>kf,emptySet:()=>Gne,endsWith:()=>Al,ensurePathIsNonModuleName:()=>CE,ensureScriptKind:()=>Q3,ensureTrailingDirectorySeparator:()=>Bc,entityNameToString:()=>_p,enumerateInsertsAndDeletes:()=>V6,equalOwnProperties:()=>Dne,equateStringsCaseInsensitive:()=>i0,equateStringsCaseSensitive:()=>dS,equateValues:()=>Mv,esDecorateHelper:()=>xW,escapeJsxAttributeString:()=>dU,escapeLeadingUnderscores:()=>hl,escapeNonAsciiString:()=>dk,escapeSnippetText:()=>ZE,escapeString:()=>og,escapeTemplateSubstitution:()=>cU,every:()=>Zn,expandPreOrPostfixIncrementOrDecrementExpression:()=>fF,explainFiles:()=>uK,explainIfFileIsRedirectAndImpliedFormat:()=>dK,exportAssignmentIsAlias:()=>TR,exportStarHelper:()=>UW,expressionResultIsUnused:()=>nae,extend:()=>O6,extendsHelper:()=>kW,extensionFromPath:()=>QC,extensionIsTS:()=>tW,extensionsNotSupportingExtensionlessResolution:()=>kk,externalHelpersModuleNameText:()=>Ky,factory:()=>w,fileExtensionIs:()=>Il,fileExtensionIsOneOf:()=>Tc,fileIncludeReasonToDiagnostics:()=>mK,fileShouldUseJavaScriptRequire:()=>HX,filter:()=>nr,filterMutate:()=>Xs,filterSemanticDiagnostics:()=>I7,find:()=>An,findAncestor:()=>Gn,findBestPatternMatch:()=>SG,findChildOfKind:()=>vs,findComputedPropertyNameCacheAssignment:()=>bF,findConfigFile:()=>hce,findContainingList:()=>r5,findDiagnosticForNode:()=>cde,findFirstNonJsxWhitespaceToken:()=>Cue,findIndex:()=>Gr,findLast:()=>Oo,findLastIndex:()=>Bi,findListItemInfo:()=>Nue,findMap:()=>Uo,findModifier:()=>DD,findNextToken:()=>b1,findPackageJson:()=>sde,findPackageJsons:()=>WX,findPrecedingMatchingToken:()=>c5,findPrecedingToken:()=>xc,findSuperStatementIndexPath:()=>o7,findTokenOnLeftOfPosition:()=>a5,findUseStrictPrologue:()=>XH,first:()=>Ha,firstDefined:()=>Ut,firstDefinedIterator:()=>dn,firstIterator:()=>fG,firstOrOnly:()=>VX,firstOrUndefined:()=>Xc,firstOrUndefinedIterator:()=>L6,fixupCompilerOptions:()=>fY,flatMap:()=>Pi,flatMapIterator:()=>Qp,flatMapToMutable:()=>rc,flatten:()=>ia,flattenCommaList:()=>Ese,flattenDestructuringAssignment:()=>tT,flattenDestructuringBinding:()=>h1,flattenDiagnosticMessageText:()=>z_,forEach:()=>Ue,forEachAncestor:()=>sie,forEachAncestorDirectory:()=>Sm,forEachChild:()=>Ho,forEachChildRecursively:()=>CP,forEachEmittedFile:()=>EJ,forEachEnclosingBlockScopeContainer:()=>bie,forEachEntry:()=>Gc,forEachExternalModuleToImportFrom:()=>QX,forEachImportClauseDeclaration:()=>h3,forEachKey:()=>_h,forEachLeadingCommentRange:()=>rL,forEachNameInAccessChainWalkingLeft:()=>Moe,forEachPropertyAssignment:()=>fR,forEachResolvedProjectReference:()=>VJ,forEachReturnStatement:()=>zE,forEachRight:()=>Bt,forEachTrailingCommentRange:()=>iL,forEachTsConfigPropArray:()=>OL,forEachUnique:()=>AX,forEachYieldExpression:()=>xie,forSomeAncestorDirectory:()=>mTe,formatColorAndReset:()=>v1,formatDiagnostic:()=>wJ,formatDiagnostics:()=>lRe,formatDiagnosticsWithColorAndContext:()=>yce,formatGeneratedName:()=>N0,formatGeneratedNamePart:()=>iD,formatLocation:()=>OJ,formatMessage:()=>MU,formatStringFromArgs:()=>lg,formatting:()=>Oc,fullTripleSlashAMDReferencePathRegEx:()=>$U,fullTripleSlashReferencePathRegEx:()=>YU,generateDjb2Hash:()=>tC,generateTSConfig:()=>pIe,generatorHelper:()=>GW,getAdjustedReferenceLocation:()=>oX,getAdjustedRenameLocation:()=>o5,getAliasDeclarationFromName:()=>Qj,getAllAccessorDeclarations:()=>wS,getAllDecoratorsOfClass:()=>sJ,getAllDecoratorsOfClassElement:()=>s7,getAllJSDocTags:()=>S4,getAllJSDocTagsOfKind:()=>ISe,getAllKeys:()=>y1e,getAllProjectOutputs:()=>f7,getAllSuperTypeNodes:()=>CC,getAllUnscopedEmitHelpers:()=>TH,getAllowJSCompilerOption:()=>Xy,getAllowSyntheticDefaultImports:()=>FS,getAncestor:()=>b0,getAnyExtensionFromPath:()=>Jx,getAreDeclarationMapsEnabled:()=>Pk,getAssignedExpandoInitializer:()=>OA,getAssignedName:()=>b4,getAssignedNameOfIdentifier:()=>UP,getAssignmentDeclarationKind:()=>Bl,getAssignmentDeclarationPropertyAccessKind:()=>KL,getAssignmentTargetKind:()=>BA,getAutomaticTypeDirectiveNames:()=>WF,getBaseFileName:()=>ic,getBinaryOperatorPrecedence:()=>uk,getBuildInfo:()=>_7,getBuildInfoFileVersionMap:()=>tK,getBuildInfoText:()=>pce,getBuildOrderFromAnyBuildOrder:()=>G7,getBuilderCreationParameters:()=>C7,getBuilderFileEmit:()=>ab,getCheckFlags:()=>xl,getClassExtendsHeritageElement:()=>UE,getClassLikeDeclarationOfSymbol:()=>Vg,getCombinedLocalAndExportSymbolFlags:()=>LR,getCombinedModifierFlags:()=>c0,getCombinedNodeFlags:()=>Ov,getCombinedNodeFlagsAlwaysIncludeJSDoc:()=>qV,getCommentRange:()=>w_,getCommonSourceDirectory:()=>$P,getCommonSourceDirectoryOfConfig:()=>_D,getCompilerOptionValue:()=>H3,getCompilerOptionsDiffValue:()=>uIe,getConditions:()=>ib,getConfigFileParsingDiagnostics:()=>rT,getConstantValue:()=>Sae,getContainerFlags:()=>Uq,getContainerNode:()=>aT,getContainingClass:()=>su,getContainingClassExcludingClassDecorators:()=>n3,getContainingClassStaticBlock:()=>wie,getContainingFunction:()=>Gp,getContainingFunctionDeclaration:()=>kie,getContainingFunctionOrClassStaticBlock:()=>t3,getContainingNodeArray:()=>rae,getContainingObjectLiteralElement:()=>UO,getContextualTypeFromParent:()=>T5,getContextualTypeFromParentOrAncestorTypeNode:()=>i5,getCurrentTime:()=>$w,getDeclarationDiagnostics:()=>cce,getDeclarationEmitExtensionForPath:()=>I3,getDeclarationEmitOutputFilePath:()=>noe,getDeclarationEmitOutputFilePathWorker:()=>A3,getDeclarationFileExtension:()=>oq,getDeclarationFromName:()=>NC,getDeclarationModifierFlagsFromSymbol:()=>Df,getDeclarationOfKind:()=>fl,getDeclarationsOfKind:()=>iie,getDeclaredExpandoInitializer:()=>UL,getDecorators:()=>Ly,getDefaultCompilerOptions:()=>dz,getDefaultExportInfoWorker:()=>B5,getDefaultFormatCodeSettings:()=>X7,getDefaultLibFileName:()=>aL,getDefaultLibFilePath:()=>wpe,getDefaultLikeExportInfo:()=>z5,getDiagnosticText:()=>eIe,getDiagnosticsWithinSpan:()=>ude,getDirectoryPath:()=>ai,getDirectoryToWatchFailedLookupLocation:()=>aK,getDirectoryToWatchFailedLookupLocationFromTypeRoot:()=>Gce,getDocumentPositionMapper:()=>sY,getDocumentSpansEqualityComparer:()=>TX,getESModuleInterop:()=>yh,getEditsForFileRename:()=>yde,getEffectiveBaseTypeNode:()=>D_,getEffectiveConstraintOfTypeParameter:()=>Zx,getEffectiveContainerForJSDocTemplateTag:()=>g3,getEffectiveImplementsTypeNodes:()=>AR,getEffectiveInitializer:()=>jL,getEffectiveJSDocHost:()=>y0,getEffectiveModifierFlags:()=>pd,getEffectiveModifierFlagsAlwaysIncludeJSDoc:()=>_oe,getEffectiveModifierFlagsNoCache:()=>hoe,getEffectiveReturnTypeNode:()=>nm,getEffectiveSetAccessorTypeAnnotationNode:()=>aoe,getEffectiveTypeAnnotationNode:()=>yu,getEffectiveTypeParameterDeclarations:()=>ky,getEffectiveTypeRoots:()=>OP,getElementOrPropertyAccessArgumentExpressionOrName:()=>_3,getElementOrPropertyAccessName:()=>zg,getElementsOfBindingOrAssignmentPattern:()=>rD,getEmitDeclarations:()=>Nf,getEmitFlags:()=>ja,getEmitHelpers:()=>SW,getEmitModuleDetectionKind:()=>nH,getEmitModuleKind:()=>wu,getEmitModuleResolutionKind:()=>md,getEmitScriptTarget:()=>os,getEmitStandardClassFields:()=>Goe,getEnclosingBlockScopeContainer:()=>hh,getEnclosingContainer:()=>Mj,getEncodedSemanticClassifications:()=>tY,getEncodedSyntacticClassifications:()=>nY,getEndLinePosition:()=>DL,getEntityNameFromTypeNode:()=>zL,getEntrypointsFromPackageJsonInfo:()=>Wq,getErrorCountForSummary:()=>k7,getErrorSpanForNode:()=>AS,getErrorSummaryText:()=>Hce,getEscapedTextOfIdentifierOrLiteral:()=>LC,getEscapedTextOfJsxAttributeName:()=>sP,getEscapedTextOfJsxNamespacedName:()=>YA,getExpandoInitializer:()=>g0,getExportAssignmentExpression:()=>Zj,getExportInfoMap:()=>RO,getExportNeedsImportStarHelper:()=>Rle,getExpressionAssociativity:()=>aU,getExpressionPrecedence:()=>wC,getExternalHelpersModuleName:()=>iw,getExternalModuleImportEqualsDeclarationExpression:()=>xC,getExternalModuleName:()=>yR,getExternalModuleNameFromDeclaration:()=>eoe,getExternalModuleNameFromPath:()=>fU,getExternalModuleNameLiteral:()=>yI,getExternalModuleRequireArgument:()=>Bj,getFallbackOptions:()=>jw,getFileEmitOutput:()=>xce,getFileMatcherPatterns:()=>$3,getFileNamesFromConfigSpecs:()=>LP,getFileWatcherEventKind:()=>MV,getFilesInErrorForSummary:()=>w7,getFirstConstructorWithBody:()=>ag,getFirstIdentifier:()=>Vp,getFirstNonSpaceCharacterPosition:()=>tde,getFirstProjectOutput:()=>AJ,getFixableErrorSpanExpression:()=>BX,getFormatCodeSettingsForWriting:()=>w5,getFullWidth:()=>xL,getFunctionFlags:()=>Vc,getHeritageClause:()=>ak,getHostSignatureFromJSDoc:()=>v0,getIdentifierAutoGenerate:()=>$Te,getIdentifierGeneratedImportReference:()=>Dae,getIdentifierTypeArguments:()=>zS,getImmediatelyInvokedFunctionExpression:()=>xS,getImpliedNodeFormatForFile:()=>Jw,getImpliedNodeFormatForFileWorker:()=>HJ,getImportNeedsImportDefaultHelper:()=>iJ,getImportNeedsImportStarHelper:()=>r7,getIndentSize:()=>NR,getIndentString:()=>S3,getInferredLibraryNameResolveFrom:()=>T7,getInitializedVariables:()=>HC,getInitializerOfBinaryExpression:()=>Hj,getInitializerOfBindingOrAssignmentElement:()=>aw,getInterfaceBaseTypeNodes:()=>PC,getInternalEmitFlags:()=>Am,getInvokedExpression:()=>o3,getIsolatedModules:()=>om,getJSDocAugmentsTag:()=>Rre,getJSDocClassTag:()=>KV,getJSDocCommentRanges:()=>wj,getJSDocCommentsAndTags:()=>Jj,getJSDocDeprecatedTag:()=>XV,getJSDocDeprecatedTagNoCache:()=>kre,getJSDocEnumTag:()=>YV,getJSDocHost:()=>CS,getJSDocImplementsTags:()=>Dre,getJSDocOverloadTags:()=>Xj,getJSDocOverrideTagNoCache:()=>Lre,getJSDocParameterTags:()=>Qx,getJSDocParameterTagsNoCache:()=>Tre,getJSDocPrivateTag:()=>bSe,getJSDocPrivateTagNoCache:()=>Cre,getJSDocProtectedTag:()=>ESe,getJSDocProtectedTagNoCache:()=>Pre,getJSDocPublicTag:()=>ySe,getJSDocPublicTagNoCache:()=>Nre,getJSDocReadonlyTag:()=>SSe,getJSDocReadonlyTagNoCache:()=>Mre,getJSDocReturnTag:()=>wre,getJSDocReturnType:()=>dL,getJSDocRoot:()=>SR,getJSDocSatisfiesExpressionType:()=>JU,getJSDocSatisfiesTag:()=>$V,getJSDocTags:()=>f0,getJSDocTagsNoCache:()=>ASe,getJSDocTemplateTag:()=>TSe,getJSDocThisTag:()=>E4,getJSDocType:()=>p0,getJSDocTypeAliasName:()=>QH,getJSDocTypeAssertionType:()=>_F,getJSDocTypeParameterDeclarations:()=>N3,getJSDocTypeParameterTags:()=>Are,getJSDocTypeParameterTagsNoCache:()=>Ire,getJSDocTypeTag:()=>d0,getJSXImplicitImportBase:()=>J3,getJSXRuntimeImport:()=>K3,getJSXTransformEnabled:()=>q3,getKeyForCompilerOptions:()=>Pq,getLanguageVariant:()=>yk,getLastChild:()=>NU,getLeadingCommentRanges:()=>Yh,getLeadingCommentRangesOfNode:()=>kj,getLeftmostAccessExpression:()=>kR,getLeftmostExpression:()=>wR,getLibraryNameFromLibFileName:()=>jJ,getLineAndCharacterOfPosition:()=>bs,getLineInfo:()=>eJ,getLineOfLocalPosition:()=>WC,getLineOfLocalPositionFromLineMap:()=>LS,getLineStartPositionForPosition:()=>lm,getLineStarts:()=>kg,getLinesBetweenPositionAndNextNonWhitespaceCharacter:()=>Doe,getLinesBetweenPositionAndPrecedingNonWhitespaceCharacter:()=>Roe,getLinesBetweenPositions:()=>oC,getLinesBetweenRangeEndAndRangeStart:()=>xU,getLinesBetweenRangeEndPositions:()=>pTe,getLiteralText:()=>fie,getLocalNameForExternalImport:()=>nD,getLocalSymbolForExportDefault:()=>MR,getLocaleSpecificMessage:()=>Wo,getLocaleTimeString:()=>Yw,getMappedContextSpan:()=>IX,getMappedDocumentSpan:()=>y5,getMappedLocation:()=>l2,getMatchedFileSpec:()=>pK,getMatchedIncludeSpec:()=>fK,getMeaningFromDeclaration:()=>rO,getMeaningFromLocation:()=>oT,getMembersOfDeclaration:()=>Rie,getModeForFileReference:()=>Hw,getModeForResolutionAtIndex:()=>bce,getModeForUsageLocation:()=>FJ,getModifiedTime:()=>IA,getModifiers:()=>ME,getModuleInstanceState:()=>Kg,getModuleNameStringLiteralAt:()=>Kw,getModuleSpecifierEndingPreference:()=>Koe,getModuleSpecifierResolverHost:()=>vX,getNameForExportedSymbol:()=>M5,getNameFromImportAttribute:()=>cW,getNameFromIndexInfo:()=>Eie,getNameFromPropertyName:()=>hO,getNameOfAccessExpression:()=>PU,getNameOfCompilerOptionValue:()=>hq,getNameOfDeclaration:()=>Mo,getNameOfExpando:()=>jj,getNameOfJSDocTypedef:()=>Sre,getNameOrArgument:()=>JL,getNameTable:()=>JY,getNamesForExportedSymbol:()=>dde,getNamespaceDeclarationNode:()=>bR,getNewLineCharacter:()=>Uv,getNewLineKind:()=>xO,getNewLineOrDefaultFromHost:()=>ty,getNewTargetContainer:()=>Wie,getNextJSDocCommentLocation:()=>Kj,getNodeForGeneratedName:()=>lw,getNodeId:()=>as,getNodeKind:()=>y1,getNodeModifiers:()=>o2,getNodeModulePathParts:()=>aW,getNonAssignedNameOfDeclaration:()=>y4,getNonAssignmentOperatorForCompoundAssignment:()=>GP,getNonAugmentationDeclaration:()=>xj,getNonDecoratorTokenPosOfNode:()=>Ej,getNormalizedAbsolutePath:()=>go,getNormalizedAbsolutePathWithoutRoot:()=>FV,getNormalizedPathComponents:()=>YM,getObjectFlags:()=>Wr,getOperator:()=>lU,getOperatorAssociativity:()=>sU,getOperatorPrecedence:()=>ck,getOptionFromName:()=>pq,getOptionsForLibraryResolution:()=>Lq,getOptionsNameMap:()=>aD,getOrCreateEmitNode:()=>Ou,getOrCreateExternalHelpersModuleNameIfNeeded:()=>cse,getOrUpdate:()=>n0,getOriginalNode:()=>Pl,getOriginalNodeId:()=>Wu,getOriginalSourceFile:()=>nTe,getOutputDeclarationFileName:()=>YP,getOutputDeclarationFileNameWorker:()=>SJ,getOutputExtension:()=>p7,getOutputFileNames:()=>aRe,getOutputJSFileNameWorker:()=>TJ,getOutputPathsFor:()=>XP,getOutputPathsForBundle:()=>KP,getOwnEmitOutputFilePath:()=>toe,getOwnKeys:()=>Xh,getOwnValues:()=>EA,getPackageJsonInfo:()=>p1,getPackageJsonTypesVersionsPaths:()=>OF,getPackageJsonsVisibleToFile:()=>lde,getPackageNameFromTypesPackageName:()=>FP,getPackageScopeForPath:()=>Dw,getParameterSymbolFromJSDoc:()=>tk,getParameterTypeNode:()=>TTe,getParentNodeInSpan:()=>vO,getParseTreeNode:()=>Do,getParsedCommandLineOfConfigFile:()=>fw,getPathComponents:()=>zc,getPathComponentsRelativeTo:()=>BV,getPathFromPathComponents:()=>Cy,getPathUpdater:()=>oY,getPathsBasePath:()=>x3,getPatternFromSpec:()=>Hoe,getPendingEmitKind:()=>bD,getPositionOfLineAndCharacter:()=>tL,getPossibleGenericSignatures:()=>sX,getPossibleOriginalInputExtensionForExtension:()=>roe,getPossibleTypeArgumentsInfo:()=>lX,getPreEmitDiagnostics:()=>sRe,getPrecedingNonSpaceCharacterPosition:()=>E5,getPrivateIdentifier:()=>lJ,getProperties:()=>aJ,getProperty:()=>k6,getPropertyArrayElementValue:()=>Lie,getPropertyAssignmentAliasLikeExpression:()=>Yie,getPropertyNameForPropertyNameNode:()=>PS,getPropertyNameForUniqueESSymbol:()=>eTe,getPropertyNameFromType:()=>im,getPropertyNameOfBindingOrAssignmentElement:()=>$H,getPropertySymbolFromBindingElement:()=>v5,getPropertySymbolsFromContextualType:()=>fz,getQuoteFromPreference:()=>bX,getQuotePreference:()=>uf,getRangesWhere:()=>cG,getRefactorContextSpan:()=>LI,getReferencedFileLocation:()=>QP,getRegexFromPattern:()=>qy,getRegularExpressionForWildcard:()=>XC,getRegularExpressionsForWildcards:()=>X3,getRelativePathFromDirectory:()=>Em,getRelativePathFromFile:()=>QM,getRelativePathToDirectoryOrUrl:()=>RA,getRenameLocation:()=>EO,getReplacementSpanForContextToken:()=>pX,getResolutionDiagnostic:()=>KJ,getResolutionModeOverride:()=>hD,getResolveJsonModule:()=>A0,getResolvePackageJsonExports:()=>mW,getResolvePackageJsonImports:()=>_W,getResolvedExternalModuleName:()=>T3,getRestIndicatorOfBindingOrAssignmentElement:()=>gF,getRestParameterElementType:()=>Oj,getRightMostAssignedExpression:()=>HL,getRootDeclaration:()=>C_,getRootDirectoryOfResolutionCache:()=>Vce,getRootLength:()=>ph,getRootPathSplitLength:()=>MRe,getScriptKind:()=>CX,getScriptKindFromFileName:()=>Z3,getScriptTargetFeatures:()=>pW,getSelectedEffectiveModifierFlags:()=>jA,getSelectedSyntacticModifierFlags:()=>foe,getSemanticClassifications:()=>_de,getSemanticJsxChildren:()=>xR,getSetAccessorTypeAnnotationNode:()=>ioe,getSetAccessorValueParameter:()=>FC,getSetExternalModuleIndicator:()=>bk,getShebang:()=>h4,getSingleInitializerOfVariableStatementOrPropertyDeclaration:()=>qj,getSingleVariableOfVariableStatement:()=>zA,getSnapshotText:()=>RD,getSnippetElement:()=>yH,getSourceFileOfModule:()=>G4,getSourceFileOfNode:()=>jn,getSourceFilePathInNewDir:()=>R3,getSourceFilePathInNewDirWorker:()=>D3,getSourceFileVersionAsHashFromText:()=>W7,getSourceFilesToEmit:()=>mU,getSourceMapRange:()=>qv,getSourceMapper:()=>Pde,getSourceTextOfNodeFromSourceFile:()=>OE,getSpanOfTokenAtPosition:()=>gh,getSpellingSuggestion:()=>$N,getStartPositionOfLine:()=>Gy,getStartPositionOfRange:()=>UC,getStartsOnNewLine:()=>pP,getStaticPropertiesAndClassStaticBlock:()=>a7,getStrictOptionValue:()=>fd,getStringComparer:()=>zx,getSubPatternFromSpec:()=>Y3,getSuperCallFromStatement:()=>i7,getSuperContainer:()=>WL,getSupportedCodeFixes:()=>HY,getSupportedExtensions:()=>YC,getSupportedExtensionsWithJsonIfResolveJsonModule:()=>Ek,getSwitchedType:()=>wX,getSymbolId:()=>Ta,getSymbolNameForPrivateIdentifier:()=>sk,getSymbolTarget:()=>PX,getSyntacticClassifications:()=>hde,getSyntacticModifierFlags:()=>Uy,getSyntacticModifierFlagsNoCache:()=>vU,getSynthesizedDeepClone:()=>cl,getSynthesizedDeepCloneWithReplacements:()=>bO,getSynthesizedDeepClones:()=>E1,getSynthesizedDeepClonesWithReplacements:()=>MX,getSyntheticLeadingComments:()=>jR,getSyntheticTrailingComments:()=>Bk,getTargetLabel:()=>Z7,getTargetOfBindingOrAssignmentElement:()=>rb,getTemporaryModuleResolutionState:()=>Rw,getTextOfConstantValue:()=>mie,getTextOfIdentifierOrLiteral:()=>em,getTextOfJSDocComment:()=>fL,getTextOfJsxAttributeName:()=>Dk,getTextOfJsxNamespacedName:()=>lP,getTextOfNode:()=>fc,getTextOfNodeFromSourceText:()=>bC,getTextOfPropertyName:()=>lR,getThisContainer:()=>Fd,getThisParameter:()=>qE,getTokenAtPosition:()=>po,getTokenPosOfNode:()=>_0,getTokenSourceMapRange:()=>XTe,getTouchingPropertyName:()=>Vd,getTouchingToken:()=>xD,getTrailingCommentRanges:()=>a0,getTrailingSemicolonDeferringWriter:()=>pU,getTransformFlagsSubtreeExclusions:()=>gae,getTransformers:()=>yJ,getTsBuildInfoEmitOutputFilePath:()=>$v,getTsConfigObjectLiteralExpression:()=>AC,getTsConfigPropArrayElementValue:()=>e3,getTypeAnnotationNode:()=>ooe,getTypeArgumentOrTypeParameterList:()=>Fue,getTypeKeywordOfTypeOnlyImport:()=>EX,getTypeNode:()=>xae,getTypeNodeIfAccessible:()=>f2,getTypeParameterFromJsDoc:()=>Uie,getTypeParameterOwner:()=>mSe,getTypesPackageName:()=>jF,getUILocale:()=>Lne,getUniqueName:()=>cT,getUniqueSymbolId:()=>ede,getUseDefineForClassFields:()=>dP,getWatchErrorSummaryDiagnosticMessage:()=>lK,getWatchFactory:()=>NJ,group:()=>YN,groupBy:()=>w6,guessIndentation:()=>nie,handleNoEmitOptions:()=>qJ,hasAbstractModifier:()=>XE,hasAccessorModifier:()=>P_,hasAmbientModifier:()=>gU,hasChangesInResolutions:()=>vj,hasChildOfKind:()=>uO,hasContextSensitiveParameters:()=>iW,hasDecorators:()=>If,hasDocComment:()=>Oue,hasDynamicName:()=>jy,hasEffectiveModifier:()=>gp,hasEffectiveModifiers:()=>C3,hasEffectiveReadonlyModifier:()=>zC,hasExtension:()=>xA,hasIndexSignature:()=>kX,hasInitializer:()=>zy,hasInvalidEscape:()=>uU,hasJSDocNodes:()=>Fp,hasJSDocParameterTags:()=>xre,hasJSFileExtension:()=>YE,hasJsonModuleEmitEnabled:()=>U3,hasOnlyExpressionInitializer:()=>ES,hasOverrideModifier:()=>P3,hasPossibleExternalModuleReference:()=>yie,hasProperty:()=>xs,hasPropertyAccessExpressionWithName:()=>oO,hasQuestionToken:()=>FA,hasRecordedExternalHelpers:()=>lse,hasResolutionModeOverride:()=>lae,hasRestParameter:()=>mj,hasScopeMarker:()=>Kre,hasStaticModifier:()=>mc,hasSyntacticModifier:()=>Zr,hasSyntacticModifiers:()=>poe,hasTSFileExtension:()=>XA,hasTabstop:()=>oae,hasTrailingDirectorySeparator:()=>kv,hasType:()=>w4,hasTypeArguments:()=>XSe,hasZeroOrOneAsteriskCharacter:()=>kU,helperString:()=>SH,hostGetCanonicalFileName:()=>Gv,hostUsesCaseSensitiveFileNames:()=>CR,idText:()=>Sr,identifierIsThisKeyword:()=>hU,identifierToKeywordKind:()=>u0,identity:()=>nl,identitySourceMapConsumer:()=>n7,ignoreSourceNewlines:()=>EH,ignoredPaths:()=>XM,importDefaultHelper:()=>jW,importFromModuleSpecifier:()=>DC,importNameElisionDisabled:()=>LU,importStarHelper:()=>Vk,indexOfAnyCharCode:()=>Sc,indexOfNode:()=>sR,indicesOf:()=>OM,inferredTypesContainingFile:()=>yD,injectClassNamedEvaluationHelperBlockIfMissing:()=>c7,injectClassThisAssignmentIfMissing:()=>Wle,insertImports:()=>s2,insertLeadingStatement:()=>mAe,insertSorted:()=>xy,insertStatementAfterCustomPrologue:()=>SS,insertStatementAfterStandardPrologue:()=>zSe,insertStatementsAfterCustomPrologue:()=>yj,insertStatementsAfterStandardPrologue:()=>eg,intersperse:()=>co,intrinsicTagNameToString:()=>KU,introducesArgumentsExoticObject:()=>Pie,inverseJsxOptionMap:()=>kP,isAbstractConstructorSymbol:()=>Noe,isAbstractModifier:()=>Oae,isAccessExpression:()=>ws,isAccessibilityModifier:()=>uX,isAccessor:()=>Oy,isAccessorModifier:()=>Fae,isAliasSymbolDeclaration:()=>$Se,isAliasableExpression:()=>ok,isAmbientModule:()=>ku,isAmbientPropertyDeclaration:()=>Dj,isAnonymousFunctionDefinition:()=>kC,isAnyDirectorySeparator:()=>wV,isAnyImportOrBareOrAccessedRequire:()=>vie,isAnyImportOrReExport:()=>CL,isAnyImportSyntax:()=>TS,isAnySupportedFileExtension:()=>STe,isApplicableVersionedTypesKey:()=>Cw,isArgumentExpressionOfElementAccess:()=>ZK,isArray:()=>To,isArrayBindingElement:()=>N4,isArrayBindingOrAssignmentElement:()=>bL,isArrayBindingOrAssignmentPattern:()=>lj,isArrayBindingPattern:()=>n1,isArrayLiteralExpression:()=>_d,isArrayLiteralOrObjectLiteralDestructuringPattern:()=>Zv,isArrayTypeNode:()=>Xk,isArrowFunction:()=>Gs,isAsExpression:()=>$k,isAssertClause:()=>Hae,isAssertEntry:()=>aAe,isAssertionExpression:()=>bS,isAssertsKeyword:()=>kae,isAssignmentDeclaration:()=>RC,isAssignmentExpression:()=>Lc,isAssignmentOperator:()=>Vv,isAssignmentPattern:()=>gC,isAssignmentTarget:()=>ig,isAsteriskToken:()=>Hk,isAsyncFunction:()=>MC,isAsyncModifier:()=>_P,isAutoAccessorPropertyDeclaration:()=>Wd,isAwaitExpression:()=>eb,isAwaitKeyword:()=>NH,isBigIntLiteral:()=>YW,isBinaryExpression:()=>mr,isBinaryOperatorToken:()=>gse,isBindableObjectDefinePropertyCall:()=>DS,isBindableStaticAccessExpression:()=>VE,isBindableStaticElementAccessExpression:()=>m3,isBindableStaticNameExpression:()=>NS,isBindingElement:()=>Qa,isBindingElementOfBareOrAccessedRequire:()=>Bie,isBindingName:()=>vS,isBindingOrAssignmentElement:()=>Vre,isBindingOrAssignmentPattern:()=>vL,isBindingPattern:()=>ta,isBlock:()=>Xo,isBlockOrCatchScoped:()=>Sj,isBlockScope:()=>Nj,isBlockScopedContainerTopLevel:()=>gie,isBooleanLiteral:()=>hC,isBreakOrContinueStatement:()=>pC,isBreakStatement:()=>rAe,isBuildInfoFile:()=>dce,isBuilderProgram:()=>qce,isBundle:()=>OH,isBundleFileTextLike:()=>Poe,isCallChain:()=>hS,isCallExpression:()=>la,isCallExpressionTarget:()=>JK,isCallLikeExpression:()=>wE,isCallLikeOrFunctionLikeExpression:()=>cj,isCallOrNewExpression:()=>I_,isCallOrNewExpressionTarget:()=>KK,isCallSignatureDeclaration:()=>sI,isCallToHelper:()=>mP,isCaseBlock:()=>SP,isCaseClause:()=>YR,isCaseKeyword:()=>zae,isCaseOrDefaultClause:()=>L4,isCatchClause:()=>c1,isCatchClauseVariableDeclaration:()=>iae,isCatchClauseVariableDeclarationOrBindingElement:()=>Tj,isCheckJsEnabledForFile:()=>Ak,isChildOfNodeWithKind:()=>GSe,isCircularBuildOrder:()=>DI,isClassDeclaration:()=>Ic,isClassElement:()=>$c,isClassExpression:()=>Zc,isClassInstanceProperty:()=>Bre,isClassLike:()=>ui,isClassMemberModifier:()=>oj,isClassNamedEvaluationHelperBlock:()=>RI,isClassOrTypeElement:()=>D4,isClassStaticBlockDeclaration:()=>Rl,isClassThisAssignmentBlock:()=>jP,isCollapsedRange:()=>dTe,isColonToken:()=>Mae,isCommaExpression:()=>rw,isCommaListExpression:()=>yP,isCommaSequence:()=>RP,isCommaToken:()=>Pae,isComment:()=>u5,isCommonJsExportPropertyAssignment:()=>Q4,isCommonJsExportedExpression:()=>Nie,isCompoundAssignment:()=>BP,isComputedNonLiteralName:()=>PL,isComputedPropertyName:()=>Za,isConciseBody:()=>P4,isConditionalExpression:()=>XR,isConditionalTypeNode:()=>dI,isConstTypeReference:()=>Og,isConstructSignatureDeclaration:()=>Jk,isConstructorDeclaration:()=>Ml,isConstructorTypeNode:()=>HR,isContextualKeyword:()=>y3,isContinueStatement:()=>nAe,isCustomPrologue:()=>wL,isDebuggerStatement:()=>iAe,isDeclaration:()=>Ju,isDeclarationBindingElement:()=>gL,isDeclarationFileName:()=>Su,isDeclarationName:()=>Bg,isDeclarationNameOfEnumOrNamespace:()=>RU,isDeclarationReadonly:()=>K4,isDeclarationStatement:()=>Qre,isDeclarationWithTypeParameterChildren:()=>Pj,isDeclarationWithTypeParameters:()=>Cj,isDecorator:()=>Eu,isDecoratorTarget:()=>Tue,isDefaultClause:()=>AP,isDefaultImport:()=>WA,isDefaultModifier:()=>eF,isDefaultedExpandoInitializer:()=>Gie,isDeleteExpression:()=>Vae,isDeleteTarget:()=>$j,isDeprecatedDeclaration:()=>L5,isDestructuringAssignment:()=>jv,isDiagnosticWithLocation:()=>zX,isDiskPathRoot:()=>OV,isDoStatement:()=>eAe,isDocumentRegistryEntry:()=>DO,isDotDotDotToken:()=>QW,isDottedName:()=>GC,isDynamicName:()=>E3,isESSymbolIdentifier:()=>tTe,isEffectiveExternalModule:()=>wA,isEffectiveModuleDeclaration:()=>hie,isEffectiveStrictModeSourceFile:()=>Rj,isElementAccessChain:()=>QV,isElementAccessExpression:()=>Qs,isEmittedFileOfProgram:()=>_ce,isEmptyArrayLiteral:()=>boe,isEmptyBindingElement:()=>bre,isEmptyBindingPattern:()=>yre,isEmptyObjectLiteral:()=>TU,isEmptyStatement:()=>LH,isEmptyStringLiteral:()=>zj,isEntityName:()=>Zd,isEntityNameExpression:()=>Gl,isEnumConst:()=>FE,isEnumDeclaration:()=>x0,isEnumMember:()=>u1,isEqualityOperatorKind:()=>A5,isEqualsGreaterThanToken:()=>Lae,isExclamationToken:()=>qk,isExcludedFile:()=>Pse,isExclusivelyTypeOnlyImportOrExport:()=>WJ,isExpandoPropertyDeclaration:()=>lW,isExportAssignment:()=>kl,isExportDeclaration:()=>Yl,isExportModifier:()=>oI,isExportName:()=>mF,isExportNamespaceAsDefaultDeclaration:()=>U4,isExportOrDefaultModifier:()=>sw,isExportSpecifier:()=>Ku,isExportsIdentifier:()=>RS,isExportsOrModuleExportsOrAlias:()=>f1,isExpression:()=>yt,isExpressionNode:()=>ng,isExpressionOfExternalModuleImportEqualsDeclaration:()=>Rue,isExpressionOfOptionalChainRoot:()=>I4,isExpressionStatement:()=>eu,isExpressionWithTypeArguments:()=>Kv,isExpressionWithTypeArgumentsInClassExtendsClause:()=>M3,isExternalModule:()=>sc,isExternalModuleAugmentation:()=>WE,isExternalModuleImportEqualsDeclaration:()=>h0,isExternalModuleIndicator:()=>EL,isExternalModuleNameRelative:()=>Yc,isExternalModuleReference:()=>Ah,isExternalModuleSymbol:()=>mO,isExternalOrCommonJsModule:()=>zp,isFileLevelReservedGeneratedIdentifier:()=>hL,isFileLevelUniqueName:()=>V4,isFileProbablyExternalModule:()=>uw,isFirstDeclarationOfSymbolParameter:()=>xX,isFixablePromiseHandler:()=>uY,isForInOrOfStatement:()=>nR,isForInStatement:()=>aF,isForInitializer:()=>Af,isForOfStatement:()=>Qk,isForStatement:()=>HS,isFunctionBlock:()=>BE,isFunctionBody:()=>dj,isFunctionDeclaration:()=>Ac,isFunctionExpression:()=>Os,isFunctionExpressionOrArrowFunction:()=>QE,isFunctionLike:()=>ea,isFunctionLikeDeclaration:()=>Bs,isFunctionLikeKind:()=>PA,isFunctionLikeOrClassStaticBlockDeclaration:()=>tR,isFunctionOrConstructorTypeNode:()=>Gre,isFunctionOrModuleBlock:()=>aj,isFunctionSymbol:()=>jie,isFunctionTypeNode:()=>Eh,isFutureReservedKeyword:()=>QSe,isGeneratedIdentifier:()=>sl,isGeneratedPrivateIdentifier:()=>gS,isGetAccessor:()=>Fy,isGetAccessorDeclaration:()=>rf,isGetOrSetAccessorDeclaration:()=>T4,isGlobalDeclaration:()=>BDe,isGlobalScopeAugmentation:()=>R_,isGrammarError:()=>die,isHeritageClause:()=>of,isHoistedFunction:()=>Y4,isHoistedVariableStatement:()=>$4,isIdentifier:()=>Ve,isIdentifierANonContextualKeyword:()=>nU,isIdentifierName:()=>Xie,isIdentifierOrThisTypeNode:()=>fse,isIdentifierPart:()=>s0,isIdentifierStart:()=>$h,isIdentifierText:()=>tf,isIdentifierTypePredicate:()=>Mie,isIdentifierTypeReference:()=>Zoe,isIfStatement:()=>US,isIgnoredFileFromWildCardWatching:()=>Vw,isImplicitGlob:()=>WU,isImportAttribute:()=>qae,isImportAttributeName:()=>zre,isImportAttributes:()=>mI,isImportCall:()=>Bp,isImportClause:()=>Sh,isImportDeclaration:()=>kc,isImportEqualsDeclaration:()=>tu,isImportKeyword:()=>gP,isImportMeta:()=>dR,isImportOrExportSpecifier:()=>CA,isImportOrExportSpecifierName:()=>Zue,isImportSpecifier:()=>np,isImportTypeAssertionContainer:()=>oAe,isImportTypeNode:()=>ug,isImportableFile:()=>$X,isInComment:()=>Qv,isInCompoundLikeAssignment:()=>Yj,isInExpressionContext:()=>s3,isInJSDoc:()=>GL,isInJSFile:()=>lr,isInJSXText:()=>kue,isInJsonFile:()=>c3,isInNonReferenceComment:()=>Gue,isInReferenceComment:()=>Bue,isInRightSideOfInternalImportEqualsDeclaration:()=>$7,isInString:()=>CI,isInTemplateString:()=>aX,isInTopLevelContext:()=>r3,isInTypeQuery:()=>kS,isIncrementalCompilation:()=>uP,isIndexSignatureDeclaration:()=>t1,isIndexedAccessTypeNode:()=>jS,isInferTypeNode:()=>BS,isInfinityOrNaNString:()=>iP,isInitializedProperty:()=>ww,isInitializedVariable:()=>vk,isInsideJsxElement:()=>l5,isInsideJsxElementOrAttribute:()=>Lue,isInsideNodeModules:()=>IO,isInsideTemplateLiteral:()=>pO,isInstanceOfExpression:()=>L3,isInstantiatedModule:()=>Kq,isInterfaceDeclaration:()=>hd,isInternalDeclaration:()=>_j,isInternalModuleImportEqualsDeclaration:()=>hR,isInternalName:()=>KH,isIntersectionTypeNode:()=>uI,isIntrinsicJsxName:()=>DR,isIterationStatement:()=>Wy,isJSDoc:()=>n_,isJSDocAllType:()=>$ae,isJSDocAugmentsTag:()=>vI,isJSDocAuthorTag:()=>uAe,isJSDocCallbackTag:()=>FH,isJSDocClassTag:()=>Zae,isJSDocCommentContainingNode:()=>k4,isJSDocConstructSignature:()=>ER,isJSDocDeprecatedTag:()=>jH,isJSDocEnumTag:()=>ew,isJSDocFunctionType:()=>QR,isJSDocImplementsTag:()=>dF,isJSDocIndexSignature:()=>u3,isJSDocLikeText:()=>rq,isJSDocLink:()=>Xae,isJSDocLinkCode:()=>Yae,isJSDocLinkLike:()=>kA,isJSDocLinkPlain:()=>lAe,isJSDocMemberName:()=>R0,isJSDocNameReference:()=>IP,isJSDocNamepathType:()=>cAe,isJSDocNamespaceBody:()=>LSe,isJSDocNode:()=>rR,isJSDocNonNullableType:()=>sF,isJSDocNullableType:()=>$R,isJSDocOptionalParameter:()=>Rk,isJSDocOptionalType:()=>WH,isJSDocOverloadTag:()=>ZR,isJSDocOverrideTag:()=>cF,isJSDocParameterTag:()=>r_,isJSDocPrivateTag:()=>BH,isJSDocPropertyLikeTag:()=>fC,isJSDocPropertyTag:()=>ese,isJSDocProtectedTag:()=>GH,isJSDocPublicTag:()=>zH,isJSDocReadonlyTag:()=>VH,isJSDocReturnTag:()=>uF,isJSDocSatisfiesExpression:()=>qU,isJSDocSatisfiesTag:()=>pF,isJSDocSeeTag:()=>dAe,isJSDocSignature:()=>D0,isJSDocTag:()=>iR,isJSDocTemplateTag:()=>sm,isJSDocThisTag:()=>UH,isJSDocThrowsTag:()=>fAe,isJSDocTypeAlias:()=>Zf,isJSDocTypeAssertion:()=>tD,isJSDocTypeExpression:()=>d1,isJSDocTypeLiteral:()=>XS,isJSDocTypeTag:()=>xP,isJSDocTypedefTag:()=>YS,isJSDocUnknownTag:()=>pAe,isJSDocUnknownType:()=>Qae,isJSDocVariadicType:()=>lF,isJSXTagName:()=>_R,isJsonEqual:()=>nW,isJsonSourceFile:()=>Qf,isJsxAttribute:()=>F_,isJsxAttributeLike:()=>M4,isJsxAttributeName:()=>sae,isJsxAttributes:()=>l1,isJsxChild:()=>AL,isJsxClosingElement:()=>a1,isJsxClosingFragment:()=>Jae,isJsxElement:()=>dg,isJsxExpression:()=>TP,isJsxFragment:()=>s1,isJsxNamespacedName:()=>t_,isJsxOpeningElement:()=>W_,isJsxOpeningFragment:()=>hI,isJsxOpeningLikeElement:()=>ud,isJsxOpeningLikeElementTagName:()=>Aue,isJsxSelfClosingElement:()=>JS,isJsxSpreadAttribute:()=>gI,isJsxTagNameExpression:()=>vC,isJsxText:()=>nI,isJumpStatementTarget:()=>aO,isKeyword:()=>Bd,isKeywordOrPunctuation:()=>v3,isKnownSymbol:()=>lk,isLabelName:()=>$K,isLabelOfLabeledStatement:()=>YK,isLabeledStatement:()=>o1,isLateVisibilityPaintedStatement:()=>q4,isLeftHandSideExpression:()=>ep,isLeftHandSideOfAssignment:()=>uTe,isLet:()=>X4,isLineBreak:()=>Hu,isLiteralComputedPropertyDeclarationName:()=>ik,isLiteralExpression:()=>kE,isLiteralExpressionOfObject:()=>rj,isLiteralImportTypeNode:()=>Vy,isLiteralKind:()=>mC,isLiteralLikeAccess:()=>f3,isLiteralLikeElementAccess:()=>qL,isLiteralNameOfPropertyDeclarationOrIndexAccess:()=>e5,isLiteralTypeLikeExpression:()=>yAe,isLiteralTypeLiteral:()=>qre,isLiteralTypeNode:()=>Zy,isLocalName:()=>qg,isLogicalOperator:()=>goe,isLogicalOrCoalescingAssignmentExpression:()=>yU,isLogicalOrCoalescingAssignmentOperator:()=>BC,isLogicalOrCoalescingBinaryExpression:()=>mk,isLogicalOrCoalescingBinaryOperator:()=>fk,isMappedTypeNode:()=>JR,isMemberName:()=>Qh,isMetaProperty:()=>vP,isMethodDeclaration:()=>ql,isMethodOrAccessor:()=>MA,isMethodSignature:()=>bh,isMinusToken:()=>DH,isMissingDeclaration:()=>sAe,isMissingPackageJsonInfo:()=>Kse,isModifier:()=>xa,isModifierKind:()=>Wv,isModifierLike:()=>ll,isModuleAugmentationExternal:()=>Ij,isModuleBlock:()=>O_,isModuleBody:()=>Xre,isModuleDeclaration:()=>Xl,isModuleExportsAccessExpression:()=>rg,isModuleIdentifier:()=>Uj,isModuleName:()=>hse,isModuleOrEnumDeclaration:()=>SL,isModuleReference:()=>eie,isModuleSpecifierLike:()=>g5,isModuleWithStringLiteralName:()=>H4,isNameOfFunctionDeclaration:()=>tX,isNameOfModuleDeclaration:()=>eX,isNamedClassElement:()=>DSe,isNamedDeclaration:()=>ld,isNamedEvaluation:()=>hp,isNamedEvaluationSource:()=>rU,isNamedExportBindings:()=>ej,isNamedExports:()=>Pf,isNamedImportBindings:()=>pj,isNamedImports:()=>Hg,isNamedImportsOrExports:()=>B3,isNamedTupleMember:()=>qR,isNamespaceBody:()=>MSe,isNamespaceExport:()=>Th,isNamespaceExportDeclaration:()=>Zk,isNamespaceImport:()=>nb,isNamespaceReexportDeclaration:()=>zie,isNewExpression:()=>r1,isNewExpressionTarget:()=>r2,isNoSubstitutionTemplateLiteral:()=>rI,isNode:()=>xSe,isNodeArray:()=>LE,isNodeArrayMultiLine:()=>xoe,isNodeDescendantOf:()=>jE,isNodeKind:()=>mL,isNodeLikeSystem:()=>AG,isNodeModulesDirectory:()=>d4,isNodeWithPossibleHoistedDeclaration:()=>qie,isNonContextualKeyword:()=>tU,isNonExportDefaultModifier:()=>EAe,isNonGlobalAmbientModule:()=>Aj,isNonGlobalDeclaration:()=>mde,isNonNullAccess:()=>aae,isNonNullChain:()=>x4,isNonNullExpression:()=>fI,isNonStaticMethodOrAccessorWithPrivateName:()=>Dle,isNotEmittedOrPartiallyEmittedNode:()=>PSe,isNotEmittedStatement:()=>wH,isNullishCoalesce:()=>ZV,isNumber:()=>Cv,isNumericLiteral:()=>vp,isNumericLiteralName:()=>cg,isObjectBindingElementWithoutPropertyName:()=>gO,isObjectBindingOrAssignmentElement:()=>yL,isObjectBindingOrAssignmentPattern:()=>sj,isObjectBindingPattern:()=>am,isObjectLiteralElement:()=>fj,isObjectLiteralElementLike:()=>Wg,isObjectLiteralExpression:()=>Oa,isObjectLiteralMethod:()=>xm,isObjectLiteralOrClassExpressionMethodOrAccessor:()=>Z4,isObjectTypeDeclaration:()=>qA,isOctalDigit:()=>_4,isOmittedExpression:()=>jc,isOptionalChain:()=>qu,isOptionalChainRoot:()=>uC,isOptionalDeclaration:()=>aP,isOptionalJSDocPropertyLikeTag:()=>xk,isOptionalTypeNode:()=>tF,isOuterExpression:()=>hF,isOutermostOptionalChain:()=>dC,isOverrideModifier:()=>Wae,isPackageJsonInfo:()=>FF,isPackedArrayLiteral:()=>UU,isParameter:()=>Ao,isParameterDeclaration:()=>HE,isParameterPropertyDeclaration:()=>mp,isParameterPropertyModifier:()=>_C,isParenthesizedExpression:()=>Gd,isParenthesizedTypeNode:()=>GS,isParseTreeNode:()=>cC,isPartOfTypeNode:()=>tg,isPartOfTypeQuery:()=>l3,isPartiallyEmittedExpression:()=>oF,isPatternMatch:()=>z6,isPinnedComment:()=>j4,isPlainJsFile:()=>RL,isPlusToken:()=>RH,isPossiblyTypeArgumentPosition:()=>dO,isPostfixUnaryExpression:()=>PH,isPrefixUnaryExpression:()=>tb,isPrivateIdentifier:()=>Ji,isPrivateIdentifierClassElementDeclaration:()=>cd,isPrivateIdentifierPropertyAccessExpression:()=>eR,isPrivateIdentifierSymbol:()=>$ie,isProgramBundleEmitBuildInfo:()=>Pce,isProgramUptoDate:()=>UJ,isPrologueDirective:()=>Im,isPropertyAccessChain:()=>A4,isPropertyAccessEntityNameExpression:()=>_k,isPropertyAccessExpression:()=>Fr,isPropertyAccessOrQualifiedName:()=>Ure,isPropertyAccessOrQualifiedNameOrImportTypeNode:()=>jre,isPropertyAssignment:()=>hc,isPropertyDeclaration:()=>Jo,isPropertyName:()=>oc,isPropertyNameLiteral:()=>N_,isPropertySignature:()=>yp,isProtoSetter:()=>Qie,isPrototypeAccess:()=>Hy,isPrototypePropertyAssignment:()=>XL,isPunctuation:()=>eU,isPushOrUnshiftIdentifier:()=>iU,isQualifiedName:()=>xd,isQuestionDotToken:()=>ZW,isQuestionOrExclamationToken:()=>pse,isQuestionOrPlusOrMinusToken:()=>_se,isQuestionToken:()=>$y,isRawSourceMap:()=>Ale,isReadonlyKeyword:()=>wae,isReadonlyKeywordOrPlusOrMinusToken:()=>mse,isRecognizedTripleSlashComment:()=>bj,isReferenceFileLocation:()=>gD,isReferencedFile:()=>w0,isRegularExpressionLiteral:()=>IH,isRequireCall:()=>Ad,isRequireVariableStatement:()=>Vj,isRestParameter:()=>Zh,isRestTypeNode:()=>nF,isReturnStatement:()=>Dm,isReturnStatementWithFixablePromiseHandler:()=>j5,isRightSideOfAccessExpression:()=>SU,isRightSideOfInstanceofExpression:()=>yoe,isRightSideOfPropertyAccess:()=>AD,isRightSideOfQualifiedName:()=>xue,isRightSideOfQualifiedNameOrPropertyAccess:()=>VC,isRightSideOfQualifiedNameOrPropertyAccessOrJSDocMemberName:()=>voe,isRootedDiskPath:()=>fp,isSameEntityName:()=>gR,isSatisfiesExpression:()=>MH,isScopeMarker:()=>Jre,isSemicolonClassElement:()=>jae,isSetAccessor:()=>Fv,isSetAccessorDeclaration:()=>bp,isShebangTrivia:()=>GV,isShiftOperatorOrHigher:()=>eq,isShorthandAmbientModuleSymbol:()=>EC,isShorthandPropertyAssignment:()=>rp,isSignedNumericLiteral:()=>b3,isSimpleCopiableExpression:()=>_1,isSimpleInlineableExpression:()=>Rh,isSimpleParameter:()=>Lle,isSimpleParameterList:()=>Ow,isSingleOrDoubleQuote:()=>VL,isSourceFile:()=>$i,isSourceFileFromLibrary:()=>MD,isSourceFileJS:()=>dd,isSourceFileNotJS:()=>USe,isSourceFileNotJson:()=>Gj,isSourceMapping:()=>Ile,isSpecialPropertyDeclaration:()=>Vie,isSpreadAssignment:()=>Xv,isSpreadElement:()=>e_,isStatement:()=>qi,isStatementButNotDeclaration:()=>TL,isStatementOrBlock:()=>Zre,isStatementWithLocals:()=>uie,isStatic:()=>sa,isStaticModifier:()=>aI,isString:()=>Po,isStringAKeyword:()=>ZSe,isStringANonContextualKeyword:()=>GA,isStringAndEmptyAnonymousObjectIntersection:()=>zue,isStringDoubleQuoted:()=>p3,isStringLiteral:()=>Ma,isStringLiteralLike:()=>ls,isStringLiteralOrJsxExpression:()=>tie,isStringLiteralOrTemplate:()=>ode,isStringOrNumericLiteralLike:()=>nf,isStringOrRegularExpressionOrTemplateLiteral:()=>cX,isStringTextContainingNode:()=>ij,isSuperCall:()=>IS,isSuperKeyword:()=>hP,isSuperOrSuperProperty:()=>jSe,isSuperProperty:()=>zd,isSupportedSourceFileName:()=>Xoe,isSwitchStatement:()=>EP,isSyntaxList:()=>eD,isSyntheticExpression:()=>ZTe,isSyntheticReference:()=>_I,isTagName:()=>QK,isTaggedTemplateExpression:()=>i1,isTaggedTemplateTag:()=>Sue,isTemplateExpression:()=>rF,isTemplateHead:()=>iI,isTemplateLiteral:()=>LA,isTemplateLiteralKind:()=>wy,isTemplateLiteralToken:()=>Wre,isTemplateLiteralTypeNode:()=>Bae,isTemplateLiteralTypeSpan:()=>CH,isTemplateMiddle:()=>xH,isTemplateMiddleOrTemplateTail:()=>R4,isTemplateSpan:()=>bP,isTemplateTail:()=>$W,isTextWhiteSpaceLike:()=>Hue,isThis:()=>ID,isThisContainerOrFunctionBlock:()=>Oie,isThisIdentifier:()=>KE,isThisInTypeQuery:()=>VA,isThisInitializedDeclaration:()=>i3,isThisInitializedObjectBindingExpression:()=>Fie,isThisProperty:()=>FL,isThisTypeNode:()=>Yk,isThisTypeParameter:()=>oP,isThisTypePredicate:()=>VSe,isThrowStatement:()=>kH,isToken:()=>NA,isTokenKind:()=>nj,isTraceEnabled:()=>Jg,isTransientSymbol:()=>mh,isTrivia:()=>IR,isTryStatement:()=>qS,isTupleTypeNode:()=>cI,isTypeAlias:()=>QL,isTypeAliasDeclaration:()=>Nm,isTypeAssertionExpression:()=>Gae,isTypeDeclaration:()=>BR,isTypeElement:()=>yS,isTypeKeyword:()=>a2,isTypeKeywordToken:()=>_X,isTypeKeywordTokenOrIdentifier:()=>f5,isTypeLiteralNode:()=>Ep,isTypeNode:()=>ji,isTypeNodeKind:()=>CU,isTypeOfExpression:()=>KR,isTypeOnlyExportDeclaration:()=>Fre,isTypeOnlyImportDeclaration:()=>_L,isTypeOnlyImportOrExportDeclaration:()=>m0,isTypeOperatorNode:()=>VS,isTypeParameterDeclaration:()=>gl,isTypePredicateNode:()=>Kk,isTypeQueryNode:()=>lI,isTypeReferenceNode:()=>Cf,isTypeReferenceType:()=>O4,isTypeUsableAsPropertyName:()=>rm,isUMDExportSymbol:()=>z3,isUnaryExpression:()=>uj,isUnaryExpressionWithWrite:()=>Hre,isUnicodeIdentifierStart:()=>f4,isUnionTypeNode:()=>Qy,isUnparsedNode:()=>tj,isUnparsedPrepend:()=>Kae,isUnparsedSource:()=>KS,isUnparsedTextLike:()=>Ore,isUrl:()=>rre,isValidBigIntString:()=>rW,isValidESSymbolDeclaration:()=>Cie,isValidTypeOnlyAliasUseSite:()=>T0,isValueSignatureDeclaration:()=>Jie,isVarAwaitUsing:()=>LL,isVarConst:()=>uR,isVarUsing:()=>kL,isVariableDeclaration:()=>wi,isVariableDeclarationInVariableStatement:()=>TC,isVariableDeclarationInitializedToBareOrAccessedRequire:()=>GE,isVariableDeclarationInitializedToRequire:()=>d3,isVariableDeclarationList:()=>Uc,isVariableLike:()=>pR,isVariableLikeOrAccessor:()=>Die,isVariableStatement:()=>Ll,isVoidExpression:()=>pI,isWatchSet:()=>fTe,isWhileStatement:()=>tAe,isWhiteSpaceLike:()=>wg,isWhiteSpaceSingleLine:()=>A_,isWithStatement:()=>Uae,isWriteAccess:()=>HA,isWriteOnlyAccess:()=>F3,isYieldExpression:()=>iF,jsxModeNeedsExplicitImport:()=>UX,keywordPart:()=>Tp,last:()=>Ya,lastOrUndefined:()=>tl,length:()=>Me,libMap:()=>DF,libs:()=>yw,lineBreakPart:()=>CD,linkNamePart:()=>$ue,linkPart:()=>DX,linkTextPart:()=>b5,listFiles:()=>cK,loadModuleFromGlobalCache:()=>ile,loadWithModeAwareCache:()=>qw,makeIdentifierFromModuleName:()=>_ie,makeImport:()=>ey,makeImportIfNecessary:()=>Uue,makeStringLiteral:()=>MI,mangleScopedPackageName:()=>pD,map:()=>wt,mapAllOrFail:()=>RE,mapDefined:()=>Hi,mapDefinedEntries:()=>C6,mapDefinedIterator:()=>Pg,mapEntries:()=>Ane,mapIterator:()=>Ia,mapOneOrMany:()=>GX,mapToDisplayParts:()=>lb,matchFiles:()=>FU,matchPatternOrExact:()=>zU,matchedText:()=>Fne,matchesExclude:()=>RF,maybeBind:()=>oa,maybeSetLocalizedDiagnosticMessages:()=>Woe,memoize:()=>Td,memoizeCached:()=>Pne,memoizeOne:()=>uh,memoizeWeak:()=>b1e,metadataHelper:()=>AW,min:()=>yG,minAndMax:()=>$oe,missingFileModifiedTime:()=>Op,modifierToFlag:()=>UA,modifiersToFlags:()=>M_,moduleOptionDeclaration:()=>bq,moduleResolutionIsEqualTo:()=>lie,moduleResolutionNameAndModeGetter:()=>R7,moduleResolutionOptionDeclarations:()=>NF,moduleResolutionSupportsPackageJsonExportsAndImports:()=>KA,moduleResolutionUsesNodeModules:()=>m5,moduleSpecifiers:()=>m1,moveEmitHelpers:()=>Aae,moveRangeEnd:()=>O3,moveRangePastDecorators:()=>Gg,moveRangePastModifiers:()=>L_,moveRangePos:()=>E0,moveSyntheticComments:()=>Eae,mutateMap:()=>JC,mutateMapSkippingNewValues:()=>sg,needsParentheses:()=>S5,needsScopeMarker:()=>C4,newCaseClauseTracker:()=>O5,newPrivateEnvironment:()=>Ple,noEmitNotification:()=>Ww,noEmitSubstitution:()=>qP,noTransformers:()=>bJ,noTruncationMaximumTruncationLength:()=>dW,nodeCanBeDecorated:()=>a3,nodeHasName:()=>uL,nodeIsDecorated:()=>mR,nodeIsMissing:()=>zl,nodeIsPresent:()=>Yf,nodeIsSynthesized:()=>$s,nodeModuleNameResolver:()=>Qse,nodeModulesPathPart:()=>xh,nodeNextJsonConfigResolver:()=>Zse,nodeOrChildIsDecorated:()=>BL,nodeOverlapsWithStartEnd:()=>t5,nodePosToString:()=>wSe,nodeSeenTracker:()=>PI,nodeStartsNewLexicalEnvironment:()=>oU,nodeToDisplayParts:()=>kDe,noop:()=>$a,noopFileWatcher:()=>SD,normalizePath:()=>ga,normalizeSlashes:()=>Lu,not:()=>G6,notImplemented:()=>Ko,notImplementedResolver:()=>h7,nullNodeConverters:()=>pH,nullParenthesizerRules:()=>dH,nullTransformationContext:()=>JP,objectAllocator:()=>lu,operatorPart:()=>c2,optionDeclarations:()=>pg,optionMapToObject:()=>AF,optionsAffectingProgramStructure:()=>Iq,optionsForBuild:()=>Rq,optionsForWatch:()=>sD,optionsHaveChanges:()=>oR,optionsHaveModuleResolutionChanges:()=>oie,or:()=>Ym,orderedRemoveItem:()=>Gx,orderedRemoveItemAt:()=>Dy,outFile:()=>Ps,packageIdToPackageName:()=>B4,packageIdToString:()=>By,paramHelper:()=>IW,parameterIsThisKeyword:()=>JE,parameterNamePart:()=>Jue,parseBaseNodeFactory:()=>lq,parseBigInt:()=>Qoe,parseBuildCommand:()=>ZAe,parseCommandLine:()=>$Ae,parseCommandLineWorker:()=>dq,parseConfigFileTextToJson:()=>fq,parseConfigFileWithSystem:()=>kRe,parseConfigHostFromCompilerHostLike:()=>x7,parseCustomTypeOption:()=>TF,parseIsolatedEntityName:()=>bI,parseIsolatedJSDocComment:()=>Tse,parseJSDocTypeExpressionForTests:()=>FAe,parseJsonConfigFileContent:()=>fIe,parseJsonSourceFileConfigFileContent:()=>hw,parseJsonText:()=>pw,parseListTypeOption:()=>Ise,parseNodeFactory:()=>Ih,parseNodeModuleFromPath:()=>xw,parsePackageName:()=>Nw,parsePseudoBigInt:()=>eP,parseValidBigInt:()=>jU,patchWriteFileEnsuringDirectory:()=>tre,pathContainsNodeModules:()=>L0,pathIsAbsolute:()=>nC,pathIsBareSpecifier:()=>WV,pathIsRelative:()=>Wp,patternText:()=>Wne,perfLogger:()=>ad,performIncrementalCompilation:()=>FRe,performance:()=>Xne,plainJSErrors:()=>D7,positionBelongsToNode:()=>rX,positionIsASICandidate:()=>x5,positionIsSynthesized:()=>Zm,positionsAreOnSameLine:()=>Rf,preProcessFile:()=>sNe,probablyUsesSemicolons:()=>TO,processCommentPragmas:()=>aq,processPragmasIntoFields:()=>sq,processTaggedTemplateExpression:()=>fJ,programContainsEsModules:()=>jue,programContainsModules:()=>Vue,projectReferenceIsEqualTo:()=>gj,propKeyHelper:()=>FW,propertyNamePart:()=>Kue,pseudoBigIntToString:()=>$E,punctuationPart:()=>$u,pushIfUnique:()=>Tf,quote:()=>p2,quotePreferenceFromString:()=>yX,rangeContainsPosition:()=>sO,rangeContainsPositionExclusive:()=>lO,rangeContainsRange:()=>cf,rangeContainsRangeExclusive:()=>Due,rangeContainsStartEnd:()=>cO,rangeEndIsOnSameLineAsRangeStart:()=>gk,rangeEndPositionsAreOnSameLine:()=>Aoe,rangeEquals:()=>pG,rangeIsOnSingleLine:()=>OS,rangeOfNode:()=>GU,rangeOfTypeParameters:()=>VU,rangeOverlapsWithStartEnd:()=>i2,rangeStartIsOnSameLineAsRangeEnd:()=>Ioe,rangeStartPositionsAreOnSameLine:()=>W3,readBuilderProgram:()=>B7,readConfigFile:()=>mw,readHelper:()=>OW,readJson:()=>jC,readJsonConfigFile:()=>Dse,readJsonOrUndefined:()=>AU,reduceEachLeadingCommentRange:()=>cre,reduceEachTrailingCommentRange:()=>ure,reduceLeft:()=>od,reduceLeftIterator:()=>Pr,reducePathComponents:()=>_S,refactor:()=>wI,regExpEscape:()=>bTe,relativeComplement:()=>Ine,removeAllComments:()=>Fk,removeEmitHelper:()=>YTe,removeExtension:()=>Tk,removeFileExtension:()=>Id,removeIgnoredPath:()=>P7,removeMinAndVersionNumbers:()=>bG,removeOptionality:()=>wue,removePrefix:()=>QN,removeSuffix:()=>Bx,removeTrailingDirectorySeparator:()=>o0,repeatString:()=>_O,replaceElement:()=>_G,replaceFirstStar:()=>$A,resolutionExtensionIsTSOrJson:()=>$C,resolveConfigFileProjectName:()=>bK,resolveJSModule:()=>Yse,resolveLibrary:()=>BF,resolveModuleName:()=>uD,resolveModuleNameFromCache:()=>YIe,resolvePackageNameToPackageJson:()=>Cq,resolvePath:()=>Py,resolveProjectReferencePath:()=>vD,resolveTripleslashReference:()=>b7,resolveTypeReferenceDirective:()=>qse,resolvingEmptyArray:()=>uW,restHelper:()=>MW,returnFalse:()=>Xm,returnNoopFileWatcher:()=>TD,returnTrue:()=>Pv,returnUndefined:()=>r0,returnsPromise:()=>cY,runInitializersHelper:()=>RW,sameFlatMap:()=>vm,sameMap:()=>eo,sameMapping:()=>Wxe,scanShebangTrivia:()=>VV,scanTokenAtPosition:()=>Iie,scanner:()=>Qu,screenStartingMessageCodes:()=>z7,semanticDiagnosticsOptionDeclarations:()=>Sq,serializeCompilerOptions:()=>IF,server:()=>i8e,servicesVersion:()=>Ope,setCommentRange:()=>ac,setConfigFileInOptions:()=>vq,setConstantValue:()=>Tae,setEachParent:()=>zR,setEmitFlags:()=>pr,setFunctionNameHelper:()=>zW,setGetSourceFileAsHashVersioned:()=>F7,setIdentifierAutoGenerate:()=>Gk,setIdentifierGeneratedImportReference:()=>Rae,setIdentifierTypeArguments:()=>Jv,setInternalEmitFlags:()=>zk,setLocalizedDiagnosticMessages:()=>Ooe,setModuleDefaultHelper:()=>VW,setNodeFlags:()=>tae,setObjectAllocator:()=>woe,setOriginalNode:()=>Cr,setParent:()=>qa,setParentRecursive:()=>Jy,setPrivateIdentifier:()=>eT,setSnippetElement:()=>bH,setSourceMapRange:()=>Pa,setStackTraceLimit:()=>G1e,setStartsOnNewLine:()=>bW,setSyntheticLeadingComments:()=>I0,setSyntheticTrailingComments:()=>ZA,setSys:()=>q1e,setSysLog:()=>Zne,setTextRange:()=>dt,setTextRangeEnd:()=>FR,setTextRangePos:()=>tP,setTextRangePosEnd:()=>vh,setTextRangePosWidth:()=>nP,setTokenSourceMapRange:()=>bae,setTypeNode:()=>Iae,setUILocale:()=>kne,setValueDeclaration:()=>YL,shouldAllowImportingTsExtension:()=>fD,shouldPreserveConstEnums:()=>e1,shouldUseUriStyleNodeCoreModules:()=>k5,showModuleSpecifier:()=>Coe,signatureHasLiteralTypes:()=>Xq,signatureHasRestParameter:()=>Yu,signatureToDisplayParts:()=>NX,single:()=>mG,singleElementArray:()=>AA,singleIterator:()=>P6,singleOrMany:()=>lh,singleOrUndefined:()=>sh,skipAlias:()=>bu,skipAssertions:()=>hAe,skipConstraint:()=>hX,skipOuterExpressions:()=>$l,skipParentheses:()=>hs,skipPartiallyEmittedExpressions:()=>Tm,skipTrivia:()=>ka,skipTypeChecking:()=>ZC,skipTypeParentheses:()=>rk,skipWhile:()=>Bne,sliceAfter:()=>BU,some:()=>bt,sort:()=>uS,sortAndDeduplicate:()=>KN,sortAndDeduplicateDiagnostics:()=>Yx,sourceFileAffectingCompilerOptions:()=>CF,sourceFileMayBeEmitted:()=>MS,sourceMapCommentRegExp:()=>e7,sourceMapCommentRegExpDontCareLineStart:()=>rJ,spacePart:()=>wl,spanMap:()=>lG,spreadArrayHelper:()=>WW,stableSort:()=>Dv,startEndContainsRange:()=>nX,startEndOverlapsWithStartEnd:()=>n5,startOnNewLine:()=>Xu,startTracing:()=>Yne,startsWith:()=>uo,startsWithDirectory:()=>zV,startsWithUnderscore:()=>jX,startsWithUseStrict:()=>ase,stringContainsAt:()=>fde,stringToToken:()=>PE,stripQuotes:()=>tm,supportedDeclarationExtensions:()=>Mk,supportedJSExtensions:()=>lH,supportedJSExtensionsFlat:()=>VR,supportedLocaleDirectories:()=>hj,supportedTSExtensions:()=>GR,supportedTSExtensionsFlat:()=>sH,supportedTSImplementationExtensions:()=>Lk,suppressLeadingAndTrailingTrivia:()=>Ap,suppressLeadingTrivia:()=>LX,suppressTrailingTrivia:()=>nde,symbolEscapedNameNoDefault:()=>h5,symbolName:()=>Sl,symbolNameNoDefault:()=>_5,symbolPart:()=>que,symbolToDisplayParts:()=>u2,syntaxMayBeASICandidate:()=>XX,syntaxRequiresTrailingSemicolonOrASI:()=>I5,sys:()=>gu,sysLog:()=>JM,tagNamesAreEquivalent:()=>C0,takeWhile:()=>j6,targetOptionDeclaration:()=>Ew,templateObjectHelper:()=>wW,testFormatSettings:()=>Eue,textChangeRangeIsUnchanged:()=>gre,textChangeRangeNewSpan:()=>lC,textChanges:()=>_r,textOrKeywordPart:()=>RX,textPart:()=>df,textRangeContainsPositionInclusive:()=>sL,textSpanContainsPosition:()=>HV,textSpanContainsTextSpan:()=>fre,textSpanEnd:()=>Kl,textSpanIntersection:()=>hre,textSpanIntersectsWith:()=>v4,textSpanIntersectsWithPosition:()=>_re,textSpanIntersectsWithTextSpan:()=>fSe,textSpanIsEmpty:()=>pre,textSpanOverlap:()=>mre,textSpanOverlapsWith:()=>pSe,textSpansEqual:()=>ND,textToKeywordObj:()=>oL,timestamp:()=>Ys,toArray:()=>SA,toBuilderFileEmit:()=>kce,toBuilderStateFileInfoForMultiEmit:()=>Lce,toEditorSettings:()=>VO,toFileNameLowerCase:()=>ch,toLowerCase:()=>Cne,toPath:()=>ol,toProgramEmitPending:()=>wce,tokenIsIdentifierOrKeyword:()=>sd,tokenIsIdentifierOrKeywordOrGreaterThan:()=>sre,tokenToString:()=>fa,trace:()=>bo,tracing:()=>sr,tracingEnabled:()=>jM,transform:()=>oPe,transformClassFields:()=>Vle,transformDeclarations:()=>vJ,transformECMAScriptModule:()=>gJ,transformES2015:()=>rce,transformES2016:()=>nce,transformES2017:()=>qle,transformES2018:()=>Jle,transformES2019:()=>Kle,transformES2020:()=>Xle,transformES2021:()=>Yle,transformES5:()=>ice,transformESDecorators:()=>Hle,transformESNext:()=>$le,transformGenerators:()=>oce,transformJsx:()=>tce,transformLegacyDecorators:()=>Ule,transformModule:()=>hJ,transformNamedEvaluation:()=>Sp,transformNodeModule:()=>sce,transformNodes:()=>Fw,transformSystemModule:()=>ace,transformTypeScript:()=>Gle,transpile:()=>_Ne,transpileModule:()=>Mde,transpileOptionValueCompilerOptions:()=>xq,tryAddToSet:()=>Rv,tryAndIgnoreErrors:()=>N5,tryCast:()=>ii,tryDirectoryExists:()=>D5,tryExtractTSExtension:()=>k3,tryFileExists:()=>AO,tryGetClassExtendingExpressionWithTypeArguments:()=>bU,tryGetClassImplementingOrExtendingExpressionWithTypeArguments:()=>EU,tryGetDirectories:()=>R5,tryGetExtensionFromPath:()=>jg,tryGetImportFromModuleSpecifier:()=>$L,tryGetJSDocSatisfiesTypeNode:()=>sW,tryGetModuleNameFromFile:()=>ow,tryGetModuleSpecifierFromDeclaration:()=>vR,tryGetNativePerformanceHooks:()=>qne,tryGetPropertyAccessOrIdentifierToString:()=>hk,tryGetPropertyNameOfBindingOrAssignmentElement:()=>vF,tryGetSourceMappingURL:()=>Tle,tryGetTextOfPropertyName:()=>SC,tryIOAndConsumeErrors:()=>C5,tryParseJson:()=>w3,tryParsePattern:()=>WR,tryParsePatterns:()=>eW,tryParseRawSourceMap:()=>tJ,tryReadDirectory:()=>OX,tryReadFile:()=>PP,tryRemoveDirectoryPrefix:()=>OU,tryRemoveExtension:()=>Yoe,tryRemovePrefix:()=>TG,tryRemoveSuffix:()=>One,typeAcquisitionDeclarations:()=>Sw,typeAliasNamePart:()=>Xue,typeDirectiveIsEqualTo:()=>cie,typeKeywords:()=>W5,typeParameterNamePart:()=>Yue,typeToDisplayParts:()=>yO,unchangedPollThresholds:()=>KM,unchangedTextChangeRange:()=>IL,unescapeLeadingUnderscores:()=>Vi,unmangleScopedPackageName:()=>Pw,unorderedRemoveItem:()=>TA,unorderedRemoveItemAt:()=>EG,unreachableCodeIsError:()=>zoe,unusedLabelIsError:()=>Boe,unwrapInnermostStatementOfLabel:()=>Wj,updateErrorForNoInputFiles:()=>xF,updateLanguageServiceSourceFile:()=>qY,updateMissingFilePathsWatch:()=>DJ,updateResolutionField:()=>lD,updateSharedExtendedConfigFileWatcher:()=>v7,updateSourceFile:()=>iq,updateWatchingWildcardDirectories:()=>Gw,usesExtensionsOnImports:()=>Joe,usingSingleLineStringWriter:()=>yC,utf16EncodeAsString:()=>Xx,validateLocaleAndSetLanguage:()=>_Se,valuesHelper:()=>BW,version:()=>Re,versionMajorMinor:()=>ue,visitArray:()=>kw,visitCommaListElements:()=>ZF,visitEachChild:()=>In,visitFunctionBody:()=>lf,visitIterationBody:()=>Rd,visitLexicalEnvironment:()=>Zq,visitNode:()=>nt,visitNodes:()=>Vn,visitParameterList:()=>Dl,walkUpBindingElementsAndPatterns:()=>$x,walkUpLexicalEnvironments:()=>Cle,walkUpOuterExpressions:()=>sse,walkUpParenthesizedExpressions:()=>Bv,walkUpParenthesizedTypes:()=>nk,walkUpParenthesizedTypesAndGetParentAndChild:()=>Kie,whitespaceOrMapCommentRegExp:()=>t7,writeCommentRange:()=>PR,writeFile:()=>OC,writeFileEnsuringDirectories:()=>_U,zipWith:()=>yi});var db=T({"src/server/_namespaces/ts.ts"(){ra(),tO(),si(),cZ(),_T()}}),a8e={};X(a8e,{ActionInvalidate:()=>Zw,ActionPackageInstalled:()=>eO,ActionSet:()=>Qw,ActionWatchTypingLocations:()=>n2,Arguments:()=>RK,AutoImportProviderProject:()=>TZ,AuxiliaryProject:()=>EZ,CharRangeSection:()=>OZ,CloseFileWatcherEvent:()=>o9,CommandNames:()=>cge,ConfigFileDiagEvent:()=>e9,ConfiguredProject:()=>AZ,CreateDirectoryWatcherEvent:()=>i9,CreateFileWatcherEvent:()=>r9,Errors:()=>oy,EventBeginInstallTypes:()=>q7,EventEndInstallTypes:()=>J7,EventInitializationFailed:()=>xK,EventTypesRegistry:()=>H7,ExternalProject:()=>Jz,GcTimer:()=>mZ,InferredProject:()=>bZ,LargeFileReferencedEvent:()=>Zz,LineIndex:()=>C2,LineLeaf:()=>E8,LineNode:()=>qI,LogLevel:()=>uZ,Msg:()=>dZ,OpenFileInfoTelemetryEvent:()=>DZ,Project:()=>mT,ProjectInfoTelemetryEvent:()=>n9,ProjectKind:()=>D2,ProjectLanguageServiceStateEvent:()=>t9,ProjectLoadingFinishEvent:()=>Qz,ProjectLoadingStartEvent:()=>$z,ProjectReferenceProjectLoadKind:()=>PZ,ProjectService:()=>MZ,ProjectsUpdatedInBackgroundEvent:()=>v8,ScriptInfo:()=>gZ,ScriptVersionCache:()=>c9,Session:()=>dge,TextStorage:()=>hZ,ThrottledOperations:()=>fZ,TypingsCache:()=>vZ,TypingsInstallerAdapter:()=>mge,allFilesAreJsOrDts:()=>Ghe,allRootFilesAreJsOrDts:()=>Bhe,asNormalizedPath:()=>LOe,convertCompilerOptions:()=>Kz,convertFormatOptions:()=>YD,convertScriptKindName:()=>xZ,convertTypeAcquisition:()=>Uhe,convertUserPreferences:()=>Hhe,convertWatchOptions:()=>g8,countEachFileTypes:()=>_8,createInstallTypingsRequest:()=>yhe,createModuleSpecifierCache:()=>tge,createNormalizedPathMap:()=>kOe,createPackageJsonCache:()=>nge,createSortedArray:()=>Ahe,emptyArray:()=>gc,findArgument:()=>EDe,forEachResolvedProjectReferenceProject:()=>$D,formatDiagnosticToProtocol:()=>b8,formatMessage:()=>rge,getBaseConfigFileName:()=>pZ,getLocationInNewDocument:()=>sge,hasArgument:()=>bDe,hasNoTypeScriptSource:()=>Vhe,indent:()=>t2,isBackgroundProject:()=>h8,isConfigFile:()=>$he,isConfiguredProject:()=>V0,isDynamicFileName:()=>m8,isExternalProject:()=>yZ,isInferredProject:()=>XD,isInferredProjectName:()=>bhe,makeAutoImportProviderProjectName:()=>She,makeAuxiliaryProjectName:()=>The,makeInferredProjectName:()=>Ehe,maxFileSize:()=>Yz,maxProgramSizeForNonTsFiles:()=>Xz,normalizedPathToPath:()=>f8,nowString:()=>SDe,nullCancellationToken:()=>lge,nullTypingsInstaller:()=>qz,projectContainsInfoDirectly:()=>UI,protocol:()=>zhe,removeSorted:()=>wOe,stringifyIndented:()=>O0,toEvent:()=>ige,toNormalizedPath:()=>ml,tryConvertScriptKindName:()=>IZ,typingsInstaller:()=>ghe,updateProjectIfDirty:()=>jp});var Rlt=T({"src/typescript/_namespaces/ts.server.ts"(){K7(),_T()}}),s8e={};X(s8e,{ANONYMOUS:()=>F5,AccessFlags:()=>tV,AssertionLevel:()=>xG,AssignmentDeclarationKind:()=>uV,AssignmentKind:()=>QU,Associativity:()=>eH,BreakpointResolver:()=>ZY,BuilderFileEmit:()=>rK,BuilderProgramKind:()=>iK,BuilderState:()=>Mm,BundleFileSectionKind:()=>DV,CallHierarchy:()=>OI,CharacterCodes:()=>EV,CheckFlags:()=>YG,CheckMode:()=>YF,ClassificationType:()=>qK,ClassificationTypeNames:()=>HK,CommentDirectiveType:()=>wG,Comparison:()=>Be,CompletionInfoFlags:()=>FK,CompletionTriggerKind:()=>LK,Completions:()=>GI,ContainerFlags:()=>qq,ContextFlags:()=>GG,Debug:()=>N,DiagnosticCategory:()=>HM,Diagnostics:()=>f,DocumentHighlights:()=>G5,ElementFlags:()=>eV,EmitFlags:()=>a4,EmitHint:()=>IV,EmitOnly:()=>WG,EndOfLineState:()=>GK,EnumKind:()=>XG,ExitStatus:()=>FG,ExportKind:()=>eY,Extension:()=>SV,ExternalEmitHelpers:()=>AV,FileIncludeKind:()=>$6,FilePreprocessingDiagnosticsKind:()=>OG,FileSystemEntryKind:()=>kV,FileWatcherEventKind:()=>LV,FindAllReferences:()=>Ws,FlattenLevel:()=>uJ,FlowFlags:()=>UM,ForegroundColorEscapeSequences:()=>XJ,FunctionFlags:()=>ZU,GeneratedIdentifierFlags:()=>Y6,GetLiteralTextFlags:()=>XU,GoToDefinition:()=>UD,HighlightSpanKind:()=>wK,IdentifierNameMap:()=>II,IdentifierNameMultiMap:()=>cJ,ImportKind:()=>ZX,ImportsNotUsedAsValues:()=>hV,IndentStyle:()=>OK,IndexFlags:()=>nV,IndexKind:()=>oV,InferenceFlags:()=>lV,InferencePriority:()=>sV,InlayHintKind:()=>kK,InlayHints:()=>HQ,InternalEmitFlags:()=>TV,InternalSymbolName:()=>$G,InvalidatedProjectKind:()=>IK,JSDocParsingMode:()=>PV,JsDoc:()=>G0,JsTyping:()=>G_,JsxEmit:()=>_V,JsxFlags:()=>LG,JsxReferenceKind:()=>rV,LanguageServiceMode:()=>CK,LanguageVariant:()=>yV,LexicalEnvironmentFlags:()=>RV,ListFormat:()=>NV,LogLevel:()=>DG,MemberOverrideStatus:()=>zG,ModifierFlags:()=>K6,ModuleDetectionKind:()=>dV,ModuleInstanceState:()=>Hq,ModuleKind:()=>eC,ModuleResolutionKind:()=>qx,ModuleSpecifierEnding:()=>cH,NavigateTo:()=>wde,NavigationBar:()=>Hde,NewLineKind:()=>gV,NodeBuilderFlags:()=>VG,NodeCheckFlags:()=>QG,NodeFactoryFlags:()=>gH,NodeFlags:()=>J6,NodeResolutionFeatures:()=>Vq,ObjectFlags:()=>t4,OperationCanceledException:()=>Hx,OperatorPrecedence:()=>tH,OrganizeImports:()=>Lm,OrganizeImportsMode:()=>MK,OuterExpressionKinds:()=>xV,OutliningElementsCollector:()=>XQ,OutliningSpanKind:()=>zK,OutputFileType:()=>BK,PackageJsonAutoImportPreference:()=>NK,PackageJsonDependencyGroup:()=>DK,PatternMatchKind:()=>V5,PollingInterval:()=>s4,PollingWatchKind:()=>mV,PragmaKindFlags:()=>CV,PrivateIdentifierKind:()=>AH,ProcessLevel:()=>mJ,ProgramUpdateLevel:()=>CJ,QuotePreference:()=>JX,RelationComparisonResult:()=>X6,Rename:()=>zz,ScriptElementKind:()=>jK,ScriptElementKindModifier:()=>UK,ScriptKind:()=>r4,ScriptSnapshot:()=>Y7,ScriptTarget:()=>vV,SemanticClassificationFormat:()=>PK,SemanticMeaning:()=>qX,SemicolonPreference:()=>WK,SignatureCheckMode:()=>$F,SignatureFlags:()=>n4,SignatureHelp:()=>o8,SignatureKind:()=>iV,SmartSelectionRange:()=>QQ,SnippetKind:()=>o4,SortKind:()=>IG,StructureIsReused:()=>Q6,SymbolAccessibility:()=>HG,SymbolDisplay:()=>iy,SymbolDisplayPartKind:()=>nO,SymbolFlags:()=>Z6,SymbolFormatFlags:()=>UG,SyntaxKind:()=>q6,SyntheticSymbolKind:()=>qG,Ternary:()=>cV,ThrottledCancellationToken:()=>QY,TokenClass:()=>VK,TokenFlags:()=>kG,TransformFlags:()=>i4,TypeFacts:()=>XF,TypeFlags:()=>e4,TypeFormatFlags:()=>jG,TypeMapKind:()=>aV,TypePredicateKind:()=>JG,TypeReferenceSerializationKind:()=>KG,UnionReduction:()=>BG,UpToDateStatusType:()=>EK,VarianceFlags:()=>ZG,Version:()=>ym,VersionRange:()=>GM,WatchDirectoryFlags:()=>bV,WatchDirectoryKind:()=>fV,WatchFileKind:()=>pV,WatchLogLevel:()=>PJ,WatchType:()=>wc,accessPrivateIdentifier:()=>Mle,addDisposableResourceHelper:()=>KW,addEmitFlags:()=>k_,addEmitHelper:()=>eI,addEmitHelpers:()=>Ug,addInternalEmitFlags:()=>QA,addNodeFactoryPatcher:()=>jTe,addObjectAllocatorPatcher:()=>koe,addRange:()=>Jr,addRelatedInfo:()=>wa,addSyntheticLeadingComment:()=>fP,addSyntheticTrailingComment:()=>EW,addToSeen:()=>Rm,advancedAsyncSuperHelper:()=>Uk,affectsDeclarationPathOptionDeclarations:()=>Aq,affectsEmitOptionDeclarations:()=>Tq,allKeysStartWithDot:()=>VF,altDirectorySeparator:()=>ZM,and:()=>B6,append:()=>xn,appendIfUnique:()=>Mg,arrayFrom:()=>zo,arrayIsEqualTo:()=>Km,arrayIsHomogeneous:()=>eae,arrayIsSorted:()=>M6,arrayOf:()=>Rne,arrayReverseIterator:()=>dG,arrayToMap:()=>NE,arrayToMultiMap:()=>FM,arrayToNumericMap:()=>Nne,arraysEqual:()=>Ca,assertType:()=>T1e,assign:()=>Fx,assignHelper:()=>DW,asyncDelegator:()=>CW,asyncGeneratorHelper:()=>NW,asyncSuperHelper:()=>jk,asyncValues:()=>PW,attachFileToDiagnostics:()=>JA,awaitHelper:()=>tI,awaiterHelper:()=>LW,base64decode:()=>Toe,base64encode:()=>Soe,binarySearch:()=>Nv,binarySearchKey:()=>bA,bindSourceFile:()=>sle,breakIntoCharacterSpans:()=>Dde,breakIntoWordSpans:()=>Nde,buildLinkParts:()=>Que,buildOpts:()=>PF,buildOverload:()=>ROe,bundlerModuleNameResolver:()=>$se,canBeConvertedToAsync:()=>dY,canHaveDecorators:()=>QS,canHaveExportModifier:()=>Ik,canHaveFlowNode:()=>ZL,canHaveIllegalDecorators:()=>ZH,canHaveIllegalModifiers:()=>dse,canHaveIllegalType:()=>vAe,canHaveIllegalTypeParameters:()=>use,canHaveJSDoc:()=>ek,canHaveLocals:()=>fh,canHaveModifiers:()=>Cm,canHaveSymbol:()=>x_,canJsonReportNoInputFiles:()=>MP,canProduceDiagnostics:()=>d7,canUsePropertyAccess:()=>HU,canWatchAffectingLocation:()=>zce,canWatchAtTypes:()=>Fce,canWatchDirectoryOrFile:()=>M7,cartesianProduct:()=>zne,cast:()=>aa,chainBundle:()=>Pm,chainDiagnosticMessages:()=>jo,changeAnyExtension:()=>$M,changeCompilerHostLikeToUseCache:()=>Uw,changeExtension:()=>S0,changeFullExtension:()=>ire,changesAffectModuleResolution:()=>W4,changesAffectingProgramStructure:()=>aie,childIsDecorated:()=>IC,classElementOrClassElementParameterIsDecorated:()=>Fj,classHasClassThisAssignment:()=>dJ,classHasDeclaredOrExplicitlyAssignedName:()=>pJ,classHasExplicitlyAssignedName:()=>l7,classOrConstructorParameterIsDecorated:()=>zv,classPrivateFieldGetHelper:()=>HW,classPrivateFieldInHelper:()=>JW,classPrivateFieldSetHelper:()=>qW,classicNameResolver:()=>rle,classifier:()=>Bpe,cleanExtendedConfigCache:()=>y7,clear:()=>Vo,clearMap:()=>tp,clearSharedExtendedConfigFileWatcher:()=>RJ,climbPastPropertyAccess:()=>Q7,climbPastPropertyOrElementAccess:()=>Iue,clone:()=>hG,cloneCompilerOptions:()=>dX,closeFileWatcher:()=>Qm,closeFileWatcherOf:()=>Mf,codefix:()=>Fu,collapseTextChangeRangesAcrossMultipleVersions:()=>vre,collectExternalModuleInfo:()=>oJ,combine:()=>Wx,combinePaths:()=>Qr,commentPragmas:()=>qM,commonOptionsWithBuild:()=>bw,commonPackageFolders:()=>iH,compact:()=>WM,compareBooleans:()=>Ry,compareDataObjects:()=>DU,compareDiagnostics:()=>KC,compareDiagnosticsSkipRelatedInformation:()=>V3,compareEmitHelpers:()=>Cae,compareNumberOfDirectorySeparators:()=>Sk,comparePaths:()=>Lg,comparePathsCaseInsensitive:()=>Y1e,comparePathsCaseSensitive:()=>X1e,comparePatternKeys:()=>Bq,compareProperties:()=>wne,compareStringsCaseInsensitive:()=>F6,compareStringsCaseInsensitiveEslintCompatible:()=>Mne,compareStringsCaseSensitive:()=>Uu,compareStringsCaseSensitiveUI:()=>BM,compareTextSpans:()=>W6,compareValues:()=>rl,compileOnSaveCommandLineOption:()=>vw,compilerOptionsAffectDeclarationPath:()=>Uoe,compilerOptionsAffectEmit:()=>joe,compilerOptionsAffectSemanticDiagnostics:()=>Voe,compilerOptionsDidYouMeanDiagnostics:()=>Tw,compilerOptionsIndicateEsModules:()=>gX,compose:()=>E1e,computeCommonSourceDirectoryOfFilenames:()=>gce,computeLineAndCharacterOfPosition:()=>Kx,computeLineOfPosition:()=>iC,computeLineStarts:()=>DA,computePositionOfLineAndCharacter:()=>m4,computeSignature:()=>iT,computeSignatureWithDiagnostics:()=>ZJ,computeSuggestionDiagnostics:()=>lY,computedOptions:()=>_c,concatenate:()=>So,concatenateDiagnosticMessageChains:()=>Foe,consumesNodeCoreModules:()=>P5,contains:()=>Nr,containsIgnoredPath:()=>rP,containsObjectRestOrSpread:()=>cw,containsParseError:()=>aR,containsPath:()=>bm,convertCompilerOptionsForTelemetry:()=>kse,convertCompilerOptionsFromJson:()=>EIe,convertJsonOption:()=>ZS,convertToBase64:()=>Eoe,convertToJson:()=>_w,convertToObject:()=>Nse,convertToOptionsWithAbsolutePaths:()=>gq,convertToRelativePath:()=>rC,convertToTSConfig:()=>sIe,convertTypeAcquisitionFromJson:()=>SIe,copyComments:()=>lT,copyEntries:()=>F4,copyLeadingComments:()=>PD,copyProperties:()=>gG,copyTrailingAsLeadingComments:()=>SO,copyTrailingComments:()=>d2,couldStartTrivia:()=>lre,countWhere:()=>au,createAbstractBuilder:()=>DRe,createAccessorPropertyBackingField:()=>tq,createAccessorPropertyGetRedirector:()=>yse,createAccessorPropertySetRedirector:()=>bse,createBaseNodeFactory:()=>mae,createBinaryExpressionTrampoline:()=>yF,createBindingHelper:()=>UR,createBuildInfo:()=>zw,createBuilderProgram:()=>eK,createBuilderProgramUsingProgramBuildInfo:()=>Oce,createBuilderStatusReporter:()=>Zce,createCacheWithRedirects:()=>Mq,createCacheableExportInfoMap:()=>YX,createCachedDirectoryStructureHost:()=>g7,createClassNamedEvaluationHelperBlock:()=>Fle,createClassThisAssignmentBlock:()=>Ole,createClassifier:()=>HDe,createCommentDirectivesMap:()=>pie,createCompilerDiagnostic:()=>Hl,createCompilerDiagnosticForInvalidCustomType:()=>Ase,createCompilerDiagnosticFromMessageChain:()=>G3,createCompilerHost:()=>vce,createCompilerHostFromProgramHost:()=>gK,createCompilerHostWorker:()=>kJ,createDetachedDiagnostic:()=>OR,createDiagnosticCollection:()=>RR,createDiagnosticForFileFromMessageChain:()=>Lj,createDiagnosticForNode:()=>wr,createDiagnosticForNodeArray:()=>cR,createDiagnosticForNodeArrayFromMessageChain:()=>ML,createDiagnosticForNodeFromMessageChain:()=>Fg,createDiagnosticForNodeInSourceFile:()=>$f,createDiagnosticForRange:()=>Aie,createDiagnosticMessageChainFromDiagnostic:()=>Tie,createDiagnosticReporter:()=>Xw,createDocumentPositionMapper:()=>xle,createDocumentRegistry:()=>gde,createDocumentRegistryInternal:()=>rY,createEmitAndSemanticDiagnosticsBuilderProgram:()=>oK,createEmitHelperFactory:()=>Nae,createEmptyExports:()=>tw,createExpressionForJsxElement:()=>rse,createExpressionForJsxFragment:()=>ise,createExpressionForObjectLiteralElementLike:()=>ose,createExpressionForPropertyName:()=>JH,createExpressionFromEntityName:()=>nw,createExternalHelpersImportDeclarationIfNeeded:()=>YH,createFileDiagnostic:()=>Qc,createFileDiagnosticFromMessageChain:()=>J4,createForOfBindingStatement:()=>qH,createGetCanonicalFileName:()=>Mu,createGetSourceFile:()=>MJ,createGetSymbolAccessibilityDiagnosticForNode:()=>Yv,createGetSymbolAccessibilityDiagnosticForNodeName:()=>lce,createGetSymbolWalker:()=>lle,createIncrementalCompilerHost:()=>yK,createIncrementalProgram:()=>$ce,createInputFiles:()=>HTe,createInputFilesWithFilePaths:()=>_H,createInputFilesWithFileTexts:()=>hH,createJsxFactoryExpression:()=>HH,createLanguageService:()=>kpe,createLanguageServiceSourceFile:()=>pz,createMemberAccessForPropertyName:()=>$S,createModeAwareCache:()=>TI,createModeAwareCacheKey:()=>WP,createModuleNotFoundChain:()=>z4,createModuleResolutionCache:()=>cD,createModuleResolutionLoader:()=>BJ,createModuleResolutionLoaderUsingGlobalCache:()=>jce,createModuleSpecifierResolutionHost:()=>sT,createMultiMap:()=>Zp,createNodeConverters:()=>hae,createNodeFactory:()=>wk,createOptionNameMap:()=>SF,createOverload:()=>lZ,createPackageJsonImportFilter:()=>m2,createPackageJsonInfo:()=>FX,createParenthesizerRules:()=>_ae,createPatternMatcher:()=>Sde,createPrependNodes:()=>JJ,createPrinter:()=>k0,createPrinterWithDefaults:()=>IJ,createPrinterWithRemoveComments:()=>g1,createPrinterWithRemoveCommentsNeverAsciiEscape:()=>xJ,createPrinterWithRemoveCommentsOmitTrailingSemicolon:()=>Bw,createProgram:()=>A7,createProgramHost:()=>vK,createPropertyNameNodeForIdentifierOrLiteral:()=>oW,createQueue:()=>zM,createRange:()=>xf,createRedirectedBuilderProgram:()=>nK,createResolutionCache:()=>sK,createRuntimeTypeSerializer:()=>jle,createScanner:()=>wv,createSemanticDiagnosticsBuilderProgram:()=>RRe,createSet:()=>vG,createSolutionBuilder:()=>URe,createSolutionBuilderHost:()=>VRe,createSolutionBuilderWithWatch:()=>HRe,createSolutionBuilderWithWatchHost:()=>jRe,createSortedArray:()=>uG,createSourceFile:()=>dw,createSourceMapGenerator:()=>Sle,createSourceMapSource:()=>qTe,createSuperAccessVariableStatement:()=>u7,createSymbolTable:()=>ua,createSymlinkCache:()=>wU,createSystemWatchFunctions:()=>ere,createTextChange:()=>fO,createTextChangeFromStartLength:()=>p5,createTextChangeRange:()=>cL,createTextRangeFromNode:()=>mX,createTextRangeFromSpan:()=>d5,createTextSpan:()=>vu,createTextSpanFromBounds:()=>pc,createTextSpanFromNode:()=>Nd,createTextSpanFromRange:()=>sb,createTextSpanFromStringLiteralLikeContent:()=>fX,createTextWriter:()=>pk,createTokenRange:()=>IU,createTypeChecker:()=>hle,createTypeReferenceDirectiveResolutionCache:()=>zF,createTypeReferenceResolutionLoader:()=>E7,createUnparsedSourceFile:()=>mH,createWatchCompilerHost:()=>zRe,createWatchCompilerHostOfConfigFile:()=>Kce,createWatchCompilerHostOfFilesAndCompilerOptions:()=>Xce,createWatchFactory:()=>hK,createWatchHost:()=>_K,createWatchProgram:()=>BRe,createWatchStatusReporter:()=>Uce,createWriteFileMeasuringIO:()=>LJ,declarationNameToString:()=>Rs,decodeMappings:()=>nJ,decodedTextSpanIntersectsWith:()=>lL,decorateHelper:()=>TW,deduplicate:()=>DE,defaultIncludeSpec:()=>kF,defaultInitCompilerOptions:()=>MF,defaultMaximumTruncationLength:()=>Nk,detectSortCaseSensitivity:()=>XN,diagnosticCategoryName:()=>mS,diagnosticToString:()=>uT,directoryProbablyExists:()=>$m,directorySeparator:()=>al,displayPart:()=>ip,displayPartsToString:()=>jO,disposeEmitNodes:()=>vH,disposeResourcesHelper:()=>XW,documentSpansEqual:()=>SX,dumpTracingLegend:()=>$ne,elementAt:()=>Lv,elideNodes:()=>vse,emitComments:()=>uoe,emitDetachedComments:()=>doe,emitFiles:()=>m7,emitFilesAndReportErrors:()=>O7,emitFilesAndReportErrorsAndGetExitStatus:()=>Jce,emitModuleKindIsNonNodeESM:()=>j3,emitNewLineBeforeLeadingCommentOfPosition:()=>coe,emitNewLineBeforeLeadingComments:()=>soe,emitNewLineBeforeLeadingCommentsOfPosition:()=>loe,emitSkippedWithNoDiagnostics:()=>N7,emitUsingBuildInfo:()=>fce,emptyArray:()=>et,emptyFileSystemEntries:()=>gW,emptyMap:()=>U6,emptyOptions:()=>kf,emptySet:()=>Gne,endsWith:()=>Al,ensurePathIsNonModuleName:()=>CE,ensureScriptKind:()=>Q3,ensureTrailingDirectorySeparator:()=>Bc,entityNameToString:()=>_p,enumerateInsertsAndDeletes:()=>V6,equalOwnProperties:()=>Dne,equateStringsCaseInsensitive:()=>i0,equateStringsCaseSensitive:()=>dS,equateValues:()=>Mv,esDecorateHelper:()=>xW,escapeJsxAttributeString:()=>dU,escapeLeadingUnderscores:()=>hl,escapeNonAsciiString:()=>dk,escapeSnippetText:()=>ZE,escapeString:()=>og,escapeTemplateSubstitution:()=>cU,every:()=>Zn,expandPreOrPostfixIncrementOrDecrementExpression:()=>fF,explainFiles:()=>uK,explainIfFileIsRedirectAndImpliedFormat:()=>dK,exportAssignmentIsAlias:()=>TR,exportStarHelper:()=>UW,expressionResultIsUnused:()=>nae,extend:()=>O6,extendsHelper:()=>kW,extensionFromPath:()=>QC,extensionIsTS:()=>tW,extensionsNotSupportingExtensionlessResolution:()=>kk,externalHelpersModuleNameText:()=>Ky,factory:()=>w,fileExtensionIs:()=>Il,fileExtensionIsOneOf:()=>Tc,fileIncludeReasonToDiagnostics:()=>mK,fileShouldUseJavaScriptRequire:()=>HX,filter:()=>nr,filterMutate:()=>Xs,filterSemanticDiagnostics:()=>I7,find:()=>An,findAncestor:()=>Gn,findBestPatternMatch:()=>SG,findChildOfKind:()=>vs,findComputedPropertyNameCacheAssignment:()=>bF,findConfigFile:()=>hce,findContainingList:()=>r5,findDiagnosticForNode:()=>cde,findFirstNonJsxWhitespaceToken:()=>Cue,findIndex:()=>Gr,findLast:()=>Oo,findLastIndex:()=>Bi,findListItemInfo:()=>Nue,findMap:()=>Uo,findModifier:()=>DD,findNextToken:()=>b1,findPackageJson:()=>sde,findPackageJsons:()=>WX,findPrecedingMatchingToken:()=>c5,findPrecedingToken:()=>xc,findSuperStatementIndexPath:()=>o7,findTokenOnLeftOfPosition:()=>a5,findUseStrictPrologue:()=>XH,first:()=>Ha,firstDefined:()=>Ut,firstDefinedIterator:()=>dn,firstIterator:()=>fG,firstOrOnly:()=>VX,firstOrUndefined:()=>Xc,firstOrUndefinedIterator:()=>L6,fixupCompilerOptions:()=>fY,flatMap:()=>Pi,flatMapIterator:()=>Qp,flatMapToMutable:()=>rc,flatten:()=>ia,flattenCommaList:()=>Ese,flattenDestructuringAssignment:()=>tT,flattenDestructuringBinding:()=>h1,flattenDiagnosticMessageText:()=>z_,forEach:()=>Ue,forEachAncestor:()=>sie,forEachAncestorDirectory:()=>Sm,forEachChild:()=>Ho,forEachChildRecursively:()=>CP,forEachEmittedFile:()=>EJ,forEachEnclosingBlockScopeContainer:()=>bie,forEachEntry:()=>Gc,forEachExternalModuleToImportFrom:()=>QX,forEachImportClauseDeclaration:()=>h3,forEachKey:()=>_h,forEachLeadingCommentRange:()=>rL,forEachNameInAccessChainWalkingLeft:()=>Moe,forEachPropertyAssignment:()=>fR,forEachResolvedProjectReference:()=>VJ,forEachReturnStatement:()=>zE,forEachRight:()=>Bt,forEachTrailingCommentRange:()=>iL,forEachTsConfigPropArray:()=>OL,forEachUnique:()=>AX,forEachYieldExpression:()=>xie,forSomeAncestorDirectory:()=>mTe,formatColorAndReset:()=>v1,formatDiagnostic:()=>wJ,formatDiagnostics:()=>lRe,formatDiagnosticsWithColorAndContext:()=>yce,formatGeneratedName:()=>N0,formatGeneratedNamePart:()=>iD,formatLocation:()=>OJ,formatMessage:()=>MU,formatStringFromArgs:()=>lg,formatting:()=>Oc,fullTripleSlashAMDReferencePathRegEx:()=>$U,fullTripleSlashReferencePathRegEx:()=>YU,generateDjb2Hash:()=>tC,generateTSConfig:()=>pIe,generatorHelper:()=>GW,getAdjustedReferenceLocation:()=>oX,getAdjustedRenameLocation:()=>o5,getAliasDeclarationFromName:()=>Qj,getAllAccessorDeclarations:()=>wS,getAllDecoratorsOfClass:()=>sJ,getAllDecoratorsOfClassElement:()=>s7,getAllJSDocTags:()=>S4,getAllJSDocTagsOfKind:()=>ISe,getAllKeys:()=>y1e,getAllProjectOutputs:()=>f7,getAllSuperTypeNodes:()=>CC,getAllUnscopedEmitHelpers:()=>TH,getAllowJSCompilerOption:()=>Xy,getAllowSyntheticDefaultImports:()=>FS,getAncestor:()=>b0,getAnyExtensionFromPath:()=>Jx,getAreDeclarationMapsEnabled:()=>Pk,getAssignedExpandoInitializer:()=>OA,getAssignedName:()=>b4,getAssignedNameOfIdentifier:()=>UP,getAssignmentDeclarationKind:()=>Bl,getAssignmentDeclarationPropertyAccessKind:()=>KL,getAssignmentTargetKind:()=>BA,getAutomaticTypeDirectiveNames:()=>WF,getBaseFileName:()=>ic,getBinaryOperatorPrecedence:()=>uk,getBuildInfo:()=>_7,getBuildInfoFileVersionMap:()=>tK,getBuildInfoText:()=>pce,getBuildOrderFromAnyBuildOrder:()=>G7,getBuilderCreationParameters:()=>C7,getBuilderFileEmit:()=>ab,getCheckFlags:()=>xl,getClassExtendsHeritageElement:()=>UE,getClassLikeDeclarationOfSymbol:()=>Vg,getCombinedLocalAndExportSymbolFlags:()=>LR,getCombinedModifierFlags:()=>c0,getCombinedNodeFlags:()=>Ov,getCombinedNodeFlagsAlwaysIncludeJSDoc:()=>qV,getCommentRange:()=>w_,getCommonSourceDirectory:()=>$P,getCommonSourceDirectoryOfConfig:()=>_D,getCompilerOptionValue:()=>H3,getCompilerOptionsDiffValue:()=>uIe,getConditions:()=>ib,getConfigFileParsingDiagnostics:()=>rT,getConstantValue:()=>Sae,getContainerFlags:()=>Uq,getContainerNode:()=>aT,getContainingClass:()=>su,getContainingClassExcludingClassDecorators:()=>n3,getContainingClassStaticBlock:()=>wie,getContainingFunction:()=>Gp,getContainingFunctionDeclaration:()=>kie,getContainingFunctionOrClassStaticBlock:()=>t3,getContainingNodeArray:()=>rae,getContainingObjectLiteralElement:()=>UO,getContextualTypeFromParent:()=>T5,getContextualTypeFromParentOrAncestorTypeNode:()=>i5,getCurrentTime:()=>$w,getDeclarationDiagnostics:()=>cce,getDeclarationEmitExtensionForPath:()=>I3,getDeclarationEmitOutputFilePath:()=>noe,getDeclarationEmitOutputFilePathWorker:()=>A3,getDeclarationFileExtension:()=>oq,getDeclarationFromName:()=>NC,getDeclarationModifierFlagsFromSymbol:()=>Df,getDeclarationOfKind:()=>fl,getDeclarationsOfKind:()=>iie,getDeclaredExpandoInitializer:()=>UL,getDecorators:()=>Ly,getDefaultCompilerOptions:()=>dz,getDefaultExportInfoWorker:()=>B5,getDefaultFormatCodeSettings:()=>X7,getDefaultLibFileName:()=>aL,getDefaultLibFilePath:()=>wpe,getDefaultLikeExportInfo:()=>z5,getDiagnosticText:()=>eIe,getDiagnosticsWithinSpan:()=>ude,getDirectoryPath:()=>ai,getDirectoryToWatchFailedLookupLocation:()=>aK,getDirectoryToWatchFailedLookupLocationFromTypeRoot:()=>Gce,getDocumentPositionMapper:()=>sY,getDocumentSpansEqualityComparer:()=>TX,getESModuleInterop:()=>yh,getEditsForFileRename:()=>yde,getEffectiveBaseTypeNode:()=>D_,getEffectiveConstraintOfTypeParameter:()=>Zx,getEffectiveContainerForJSDocTemplateTag:()=>g3,getEffectiveImplementsTypeNodes:()=>AR,getEffectiveInitializer:()=>jL,getEffectiveJSDocHost:()=>y0,getEffectiveModifierFlags:()=>pd,getEffectiveModifierFlagsAlwaysIncludeJSDoc:()=>_oe,getEffectiveModifierFlagsNoCache:()=>hoe,getEffectiveReturnTypeNode:()=>nm,getEffectiveSetAccessorTypeAnnotationNode:()=>aoe,getEffectiveTypeAnnotationNode:()=>yu,getEffectiveTypeParameterDeclarations:()=>ky,getEffectiveTypeRoots:()=>OP,getElementOrPropertyAccessArgumentExpressionOrName:()=>_3,getElementOrPropertyAccessName:()=>zg,getElementsOfBindingOrAssignmentPattern:()=>rD,getEmitDeclarations:()=>Nf,getEmitFlags:()=>ja,getEmitHelpers:()=>SW,getEmitModuleDetectionKind:()=>nH,getEmitModuleKind:()=>wu,getEmitModuleResolutionKind:()=>md,getEmitScriptTarget:()=>os,getEmitStandardClassFields:()=>Goe,getEnclosingBlockScopeContainer:()=>hh,getEnclosingContainer:()=>Mj,getEncodedSemanticClassifications:()=>tY,getEncodedSyntacticClassifications:()=>nY,getEndLinePosition:()=>DL,getEntityNameFromTypeNode:()=>zL,getEntrypointsFromPackageJsonInfo:()=>Wq,getErrorCountForSummary:()=>k7,getErrorSpanForNode:()=>AS,getErrorSummaryText:()=>Hce,getEscapedTextOfIdentifierOrLiteral:()=>LC,getEscapedTextOfJsxAttributeName:()=>sP,getEscapedTextOfJsxNamespacedName:()=>YA,getExpandoInitializer:()=>g0,getExportAssignmentExpression:()=>Zj,getExportInfoMap:()=>RO,getExportNeedsImportStarHelper:()=>Rle,getExpressionAssociativity:()=>aU,getExpressionPrecedence:()=>wC,getExternalHelpersModuleName:()=>iw,getExternalModuleImportEqualsDeclarationExpression:()=>xC,getExternalModuleName:()=>yR,getExternalModuleNameFromDeclaration:()=>eoe,getExternalModuleNameFromPath:()=>fU,getExternalModuleNameLiteral:()=>yI,getExternalModuleRequireArgument:()=>Bj,getFallbackOptions:()=>jw,getFileEmitOutput:()=>xce,getFileMatcherPatterns:()=>$3,getFileNamesFromConfigSpecs:()=>LP,getFileWatcherEventKind:()=>MV,getFilesInErrorForSummary:()=>w7,getFirstConstructorWithBody:()=>ag,getFirstIdentifier:()=>Vp,getFirstNonSpaceCharacterPosition:()=>tde,getFirstProjectOutput:()=>AJ,getFixableErrorSpanExpression:()=>BX,getFormatCodeSettingsForWriting:()=>w5,getFullWidth:()=>xL,getFunctionFlags:()=>Vc,getHeritageClause:()=>ak,getHostSignatureFromJSDoc:()=>v0,getIdentifierAutoGenerate:()=>$Te,getIdentifierGeneratedImportReference:()=>Dae,getIdentifierTypeArguments:()=>zS,getImmediatelyInvokedFunctionExpression:()=>xS,getImpliedNodeFormatForFile:()=>Jw,getImpliedNodeFormatForFileWorker:()=>HJ,getImportNeedsImportDefaultHelper:()=>iJ,getImportNeedsImportStarHelper:()=>r7,getIndentSize:()=>NR,getIndentString:()=>S3,getInferredLibraryNameResolveFrom:()=>T7,getInitializedVariables:()=>HC,getInitializerOfBinaryExpression:()=>Hj,getInitializerOfBindingOrAssignmentElement:()=>aw,getInterfaceBaseTypeNodes:()=>PC,getInternalEmitFlags:()=>Am,getInvokedExpression:()=>o3,getIsolatedModules:()=>om,getJSDocAugmentsTag:()=>Rre,getJSDocClassTag:()=>KV,getJSDocCommentRanges:()=>wj,getJSDocCommentsAndTags:()=>Jj,getJSDocDeprecatedTag:()=>XV,getJSDocDeprecatedTagNoCache:()=>kre,getJSDocEnumTag:()=>YV,getJSDocHost:()=>CS,getJSDocImplementsTags:()=>Dre,getJSDocOverloadTags:()=>Xj,getJSDocOverrideTagNoCache:()=>Lre,getJSDocParameterTags:()=>Qx,getJSDocParameterTagsNoCache:()=>Tre,getJSDocPrivateTag:()=>bSe,getJSDocPrivateTagNoCache:()=>Cre,getJSDocProtectedTag:()=>ESe,getJSDocProtectedTagNoCache:()=>Pre,getJSDocPublicTag:()=>ySe,getJSDocPublicTagNoCache:()=>Nre,getJSDocReadonlyTag:()=>SSe,getJSDocReadonlyTagNoCache:()=>Mre,getJSDocReturnTag:()=>wre,getJSDocReturnType:()=>dL,getJSDocRoot:()=>SR,getJSDocSatisfiesExpressionType:()=>JU,getJSDocSatisfiesTag:()=>$V,getJSDocTags:()=>f0,getJSDocTagsNoCache:()=>ASe,getJSDocTemplateTag:()=>TSe,getJSDocThisTag:()=>E4,getJSDocType:()=>p0,getJSDocTypeAliasName:()=>QH,getJSDocTypeAssertionType:()=>_F,getJSDocTypeParameterDeclarations:()=>N3,getJSDocTypeParameterTags:()=>Are,getJSDocTypeParameterTagsNoCache:()=>Ire,getJSDocTypeTag:()=>d0,getJSXImplicitImportBase:()=>J3,getJSXRuntimeImport:()=>K3,getJSXTransformEnabled:()=>q3,getKeyForCompilerOptions:()=>Pq,getLanguageVariant:()=>yk,getLastChild:()=>NU,getLeadingCommentRanges:()=>Yh,getLeadingCommentRangesOfNode:()=>kj,getLeftmostAccessExpression:()=>kR,getLeftmostExpression:()=>wR,getLibraryNameFromLibFileName:()=>jJ,getLineAndCharacterOfPosition:()=>bs,getLineInfo:()=>eJ,getLineOfLocalPosition:()=>WC,getLineOfLocalPositionFromLineMap:()=>LS,getLineStartPositionForPosition:()=>lm,getLineStarts:()=>kg,getLinesBetweenPositionAndNextNonWhitespaceCharacter:()=>Doe,getLinesBetweenPositionAndPrecedingNonWhitespaceCharacter:()=>Roe,getLinesBetweenPositions:()=>oC,getLinesBetweenRangeEndAndRangeStart:()=>xU,getLinesBetweenRangeEndPositions:()=>pTe,getLiteralText:()=>fie,getLocalNameForExternalImport:()=>nD,getLocalSymbolForExportDefault:()=>MR,getLocaleSpecificMessage:()=>Wo,getLocaleTimeString:()=>Yw,getMappedContextSpan:()=>IX,getMappedDocumentSpan:()=>y5,getMappedLocation:()=>l2,getMatchedFileSpec:()=>pK,getMatchedIncludeSpec:()=>fK,getMeaningFromDeclaration:()=>rO,getMeaningFromLocation:()=>oT,getMembersOfDeclaration:()=>Rie,getModeForFileReference:()=>Hw,getModeForResolutionAtIndex:()=>bce,getModeForUsageLocation:()=>FJ,getModifiedTime:()=>IA,getModifiers:()=>ME,getModuleInstanceState:()=>Kg,getModuleNameStringLiteralAt:()=>Kw,getModuleSpecifierEndingPreference:()=>Koe,getModuleSpecifierResolverHost:()=>vX,getNameForExportedSymbol:()=>M5,getNameFromImportAttribute:()=>cW,getNameFromIndexInfo:()=>Eie,getNameFromPropertyName:()=>hO,getNameOfAccessExpression:()=>PU,getNameOfCompilerOptionValue:()=>hq,getNameOfDeclaration:()=>Mo,getNameOfExpando:()=>jj,getNameOfJSDocTypedef:()=>Sre,getNameOrArgument:()=>JL,getNameTable:()=>JY,getNamesForExportedSymbol:()=>dde,getNamespaceDeclarationNode:()=>bR,getNewLineCharacter:()=>Uv,getNewLineKind:()=>xO,getNewLineOrDefaultFromHost:()=>ty,getNewTargetContainer:()=>Wie,getNextJSDocCommentLocation:()=>Kj,getNodeForGeneratedName:()=>lw,getNodeId:()=>as,getNodeKind:()=>y1,getNodeModifiers:()=>o2,getNodeModulePathParts:()=>aW,getNonAssignedNameOfDeclaration:()=>y4,getNonAssignmentOperatorForCompoundAssignment:()=>GP,getNonAugmentationDeclaration:()=>xj,getNonDecoratorTokenPosOfNode:()=>Ej,getNormalizedAbsolutePath:()=>go,getNormalizedAbsolutePathWithoutRoot:()=>FV,getNormalizedPathComponents:()=>YM,getObjectFlags:()=>Wr,getOperator:()=>lU,getOperatorAssociativity:()=>sU,getOperatorPrecedence:()=>ck,getOptionFromName:()=>pq,getOptionsForLibraryResolution:()=>Lq,getOptionsNameMap:()=>aD,getOrCreateEmitNode:()=>Ou,getOrCreateExternalHelpersModuleNameIfNeeded:()=>cse,getOrUpdate:()=>n0,getOriginalNode:()=>Pl,getOriginalNodeId:()=>Wu,getOriginalSourceFile:()=>nTe,getOutputDeclarationFileName:()=>YP,getOutputDeclarationFileNameWorker:()=>SJ,getOutputExtension:()=>p7,getOutputFileNames:()=>aRe,getOutputJSFileNameWorker:()=>TJ,getOutputPathsFor:()=>XP,getOutputPathsForBundle:()=>KP,getOwnEmitOutputFilePath:()=>toe,getOwnKeys:()=>Xh,getOwnValues:()=>EA,getPackageJsonInfo:()=>p1,getPackageJsonTypesVersionsPaths:()=>OF,getPackageJsonsVisibleToFile:()=>lde,getPackageNameFromTypesPackageName:()=>FP,getPackageScopeForPath:()=>Dw,getParameterSymbolFromJSDoc:()=>tk,getParameterTypeNode:()=>TTe,getParentNodeInSpan:()=>vO,getParseTreeNode:()=>Do,getParsedCommandLineOfConfigFile:()=>fw,getPathComponents:()=>zc,getPathComponentsRelativeTo:()=>BV,getPathFromPathComponents:()=>Cy,getPathUpdater:()=>oY,getPathsBasePath:()=>x3,getPatternFromSpec:()=>Hoe,getPendingEmitKind:()=>bD,getPositionOfLineAndCharacter:()=>tL,getPossibleGenericSignatures:()=>sX,getPossibleOriginalInputExtensionForExtension:()=>roe,getPossibleTypeArgumentsInfo:()=>lX,getPreEmitDiagnostics:()=>sRe,getPrecedingNonSpaceCharacterPosition:()=>E5,getPrivateIdentifier:()=>lJ,getProperties:()=>aJ,getProperty:()=>k6,getPropertyArrayElementValue:()=>Lie,getPropertyAssignmentAliasLikeExpression:()=>Yie,getPropertyNameForPropertyNameNode:()=>PS,getPropertyNameForUniqueESSymbol:()=>eTe,getPropertyNameFromType:()=>im,getPropertyNameOfBindingOrAssignmentElement:()=>$H,getPropertySymbolFromBindingElement:()=>v5,getPropertySymbolsFromContextualType:()=>fz,getQuoteFromPreference:()=>bX,getQuotePreference:()=>uf,getRangesWhere:()=>cG,getRefactorContextSpan:()=>LI,getReferencedFileLocation:()=>QP,getRegexFromPattern:()=>qy,getRegularExpressionForWildcard:()=>XC,getRegularExpressionsForWildcards:()=>X3,getRelativePathFromDirectory:()=>Em,getRelativePathFromFile:()=>QM,getRelativePathToDirectoryOrUrl:()=>RA,getRenameLocation:()=>EO,getReplacementSpanForContextToken:()=>pX,getResolutionDiagnostic:()=>KJ,getResolutionModeOverride:()=>hD,getResolveJsonModule:()=>A0,getResolvePackageJsonExports:()=>mW,getResolvePackageJsonImports:()=>_W,getResolvedExternalModuleName:()=>T3,getRestIndicatorOfBindingOrAssignmentElement:()=>gF,getRestParameterElementType:()=>Oj,getRightMostAssignedExpression:()=>HL,getRootDeclaration:()=>C_,getRootDirectoryOfResolutionCache:()=>Vce,getRootLength:()=>ph,getRootPathSplitLength:()=>MRe,getScriptKind:()=>CX,getScriptKindFromFileName:()=>Z3,getScriptTargetFeatures:()=>pW,getSelectedEffectiveModifierFlags:()=>jA,getSelectedSyntacticModifierFlags:()=>foe,getSemanticClassifications:()=>_de,getSemanticJsxChildren:()=>xR,getSetAccessorTypeAnnotationNode:()=>ioe,getSetAccessorValueParameter:()=>FC,getSetExternalModuleIndicator:()=>bk,getShebang:()=>h4,getSingleInitializerOfVariableStatementOrPropertyDeclaration:()=>qj,getSingleVariableOfVariableStatement:()=>zA,getSnapshotText:()=>RD,getSnippetElement:()=>yH,getSourceFileOfModule:()=>G4,getSourceFileOfNode:()=>jn,getSourceFilePathInNewDir:()=>R3,getSourceFilePathInNewDirWorker:()=>D3,getSourceFileVersionAsHashFromText:()=>W7,getSourceFilesToEmit:()=>mU,getSourceMapRange:()=>qv,getSourceMapper:()=>Pde,getSourceTextOfNodeFromSourceFile:()=>OE,getSpanOfTokenAtPosition:()=>gh,getSpellingSuggestion:()=>$N,getStartPositionOfLine:()=>Gy,getStartPositionOfRange:()=>UC,getStartsOnNewLine:()=>pP,getStaticPropertiesAndClassStaticBlock:()=>a7,getStrictOptionValue:()=>fd,getStringComparer:()=>zx,getSubPatternFromSpec:()=>Y3,getSuperCallFromStatement:()=>i7,getSuperContainer:()=>WL,getSupportedCodeFixes:()=>HY,getSupportedExtensions:()=>YC,getSupportedExtensionsWithJsonIfResolveJsonModule:()=>Ek,getSwitchedType:()=>wX,getSymbolId:()=>Ta,getSymbolNameForPrivateIdentifier:()=>sk,getSymbolTarget:()=>PX,getSyntacticClassifications:()=>hde,getSyntacticModifierFlags:()=>Uy,getSyntacticModifierFlagsNoCache:()=>vU,getSynthesizedDeepClone:()=>cl,getSynthesizedDeepCloneWithReplacements:()=>bO,getSynthesizedDeepClones:()=>E1,getSynthesizedDeepClonesWithReplacements:()=>MX,getSyntheticLeadingComments:()=>jR,getSyntheticTrailingComments:()=>Bk,getTargetLabel:()=>Z7,getTargetOfBindingOrAssignmentElement:()=>rb,getTemporaryModuleResolutionState:()=>Rw,getTextOfConstantValue:()=>mie,getTextOfIdentifierOrLiteral:()=>em,getTextOfJSDocComment:()=>fL,getTextOfJsxAttributeName:()=>Dk,getTextOfJsxNamespacedName:()=>lP,getTextOfNode:()=>fc,getTextOfNodeFromSourceText:()=>bC,getTextOfPropertyName:()=>lR,getThisContainer:()=>Fd,getThisParameter:()=>qE,getTokenAtPosition:()=>po,getTokenPosOfNode:()=>_0,getTokenSourceMapRange:()=>XTe,getTouchingPropertyName:()=>Vd,getTouchingToken:()=>xD,getTrailingCommentRanges:()=>a0,getTrailingSemicolonDeferringWriter:()=>pU,getTransformFlagsSubtreeExclusions:()=>gae,getTransformers:()=>yJ,getTsBuildInfoEmitOutputFilePath:()=>$v,getTsConfigObjectLiteralExpression:()=>AC,getTsConfigPropArrayElementValue:()=>e3,getTypeAnnotationNode:()=>ooe,getTypeArgumentOrTypeParameterList:()=>Fue,getTypeKeywordOfTypeOnlyImport:()=>EX,getTypeNode:()=>xae,getTypeNodeIfAccessible:()=>f2,getTypeParameterFromJsDoc:()=>Uie,getTypeParameterOwner:()=>mSe,getTypesPackageName:()=>jF,getUILocale:()=>Lne,getUniqueName:()=>cT,getUniqueSymbolId:()=>ede,getUseDefineForClassFields:()=>dP,getWatchErrorSummaryDiagnosticMessage:()=>lK,getWatchFactory:()=>NJ,group:()=>YN,groupBy:()=>w6,guessIndentation:()=>nie,handleNoEmitOptions:()=>qJ,hasAbstractModifier:()=>XE,hasAccessorModifier:()=>P_,hasAmbientModifier:()=>gU,hasChangesInResolutions:()=>vj,hasChildOfKind:()=>uO,hasContextSensitiveParameters:()=>iW,hasDecorators:()=>If,hasDocComment:()=>Oue,hasDynamicName:()=>jy,hasEffectiveModifier:()=>gp,hasEffectiveModifiers:()=>C3,hasEffectiveReadonlyModifier:()=>zC,hasExtension:()=>xA,hasIndexSignature:()=>kX,hasInitializer:()=>zy,hasInvalidEscape:()=>uU,hasJSDocNodes:()=>Fp,hasJSDocParameterTags:()=>xre,hasJSFileExtension:()=>YE,hasJsonModuleEmitEnabled:()=>U3,hasOnlyExpressionInitializer:()=>ES,hasOverrideModifier:()=>P3,hasPossibleExternalModuleReference:()=>yie,hasProperty:()=>xs,hasPropertyAccessExpressionWithName:()=>oO,hasQuestionToken:()=>FA,hasRecordedExternalHelpers:()=>lse,hasResolutionModeOverride:()=>lae,hasRestParameter:()=>mj,hasScopeMarker:()=>Kre,hasStaticModifier:()=>mc,hasSyntacticModifier:()=>Zr,hasSyntacticModifiers:()=>poe,hasTSFileExtension:()=>XA,hasTabstop:()=>oae,hasTrailingDirectorySeparator:()=>kv,hasType:()=>w4,hasTypeArguments:()=>XSe,hasZeroOrOneAsteriskCharacter:()=>kU,helperString:()=>SH,hostGetCanonicalFileName:()=>Gv,hostUsesCaseSensitiveFileNames:()=>CR,idText:()=>Sr,identifierIsThisKeyword:()=>hU,identifierToKeywordKind:()=>u0,identity:()=>nl,identitySourceMapConsumer:()=>n7,ignoreSourceNewlines:()=>EH,ignoredPaths:()=>XM,importDefaultHelper:()=>jW,importFromModuleSpecifier:()=>DC,importNameElisionDisabled:()=>LU,importStarHelper:()=>Vk,indexOfAnyCharCode:()=>Sc,indexOfNode:()=>sR,indicesOf:()=>OM,inferredTypesContainingFile:()=>yD,injectClassNamedEvaluationHelperBlockIfMissing:()=>c7,injectClassThisAssignmentIfMissing:()=>Wle,insertImports:()=>s2,insertLeadingStatement:()=>mAe,insertSorted:()=>xy,insertStatementAfterCustomPrologue:()=>SS,insertStatementAfterStandardPrologue:()=>zSe,insertStatementsAfterCustomPrologue:()=>yj,insertStatementsAfterStandardPrologue:()=>eg,intersperse:()=>co,intrinsicTagNameToString:()=>KU,introducesArgumentsExoticObject:()=>Pie,inverseJsxOptionMap:()=>kP,isAbstractConstructorSymbol:()=>Noe,isAbstractModifier:()=>Oae,isAccessExpression:()=>ws,isAccessibilityModifier:()=>uX,isAccessor:()=>Oy,isAccessorModifier:()=>Fae,isAliasSymbolDeclaration:()=>$Se,isAliasableExpression:()=>ok,isAmbientModule:()=>ku,isAmbientPropertyDeclaration:()=>Dj,isAnonymousFunctionDefinition:()=>kC,isAnyDirectorySeparator:()=>wV,isAnyImportOrBareOrAccessedRequire:()=>vie,isAnyImportOrReExport:()=>CL,isAnyImportSyntax:()=>TS,isAnySupportedFileExtension:()=>STe,isApplicableVersionedTypesKey:()=>Cw,isArgumentExpressionOfElementAccess:()=>ZK,isArray:()=>To,isArrayBindingElement:()=>N4,isArrayBindingOrAssignmentElement:()=>bL,isArrayBindingOrAssignmentPattern:()=>lj,isArrayBindingPattern:()=>n1,isArrayLiteralExpression:()=>_d,isArrayLiteralOrObjectLiteralDestructuringPattern:()=>Zv,isArrayTypeNode:()=>Xk,isArrowFunction:()=>Gs,isAsExpression:()=>$k,isAssertClause:()=>Hae,isAssertEntry:()=>aAe,isAssertionExpression:()=>bS,isAssertsKeyword:()=>kae,isAssignmentDeclaration:()=>RC,isAssignmentExpression:()=>Lc,isAssignmentOperator:()=>Vv,isAssignmentPattern:()=>gC,isAssignmentTarget:()=>ig,isAsteriskToken:()=>Hk,isAsyncFunction:()=>MC,isAsyncModifier:()=>_P,isAutoAccessorPropertyDeclaration:()=>Wd,isAwaitExpression:()=>eb,isAwaitKeyword:()=>NH,isBigIntLiteral:()=>YW,isBinaryExpression:()=>mr,isBinaryOperatorToken:()=>gse,isBindableObjectDefinePropertyCall:()=>DS,isBindableStaticAccessExpression:()=>VE,isBindableStaticElementAccessExpression:()=>m3,isBindableStaticNameExpression:()=>NS,isBindingElement:()=>Qa,isBindingElementOfBareOrAccessedRequire:()=>Bie,isBindingName:()=>vS,isBindingOrAssignmentElement:()=>Vre,isBindingOrAssignmentPattern:()=>vL,isBindingPattern:()=>ta,isBlock:()=>Xo,isBlockOrCatchScoped:()=>Sj,isBlockScope:()=>Nj,isBlockScopedContainerTopLevel:()=>gie,isBooleanLiteral:()=>hC,isBreakOrContinueStatement:()=>pC,isBreakStatement:()=>rAe,isBuildInfoFile:()=>dce,isBuilderProgram:()=>qce,isBundle:()=>OH,isBundleFileTextLike:()=>Poe,isCallChain:()=>hS,isCallExpression:()=>la,isCallExpressionTarget:()=>JK,isCallLikeExpression:()=>wE,isCallLikeOrFunctionLikeExpression:()=>cj,isCallOrNewExpression:()=>I_,isCallOrNewExpressionTarget:()=>KK,isCallSignatureDeclaration:()=>sI,isCallToHelper:()=>mP,isCaseBlock:()=>SP,isCaseClause:()=>YR,isCaseKeyword:()=>zae,isCaseOrDefaultClause:()=>L4,isCatchClause:()=>c1,isCatchClauseVariableDeclaration:()=>iae,isCatchClauseVariableDeclarationOrBindingElement:()=>Tj,isCheckJsEnabledForFile:()=>Ak,isChildOfNodeWithKind:()=>GSe,isCircularBuildOrder:()=>DI,isClassDeclaration:()=>Ic,isClassElement:()=>$c,isClassExpression:()=>Zc,isClassInstanceProperty:()=>Bre,isClassLike:()=>ui,isClassMemberModifier:()=>oj,isClassNamedEvaluationHelperBlock:()=>RI,isClassOrTypeElement:()=>D4,isClassStaticBlockDeclaration:()=>Rl,isClassThisAssignmentBlock:()=>jP,isCollapsedRange:()=>dTe,isColonToken:()=>Mae,isCommaExpression:()=>rw,isCommaListExpression:()=>yP,isCommaSequence:()=>RP,isCommaToken:()=>Pae,isComment:()=>u5,isCommonJsExportPropertyAssignment:()=>Q4,isCommonJsExportedExpression:()=>Nie,isCompoundAssignment:()=>BP,isComputedNonLiteralName:()=>PL,isComputedPropertyName:()=>Za,isConciseBody:()=>P4,isConditionalExpression:()=>XR,isConditionalTypeNode:()=>dI,isConstTypeReference:()=>Og,isConstructSignatureDeclaration:()=>Jk,isConstructorDeclaration:()=>Ml,isConstructorTypeNode:()=>HR,isContextualKeyword:()=>y3,isContinueStatement:()=>nAe,isCustomPrologue:()=>wL,isDebuggerStatement:()=>iAe,isDeclaration:()=>Ju,isDeclarationBindingElement:()=>gL,isDeclarationFileName:()=>Su,isDeclarationName:()=>Bg,isDeclarationNameOfEnumOrNamespace:()=>RU,isDeclarationReadonly:()=>K4,isDeclarationStatement:()=>Qre,isDeclarationWithTypeParameterChildren:()=>Pj,isDeclarationWithTypeParameters:()=>Cj,isDecorator:()=>Eu,isDecoratorTarget:()=>Tue,isDefaultClause:()=>AP,isDefaultImport:()=>WA,isDefaultModifier:()=>eF,isDefaultedExpandoInitializer:()=>Gie,isDeleteExpression:()=>Vae,isDeleteTarget:()=>$j,isDeprecatedDeclaration:()=>L5,isDestructuringAssignment:()=>jv,isDiagnosticWithLocation:()=>zX,isDiskPathRoot:()=>OV,isDoStatement:()=>eAe,isDocumentRegistryEntry:()=>DO,isDotDotDotToken:()=>QW,isDottedName:()=>GC,isDynamicName:()=>E3,isESSymbolIdentifier:()=>tTe,isEffectiveExternalModule:()=>wA,isEffectiveModuleDeclaration:()=>hie,isEffectiveStrictModeSourceFile:()=>Rj,isElementAccessChain:()=>QV,isElementAccessExpression:()=>Qs,isEmittedFileOfProgram:()=>_ce,isEmptyArrayLiteral:()=>boe,isEmptyBindingElement:()=>bre,isEmptyBindingPattern:()=>yre,isEmptyObjectLiteral:()=>TU,isEmptyStatement:()=>LH,isEmptyStringLiteral:()=>zj,isEntityName:()=>Zd,isEntityNameExpression:()=>Gl,isEnumConst:()=>FE,isEnumDeclaration:()=>x0,isEnumMember:()=>u1,isEqualityOperatorKind:()=>A5,isEqualsGreaterThanToken:()=>Lae,isExclamationToken:()=>qk,isExcludedFile:()=>Pse,isExclusivelyTypeOnlyImportOrExport:()=>WJ,isExpandoPropertyDeclaration:()=>lW,isExportAssignment:()=>kl,isExportDeclaration:()=>Yl,isExportModifier:()=>oI,isExportName:()=>mF,isExportNamespaceAsDefaultDeclaration:()=>U4,isExportOrDefaultModifier:()=>sw,isExportSpecifier:()=>Ku,isExportsIdentifier:()=>RS,isExportsOrModuleExportsOrAlias:()=>f1,isExpression:()=>yt,isExpressionNode:()=>ng,isExpressionOfExternalModuleImportEqualsDeclaration:()=>Rue,isExpressionOfOptionalChainRoot:()=>I4,isExpressionStatement:()=>eu,isExpressionWithTypeArguments:()=>Kv,isExpressionWithTypeArgumentsInClassExtendsClause:()=>M3,isExternalModule:()=>sc,isExternalModuleAugmentation:()=>WE,isExternalModuleImportEqualsDeclaration:()=>h0,isExternalModuleIndicator:()=>EL,isExternalModuleNameRelative:()=>Yc,isExternalModuleReference:()=>Ah,isExternalModuleSymbol:()=>mO,isExternalOrCommonJsModule:()=>zp,isFileLevelReservedGeneratedIdentifier:()=>hL,isFileLevelUniqueName:()=>V4,isFileProbablyExternalModule:()=>uw,isFirstDeclarationOfSymbolParameter:()=>xX,isFixablePromiseHandler:()=>uY,isForInOrOfStatement:()=>nR,isForInStatement:()=>aF,isForInitializer:()=>Af,isForOfStatement:()=>Qk,isForStatement:()=>HS,isFunctionBlock:()=>BE,isFunctionBody:()=>dj,isFunctionDeclaration:()=>Ac,isFunctionExpression:()=>Os,isFunctionExpressionOrArrowFunction:()=>QE,isFunctionLike:()=>ea,isFunctionLikeDeclaration:()=>Bs,isFunctionLikeKind:()=>PA,isFunctionLikeOrClassStaticBlockDeclaration:()=>tR,isFunctionOrConstructorTypeNode:()=>Gre,isFunctionOrModuleBlock:()=>aj,isFunctionSymbol:()=>jie,isFunctionTypeNode:()=>Eh,isFutureReservedKeyword:()=>QSe,isGeneratedIdentifier:()=>sl,isGeneratedPrivateIdentifier:()=>gS,isGetAccessor:()=>Fy,isGetAccessorDeclaration:()=>rf,isGetOrSetAccessorDeclaration:()=>T4,isGlobalDeclaration:()=>BDe,isGlobalScopeAugmentation:()=>R_,isGrammarError:()=>die,isHeritageClause:()=>of,isHoistedFunction:()=>Y4,isHoistedVariableStatement:()=>$4,isIdentifier:()=>Ve,isIdentifierANonContextualKeyword:()=>nU,isIdentifierName:()=>Xie,isIdentifierOrThisTypeNode:()=>fse,isIdentifierPart:()=>s0,isIdentifierStart:()=>$h,isIdentifierText:()=>tf,isIdentifierTypePredicate:()=>Mie,isIdentifierTypeReference:()=>Zoe,isIfStatement:()=>US,isIgnoredFileFromWildCardWatching:()=>Vw,isImplicitGlob:()=>WU,isImportAttribute:()=>qae,isImportAttributeName:()=>zre,isImportAttributes:()=>mI,isImportCall:()=>Bp,isImportClause:()=>Sh,isImportDeclaration:()=>kc,isImportEqualsDeclaration:()=>tu,isImportKeyword:()=>gP,isImportMeta:()=>dR,isImportOrExportSpecifier:()=>CA,isImportOrExportSpecifierName:()=>Zue,isImportSpecifier:()=>np,isImportTypeAssertionContainer:()=>oAe,isImportTypeNode:()=>ug,isImportableFile:()=>$X,isInComment:()=>Qv,isInCompoundLikeAssignment:()=>Yj,isInExpressionContext:()=>s3,isInJSDoc:()=>GL,isInJSFile:()=>lr,isInJSXText:()=>kue,isInJsonFile:()=>c3,isInNonReferenceComment:()=>Gue,isInReferenceComment:()=>Bue,isInRightSideOfInternalImportEqualsDeclaration:()=>$7,isInString:()=>CI,isInTemplateString:()=>aX,isInTopLevelContext:()=>r3,isInTypeQuery:()=>kS,isIncrementalCompilation:()=>uP,isIndexSignatureDeclaration:()=>t1,isIndexedAccessTypeNode:()=>jS,isInferTypeNode:()=>BS,isInfinityOrNaNString:()=>iP,isInitializedProperty:()=>ww,isInitializedVariable:()=>vk,isInsideJsxElement:()=>l5,isInsideJsxElementOrAttribute:()=>Lue,isInsideNodeModules:()=>IO,isInsideTemplateLiteral:()=>pO,isInstanceOfExpression:()=>L3,isInstantiatedModule:()=>Kq,isInterfaceDeclaration:()=>hd,isInternalDeclaration:()=>_j,isInternalModuleImportEqualsDeclaration:()=>hR,isInternalName:()=>KH,isIntersectionTypeNode:()=>uI,isIntrinsicJsxName:()=>DR,isIterationStatement:()=>Wy,isJSDoc:()=>n_,isJSDocAllType:()=>$ae,isJSDocAugmentsTag:()=>vI,isJSDocAuthorTag:()=>uAe,isJSDocCallbackTag:()=>FH,isJSDocClassTag:()=>Zae,isJSDocCommentContainingNode:()=>k4,isJSDocConstructSignature:()=>ER,isJSDocDeprecatedTag:()=>jH,isJSDocEnumTag:()=>ew,isJSDocFunctionType:()=>QR,isJSDocImplementsTag:()=>dF,isJSDocIndexSignature:()=>u3,isJSDocLikeText:()=>rq,isJSDocLink:()=>Xae,isJSDocLinkCode:()=>Yae,isJSDocLinkLike:()=>kA,isJSDocLinkPlain:()=>lAe,isJSDocMemberName:()=>R0,isJSDocNameReference:()=>IP,isJSDocNamepathType:()=>cAe,isJSDocNamespaceBody:()=>LSe,isJSDocNode:()=>rR,isJSDocNonNullableType:()=>sF,isJSDocNullableType:()=>$R,isJSDocOptionalParameter:()=>Rk,isJSDocOptionalType:()=>WH,isJSDocOverloadTag:()=>ZR,isJSDocOverrideTag:()=>cF,isJSDocParameterTag:()=>r_,isJSDocPrivateTag:()=>BH,isJSDocPropertyLikeTag:()=>fC,isJSDocPropertyTag:()=>ese,isJSDocProtectedTag:()=>GH,isJSDocPublicTag:()=>zH,isJSDocReadonlyTag:()=>VH,isJSDocReturnTag:()=>uF,isJSDocSatisfiesExpression:()=>qU,isJSDocSatisfiesTag:()=>pF,isJSDocSeeTag:()=>dAe,isJSDocSignature:()=>D0,isJSDocTag:()=>iR,isJSDocTemplateTag:()=>sm,isJSDocThisTag:()=>UH,isJSDocThrowsTag:()=>fAe,isJSDocTypeAlias:()=>Zf,isJSDocTypeAssertion:()=>tD,isJSDocTypeExpression:()=>d1,isJSDocTypeLiteral:()=>XS,isJSDocTypeTag:()=>xP,isJSDocTypedefTag:()=>YS,isJSDocUnknownTag:()=>pAe,isJSDocUnknownType:()=>Qae,isJSDocVariadicType:()=>lF,isJSXTagName:()=>_R,isJsonEqual:()=>nW,isJsonSourceFile:()=>Qf,isJsxAttribute:()=>F_,isJsxAttributeLike:()=>M4,isJsxAttributeName:()=>sae,isJsxAttributes:()=>l1,isJsxChild:()=>AL,isJsxClosingElement:()=>a1,isJsxClosingFragment:()=>Jae,isJsxElement:()=>dg,isJsxExpression:()=>TP,isJsxFragment:()=>s1,isJsxNamespacedName:()=>t_,isJsxOpeningElement:()=>W_,isJsxOpeningFragment:()=>hI,isJsxOpeningLikeElement:()=>ud,isJsxOpeningLikeElementTagName:()=>Aue,isJsxSelfClosingElement:()=>JS,isJsxSpreadAttribute:()=>gI,isJsxTagNameExpression:()=>vC,isJsxText:()=>nI,isJumpStatementTarget:()=>aO,isKeyword:()=>Bd,isKeywordOrPunctuation:()=>v3,isKnownSymbol:()=>lk,isLabelName:()=>$K,isLabelOfLabeledStatement:()=>YK,isLabeledStatement:()=>o1,isLateVisibilityPaintedStatement:()=>q4,isLeftHandSideExpression:()=>ep,isLeftHandSideOfAssignment:()=>uTe,isLet:()=>X4,isLineBreak:()=>Hu,isLiteralComputedPropertyDeclarationName:()=>ik,isLiteralExpression:()=>kE,isLiteralExpressionOfObject:()=>rj,isLiteralImportTypeNode:()=>Vy,isLiteralKind:()=>mC,isLiteralLikeAccess:()=>f3,isLiteralLikeElementAccess:()=>qL,isLiteralNameOfPropertyDeclarationOrIndexAccess:()=>e5,isLiteralTypeLikeExpression:()=>yAe,isLiteralTypeLiteral:()=>qre,isLiteralTypeNode:()=>Zy,isLocalName:()=>qg,isLogicalOperator:()=>goe,isLogicalOrCoalescingAssignmentExpression:()=>yU,isLogicalOrCoalescingAssignmentOperator:()=>BC,isLogicalOrCoalescingBinaryExpression:()=>mk,isLogicalOrCoalescingBinaryOperator:()=>fk,isMappedTypeNode:()=>JR,isMemberName:()=>Qh,isMetaProperty:()=>vP,isMethodDeclaration:()=>ql,isMethodOrAccessor:()=>MA,isMethodSignature:()=>bh,isMinusToken:()=>DH,isMissingDeclaration:()=>sAe,isMissingPackageJsonInfo:()=>Kse,isModifier:()=>xa,isModifierKind:()=>Wv,isModifierLike:()=>ll,isModuleAugmentationExternal:()=>Ij,isModuleBlock:()=>O_,isModuleBody:()=>Xre,isModuleDeclaration:()=>Xl,isModuleExportsAccessExpression:()=>rg,isModuleIdentifier:()=>Uj,isModuleName:()=>hse,isModuleOrEnumDeclaration:()=>SL,isModuleReference:()=>eie,isModuleSpecifierLike:()=>g5,isModuleWithStringLiteralName:()=>H4,isNameOfFunctionDeclaration:()=>tX,isNameOfModuleDeclaration:()=>eX,isNamedClassElement:()=>DSe,isNamedDeclaration:()=>ld,isNamedEvaluation:()=>hp,isNamedEvaluationSource:()=>rU,isNamedExportBindings:()=>ej,isNamedExports:()=>Pf,isNamedImportBindings:()=>pj,isNamedImports:()=>Hg,isNamedImportsOrExports:()=>B3,isNamedTupleMember:()=>qR,isNamespaceBody:()=>MSe,isNamespaceExport:()=>Th,isNamespaceExportDeclaration:()=>Zk,isNamespaceImport:()=>nb,isNamespaceReexportDeclaration:()=>zie,isNewExpression:()=>r1,isNewExpressionTarget:()=>r2,isNoSubstitutionTemplateLiteral:()=>rI,isNode:()=>xSe,isNodeArray:()=>LE,isNodeArrayMultiLine:()=>xoe,isNodeDescendantOf:()=>jE,isNodeKind:()=>mL,isNodeLikeSystem:()=>AG,isNodeModulesDirectory:()=>d4,isNodeWithPossibleHoistedDeclaration:()=>qie,isNonContextualKeyword:()=>tU,isNonExportDefaultModifier:()=>EAe,isNonGlobalAmbientModule:()=>Aj,isNonGlobalDeclaration:()=>mde,isNonNullAccess:()=>aae,isNonNullChain:()=>x4,isNonNullExpression:()=>fI,isNonStaticMethodOrAccessorWithPrivateName:()=>Dle,isNotEmittedOrPartiallyEmittedNode:()=>PSe,isNotEmittedStatement:()=>wH,isNullishCoalesce:()=>ZV,isNumber:()=>Cv,isNumericLiteral:()=>vp,isNumericLiteralName:()=>cg,isObjectBindingElementWithoutPropertyName:()=>gO,isObjectBindingOrAssignmentElement:()=>yL,isObjectBindingOrAssignmentPattern:()=>sj,isObjectBindingPattern:()=>am,isObjectLiteralElement:()=>fj,isObjectLiteralElementLike:()=>Wg,isObjectLiteralExpression:()=>Oa,isObjectLiteralMethod:()=>xm,isObjectLiteralOrClassExpressionMethodOrAccessor:()=>Z4,isObjectTypeDeclaration:()=>qA,isOctalDigit:()=>_4,isOmittedExpression:()=>jc,isOptionalChain:()=>qu,isOptionalChainRoot:()=>uC,isOptionalDeclaration:()=>aP,isOptionalJSDocPropertyLikeTag:()=>xk,isOptionalTypeNode:()=>tF,isOuterExpression:()=>hF,isOutermostOptionalChain:()=>dC,isOverrideModifier:()=>Wae,isPackageJsonInfo:()=>FF,isPackedArrayLiteral:()=>UU,isParameter:()=>Ao,isParameterDeclaration:()=>HE,isParameterPropertyDeclaration:()=>mp,isParameterPropertyModifier:()=>_C,isParenthesizedExpression:()=>Gd,isParenthesizedTypeNode:()=>GS,isParseTreeNode:()=>cC,isPartOfTypeNode:()=>tg,isPartOfTypeQuery:()=>l3,isPartiallyEmittedExpression:()=>oF,isPatternMatch:()=>z6,isPinnedComment:()=>j4,isPlainJsFile:()=>RL,isPlusToken:()=>RH,isPossiblyTypeArgumentPosition:()=>dO,isPostfixUnaryExpression:()=>PH,isPrefixUnaryExpression:()=>tb,isPrivateIdentifier:()=>Ji,isPrivateIdentifierClassElementDeclaration:()=>cd,isPrivateIdentifierPropertyAccessExpression:()=>eR,isPrivateIdentifierSymbol:()=>$ie,isProgramBundleEmitBuildInfo:()=>Pce,isProgramUptoDate:()=>UJ,isPrologueDirective:()=>Im,isPropertyAccessChain:()=>A4,isPropertyAccessEntityNameExpression:()=>_k,isPropertyAccessExpression:()=>Fr,isPropertyAccessOrQualifiedName:()=>Ure,isPropertyAccessOrQualifiedNameOrImportTypeNode:()=>jre,isPropertyAssignment:()=>hc,isPropertyDeclaration:()=>Jo,isPropertyName:()=>oc,isPropertyNameLiteral:()=>N_,isPropertySignature:()=>yp,isProtoSetter:()=>Qie,isPrototypeAccess:()=>Hy,isPrototypePropertyAssignment:()=>XL,isPunctuation:()=>eU,isPushOrUnshiftIdentifier:()=>iU,isQualifiedName:()=>xd,isQuestionDotToken:()=>ZW,isQuestionOrExclamationToken:()=>pse,isQuestionOrPlusOrMinusToken:()=>_se,isQuestionToken:()=>$y,isRawSourceMap:()=>Ale,isReadonlyKeyword:()=>wae,isReadonlyKeywordOrPlusOrMinusToken:()=>mse,isRecognizedTripleSlashComment:()=>bj,isReferenceFileLocation:()=>gD,isReferencedFile:()=>w0,isRegularExpressionLiteral:()=>IH,isRequireCall:()=>Ad,isRequireVariableStatement:()=>Vj,isRestParameter:()=>Zh,isRestTypeNode:()=>nF,isReturnStatement:()=>Dm,isReturnStatementWithFixablePromiseHandler:()=>j5,isRightSideOfAccessExpression:()=>SU,isRightSideOfInstanceofExpression:()=>yoe,isRightSideOfPropertyAccess:()=>AD,isRightSideOfQualifiedName:()=>xue,isRightSideOfQualifiedNameOrPropertyAccess:()=>VC,isRightSideOfQualifiedNameOrPropertyAccessOrJSDocMemberName:()=>voe,isRootedDiskPath:()=>fp,isSameEntityName:()=>gR,isSatisfiesExpression:()=>MH,isScopeMarker:()=>Jre,isSemicolonClassElement:()=>jae,isSetAccessor:()=>Fv,isSetAccessorDeclaration:()=>bp,isShebangTrivia:()=>GV,isShiftOperatorOrHigher:()=>eq,isShorthandAmbientModuleSymbol:()=>EC,isShorthandPropertyAssignment:()=>rp,isSignedNumericLiteral:()=>b3,isSimpleCopiableExpression:()=>_1,isSimpleInlineableExpression:()=>Rh,isSimpleParameter:()=>Lle,isSimpleParameterList:()=>Ow,isSingleOrDoubleQuote:()=>VL,isSourceFile:()=>$i,isSourceFileFromLibrary:()=>MD,isSourceFileJS:()=>dd,isSourceFileNotJS:()=>USe,isSourceFileNotJson:()=>Gj,isSourceMapping:()=>Ile,isSpecialPropertyDeclaration:()=>Vie,isSpreadAssignment:()=>Xv,isSpreadElement:()=>e_,isStatement:()=>qi,isStatementButNotDeclaration:()=>TL,isStatementOrBlock:()=>Zre,isStatementWithLocals:()=>uie,isStatic:()=>sa,isStaticModifier:()=>aI,isString:()=>Po,isStringAKeyword:()=>ZSe,isStringANonContextualKeyword:()=>GA,isStringAndEmptyAnonymousObjectIntersection:()=>zue,isStringDoubleQuoted:()=>p3,isStringLiteral:()=>Ma,isStringLiteralLike:()=>ls,isStringLiteralOrJsxExpression:()=>tie,isStringLiteralOrTemplate:()=>ode,isStringOrNumericLiteralLike:()=>nf,isStringOrRegularExpressionOrTemplateLiteral:()=>cX,isStringTextContainingNode:()=>ij,isSuperCall:()=>IS,isSuperKeyword:()=>hP,isSuperOrSuperProperty:()=>jSe,isSuperProperty:()=>zd,isSupportedSourceFileName:()=>Xoe,isSwitchStatement:()=>EP,isSyntaxList:()=>eD,isSyntheticExpression:()=>ZTe,isSyntheticReference:()=>_I,isTagName:()=>QK,isTaggedTemplateExpression:()=>i1,isTaggedTemplateTag:()=>Sue,isTemplateExpression:()=>rF,isTemplateHead:()=>iI,isTemplateLiteral:()=>LA,isTemplateLiteralKind:()=>wy,isTemplateLiteralToken:()=>Wre,isTemplateLiteralTypeNode:()=>Bae,isTemplateLiteralTypeSpan:()=>CH,isTemplateMiddle:()=>xH,isTemplateMiddleOrTemplateTail:()=>R4,isTemplateSpan:()=>bP,isTemplateTail:()=>$W,isTextWhiteSpaceLike:()=>Hue,isThis:()=>ID,isThisContainerOrFunctionBlock:()=>Oie,isThisIdentifier:()=>KE,isThisInTypeQuery:()=>VA,isThisInitializedDeclaration:()=>i3,isThisInitializedObjectBindingExpression:()=>Fie,isThisProperty:()=>FL,isThisTypeNode:()=>Yk,isThisTypeParameter:()=>oP,isThisTypePredicate:()=>VSe,isThrowStatement:()=>kH,isToken:()=>NA,isTokenKind:()=>nj,isTraceEnabled:()=>Jg,isTransientSymbol:()=>mh,isTrivia:()=>IR,isTryStatement:()=>qS,isTupleTypeNode:()=>cI,isTypeAlias:()=>QL,isTypeAliasDeclaration:()=>Nm,isTypeAssertionExpression:()=>Gae,isTypeDeclaration:()=>BR,isTypeElement:()=>yS,isTypeKeyword:()=>a2,isTypeKeywordToken:()=>_X,isTypeKeywordTokenOrIdentifier:()=>f5,isTypeLiteralNode:()=>Ep,isTypeNode:()=>ji,isTypeNodeKind:()=>CU,isTypeOfExpression:()=>KR,isTypeOnlyExportDeclaration:()=>Fre,isTypeOnlyImportDeclaration:()=>_L,isTypeOnlyImportOrExportDeclaration:()=>m0,isTypeOperatorNode:()=>VS,isTypeParameterDeclaration:()=>gl,isTypePredicateNode:()=>Kk,isTypeQueryNode:()=>lI,isTypeReferenceNode:()=>Cf,isTypeReferenceType:()=>O4,isTypeUsableAsPropertyName:()=>rm,isUMDExportSymbol:()=>z3,isUnaryExpression:()=>uj,isUnaryExpressionWithWrite:()=>Hre,isUnicodeIdentifierStart:()=>f4,isUnionTypeNode:()=>Qy,isUnparsedNode:()=>tj,isUnparsedPrepend:()=>Kae,isUnparsedSource:()=>KS,isUnparsedTextLike:()=>Ore,isUrl:()=>rre,isValidBigIntString:()=>rW,isValidESSymbolDeclaration:()=>Cie,isValidTypeOnlyAliasUseSite:()=>T0,isValueSignatureDeclaration:()=>Jie,isVarAwaitUsing:()=>LL,isVarConst:()=>uR,isVarUsing:()=>kL,isVariableDeclaration:()=>wi,isVariableDeclarationInVariableStatement:()=>TC,isVariableDeclarationInitializedToBareOrAccessedRequire:()=>GE,isVariableDeclarationInitializedToRequire:()=>d3,isVariableDeclarationList:()=>Uc,isVariableLike:()=>pR,isVariableLikeOrAccessor:()=>Die,isVariableStatement:()=>Ll,isVoidExpression:()=>pI,isWatchSet:()=>fTe,isWhileStatement:()=>tAe,isWhiteSpaceLike:()=>wg,isWhiteSpaceSingleLine:()=>A_,isWithStatement:()=>Uae,isWriteAccess:()=>HA,isWriteOnlyAccess:()=>F3,isYieldExpression:()=>iF,jsxModeNeedsExplicitImport:()=>UX,keywordPart:()=>Tp,last:()=>Ya,lastOrUndefined:()=>tl,length:()=>Me,libMap:()=>DF,libs:()=>yw,lineBreakPart:()=>CD,linkNamePart:()=>$ue,linkPart:()=>DX,linkTextPart:()=>b5,listFiles:()=>cK,loadModuleFromGlobalCache:()=>ile,loadWithModeAwareCache:()=>qw,makeIdentifierFromModuleName:()=>_ie,makeImport:()=>ey,makeImportIfNecessary:()=>Uue,makeStringLiteral:()=>MI,mangleScopedPackageName:()=>pD,map:()=>wt,mapAllOrFail:()=>RE,mapDefined:()=>Hi,mapDefinedEntries:()=>C6,mapDefinedIterator:()=>Pg,mapEntries:()=>Ane,mapIterator:()=>Ia,mapOneOrMany:()=>GX,mapToDisplayParts:()=>lb,matchFiles:()=>FU,matchPatternOrExact:()=>zU,matchedText:()=>Fne,matchesExclude:()=>RF,maybeBind:()=>oa,maybeSetLocalizedDiagnosticMessages:()=>Woe,memoize:()=>Td,memoizeCached:()=>Pne,memoizeOne:()=>uh,memoizeWeak:()=>b1e,metadataHelper:()=>AW,min:()=>yG,minAndMax:()=>$oe,missingFileModifiedTime:()=>Op,modifierToFlag:()=>UA,modifiersToFlags:()=>M_,moduleOptionDeclaration:()=>bq,moduleResolutionIsEqualTo:()=>lie,moduleResolutionNameAndModeGetter:()=>R7,moduleResolutionOptionDeclarations:()=>NF,moduleResolutionSupportsPackageJsonExportsAndImports:()=>KA,moduleResolutionUsesNodeModules:()=>m5,moduleSpecifiers:()=>m1,moveEmitHelpers:()=>Aae,moveRangeEnd:()=>O3,moveRangePastDecorators:()=>Gg,moveRangePastModifiers:()=>L_,moveRangePos:()=>E0,moveSyntheticComments:()=>Eae,mutateMap:()=>JC,mutateMapSkippingNewValues:()=>sg,needsParentheses:()=>S5,needsScopeMarker:()=>C4,newCaseClauseTracker:()=>O5,newPrivateEnvironment:()=>Ple,noEmitNotification:()=>Ww,noEmitSubstitution:()=>qP,noTransformers:()=>bJ,noTruncationMaximumTruncationLength:()=>dW,nodeCanBeDecorated:()=>a3,nodeHasName:()=>uL,nodeIsDecorated:()=>mR,nodeIsMissing:()=>zl,nodeIsPresent:()=>Yf,nodeIsSynthesized:()=>$s,nodeModuleNameResolver:()=>Qse,nodeModulesPathPart:()=>xh,nodeNextJsonConfigResolver:()=>Zse,nodeOrChildIsDecorated:()=>BL,nodeOverlapsWithStartEnd:()=>t5,nodePosToString:()=>wSe,nodeSeenTracker:()=>PI,nodeStartsNewLexicalEnvironment:()=>oU,nodeToDisplayParts:()=>kDe,noop:()=>$a,noopFileWatcher:()=>SD,normalizePath:()=>ga,normalizeSlashes:()=>Lu,not:()=>G6,notImplemented:()=>Ko,notImplementedResolver:()=>h7,nullNodeConverters:()=>pH,nullParenthesizerRules:()=>dH,nullTransformationContext:()=>JP,objectAllocator:()=>lu,operatorPart:()=>c2,optionDeclarations:()=>pg,optionMapToObject:()=>AF,optionsAffectingProgramStructure:()=>Iq,optionsForBuild:()=>Rq,optionsForWatch:()=>sD,optionsHaveChanges:()=>oR,optionsHaveModuleResolutionChanges:()=>oie,or:()=>Ym,orderedRemoveItem:()=>Gx,orderedRemoveItemAt:()=>Dy,outFile:()=>Ps,packageIdToPackageName:()=>B4,packageIdToString:()=>By,paramHelper:()=>IW,parameterIsThisKeyword:()=>JE,parameterNamePart:()=>Jue,parseBaseNodeFactory:()=>lq,parseBigInt:()=>Qoe,parseBuildCommand:()=>ZAe,parseCommandLine:()=>$Ae,parseCommandLineWorker:()=>dq,parseConfigFileTextToJson:()=>fq,parseConfigFileWithSystem:()=>kRe,parseConfigHostFromCompilerHostLike:()=>x7,parseCustomTypeOption:()=>TF,parseIsolatedEntityName:()=>bI,parseIsolatedJSDocComment:()=>Tse,parseJSDocTypeExpressionForTests:()=>FAe,parseJsonConfigFileContent:()=>fIe,parseJsonSourceFileConfigFileContent:()=>hw,parseJsonText:()=>pw,parseListTypeOption:()=>Ise,parseNodeFactory:()=>Ih,parseNodeModuleFromPath:()=>xw,parsePackageName:()=>Nw,parsePseudoBigInt:()=>eP,parseValidBigInt:()=>jU,patchWriteFileEnsuringDirectory:()=>tre,pathContainsNodeModules:()=>L0,pathIsAbsolute:()=>nC,pathIsBareSpecifier:()=>WV,pathIsRelative:()=>Wp,patternText:()=>Wne,perfLogger:()=>ad,performIncrementalCompilation:()=>FRe,performance:()=>Xne,plainJSErrors:()=>D7,positionBelongsToNode:()=>rX,positionIsASICandidate:()=>x5,positionIsSynthesized:()=>Zm,positionsAreOnSameLine:()=>Rf,preProcessFile:()=>sNe,probablyUsesSemicolons:()=>TO,processCommentPragmas:()=>aq,processPragmasIntoFields:()=>sq,processTaggedTemplateExpression:()=>fJ,programContainsEsModules:()=>jue,programContainsModules:()=>Vue,projectReferenceIsEqualTo:()=>gj,propKeyHelper:()=>FW,propertyNamePart:()=>Kue,pseudoBigIntToString:()=>$E,punctuationPart:()=>$u,pushIfUnique:()=>Tf,quote:()=>p2,quotePreferenceFromString:()=>yX,rangeContainsPosition:()=>sO,rangeContainsPositionExclusive:()=>lO,rangeContainsRange:()=>cf,rangeContainsRangeExclusive:()=>Due,rangeContainsStartEnd:()=>cO,rangeEndIsOnSameLineAsRangeStart:()=>gk,rangeEndPositionsAreOnSameLine:()=>Aoe,rangeEquals:()=>pG,rangeIsOnSingleLine:()=>OS,rangeOfNode:()=>GU,rangeOfTypeParameters:()=>VU,rangeOverlapsWithStartEnd:()=>i2,rangeStartIsOnSameLineAsRangeEnd:()=>Ioe,rangeStartPositionsAreOnSameLine:()=>W3,readBuilderProgram:()=>B7,readConfigFile:()=>mw,readHelper:()=>OW,readJson:()=>jC,readJsonConfigFile:()=>Dse,readJsonOrUndefined:()=>AU,reduceEachLeadingCommentRange:()=>cre,reduceEachTrailingCommentRange:()=>ure,reduceLeft:()=>od,reduceLeftIterator:()=>Pr,reducePathComponents:()=>_S,refactor:()=>wI,regExpEscape:()=>bTe,relativeComplement:()=>Ine,removeAllComments:()=>Fk,removeEmitHelper:()=>YTe,removeExtension:()=>Tk,removeFileExtension:()=>Id,removeIgnoredPath:()=>P7,removeMinAndVersionNumbers:()=>bG,removeOptionality:()=>wue,removePrefix:()=>QN,removeSuffix:()=>Bx,removeTrailingDirectorySeparator:()=>o0,repeatString:()=>_O,replaceElement:()=>_G,replaceFirstStar:()=>$A,resolutionExtensionIsTSOrJson:()=>$C,resolveConfigFileProjectName:()=>bK,resolveJSModule:()=>Yse,resolveLibrary:()=>BF,resolveModuleName:()=>uD,resolveModuleNameFromCache:()=>YIe,resolvePackageNameToPackageJson:()=>Cq,resolvePath:()=>Py,resolveProjectReferencePath:()=>vD,resolveTripleslashReference:()=>b7,resolveTypeReferenceDirective:()=>qse,resolvingEmptyArray:()=>uW,restHelper:()=>MW,returnFalse:()=>Xm,returnNoopFileWatcher:()=>TD,returnTrue:()=>Pv,returnUndefined:()=>r0,returnsPromise:()=>cY,runInitializersHelper:()=>RW,sameFlatMap:()=>vm,sameMap:()=>eo,sameMapping:()=>Wxe,scanShebangTrivia:()=>VV,scanTokenAtPosition:()=>Iie,scanner:()=>Qu,screenStartingMessageCodes:()=>z7,semanticDiagnosticsOptionDeclarations:()=>Sq,serializeCompilerOptions:()=>IF,server:()=>a8e,servicesVersion:()=>Ope,setCommentRange:()=>ac,setConfigFileInOptions:()=>vq,setConstantValue:()=>Tae,setEachParent:()=>zR,setEmitFlags:()=>pr,setFunctionNameHelper:()=>zW,setGetSourceFileAsHashVersioned:()=>F7,setIdentifierAutoGenerate:()=>Gk,setIdentifierGeneratedImportReference:()=>Rae,setIdentifierTypeArguments:()=>Jv,setInternalEmitFlags:()=>zk,setLocalizedDiagnosticMessages:()=>Ooe,setModuleDefaultHelper:()=>VW,setNodeFlags:()=>tae,setObjectAllocator:()=>woe,setOriginalNode:()=>Cr,setParent:()=>qa,setParentRecursive:()=>Jy,setPrivateIdentifier:()=>eT,setSnippetElement:()=>bH,setSourceMapRange:()=>Pa,setStackTraceLimit:()=>G1e,setStartsOnNewLine:()=>bW,setSyntheticLeadingComments:()=>I0,setSyntheticTrailingComments:()=>ZA,setSys:()=>q1e,setSysLog:()=>Zne,setTextRange:()=>dt,setTextRangeEnd:()=>FR,setTextRangePos:()=>tP,setTextRangePosEnd:()=>vh,setTextRangePosWidth:()=>nP,setTokenSourceMapRange:()=>bae,setTypeNode:()=>Iae,setUILocale:()=>kne,setValueDeclaration:()=>YL,shouldAllowImportingTsExtension:()=>fD,shouldPreserveConstEnums:()=>e1,shouldUseUriStyleNodeCoreModules:()=>k5,showModuleSpecifier:()=>Coe,signatureHasLiteralTypes:()=>Xq,signatureHasRestParameter:()=>Yu,signatureToDisplayParts:()=>NX,single:()=>mG,singleElementArray:()=>AA,singleIterator:()=>P6,singleOrMany:()=>lh,singleOrUndefined:()=>sh,skipAlias:()=>bu,skipAssertions:()=>hAe,skipConstraint:()=>hX,skipOuterExpressions:()=>$l,skipParentheses:()=>hs,skipPartiallyEmittedExpressions:()=>Tm,skipTrivia:()=>ka,skipTypeChecking:()=>ZC,skipTypeParentheses:()=>rk,skipWhile:()=>Bne,sliceAfter:()=>BU,some:()=>bt,sort:()=>uS,sortAndDeduplicate:()=>KN,sortAndDeduplicateDiagnostics:()=>Yx,sourceFileAffectingCompilerOptions:()=>CF,sourceFileMayBeEmitted:()=>MS,sourceMapCommentRegExp:()=>e7,sourceMapCommentRegExpDontCareLineStart:()=>rJ,spacePart:()=>wl,spanMap:()=>lG,spreadArrayHelper:()=>WW,stableSort:()=>Dv,startEndContainsRange:()=>nX,startEndOverlapsWithStartEnd:()=>n5,startOnNewLine:()=>Xu,startTracing:()=>Yne,startsWith:()=>uo,startsWithDirectory:()=>zV,startsWithUnderscore:()=>jX,startsWithUseStrict:()=>ase,stringContainsAt:()=>fde,stringToToken:()=>PE,stripQuotes:()=>tm,supportedDeclarationExtensions:()=>Mk,supportedJSExtensions:()=>lH,supportedJSExtensionsFlat:()=>VR,supportedLocaleDirectories:()=>hj,supportedTSExtensions:()=>GR,supportedTSExtensionsFlat:()=>sH,supportedTSImplementationExtensions:()=>Lk,suppressLeadingAndTrailingTrivia:()=>Ap,suppressLeadingTrivia:()=>LX,suppressTrailingTrivia:()=>nde,symbolEscapedNameNoDefault:()=>h5,symbolName:()=>Sl,symbolNameNoDefault:()=>_5,symbolPart:()=>que,symbolToDisplayParts:()=>u2,syntaxMayBeASICandidate:()=>XX,syntaxRequiresTrailingSemicolonOrASI:()=>I5,sys:()=>gu,sysLog:()=>JM,tagNamesAreEquivalent:()=>C0,takeWhile:()=>j6,targetOptionDeclaration:()=>Ew,templateObjectHelper:()=>wW,testFormatSettings:()=>Eue,textChangeRangeIsUnchanged:()=>gre,textChangeRangeNewSpan:()=>lC,textChanges:()=>_r,textOrKeywordPart:()=>RX,textPart:()=>df,textRangeContainsPositionInclusive:()=>sL,textSpanContainsPosition:()=>HV,textSpanContainsTextSpan:()=>fre,textSpanEnd:()=>Kl,textSpanIntersection:()=>hre,textSpanIntersectsWith:()=>v4,textSpanIntersectsWithPosition:()=>_re,textSpanIntersectsWithTextSpan:()=>fSe,textSpanIsEmpty:()=>pre,textSpanOverlap:()=>mre,textSpanOverlapsWith:()=>pSe,textSpansEqual:()=>ND,textToKeywordObj:()=>oL,timestamp:()=>Ys,toArray:()=>SA,toBuilderFileEmit:()=>kce,toBuilderStateFileInfoForMultiEmit:()=>Lce,toEditorSettings:()=>VO,toFileNameLowerCase:()=>ch,toLowerCase:()=>Cne,toPath:()=>ol,toProgramEmitPending:()=>wce,tokenIsIdentifierOrKeyword:()=>sd,tokenIsIdentifierOrKeywordOrGreaterThan:()=>sre,tokenToString:()=>fa,trace:()=>bo,tracing:()=>sr,tracingEnabled:()=>jM,transform:()=>oPe,transformClassFields:()=>Vle,transformDeclarations:()=>vJ,transformECMAScriptModule:()=>gJ,transformES2015:()=>rce,transformES2016:()=>nce,transformES2017:()=>qle,transformES2018:()=>Jle,transformES2019:()=>Kle,transformES2020:()=>Xle,transformES2021:()=>Yle,transformES5:()=>ice,transformESDecorators:()=>Hle,transformESNext:()=>$le,transformGenerators:()=>oce,transformJsx:()=>tce,transformLegacyDecorators:()=>Ule,transformModule:()=>hJ,transformNamedEvaluation:()=>Sp,transformNodeModule:()=>sce,transformNodes:()=>Fw,transformSystemModule:()=>ace,transformTypeScript:()=>Gle,transpile:()=>_Ne,transpileModule:()=>Mde,transpileOptionValueCompilerOptions:()=>xq,tryAddToSet:()=>Rv,tryAndIgnoreErrors:()=>N5,tryCast:()=>ii,tryDirectoryExists:()=>D5,tryExtractTSExtension:()=>k3,tryFileExists:()=>AO,tryGetClassExtendingExpressionWithTypeArguments:()=>bU,tryGetClassImplementingOrExtendingExpressionWithTypeArguments:()=>EU,tryGetDirectories:()=>R5,tryGetExtensionFromPath:()=>jg,tryGetImportFromModuleSpecifier:()=>$L,tryGetJSDocSatisfiesTypeNode:()=>sW,tryGetModuleNameFromFile:()=>ow,tryGetModuleSpecifierFromDeclaration:()=>vR,tryGetNativePerformanceHooks:()=>qne,tryGetPropertyAccessOrIdentifierToString:()=>hk,tryGetPropertyNameOfBindingOrAssignmentElement:()=>vF,tryGetSourceMappingURL:()=>Tle,tryGetTextOfPropertyName:()=>SC,tryIOAndConsumeErrors:()=>C5,tryParseJson:()=>w3,tryParsePattern:()=>WR,tryParsePatterns:()=>eW,tryParseRawSourceMap:()=>tJ,tryReadDirectory:()=>OX,tryReadFile:()=>PP,tryRemoveDirectoryPrefix:()=>OU,tryRemoveExtension:()=>Yoe,tryRemovePrefix:()=>TG,tryRemoveSuffix:()=>One,typeAcquisitionDeclarations:()=>Sw,typeAliasNamePart:()=>Xue,typeDirectiveIsEqualTo:()=>cie,typeKeywords:()=>W5,typeParameterNamePart:()=>Yue,typeToDisplayParts:()=>yO,unchangedPollThresholds:()=>KM,unchangedTextChangeRange:()=>IL,unescapeLeadingUnderscores:()=>Vi,unmangleScopedPackageName:()=>Pw,unorderedRemoveItem:()=>TA,unorderedRemoveItemAt:()=>EG,unreachableCodeIsError:()=>zoe,unusedLabelIsError:()=>Boe,unwrapInnermostStatementOfLabel:()=>Wj,updateErrorForNoInputFiles:()=>xF,updateLanguageServiceSourceFile:()=>qY,updateMissingFilePathsWatch:()=>DJ,updateResolutionField:()=>lD,updateSharedExtendedConfigFileWatcher:()=>v7,updateSourceFile:()=>iq,updateWatchingWildcardDirectories:()=>Gw,usesExtensionsOnImports:()=>Joe,usingSingleLineStringWriter:()=>yC,utf16EncodeAsString:()=>Xx,validateLocaleAndSetLanguage:()=>_Se,valuesHelper:()=>BW,version:()=>Re,versionMajorMinor:()=>ue,visitArray:()=>kw,visitCommaListElements:()=>ZF,visitEachChild:()=>In,visitFunctionBody:()=>lf,visitIterationBody:()=>Rd,visitLexicalEnvironment:()=>Zq,visitNode:()=>nt,visitNodes:()=>Vn,visitParameterList:()=>Dl,walkUpBindingElementsAndPatterns:()=>$x,walkUpLexicalEnvironments:()=>Cle,walkUpOuterExpressions:()=>sse,walkUpParenthesizedExpressions:()=>Bv,walkUpParenthesizedTypes:()=>nk,walkUpParenthesizedTypesAndGetParentAndChild:()=>Kie,whitespaceOrMapCommentRegExp:()=>t7,writeCommentRange:()=>PR,writeFile:()=>OC,writeFileEnsuringDirectories:()=>_U,zipWith:()=>yi});var l8e=T({"src/typescript/_namespaces/ts.ts"(){ra(),tO(),si(),db(),Rlt()}}),Dlt=W({"src/typescript/typescript.ts"(e,t){l8e(),l8e(),typeof console<"u"&&(N.loggingHost={log(r,i){switch(r){case 1:return console.error(i);case 2:return console.warn(i);case 3:return console.log(i);case 4:return console.log(i)}}}),t.exports=s8e}});return Dlt()})();typeof eG<"u"&&eG.exports&&(eG.exports=xE);var v9e=xE.createClassifier,d1e=xE.createLanguageService,y9e=xE.displayPartsToString,b9e=xE.EndOfLineState,E9e=xE.flattenDiagnosticMessageText,S9e=xE.IndentStyle,qN=xE.ScriptKind,T9e=xE.ScriptTarget,A9e=xE.TokenClass,p1e=xE,lo={};lo["lib.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es5" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+`,lo["lib.decorators.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/**
+ * The decorator context types provided to class element decorators.
+ */
+type ClassMemberDecoratorContext =
+ | ClassMethodDecoratorContext
+ | ClassGetterDecoratorContext
+ | ClassSetterDecoratorContext
+ | ClassFieldDecoratorContext
+ | ClassAccessorDecoratorContext;
+
+/**
+ * The decorator context types provided to any decorator.
+ */
+type DecoratorContext =
+ | ClassDecoratorContext
+ | ClassMemberDecoratorContext;
+
+type DecoratorMetadataObject = Record<PropertyKey, unknown> & object;
+
+type DecoratorMetadata = typeof globalThis extends { Symbol: { readonly metadata: symbol; }; } ? DecoratorMetadataObject : DecoratorMetadataObject | undefined;
+
+/**
+ * Context provided to a class decorator.
+ * @template Class The type of the decorated class associated with this context.
+ */
+interface ClassDecoratorContext<
+ Class extends abstract new (...args: any) => any = abstract new (...args: any) => any,
+> {
+ /** The kind of element that was decorated. */
+ readonly kind: "class";
+
+ /** The name of the decorated class. */
+ readonly name: string | undefined;
+
+ /**
+ * Adds a callback to be invoked after the class definition has been finalized.
+ *
+ * @example
+ * \`\`\`ts
+ * function customElement(name: string): ClassDecoratorFunction {
+ * return (target, context) => {
+ * context.addInitializer(function () {
+ * customElements.define(name, this);
+ * });
+ * }
+ * }
+ *
+ * @customElement("my-element")
+ * class MyElement {}
+ * \`\`\`
+ */
+ addInitializer(initializer: (this: Class) => void): void;
+
+ readonly metadata: DecoratorMetadata;
+}
+
+/**
+ * Context provided to a class method decorator.
+ * @template This The type on which the class element will be defined. For a static class element, this will be
+ * the type of the constructor. For a non-static class element, this will be the type of the instance.
+ * @template Value The type of the decorated class method.
+ */
+interface ClassMethodDecoratorContext<
+ This = unknown,
+ Value extends (this: This, ...args: any) => any = (this: This, ...args: any) => any,
+> {
+ /** The kind of class element that was decorated. */
+ readonly kind: "method";
+
+ /** The name of the decorated class element. */
+ readonly name: string | symbol;
+
+ /** A value indicating whether the class element is a static (\`true\`) or instance (\`false\`) element. */
+ readonly static: boolean;
+
+ /** A value indicating whether the class element has a private name. */
+ readonly private: boolean;
+
+ /** An object that can be used to access the current value of the class element at runtime. */
+ readonly access: {
+ /**
+ * Determines whether an object has a property with the same name as the decorated element.
+ */
+ has(object: This): boolean;
+ /**
+ * Gets the current value of the method from the provided object.
+ *
+ * @example
+ * let fn = context.access.get(instance);
+ */
+ get(object: This): Value;
+ };
+
+ /**
+ * Adds a callback to be invoked either before static initializers are run (when
+ * decorating a \`static\` element), or before instance initializers are run (when
+ * decorating a non-\`static\` element).
+ *
+ * @example
+ * \`\`\`ts
+ * const bound: ClassMethodDecoratorFunction = (value, context) {
+ * if (context.private) throw new TypeError("Not supported on private methods.");
+ * context.addInitializer(function () {
+ * this[context.name] = this[context.name].bind(this);
+ * });
+ * }
+ *
+ * class C {
+ * message = "Hello";
+ *
+ * @bound
+ * m() {
+ * console.log(this.message);
+ * }
+ * }
+ * \`\`\`
+ */
+ addInitializer(initializer: (this: This) => void): void;
+
+ readonly metadata: DecoratorMetadata;
+}
+
+/**
+ * Context provided to a class getter decorator.
+ * @template This The type on which the class element will be defined. For a static class element, this will be
+ * the type of the constructor. For a non-static class element, this will be the type of the instance.
+ * @template Value The property type of the decorated class getter.
+ */
+interface ClassGetterDecoratorContext<
+ This = unknown,
+ Value = unknown,
+> {
+ /** The kind of class element that was decorated. */
+ readonly kind: "getter";
+
+ /** The name of the decorated class element. */
+ readonly name: string | symbol;
+
+ /** A value indicating whether the class element is a static (\`true\`) or instance (\`false\`) element. */
+ readonly static: boolean;
+
+ /** A value indicating whether the class element has a private name. */
+ readonly private: boolean;
+
+ /** An object that can be used to access the current value of the class element at runtime. */
+ readonly access: {
+ /**
+ * Determines whether an object has a property with the same name as the decorated element.
+ */
+ has(object: This): boolean;
+ /**
+ * Invokes the getter on the provided object.
+ *
+ * @example
+ * let value = context.access.get(instance);
+ */
+ get(object: This): Value;
+ };
+
+ /**
+ * Adds a callback to be invoked either before static initializers are run (when
+ * decorating a \`static\` element), or before instance initializers are run (when
+ * decorating a non-\`static\` element).
+ */
+ addInitializer(initializer: (this: This) => void): void;
+
+ readonly metadata: DecoratorMetadata;
+}
+
+/**
+ * Context provided to a class setter decorator.
+ * @template This The type on which the class element will be defined. For a static class element, this will be
+ * the type of the constructor. For a non-static class element, this will be the type of the instance.
+ * @template Value The type of the decorated class setter.
+ */
+interface ClassSetterDecoratorContext<
+ This = unknown,
+ Value = unknown,
+> {
+ /** The kind of class element that was decorated. */
+ readonly kind: "setter";
+
+ /** The name of the decorated class element. */
+ readonly name: string | symbol;
+
+ /** A value indicating whether the class element is a static (\`true\`) or instance (\`false\`) element. */
+ readonly static: boolean;
+
+ /** A value indicating whether the class element has a private name. */
+ readonly private: boolean;
+
+ /** An object that can be used to access the current value of the class element at runtime. */
+ readonly access: {
+ /**
+ * Determines whether an object has a property with the same name as the decorated element.
+ */
+ has(object: This): boolean;
+ /**
+ * Invokes the setter on the provided object.
+ *
+ * @example
+ * context.access.set(instance, value);
+ */
+ set(object: This, value: Value): void;
+ };
+
+ /**
+ * Adds a callback to be invoked either before static initializers are run (when
+ * decorating a \`static\` element), or before instance initializers are run (when
+ * decorating a non-\`static\` element).
+ */
+ addInitializer(initializer: (this: This) => void): void;
+
+ readonly metadata: DecoratorMetadata;
+}
+
+/**
+ * Context provided to a class \`accessor\` field decorator.
+ * @template This The type on which the class element will be defined. For a static class element, this will be
+ * the type of the constructor. For a non-static class element, this will be the type of the instance.
+ * @template Value The type of decorated class field.
+ */
+interface ClassAccessorDecoratorContext<
+ This = unknown,
+ Value = unknown,
+> {
+ /** The kind of class element that was decorated. */
+ readonly kind: "accessor";
+
+ /** The name of the decorated class element. */
+ readonly name: string | symbol;
+
+ /** A value indicating whether the class element is a static (\`true\`) or instance (\`false\`) element. */
+ readonly static: boolean;
+
+ /** A value indicating whether the class element has a private name. */
+ readonly private: boolean;
+
+ /** An object that can be used to access the current value of the class element at runtime. */
+ readonly access: {
+ /**
+ * Determines whether an object has a property with the same name as the decorated element.
+ */
+ has(object: This): boolean;
+
+ /**
+ * Invokes the getter on the provided object.
+ *
+ * @example
+ * let value = context.access.get(instance);
+ */
+ get(object: This): Value;
+
+ /**
+ * Invokes the setter on the provided object.
+ *
+ * @example
+ * context.access.set(instance, value);
+ */
+ set(object: This, value: Value): void;
+ };
+
+ /**
+ * Adds a callback to be invoked either before static initializers are run (when
+ * decorating a \`static\` element), or before instance initializers are run (when
+ * decorating a non-\`static\` element).
+ */
+ addInitializer(initializer: (this: This) => void): void;
+
+ readonly metadata: DecoratorMetadata;
+}
+
+/**
+ * Describes the target provided to class \`accessor\` field decorators.
+ * @template This The \`this\` type to which the target applies.
+ * @template Value The property type for the class \`accessor\` field.
+ */
+interface ClassAccessorDecoratorTarget<This, Value> {
+ /**
+ * Invokes the getter that was defined prior to decorator application.
+ *
+ * @example
+ * let value = target.get.call(instance);
+ */
+ get(this: This): Value;
+
+ /**
+ * Invokes the setter that was defined prior to decorator application.
+ *
+ * @example
+ * target.set.call(instance, value);
+ */
+ set(this: This, value: Value): void;
+}
+
+/**
+ * Describes the allowed return value from a class \`accessor\` field decorator.
+ * @template This The \`this\` type to which the target applies.
+ * @template Value The property type for the class \`accessor\` field.
+ */
+interface ClassAccessorDecoratorResult<This, Value> {
+ /**
+ * An optional replacement getter function. If not provided, the existing getter function is used instead.
+ */
+ get?(this: This): Value;
+
+ /**
+ * An optional replacement setter function. If not provided, the existing setter function is used instead.
+ */
+ set?(this: This, value: Value): void;
+
+ /**
+ * An optional initializer mutator that is invoked when the underlying field initializer is evaluated.
+ * @param value The incoming initializer value.
+ * @returns The replacement initializer value.
+ */
+ init?(this: This, value: Value): Value;
+}
+
+/**
+ * Context provided to a class field decorator.
+ * @template This The type on which the class element will be defined. For a static class element, this will be
+ * the type of the constructor. For a non-static class element, this will be the type of the instance.
+ * @template Value The type of the decorated class field.
+ */
+interface ClassFieldDecoratorContext<
+ This = unknown,
+ Value = unknown,
+> {
+ /** The kind of class element that was decorated. */
+ readonly kind: "field";
+
+ /** The name of the decorated class element. */
+ readonly name: string | symbol;
+
+ /** A value indicating whether the class element is a static (\`true\`) or instance (\`false\`) element. */
+ readonly static: boolean;
+
+ /** A value indicating whether the class element has a private name. */
+ readonly private: boolean;
+
+ /** An object that can be used to access the current value of the class element at runtime. */
+ readonly access: {
+ /**
+ * Determines whether an object has a property with the same name as the decorated element.
+ */
+ has(object: This): boolean;
+
+ /**
+ * Gets the value of the field on the provided object.
+ */
+ get(object: This): Value;
+
+ /**
+ * Sets the value of the field on the provided object.
+ */
+ set(object: This, value: Value): void;
+ };
+
+ /**
+ * Adds a callback to be invoked either before static initializers are run (when
+ * decorating a \`static\` element), or before instance initializers are run (when
+ * decorating a non-\`static\` element).
+ */
+ addInitializer(initializer: (this: This) => void): void;
+
+ readonly metadata: DecoratorMetadata;
+}
+`,lo["lib.decorators.legacy.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare type ClassDecorator = <TFunction extends Function>(target: TFunction) => TFunction | void;
+declare type PropertyDecorator = (target: Object, propertyKey: string | symbol) => void;
+declare type MethodDecorator = <T>(target: Object, propertyKey: string | symbol, descriptor: TypedPropertyDescriptor<T>) => TypedPropertyDescriptor<T> | void;
+declare type ParameterDecorator = (target: Object, propertyKey: string | symbol | undefined, parameterIndex: number) => void;
+`,lo["lib.dom.asynciterable.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/////////////////////////////
+/// Window Async Iterable APIs
+/////////////////////////////
+
+interface FileSystemDirectoryHandle {
+ [Symbol.asyncIterator](): AsyncIterableIterator<[string, FileSystemHandle]>;
+ entries(): AsyncIterableIterator<[string, FileSystemHandle]>;
+ keys(): AsyncIterableIterator<string>;
+ values(): AsyncIterableIterator<FileSystemHandle>;
+}
+`,lo["lib.dom.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/////////////////////////////
+/// Window APIs
+/////////////////////////////
+
+interface AddEventListenerOptions extends EventListenerOptions {
+ once?: boolean;
+ passive?: boolean;
+ signal?: AbortSignal;
+}
+
+interface AesCbcParams extends Algorithm {
+ iv: BufferSource;
+}
+
+interface AesCtrParams extends Algorithm {
+ counter: BufferSource;
+ length: number;
+}
+
+interface AesDerivedKeyParams extends Algorithm {
+ length: number;
+}
+
+interface AesGcmParams extends Algorithm {
+ additionalData?: BufferSource;
+ iv: BufferSource;
+ tagLength?: number;
+}
+
+interface AesKeyAlgorithm extends KeyAlgorithm {
+ length: number;
+}
+
+interface AesKeyGenParams extends Algorithm {
+ length: number;
+}
+
+interface Algorithm {
+ name: string;
+}
+
+interface AnalyserOptions extends AudioNodeOptions {
+ fftSize?: number;
+ maxDecibels?: number;
+ minDecibels?: number;
+ smoothingTimeConstant?: number;
+}
+
+interface AnimationEventInit extends EventInit {
+ animationName?: string;
+ elapsedTime?: number;
+ pseudoElement?: string;
+}
+
+interface AnimationPlaybackEventInit extends EventInit {
+ currentTime?: CSSNumberish | null;
+ timelineTime?: CSSNumberish | null;
+}
+
+interface AssignedNodesOptions {
+ flatten?: boolean;
+}
+
+interface AudioBufferOptions {
+ length: number;
+ numberOfChannels?: number;
+ sampleRate: number;
+}
+
+interface AudioBufferSourceOptions {
+ buffer?: AudioBuffer | null;
+ detune?: number;
+ loop?: boolean;
+ loopEnd?: number;
+ loopStart?: number;
+ playbackRate?: number;
+}
+
+interface AudioConfiguration {
+ bitrate?: number;
+ channels?: string;
+ contentType: string;
+ samplerate?: number;
+ spatialRendering?: boolean;
+}
+
+interface AudioContextOptions {
+ latencyHint?: AudioContextLatencyCategory | number;
+ sampleRate?: number;
+}
+
+interface AudioNodeOptions {
+ channelCount?: number;
+ channelCountMode?: ChannelCountMode;
+ channelInterpretation?: ChannelInterpretation;
+}
+
+interface AudioProcessingEventInit extends EventInit {
+ inputBuffer: AudioBuffer;
+ outputBuffer: AudioBuffer;
+ playbackTime: number;
+}
+
+interface AudioTimestamp {
+ contextTime?: number;
+ performanceTime?: DOMHighResTimeStamp;
+}
+
+interface AudioWorkletNodeOptions extends AudioNodeOptions {
+ numberOfInputs?: number;
+ numberOfOutputs?: number;
+ outputChannelCount?: number[];
+ parameterData?: Record<string, number>;
+ processorOptions?: any;
+}
+
+interface AuthenticationExtensionsClientInputs {
+ appid?: string;
+ credProps?: boolean;
+ hmacCreateSecret?: boolean;
+ minPinLength?: boolean;
+}
+
+interface AuthenticationExtensionsClientOutputs {
+ appid?: boolean;
+ credProps?: CredentialPropertiesOutput;
+ hmacCreateSecret?: boolean;
+}
+
+interface AuthenticatorSelectionCriteria {
+ authenticatorAttachment?: AuthenticatorAttachment;
+ requireResidentKey?: boolean;
+ residentKey?: ResidentKeyRequirement;
+ userVerification?: UserVerificationRequirement;
+}
+
+interface AvcEncoderConfig {
+ format?: AvcBitstreamFormat;
+}
+
+interface BiquadFilterOptions extends AudioNodeOptions {
+ Q?: number;
+ detune?: number;
+ frequency?: number;
+ gain?: number;
+ type?: BiquadFilterType;
+}
+
+interface BlobEventInit {
+ data: Blob;
+ timecode?: DOMHighResTimeStamp;
+}
+
+interface BlobPropertyBag {
+ endings?: EndingType;
+ type?: string;
+}
+
+interface CSSMatrixComponentOptions {
+ is2D?: boolean;
+}
+
+interface CSSNumericType {
+ angle?: number;
+ flex?: number;
+ frequency?: number;
+ length?: number;
+ percent?: number;
+ percentHint?: CSSNumericBaseType;
+ resolution?: number;
+ time?: number;
+}
+
+interface CSSStyleSheetInit {
+ baseURL?: string;
+ disabled?: boolean;
+ media?: MediaList | string;
+}
+
+interface CacheQueryOptions {
+ ignoreMethod?: boolean;
+ ignoreSearch?: boolean;
+ ignoreVary?: boolean;
+}
+
+interface CanvasRenderingContext2DSettings {
+ alpha?: boolean;
+ colorSpace?: PredefinedColorSpace;
+ desynchronized?: boolean;
+ willReadFrequently?: boolean;
+}
+
+interface ChannelMergerOptions extends AudioNodeOptions {
+ numberOfInputs?: number;
+}
+
+interface ChannelSplitterOptions extends AudioNodeOptions {
+ numberOfOutputs?: number;
+}
+
+interface CheckVisibilityOptions {
+ checkOpacity?: boolean;
+ checkVisibilityCSS?: boolean;
+}
+
+interface ClientQueryOptions {
+ includeUncontrolled?: boolean;
+ type?: ClientTypes;
+}
+
+interface ClipboardEventInit extends EventInit {
+ clipboardData?: DataTransfer | null;
+}
+
+interface ClipboardItemOptions {
+ presentationStyle?: PresentationStyle;
+}
+
+interface CloseEventInit extends EventInit {
+ code?: number;
+ reason?: string;
+ wasClean?: boolean;
+}
+
+interface CompositionEventInit extends UIEventInit {
+ data?: string;
+}
+
+interface ComputedEffectTiming extends EffectTiming {
+ activeDuration?: CSSNumberish;
+ currentIteration?: number | null;
+ endTime?: CSSNumberish;
+ localTime?: CSSNumberish | null;
+ progress?: number | null;
+ startTime?: CSSNumberish;
+}
+
+interface ComputedKeyframe {
+ composite: CompositeOperationOrAuto;
+ computedOffset: number;
+ easing: string;
+ offset: number | null;
+ [property: string]: string | number | null | undefined;
+}
+
+interface ConstantSourceOptions {
+ offset?: number;
+}
+
+interface ConstrainBooleanParameters {
+ exact?: boolean;
+ ideal?: boolean;
+}
+
+interface ConstrainDOMStringParameters {
+ exact?: string | string[];
+ ideal?: string | string[];
+}
+
+interface ConstrainDoubleRange extends DoubleRange {
+ exact?: number;
+ ideal?: number;
+}
+
+interface ConstrainULongRange extends ULongRange {
+ exact?: number;
+ ideal?: number;
+}
+
+interface ConvolverOptions extends AudioNodeOptions {
+ buffer?: AudioBuffer | null;
+ disableNormalization?: boolean;
+}
+
+interface CredentialCreationOptions {
+ publicKey?: PublicKeyCredentialCreationOptions;
+ signal?: AbortSignal;
+}
+
+interface CredentialPropertiesOutput {
+ rk?: boolean;
+}
+
+interface CredentialRequestOptions {
+ mediation?: CredentialMediationRequirement;
+ publicKey?: PublicKeyCredentialRequestOptions;
+ signal?: AbortSignal;
+}
+
+interface CryptoKeyPair {
+ privateKey: CryptoKey;
+ publicKey: CryptoKey;
+}
+
+interface CustomEventInit<T = any> extends EventInit {
+ detail?: T;
+}
+
+interface DOMMatrix2DInit {
+ a?: number;
+ b?: number;
+ c?: number;
+ d?: number;
+ e?: number;
+ f?: number;
+ m11?: number;
+ m12?: number;
+ m21?: number;
+ m22?: number;
+ m41?: number;
+ m42?: number;
+}
+
+interface DOMMatrixInit extends DOMMatrix2DInit {
+ is2D?: boolean;
+ m13?: number;
+ m14?: number;
+ m23?: number;
+ m24?: number;
+ m31?: number;
+ m32?: number;
+ m33?: number;
+ m34?: number;
+ m43?: number;
+ m44?: number;
+}
+
+interface DOMPointInit {
+ w?: number;
+ x?: number;
+ y?: number;
+ z?: number;
+}
+
+interface DOMQuadInit {
+ p1?: DOMPointInit;
+ p2?: DOMPointInit;
+ p3?: DOMPointInit;
+ p4?: DOMPointInit;
+}
+
+interface DOMRectInit {
+ height?: number;
+ width?: number;
+ x?: number;
+ y?: number;
+}
+
+interface DelayOptions extends AudioNodeOptions {
+ delayTime?: number;
+ maxDelayTime?: number;
+}
+
+interface DeviceMotionEventAccelerationInit {
+ x?: number | null;
+ y?: number | null;
+ z?: number | null;
+}
+
+interface DeviceMotionEventInit extends EventInit {
+ acceleration?: DeviceMotionEventAccelerationInit;
+ accelerationIncludingGravity?: DeviceMotionEventAccelerationInit;
+ interval?: number;
+ rotationRate?: DeviceMotionEventRotationRateInit;
+}
+
+interface DeviceMotionEventRotationRateInit {
+ alpha?: number | null;
+ beta?: number | null;
+ gamma?: number | null;
+}
+
+interface DeviceOrientationEventInit extends EventInit {
+ absolute?: boolean;
+ alpha?: number | null;
+ beta?: number | null;
+ gamma?: number | null;
+}
+
+interface DisplayMediaStreamOptions {
+ audio?: boolean | MediaTrackConstraints;
+ video?: boolean | MediaTrackConstraints;
+}
+
+interface DocumentTimelineOptions {
+ originTime?: DOMHighResTimeStamp;
+}
+
+interface DoubleRange {
+ max?: number;
+ min?: number;
+}
+
+interface DragEventInit extends MouseEventInit {
+ dataTransfer?: DataTransfer | null;
+}
+
+interface DynamicsCompressorOptions extends AudioNodeOptions {
+ attack?: number;
+ knee?: number;
+ ratio?: number;
+ release?: number;
+ threshold?: number;
+}
+
+interface EcKeyAlgorithm extends KeyAlgorithm {
+ namedCurve: NamedCurve;
+}
+
+interface EcKeyGenParams extends Algorithm {
+ namedCurve: NamedCurve;
+}
+
+interface EcKeyImportParams extends Algorithm {
+ namedCurve: NamedCurve;
+}
+
+interface EcdhKeyDeriveParams extends Algorithm {
+ public: CryptoKey;
+}
+
+interface EcdsaParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+}
+
+interface EffectTiming {
+ delay?: number;
+ direction?: PlaybackDirection;
+ duration?: number | CSSNumericValue | string;
+ easing?: string;
+ endDelay?: number;
+ fill?: FillMode;
+ iterationStart?: number;
+ iterations?: number;
+ playbackRate?: number;
+}
+
+interface ElementCreationOptions {
+ is?: string;
+}
+
+interface ElementDefinitionOptions {
+ extends?: string;
+}
+
+interface EncodedVideoChunkInit {
+ data: AllowSharedBufferSource;
+ duration?: number;
+ timestamp: number;
+ type: EncodedVideoChunkType;
+}
+
+interface EncodedVideoChunkMetadata {
+ decoderConfig?: VideoDecoderConfig;
+}
+
+interface ErrorEventInit extends EventInit {
+ colno?: number;
+ error?: any;
+ filename?: string;
+ lineno?: number;
+ message?: string;
+}
+
+interface EventInit {
+ bubbles?: boolean;
+ cancelable?: boolean;
+ composed?: boolean;
+}
+
+interface EventListenerOptions {
+ capture?: boolean;
+}
+
+interface EventModifierInit extends UIEventInit {
+ altKey?: boolean;
+ ctrlKey?: boolean;
+ metaKey?: boolean;
+ modifierAltGraph?: boolean;
+ modifierCapsLock?: boolean;
+ modifierFn?: boolean;
+ modifierFnLock?: boolean;
+ modifierHyper?: boolean;
+ modifierNumLock?: boolean;
+ modifierScrollLock?: boolean;
+ modifierSuper?: boolean;
+ modifierSymbol?: boolean;
+ modifierSymbolLock?: boolean;
+ shiftKey?: boolean;
+}
+
+interface EventSourceInit {
+ withCredentials?: boolean;
+}
+
+interface FilePropertyBag extends BlobPropertyBag {
+ lastModified?: number;
+}
+
+interface FileSystemCreateWritableOptions {
+ keepExistingData?: boolean;
+}
+
+interface FileSystemFlags {
+ create?: boolean;
+ exclusive?: boolean;
+}
+
+interface FileSystemGetDirectoryOptions {
+ create?: boolean;
+}
+
+interface FileSystemGetFileOptions {
+ create?: boolean;
+}
+
+interface FileSystemRemoveOptions {
+ recursive?: boolean;
+}
+
+interface FocusEventInit extends UIEventInit {
+ relatedTarget?: EventTarget | null;
+}
+
+interface FocusOptions {
+ preventScroll?: boolean;
+}
+
+interface FontFaceDescriptors {
+ ascentOverride?: string;
+ descentOverride?: string;
+ display?: FontDisplay;
+ featureSettings?: string;
+ lineGapOverride?: string;
+ stretch?: string;
+ style?: string;
+ unicodeRange?: string;
+ weight?: string;
+}
+
+interface FontFaceSetLoadEventInit extends EventInit {
+ fontfaces?: FontFace[];
+}
+
+interface FormDataEventInit extends EventInit {
+ formData: FormData;
+}
+
+interface FullscreenOptions {
+ navigationUI?: FullscreenNavigationUI;
+}
+
+interface GainOptions extends AudioNodeOptions {
+ gain?: number;
+}
+
+interface GamepadEffectParameters {
+ duration?: number;
+ startDelay?: number;
+ strongMagnitude?: number;
+ weakMagnitude?: number;
+}
+
+interface GamepadEventInit extends EventInit {
+ gamepad: Gamepad;
+}
+
+interface GetAnimationsOptions {
+ subtree?: boolean;
+}
+
+interface GetNotificationOptions {
+ tag?: string;
+}
+
+interface GetRootNodeOptions {
+ composed?: boolean;
+}
+
+interface HashChangeEventInit extends EventInit {
+ newURL?: string;
+ oldURL?: string;
+}
+
+interface HkdfParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+ info: BufferSource;
+ salt: BufferSource;
+}
+
+interface HmacImportParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+ length?: number;
+}
+
+interface HmacKeyAlgorithm extends KeyAlgorithm {
+ hash: KeyAlgorithm;
+ length: number;
+}
+
+interface HmacKeyGenParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+ length?: number;
+}
+
+interface IDBDatabaseInfo {
+ name?: string;
+ version?: number;
+}
+
+interface IDBIndexParameters {
+ multiEntry?: boolean;
+ unique?: boolean;
+}
+
+interface IDBObjectStoreParameters {
+ autoIncrement?: boolean;
+ keyPath?: string | string[] | null;
+}
+
+interface IDBTransactionOptions {
+ durability?: IDBTransactionDurability;
+}
+
+interface IDBVersionChangeEventInit extends EventInit {
+ newVersion?: number | null;
+ oldVersion?: number;
+}
+
+interface IIRFilterOptions extends AudioNodeOptions {
+ feedback: number[];
+ feedforward: number[];
+}
+
+interface IdleRequestOptions {
+ timeout?: number;
+}
+
+interface ImageBitmapOptions {
+ colorSpaceConversion?: ColorSpaceConversion;
+ imageOrientation?: ImageOrientation;
+ premultiplyAlpha?: PremultiplyAlpha;
+ resizeHeight?: number;
+ resizeQuality?: ResizeQuality;
+ resizeWidth?: number;
+}
+
+interface ImageBitmapRenderingContextSettings {
+ alpha?: boolean;
+}
+
+interface ImageDataSettings {
+ colorSpace?: PredefinedColorSpace;
+}
+
+interface ImageEncodeOptions {
+ quality?: number;
+ type?: string;
+}
+
+interface ImportMeta {
+ url: string;
+}
+
+interface InputEventInit extends UIEventInit {
+ data?: string | null;
+ dataTransfer?: DataTransfer | null;
+ inputType?: string;
+ isComposing?: boolean;
+ targetRanges?: StaticRange[];
+}
+
+interface IntersectionObserverEntryInit {
+ boundingClientRect: DOMRectInit;
+ intersectionRatio: number;
+ intersectionRect: DOMRectInit;
+ isIntersecting: boolean;
+ rootBounds: DOMRectInit | null;
+ target: Element;
+ time: DOMHighResTimeStamp;
+}
+
+interface IntersectionObserverInit {
+ root?: Element | Document | null;
+ rootMargin?: string;
+ threshold?: number | number[];
+}
+
+interface JsonWebKey {
+ alg?: string;
+ crv?: string;
+ d?: string;
+ dp?: string;
+ dq?: string;
+ e?: string;
+ ext?: boolean;
+ k?: string;
+ key_ops?: string[];
+ kty?: string;
+ n?: string;
+ oth?: RsaOtherPrimesInfo[];
+ p?: string;
+ q?: string;
+ qi?: string;
+ use?: string;
+ x?: string;
+ y?: string;
+}
+
+interface KeyAlgorithm {
+ name: string;
+}
+
+interface KeyboardEventInit extends EventModifierInit {
+ /** @deprecated */
+ charCode?: number;
+ code?: string;
+ isComposing?: boolean;
+ key?: string;
+ /** @deprecated */
+ keyCode?: number;
+ location?: number;
+ repeat?: boolean;
+}
+
+interface Keyframe {
+ composite?: CompositeOperationOrAuto;
+ easing?: string;
+ offset?: number | null;
+ [property: string]: string | number | null | undefined;
+}
+
+interface KeyframeAnimationOptions extends KeyframeEffectOptions {
+ id?: string;
+ timeline?: AnimationTimeline | null;
+}
+
+interface KeyframeEffectOptions extends EffectTiming {
+ composite?: CompositeOperation;
+ iterationComposite?: IterationCompositeOperation;
+ pseudoElement?: string | null;
+}
+
+interface LockInfo {
+ clientId?: string;
+ mode?: LockMode;
+ name?: string;
+}
+
+interface LockManagerSnapshot {
+ held?: LockInfo[];
+ pending?: LockInfo[];
+}
+
+interface LockOptions {
+ ifAvailable?: boolean;
+ mode?: LockMode;
+ signal?: AbortSignal;
+ steal?: boolean;
+}
+
+interface MIDIConnectionEventInit extends EventInit {
+ port?: MIDIPort;
+}
+
+interface MIDIMessageEventInit extends EventInit {
+ data?: Uint8Array;
+}
+
+interface MIDIOptions {
+ software?: boolean;
+ sysex?: boolean;
+}
+
+interface MediaCapabilitiesDecodingInfo extends MediaCapabilitiesInfo {
+ configuration?: MediaDecodingConfiguration;
+}
+
+interface MediaCapabilitiesEncodingInfo extends MediaCapabilitiesInfo {
+ configuration?: MediaEncodingConfiguration;
+}
+
+interface MediaCapabilitiesInfo {
+ powerEfficient: boolean;
+ smooth: boolean;
+ supported: boolean;
+}
+
+interface MediaConfiguration {
+ audio?: AudioConfiguration;
+ video?: VideoConfiguration;
+}
+
+interface MediaDecodingConfiguration extends MediaConfiguration {
+ type: MediaDecodingType;
+}
+
+interface MediaElementAudioSourceOptions {
+ mediaElement: HTMLMediaElement;
+}
+
+interface MediaEncodingConfiguration extends MediaConfiguration {
+ type: MediaEncodingType;
+}
+
+interface MediaEncryptedEventInit extends EventInit {
+ initData?: ArrayBuffer | null;
+ initDataType?: string;
+}
+
+interface MediaImage {
+ sizes?: string;
+ src: string;
+ type?: string;
+}
+
+interface MediaKeyMessageEventInit extends EventInit {
+ message: ArrayBuffer;
+ messageType: MediaKeyMessageType;
+}
+
+interface MediaKeySystemConfiguration {
+ audioCapabilities?: MediaKeySystemMediaCapability[];
+ distinctiveIdentifier?: MediaKeysRequirement;
+ initDataTypes?: string[];
+ label?: string;
+ persistentState?: MediaKeysRequirement;
+ sessionTypes?: string[];
+ videoCapabilities?: MediaKeySystemMediaCapability[];
+}
+
+interface MediaKeySystemMediaCapability {
+ contentType?: string;
+ encryptionScheme?: string | null;
+ robustness?: string;
+}
+
+interface MediaMetadataInit {
+ album?: string;
+ artist?: string;
+ artwork?: MediaImage[];
+ title?: string;
+}
+
+interface MediaPositionState {
+ duration?: number;
+ playbackRate?: number;
+ position?: number;
+}
+
+interface MediaQueryListEventInit extends EventInit {
+ matches?: boolean;
+ media?: string;
+}
+
+interface MediaRecorderOptions {
+ audioBitsPerSecond?: number;
+ bitsPerSecond?: number;
+ mimeType?: string;
+ videoBitsPerSecond?: number;
+}
+
+interface MediaSessionActionDetails {
+ action: MediaSessionAction;
+ fastSeek?: boolean;
+ seekOffset?: number;
+ seekTime?: number;
+}
+
+interface MediaStreamAudioSourceOptions {
+ mediaStream: MediaStream;
+}
+
+interface MediaStreamConstraints {
+ audio?: boolean | MediaTrackConstraints;
+ peerIdentity?: string;
+ preferCurrentTab?: boolean;
+ video?: boolean | MediaTrackConstraints;
+}
+
+interface MediaStreamTrackEventInit extends EventInit {
+ track: MediaStreamTrack;
+}
+
+interface MediaTrackCapabilities {
+ aspectRatio?: DoubleRange;
+ autoGainControl?: boolean[];
+ channelCount?: ULongRange;
+ deviceId?: string;
+ displaySurface?: string;
+ echoCancellation?: boolean[];
+ facingMode?: string[];
+ frameRate?: DoubleRange;
+ groupId?: string;
+ height?: ULongRange;
+ noiseSuppression?: boolean[];
+ sampleRate?: ULongRange;
+ sampleSize?: ULongRange;
+ width?: ULongRange;
+}
+
+interface MediaTrackConstraintSet {
+ aspectRatio?: ConstrainDouble;
+ autoGainControl?: ConstrainBoolean;
+ channelCount?: ConstrainULong;
+ deviceId?: ConstrainDOMString;
+ displaySurface?: ConstrainDOMString;
+ echoCancellation?: ConstrainBoolean;
+ facingMode?: ConstrainDOMString;
+ frameRate?: ConstrainDouble;
+ groupId?: ConstrainDOMString;
+ height?: ConstrainULong;
+ noiseSuppression?: ConstrainBoolean;
+ sampleRate?: ConstrainULong;
+ sampleSize?: ConstrainULong;
+ width?: ConstrainULong;
+}
+
+interface MediaTrackConstraints extends MediaTrackConstraintSet {
+ advanced?: MediaTrackConstraintSet[];
+}
+
+interface MediaTrackSettings {
+ aspectRatio?: number;
+ autoGainControl?: boolean;
+ channelCount?: number;
+ deviceId?: string;
+ displaySurface?: string;
+ echoCancellation?: boolean;
+ facingMode?: string;
+ frameRate?: number;
+ groupId?: string;
+ height?: number;
+ noiseSuppression?: boolean;
+ sampleRate?: number;
+ sampleSize?: number;
+ width?: number;
+}
+
+interface MediaTrackSupportedConstraints {
+ aspectRatio?: boolean;
+ autoGainControl?: boolean;
+ channelCount?: boolean;
+ deviceId?: boolean;
+ displaySurface?: boolean;
+ echoCancellation?: boolean;
+ facingMode?: boolean;
+ frameRate?: boolean;
+ groupId?: boolean;
+ height?: boolean;
+ noiseSuppression?: boolean;
+ sampleRate?: boolean;
+ sampleSize?: boolean;
+ width?: boolean;
+}
+
+interface MessageEventInit<T = any> extends EventInit {
+ data?: T;
+ lastEventId?: string;
+ origin?: string;
+ ports?: MessagePort[];
+ source?: MessageEventSource | null;
+}
+
+interface MouseEventInit extends EventModifierInit {
+ button?: number;
+ buttons?: number;
+ clientX?: number;
+ clientY?: number;
+ movementX?: number;
+ movementY?: number;
+ relatedTarget?: EventTarget | null;
+ screenX?: number;
+ screenY?: number;
+}
+
+interface MultiCacheQueryOptions extends CacheQueryOptions {
+ cacheName?: string;
+}
+
+interface MutationObserverInit {
+ /** Set to a list of attribute local names (without namespace) if not all attribute mutations need to be observed and attributes is true or omitted. */
+ attributeFilter?: string[];
+ /** Set to true if attributes is true or omitted and target's attribute value before the mutation needs to be recorded. */
+ attributeOldValue?: boolean;
+ /** Set to true if mutations to target's attributes are to be observed. Can be omitted if attributeOldValue or attributeFilter is specified. */
+ attributes?: boolean;
+ /** Set to true if mutations to target's data are to be observed. Can be omitted if characterDataOldValue is specified. */
+ characterData?: boolean;
+ /** Set to true if characterData is set to true or omitted and target's data before the mutation needs to be recorded. */
+ characterDataOldValue?: boolean;
+ /** Set to true if mutations to target's children are to be observed. */
+ childList?: boolean;
+ /** Set to true if mutations to not just target, but also target's descendants are to be observed. */
+ subtree?: boolean;
+}
+
+interface NavigationPreloadState {
+ enabled?: boolean;
+ headerValue?: string;
+}
+
+interface NotificationOptions {
+ badge?: string;
+ body?: string;
+ data?: any;
+ dir?: NotificationDirection;
+ icon?: string;
+ lang?: string;
+ requireInteraction?: boolean;
+ silent?: boolean | null;
+ tag?: string;
+}
+
+interface OfflineAudioCompletionEventInit extends EventInit {
+ renderedBuffer: AudioBuffer;
+}
+
+interface OfflineAudioContextOptions {
+ length: number;
+ numberOfChannels?: number;
+ sampleRate: number;
+}
+
+interface OptionalEffectTiming {
+ delay?: number;
+ direction?: PlaybackDirection;
+ duration?: number | string;
+ easing?: string;
+ endDelay?: number;
+ fill?: FillMode;
+ iterationStart?: number;
+ iterations?: number;
+ playbackRate?: number;
+}
+
+interface OscillatorOptions extends AudioNodeOptions {
+ detune?: number;
+ frequency?: number;
+ periodicWave?: PeriodicWave;
+ type?: OscillatorType;
+}
+
+interface PageTransitionEventInit extends EventInit {
+ persisted?: boolean;
+}
+
+interface PannerOptions extends AudioNodeOptions {
+ coneInnerAngle?: number;
+ coneOuterAngle?: number;
+ coneOuterGain?: number;
+ distanceModel?: DistanceModelType;
+ maxDistance?: number;
+ orientationX?: number;
+ orientationY?: number;
+ orientationZ?: number;
+ panningModel?: PanningModelType;
+ positionX?: number;
+ positionY?: number;
+ positionZ?: number;
+ refDistance?: number;
+ rolloffFactor?: number;
+}
+
+interface PaymentCurrencyAmount {
+ currency: string;
+ value: string;
+}
+
+interface PaymentDetailsBase {
+ displayItems?: PaymentItem[];
+ modifiers?: PaymentDetailsModifier[];
+}
+
+interface PaymentDetailsInit extends PaymentDetailsBase {
+ id?: string;
+ total: PaymentItem;
+}
+
+interface PaymentDetailsModifier {
+ additionalDisplayItems?: PaymentItem[];
+ data?: any;
+ supportedMethods: string;
+ total?: PaymentItem;
+}
+
+interface PaymentDetailsUpdate extends PaymentDetailsBase {
+ paymentMethodErrors?: any;
+ total?: PaymentItem;
+}
+
+interface PaymentItem {
+ amount: PaymentCurrencyAmount;
+ label: string;
+ pending?: boolean;
+}
+
+interface PaymentMethodChangeEventInit extends PaymentRequestUpdateEventInit {
+ methodDetails?: any;
+ methodName?: string;
+}
+
+interface PaymentMethodData {
+ data?: any;
+ supportedMethods: string;
+}
+
+interface PaymentRequestUpdateEventInit extends EventInit {
+}
+
+interface PaymentValidationErrors {
+ error?: string;
+ paymentMethod?: any;
+}
+
+interface Pbkdf2Params extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+ iterations: number;
+ salt: BufferSource;
+}
+
+interface PerformanceMarkOptions {
+ detail?: any;
+ startTime?: DOMHighResTimeStamp;
+}
+
+interface PerformanceMeasureOptions {
+ detail?: any;
+ duration?: DOMHighResTimeStamp;
+ end?: string | DOMHighResTimeStamp;
+ start?: string | DOMHighResTimeStamp;
+}
+
+interface PerformanceObserverInit {
+ buffered?: boolean;
+ entryTypes?: string[];
+ type?: string;
+}
+
+interface PeriodicWaveConstraints {
+ disableNormalization?: boolean;
+}
+
+interface PeriodicWaveOptions extends PeriodicWaveConstraints {
+ imag?: number[] | Float32Array;
+ real?: number[] | Float32Array;
+}
+
+interface PermissionDescriptor {
+ name: PermissionName;
+}
+
+interface PictureInPictureEventInit extends EventInit {
+ pictureInPictureWindow: PictureInPictureWindow;
+}
+
+interface PlaneLayout {
+ offset: number;
+ stride: number;
+}
+
+interface PointerEventInit extends MouseEventInit {
+ coalescedEvents?: PointerEvent[];
+ height?: number;
+ isPrimary?: boolean;
+ pointerId?: number;
+ pointerType?: string;
+ predictedEvents?: PointerEvent[];
+ pressure?: number;
+ tangentialPressure?: number;
+ tiltX?: number;
+ tiltY?: number;
+ twist?: number;
+ width?: number;
+}
+
+interface PopStateEventInit extends EventInit {
+ state?: any;
+}
+
+interface PositionOptions {
+ enableHighAccuracy?: boolean;
+ maximumAge?: number;
+ timeout?: number;
+}
+
+interface ProgressEventInit extends EventInit {
+ lengthComputable?: boolean;
+ loaded?: number;
+ total?: number;
+}
+
+interface PromiseRejectionEventInit extends EventInit {
+ promise: Promise<any>;
+ reason?: any;
+}
+
+interface PropertyDefinition {
+ inherits: boolean;
+ initialValue?: string;
+ name: string;
+ syntax?: string;
+}
+
+interface PropertyIndexedKeyframes {
+ composite?: CompositeOperationOrAuto | CompositeOperationOrAuto[];
+ easing?: string | string[];
+ offset?: number | (number | null)[];
+ [property: string]: string | string[] | number | null | (number | null)[] | undefined;
+}
+
+interface PublicKeyCredentialCreationOptions {
+ attestation?: AttestationConveyancePreference;
+ authenticatorSelection?: AuthenticatorSelectionCriteria;
+ challenge: BufferSource;
+ excludeCredentials?: PublicKeyCredentialDescriptor[];
+ extensions?: AuthenticationExtensionsClientInputs;
+ pubKeyCredParams: PublicKeyCredentialParameters[];
+ rp: PublicKeyCredentialRpEntity;
+ timeout?: number;
+ user: PublicKeyCredentialUserEntity;
+}
+
+interface PublicKeyCredentialDescriptor {
+ id: BufferSource;
+ transports?: AuthenticatorTransport[];
+ type: PublicKeyCredentialType;
+}
+
+interface PublicKeyCredentialEntity {
+ name: string;
+}
+
+interface PublicKeyCredentialParameters {
+ alg: COSEAlgorithmIdentifier;
+ type: PublicKeyCredentialType;
+}
+
+interface PublicKeyCredentialRequestOptions {
+ allowCredentials?: PublicKeyCredentialDescriptor[];
+ challenge: BufferSource;
+ extensions?: AuthenticationExtensionsClientInputs;
+ rpId?: string;
+ timeout?: number;
+ userVerification?: UserVerificationRequirement;
+}
+
+interface PublicKeyCredentialRpEntity extends PublicKeyCredentialEntity {
+ id?: string;
+}
+
+interface PublicKeyCredentialUserEntity extends PublicKeyCredentialEntity {
+ displayName: string;
+ id: BufferSource;
+}
+
+interface PushSubscriptionJSON {
+ endpoint?: string;
+ expirationTime?: EpochTimeStamp | null;
+ keys?: Record<string, string>;
+}
+
+interface PushSubscriptionOptionsInit {
+ applicationServerKey?: BufferSource | string | null;
+ userVisibleOnly?: boolean;
+}
+
+interface QueuingStrategy<T = any> {
+ highWaterMark?: number;
+ size?: QueuingStrategySize<T>;
+}
+
+interface QueuingStrategyInit {
+ /**
+ * Creates a new ByteLengthQueuingStrategy with the provided high water mark.
+ *
+ * Note that the provided high water mark will not be validated ahead of time. Instead, if it is negative, NaN, or not a number, the resulting ByteLengthQueuingStrategy will cause the corresponding stream constructor to throw.
+ */
+ highWaterMark: number;
+}
+
+interface RTCAnswerOptions extends RTCOfferAnswerOptions {
+}
+
+interface RTCCertificateExpiration {
+ expires?: number;
+}
+
+interface RTCConfiguration {
+ bundlePolicy?: RTCBundlePolicy;
+ certificates?: RTCCertificate[];
+ iceCandidatePoolSize?: number;
+ iceServers?: RTCIceServer[];
+ iceTransportPolicy?: RTCIceTransportPolicy;
+ rtcpMuxPolicy?: RTCRtcpMuxPolicy;
+}
+
+interface RTCDTMFToneChangeEventInit extends EventInit {
+ tone?: string;
+}
+
+interface RTCDataChannelEventInit extends EventInit {
+ channel: RTCDataChannel;
+}
+
+interface RTCDataChannelInit {
+ id?: number;
+ maxPacketLifeTime?: number;
+ maxRetransmits?: number;
+ negotiated?: boolean;
+ ordered?: boolean;
+ protocol?: string;
+}
+
+interface RTCDtlsFingerprint {
+ algorithm?: string;
+ value?: string;
+}
+
+interface RTCEncodedAudioFrameMetadata {
+ contributingSources?: number[];
+ payloadType?: number;
+ sequenceNumber?: number;
+ synchronizationSource?: number;
+}
+
+interface RTCEncodedVideoFrameMetadata {
+ contributingSources?: number[];
+ dependencies?: number[];
+ frameId?: number;
+ height?: number;
+ payloadType?: number;
+ spatialIndex?: number;
+ synchronizationSource?: number;
+ temporalIndex?: number;
+ timestamp?: number;
+ width?: number;
+}
+
+interface RTCErrorEventInit extends EventInit {
+ error: RTCError;
+}
+
+interface RTCErrorInit {
+ errorDetail: RTCErrorDetailType;
+ httpRequestStatusCode?: number;
+ receivedAlert?: number;
+ sctpCauseCode?: number;
+ sdpLineNumber?: number;
+ sentAlert?: number;
+}
+
+interface RTCIceCandidateInit {
+ candidate?: string;
+ sdpMLineIndex?: number | null;
+ sdpMid?: string | null;
+ usernameFragment?: string | null;
+}
+
+interface RTCIceCandidatePair {
+ local?: RTCIceCandidate;
+ remote?: RTCIceCandidate;
+}
+
+interface RTCIceCandidatePairStats extends RTCStats {
+ availableIncomingBitrate?: number;
+ availableOutgoingBitrate?: number;
+ bytesReceived?: number;
+ bytesSent?: number;
+ currentRoundTripTime?: number;
+ lastPacketReceivedTimestamp?: DOMHighResTimeStamp;
+ lastPacketSentTimestamp?: DOMHighResTimeStamp;
+ localCandidateId: string;
+ nominated?: boolean;
+ remoteCandidateId: string;
+ requestsReceived?: number;
+ requestsSent?: number;
+ responsesReceived?: number;
+ responsesSent?: number;
+ state: RTCStatsIceCandidatePairState;
+ totalRoundTripTime?: number;
+ transportId: string;
+}
+
+interface RTCIceServer {
+ credential?: string;
+ urls: string | string[];
+ username?: string;
+}
+
+interface RTCInboundRtpStreamStats extends RTCReceivedRtpStreamStats {
+ audioLevel?: number;
+ bytesReceived?: number;
+ concealedSamples?: number;
+ concealmentEvents?: number;
+ decoderImplementation?: string;
+ estimatedPlayoutTimestamp?: DOMHighResTimeStamp;
+ fecPacketsDiscarded?: number;
+ fecPacketsReceived?: number;
+ firCount?: number;
+ frameHeight?: number;
+ frameWidth?: number;
+ framesDecoded?: number;
+ framesDropped?: number;
+ framesPerSecond?: number;
+ framesReceived?: number;
+ headerBytesReceived?: number;
+ insertedSamplesForDeceleration?: number;
+ jitterBufferDelay?: number;
+ jitterBufferEmittedCount?: number;
+ keyFramesDecoded?: number;
+ lastPacketReceivedTimestamp?: DOMHighResTimeStamp;
+ mid?: string;
+ nackCount?: number;
+ packetsDiscarded?: number;
+ pliCount?: number;
+ qpSum?: number;
+ remoteId?: string;
+ removedSamplesForAcceleration?: number;
+ silentConcealedSamples?: number;
+ totalAudioEnergy?: number;
+ totalDecodeTime?: number;
+ totalInterFrameDelay?: number;
+ totalProcessingDelay?: number;
+ totalSamplesDuration?: number;
+ totalSamplesReceived?: number;
+ totalSquaredInterFrameDelay?: number;
+ trackIdentifier: string;
+}
+
+interface RTCLocalSessionDescriptionInit {
+ sdp?: string;
+ type?: RTCSdpType;
+}
+
+interface RTCOfferAnswerOptions {
+}
+
+interface RTCOfferOptions extends RTCOfferAnswerOptions {
+ iceRestart?: boolean;
+ offerToReceiveAudio?: boolean;
+ offerToReceiveVideo?: boolean;
+}
+
+interface RTCOutboundRtpStreamStats extends RTCSentRtpStreamStats {
+ firCount?: number;
+ frameHeight?: number;
+ frameWidth?: number;
+ framesEncoded?: number;
+ framesPerSecond?: number;
+ framesSent?: number;
+ headerBytesSent?: number;
+ hugeFramesSent?: number;
+ keyFramesEncoded?: number;
+ mediaSourceId?: string;
+ nackCount?: number;
+ pliCount?: number;
+ qpSum?: number;
+ qualityLimitationResolutionChanges?: number;
+ remoteId?: string;
+ retransmittedBytesSent?: number;
+ retransmittedPacketsSent?: number;
+ rid?: string;
+ rtxSsrc?: number;
+ targetBitrate?: number;
+ totalEncodeTime?: number;
+ totalEncodedBytesTarget?: number;
+ totalPacketSendDelay?: number;
+}
+
+interface RTCPeerConnectionIceErrorEventInit extends EventInit {
+ address?: string | null;
+ errorCode: number;
+ errorText?: string;
+ port?: number | null;
+ url?: string;
+}
+
+interface RTCPeerConnectionIceEventInit extends EventInit {
+ candidate?: RTCIceCandidate | null;
+ url?: string | null;
+}
+
+interface RTCReceivedRtpStreamStats extends RTCRtpStreamStats {
+ jitter?: number;
+ packetsLost?: number;
+ packetsReceived?: number;
+}
+
+interface RTCRtcpParameters {
+ cname?: string;
+ reducedSize?: boolean;
+}
+
+interface RTCRtpCapabilities {
+ codecs: RTCRtpCodecCapability[];
+ headerExtensions: RTCRtpHeaderExtensionCapability[];
+}
+
+interface RTCRtpCodec {
+ channels?: number;
+ clockRate: number;
+ mimeType: string;
+ sdpFmtpLine?: string;
+}
+
+interface RTCRtpCodecCapability extends RTCRtpCodec {
+}
+
+interface RTCRtpCodecParameters extends RTCRtpCodec {
+ payloadType: number;
+}
+
+interface RTCRtpCodingParameters {
+ rid?: string;
+}
+
+interface RTCRtpContributingSource {
+ audioLevel?: number;
+ rtpTimestamp: number;
+ source: number;
+ timestamp: DOMHighResTimeStamp;
+}
+
+interface RTCRtpEncodingParameters extends RTCRtpCodingParameters {
+ active?: boolean;
+ maxBitrate?: number;
+ maxFramerate?: number;
+ networkPriority?: RTCPriorityType;
+ priority?: RTCPriorityType;
+ scaleResolutionDownBy?: number;
+}
+
+interface RTCRtpHeaderExtensionCapability {
+ uri: string;
+}
+
+interface RTCRtpHeaderExtensionParameters {
+ encrypted?: boolean;
+ id: number;
+ uri: string;
+}
+
+interface RTCRtpParameters {
+ codecs: RTCRtpCodecParameters[];
+ headerExtensions: RTCRtpHeaderExtensionParameters[];
+ rtcp: RTCRtcpParameters;
+}
+
+interface RTCRtpReceiveParameters extends RTCRtpParameters {
+}
+
+interface RTCRtpSendParameters extends RTCRtpParameters {
+ degradationPreference?: RTCDegradationPreference;
+ encodings: RTCRtpEncodingParameters[];
+ transactionId: string;
+}
+
+interface RTCRtpStreamStats extends RTCStats {
+ codecId?: string;
+ kind: string;
+ ssrc: number;
+ transportId?: string;
+}
+
+interface RTCRtpSynchronizationSource extends RTCRtpContributingSource {
+}
+
+interface RTCRtpTransceiverInit {
+ direction?: RTCRtpTransceiverDirection;
+ sendEncodings?: RTCRtpEncodingParameters[];
+ streams?: MediaStream[];
+}
+
+interface RTCSentRtpStreamStats extends RTCRtpStreamStats {
+ bytesSent?: number;
+ packetsSent?: number;
+}
+
+interface RTCSessionDescriptionInit {
+ sdp?: string;
+ type: RTCSdpType;
+}
+
+interface RTCSetParameterOptions {
+}
+
+interface RTCStats {
+ id: string;
+ timestamp: DOMHighResTimeStamp;
+ type: RTCStatsType;
+}
+
+interface RTCTrackEventInit extends EventInit {
+ receiver: RTCRtpReceiver;
+ streams?: MediaStream[];
+ track: MediaStreamTrack;
+ transceiver: RTCRtpTransceiver;
+}
+
+interface RTCTransportStats extends RTCStats {
+ bytesReceived?: number;
+ bytesSent?: number;
+ dtlsCipher?: string;
+ dtlsState: RTCDtlsTransportState;
+ localCertificateId?: string;
+ remoteCertificateId?: string;
+ selectedCandidatePairId?: string;
+ srtpCipher?: string;
+ tlsVersion?: string;
+}
+
+interface ReadableStreamGetReaderOptions {
+ /**
+ * Creates a ReadableStreamBYOBReader and locks the stream to the new reader.
+ *
+ * This call behaves the same way as the no-argument variant, except that it only works on readable byte streams, i.e. streams which were constructed specifically with the ability to handle "bring your own buffer" reading. The returned BYOB reader provides the ability to directly read individual chunks from the stream via its read() method, into developer-supplied buffers, allowing more precise control over allocation.
+ */
+ mode?: ReadableStreamReaderMode;
+}
+
+interface ReadableStreamReadDoneResult<T> {
+ done: true;
+ value?: T;
+}
+
+interface ReadableStreamReadValueResult<T> {
+ done: false;
+ value: T;
+}
+
+interface ReadableWritablePair<R = any, W = any> {
+ readable: ReadableStream<R>;
+ /**
+ * Provides a convenient, chainable way of piping this readable stream through a transform stream (or any other { writable, readable } pair). It simply pipes the stream into the writable side of the supplied pair, and returns the readable side for further use.
+ *
+ * Piping a stream will lock it for the duration of the pipe, preventing any other consumer from acquiring a reader.
+ */
+ writable: WritableStream<W>;
+}
+
+interface RegistrationOptions {
+ scope?: string;
+ type?: WorkerType;
+ updateViaCache?: ServiceWorkerUpdateViaCache;
+}
+
+interface ReportingObserverOptions {
+ buffered?: boolean;
+ types?: string[];
+}
+
+interface RequestInit {
+ /** A BodyInit object or null to set request's body. */
+ body?: BodyInit | null;
+ /** A string indicating how the request will interact with the browser's cache to set request's cache. */
+ cache?: RequestCache;
+ /** A string indicating whether credentials will be sent with the request always, never, or only when sent to a same-origin URL. Sets request's credentials. */
+ credentials?: RequestCredentials;
+ /** A Headers object, an object literal, or an array of two-item arrays to set request's headers. */
+ headers?: HeadersInit;
+ /** A cryptographic hash of the resource to be fetched by request. Sets request's integrity. */
+ integrity?: string;
+ /** A boolean to set request's keepalive. */
+ keepalive?: boolean;
+ /** A string to set request's method. */
+ method?: string;
+ /** A string to indicate whether the request will use CORS, or will be restricted to same-origin URLs. Sets request's mode. */
+ mode?: RequestMode;
+ priority?: RequestPriority;
+ /** A string indicating whether request follows redirects, results in an error upon encountering a redirect, or returns the redirect (in an opaque fashion). Sets request's redirect. */
+ redirect?: RequestRedirect;
+ /** A string whose value is a same-origin URL, "about:client", or the empty string, to set request's referrer. */
+ referrer?: string;
+ /** A referrer policy to set request's referrerPolicy. */
+ referrerPolicy?: ReferrerPolicy;
+ /** An AbortSignal to set request's signal. */
+ signal?: AbortSignal | null;
+ /** Can only be null. Used to disassociate request from any Window. */
+ window?: null;
+}
+
+interface ResizeObserverOptions {
+ box?: ResizeObserverBoxOptions;
+}
+
+interface ResponseInit {
+ headers?: HeadersInit;
+ status?: number;
+ statusText?: string;
+}
+
+interface RsaHashedImportParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+}
+
+interface RsaHashedKeyAlgorithm extends RsaKeyAlgorithm {
+ hash: KeyAlgorithm;
+}
+
+interface RsaHashedKeyGenParams extends RsaKeyGenParams {
+ hash: HashAlgorithmIdentifier;
+}
+
+interface RsaKeyAlgorithm extends KeyAlgorithm {
+ modulusLength: number;
+ publicExponent: BigInteger;
+}
+
+interface RsaKeyGenParams extends Algorithm {
+ modulusLength: number;
+ publicExponent: BigInteger;
+}
+
+interface RsaOaepParams extends Algorithm {
+ label?: BufferSource;
+}
+
+interface RsaOtherPrimesInfo {
+ d?: string;
+ r?: string;
+ t?: string;
+}
+
+interface RsaPssParams extends Algorithm {
+ saltLength: number;
+}
+
+interface SVGBoundingBoxOptions {
+ clipped?: boolean;
+ fill?: boolean;
+ markers?: boolean;
+ stroke?: boolean;
+}
+
+interface ScrollIntoViewOptions extends ScrollOptions {
+ block?: ScrollLogicalPosition;
+ inline?: ScrollLogicalPosition;
+}
+
+interface ScrollOptions {
+ behavior?: ScrollBehavior;
+}
+
+interface ScrollToOptions extends ScrollOptions {
+ left?: number;
+ top?: number;
+}
+
+interface SecurityPolicyViolationEventInit extends EventInit {
+ blockedURI?: string;
+ columnNumber?: number;
+ disposition: SecurityPolicyViolationEventDisposition;
+ documentURI: string;
+ effectiveDirective: string;
+ lineNumber?: number;
+ originalPolicy: string;
+ referrer?: string;
+ sample?: string;
+ sourceFile?: string;
+ statusCode: number;
+ violatedDirective: string;
+}
+
+interface ShadowRootInit {
+ delegatesFocus?: boolean;
+ mode: ShadowRootMode;
+ slotAssignment?: SlotAssignmentMode;
+}
+
+interface ShareData {
+ files?: File[];
+ text?: string;
+ title?: string;
+ url?: string;
+}
+
+interface SpeechSynthesisErrorEventInit extends SpeechSynthesisEventInit {
+ error: SpeechSynthesisErrorCode;
+}
+
+interface SpeechSynthesisEventInit extends EventInit {
+ charIndex?: number;
+ charLength?: number;
+ elapsedTime?: number;
+ name?: string;
+ utterance: SpeechSynthesisUtterance;
+}
+
+interface StaticRangeInit {
+ endContainer: Node;
+ endOffset: number;
+ startContainer: Node;
+ startOffset: number;
+}
+
+interface StereoPannerOptions extends AudioNodeOptions {
+ pan?: number;
+}
+
+interface StorageEstimate {
+ quota?: number;
+ usage?: number;
+}
+
+interface StorageEventInit extends EventInit {
+ key?: string | null;
+ newValue?: string | null;
+ oldValue?: string | null;
+ storageArea?: Storage | null;
+ url?: string;
+}
+
+interface StreamPipeOptions {
+ preventAbort?: boolean;
+ preventCancel?: boolean;
+ /**
+ * Pipes this readable stream to a given writable stream destination. The way in which the piping process behaves under various error conditions can be customized with a number of passed options. It returns a promise that fulfills when the piping process completes successfully, or rejects if any errors were encountered.
+ *
+ * Piping a stream will lock it for the duration of the pipe, preventing any other consumer from acquiring a reader.
+ *
+ * Errors and closures of the source and destination streams propagate as follows:
+ *
+ * An error in this source readable stream will abort destination, unless preventAbort is truthy. The returned promise will be rejected with the source's error, or with any error that occurs during aborting the destination.
+ *
+ * An error in destination will cancel this source readable stream, unless preventCancel is truthy. The returned promise will be rejected with the destination's error, or with any error that occurs during canceling the source.
+ *
+ * When this source readable stream closes, destination will be closed, unless preventClose is truthy. The returned promise will be fulfilled once this process completes, unless an error is encountered while closing the destination, in which case it will be rejected with that error.
+ *
+ * If destination starts out closed or closing, this source readable stream will be canceled, unless preventCancel is true. The returned promise will be rejected with an error indicating piping to a closed stream failed, or with any error that occurs during canceling the source.
+ *
+ * The signal option can be set to an AbortSignal to allow aborting an ongoing pipe operation via the corresponding AbortController. In this case, this source readable stream will be canceled, and destination aborted, unless the respective options preventCancel or preventAbort are set.
+ */
+ preventClose?: boolean;
+ signal?: AbortSignal;
+}
+
+interface StructuredSerializeOptions {
+ transfer?: Transferable[];
+}
+
+interface SubmitEventInit extends EventInit {
+ submitter?: HTMLElement | null;
+}
+
+interface TextDecodeOptions {
+ stream?: boolean;
+}
+
+interface TextDecoderOptions {
+ fatal?: boolean;
+ ignoreBOM?: boolean;
+}
+
+interface TextEncoderEncodeIntoResult {
+ read: number;
+ written: number;
+}
+
+interface ToggleEventInit extends EventInit {
+ newState?: string;
+ oldState?: string;
+}
+
+interface TouchEventInit extends EventModifierInit {
+ changedTouches?: Touch[];
+ targetTouches?: Touch[];
+ touches?: Touch[];
+}
+
+interface TouchInit {
+ altitudeAngle?: number;
+ azimuthAngle?: number;
+ clientX?: number;
+ clientY?: number;
+ force?: number;
+ identifier: number;
+ pageX?: number;
+ pageY?: number;
+ radiusX?: number;
+ radiusY?: number;
+ rotationAngle?: number;
+ screenX?: number;
+ screenY?: number;
+ target: EventTarget;
+ touchType?: TouchType;
+}
+
+interface TrackEventInit extends EventInit {
+ track?: TextTrack | null;
+}
+
+interface Transformer<I = any, O = any> {
+ flush?: TransformerFlushCallback<O>;
+ readableType?: undefined;
+ start?: TransformerStartCallback<O>;
+ transform?: TransformerTransformCallback<I, O>;
+ writableType?: undefined;
+}
+
+interface TransitionEventInit extends EventInit {
+ elapsedTime?: number;
+ propertyName?: string;
+ pseudoElement?: string;
+}
+
+interface UIEventInit extends EventInit {
+ detail?: number;
+ view?: Window | null;
+ /** @deprecated */
+ which?: number;
+}
+
+interface ULongRange {
+ max?: number;
+ min?: number;
+}
+
+interface UnderlyingByteSource {
+ autoAllocateChunkSize?: number;
+ cancel?: UnderlyingSourceCancelCallback;
+ pull?: (controller: ReadableByteStreamController) => void | PromiseLike<void>;
+ start?: (controller: ReadableByteStreamController) => any;
+ type: "bytes";
+}
+
+interface UnderlyingDefaultSource<R = any> {
+ cancel?: UnderlyingSourceCancelCallback;
+ pull?: (controller: ReadableStreamDefaultController<R>) => void | PromiseLike<void>;
+ start?: (controller: ReadableStreamDefaultController<R>) => any;
+ type?: undefined;
+}
+
+interface UnderlyingSink<W = any> {
+ abort?: UnderlyingSinkAbortCallback;
+ close?: UnderlyingSinkCloseCallback;
+ start?: UnderlyingSinkStartCallback;
+ type?: undefined;
+ write?: UnderlyingSinkWriteCallback<W>;
+}
+
+interface UnderlyingSource<R = any> {
+ autoAllocateChunkSize?: number;
+ cancel?: UnderlyingSourceCancelCallback;
+ pull?: UnderlyingSourcePullCallback<R>;
+ start?: UnderlyingSourceStartCallback<R>;
+ type?: ReadableStreamType;
+}
+
+interface ValidityStateFlags {
+ badInput?: boolean;
+ customError?: boolean;
+ patternMismatch?: boolean;
+ rangeOverflow?: boolean;
+ rangeUnderflow?: boolean;
+ stepMismatch?: boolean;
+ tooLong?: boolean;
+ tooShort?: boolean;
+ typeMismatch?: boolean;
+ valueMissing?: boolean;
+}
+
+interface VideoColorSpaceInit {
+ fullRange?: boolean | null;
+ matrix?: VideoMatrixCoefficients | null;
+ primaries?: VideoColorPrimaries | null;
+ transfer?: VideoTransferCharacteristics | null;
+}
+
+interface VideoConfiguration {
+ bitrate: number;
+ colorGamut?: ColorGamut;
+ contentType: string;
+ framerate: number;
+ hdrMetadataType?: HdrMetadataType;
+ height: number;
+ scalabilityMode?: string;
+ transferFunction?: TransferFunction;
+ width: number;
+}
+
+interface VideoDecoderConfig {
+ codec: string;
+ codedHeight?: number;
+ codedWidth?: number;
+ colorSpace?: VideoColorSpaceInit;
+ description?: AllowSharedBufferSource;
+ displayAspectHeight?: number;
+ displayAspectWidth?: number;
+ hardwareAcceleration?: HardwareAcceleration;
+ optimizeForLatency?: boolean;
+}
+
+interface VideoDecoderInit {
+ error: WebCodecsErrorCallback;
+ output: VideoFrameOutputCallback;
+}
+
+interface VideoDecoderSupport {
+ config?: VideoDecoderConfig;
+ supported?: boolean;
+}
+
+interface VideoEncoderConfig {
+ alpha?: AlphaOption;
+ avc?: AvcEncoderConfig;
+ bitrate?: number;
+ bitrateMode?: VideoEncoderBitrateMode;
+ codec: string;
+ displayHeight?: number;
+ displayWidth?: number;
+ framerate?: number;
+ hardwareAcceleration?: HardwareAcceleration;
+ height: number;
+ latencyMode?: LatencyMode;
+ scalabilityMode?: string;
+ width: number;
+}
+
+interface VideoEncoderEncodeOptions {
+ keyFrame?: boolean;
+}
+
+interface VideoEncoderInit {
+ error: WebCodecsErrorCallback;
+ output: EncodedVideoChunkOutputCallback;
+}
+
+interface VideoEncoderSupport {
+ config?: VideoEncoderConfig;
+ supported?: boolean;
+}
+
+interface VideoFrameBufferInit {
+ codedHeight: number;
+ codedWidth: number;
+ colorSpace?: VideoColorSpaceInit;
+ displayHeight?: number;
+ displayWidth?: number;
+ duration?: number;
+ format: VideoPixelFormat;
+ layout?: PlaneLayout[];
+ timestamp: number;
+ visibleRect?: DOMRectInit;
+}
+
+interface VideoFrameCallbackMetadata {
+ captureTime?: DOMHighResTimeStamp;
+ expectedDisplayTime: DOMHighResTimeStamp;
+ height: number;
+ mediaTime: number;
+ presentationTime: DOMHighResTimeStamp;
+ presentedFrames: number;
+ processingDuration?: number;
+ receiveTime?: DOMHighResTimeStamp;
+ rtpTimestamp?: number;
+ width: number;
+}
+
+interface VideoFrameCopyToOptions {
+ layout?: PlaneLayout[];
+ rect?: DOMRectInit;
+}
+
+interface VideoFrameInit {
+ alpha?: AlphaOption;
+ displayHeight?: number;
+ displayWidth?: number;
+ duration?: number;
+ timestamp?: number;
+ visibleRect?: DOMRectInit;
+}
+
+interface WaveShaperOptions extends AudioNodeOptions {
+ curve?: number[] | Float32Array;
+ oversample?: OverSampleType;
+}
+
+interface WebGLContextAttributes {
+ alpha?: boolean;
+ antialias?: boolean;
+ depth?: boolean;
+ desynchronized?: boolean;
+ failIfMajorPerformanceCaveat?: boolean;
+ powerPreference?: WebGLPowerPreference;
+ premultipliedAlpha?: boolean;
+ preserveDrawingBuffer?: boolean;
+ stencil?: boolean;
+}
+
+interface WebGLContextEventInit extends EventInit {
+ statusMessage?: string;
+}
+
+interface WebTransportCloseInfo {
+ closeCode?: number;
+ reason?: string;
+}
+
+interface WebTransportErrorOptions {
+ source?: WebTransportErrorSource;
+ streamErrorCode?: number | null;
+}
+
+interface WebTransportHash {
+ algorithm?: string;
+ value?: BufferSource;
+}
+
+interface WebTransportOptions {
+ allowPooling?: boolean;
+ congestionControl?: WebTransportCongestionControl;
+ requireUnreliable?: boolean;
+ serverCertificateHashes?: WebTransportHash[];
+}
+
+interface WebTransportSendStreamOptions {
+ sendOrder?: number;
+}
+
+interface WheelEventInit extends MouseEventInit {
+ deltaMode?: number;
+ deltaX?: number;
+ deltaY?: number;
+ deltaZ?: number;
+}
+
+interface WindowPostMessageOptions extends StructuredSerializeOptions {
+ targetOrigin?: string;
+}
+
+interface WorkerOptions {
+ credentials?: RequestCredentials;
+ name?: string;
+ type?: WorkerType;
+}
+
+interface WorkletOptions {
+ credentials?: RequestCredentials;
+}
+
+interface WriteParams {
+ data?: BufferSource | Blob | string | null;
+ position?: number | null;
+ size?: number | null;
+ type: WriteCommandType;
+}
+
+type NodeFilter = ((node: Node) => number) | { acceptNode(node: Node): number; };
+
+declare var NodeFilter: {
+ readonly FILTER_ACCEPT: 1;
+ readonly FILTER_REJECT: 2;
+ readonly FILTER_SKIP: 3;
+ readonly SHOW_ALL: 0xFFFFFFFF;
+ readonly SHOW_ELEMENT: 0x1;
+ readonly SHOW_ATTRIBUTE: 0x2;
+ readonly SHOW_TEXT: 0x4;
+ readonly SHOW_CDATA_SECTION: 0x8;
+ readonly SHOW_ENTITY_REFERENCE: 0x10;
+ readonly SHOW_ENTITY: 0x20;
+ readonly SHOW_PROCESSING_INSTRUCTION: 0x40;
+ readonly SHOW_COMMENT: 0x80;
+ readonly SHOW_DOCUMENT: 0x100;
+ readonly SHOW_DOCUMENT_TYPE: 0x200;
+ readonly SHOW_DOCUMENT_FRAGMENT: 0x400;
+ readonly SHOW_NOTATION: 0x800;
+};
+
+type XPathNSResolver = ((prefix: string | null) => string | null) | { lookupNamespaceURI(prefix: string | null): string | null; };
+
+/**
+ * The ANGLE_instanced_arrays extension is part of the WebGL API and allows to draw the same object, or groups of similar objects multiple times, if they share the same vertex data, primitive count and type.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ANGLE_instanced_arrays)
+ */
+interface ANGLE_instanced_arrays {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ANGLE_instanced_arrays/drawArraysInstancedANGLE) */
+ drawArraysInstancedANGLE(mode: GLenum, first: GLint, count: GLsizei, primcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ANGLE_instanced_arrays/drawElementsInstancedANGLE) */
+ drawElementsInstancedANGLE(mode: GLenum, count: GLsizei, type: GLenum, offset: GLintptr, primcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ANGLE_instanced_arrays/vertexAttribDivisorANGLE) */
+ vertexAttribDivisorANGLE(index: GLuint, divisor: GLuint): void;
+ readonly VERTEX_ATTRIB_ARRAY_DIVISOR_ANGLE: 0x88FE;
+}
+
+interface ARIAMixin {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaAtomic) */
+ ariaAtomic: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaAutoComplete) */
+ ariaAutoComplete: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaBusy) */
+ ariaBusy: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaChecked) */
+ ariaChecked: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaColCount) */
+ ariaColCount: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaColIndex) */
+ ariaColIndex: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaColSpan) */
+ ariaColSpan: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaCurrent) */
+ ariaCurrent: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaDescription) */
+ ariaDescription: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaDisabled) */
+ ariaDisabled: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaExpanded) */
+ ariaExpanded: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaHasPopup) */
+ ariaHasPopup: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaHidden) */
+ ariaHidden: string | null;
+ ariaInvalid: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaKeyShortcuts) */
+ ariaKeyShortcuts: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaLabel) */
+ ariaLabel: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaLevel) */
+ ariaLevel: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaLive) */
+ ariaLive: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaModal) */
+ ariaModal: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaMultiLine) */
+ ariaMultiLine: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaMultiSelectable) */
+ ariaMultiSelectable: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaOrientation) */
+ ariaOrientation: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaPlaceholder) */
+ ariaPlaceholder: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaPosInSet) */
+ ariaPosInSet: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaPressed) */
+ ariaPressed: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaReadOnly) */
+ ariaReadOnly: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaRequired) */
+ ariaRequired: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaRoleDescription) */
+ ariaRoleDescription: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaRowCount) */
+ ariaRowCount: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaRowIndex) */
+ ariaRowIndex: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaRowSpan) */
+ ariaRowSpan: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaSelected) */
+ ariaSelected: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaSetSize) */
+ ariaSetSize: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaSort) */
+ ariaSort: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaValueMax) */
+ ariaValueMax: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaValueMin) */
+ ariaValueMin: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaValueNow) */
+ ariaValueNow: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/ariaValueText) */
+ ariaValueText: string | null;
+ role: string | null;
+}
+
+/**
+ * A controller object that allows you to abort one or more DOM requests as and when desired.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortController)
+ */
+interface AbortController {
+ /**
+ * Returns the AbortSignal object associated with this object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortController/signal)
+ */
+ readonly signal: AbortSignal;
+ /**
+ * Invoking this method will set this object's AbortSignal's aborted flag and signal to any observers that the associated activity is to be aborted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortController/abort)
+ */
+ abort(reason?: any): void;
+}
+
+declare var AbortController: {
+ prototype: AbortController;
+ new(): AbortController;
+};
+
+interface AbortSignalEventMap {
+ "abort": Event;
+}
+
+/**
+ * A signal object that allows you to communicate with a DOM request (such as a Fetch) and abort it if required via an AbortController object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal)
+ */
+interface AbortSignal extends EventTarget {
+ /**
+ * Returns true if this AbortSignal's AbortController has signaled to abort, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/aborted)
+ */
+ readonly aborted: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/abort_event) */
+ onabort: ((this: AbortSignal, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/reason) */
+ readonly reason: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/throwIfAborted) */
+ throwIfAborted(): void;
+ addEventListener<K extends keyof AbortSignalEventMap>(type: K, listener: (this: AbortSignal, ev: AbortSignalEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AbortSignalEventMap>(type: K, listener: (this: AbortSignal, ev: AbortSignalEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var AbortSignal: {
+ prototype: AbortSignal;
+ new(): AbortSignal;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/abort_static) */
+ abort(reason?: any): AbortSignal;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/timeout_static) */
+ timeout(milliseconds: number): AbortSignal;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbstractRange) */
+interface AbstractRange {
+ /**
+ * Returns true if range is collapsed, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbstractRange/collapsed)
+ */
+ readonly collapsed: boolean;
+ /**
+ * Returns range's end node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbstractRange/endContainer)
+ */
+ readonly endContainer: Node;
+ /**
+ * Returns range's end offset.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbstractRange/endOffset)
+ */
+ readonly endOffset: number;
+ /**
+ * Returns range's start node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbstractRange/startContainer)
+ */
+ readonly startContainer: Node;
+ /**
+ * Returns range's start offset.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbstractRange/startOffset)
+ */
+ readonly startOffset: number;
+}
+
+declare var AbstractRange: {
+ prototype: AbstractRange;
+ new(): AbstractRange;
+};
+
+interface AbstractWorkerEventMap {
+ "error": ErrorEvent;
+}
+
+interface AbstractWorker {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/error_event) */
+ onerror: ((this: AbstractWorker, ev: ErrorEvent) => any) | null;
+ addEventListener<K extends keyof AbstractWorkerEventMap>(type: K, listener: (this: AbstractWorker, ev: AbstractWorkerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AbstractWorkerEventMap>(type: K, listener: (this: AbstractWorker, ev: AbstractWorkerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/**
+ * A node able to provide real-time frequency and time-domain analysis information. It is an AudioNode that passes the audio stream unchanged from the input to the output, but allows you to take the generated data, process it, and create audio visualizations.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode)
+ */
+interface AnalyserNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/fftSize) */
+ fftSize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/frequencyBinCount) */
+ readonly frequencyBinCount: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/maxDecibels) */
+ maxDecibels: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/minDecibels) */
+ minDecibels: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/smoothingTimeConstant) */
+ smoothingTimeConstant: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/getByteFrequencyData) */
+ getByteFrequencyData(array: Uint8Array): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/getByteTimeDomainData) */
+ getByteTimeDomainData(array: Uint8Array): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/getFloatFrequencyData) */
+ getFloatFrequencyData(array: Float32Array): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnalyserNode/getFloatTimeDomainData) */
+ getFloatTimeDomainData(array: Float32Array): void;
+}
+
+declare var AnalyserNode: {
+ prototype: AnalyserNode;
+ new(context: BaseAudioContext, options?: AnalyserOptions): AnalyserNode;
+};
+
+interface Animatable {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animate) */
+ animate(keyframes: Keyframe[] | PropertyIndexedKeyframes | null, options?: number | KeyframeAnimationOptions): Animation;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getAnimations) */
+ getAnimations(options?: GetAnimationsOptions): Animation[];
+}
+
+interface AnimationEventMap {
+ "cancel": AnimationPlaybackEvent;
+ "finish": AnimationPlaybackEvent;
+ "remove": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation) */
+interface Animation extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/currentTime) */
+ currentTime: CSSNumberish | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/effect) */
+ effect: AnimationEffect | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/finished) */
+ readonly finished: Promise<Animation>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/id) */
+ id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/cancel_event) */
+ oncancel: ((this: Animation, ev: AnimationPlaybackEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/finish_event) */
+ onfinish: ((this: Animation, ev: AnimationPlaybackEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/remove_event) */
+ onremove: ((this: Animation, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/pending) */
+ readonly pending: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/playState) */
+ readonly playState: AnimationPlayState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/playbackRate) */
+ playbackRate: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/ready) */
+ readonly ready: Promise<Animation>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/replaceState) */
+ readonly replaceState: AnimationReplaceState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/startTime) */
+ startTime: CSSNumberish | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/timeline) */
+ timeline: AnimationTimeline | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/cancel) */
+ cancel(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/commitStyles) */
+ commitStyles(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/finish) */
+ finish(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/pause) */
+ pause(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/persist) */
+ persist(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/play) */
+ play(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/reverse) */
+ reverse(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Animation/updatePlaybackRate) */
+ updatePlaybackRate(playbackRate: number): void;
+ addEventListener<K extends keyof AnimationEventMap>(type: K, listener: (this: Animation, ev: AnimationEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AnimationEventMap>(type: K, listener: (this: Animation, ev: AnimationEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Animation: {
+ prototype: Animation;
+ new(effect?: AnimationEffect | null, timeline?: AnimationTimeline | null): Animation;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationEffect) */
+interface AnimationEffect {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationEffect/getComputedTiming) */
+ getComputedTiming(): ComputedEffectTiming;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationEffect/getTiming) */
+ getTiming(): EffectTiming;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationEffect/updateTiming) */
+ updateTiming(timing?: OptionalEffectTiming): void;
+}
+
+declare var AnimationEffect: {
+ prototype: AnimationEffect;
+ new(): AnimationEffect;
+};
+
+/**
+ * Events providing information related to animations.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationEvent)
+ */
+interface AnimationEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationEvent/animationName) */
+ readonly animationName: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationEvent/elapsedTime) */
+ readonly elapsedTime: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationEvent/pseudoElement) */
+ readonly pseudoElement: string;
+}
+
+declare var AnimationEvent: {
+ prototype: AnimationEvent;
+ new(type: string, animationEventInitDict?: AnimationEventInit): AnimationEvent;
+};
+
+interface AnimationFrameProvider {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/cancelAnimationFrame) */
+ cancelAnimationFrame(handle: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/requestAnimationFrame) */
+ requestAnimationFrame(callback: FrameRequestCallback): number;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationPlaybackEvent) */
+interface AnimationPlaybackEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationPlaybackEvent/currentTime) */
+ readonly currentTime: CSSNumberish | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationPlaybackEvent/timelineTime) */
+ readonly timelineTime: CSSNumberish | null;
+}
+
+declare var AnimationPlaybackEvent: {
+ prototype: AnimationPlaybackEvent;
+ new(type: string, eventInitDict?: AnimationPlaybackEventInit): AnimationPlaybackEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationTimeline) */
+interface AnimationTimeline {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AnimationTimeline/currentTime) */
+ readonly currentTime: CSSNumberish | null;
+}
+
+declare var AnimationTimeline: {
+ prototype: AnimationTimeline;
+ new(): AnimationTimeline;
+};
+
+/**
+ * A DOM element's attribute as an object. In most DOM methods, you will probably directly retrieve the attribute as a string (e.g., Element.getAttribute(), but certain functions (e.g., Element.getAttributeNode()) or means of iterating give Attr types.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Attr)
+ */
+interface Attr extends Node {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Attr/localName) */
+ readonly localName: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Attr/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Attr/namespaceURI) */
+ readonly namespaceURI: string | null;
+ readonly ownerDocument: Document;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Attr/ownerElement) */
+ readonly ownerElement: Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Attr/prefix) */
+ readonly prefix: string | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Attr/specified)
+ */
+ readonly specified: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Attr/value) */
+ value: string;
+}
+
+declare var Attr: {
+ prototype: Attr;
+ new(): Attr;
+};
+
+/**
+ * A short audio asset residing in memory, created from an audio file using the AudioContext.decodeAudioData() method, or from raw data using AudioContext.createBuffer(). Once put into an AudioBuffer, the audio can then be played by being passed into an AudioBufferSourceNode.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBuffer)
+ */
+interface AudioBuffer {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBuffer/duration) */
+ readonly duration: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBuffer/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBuffer/numberOfChannels) */
+ readonly numberOfChannels: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBuffer/sampleRate) */
+ readonly sampleRate: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBuffer/copyFromChannel) */
+ copyFromChannel(destination: Float32Array, channelNumber: number, bufferOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBuffer/copyToChannel) */
+ copyToChannel(source: Float32Array, channelNumber: number, bufferOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBuffer/getChannelData) */
+ getChannelData(channel: number): Float32Array;
+}
+
+declare var AudioBuffer: {
+ prototype: AudioBuffer;
+ new(options: AudioBufferOptions): AudioBuffer;
+};
+
+/**
+ * An AudioScheduledSourceNode which represents an audio source consisting of in-memory audio data, stored in an AudioBuffer. It's especially useful for playing back audio which has particularly stringent timing accuracy requirements, such as for sounds that must match a specific rhythm and can be kept in memory rather than being played from disk or the network.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBufferSourceNode)
+ */
+interface AudioBufferSourceNode extends AudioScheduledSourceNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBufferSourceNode/buffer) */
+ buffer: AudioBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBufferSourceNode/detune) */
+ readonly detune: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBufferSourceNode/loop) */
+ loop: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBufferSourceNode/loopEnd) */
+ loopEnd: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBufferSourceNode/loopStart) */
+ loopStart: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBufferSourceNode/playbackRate) */
+ readonly playbackRate: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioBufferSourceNode/start) */
+ start(when?: number, offset?: number, duration?: number): void;
+ addEventListener<K extends keyof AudioScheduledSourceNodeEventMap>(type: K, listener: (this: AudioBufferSourceNode, ev: AudioScheduledSourceNodeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AudioScheduledSourceNodeEventMap>(type: K, listener: (this: AudioBufferSourceNode, ev: AudioScheduledSourceNodeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var AudioBufferSourceNode: {
+ prototype: AudioBufferSourceNode;
+ new(context: BaseAudioContext, options?: AudioBufferSourceOptions): AudioBufferSourceNode;
+};
+
+/**
+ * An audio-processing graph built from audio modules linked together, each represented by an AudioNode.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext)
+ */
+interface AudioContext extends BaseAudioContext {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/baseLatency) */
+ readonly baseLatency: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/outputLatency) */
+ readonly outputLatency: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/close) */
+ close(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/createMediaElementSource) */
+ createMediaElementSource(mediaElement: HTMLMediaElement): MediaElementAudioSourceNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/createMediaStreamDestination) */
+ createMediaStreamDestination(): MediaStreamAudioDestinationNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/createMediaStreamSource) */
+ createMediaStreamSource(mediaStream: MediaStream): MediaStreamAudioSourceNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/getOutputTimestamp) */
+ getOutputTimestamp(): AudioTimestamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/resume) */
+ resume(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioContext/suspend) */
+ suspend(): Promise<void>;
+ addEventListener<K extends keyof BaseAudioContextEventMap>(type: K, listener: (this: AudioContext, ev: BaseAudioContextEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof BaseAudioContextEventMap>(type: K, listener: (this: AudioContext, ev: BaseAudioContextEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var AudioContext: {
+ prototype: AudioContext;
+ new(contextOptions?: AudioContextOptions): AudioContext;
+};
+
+/**
+ * AudioDestinationNode has no output (as it is the output, no more AudioNode can be linked after it in the audio graph) and one input. The number of channels in the input must be between 0 and the maxChannelCount value or an exception is raised.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioDestinationNode)
+ */
+interface AudioDestinationNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioDestinationNode/maxChannelCount) */
+ readonly maxChannelCount: number;
+}
+
+declare var AudioDestinationNode: {
+ prototype: AudioDestinationNode;
+ new(): AudioDestinationNode;
+};
+
+/**
+ * The position and orientation of the unique person listening to the audio scene, and is used in audio spatialization. All PannerNodes spatialize in relation to the AudioListener stored in the BaseAudioContext.listener attribute.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener)
+ */
+interface AudioListener {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/forwardX) */
+ readonly forwardX: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/forwardY) */
+ readonly forwardY: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/forwardZ) */
+ readonly forwardZ: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/positionX) */
+ readonly positionX: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/positionY) */
+ readonly positionY: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/positionZ) */
+ readonly positionZ: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/upX) */
+ readonly upX: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/upY) */
+ readonly upY: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/upZ) */
+ readonly upZ: AudioParam;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/setOrientation)
+ */
+ setOrientation(x: number, y: number, z: number, xUp: number, yUp: number, zUp: number): void;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioListener/setPosition)
+ */
+ setPosition(x: number, y: number, z: number): void;
+}
+
+declare var AudioListener: {
+ prototype: AudioListener;
+ new(): AudioListener;
+};
+
+/**
+ * A generic interface for representing an audio processing module. Examples include:
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode)
+ */
+interface AudioNode extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode/channelCount) */
+ channelCount: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode/channelCountMode) */
+ channelCountMode: ChannelCountMode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode/channelInterpretation) */
+ channelInterpretation: ChannelInterpretation;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode/context) */
+ readonly context: BaseAudioContext;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode/numberOfInputs) */
+ readonly numberOfInputs: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode/numberOfOutputs) */
+ readonly numberOfOutputs: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode/connect) */
+ connect(destinationNode: AudioNode, output?: number, input?: number): AudioNode;
+ connect(destinationParam: AudioParam, output?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioNode/disconnect) */
+ disconnect(): void;
+ disconnect(output: number): void;
+ disconnect(destinationNode: AudioNode): void;
+ disconnect(destinationNode: AudioNode, output: number): void;
+ disconnect(destinationNode: AudioNode, output: number, input: number): void;
+ disconnect(destinationParam: AudioParam): void;
+ disconnect(destinationParam: AudioParam, output: number): void;
+}
+
+declare var AudioNode: {
+ prototype: AudioNode;
+ new(): AudioNode;
+};
+
+/**
+ * The Web Audio API's AudioParam interface represents an audio-related parameter, usually a parameter of an AudioNode (such as GainNode.gain).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam)
+ */
+interface AudioParam {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/automationRate) */
+ automationRate: AutomationRate;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/defaultValue) */
+ readonly defaultValue: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/maxValue) */
+ readonly maxValue: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/minValue) */
+ readonly minValue: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/value) */
+ value: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/cancelAndHoldAtTime) */
+ cancelAndHoldAtTime(cancelTime: number): AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/cancelScheduledValues) */
+ cancelScheduledValues(cancelTime: number): AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/exponentialRampToValueAtTime) */
+ exponentialRampToValueAtTime(value: number, endTime: number): AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/linearRampToValueAtTime) */
+ linearRampToValueAtTime(value: number, endTime: number): AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/setTargetAtTime) */
+ setTargetAtTime(target: number, startTime: number, timeConstant: number): AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/setValueAtTime) */
+ setValueAtTime(value: number, startTime: number): AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/setValueCurveAtTime) */
+ setValueCurveAtTime(values: number[] | Float32Array, startTime: number, duration: number): AudioParam;
+}
+
+declare var AudioParam: {
+ prototype: AudioParam;
+ new(): AudioParam;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParamMap) */
+interface AudioParamMap {
+ forEach(callbackfn: (value: AudioParam, key: string, parent: AudioParamMap) => void, thisArg?: any): void;
+}
+
+declare var AudioParamMap: {
+ prototype: AudioParamMap;
+ new(): AudioParamMap;
+};
+
+/**
+ * The Web Audio API events that occur when a ScriptProcessorNode input buffer is ready to be processed.
+ * @deprecated As of the August 29 2014 Web Audio API spec publication, this feature has been marked as deprecated, and is soon to be replaced by AudioWorklet.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioProcessingEvent)
+ */
+interface AudioProcessingEvent extends Event {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioProcessingEvent/inputBuffer)
+ */
+ readonly inputBuffer: AudioBuffer;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioProcessingEvent/outputBuffer)
+ */
+ readonly outputBuffer: AudioBuffer;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioProcessingEvent/playbackTime)
+ */
+ readonly playbackTime: number;
+}
+
+/** @deprecated */
+declare var AudioProcessingEvent: {
+ prototype: AudioProcessingEvent;
+ new(type: string, eventInitDict: AudioProcessingEventInit): AudioProcessingEvent;
+};
+
+interface AudioScheduledSourceNodeEventMap {
+ "ended": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioScheduledSourceNode) */
+interface AudioScheduledSourceNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioScheduledSourceNode/ended_event) */
+ onended: ((this: AudioScheduledSourceNode, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioScheduledSourceNode/start) */
+ start(when?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioScheduledSourceNode/stop) */
+ stop(when?: number): void;
+ addEventListener<K extends keyof AudioScheduledSourceNodeEventMap>(type: K, listener: (this: AudioScheduledSourceNode, ev: AudioScheduledSourceNodeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AudioScheduledSourceNodeEventMap>(type: K, listener: (this: AudioScheduledSourceNode, ev: AudioScheduledSourceNodeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var AudioScheduledSourceNode: {
+ prototype: AudioScheduledSourceNode;
+ new(): AudioScheduledSourceNode;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioWorklet)
+ */
+interface AudioWorklet extends Worklet {
+}
+
+declare var AudioWorklet: {
+ prototype: AudioWorklet;
+ new(): AudioWorklet;
+};
+
+interface AudioWorkletNodeEventMap {
+ "processorerror": Event;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioWorkletNode)
+ */
+interface AudioWorkletNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioWorkletNode/processorerror_event) */
+ onprocessorerror: ((this: AudioWorkletNode, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioWorkletNode/parameters) */
+ readonly parameters: AudioParamMap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioWorkletNode/port) */
+ readonly port: MessagePort;
+ addEventListener<K extends keyof AudioWorkletNodeEventMap>(type: K, listener: (this: AudioWorkletNode, ev: AudioWorkletNodeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AudioWorkletNodeEventMap>(type: K, listener: (this: AudioWorkletNode, ev: AudioWorkletNodeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var AudioWorkletNode: {
+ prototype: AudioWorkletNode;
+ new(context: BaseAudioContext, name: string, options?: AudioWorkletNodeOptions): AudioWorkletNode;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAssertionResponse)
+ */
+interface AuthenticatorAssertionResponse extends AuthenticatorResponse {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAssertionResponse/authenticatorData) */
+ readonly authenticatorData: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAssertionResponse/signature) */
+ readonly signature: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAssertionResponse/userHandle) */
+ readonly userHandle: ArrayBuffer | null;
+}
+
+declare var AuthenticatorAssertionResponse: {
+ prototype: AuthenticatorAssertionResponse;
+ new(): AuthenticatorAssertionResponse;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAttestationResponse)
+ */
+interface AuthenticatorAttestationResponse extends AuthenticatorResponse {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAttestationResponse/attestationObject) */
+ readonly attestationObject: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAttestationResponse/getAuthenticatorData) */
+ getAuthenticatorData(): ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAttestationResponse/getPublicKey) */
+ getPublicKey(): ArrayBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAttestationResponse/getPublicKeyAlgorithm) */
+ getPublicKeyAlgorithm(): COSEAlgorithmIdentifier;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorAttestationResponse/getTransports) */
+ getTransports(): string[];
+}
+
+declare var AuthenticatorAttestationResponse: {
+ prototype: AuthenticatorAttestationResponse;
+ new(): AuthenticatorAttestationResponse;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorResponse)
+ */
+interface AuthenticatorResponse {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AuthenticatorResponse/clientDataJSON) */
+ readonly clientDataJSON: ArrayBuffer;
+}
+
+declare var AuthenticatorResponse: {
+ prototype: AuthenticatorResponse;
+ new(): AuthenticatorResponse;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BarProp) */
+interface BarProp {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BarProp/visible) */
+ readonly visible: boolean;
+}
+
+declare var BarProp: {
+ prototype: BarProp;
+ new(): BarProp;
+};
+
+interface BaseAudioContextEventMap {
+ "statechange": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext) */
+interface BaseAudioContext extends EventTarget {
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/audioWorklet)
+ */
+ readonly audioWorklet: AudioWorklet;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/currentTime) */
+ readonly currentTime: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/destination) */
+ readonly destination: AudioDestinationNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/listener) */
+ readonly listener: AudioListener;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/statechange_event) */
+ onstatechange: ((this: BaseAudioContext, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/sampleRate) */
+ readonly sampleRate: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/state) */
+ readonly state: AudioContextState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createAnalyser) */
+ createAnalyser(): AnalyserNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createBiquadFilter) */
+ createBiquadFilter(): BiquadFilterNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createBuffer) */
+ createBuffer(numberOfChannels: number, length: number, sampleRate: number): AudioBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createBufferSource) */
+ createBufferSource(): AudioBufferSourceNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createChannelMerger) */
+ createChannelMerger(numberOfInputs?: number): ChannelMergerNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createChannelSplitter) */
+ createChannelSplitter(numberOfOutputs?: number): ChannelSplitterNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createConstantSource) */
+ createConstantSource(): ConstantSourceNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createConvolver) */
+ createConvolver(): ConvolverNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createDelay) */
+ createDelay(maxDelayTime?: number): DelayNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createDynamicsCompressor) */
+ createDynamicsCompressor(): DynamicsCompressorNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createGain) */
+ createGain(): GainNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createIIRFilter) */
+ createIIRFilter(feedforward: number[], feedback: number[]): IIRFilterNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createOscillator) */
+ createOscillator(): OscillatorNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createPanner) */
+ createPanner(): PannerNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createPeriodicWave) */
+ createPeriodicWave(real: number[] | Float32Array, imag: number[] | Float32Array, constraints?: PeriodicWaveConstraints): PeriodicWave;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createScriptProcessor)
+ */
+ createScriptProcessor(bufferSize?: number, numberOfInputChannels?: number, numberOfOutputChannels?: number): ScriptProcessorNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createStereoPanner) */
+ createStereoPanner(): StereoPannerNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createWaveShaper) */
+ createWaveShaper(): WaveShaperNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/decodeAudioData) */
+ decodeAudioData(audioData: ArrayBuffer, successCallback?: DecodeSuccessCallback | null, errorCallback?: DecodeErrorCallback | null): Promise<AudioBuffer>;
+ addEventListener<K extends keyof BaseAudioContextEventMap>(type: K, listener: (this: BaseAudioContext, ev: BaseAudioContextEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof BaseAudioContextEventMap>(type: K, listener: (this: BaseAudioContext, ev: BaseAudioContextEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var BaseAudioContext: {
+ prototype: BaseAudioContext;
+ new(): BaseAudioContext;
+};
+
+/**
+ * The beforeunload event is fired when the window, the document and its resources are about to be unloaded.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BeforeUnloadEvent)
+ */
+interface BeforeUnloadEvent extends Event {
+ /** @deprecated */
+ returnValue: any;
+}
+
+declare var BeforeUnloadEvent: {
+ prototype: BeforeUnloadEvent;
+ new(): BeforeUnloadEvent;
+};
+
+/**
+ * A simple low-order filter, and is created using the AudioContext.createBiquadFilter() method. It is an AudioNode that can represent different kinds of filters, tone control devices, and graphic equalizers.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BiquadFilterNode)
+ */
+interface BiquadFilterNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BiquadFilterNode/Q) */
+ readonly Q: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BiquadFilterNode/detune) */
+ readonly detune: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BiquadFilterNode/frequency) */
+ readonly frequency: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BiquadFilterNode/gain) */
+ readonly gain: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BiquadFilterNode/type) */
+ type: BiquadFilterType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BiquadFilterNode/getFrequencyResponse) */
+ getFrequencyResponse(frequencyHz: Float32Array, magResponse: Float32Array, phaseResponse: Float32Array): void;
+}
+
+declare var BiquadFilterNode: {
+ prototype: BiquadFilterNode;
+ new(context: BaseAudioContext, options?: BiquadFilterOptions): BiquadFilterNode;
+};
+
+/**
+ * A file-like object of immutable, raw data. Blobs represent data that isn't necessarily in a JavaScript-native format. The File interface is based on Blob, inheriting blob functionality and expanding it to support files on the user's system.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob)
+ */
+interface Blob {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/size) */
+ readonly size: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/type) */
+ readonly type: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/arrayBuffer) */
+ arrayBuffer(): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/slice) */
+ slice(start?: number, end?: number, contentType?: string): Blob;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/stream) */
+ stream(): ReadableStream<Uint8Array>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/text) */
+ text(): Promise<string>;
+}
+
+declare var Blob: {
+ prototype: Blob;
+ new(blobParts?: BlobPart[], options?: BlobPropertyBag): Blob;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BlobEvent) */
+interface BlobEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BlobEvent/data) */
+ readonly data: Blob;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BlobEvent/timecode) */
+ readonly timecode: DOMHighResTimeStamp;
+}
+
+declare var BlobEvent: {
+ prototype: BlobEvent;
+ new(type: string, eventInitDict: BlobEventInit): BlobEvent;
+};
+
+interface Body {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/body) */
+ readonly body: ReadableStream<Uint8Array> | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/bodyUsed) */
+ readonly bodyUsed: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/arrayBuffer) */
+ arrayBuffer(): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/blob) */
+ blob(): Promise<Blob>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/formData) */
+ formData(): Promise<FormData>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/json) */
+ json(): Promise<any>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/text) */
+ text(): Promise<string>;
+}
+
+interface BroadcastChannelEventMap {
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel) */
+interface BroadcastChannel extends EventTarget {
+ /**
+ * Returns the channel name (as passed to the constructor).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/name)
+ */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/message_event) */
+ onmessage: ((this: BroadcastChannel, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/messageerror_event) */
+ onmessageerror: ((this: BroadcastChannel, ev: MessageEvent) => any) | null;
+ /**
+ * Closes the BroadcastChannel object, opening it up to garbage collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/close)
+ */
+ close(): void;
+ /**
+ * Sends the given message to other BroadcastChannel objects set up for this channel. Messages can be structured objects, e.g. nested objects and arrays.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/postMessage)
+ */
+ postMessage(message: any): void;
+ addEventListener<K extends keyof BroadcastChannelEventMap>(type: K, listener: (this: BroadcastChannel, ev: BroadcastChannelEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof BroadcastChannelEventMap>(type: K, listener: (this: BroadcastChannel, ev: BroadcastChannelEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var BroadcastChannel: {
+ prototype: BroadcastChannel;
+ new(name: string): BroadcastChannel;
+};
+
+/**
+ * This Streams API interface provides a built-in byte length queuing strategy that can be used when constructing streams.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ByteLengthQueuingStrategy)
+ */
+interface ByteLengthQueuingStrategy extends QueuingStrategy<ArrayBufferView> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ByteLengthQueuingStrategy/highWaterMark) */
+ readonly highWaterMark: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ByteLengthQueuingStrategy/size) */
+ readonly size: QueuingStrategySize<ArrayBufferView>;
+}
+
+declare var ByteLengthQueuingStrategy: {
+ prototype: ByteLengthQueuingStrategy;
+ new(init: QueuingStrategyInit): ByteLengthQueuingStrategy;
+};
+
+/**
+ * A CDATA section that can be used within XML to include extended portions of unescaped text. The symbols < and & don’t need escaping as they normally do when inside a CDATA section.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CDATASection)
+ */
+interface CDATASection extends Text {
+}
+
+declare var CDATASection: {
+ prototype: CDATASection;
+ new(): CDATASection;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSAnimation) */
+interface CSSAnimation extends Animation {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSAnimation/animationName) */
+ readonly animationName: string;
+ addEventListener<K extends keyof AnimationEventMap>(type: K, listener: (this: CSSAnimation, ev: AnimationEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AnimationEventMap>(type: K, listener: (this: CSSAnimation, ev: AnimationEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var CSSAnimation: {
+ prototype: CSSAnimation;
+ new(): CSSAnimation;
+};
+
+/**
+ * A single condition CSS at-rule, which consists of a condition and a statement block. It is a child of CSSGroupingRule.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSConditionRule)
+ */
+interface CSSConditionRule extends CSSGroupingRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSConditionRule/conditionText) */
+ readonly conditionText: string;
+}
+
+declare var CSSConditionRule: {
+ prototype: CSSConditionRule;
+ new(): CSSConditionRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSContainerRule) */
+interface CSSContainerRule extends CSSConditionRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSContainerRule/containerName) */
+ readonly containerName: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSContainerRule/containerQuery) */
+ readonly containerQuery: string;
+}
+
+declare var CSSContainerRule: {
+ prototype: CSSContainerRule;
+ new(): CSSContainerRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule) */
+interface CSSCounterStyleRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/additiveSymbols) */
+ additiveSymbols: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/fallback) */
+ fallback: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/name) */
+ name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/negative) */
+ negative: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/pad) */
+ pad: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/prefix) */
+ prefix: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/range) */
+ range: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/speakAs) */
+ speakAs: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/suffix) */
+ suffix: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/symbols) */
+ symbols: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSCounterStyleRule/system) */
+ system: string;
+}
+
+declare var CSSCounterStyleRule: {
+ prototype: CSSCounterStyleRule;
+ new(): CSSCounterStyleRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontFaceRule) */
+interface CSSFontFaceRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontFaceRule/style) */
+ readonly style: CSSStyleDeclaration;
+}
+
+declare var CSSFontFaceRule: {
+ prototype: CSSFontFaceRule;
+ new(): CSSFontFaceRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontFeatureValuesRule) */
+interface CSSFontFeatureValuesRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontFeatureValuesRule/fontFamily) */
+ fontFamily: string;
+}
+
+declare var CSSFontFeatureValuesRule: {
+ prototype: CSSFontFeatureValuesRule;
+ new(): CSSFontFeatureValuesRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontPaletteValuesRule) */
+interface CSSFontPaletteValuesRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontPaletteValuesRule/basePalette) */
+ readonly basePalette: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontPaletteValuesRule/fontFamily) */
+ readonly fontFamily: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontPaletteValuesRule/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSFontPaletteValuesRule/overrideColors) */
+ readonly overrideColors: string;
+}
+
+declare var CSSFontPaletteValuesRule: {
+ prototype: CSSFontPaletteValuesRule;
+ new(): CSSFontPaletteValuesRule;
+};
+
+/**
+ * Any CSS at-rule that contains other rules nested within it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSGroupingRule)
+ */
+interface CSSGroupingRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSGroupingRule/cssRules) */
+ readonly cssRules: CSSRuleList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSGroupingRule/deleteRule) */
+ deleteRule(index: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSGroupingRule/insertRule) */
+ insertRule(rule: string, index?: number): number;
+}
+
+declare var CSSGroupingRule: {
+ prototype: CSSGroupingRule;
+ new(): CSSGroupingRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSImageValue) */
+interface CSSImageValue extends CSSStyleValue {
+}
+
+declare var CSSImageValue: {
+ prototype: CSSImageValue;
+ new(): CSSImageValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSImportRule) */
+interface CSSImportRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSImportRule/href) */
+ readonly href: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSImportRule/layerName) */
+ readonly layerName: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSImportRule/media) */
+ readonly media: MediaList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSImportRule/styleSheet) */
+ readonly styleSheet: CSSStyleSheet | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSImportRule/supportsText) */
+ readonly supportsText: string | null;
+}
+
+declare var CSSImportRule: {
+ prototype: CSSImportRule;
+ new(): CSSImportRule;
+};
+
+/**
+ * An object representing a set of style for a given keyframe. It corresponds to the contains of a single keyframe of a @keyframes at-rule. It implements the CSSRule interface with a type value of 8 (CSSRule.KEYFRAME_RULE).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframeRule)
+ */
+interface CSSKeyframeRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframeRule/keyText) */
+ keyText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframeRule/style) */
+ readonly style: CSSStyleDeclaration;
+}
+
+declare var CSSKeyframeRule: {
+ prototype: CSSKeyframeRule;
+ new(): CSSKeyframeRule;
+};
+
+/**
+ * An object representing a complete set of keyframes for a CSS animation. It corresponds to the contains of a whole @keyframes at-rule. It implements the CSSRule interface with a type value of 7 (CSSRule.KEYFRAMES_RULE).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframesRule)
+ */
+interface CSSKeyframesRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframesRule/cssRules) */
+ readonly cssRules: CSSRuleList;
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframesRule/name) */
+ name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframesRule/appendRule) */
+ appendRule(rule: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframesRule/deleteRule) */
+ deleteRule(select: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeyframesRule/findRule) */
+ findRule(select: string): CSSKeyframeRule | null;
+ [index: number]: CSSKeyframeRule;
+}
+
+declare var CSSKeyframesRule: {
+ prototype: CSSKeyframesRule;
+ new(): CSSKeyframesRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeywordValue) */
+interface CSSKeywordValue extends CSSStyleValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeywordValue/value) */
+ value: string;
+}
+
+declare var CSSKeywordValue: {
+ prototype: CSSKeywordValue;
+ new(value: string): CSSKeywordValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSLayerBlockRule) */
+interface CSSLayerBlockRule extends CSSGroupingRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSLayerBlockRule/name) */
+ readonly name: string;
+}
+
+declare var CSSLayerBlockRule: {
+ prototype: CSSLayerBlockRule;
+ new(): CSSLayerBlockRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSLayerStatementRule) */
+interface CSSLayerStatementRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSLayerStatementRule/nameList) */
+ readonly nameList: ReadonlyArray<string>;
+}
+
+declare var CSSLayerStatementRule: {
+ prototype: CSSLayerStatementRule;
+ new(): CSSLayerStatementRule;
+};
+
+interface CSSMathClamp extends CSSMathValue {
+ readonly lower: CSSNumericValue;
+ readonly upper: CSSNumericValue;
+ readonly value: CSSNumericValue;
+}
+
+declare var CSSMathClamp: {
+ prototype: CSSMathClamp;
+ new(lower: CSSNumberish, value: CSSNumberish, upper: CSSNumberish): CSSMathClamp;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathInvert) */
+interface CSSMathInvert extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathInvert/value) */
+ readonly value: CSSNumericValue;
+}
+
+declare var CSSMathInvert: {
+ prototype: CSSMathInvert;
+ new(arg: CSSNumberish): CSSMathInvert;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathMax) */
+interface CSSMathMax extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathMax/values) */
+ readonly values: CSSNumericArray;
+}
+
+declare var CSSMathMax: {
+ prototype: CSSMathMax;
+ new(...args: CSSNumberish[]): CSSMathMax;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathMin) */
+interface CSSMathMin extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathMin/values) */
+ readonly values: CSSNumericArray;
+}
+
+declare var CSSMathMin: {
+ prototype: CSSMathMin;
+ new(...args: CSSNumberish[]): CSSMathMin;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathNegate) */
+interface CSSMathNegate extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathNegate/value) */
+ readonly value: CSSNumericValue;
+}
+
+declare var CSSMathNegate: {
+ prototype: CSSMathNegate;
+ new(arg: CSSNumberish): CSSMathNegate;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathProduct) */
+interface CSSMathProduct extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathProduct/values) */
+ readonly values: CSSNumericArray;
+}
+
+declare var CSSMathProduct: {
+ prototype: CSSMathProduct;
+ new(...args: CSSNumberish[]): CSSMathProduct;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathSum) */
+interface CSSMathSum extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathSum/values) */
+ readonly values: CSSNumericArray;
+}
+
+declare var CSSMathSum: {
+ prototype: CSSMathSum;
+ new(...args: CSSNumberish[]): CSSMathSum;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathValue) */
+interface CSSMathValue extends CSSNumericValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathValue/operator) */
+ readonly operator: CSSMathOperator;
+}
+
+declare var CSSMathValue: {
+ prototype: CSSMathValue;
+ new(): CSSMathValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMatrixComponent) */
+interface CSSMatrixComponent extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMatrixComponent/matrix) */
+ matrix: DOMMatrix;
+}
+
+declare var CSSMatrixComponent: {
+ prototype: CSSMatrixComponent;
+ new(matrix: DOMMatrixReadOnly, options?: CSSMatrixComponentOptions): CSSMatrixComponent;
+};
+
+/**
+ * A single CSS @media rule. It implements the CSSConditionRule interface, and therefore the CSSGroupingRule and the CSSRule interface with a type value of 4 (CSSRule.MEDIA_RULE).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMediaRule)
+ */
+interface CSSMediaRule extends CSSConditionRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMediaRule/media) */
+ readonly media: MediaList;
+}
+
+declare var CSSMediaRule: {
+ prototype: CSSMediaRule;
+ new(): CSSMediaRule;
+};
+
+/**
+ * An object representing a single CSS @namespace at-rule. It implements the CSSRule interface, with a type value of 10 (CSSRule.NAMESPACE_RULE).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNamespaceRule)
+ */
+interface CSSNamespaceRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNamespaceRule/namespaceURI) */
+ readonly namespaceURI: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNamespaceRule/prefix) */
+ readonly prefix: string;
+}
+
+declare var CSSNamespaceRule: {
+ prototype: CSSNamespaceRule;
+ new(): CSSNamespaceRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericArray) */
+interface CSSNumericArray {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericArray/length) */
+ readonly length: number;
+ forEach(callbackfn: (value: CSSNumericValue, key: number, parent: CSSNumericArray) => void, thisArg?: any): void;
+ [index: number]: CSSNumericValue;
+}
+
+declare var CSSNumericArray: {
+ prototype: CSSNumericArray;
+ new(): CSSNumericArray;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue) */
+interface CSSNumericValue extends CSSStyleValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/add) */
+ add(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/div) */
+ div(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/equals) */
+ equals(...value: CSSNumberish[]): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/max) */
+ max(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/min) */
+ min(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/mul) */
+ mul(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/sub) */
+ sub(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/to) */
+ to(unit: string): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/toSum) */
+ toSum(...units: string[]): CSSMathSum;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/type) */
+ type(): CSSNumericType;
+}
+
+declare var CSSNumericValue: {
+ prototype: CSSNumericValue;
+ new(): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/parse_static) */
+ parse(cssText: string): CSSNumericValue;
+};
+
+/**
+ * CSSPageRule is an interface representing a single CSS @page rule. It implements the CSSRule interface with a type value of 6 (CSSRule.PAGE_RULE).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPageRule)
+ */
+interface CSSPageRule extends CSSGroupingRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPageRule/selectorText) */
+ selectorText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPageRule/style) */
+ readonly style: CSSStyleDeclaration;
+}
+
+declare var CSSPageRule: {
+ prototype: CSSPageRule;
+ new(): CSSPageRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPerspective) */
+interface CSSPerspective extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPerspective/length) */
+ length: CSSPerspectiveValue;
+}
+
+declare var CSSPerspective: {
+ prototype: CSSPerspective;
+ new(length: CSSPerspectiveValue): CSSPerspective;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPropertyRule) */
+interface CSSPropertyRule extends CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPropertyRule/inherits) */
+ readonly inherits: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPropertyRule/initialValue) */
+ readonly initialValue: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPropertyRule/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPropertyRule/syntax) */
+ readonly syntax: string;
+}
+
+declare var CSSPropertyRule: {
+ prototype: CSSPropertyRule;
+ new(): CSSPropertyRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate) */
+interface CSSRotate extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate/angle) */
+ angle: CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate/x) */
+ x: CSSNumberish;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate/y) */
+ y: CSSNumberish;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate/z) */
+ z: CSSNumberish;
+}
+
+declare var CSSRotate: {
+ prototype: CSSRotate;
+ new(angle: CSSNumericValue): CSSRotate;
+ new(x: CSSNumberish, y: CSSNumberish, z: CSSNumberish, angle: CSSNumericValue): CSSRotate;
+};
+
+/**
+ * A single CSS rule. There are several types of rules, listed in the Type constants section below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRule)
+ */
+interface CSSRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRule/cssText) */
+ cssText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRule/parentRule) */
+ readonly parentRule: CSSRule | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRule/parentStyleSheet) */
+ readonly parentStyleSheet: CSSStyleSheet | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRule/type)
+ */
+ readonly type: number;
+ readonly STYLE_RULE: 1;
+ readonly CHARSET_RULE: 2;
+ readonly IMPORT_RULE: 3;
+ readonly MEDIA_RULE: 4;
+ readonly FONT_FACE_RULE: 5;
+ readonly PAGE_RULE: 6;
+ readonly NAMESPACE_RULE: 10;
+ readonly KEYFRAMES_RULE: 7;
+ readonly KEYFRAME_RULE: 8;
+ readonly SUPPORTS_RULE: 12;
+ readonly COUNTER_STYLE_RULE: 11;
+ readonly FONT_FEATURE_VALUES_RULE: 14;
+}
+
+declare var CSSRule: {
+ prototype: CSSRule;
+ new(): CSSRule;
+ readonly STYLE_RULE: 1;
+ readonly CHARSET_RULE: 2;
+ readonly IMPORT_RULE: 3;
+ readonly MEDIA_RULE: 4;
+ readonly FONT_FACE_RULE: 5;
+ readonly PAGE_RULE: 6;
+ readonly NAMESPACE_RULE: 10;
+ readonly KEYFRAMES_RULE: 7;
+ readonly KEYFRAME_RULE: 8;
+ readonly SUPPORTS_RULE: 12;
+ readonly COUNTER_STYLE_RULE: 11;
+ readonly FONT_FEATURE_VALUES_RULE: 14;
+};
+
+/**
+ * A CSSRuleList is an (indirect-modify only) array-like object containing an ordered collection of CSSRule objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRuleList)
+ */
+interface CSSRuleList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRuleList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRuleList/item) */
+ item(index: number): CSSRule | null;
+ [index: number]: CSSRule;
+}
+
+declare var CSSRuleList: {
+ prototype: CSSRuleList;
+ new(): CSSRuleList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSScale) */
+interface CSSScale extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSScale/x) */
+ x: CSSNumberish;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSScale/y) */
+ y: CSSNumberish;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSScale/z) */
+ z: CSSNumberish;
+}
+
+declare var CSSScale: {
+ prototype: CSSScale;
+ new(x: CSSNumberish, y: CSSNumberish, z?: CSSNumberish): CSSScale;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkew) */
+interface CSSSkew extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkew/ax) */
+ ax: CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkew/ay) */
+ ay: CSSNumericValue;
+}
+
+declare var CSSSkew: {
+ prototype: CSSSkew;
+ new(ax: CSSNumericValue, ay: CSSNumericValue): CSSSkew;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkewX) */
+interface CSSSkewX extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkewX/ax) */
+ ax: CSSNumericValue;
+}
+
+declare var CSSSkewX: {
+ prototype: CSSSkewX;
+ new(ax: CSSNumericValue): CSSSkewX;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkewY) */
+interface CSSSkewY extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkewY/ay) */
+ ay: CSSNumericValue;
+}
+
+declare var CSSSkewY: {
+ prototype: CSSSkewY;
+ new(ay: CSSNumericValue): CSSSkewY;
+};
+
+/**
+ * An object that is a CSS declaration block, and exposes style information and various style-related methods and properties.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration)
+ */
+interface CSSStyleDeclaration {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/accent-color) */
+ accentColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/align-content) */
+ alignContent: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/align-items) */
+ alignItems: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/align-self) */
+ alignSelf: string;
+ alignmentBaseline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/all) */
+ all: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation) */
+ animation: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-composition) */
+ animationComposition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-delay) */
+ animationDelay: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-direction) */
+ animationDirection: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-duration) */
+ animationDuration: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-fill-mode) */
+ animationFillMode: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-iteration-count) */
+ animationIterationCount: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-name) */
+ animationName: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-play-state) */
+ animationPlayState: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-timing-function) */
+ animationTimingFunction: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/appearance) */
+ appearance: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/aspect-ratio) */
+ aspectRatio: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/backdrop-filter) */
+ backdropFilter: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/backface-visibility) */
+ backfaceVisibility: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background) */
+ background: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-attachment) */
+ backgroundAttachment: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-blend-mode) */
+ backgroundBlendMode: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-clip) */
+ backgroundClip: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-color) */
+ backgroundColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-image) */
+ backgroundImage: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-origin) */
+ backgroundOrigin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-position) */
+ backgroundPosition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-position-x) */
+ backgroundPositionX: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-position-y) */
+ backgroundPositionY: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-repeat) */
+ backgroundRepeat: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-size) */
+ backgroundSize: string;
+ baselineShift: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/baseline-source) */
+ baselineSource: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/block-size) */
+ blockSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border) */
+ border: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block) */
+ borderBlock: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-color) */
+ borderBlockColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-end) */
+ borderBlockEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-end-color) */
+ borderBlockEndColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-end-style) */
+ borderBlockEndStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-end-width) */
+ borderBlockEndWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-start) */
+ borderBlockStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-start-color) */
+ borderBlockStartColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-start-style) */
+ borderBlockStartStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-start-width) */
+ borderBlockStartWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-style) */
+ borderBlockStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-block-width) */
+ borderBlockWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-bottom) */
+ borderBottom: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-bottom-color) */
+ borderBottomColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-bottom-left-radius) */
+ borderBottomLeftRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-bottom-right-radius) */
+ borderBottomRightRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-bottom-style) */
+ borderBottomStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-bottom-width) */
+ borderBottomWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-collapse) */
+ borderCollapse: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-color) */
+ borderColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-end-end-radius) */
+ borderEndEndRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-end-start-radius) */
+ borderEndStartRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-image) */
+ borderImage: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-image-outset) */
+ borderImageOutset: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-image-repeat) */
+ borderImageRepeat: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-image-slice) */
+ borderImageSlice: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-image-source) */
+ borderImageSource: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-image-width) */
+ borderImageWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline) */
+ borderInline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-color) */
+ borderInlineColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-end) */
+ borderInlineEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-end-color) */
+ borderInlineEndColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-end-style) */
+ borderInlineEndStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-end-width) */
+ borderInlineEndWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-start) */
+ borderInlineStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-start-color) */
+ borderInlineStartColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-start-style) */
+ borderInlineStartStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-start-width) */
+ borderInlineStartWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-style) */
+ borderInlineStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-inline-width) */
+ borderInlineWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-left) */
+ borderLeft: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-left-color) */
+ borderLeftColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-left-style) */
+ borderLeftStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-left-width) */
+ borderLeftWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-radius) */
+ borderRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-right) */
+ borderRight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-right-color) */
+ borderRightColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-right-style) */
+ borderRightStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-right-width) */
+ borderRightWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-spacing) */
+ borderSpacing: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-start-end-radius) */
+ borderStartEndRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-start-start-radius) */
+ borderStartStartRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-style) */
+ borderStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-top) */
+ borderTop: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-top-color) */
+ borderTopColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-top-left-radius) */
+ borderTopLeftRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-top-right-radius) */
+ borderTopRightRadius: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-top-style) */
+ borderTopStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-top-width) */
+ borderTopWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-width) */
+ borderWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/bottom) */
+ bottom: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-shadow) */
+ boxShadow: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-sizing) */
+ boxSizing: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/break-after) */
+ breakAfter: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/break-before) */
+ breakBefore: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/break-inside) */
+ breakInside: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/caption-side) */
+ captionSide: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/caret-color) */
+ caretColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/clear) */
+ clear: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/clip)
+ */
+ clip: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/clip-path) */
+ clipPath: string;
+ clipRule: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/color) */
+ color: string;
+ colorInterpolation: string;
+ colorInterpolationFilters: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/color-scheme) */
+ colorScheme: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-count) */
+ columnCount: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-fill) */
+ columnFill: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-gap) */
+ columnGap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-rule) */
+ columnRule: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-rule-color) */
+ columnRuleColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-rule-style) */
+ columnRuleStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-rule-width) */
+ columnRuleWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-span) */
+ columnSpan: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/column-width) */
+ columnWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/columns) */
+ columns: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/contain) */
+ contain: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-contain-intrinsic-block-size) */
+ containIntrinsicBlockSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-height) */
+ containIntrinsicHeight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-contain-intrinsic-inline-size) */
+ containIntrinsicInlineSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-size) */
+ containIntrinsicSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/contain-intrinsic-width) */
+ containIntrinsicWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/container) */
+ container: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/container-name) */
+ containerName: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/container-type) */
+ containerType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/content) */
+ content: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/counter-increment) */
+ counterIncrement: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/counter-reset) */
+ counterReset: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/counter-set) */
+ counterSet: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/cssFloat) */
+ cssFloat: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/cssText) */
+ cssText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/cursor) */
+ cursor: string;
+ cx: string;
+ cy: string;
+ d: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/direction) */
+ direction: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/display) */
+ display: string;
+ dominantBaseline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/empty-cells) */
+ emptyCells: string;
+ fill: string;
+ fillOpacity: string;
+ fillRule: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/filter) */
+ filter: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex) */
+ flex: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-basis) */
+ flexBasis: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-direction) */
+ flexDirection: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-flow) */
+ flexFlow: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-grow) */
+ flexGrow: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-shrink) */
+ flexShrink: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-wrap) */
+ flexWrap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/float) */
+ float: string;
+ floodColor: string;
+ floodOpacity: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font) */
+ font: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-family) */
+ fontFamily: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-feature-settings) */
+ fontFeatureSettings: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-kerning) */
+ fontKerning: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-optical-sizing) */
+ fontOpticalSizing: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-palette) */
+ fontPalette: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-size) */
+ fontSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-size-adjust) */
+ fontSizeAdjust: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-stretch) */
+ fontStretch: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-style) */
+ fontStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-synthesis) */
+ fontSynthesis: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-synthesis-small-caps) */
+ fontSynthesisSmallCaps: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-synthesis-style) */
+ fontSynthesisStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-synthesis-weight) */
+ fontSynthesisWeight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-variant) */
+ fontVariant: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-variant-alternates) */
+ fontVariantAlternates: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-variant-caps) */
+ fontVariantCaps: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-variant-east-asian) */
+ fontVariantEastAsian: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-variant-ligatures) */
+ fontVariantLigatures: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-variant-numeric) */
+ fontVariantNumeric: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-variant-position) */
+ fontVariantPosition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-variation-settings) */
+ fontVariationSettings: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/font-weight) */
+ fontWeight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/forced-color-adjust) */
+ forcedColorAdjust: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/gap) */
+ gap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid) */
+ grid: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-area) */
+ gridArea: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-auto-columns) */
+ gridAutoColumns: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-auto-flow) */
+ gridAutoFlow: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-auto-rows) */
+ gridAutoRows: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-column) */
+ gridColumn: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-column-end) */
+ gridColumnEnd: string;
+ /** @deprecated This is a legacy alias of \`columnGap\`. */
+ gridColumnGap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-column-start) */
+ gridColumnStart: string;
+ /** @deprecated This is a legacy alias of \`gap\`. */
+ gridGap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-row) */
+ gridRow: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-row-end) */
+ gridRowEnd: string;
+ /** @deprecated This is a legacy alias of \`rowGap\`. */
+ gridRowGap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-row-start) */
+ gridRowStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-template) */
+ gridTemplate: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-template-areas) */
+ gridTemplateAreas: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-template-columns) */
+ gridTemplateColumns: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/grid-template-rows) */
+ gridTemplateRows: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/height) */
+ height: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/hyphenate-character) */
+ hyphenateCharacter: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/hyphens) */
+ hyphens: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/image-orientation)
+ */
+ imageOrientation: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/image-rendering) */
+ imageRendering: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/inline-size) */
+ inlineSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/inset) */
+ inset: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/inset-block) */
+ insetBlock: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/inset-block-end) */
+ insetBlockEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/inset-block-start) */
+ insetBlockStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/inset-inline) */
+ insetInline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/inset-inline-end) */
+ insetInlineEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/inset-inline-start) */
+ insetInlineStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/isolation) */
+ isolation: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/justify-content) */
+ justifyContent: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/justify-items) */
+ justifyItems: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/justify-self) */
+ justifySelf: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/left) */
+ left: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/letter-spacing) */
+ letterSpacing: string;
+ lightingColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/line-break) */
+ lineBreak: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/line-height) */
+ lineHeight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/list-style) */
+ listStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/list-style-image) */
+ listStyleImage: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/list-style-position) */
+ listStylePosition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/list-style-type) */
+ listStyleType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin) */
+ margin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-block) */
+ marginBlock: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-block-end) */
+ marginBlockEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-block-start) */
+ marginBlockStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-bottom) */
+ marginBottom: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-inline) */
+ marginInline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-inline-end) */
+ marginInlineEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-inline-start) */
+ marginInlineStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-left) */
+ marginLeft: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-right) */
+ marginRight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/margin-top) */
+ marginTop: string;
+ marker: string;
+ markerEnd: string;
+ markerMid: string;
+ markerStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask) */
+ mask: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-clip) */
+ maskClip: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-composite) */
+ maskComposite: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-image) */
+ maskImage: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-mode) */
+ maskMode: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-origin) */
+ maskOrigin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-position) */
+ maskPosition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-repeat) */
+ maskRepeat: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-size) */
+ maskSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-type) */
+ maskType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/math-depth) */
+ mathDepth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/math-style) */
+ mathStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/max-block-size) */
+ maxBlockSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/max-height) */
+ maxHeight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/max-inline-size) */
+ maxInlineSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/max-width) */
+ maxWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/min-block-size) */
+ minBlockSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/min-height) */
+ minHeight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/min-inline-size) */
+ minInlineSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/min-width) */
+ minWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mix-blend-mode) */
+ mixBlendMode: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/object-fit) */
+ objectFit: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/object-position) */
+ objectPosition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/offset) */
+ offset: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/offset-anchor) */
+ offsetAnchor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/offset-distance) */
+ offsetDistance: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/offset-path) */
+ offsetPath: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/offset-position) */
+ offsetPosition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/offset-rotate) */
+ offsetRotate: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/opacity) */
+ opacity: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/order) */
+ order: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/orphans) */
+ orphans: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/outline) */
+ outline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/outline-color) */
+ outlineColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/outline-offset) */
+ outlineOffset: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/outline-style) */
+ outlineStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/outline-width) */
+ outlineWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overflow) */
+ overflow: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overflow-anchor) */
+ overflowAnchor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overflow-clip-margin) */
+ overflowClipMargin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overflow-wrap) */
+ overflowWrap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overflow-x) */
+ overflowX: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overflow-y) */
+ overflowY: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior) */
+ overscrollBehavior: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior-block) */
+ overscrollBehaviorBlock: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior-inline) */
+ overscrollBehaviorInline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior-x) */
+ overscrollBehaviorX: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/overscroll-behavior-y) */
+ overscrollBehaviorY: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding) */
+ padding: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-block) */
+ paddingBlock: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-block-end) */
+ paddingBlockEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-block-start) */
+ paddingBlockStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-bottom) */
+ paddingBottom: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-inline) */
+ paddingInline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-inline-end) */
+ paddingInlineEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-inline-start) */
+ paddingInlineStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-left) */
+ paddingLeft: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-right) */
+ paddingRight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/padding-top) */
+ paddingTop: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/page) */
+ page: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/page-break-after) */
+ pageBreakAfter: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/page-break-before) */
+ pageBreakBefore: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/page-break-inside) */
+ pageBreakInside: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/paint-order) */
+ paintOrder: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/parentRule) */
+ readonly parentRule: CSSRule | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/perspective) */
+ perspective: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/perspective-origin) */
+ perspectiveOrigin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/place-content) */
+ placeContent: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/place-items) */
+ placeItems: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/place-self) */
+ placeSelf: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/pointer-events) */
+ pointerEvents: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/position) */
+ position: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/print-color-adjust) */
+ printColorAdjust: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/quotes) */
+ quotes: string;
+ r: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/resize) */
+ resize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/right) */
+ right: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/rotate) */
+ rotate: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/row-gap) */
+ rowGap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/ruby-position) */
+ rubyPosition: string;
+ rx: string;
+ ry: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scale) */
+ scale: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-behavior) */
+ scrollBehavior: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin) */
+ scrollMargin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-block) */
+ scrollMarginBlock: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-block-end) */
+ scrollMarginBlockEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-block-start) */
+ scrollMarginBlockStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-bottom) */
+ scrollMarginBottom: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-inline) */
+ scrollMarginInline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-inline-end) */
+ scrollMarginInlineEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-inline-start) */
+ scrollMarginInlineStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-left) */
+ scrollMarginLeft: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-right) */
+ scrollMarginRight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-margin-top) */
+ scrollMarginTop: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding) */
+ scrollPadding: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-block) */
+ scrollPaddingBlock: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-block-end) */
+ scrollPaddingBlockEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-block-start) */
+ scrollPaddingBlockStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-bottom) */
+ scrollPaddingBottom: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-inline) */
+ scrollPaddingInline: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-inline-end) */
+ scrollPaddingInlineEnd: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-inline-start) */
+ scrollPaddingInlineStart: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-left) */
+ scrollPaddingLeft: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-right) */
+ scrollPaddingRight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-padding-top) */
+ scrollPaddingTop: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-snap-align) */
+ scrollSnapAlign: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-snap-stop) */
+ scrollSnapStop: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scroll-snap-type) */
+ scrollSnapType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scrollbar-color) */
+ scrollbarColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scrollbar-gutter) */
+ scrollbarGutter: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/scrollbar-width) */
+ scrollbarWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/shape-image-threshold) */
+ shapeImageThreshold: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/shape-margin) */
+ shapeMargin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/shape-outside) */
+ shapeOutside: string;
+ shapeRendering: string;
+ stopColor: string;
+ stopOpacity: string;
+ stroke: string;
+ strokeDasharray: string;
+ strokeDashoffset: string;
+ strokeLinecap: string;
+ strokeLinejoin: string;
+ strokeMiterlimit: string;
+ strokeOpacity: string;
+ strokeWidth: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/tab-size) */
+ tabSize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/table-layout) */
+ tableLayout: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-align) */
+ textAlign: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-align-last) */
+ textAlignLast: string;
+ textAnchor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-combine-upright) */
+ textCombineUpright: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-decoration) */
+ textDecoration: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-decoration-color) */
+ textDecorationColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-decoration-line) */
+ textDecorationLine: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-decoration-skip-ink) */
+ textDecorationSkipInk: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-decoration-style) */
+ textDecorationStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-decoration-thickness) */
+ textDecorationThickness: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-emphasis) */
+ textEmphasis: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-emphasis-color) */
+ textEmphasisColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-emphasis-position) */
+ textEmphasisPosition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-emphasis-style) */
+ textEmphasisStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-indent) */
+ textIndent: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-orientation) */
+ textOrientation: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-overflow) */
+ textOverflow: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-rendering) */
+ textRendering: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-shadow) */
+ textShadow: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-transform) */
+ textTransform: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-underline-offset) */
+ textUnderlineOffset: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-underline-position) */
+ textUnderlinePosition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-wrap) */
+ textWrap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/top) */
+ top: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/touch-action) */
+ touchAction: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transform) */
+ transform: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transform-box) */
+ transformBox: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transform-origin) */
+ transformOrigin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transform-style) */
+ transformStyle: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition) */
+ transition: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition-delay) */
+ transitionDelay: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition-duration) */
+ transitionDuration: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition-property) */
+ transitionProperty: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition-timing-function) */
+ transitionTimingFunction: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/translate) */
+ translate: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/unicode-bidi) */
+ unicodeBidi: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/user-select) */
+ userSelect: string;
+ vectorEffect: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/vertical-align) */
+ verticalAlign: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/visibility) */
+ visibility: string;
+ /**
+ * @deprecated This is a legacy alias of \`alignContent\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/align-content)
+ */
+ webkitAlignContent: string;
+ /**
+ * @deprecated This is a legacy alias of \`alignItems\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/align-items)
+ */
+ webkitAlignItems: string;
+ /**
+ * @deprecated This is a legacy alias of \`alignSelf\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/align-self)
+ */
+ webkitAlignSelf: string;
+ /**
+ * @deprecated This is a legacy alias of \`animation\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation)
+ */
+ webkitAnimation: string;
+ /**
+ * @deprecated This is a legacy alias of \`animationDelay\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-delay)
+ */
+ webkitAnimationDelay: string;
+ /**
+ * @deprecated This is a legacy alias of \`animationDirection\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-direction)
+ */
+ webkitAnimationDirection: string;
+ /**
+ * @deprecated This is a legacy alias of \`animationDuration\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-duration)
+ */
+ webkitAnimationDuration: string;
+ /**
+ * @deprecated This is a legacy alias of \`animationFillMode\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-fill-mode)
+ */
+ webkitAnimationFillMode: string;
+ /**
+ * @deprecated This is a legacy alias of \`animationIterationCount\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-iteration-count)
+ */
+ webkitAnimationIterationCount: string;
+ /**
+ * @deprecated This is a legacy alias of \`animationName\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-name)
+ */
+ webkitAnimationName: string;
+ /**
+ * @deprecated This is a legacy alias of \`animationPlayState\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-play-state)
+ */
+ webkitAnimationPlayState: string;
+ /**
+ * @deprecated This is a legacy alias of \`animationTimingFunction\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/animation-timing-function)
+ */
+ webkitAnimationTimingFunction: string;
+ /**
+ * @deprecated This is a legacy alias of \`appearance\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/appearance)
+ */
+ webkitAppearance: string;
+ /**
+ * @deprecated This is a legacy alias of \`backfaceVisibility\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/backface-visibility)
+ */
+ webkitBackfaceVisibility: string;
+ /**
+ * @deprecated This is a legacy alias of \`backgroundClip\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-clip)
+ */
+ webkitBackgroundClip: string;
+ /**
+ * @deprecated This is a legacy alias of \`backgroundOrigin\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-origin)
+ */
+ webkitBackgroundOrigin: string;
+ /**
+ * @deprecated This is a legacy alias of \`backgroundSize\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/background-size)
+ */
+ webkitBackgroundSize: string;
+ /**
+ * @deprecated This is a legacy alias of \`borderBottomLeftRadius\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-bottom-left-radius)
+ */
+ webkitBorderBottomLeftRadius: string;
+ /**
+ * @deprecated This is a legacy alias of \`borderBottomRightRadius\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-bottom-right-radius)
+ */
+ webkitBorderBottomRightRadius: string;
+ /**
+ * @deprecated This is a legacy alias of \`borderRadius\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-radius)
+ */
+ webkitBorderRadius: string;
+ /**
+ * @deprecated This is a legacy alias of \`borderTopLeftRadius\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-top-left-radius)
+ */
+ webkitBorderTopLeftRadius: string;
+ /**
+ * @deprecated This is a legacy alias of \`borderTopRightRadius\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/border-top-right-radius)
+ */
+ webkitBorderTopRightRadius: string;
+ /**
+ * @deprecated This is a legacy alias of \`boxAlign\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-align)
+ */
+ webkitBoxAlign: string;
+ /**
+ * @deprecated This is a legacy alias of \`boxFlex\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-flex)
+ */
+ webkitBoxFlex: string;
+ /**
+ * @deprecated This is a legacy alias of \`boxOrdinalGroup\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-ordinal-group)
+ */
+ webkitBoxOrdinalGroup: string;
+ /**
+ * @deprecated This is a legacy alias of \`boxOrient\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-orient)
+ */
+ webkitBoxOrient: string;
+ /**
+ * @deprecated This is a legacy alias of \`boxPack\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-pack)
+ */
+ webkitBoxPack: string;
+ /**
+ * @deprecated This is a legacy alias of \`boxShadow\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-shadow)
+ */
+ webkitBoxShadow: string;
+ /**
+ * @deprecated This is a legacy alias of \`boxSizing\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/box-sizing)
+ */
+ webkitBoxSizing: string;
+ /**
+ * @deprecated This is a legacy alias of \`filter\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/filter)
+ */
+ webkitFilter: string;
+ /**
+ * @deprecated This is a legacy alias of \`flex\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex)
+ */
+ webkitFlex: string;
+ /**
+ * @deprecated This is a legacy alias of \`flexBasis\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-basis)
+ */
+ webkitFlexBasis: string;
+ /**
+ * @deprecated This is a legacy alias of \`flexDirection\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-direction)
+ */
+ webkitFlexDirection: string;
+ /**
+ * @deprecated This is a legacy alias of \`flexFlow\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-flow)
+ */
+ webkitFlexFlow: string;
+ /**
+ * @deprecated This is a legacy alias of \`flexGrow\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-grow)
+ */
+ webkitFlexGrow: string;
+ /**
+ * @deprecated This is a legacy alias of \`flexShrink\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-shrink)
+ */
+ webkitFlexShrink: string;
+ /**
+ * @deprecated This is a legacy alias of \`flexWrap\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/flex-wrap)
+ */
+ webkitFlexWrap: string;
+ /**
+ * @deprecated This is a legacy alias of \`justifyContent\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/justify-content)
+ */
+ webkitJustifyContent: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/-webkit-line-clamp) */
+ webkitLineClamp: string;
+ /**
+ * @deprecated This is a legacy alias of \`mask\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask)
+ */
+ webkitMask: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskBorder\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-border)
+ */
+ webkitMaskBoxImage: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskBorderOutset\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-border-outset)
+ */
+ webkitMaskBoxImageOutset: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskBorderRepeat\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-border-repeat)
+ */
+ webkitMaskBoxImageRepeat: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskBorderSlice\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-border-slice)
+ */
+ webkitMaskBoxImageSlice: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskBorderSource\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-border-source)
+ */
+ webkitMaskBoxImageSource: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskBorderWidth\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-border-width)
+ */
+ webkitMaskBoxImageWidth: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskClip\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-clip)
+ */
+ webkitMaskClip: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskComposite\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-composite)
+ */
+ webkitMaskComposite: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskImage\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-image)
+ */
+ webkitMaskImage: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskOrigin\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-origin)
+ */
+ webkitMaskOrigin: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskPosition\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-position)
+ */
+ webkitMaskPosition: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskRepeat\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-repeat)
+ */
+ webkitMaskRepeat: string;
+ /**
+ * @deprecated This is a legacy alias of \`maskSize\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/mask-size)
+ */
+ webkitMaskSize: string;
+ /**
+ * @deprecated This is a legacy alias of \`order\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/order)
+ */
+ webkitOrder: string;
+ /**
+ * @deprecated This is a legacy alias of \`perspective\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/perspective)
+ */
+ webkitPerspective: string;
+ /**
+ * @deprecated This is a legacy alias of \`perspectiveOrigin\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/perspective-origin)
+ */
+ webkitPerspectiveOrigin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/-webkit-text-fill-color) */
+ webkitTextFillColor: string;
+ /**
+ * @deprecated This is a legacy alias of \`textSizeAdjust\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/text-size-adjust)
+ */
+ webkitTextSizeAdjust: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/-webkit-text-stroke) */
+ webkitTextStroke: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/-webkit-text-stroke-color) */
+ webkitTextStrokeColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/-webkit-text-stroke-width) */
+ webkitTextStrokeWidth: string;
+ /**
+ * @deprecated This is a legacy alias of \`transform\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transform)
+ */
+ webkitTransform: string;
+ /**
+ * @deprecated This is a legacy alias of \`transformOrigin\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transform-origin)
+ */
+ webkitTransformOrigin: string;
+ /**
+ * @deprecated This is a legacy alias of \`transformStyle\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transform-style)
+ */
+ webkitTransformStyle: string;
+ /**
+ * @deprecated This is a legacy alias of \`transition\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition)
+ */
+ webkitTransition: string;
+ /**
+ * @deprecated This is a legacy alias of \`transitionDelay\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition-delay)
+ */
+ webkitTransitionDelay: string;
+ /**
+ * @deprecated This is a legacy alias of \`transitionDuration\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition-duration)
+ */
+ webkitTransitionDuration: string;
+ /**
+ * @deprecated This is a legacy alias of \`transitionProperty\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition-property)
+ */
+ webkitTransitionProperty: string;
+ /**
+ * @deprecated This is a legacy alias of \`transitionTimingFunction\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/transition-timing-function)
+ */
+ webkitTransitionTimingFunction: string;
+ /**
+ * @deprecated This is a legacy alias of \`userSelect\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/user-select)
+ */
+ webkitUserSelect: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/white-space) */
+ whiteSpace: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/widows) */
+ widows: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/width) */
+ width: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/will-change) */
+ willChange: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/word-break) */
+ wordBreak: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/word-spacing) */
+ wordSpacing: string;
+ /** @deprecated */
+ wordWrap: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/writing-mode) */
+ writingMode: string;
+ x: string;
+ y: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/CSS/z-index) */
+ zIndex: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/getPropertyPriority) */
+ getPropertyPriority(property: string): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/getPropertyValue) */
+ getPropertyValue(property: string): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/item) */
+ item(index: number): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/removeProperty) */
+ removeProperty(property: string): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleDeclaration/setProperty) */
+ setProperty(property: string, value: string | null, priority?: string): void;
+ [index: number]: string;
+}
+
+declare var CSSStyleDeclaration: {
+ prototype: CSSStyleDeclaration;
+ new(): CSSStyleDeclaration;
+};
+
+/**
+ * CSSStyleRule represents a single CSS style rule. It implements the CSSRule interface with a type value of 1 (CSSRule.STYLE_RULE).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleRule)
+ */
+interface CSSStyleRule extends CSSGroupingRule {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleRule/selectorText) */
+ selectorText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleRule/style) */
+ readonly style: CSSStyleDeclaration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleRule/styleMap) */
+ readonly styleMap: StylePropertyMap;
+}
+
+declare var CSSStyleRule: {
+ prototype: CSSStyleRule;
+ new(): CSSStyleRule;
+};
+
+/**
+ * A single CSS style sheet. It inherits properties and methods from its parent, StyleSheet.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet)
+ */
+interface CSSStyleSheet extends StyleSheet {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/cssRules) */
+ readonly cssRules: CSSRuleList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/ownerRule) */
+ readonly ownerRule: CSSRule | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/rules)
+ */
+ readonly rules: CSSRuleList;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/addRule)
+ */
+ addRule(selector?: string, style?: string, index?: number): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/deleteRule) */
+ deleteRule(index: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/insertRule) */
+ insertRule(rule: string, index?: number): number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/removeRule)
+ */
+ removeRule(index?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/replace) */
+ replace(text: string): Promise<CSSStyleSheet>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleSheet/replaceSync) */
+ replaceSync(text: string): void;
+}
+
+declare var CSSStyleSheet: {
+ prototype: CSSStyleSheet;
+ new(options?: CSSStyleSheetInit): CSSStyleSheet;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleValue) */
+interface CSSStyleValue {
+ toString(): string;
+}
+
+declare var CSSStyleValue: {
+ prototype: CSSStyleValue;
+ new(): CSSStyleValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleValue/parse_static) */
+ parse(property: string, cssText: string): CSSStyleValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleValue/parseAll_static) */
+ parseAll(property: string, cssText: string): CSSStyleValue[];
+};
+
+/**
+ * An object representing a single CSS @supports at-rule. It implements the CSSConditionRule interface, and therefore the CSSRule and CSSGroupingRule interfaces with a type value of 12 (CSSRule.SUPPORTS_RULE).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSupportsRule)
+ */
+interface CSSSupportsRule extends CSSConditionRule {
+}
+
+declare var CSSSupportsRule: {
+ prototype: CSSSupportsRule;
+ new(): CSSSupportsRule;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformComponent) */
+interface CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformComponent/is2D) */
+ is2D: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformComponent/toMatrix) */
+ toMatrix(): DOMMatrix;
+ toString(): string;
+}
+
+declare var CSSTransformComponent: {
+ prototype: CSSTransformComponent;
+ new(): CSSTransformComponent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformValue) */
+interface CSSTransformValue extends CSSStyleValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformValue/is2D) */
+ readonly is2D: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformValue/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformValue/toMatrix) */
+ toMatrix(): DOMMatrix;
+ forEach(callbackfn: (value: CSSTransformComponent, key: number, parent: CSSTransformValue) => void, thisArg?: any): void;
+ [index: number]: CSSTransformComponent;
+}
+
+declare var CSSTransformValue: {
+ prototype: CSSTransformValue;
+ new(transforms: CSSTransformComponent[]): CSSTransformValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransition) */
+interface CSSTransition extends Animation {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransition/transitionProperty) */
+ readonly transitionProperty: string;
+ addEventListener<K extends keyof AnimationEventMap>(type: K, listener: (this: CSSTransition, ev: AnimationEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AnimationEventMap>(type: K, listener: (this: CSSTransition, ev: AnimationEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var CSSTransition: {
+ prototype: CSSTransition;
+ new(): CSSTransition;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTranslate) */
+interface CSSTranslate extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTranslate/x) */
+ x: CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTranslate/y) */
+ y: CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTranslate/z) */
+ z: CSSNumericValue;
+}
+
+declare var CSSTranslate: {
+ prototype: CSSTranslate;
+ new(x: CSSNumericValue, y: CSSNumericValue, z?: CSSNumericValue): CSSTranslate;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnitValue) */
+interface CSSUnitValue extends CSSNumericValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnitValue/unit) */
+ readonly unit: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnitValue/value) */
+ value: number;
+}
+
+declare var CSSUnitValue: {
+ prototype: CSSUnitValue;
+ new(value: number, unit: string): CSSUnitValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnparsedValue) */
+interface CSSUnparsedValue extends CSSStyleValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnparsedValue/length) */
+ readonly length: number;
+ forEach(callbackfn: (value: CSSUnparsedSegment, key: number, parent: CSSUnparsedValue) => void, thisArg?: any): void;
+ [index: number]: CSSUnparsedSegment;
+}
+
+declare var CSSUnparsedValue: {
+ prototype: CSSUnparsedValue;
+ new(members: CSSUnparsedSegment[]): CSSUnparsedValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSVariableReferenceValue) */
+interface CSSVariableReferenceValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSVariableReferenceValue/fallback) */
+ readonly fallback: CSSUnparsedValue | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSVariableReferenceValue/variable) */
+ variable: string;
+}
+
+declare var CSSVariableReferenceValue: {
+ prototype: CSSVariableReferenceValue;
+ new(variable: string, fallback?: CSSUnparsedValue | null): CSSVariableReferenceValue;
+};
+
+/**
+ * Provides a storage mechanism for Request / Response object pairs that are cached, for example as part of the ServiceWorker life cycle. Note that the Cache interface is exposed to windowed scopes as well as workers. You don't have to use it in conjunction with service workers, even though it is defined in the service worker spec.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache)
+ */
+interface Cache {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/add) */
+ add(request: RequestInfo | URL): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/addAll) */
+ addAll(requests: RequestInfo[]): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/delete) */
+ delete(request: RequestInfo | URL, options?: CacheQueryOptions): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/keys) */
+ keys(request?: RequestInfo | URL, options?: CacheQueryOptions): Promise<ReadonlyArray<Request>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/match) */
+ match(request: RequestInfo | URL, options?: CacheQueryOptions): Promise<Response | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/matchAll) */
+ matchAll(request?: RequestInfo | URL, options?: CacheQueryOptions): Promise<ReadonlyArray<Response>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/put) */
+ put(request: RequestInfo | URL, response: Response): Promise<void>;
+}
+
+declare var Cache: {
+ prototype: Cache;
+ new(): Cache;
+};
+
+/**
+ * The storage for Cache objects.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage)
+ */
+interface CacheStorage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/delete) */
+ delete(cacheName: string): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/has) */
+ has(cacheName: string): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/keys) */
+ keys(): Promise<string[]>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/match) */
+ match(request: RequestInfo | URL, options?: MultiCacheQueryOptions): Promise<Response | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/open) */
+ open(cacheName: string): Promise<Cache>;
+}
+
+declare var CacheStorage: {
+ prototype: CacheStorage;
+ new(): CacheStorage;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasCaptureMediaStreamTrack) */
+interface CanvasCaptureMediaStreamTrack extends MediaStreamTrack {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasCaptureMediaStreamTrack/canvas) */
+ readonly canvas: HTMLCanvasElement;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasCaptureMediaStreamTrack/requestFrame) */
+ requestFrame(): void;
+ addEventListener<K extends keyof MediaStreamTrackEventMap>(type: K, listener: (this: CanvasCaptureMediaStreamTrack, ev: MediaStreamTrackEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MediaStreamTrackEventMap>(type: K, listener: (this: CanvasCaptureMediaStreamTrack, ev: MediaStreamTrackEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var CanvasCaptureMediaStreamTrack: {
+ prototype: CanvasCaptureMediaStreamTrack;
+ new(): CanvasCaptureMediaStreamTrack;
+};
+
+interface CanvasCompositing {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/globalAlpha) */
+ globalAlpha: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/globalCompositeOperation) */
+ globalCompositeOperation: GlobalCompositeOperation;
+}
+
+interface CanvasDrawImage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/drawImage) */
+ drawImage(image: CanvasImageSource, dx: number, dy: number): void;
+ drawImage(image: CanvasImageSource, dx: number, dy: number, dw: number, dh: number): void;
+ drawImage(image: CanvasImageSource, sx: number, sy: number, sw: number, sh: number, dx: number, dy: number, dw: number, dh: number): void;
+}
+
+interface CanvasDrawPath {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/beginPath) */
+ beginPath(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/clip) */
+ clip(fillRule?: CanvasFillRule): void;
+ clip(path: Path2D, fillRule?: CanvasFillRule): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fill) */
+ fill(fillRule?: CanvasFillRule): void;
+ fill(path: Path2D, fillRule?: CanvasFillRule): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/isPointInPath) */
+ isPointInPath(x: number, y: number, fillRule?: CanvasFillRule): boolean;
+ isPointInPath(path: Path2D, x: number, y: number, fillRule?: CanvasFillRule): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/isPointInStroke) */
+ isPointInStroke(x: number, y: number): boolean;
+ isPointInStroke(path: Path2D, x: number, y: number): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/stroke) */
+ stroke(): void;
+ stroke(path: Path2D): void;
+}
+
+interface CanvasFillStrokeStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fillStyle) */
+ fillStyle: string | CanvasGradient | CanvasPattern;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/strokeStyle) */
+ strokeStyle: string | CanvasGradient | CanvasPattern;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createConicGradient) */
+ createConicGradient(startAngle: number, x: number, y: number): CanvasGradient;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createLinearGradient) */
+ createLinearGradient(x0: number, y0: number, x1: number, y1: number): CanvasGradient;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createPattern) */
+ createPattern(image: CanvasImageSource, repetition: string | null): CanvasPattern | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createRadialGradient) */
+ createRadialGradient(x0: number, y0: number, r0: number, x1: number, y1: number, r1: number): CanvasGradient;
+}
+
+interface CanvasFilters {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/filter) */
+ filter: string;
+}
+
+/**
+ * An opaque object describing a gradient. It is returned by the methods CanvasRenderingContext2D.createLinearGradient() or CanvasRenderingContext2D.createRadialGradient().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasGradient)
+ */
+interface CanvasGradient {
+ /**
+ * Adds a color stop with the given color to the gradient at the given offset. 0.0 is the offset at one end of the gradient, 1.0 is the offset at the other end.
+ *
+ * Throws an "IndexSizeError" DOMException if the offset is out of range. Throws a "SyntaxError" DOMException if the color cannot be parsed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasGradient/addColorStop)
+ */
+ addColorStop(offset: number, color: string): void;
+}
+
+declare var CanvasGradient: {
+ prototype: CanvasGradient;
+ new(): CanvasGradient;
+};
+
+interface CanvasImageData {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createImageData) */
+ createImageData(sw: number, sh: number, settings?: ImageDataSettings): ImageData;
+ createImageData(imagedata: ImageData): ImageData;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/getImageData) */
+ getImageData(sx: number, sy: number, sw: number, sh: number, settings?: ImageDataSettings): ImageData;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/putImageData) */
+ putImageData(imagedata: ImageData, dx: number, dy: number): void;
+ putImageData(imagedata: ImageData, dx: number, dy: number, dirtyX: number, dirtyY: number, dirtyWidth: number, dirtyHeight: number): void;
+}
+
+interface CanvasImageSmoothing {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/imageSmoothingEnabled) */
+ imageSmoothingEnabled: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/imageSmoothingQuality) */
+ imageSmoothingQuality: ImageSmoothingQuality;
+}
+
+interface CanvasPath {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/arc) */
+ arc(x: number, y: number, radius: number, startAngle: number, endAngle: number, counterclockwise?: boolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/arcTo) */
+ arcTo(x1: number, y1: number, x2: number, y2: number, radius: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/bezierCurveTo) */
+ bezierCurveTo(cp1x: number, cp1y: number, cp2x: number, cp2y: number, x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/closePath) */
+ closePath(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/ellipse) */
+ ellipse(x: number, y: number, radiusX: number, radiusY: number, rotation: number, startAngle: number, endAngle: number, counterclockwise?: boolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineTo) */
+ lineTo(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/moveTo) */
+ moveTo(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/quadraticCurveTo) */
+ quadraticCurveTo(cpx: number, cpy: number, x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/rect) */
+ rect(x: number, y: number, w: number, h: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/roundRect) */
+ roundRect(x: number, y: number, w: number, h: number, radii?: number | DOMPointInit | (number | DOMPointInit)[]): void;
+}
+
+interface CanvasPathDrawingStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineCap) */
+ lineCap: CanvasLineCap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineDashOffset) */
+ lineDashOffset: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineJoin) */
+ lineJoin: CanvasLineJoin;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineWidth) */
+ lineWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/miterLimit) */
+ miterLimit: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/getLineDash) */
+ getLineDash(): number[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/setLineDash) */
+ setLineDash(segments: number[]): void;
+}
+
+/**
+ * An opaque object describing a pattern, based on an image, a canvas, or a video, created by the CanvasRenderingContext2D.createPattern() method.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasPattern)
+ */
+interface CanvasPattern {
+ /**
+ * Sets the transformation matrix that will be used when rendering the pattern during a fill or stroke painting operation.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasPattern/setTransform)
+ */
+ setTransform(transform?: DOMMatrix2DInit): void;
+}
+
+declare var CanvasPattern: {
+ prototype: CanvasPattern;
+ new(): CanvasPattern;
+};
+
+interface CanvasRect {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/clearRect) */
+ clearRect(x: number, y: number, w: number, h: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fillRect) */
+ fillRect(x: number, y: number, w: number, h: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/strokeRect) */
+ strokeRect(x: number, y: number, w: number, h: number): void;
+}
+
+/**
+ * The CanvasRenderingContext2D interface, part of the Canvas API, provides the 2D rendering context for the drawing surface of a <canvas> element. It is used for drawing shapes, text, images, and other objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D)
+ */
+interface CanvasRenderingContext2D extends CanvasCompositing, CanvasDrawImage, CanvasDrawPath, CanvasFillStrokeStyles, CanvasFilters, CanvasImageData, CanvasImageSmoothing, CanvasPath, CanvasPathDrawingStyles, CanvasRect, CanvasShadowStyles, CanvasState, CanvasText, CanvasTextDrawingStyles, CanvasTransform, CanvasUserInterface {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/canvas) */
+ readonly canvas: HTMLCanvasElement;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/getContextAttributes) */
+ getContextAttributes(): CanvasRenderingContext2DSettings;
+}
+
+declare var CanvasRenderingContext2D: {
+ prototype: CanvasRenderingContext2D;
+ new(): CanvasRenderingContext2D;
+};
+
+interface CanvasShadowStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/shadowBlur) */
+ shadowBlur: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/shadowColor) */
+ shadowColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/shadowOffsetX) */
+ shadowOffsetX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/shadowOffsetY) */
+ shadowOffsetY: number;
+}
+
+interface CanvasState {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/reset) */
+ reset(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/restore) */
+ restore(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/save) */
+ save(): void;
+}
+
+interface CanvasText {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fillText) */
+ fillText(text: string, x: number, y: number, maxWidth?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/measureText) */
+ measureText(text: string): TextMetrics;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/strokeText) */
+ strokeText(text: string, x: number, y: number, maxWidth?: number): void;
+}
+
+interface CanvasTextDrawingStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/direction) */
+ direction: CanvasDirection;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/font) */
+ font: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fontKerning) */
+ fontKerning: CanvasFontKerning;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fontStretch) */
+ fontStretch: CanvasFontStretch;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fontVariantCaps) */
+ fontVariantCaps: CanvasFontVariantCaps;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/letterSpacing) */
+ letterSpacing: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/textAlign) */
+ textAlign: CanvasTextAlign;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/textBaseline) */
+ textBaseline: CanvasTextBaseline;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/textRendering) */
+ textRendering: CanvasTextRendering;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/wordSpacing) */
+ wordSpacing: string;
+}
+
+interface CanvasTransform {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/getTransform) */
+ getTransform(): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/resetTransform) */
+ resetTransform(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/rotate) */
+ rotate(angle: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/scale) */
+ scale(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/setTransform) */
+ setTransform(a: number, b: number, c: number, d: number, e: number, f: number): void;
+ setTransform(transform?: DOMMatrix2DInit): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/transform) */
+ transform(a: number, b: number, c: number, d: number, e: number, f: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/translate) */
+ translate(x: number, y: number): void;
+}
+
+interface CanvasUserInterface {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/drawFocusIfNeeded) */
+ drawFocusIfNeeded(element: Element): void;
+ drawFocusIfNeeded(path: Path2D, element: Element): void;
+}
+
+/**
+ * The ChannelMergerNode interface, often used in conjunction with its opposite, ChannelSplitterNode, reunites different mono inputs into a single output. Each input is used to fill a channel of the output. This is useful for accessing each channels separately, e.g. for performing channel mixing where gain must be separately controlled on each channel.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ChannelMergerNode)
+ */
+interface ChannelMergerNode extends AudioNode {
+}
+
+declare var ChannelMergerNode: {
+ prototype: ChannelMergerNode;
+ new(context: BaseAudioContext, options?: ChannelMergerOptions): ChannelMergerNode;
+};
+
+/**
+ * The ChannelSplitterNode interface, often used in conjunction with its opposite, ChannelMergerNode, separates the different channels of an audio source into a set of mono outputs. This is useful for accessing each channel separately, e.g. for performing channel mixing where gain must be separately controlled on each channel.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ChannelSplitterNode)
+ */
+interface ChannelSplitterNode extends AudioNode {
+}
+
+declare var ChannelSplitterNode: {
+ prototype: ChannelSplitterNode;
+ new(context: BaseAudioContext, options?: ChannelSplitterOptions): ChannelSplitterNode;
+};
+
+/**
+ * The CharacterData abstract interface represents a Node object that contains characters. This is an abstract interface, meaning there aren't any object of type CharacterData: it is implemented by other interfaces, like Text, Comment, or ProcessingInstruction which aren't abstract.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData)
+ */
+interface CharacterData extends Node, ChildNode, NonDocumentTypeChildNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/data) */
+ data: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/length) */
+ readonly length: number;
+ readonly ownerDocument: Document;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/appendData) */
+ appendData(data: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/deleteData) */
+ deleteData(offset: number, count: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/insertData) */
+ insertData(offset: number, data: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/replaceData) */
+ replaceData(offset: number, count: number, data: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/substringData) */
+ substringData(offset: number, count: number): string;
+}
+
+declare var CharacterData: {
+ prototype: CharacterData;
+ new(): CharacterData;
+};
+
+interface ChildNode extends Node {
+ /**
+ * Inserts nodes just after node, while replacing strings in nodes with equivalent Text nodes.
+ *
+ * Throws a "HierarchyRequestError" DOMException if the constraints of the node tree are violated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/after)
+ */
+ after(...nodes: (Node | string)[]): void;
+ /**
+ * Inserts nodes just before node, while replacing strings in nodes with equivalent Text nodes.
+ *
+ * Throws a "HierarchyRequestError" DOMException if the constraints of the node tree are violated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/before)
+ */
+ before(...nodes: (Node | string)[]): void;
+ /**
+ * Removes node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/remove)
+ */
+ remove(): void;
+ /**
+ * Replaces node with nodes, while replacing strings in nodes with equivalent Text nodes.
+ *
+ * Throws a "HierarchyRequestError" DOMException if the constraints of the node tree are violated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/replaceWith)
+ */
+ replaceWith(...nodes: (Node | string)[]): void;
+}
+
+/** @deprecated */
+interface ClientRect extends DOMRect {
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clipboard)
+ */
+interface Clipboard extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clipboard/read) */
+ read(): Promise<ClipboardItems>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clipboard/readText) */
+ readText(): Promise<string>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clipboard/write) */
+ write(data: ClipboardItems): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clipboard/writeText) */
+ writeText(data: string): Promise<void>;
+}
+
+declare var Clipboard: {
+ prototype: Clipboard;
+ new(): Clipboard;
+};
+
+/**
+ * Events providing information related to modification of the clipboard, that is cut, copy, and paste events.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ClipboardEvent)
+ */
+interface ClipboardEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ClipboardEvent/clipboardData) */
+ readonly clipboardData: DataTransfer | null;
+}
+
+declare var ClipboardEvent: {
+ prototype: ClipboardEvent;
+ new(type: string, eventInitDict?: ClipboardEventInit): ClipboardEvent;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ClipboardItem)
+ */
+interface ClipboardItem {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ClipboardItem/types) */
+ readonly types: ReadonlyArray<string>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ClipboardItem/getType) */
+ getType(type: string): Promise<Blob>;
+}
+
+declare var ClipboardItem: {
+ prototype: ClipboardItem;
+ new(items: Record<string, string | Blob | PromiseLike<string | Blob>>, options?: ClipboardItemOptions): ClipboardItem;
+};
+
+/**
+ * A CloseEvent is sent to clients using WebSockets when the connection is closed. This is delivered to the listener indicated by the WebSocket object's onclose attribute.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CloseEvent)
+ */
+interface CloseEvent extends Event {
+ /**
+ * Returns the WebSocket connection close code provided by the server.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CloseEvent/code)
+ */
+ readonly code: number;
+ /**
+ * Returns the WebSocket connection close reason provided by the server.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CloseEvent/reason)
+ */
+ readonly reason: string;
+ /**
+ * Returns true if the connection closed cleanly; false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CloseEvent/wasClean)
+ */
+ readonly wasClean: boolean;
+}
+
+declare var CloseEvent: {
+ prototype: CloseEvent;
+ new(type: string, eventInitDict?: CloseEventInit): CloseEvent;
+};
+
+/**
+ * Textual notations within markup; although it is generally not visually shown, such comments are available to be read in the source view.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Comment)
+ */
+interface Comment extends CharacterData {
+}
+
+declare var Comment: {
+ prototype: Comment;
+ new(data?: string): Comment;
+};
+
+/**
+ * The DOM CompositionEvent represents events that occur due to the user indirectly entering text.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompositionEvent)
+ */
+interface CompositionEvent extends UIEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompositionEvent/data) */
+ readonly data: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompositionEvent/initCompositionEvent)
+ */
+ initCompositionEvent(typeArg: string, bubblesArg?: boolean, cancelableArg?: boolean, viewArg?: WindowProxy | null, dataArg?: string): void;
+}
+
+declare var CompositionEvent: {
+ prototype: CompositionEvent;
+ new(type: string, eventInitDict?: CompositionEventInit): CompositionEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompressionStream) */
+interface CompressionStream extends GenericTransformStream {
+}
+
+declare var CompressionStream: {
+ prototype: CompressionStream;
+ new(format: CompressionFormat): CompressionStream;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ConstantSourceNode) */
+interface ConstantSourceNode extends AudioScheduledSourceNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ConstantSourceNode/offset) */
+ readonly offset: AudioParam;
+ addEventListener<K extends keyof AudioScheduledSourceNodeEventMap>(type: K, listener: (this: ConstantSourceNode, ev: AudioScheduledSourceNodeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AudioScheduledSourceNodeEventMap>(type: K, listener: (this: ConstantSourceNode, ev: AudioScheduledSourceNodeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ConstantSourceNode: {
+ prototype: ConstantSourceNode;
+ new(context: BaseAudioContext, options?: ConstantSourceOptions): ConstantSourceNode;
+};
+
+/**
+ * An AudioNode that performs a Linear Convolution on a given AudioBuffer, often used to achieve a reverb effect. A ConvolverNode always has exactly one input and one output.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ConvolverNode)
+ */
+interface ConvolverNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ConvolverNode/buffer) */
+ buffer: AudioBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ConvolverNode/normalize) */
+ normalize: boolean;
+}
+
+declare var ConvolverNode: {
+ prototype: ConvolverNode;
+ new(context: BaseAudioContext, options?: ConvolverOptions): ConvolverNode;
+};
+
+/**
+ * This Streams API interface provides a built-in byte length queuing strategy that can be used when constructing streams.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CountQueuingStrategy)
+ */
+interface CountQueuingStrategy extends QueuingStrategy {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CountQueuingStrategy/highWaterMark) */
+ readonly highWaterMark: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CountQueuingStrategy/size) */
+ readonly size: QueuingStrategySize;
+}
+
+declare var CountQueuingStrategy: {
+ prototype: CountQueuingStrategy;
+ new(init: QueuingStrategyInit): CountQueuingStrategy;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Credential)
+ */
+interface Credential {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Credential/id) */
+ readonly id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Credential/type) */
+ readonly type: string;
+}
+
+declare var Credential: {
+ prototype: Credential;
+ new(): Credential;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CredentialsContainer)
+ */
+interface CredentialsContainer {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CredentialsContainer/create) */
+ create(options?: CredentialCreationOptions): Promise<Credential | null>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CredentialsContainer/get) */
+ get(options?: CredentialRequestOptions): Promise<Credential | null>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CredentialsContainer/preventSilentAccess) */
+ preventSilentAccess(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CredentialsContainer/store) */
+ store(credential: Credential): Promise<void>;
+}
+
+declare var CredentialsContainer: {
+ prototype: CredentialsContainer;
+ new(): CredentialsContainer;
+};
+
+/**
+ * Basic cryptography features available in the current context. It allows access to a cryptographically strong random number generator and to cryptographic primitives.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Crypto)
+ */
+interface Crypto {
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Crypto/subtle)
+ */
+ readonly subtle: SubtleCrypto;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Crypto/getRandomValues) */
+ getRandomValues<T extends ArrayBufferView | null>(array: T): T;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Crypto/randomUUID)
+ */
+ randomUUID(): \`\${string}-\${string}-\${string}-\${string}-\${string}\`;
+}
+
+declare var Crypto: {
+ prototype: Crypto;
+ new(): Crypto;
+};
+
+/**
+ * The CryptoKey dictionary of the Web Crypto API represents a cryptographic key.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey)
+ */
+interface CryptoKey {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey/algorithm) */
+ readonly algorithm: KeyAlgorithm;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey/extractable) */
+ readonly extractable: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey/type) */
+ readonly type: KeyType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey/usages) */
+ readonly usages: KeyUsage[];
+}
+
+declare var CryptoKey: {
+ prototype: CryptoKey;
+ new(): CryptoKey;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomElementRegistry) */
+interface CustomElementRegistry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomElementRegistry/define) */
+ define(name: string, constructor: CustomElementConstructor, options?: ElementDefinitionOptions): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomElementRegistry/get) */
+ get(name: string): CustomElementConstructor | undefined;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomElementRegistry/getName) */
+ getName(constructor: CustomElementConstructor): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomElementRegistry/upgrade) */
+ upgrade(root: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomElementRegistry/whenDefined) */
+ whenDefined(name: string): Promise<CustomElementConstructor>;
+}
+
+declare var CustomElementRegistry: {
+ prototype: CustomElementRegistry;
+ new(): CustomElementRegistry;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomEvent) */
+interface CustomEvent<T = any> extends Event {
+ /**
+ * Returns any custom data event was created with. Typically used for synthetic events.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomEvent/detail)
+ */
+ readonly detail: T;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomEvent/initCustomEvent)
+ */
+ initCustomEvent(type: string, bubbles?: boolean, cancelable?: boolean, detail?: T): void;
+}
+
+declare var CustomEvent: {
+ prototype: CustomEvent;
+ new<T>(type: string, eventInitDict?: CustomEventInit<T>): CustomEvent<T>;
+};
+
+/**
+ * An abnormal event (called an exception) which occurs as a result of calling a method or accessing a property of a web API.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMException)
+ */
+interface DOMException extends Error {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMException/code)
+ */
+ readonly code: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMException/message) */
+ readonly message: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMException/name) */
+ readonly name: string;
+ readonly INDEX_SIZE_ERR: 1;
+ readonly DOMSTRING_SIZE_ERR: 2;
+ readonly HIERARCHY_REQUEST_ERR: 3;
+ readonly WRONG_DOCUMENT_ERR: 4;
+ readonly INVALID_CHARACTER_ERR: 5;
+ readonly NO_DATA_ALLOWED_ERR: 6;
+ readonly NO_MODIFICATION_ALLOWED_ERR: 7;
+ readonly NOT_FOUND_ERR: 8;
+ readonly NOT_SUPPORTED_ERR: 9;
+ readonly INUSE_ATTRIBUTE_ERR: 10;
+ readonly INVALID_STATE_ERR: 11;
+ readonly SYNTAX_ERR: 12;
+ readonly INVALID_MODIFICATION_ERR: 13;
+ readonly NAMESPACE_ERR: 14;
+ readonly INVALID_ACCESS_ERR: 15;
+ readonly VALIDATION_ERR: 16;
+ readonly TYPE_MISMATCH_ERR: 17;
+ readonly SECURITY_ERR: 18;
+ readonly NETWORK_ERR: 19;
+ readonly ABORT_ERR: 20;
+ readonly URL_MISMATCH_ERR: 21;
+ readonly QUOTA_EXCEEDED_ERR: 22;
+ readonly TIMEOUT_ERR: 23;
+ readonly INVALID_NODE_TYPE_ERR: 24;
+ readonly DATA_CLONE_ERR: 25;
+}
+
+declare var DOMException: {
+ prototype: DOMException;
+ new(message?: string, name?: string): DOMException;
+ readonly INDEX_SIZE_ERR: 1;
+ readonly DOMSTRING_SIZE_ERR: 2;
+ readonly HIERARCHY_REQUEST_ERR: 3;
+ readonly WRONG_DOCUMENT_ERR: 4;
+ readonly INVALID_CHARACTER_ERR: 5;
+ readonly NO_DATA_ALLOWED_ERR: 6;
+ readonly NO_MODIFICATION_ALLOWED_ERR: 7;
+ readonly NOT_FOUND_ERR: 8;
+ readonly NOT_SUPPORTED_ERR: 9;
+ readonly INUSE_ATTRIBUTE_ERR: 10;
+ readonly INVALID_STATE_ERR: 11;
+ readonly SYNTAX_ERR: 12;
+ readonly INVALID_MODIFICATION_ERR: 13;
+ readonly NAMESPACE_ERR: 14;
+ readonly INVALID_ACCESS_ERR: 15;
+ readonly VALIDATION_ERR: 16;
+ readonly TYPE_MISMATCH_ERR: 17;
+ readonly SECURITY_ERR: 18;
+ readonly NETWORK_ERR: 19;
+ readonly ABORT_ERR: 20;
+ readonly URL_MISMATCH_ERR: 21;
+ readonly QUOTA_EXCEEDED_ERR: 22;
+ readonly TIMEOUT_ERR: 23;
+ readonly INVALID_NODE_TYPE_ERR: 24;
+ readonly DATA_CLONE_ERR: 25;
+};
+
+/**
+ * An object providing methods which are not dependent on any particular document. Such an object is returned by the Document.implementation property.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMImplementation)
+ */
+interface DOMImplementation {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMImplementation/createDocument) */
+ createDocument(namespace: string | null, qualifiedName: string | null, doctype?: DocumentType | null): XMLDocument;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMImplementation/createDocumentType) */
+ createDocumentType(qualifiedName: string, publicId: string, systemId: string): DocumentType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMImplementation/createHTMLDocument) */
+ createHTMLDocument(title?: string): Document;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMImplementation/hasFeature)
+ */
+ hasFeature(...args: any[]): true;
+}
+
+declare var DOMImplementation: {
+ prototype: DOMImplementation;
+ new(): DOMImplementation;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrix) */
+interface DOMMatrix extends DOMMatrixReadOnly {
+ a: number;
+ b: number;
+ c: number;
+ d: number;
+ e: number;
+ f: number;
+ m11: number;
+ m12: number;
+ m13: number;
+ m14: number;
+ m21: number;
+ m22: number;
+ m23: number;
+ m24: number;
+ m31: number;
+ m32: number;
+ m33: number;
+ m34: number;
+ m41: number;
+ m42: number;
+ m43: number;
+ m44: number;
+ invertSelf(): DOMMatrix;
+ multiplySelf(other?: DOMMatrixInit): DOMMatrix;
+ preMultiplySelf(other?: DOMMatrixInit): DOMMatrix;
+ rotateAxisAngleSelf(x?: number, y?: number, z?: number, angle?: number): DOMMatrix;
+ rotateFromVectorSelf(x?: number, y?: number): DOMMatrix;
+ rotateSelf(rotX?: number, rotY?: number, rotZ?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrix/scale3dSelf) */
+ scale3dSelf(scale?: number, originX?: number, originY?: number, originZ?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrix/scaleSelf) */
+ scaleSelf(scaleX?: number, scaleY?: number, scaleZ?: number, originX?: number, originY?: number, originZ?: number): DOMMatrix;
+ setMatrixValue(transformList: string): DOMMatrix;
+ skewXSelf(sx?: number): DOMMatrix;
+ skewYSelf(sy?: number): DOMMatrix;
+ translateSelf(tx?: number, ty?: number, tz?: number): DOMMatrix;
+}
+
+declare var DOMMatrix: {
+ prototype: DOMMatrix;
+ new(init?: string | number[]): DOMMatrix;
+ fromFloat32Array(array32: Float32Array): DOMMatrix;
+ fromFloat64Array(array64: Float64Array): DOMMatrix;
+ fromMatrix(other?: DOMMatrixInit): DOMMatrix;
+};
+
+type SVGMatrix = DOMMatrix;
+declare var SVGMatrix: typeof DOMMatrix;
+
+type WebKitCSSMatrix = DOMMatrix;
+declare var WebKitCSSMatrix: typeof DOMMatrix;
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly) */
+interface DOMMatrixReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/a) */
+ readonly a: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/b) */
+ readonly b: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/c) */
+ readonly c: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/d) */
+ readonly d: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/e) */
+ readonly e: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/f) */
+ readonly f: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/is2D) */
+ readonly is2D: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/isIdentity) */
+ readonly isIdentity: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m11) */
+ readonly m11: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m12) */
+ readonly m12: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m13) */
+ readonly m13: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m14) */
+ readonly m14: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m21) */
+ readonly m21: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m22) */
+ readonly m22: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m23) */
+ readonly m23: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m24) */
+ readonly m24: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m31) */
+ readonly m31: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m32) */
+ readonly m32: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m33) */
+ readonly m33: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m34) */
+ readonly m34: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m41) */
+ readonly m41: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m42) */
+ readonly m42: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m43) */
+ readonly m43: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m44) */
+ readonly m44: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/flipX) */
+ flipX(): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/flipY) */
+ flipY(): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/inverse) */
+ inverse(): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/multiply) */
+ multiply(other?: DOMMatrixInit): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/rotate) */
+ rotate(rotX?: number, rotY?: number, rotZ?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/rotateAxisAngle) */
+ rotateAxisAngle(x?: number, y?: number, z?: number, angle?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/rotateFromVector) */
+ rotateFromVector(x?: number, y?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/scale) */
+ scale(scaleX?: number, scaleY?: number, scaleZ?: number, originX?: number, originY?: number, originZ?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/scale3d) */
+ scale3d(scale?: number, originX?: number, originY?: number, originZ?: number): DOMMatrix;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/scaleNonUniform)
+ */
+ scaleNonUniform(scaleX?: number, scaleY?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/skewX) */
+ skewX(sx?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/skewY) */
+ skewY(sy?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/toFloat32Array) */
+ toFloat32Array(): Float32Array;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/toFloat64Array) */
+ toFloat64Array(): Float64Array;
+ toJSON(): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/transformPoint) */
+ transformPoint(point?: DOMPointInit): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/translate) */
+ translate(tx?: number, ty?: number, tz?: number): DOMMatrix;
+ toString(): string;
+}
+
+declare var DOMMatrixReadOnly: {
+ prototype: DOMMatrixReadOnly;
+ new(init?: string | number[]): DOMMatrixReadOnly;
+ fromFloat32Array(array32: Float32Array): DOMMatrixReadOnly;
+ fromFloat64Array(array64: Float64Array): DOMMatrixReadOnly;
+ fromMatrix(other?: DOMMatrixInit): DOMMatrixReadOnly;
+};
+
+/**
+ * Provides the ability to parse XML or HTML source code from a string into a DOM Document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMParser)
+ */
+interface DOMParser {
+ /**
+ * Parses string using either the HTML or XML parser, according to type, and returns the resulting Document. type can be "text/html" (which will invoke the HTML parser), or any of "text/xml", "application/xml", "application/xhtml+xml", or "image/svg+xml" (which will invoke the XML parser).
+ *
+ * For the XML parser, if string cannot be parsed, then the returned Document will contain elements describing the resulting error.
+ *
+ * Note that script elements are not evaluated during parsing, and the resulting document's encoding will always be UTF-8.
+ *
+ * Values other than the above for type will cause a TypeError exception to be thrown.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMParser/parseFromString)
+ */
+ parseFromString(string: string, type: DOMParserSupportedType): Document;
+}
+
+declare var DOMParser: {
+ prototype: DOMParser;
+ new(): DOMParser;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint) */
+interface DOMPoint extends DOMPointReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/w) */
+ w: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/x) */
+ x: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/y) */
+ y: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/z) */
+ z: number;
+}
+
+declare var DOMPoint: {
+ prototype: DOMPoint;
+ new(x?: number, y?: number, z?: number, w?: number): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/fromPoint_static) */
+ fromPoint(other?: DOMPointInit): DOMPoint;
+};
+
+type SVGPoint = DOMPoint;
+declare var SVGPoint: typeof DOMPoint;
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly) */
+interface DOMPointReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/w) */
+ readonly w: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/x) */
+ readonly x: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/y) */
+ readonly y: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/z) */
+ readonly z: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/matrixTransform) */
+ matrixTransform(matrix?: DOMMatrixInit): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/toJSON) */
+ toJSON(): any;
+}
+
+declare var DOMPointReadOnly: {
+ prototype: DOMPointReadOnly;
+ new(x?: number, y?: number, z?: number, w?: number): DOMPointReadOnly;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/fromPoint_static) */
+ fromPoint(other?: DOMPointInit): DOMPointReadOnly;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad) */
+interface DOMQuad {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/p1) */
+ readonly p1: DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/p2) */
+ readonly p2: DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/p3) */
+ readonly p3: DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/p4) */
+ readonly p4: DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/getBounds) */
+ getBounds(): DOMRect;
+ toJSON(): any;
+}
+
+declare var DOMQuad: {
+ prototype: DOMQuad;
+ new(p1?: DOMPointInit, p2?: DOMPointInit, p3?: DOMPointInit, p4?: DOMPointInit): DOMQuad;
+ fromQuad(other?: DOMQuadInit): DOMQuad;
+ fromRect(other?: DOMRectInit): DOMQuad;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRect) */
+interface DOMRect extends DOMRectReadOnly {
+ height: number;
+ width: number;
+ x: number;
+ y: number;
+}
+
+declare var DOMRect: {
+ prototype: DOMRect;
+ new(x?: number, y?: number, width?: number, height?: number): DOMRect;
+ fromRect(other?: DOMRectInit): DOMRect;
+};
+
+type SVGRect = DOMRect;
+declare var SVGRect: typeof DOMRect;
+
+interface DOMRectList {
+ readonly length: number;
+ item(index: number): DOMRect | null;
+ [index: number]: DOMRect;
+}
+
+declare var DOMRectList: {
+ prototype: DOMRectList;
+ new(): DOMRectList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly) */
+interface DOMRectReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/bottom) */
+ readonly bottom: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/height) */
+ readonly height: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/left) */
+ readonly left: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/right) */
+ readonly right: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/top) */
+ readonly top: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/width) */
+ readonly width: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/x) */
+ readonly x: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/y) */
+ readonly y: number;
+ toJSON(): any;
+}
+
+declare var DOMRectReadOnly: {
+ prototype: DOMRectReadOnly;
+ new(x?: number, y?: number, width?: number, height?: number): DOMRectReadOnly;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/fromRect_static) */
+ fromRect(other?: DOMRectInit): DOMRectReadOnly;
+};
+
+/**
+ * A type returned by some APIs which contains a list of DOMString (strings).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringList)
+ */
+interface DOMStringList {
+ /**
+ * Returns the number of strings in strings.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringList/length)
+ */
+ readonly length: number;
+ /**
+ * Returns true if strings contains string, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringList/contains)
+ */
+ contains(string: string): boolean;
+ /**
+ * Returns the string with index index from strings.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringList/item)
+ */
+ item(index: number): string | null;
+ [index: number]: string;
+}
+
+declare var DOMStringList: {
+ prototype: DOMStringList;
+ new(): DOMStringList;
+};
+
+/**
+ * Used by the dataset HTML attribute to represent data for custom attributes added to elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringMap)
+ */
+interface DOMStringMap {
+ [name: string]: string | undefined;
+}
+
+declare var DOMStringMap: {
+ prototype: DOMStringMap;
+ new(): DOMStringMap;
+};
+
+/**
+ * A set of space-separated tokens. Such a set is returned by Element.classList, HTMLLinkElement.relList, HTMLAnchorElement.relList, HTMLAreaElement.relList, HTMLIframeElement.sandbox, or HTMLOutputElement.htmlFor. It is indexed beginning with 0 as with JavaScript Array objects. DOMTokenList is always case-sensitive.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList)
+ */
+interface DOMTokenList {
+ /**
+ * Returns the number of tokens.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/length)
+ */
+ readonly length: number;
+ /**
+ * Returns the associated set as string.
+ *
+ * Can be set, to change the associated attribute.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/value)
+ */
+ value: string;
+ toString(): string;
+ /**
+ * Adds all arguments passed, except those already present.
+ *
+ * Throws a "SyntaxError" DOMException if one of the arguments is the empty string.
+ *
+ * Throws an "InvalidCharacterError" DOMException if one of the arguments contains any ASCII whitespace.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/add)
+ */
+ add(...tokens: string[]): void;
+ /**
+ * Returns true if token is present, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/contains)
+ */
+ contains(token: string): boolean;
+ /**
+ * Returns the token with index index.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/item)
+ */
+ item(index: number): string | null;
+ /**
+ * Removes arguments passed, if they are present.
+ *
+ * Throws a "SyntaxError" DOMException if one of the arguments is the empty string.
+ *
+ * Throws an "InvalidCharacterError" DOMException if one of the arguments contains any ASCII whitespace.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/remove)
+ */
+ remove(...tokens: string[]): void;
+ /**
+ * Replaces token with newToken.
+ *
+ * Returns true if token was replaced with newToken, and false otherwise.
+ *
+ * Throws a "SyntaxError" DOMException if one of the arguments is the empty string.
+ *
+ * Throws an "InvalidCharacterError" DOMException if one of the arguments contains any ASCII whitespace.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/replace)
+ */
+ replace(token: string, newToken: string): boolean;
+ /**
+ * Returns true if token is in the associated attribute's supported tokens. Returns false otherwise.
+ *
+ * Throws a TypeError if the associated attribute has no supported tokens defined.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/supports)
+ */
+ supports(token: string): boolean;
+ /**
+ * If force is not given, "toggles" token, removing it if it's present and adding it if it's not present. If force is true, adds token (same as add()). If force is false, removes token (same as remove()).
+ *
+ * Returns true if token is now present, and false otherwise.
+ *
+ * Throws a "SyntaxError" DOMException if token is empty.
+ *
+ * Throws an "InvalidCharacterError" DOMException if token contains any spaces.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMTokenList/toggle)
+ */
+ toggle(token: string, force?: boolean): boolean;
+ forEach(callbackfn: (value: string, key: number, parent: DOMTokenList) => void, thisArg?: any): void;
+ [index: number]: string;
+}
+
+declare var DOMTokenList: {
+ prototype: DOMTokenList;
+ new(): DOMTokenList;
+};
+
+/**
+ * Used to hold the data that is being dragged during a drag and drop operation. It may hold one or more data items, each of one or more data types. For more information about drag and drop, see HTML Drag and Drop API.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer)
+ */
+interface DataTransfer {
+ /**
+ * Returns the kind of operation that is currently selected. If the kind of operation isn't one of those that is allowed by the effectAllowed attribute, then the operation will fail.
+ *
+ * Can be set, to change the selected operation.
+ *
+ * The possible values are "none", "copy", "link", and "move".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/dropEffect)
+ */
+ dropEffect: "none" | "copy" | "link" | "move";
+ /**
+ * Returns the kinds of operations that are to be allowed.
+ *
+ * Can be set (during the dragstart event), to change the allowed operations.
+ *
+ * The possible values are "none", "copy", "copyLink", "copyMove", "link", "linkMove", "move", "all", and "uninitialized",
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/effectAllowed)
+ */
+ effectAllowed: "none" | "copy" | "copyLink" | "copyMove" | "link" | "linkMove" | "move" | "all" | "uninitialized";
+ /**
+ * Returns a FileList of the files being dragged, if any.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/files)
+ */
+ readonly files: FileList;
+ /**
+ * Returns a DataTransferItemList object, with the drag data.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/items)
+ */
+ readonly items: DataTransferItemList;
+ /**
+ * Returns a frozen array listing the formats that were set in the dragstart event. In addition, if any files are being dragged, then one of the types will be the string "Files".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/types)
+ */
+ readonly types: ReadonlyArray<string>;
+ /**
+ * Removes the data of the specified formats. Removes all data if the argument is omitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/clearData)
+ */
+ clearData(format?: string): void;
+ /**
+ * Returns the specified data. If there is no such data, returns the empty string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/getData)
+ */
+ getData(format: string): string;
+ /**
+ * Adds the specified data.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/setData)
+ */
+ setData(format: string, data: string): void;
+ /**
+ * Uses the given element to update the drag feedback, replacing any previously specified feedback.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransfer/setDragImage)
+ */
+ setDragImage(image: Element, x: number, y: number): void;
+}
+
+declare var DataTransfer: {
+ prototype: DataTransfer;
+ new(): DataTransfer;
+};
+
+/**
+ * One drag data item. During a drag operation, each drag event has a dataTransfer property which contains a list of drag data items. Each item in the list is a DataTransferItem object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItem)
+ */
+interface DataTransferItem {
+ /**
+ * Returns the drag data item kind, one of: "string", "file".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItem/kind)
+ */
+ readonly kind: string;
+ /**
+ * Returns the drag data item type string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItem/type)
+ */
+ readonly type: string;
+ /**
+ * Returns a File object, if the drag data item kind is File.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItem/getAsFile)
+ */
+ getAsFile(): File | null;
+ /**
+ * Invokes the callback with the string data as the argument, if the drag data item kind is text.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItem/getAsString)
+ */
+ getAsString(callback: FunctionStringCallback | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItem/webkitGetAsEntry) */
+ webkitGetAsEntry(): FileSystemEntry | null;
+}
+
+declare var DataTransferItem: {
+ prototype: DataTransferItem;
+ new(): DataTransferItem;
+};
+
+/**
+ * A list of DataTransferItem objects representing items being dragged. During a drag operation, each DragEvent has a dataTransfer property and that property is a DataTransferItemList.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItemList)
+ */
+interface DataTransferItemList {
+ /**
+ * Returns the number of items in the drag data store.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItemList/length)
+ */
+ readonly length: number;
+ /**
+ * Adds a new entry for the given data to the drag data store. If the data is plain text then a type string has to be provided also.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItemList/add)
+ */
+ add(data: string, type: string): DataTransferItem | null;
+ add(data: File): DataTransferItem | null;
+ /**
+ * Removes all the entries in the drag data store.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItemList/clear)
+ */
+ clear(): void;
+ /**
+ * Removes the indexth entry in the drag data store.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DataTransferItemList/remove)
+ */
+ remove(index: number): void;
+ [index: number]: DataTransferItem;
+}
+
+declare var DataTransferItemList: {
+ prototype: DataTransferItemList;
+ new(): DataTransferItemList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DecompressionStream) */
+interface DecompressionStream extends GenericTransformStream {
+}
+
+declare var DecompressionStream: {
+ prototype: DecompressionStream;
+ new(format: CompressionFormat): DecompressionStream;
+};
+
+/**
+ * A delay-line; an AudioNode audio-processing module that causes a delay between the arrival of an input data and its propagation to the output.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DelayNode)
+ */
+interface DelayNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DelayNode/delayTime) */
+ readonly delayTime: AudioParam;
+}
+
+declare var DelayNode: {
+ prototype: DelayNode;
+ new(context: BaseAudioContext, options?: DelayOptions): DelayNode;
+};
+
+/**
+ * The DeviceMotionEvent provides web developers with information about the speed of changes for the device's position and orientation.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEvent)
+ */
+interface DeviceMotionEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEvent/acceleration) */
+ readonly acceleration: DeviceMotionEventAcceleration | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEvent/accelerationIncludingGravity) */
+ readonly accelerationIncludingGravity: DeviceMotionEventAcceleration | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEvent/interval) */
+ readonly interval: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEvent/rotationRate) */
+ readonly rotationRate: DeviceMotionEventRotationRate | null;
+}
+
+declare var DeviceMotionEvent: {
+ prototype: DeviceMotionEvent;
+ new(type: string, eventInitDict?: DeviceMotionEventInit): DeviceMotionEvent;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEventAcceleration)
+ */
+interface DeviceMotionEventAcceleration {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEventAcceleration/x) */
+ readonly x: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEventAcceleration/y) */
+ readonly y: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEventAcceleration/z) */
+ readonly z: number | null;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEventRotationRate)
+ */
+interface DeviceMotionEventRotationRate {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEventRotationRate/alpha) */
+ readonly alpha: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEventRotationRate/beta) */
+ readonly beta: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceMotionEventRotationRate/gamma) */
+ readonly gamma: number | null;
+}
+
+/**
+ * The DeviceOrientationEvent provides web developers with information from the physical orientation of the device running the web page.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceOrientationEvent)
+ */
+interface DeviceOrientationEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceOrientationEvent/absolute) */
+ readonly absolute: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceOrientationEvent/alpha) */
+ readonly alpha: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceOrientationEvent/beta) */
+ readonly beta: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DeviceOrientationEvent/gamma) */
+ readonly gamma: number | null;
+}
+
+declare var DeviceOrientationEvent: {
+ prototype: DeviceOrientationEvent;
+ new(type: string, eventInitDict?: DeviceOrientationEventInit): DeviceOrientationEvent;
+};
+
+interface DocumentEventMap extends GlobalEventHandlersEventMap {
+ "DOMContentLoaded": Event;
+ "fullscreenchange": Event;
+ "fullscreenerror": Event;
+ "pointerlockchange": Event;
+ "pointerlockerror": Event;
+ "readystatechange": Event;
+ "visibilitychange": Event;
+}
+
+/**
+ * Any web page loaded in the browser and serves as an entry point into the web page's content, which is the DOM tree.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document)
+ */
+interface Document extends Node, DocumentOrShadowRoot, FontFaceSource, GlobalEventHandlers, NonElementParentNode, ParentNode, XPathEvaluatorBase {
+ /**
+ * Sets or gets the URL for the current document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/URL)
+ */
+ readonly URL: string;
+ /**
+ * Sets or gets the color of all active links in the document.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/alinkColor)
+ */
+ alinkColor: string;
+ /**
+ * Returns a reference to the collection of elements contained by the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/all)
+ */
+ readonly all: HTMLAllCollection;
+ /**
+ * Retrieves a collection of all a objects that have a name and/or id property. Objects in this collection are in HTML source order.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/anchors)
+ */
+ readonly anchors: HTMLCollectionOf<HTMLAnchorElement>;
+ /**
+ * Retrieves a collection of all applet objects in the document.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/applets)
+ */
+ readonly applets: HTMLCollection;
+ /**
+ * Deprecated. Sets or retrieves a value that indicates the background color behind the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/bgColor)
+ */
+ bgColor: string;
+ /**
+ * Specifies the beginning and end of the document body.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/body)
+ */
+ body: HTMLElement;
+ /**
+ * Returns document's encoding.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/characterSet)
+ */
+ readonly characterSet: string;
+ /**
+ * Gets or sets the character set used to encode the object.
+ * @deprecated This is a legacy alias of \`characterSet\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/characterSet)
+ */
+ readonly charset: string;
+ /**
+ * Gets a value that indicates whether standards-compliant mode is switched on for the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/compatMode)
+ */
+ readonly compatMode: string;
+ /**
+ * Returns document's content type.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/contentType)
+ */
+ readonly contentType: string;
+ /**
+ * Returns the HTTP cookies that apply to the Document. If there are no cookies or cookies can't be applied to this resource, the empty string will be returned.
+ *
+ * Can be set, to add a new cookie to the element's set of HTTP cookies.
+ *
+ * If the contents are sandboxed into a unique origin (e.g. in an iframe with the sandbox attribute), a "SecurityError" DOMException will be thrown on getting and setting.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/cookie)
+ */
+ cookie: string;
+ /**
+ * Returns the script element, or the SVG script element, that is currently executing, as long as the element represents a classic script. In the case of reentrant script execution, returns the one that most recently started executing amongst those that have not yet finished executing.
+ *
+ * Returns null if the Document is not currently executing a script or SVG script element (e.g., because the running script is an event handler, or a timeout), or if the currently executing script or SVG script element represents a module script.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/currentScript)
+ */
+ readonly currentScript: HTMLOrSVGScriptElement | null;
+ /**
+ * Returns the Window object of the active document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/defaultView)
+ */
+ readonly defaultView: (WindowProxy & typeof globalThis) | null;
+ /**
+ * Sets or gets a value that indicates whether the document can be edited.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/designMode)
+ */
+ designMode: string;
+ /**
+ * Sets or retrieves a value that indicates the reading order of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/dir)
+ */
+ dir: string;
+ /**
+ * Gets an object representing the document type declaration associated with the current document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/doctype)
+ */
+ readonly doctype: DocumentType | null;
+ /**
+ * Gets a reference to the root node of the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/documentElement)
+ */
+ readonly documentElement: HTMLElement;
+ /**
+ * Returns document's URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/documentURI)
+ */
+ readonly documentURI: string;
+ /**
+ * Sets or gets the security domain of the document.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/domain)
+ */
+ domain: string;
+ /**
+ * Retrieves a collection of all embed objects in the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/embeds)
+ */
+ readonly embeds: HTMLCollectionOf<HTMLEmbedElement>;
+ /**
+ * Sets or gets the foreground (text) color of the document.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fgColor)
+ */
+ fgColor: string;
+ /**
+ * Retrieves a collection, in source order, of all form objects in the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/forms)
+ */
+ readonly forms: HTMLCollectionOf<HTMLFormElement>;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fullscreen)
+ */
+ readonly fullscreen: boolean;
+ /**
+ * Returns true if document has the ability to display elements fullscreen and fullscreen is supported, or false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fullscreenEnabled)
+ */
+ readonly fullscreenEnabled: boolean;
+ /**
+ * Returns the head element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/head)
+ */
+ readonly head: HTMLHeadElement;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/hidden) */
+ readonly hidden: boolean;
+ /**
+ * Retrieves a collection, in source order, of img objects in the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/images)
+ */
+ readonly images: HTMLCollectionOf<HTMLImageElement>;
+ /**
+ * Gets the implementation object of the current document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/implementation)
+ */
+ readonly implementation: DOMImplementation;
+ /**
+ * Returns the character encoding used to create the webpage that is loaded into the document object.
+ * @deprecated This is a legacy alias of \`characterSet\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/characterSet)
+ */
+ readonly inputEncoding: string;
+ /**
+ * Gets the date that the page was last modified, if the page supplies one.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/lastModified)
+ */
+ readonly lastModified: string;
+ /**
+ * Sets or gets the color of the document links.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/linkColor)
+ */
+ linkColor: string;
+ /**
+ * Retrieves a collection of all a objects that specify the href property and all area objects in the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/links)
+ */
+ readonly links: HTMLCollectionOf<HTMLAnchorElement | HTMLAreaElement>;
+ /**
+ * Contains information about the current URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/location)
+ */
+ get location(): Location;
+ set location(href: string | Location);
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fullscreenchange_event) */
+ onfullscreenchange: ((this: Document, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fullscreenerror_event) */
+ onfullscreenerror: ((this: Document, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/pointerlockchange_event) */
+ onpointerlockchange: ((this: Document, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/pointerlockerror_event) */
+ onpointerlockerror: ((this: Document, ev: Event) => any) | null;
+ /**
+ * Fires when the state of the object has changed.
+ * @param ev The event
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/readystatechange_event)
+ */
+ onreadystatechange: ((this: Document, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/visibilitychange_event) */
+ onvisibilitychange: ((this: Document, ev: Event) => any) | null;
+ readonly ownerDocument: null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/pictureInPictureEnabled) */
+ readonly pictureInPictureEnabled: boolean;
+ /**
+ * Return an HTMLCollection of the embed elements in the Document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/plugins)
+ */
+ readonly plugins: HTMLCollectionOf<HTMLEmbedElement>;
+ /**
+ * Retrieves a value that indicates the current state of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/readyState)
+ */
+ readonly readyState: DocumentReadyState;
+ /**
+ * Gets the URL of the location that referred the user to the current page.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/referrer)
+ */
+ readonly referrer: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/rootElement)
+ */
+ readonly rootElement: SVGSVGElement | null;
+ /**
+ * Retrieves a collection of all script objects in the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/scripts)
+ */
+ readonly scripts: HTMLCollectionOf<HTMLScriptElement>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/scrollingElement) */
+ readonly scrollingElement: Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/timeline) */
+ readonly timeline: DocumentTimeline;
+ /**
+ * Contains the title of the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/title)
+ */
+ title: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/visibilityState) */
+ readonly visibilityState: DocumentVisibilityState;
+ /**
+ * Sets or gets the color of the links that the user has visited.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/vlinkColor)
+ */
+ vlinkColor: string;
+ /**
+ * Moves node from another document and returns it.
+ *
+ * If node is a document, throws a "NotSupportedError" DOMException or, if node is a shadow root, throws a "HierarchyRequestError" DOMException.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/adoptNode)
+ */
+ adoptNode<T extends Node>(node: T): T;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/captureEvents)
+ */
+ captureEvents(): void;
+ /** @deprecated */
+ caretRangeFromPoint(x: number, y: number): Range | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/clear)
+ */
+ clear(): void;
+ /**
+ * Closes an output stream and forces the sent data to display.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/close)
+ */
+ close(): void;
+ /**
+ * Creates an attribute object with a specified name.
+ * @param name String that sets the attribute object's name.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createAttribute)
+ */
+ createAttribute(localName: string): Attr;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createAttributeNS) */
+ createAttributeNS(namespace: string | null, qualifiedName: string): Attr;
+ /**
+ * Returns a CDATASection node whose data is data.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createCDATASection)
+ */
+ createCDATASection(data: string): CDATASection;
+ /**
+ * Creates a comment object with the specified data.
+ * @param data Sets the comment object's data.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createComment)
+ */
+ createComment(data: string): Comment;
+ /**
+ * Creates a new document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createDocumentFragment)
+ */
+ createDocumentFragment(): DocumentFragment;
+ /**
+ * Creates an instance of the element for the specified tag.
+ * @param tagName The name of an element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createElement)
+ */
+ createElement<K extends keyof HTMLElementTagNameMap>(tagName: K, options?: ElementCreationOptions): HTMLElementTagNameMap[K];
+ /** @deprecated */
+ createElement<K extends keyof HTMLElementDeprecatedTagNameMap>(tagName: K, options?: ElementCreationOptions): HTMLElementDeprecatedTagNameMap[K];
+ createElement(tagName: string, options?: ElementCreationOptions): HTMLElement;
+ /**
+ * Returns an element with namespace namespace. Its namespace prefix will be everything before ":" (U+003E) in qualifiedName or null. Its local name will be everything after ":" (U+003E) in qualifiedName or qualifiedName.
+ *
+ * If localName does not match the Name production an "InvalidCharacterError" DOMException will be thrown.
+ *
+ * If one of the following conditions is true a "NamespaceError" DOMException will be thrown:
+ *
+ * localName does not match the QName production.
+ * Namespace prefix is not null and namespace is the empty string.
+ * Namespace prefix is "xml" and namespace is not the XML namespace.
+ * qualifiedName or namespace prefix is "xmlns" and namespace is not the XMLNS namespace.
+ * namespace is the XMLNS namespace and neither qualifiedName nor namespace prefix is "xmlns".
+ *
+ * When supplied, options's is can be used to create a customized built-in element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createElementNS)
+ */
+ createElementNS(namespaceURI: "http://www.w3.org/1999/xhtml", qualifiedName: string): HTMLElement;
+ createElementNS<K extends keyof SVGElementTagNameMap>(namespaceURI: "http://www.w3.org/2000/svg", qualifiedName: K): SVGElementTagNameMap[K];
+ createElementNS(namespaceURI: "http://www.w3.org/2000/svg", qualifiedName: string): SVGElement;
+ createElementNS<K extends keyof MathMLElementTagNameMap>(namespaceURI: "http://www.w3.org/1998/Math/MathML", qualifiedName: K): MathMLElementTagNameMap[K];
+ createElementNS(namespaceURI: "http://www.w3.org/1998/Math/MathML", qualifiedName: string): MathMLElement;
+ createElementNS(namespaceURI: string | null, qualifiedName: string, options?: ElementCreationOptions): Element;
+ createElementNS(namespace: string | null, qualifiedName: string, options?: string | ElementCreationOptions): Element;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createEvent) */
+ createEvent(eventInterface: "AnimationEvent"): AnimationEvent;
+ createEvent(eventInterface: "AnimationPlaybackEvent"): AnimationPlaybackEvent;
+ createEvent(eventInterface: "AudioProcessingEvent"): AudioProcessingEvent;
+ createEvent(eventInterface: "BeforeUnloadEvent"): BeforeUnloadEvent;
+ createEvent(eventInterface: "BlobEvent"): BlobEvent;
+ createEvent(eventInterface: "ClipboardEvent"): ClipboardEvent;
+ createEvent(eventInterface: "CloseEvent"): CloseEvent;
+ createEvent(eventInterface: "CompositionEvent"): CompositionEvent;
+ createEvent(eventInterface: "CustomEvent"): CustomEvent;
+ createEvent(eventInterface: "DeviceMotionEvent"): DeviceMotionEvent;
+ createEvent(eventInterface: "DeviceOrientationEvent"): DeviceOrientationEvent;
+ createEvent(eventInterface: "DragEvent"): DragEvent;
+ createEvent(eventInterface: "ErrorEvent"): ErrorEvent;
+ createEvent(eventInterface: "Event"): Event;
+ createEvent(eventInterface: "Events"): Event;
+ createEvent(eventInterface: "FocusEvent"): FocusEvent;
+ createEvent(eventInterface: "FontFaceSetLoadEvent"): FontFaceSetLoadEvent;
+ createEvent(eventInterface: "FormDataEvent"): FormDataEvent;
+ createEvent(eventInterface: "GamepadEvent"): GamepadEvent;
+ createEvent(eventInterface: "HashChangeEvent"): HashChangeEvent;
+ createEvent(eventInterface: "IDBVersionChangeEvent"): IDBVersionChangeEvent;
+ createEvent(eventInterface: "InputEvent"): InputEvent;
+ createEvent(eventInterface: "KeyboardEvent"): KeyboardEvent;
+ createEvent(eventInterface: "MIDIConnectionEvent"): MIDIConnectionEvent;
+ createEvent(eventInterface: "MIDIMessageEvent"): MIDIMessageEvent;
+ createEvent(eventInterface: "MediaEncryptedEvent"): MediaEncryptedEvent;
+ createEvent(eventInterface: "MediaKeyMessageEvent"): MediaKeyMessageEvent;
+ createEvent(eventInterface: "MediaQueryListEvent"): MediaQueryListEvent;
+ createEvent(eventInterface: "MediaStreamTrackEvent"): MediaStreamTrackEvent;
+ createEvent(eventInterface: "MessageEvent"): MessageEvent;
+ createEvent(eventInterface: "MouseEvent"): MouseEvent;
+ createEvent(eventInterface: "MouseEvents"): MouseEvent;
+ createEvent(eventInterface: "MutationEvent"): MutationEvent;
+ createEvent(eventInterface: "MutationEvents"): MutationEvent;
+ createEvent(eventInterface: "OfflineAudioCompletionEvent"): OfflineAudioCompletionEvent;
+ createEvent(eventInterface: "PageTransitionEvent"): PageTransitionEvent;
+ createEvent(eventInterface: "PaymentMethodChangeEvent"): PaymentMethodChangeEvent;
+ createEvent(eventInterface: "PaymentRequestUpdateEvent"): PaymentRequestUpdateEvent;
+ createEvent(eventInterface: "PictureInPictureEvent"): PictureInPictureEvent;
+ createEvent(eventInterface: "PointerEvent"): PointerEvent;
+ createEvent(eventInterface: "PopStateEvent"): PopStateEvent;
+ createEvent(eventInterface: "ProgressEvent"): ProgressEvent;
+ createEvent(eventInterface: "PromiseRejectionEvent"): PromiseRejectionEvent;
+ createEvent(eventInterface: "RTCDTMFToneChangeEvent"): RTCDTMFToneChangeEvent;
+ createEvent(eventInterface: "RTCDataChannelEvent"): RTCDataChannelEvent;
+ createEvent(eventInterface: "RTCErrorEvent"): RTCErrorEvent;
+ createEvent(eventInterface: "RTCPeerConnectionIceErrorEvent"): RTCPeerConnectionIceErrorEvent;
+ createEvent(eventInterface: "RTCPeerConnectionIceEvent"): RTCPeerConnectionIceEvent;
+ createEvent(eventInterface: "RTCTrackEvent"): RTCTrackEvent;
+ createEvent(eventInterface: "SecurityPolicyViolationEvent"): SecurityPolicyViolationEvent;
+ createEvent(eventInterface: "SpeechSynthesisErrorEvent"): SpeechSynthesisErrorEvent;
+ createEvent(eventInterface: "SpeechSynthesisEvent"): SpeechSynthesisEvent;
+ createEvent(eventInterface: "StorageEvent"): StorageEvent;
+ createEvent(eventInterface: "SubmitEvent"): SubmitEvent;
+ createEvent(eventInterface: "ToggleEvent"): ToggleEvent;
+ createEvent(eventInterface: "TouchEvent"): TouchEvent;
+ createEvent(eventInterface: "TrackEvent"): TrackEvent;
+ createEvent(eventInterface: "TransitionEvent"): TransitionEvent;
+ createEvent(eventInterface: "UIEvent"): UIEvent;
+ createEvent(eventInterface: "UIEvents"): UIEvent;
+ createEvent(eventInterface: "WebGLContextEvent"): WebGLContextEvent;
+ createEvent(eventInterface: "WheelEvent"): WheelEvent;
+ createEvent(eventInterface: string): Event;
+ /**
+ * Creates a NodeIterator object that you can use to traverse filtered lists of nodes or elements in a document.
+ * @param root The root element or node to start traversing on.
+ * @param whatToShow The type of nodes or elements to appear in the node list
+ * @param filter A custom NodeFilter function to use. For more information, see filter. Use null for no filter.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createNodeIterator)
+ */
+ createNodeIterator(root: Node, whatToShow?: number, filter?: NodeFilter | null): NodeIterator;
+ /**
+ * Returns a ProcessingInstruction node whose target is target and data is data. If target does not match the Name production an "InvalidCharacterError" DOMException will be thrown. If data contains "?>" an "InvalidCharacterError" DOMException will be thrown.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createProcessingInstruction)
+ */
+ createProcessingInstruction(target: string, data: string): ProcessingInstruction;
+ /**
+ * Returns an empty range object that has both of its boundary points positioned at the beginning of the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createRange)
+ */
+ createRange(): Range;
+ /**
+ * Creates a text string from the specified value.
+ * @param data String that specifies the nodeValue property of the text node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createTextNode)
+ */
+ createTextNode(data: string): Text;
+ /**
+ * Creates a TreeWalker object that you can use to traverse filtered lists of nodes or elements in a document.
+ * @param root The root element or node to start traversing on.
+ * @param whatToShow The type of nodes or elements to appear in the node list. For more information, see whatToShow.
+ * @param filter A custom NodeFilter function to use.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createTreeWalker)
+ */
+ createTreeWalker(root: Node, whatToShow?: number, filter?: NodeFilter | null): TreeWalker;
+ /**
+ * Executes a command on the current document, current selection, or the given range.
+ * @param commandId String that specifies the command to execute. This command can be any of the command identifiers that can be executed in script.
+ * @param showUI Display the user interface, defaults to false.
+ * @param value Value to assign.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/execCommand)
+ */
+ execCommand(commandId: string, showUI?: boolean, value?: string): boolean;
+ /**
+ * Stops document's fullscreen element from being displayed fullscreen and resolves promise when done.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/exitFullscreen)
+ */
+ exitFullscreen(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/exitPictureInPicture) */
+ exitPictureInPicture(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/exitPointerLock) */
+ exitPointerLock(): void;
+ /**
+ * Returns a reference to the first object with the specified value of the ID attribute.
+ * @param elementId String that specifies the ID value.
+ */
+ getElementById(elementId: string): HTMLElement | null;
+ /**
+ * Returns a HTMLCollection of the elements in the object on which the method was invoked (a document or an element) that have all the classes given by classNames. The classNames argument is interpreted as a space-separated list of classes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/getElementsByClassName)
+ */
+ getElementsByClassName(classNames: string): HTMLCollectionOf<Element>;
+ /**
+ * Gets a collection of objects based on the value of the NAME or ID attribute.
+ * @param elementName Gets a collection of objects based on the value of the NAME or ID attribute.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/getElementsByName)
+ */
+ getElementsByName(elementName: string): NodeListOf<HTMLElement>;
+ /**
+ * Retrieves a collection of objects based on the specified element name.
+ * @param name Specifies the name of an element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/getElementsByTagName)
+ */
+ getElementsByTagName<K extends keyof HTMLElementTagNameMap>(qualifiedName: K): HTMLCollectionOf<HTMLElementTagNameMap[K]>;
+ getElementsByTagName<K extends keyof SVGElementTagNameMap>(qualifiedName: K): HTMLCollectionOf<SVGElementTagNameMap[K]>;
+ getElementsByTagName<K extends keyof MathMLElementTagNameMap>(qualifiedName: K): HTMLCollectionOf<MathMLElementTagNameMap[K]>;
+ /** @deprecated */
+ getElementsByTagName<K extends keyof HTMLElementDeprecatedTagNameMap>(qualifiedName: K): HTMLCollectionOf<HTMLElementDeprecatedTagNameMap[K]>;
+ getElementsByTagName(qualifiedName: string): HTMLCollectionOf<Element>;
+ /**
+ * If namespace and localName are "*" returns a HTMLCollection of all descendant elements.
+ *
+ * If only namespace is "*" returns a HTMLCollection of all descendant elements whose local name is localName.
+ *
+ * If only localName is "*" returns a HTMLCollection of all descendant elements whose namespace is namespace.
+ *
+ * Otherwise, returns a HTMLCollection of all descendant elements whose namespace is namespace and local name is localName.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/getElementsByTagNameNS)
+ */
+ getElementsByTagNameNS(namespaceURI: "http://www.w3.org/1999/xhtml", localName: string): HTMLCollectionOf<HTMLElement>;
+ getElementsByTagNameNS(namespaceURI: "http://www.w3.org/2000/svg", localName: string): HTMLCollectionOf<SVGElement>;
+ getElementsByTagNameNS(namespaceURI: "http://www.w3.org/1998/Math/MathML", localName: string): HTMLCollectionOf<MathMLElement>;
+ getElementsByTagNameNS(namespace: string | null, localName: string): HTMLCollectionOf<Element>;
+ /**
+ * Returns an object representing the current selection of the document that is loaded into the object displaying a webpage.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/getSelection)
+ */
+ getSelection(): Selection | null;
+ /**
+ * Gets a value indicating whether the object currently has focus.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/hasFocus)
+ */
+ hasFocus(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/hasStorageAccess) */
+ hasStorageAccess(): Promise<boolean>;
+ /**
+ * Returns a copy of node. If deep is true, the copy also includes the node's descendants.
+ *
+ * If node is a document or a shadow root, throws a "NotSupportedError" DOMException.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/importNode)
+ */
+ importNode<T extends Node>(node: T, deep?: boolean): T;
+ /**
+ * Opens a new window and loads a document specified by a given URL. Also, opens a new window that uses the url parameter and the name parameter to collect the output of the write method and the writeln method.
+ * @param url Specifies a MIME type for the document.
+ * @param name Specifies the name of the window. This name is used as the value for the TARGET attribute on a form or an anchor element.
+ * @param features Contains a list of items separated by commas. Each item consists of an option and a value, separated by an equals sign (for example, "fullscreen=yes, toolbar=yes"). The following values are supported.
+ * @param replace Specifies whether the existing entry for the document is replaced in the history list.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/open)
+ */
+ open(unused1?: string, unused2?: string): Document;
+ open(url: string | URL, name: string, features: string): WindowProxy | null;
+ /**
+ * Returns a Boolean value that indicates whether a specified command can be successfully executed using execCommand, given the current state of the document.
+ * @param commandId Specifies a command identifier.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/queryCommandEnabled)
+ */
+ queryCommandEnabled(commandId: string): boolean;
+ /**
+ * Returns a Boolean value that indicates whether the specified command is in the indeterminate state.
+ * @param commandId String that specifies a command identifier.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/queryCommandIndeterm)
+ */
+ queryCommandIndeterm(commandId: string): boolean;
+ /**
+ * Returns a Boolean value that indicates the current state of the command.
+ * @param commandId String that specifies a command identifier.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/queryCommandState)
+ */
+ queryCommandState(commandId: string): boolean;
+ /**
+ * Returns a Boolean value that indicates whether the current command is supported on the current range.
+ * @param commandId Specifies a command identifier.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/queryCommandSupported)
+ */
+ queryCommandSupported(commandId: string): boolean;
+ /**
+ * Returns the current value of the document, range, or current selection for the given command.
+ * @param commandId String that specifies a command identifier.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/queryCommandValue)
+ */
+ queryCommandValue(commandId: string): string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/releaseEvents)
+ */
+ releaseEvents(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/requestStorageAccess) */
+ requestStorageAccess(): Promise<void>;
+ /**
+ * Writes one or more HTML expressions to a document in the specified window.
+ * @param content Specifies the text and HTML tags to write.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/write)
+ */
+ write(...text: string[]): void;
+ /**
+ * Writes one or more HTML expressions, followed by a carriage return, to a document in the specified window.
+ * @param content The text and HTML tags to write.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/writeln)
+ */
+ writeln(...text: string[]): void;
+ addEventListener<K extends keyof DocumentEventMap>(type: K, listener: (this: Document, ev: DocumentEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof DocumentEventMap>(type: K, listener: (this: Document, ev: DocumentEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Document: {
+ prototype: Document;
+ new(): Document;
+};
+
+/**
+ * A minimal document object that has no parent. It is used as a lightweight version of Document that stores a segment of a document structure comprised of nodes just like a standard document. The key difference is that because the document fragment isn't part of the active document tree structure, changes made to the fragment don't affect the document, cause reflow, or incur any performance impact that can occur when changes are made.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DocumentFragment)
+ */
+interface DocumentFragment extends Node, NonElementParentNode, ParentNode {
+ readonly ownerDocument: Document;
+ getElementById(elementId: string): HTMLElement | null;
+}
+
+declare var DocumentFragment: {
+ prototype: DocumentFragment;
+ new(): DocumentFragment;
+};
+
+interface DocumentOrShadowRoot {
+ /**
+ * Returns the deepest element in the document through which or to which key events are being routed. This is, roughly speaking, the focused element in the document.
+ *
+ * For the purposes of this API, when a child browsing context is focused, its container is focused in the parent browsing context. For example, if the user moves the focus to a text control in an iframe, the iframe is the element returned by the activeElement API in the iframe's node document.
+ *
+ * Similarly, when the focused element is in a different node tree than documentOrShadowRoot, the element returned will be the host that's located in the same node tree as documentOrShadowRoot if documentOrShadowRoot is a shadow-including inclusive ancestor of the focused element, and null if not.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/activeElement)
+ */
+ readonly activeElement: Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/adoptedStyleSheets) */
+ adoptedStyleSheets: CSSStyleSheet[];
+ /**
+ * Returns document's fullscreen element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fullscreenElement)
+ */
+ readonly fullscreenElement: Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/pictureInPictureElement) */
+ readonly pictureInPictureElement: Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/pointerLockElement) */
+ readonly pointerLockElement: Element | null;
+ /**
+ * Retrieves a collection of styleSheet objects representing the style sheets that correspond to each instance of a link or style object in the document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/styleSheets)
+ */
+ readonly styleSheets: StyleSheetList;
+ /**
+ * Returns the element for the specified x coordinate and the specified y coordinate.
+ * @param x The x-offset
+ * @param y The y-offset
+ */
+ elementFromPoint(x: number, y: number): Element | null;
+ elementsFromPoint(x: number, y: number): Element[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/getAnimations) */
+ getAnimations(): Animation[];
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DocumentTimeline) */
+interface DocumentTimeline extends AnimationTimeline {
+}
+
+declare var DocumentTimeline: {
+ prototype: DocumentTimeline;
+ new(options?: DocumentTimelineOptions): DocumentTimeline;
+};
+
+/**
+ * A Node containing a doctype.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DocumentType)
+ */
+interface DocumentType extends Node, ChildNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DocumentType/name) */
+ readonly name: string;
+ readonly ownerDocument: Document;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DocumentType/publicId) */
+ readonly publicId: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DocumentType/systemId) */
+ readonly systemId: string;
+}
+
+declare var DocumentType: {
+ prototype: DocumentType;
+ new(): DocumentType;
+};
+
+/**
+ * A DOM event that represents a drag and drop interaction. The user initiates a drag by placing a pointer device (such as a mouse) on the touch surface and then dragging the pointer to a new location (such as another DOM element). Applications are free to interpret a drag and drop interaction in an application-specific way.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DragEvent)
+ */
+interface DragEvent extends MouseEvent {
+ /**
+ * Returns the DataTransfer object for the event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DragEvent/dataTransfer)
+ */
+ readonly dataTransfer: DataTransfer | null;
+}
+
+declare var DragEvent: {
+ prototype: DragEvent;
+ new(type: string, eventInitDict?: DragEventInit): DragEvent;
+};
+
+/**
+ * Inherits properties from its parent, AudioNode.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DynamicsCompressorNode)
+ */
+interface DynamicsCompressorNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DynamicsCompressorNode/attack) */
+ readonly attack: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DynamicsCompressorNode/knee) */
+ readonly knee: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DynamicsCompressorNode/ratio) */
+ readonly ratio: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DynamicsCompressorNode/reduction) */
+ readonly reduction: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DynamicsCompressorNode/release) */
+ readonly release: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DynamicsCompressorNode/threshold) */
+ readonly threshold: AudioParam;
+}
+
+declare var DynamicsCompressorNode: {
+ prototype: DynamicsCompressorNode;
+ new(context: BaseAudioContext, options?: DynamicsCompressorOptions): DynamicsCompressorNode;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_blend_minmax) */
+interface EXT_blend_minmax {
+ readonly MIN_EXT: 0x8007;
+ readonly MAX_EXT: 0x8008;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_color_buffer_float) */
+interface EXT_color_buffer_float {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_color_buffer_half_float) */
+interface EXT_color_buffer_half_float {
+ readonly RGBA16F_EXT: 0x881A;
+ readonly RGB16F_EXT: 0x881B;
+ readonly FRAMEBUFFER_ATTACHMENT_COMPONENT_TYPE_EXT: 0x8211;
+ readonly UNSIGNED_NORMALIZED_EXT: 0x8C17;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_float_blend) */
+interface EXT_float_blend {
+}
+
+/**
+ * The EXT_frag_depth extension is part of the WebGL API and enables to set a depth value of a fragment from within the fragment shader.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_frag_depth)
+ */
+interface EXT_frag_depth {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_sRGB) */
+interface EXT_sRGB {
+ readonly SRGB_EXT: 0x8C40;
+ readonly SRGB_ALPHA_EXT: 0x8C42;
+ readonly SRGB8_ALPHA8_EXT: 0x8C43;
+ readonly FRAMEBUFFER_ATTACHMENT_COLOR_ENCODING_EXT: 0x8210;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_shader_texture_lod) */
+interface EXT_shader_texture_lod {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_texture_compression_bptc) */
+interface EXT_texture_compression_bptc {
+ readonly COMPRESSED_RGBA_BPTC_UNORM_EXT: 0x8E8C;
+ readonly COMPRESSED_SRGB_ALPHA_BPTC_UNORM_EXT: 0x8E8D;
+ readonly COMPRESSED_RGB_BPTC_SIGNED_FLOAT_EXT: 0x8E8E;
+ readonly COMPRESSED_RGB_BPTC_UNSIGNED_FLOAT_EXT: 0x8E8F;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_texture_compression_rgtc) */
+interface EXT_texture_compression_rgtc {
+ readonly COMPRESSED_RED_RGTC1_EXT: 0x8DBB;
+ readonly COMPRESSED_SIGNED_RED_RGTC1_EXT: 0x8DBC;
+ readonly COMPRESSED_RED_GREEN_RGTC2_EXT: 0x8DBD;
+ readonly COMPRESSED_SIGNED_RED_GREEN_RGTC2_EXT: 0x8DBE;
+}
+
+/**
+ * The EXT_texture_filter_anisotropic extension is part of the WebGL API and exposes two constants for anisotropic filtering (AF).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_texture_filter_anisotropic)
+ */
+interface EXT_texture_filter_anisotropic {
+ readonly TEXTURE_MAX_ANISOTROPY_EXT: 0x84FE;
+ readonly MAX_TEXTURE_MAX_ANISOTROPY_EXT: 0x84FF;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_texture_norm16) */
+interface EXT_texture_norm16 {
+ readonly R16_EXT: 0x822A;
+ readonly RG16_EXT: 0x822C;
+ readonly RGB16_EXT: 0x8054;
+ readonly RGBA16_EXT: 0x805B;
+ readonly R16_SNORM_EXT: 0x8F98;
+ readonly RG16_SNORM_EXT: 0x8F99;
+ readonly RGB16_SNORM_EXT: 0x8F9A;
+ readonly RGBA16_SNORM_EXT: 0x8F9B;
+}
+
+interface ElementEventMap {
+ "fullscreenchange": Event;
+ "fullscreenerror": Event;
+}
+
+/**
+ * Element is the most general base class from which all objects in a Document inherit. It only has methods and properties common to all kinds of elements. More specific classes inherit from Element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element)
+ */
+interface Element extends Node, ARIAMixin, Animatable, ChildNode, InnerHTML, NonDocumentTypeChildNode, ParentNode, Slottable {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/attributes) */
+ readonly attributes: NamedNodeMap;
+ /**
+ * Allows for manipulation of element's class content attribute as a set of whitespace-separated tokens through a DOMTokenList object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/classList)
+ */
+ readonly classList: DOMTokenList;
+ /**
+ * Returns the value of element's class content attribute. Can be set to change it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/className)
+ */
+ className: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/clientHeight) */
+ readonly clientHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/clientLeft) */
+ readonly clientLeft: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/clientTop) */
+ readonly clientTop: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/clientWidth) */
+ readonly clientWidth: number;
+ /**
+ * Returns the value of element's id content attribute. Can be set to change it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/id)
+ */
+ id: string;
+ /**
+ * Returns the local name.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/localName)
+ */
+ readonly localName: string;
+ /**
+ * Returns the namespace.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/namespaceURI)
+ */
+ readonly namespaceURI: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/fullscreenchange_event) */
+ onfullscreenchange: ((this: Element, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/fullscreenerror_event) */
+ onfullscreenerror: ((this: Element, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/outerHTML) */
+ outerHTML: string;
+ readonly ownerDocument: Document;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/part) */
+ readonly part: DOMTokenList;
+ /**
+ * Returns the namespace prefix.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/prefix)
+ */
+ readonly prefix: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/scrollHeight) */
+ readonly scrollHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/scrollLeft) */
+ scrollLeft: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/scrollTop) */
+ scrollTop: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/scrollWidth) */
+ readonly scrollWidth: number;
+ /**
+ * Returns element's shadow root, if any, and if shadow root's mode is "open", and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/shadowRoot)
+ */
+ readonly shadowRoot: ShadowRoot | null;
+ /**
+ * Returns the value of element's slot content attribute. Can be set to change it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/slot)
+ */
+ slot: string;
+ /**
+ * Returns the HTML-uppercased qualified name.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/tagName)
+ */
+ readonly tagName: string;
+ /**
+ * Creates a shadow root for element and returns it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/attachShadow)
+ */
+ attachShadow(init: ShadowRootInit): ShadowRoot;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/checkVisibility) */
+ checkVisibility(options?: CheckVisibilityOptions): boolean;
+ /**
+ * Returns the first (starting at element) inclusive ancestor that matches selectors, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/closest)
+ */
+ closest<K extends keyof HTMLElementTagNameMap>(selector: K): HTMLElementTagNameMap[K] | null;
+ closest<K extends keyof SVGElementTagNameMap>(selector: K): SVGElementTagNameMap[K] | null;
+ closest<K extends keyof MathMLElementTagNameMap>(selector: K): MathMLElementTagNameMap[K] | null;
+ closest<E extends Element = Element>(selectors: string): E | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/computedStyleMap) */
+ computedStyleMap(): StylePropertyMapReadOnly;
+ /**
+ * Returns element's first attribute whose qualified name is qualifiedName, and null if there is no such attribute otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getAttribute)
+ */
+ getAttribute(qualifiedName: string): string | null;
+ /**
+ * Returns element's attribute whose namespace is namespace and local name is localName, and null if there is no such attribute otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getAttributeNS)
+ */
+ getAttributeNS(namespace: string | null, localName: string): string | null;
+ /**
+ * Returns the qualified names of all element's attributes. Can contain duplicates.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getAttributeNames)
+ */
+ getAttributeNames(): string[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getAttributeNode) */
+ getAttributeNode(qualifiedName: string): Attr | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getAttributeNodeNS) */
+ getAttributeNodeNS(namespace: string | null, localName: string): Attr | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getBoundingClientRect) */
+ getBoundingClientRect(): DOMRect;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getClientRects) */
+ getClientRects(): DOMRectList;
+ /**
+ * Returns a HTMLCollection of the elements in the object on which the method was invoked (a document or an element) that have all the classes given by classNames. The classNames argument is interpreted as a space-separated list of classes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getElementsByClassName)
+ */
+ getElementsByClassName(classNames: string): HTMLCollectionOf<Element>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getElementsByTagName) */
+ getElementsByTagName<K extends keyof HTMLElementTagNameMap>(qualifiedName: K): HTMLCollectionOf<HTMLElementTagNameMap[K]>;
+ getElementsByTagName<K extends keyof SVGElementTagNameMap>(qualifiedName: K): HTMLCollectionOf<SVGElementTagNameMap[K]>;
+ getElementsByTagName<K extends keyof MathMLElementTagNameMap>(qualifiedName: K): HTMLCollectionOf<MathMLElementTagNameMap[K]>;
+ /** @deprecated */
+ getElementsByTagName<K extends keyof HTMLElementDeprecatedTagNameMap>(qualifiedName: K): HTMLCollectionOf<HTMLElementDeprecatedTagNameMap[K]>;
+ getElementsByTagName(qualifiedName: string): HTMLCollectionOf<Element>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/getElementsByTagNameNS) */
+ getElementsByTagNameNS(namespaceURI: "http://www.w3.org/1999/xhtml", localName: string): HTMLCollectionOf<HTMLElement>;
+ getElementsByTagNameNS(namespaceURI: "http://www.w3.org/2000/svg", localName: string): HTMLCollectionOf<SVGElement>;
+ getElementsByTagNameNS(namespaceURI: "http://www.w3.org/1998/Math/MathML", localName: string): HTMLCollectionOf<MathMLElement>;
+ getElementsByTagNameNS(namespace: string | null, localName: string): HTMLCollectionOf<Element>;
+ /**
+ * Returns true if element has an attribute whose qualified name is qualifiedName, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/hasAttribute)
+ */
+ hasAttribute(qualifiedName: string): boolean;
+ /**
+ * Returns true if element has an attribute whose namespace is namespace and local name is localName.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/hasAttributeNS)
+ */
+ hasAttributeNS(namespace: string | null, localName: string): boolean;
+ /**
+ * Returns true if element has attributes, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/hasAttributes)
+ */
+ hasAttributes(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/hasPointerCapture) */
+ hasPointerCapture(pointerId: number): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/insertAdjacentElement) */
+ insertAdjacentElement(where: InsertPosition, element: Element): Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/insertAdjacentHTML) */
+ insertAdjacentHTML(position: InsertPosition, text: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/insertAdjacentText) */
+ insertAdjacentText(where: InsertPosition, data: string): void;
+ /**
+ * Returns true if matching selectors against element's root yields element, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/matches)
+ */
+ matches(selectors: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/releasePointerCapture) */
+ releasePointerCapture(pointerId: number): void;
+ /**
+ * Removes element's first attribute whose qualified name is qualifiedName.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/removeAttribute)
+ */
+ removeAttribute(qualifiedName: string): void;
+ /**
+ * Removes element's attribute whose namespace is namespace and local name is localName.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/removeAttributeNS)
+ */
+ removeAttributeNS(namespace: string | null, localName: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/removeAttributeNode) */
+ removeAttributeNode(attr: Attr): Attr;
+ /**
+ * Displays element fullscreen and resolves promise when done.
+ *
+ * When supplied, options's navigationUI member indicates whether showing navigation UI while in fullscreen is preferred or not. If set to "show", navigation simplicity is preferred over screen space, and if set to "hide", more screen space is preferred. User agents are always free to honor user preference over the application's. The default value "auto" indicates no application preference.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/requestFullscreen)
+ */
+ requestFullscreen(options?: FullscreenOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/requestPointerLock) */
+ requestPointerLock(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/scroll) */
+ scroll(options?: ScrollToOptions): void;
+ scroll(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/scrollBy) */
+ scrollBy(options?: ScrollToOptions): void;
+ scrollBy(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/scrollIntoView) */
+ scrollIntoView(arg?: boolean | ScrollIntoViewOptions): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/scrollTo) */
+ scrollTo(options?: ScrollToOptions): void;
+ scrollTo(x: number, y: number): void;
+ /**
+ * Sets the value of element's first attribute whose qualified name is qualifiedName to value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/setAttribute)
+ */
+ setAttribute(qualifiedName: string, value: string): void;
+ /**
+ * Sets the value of element's attribute whose namespace is namespace and local name is localName to value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/setAttributeNS)
+ */
+ setAttributeNS(namespace: string | null, qualifiedName: string, value: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/setAttributeNode) */
+ setAttributeNode(attr: Attr): Attr | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/setAttributeNodeNS) */
+ setAttributeNodeNS(attr: Attr): Attr | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/setPointerCapture) */
+ setPointerCapture(pointerId: number): void;
+ /**
+ * If force is not given, "toggles" qualifiedName, removing it if it is present and adding it if it is not present. If force is true, adds qualifiedName. If force is false, removes qualifiedName.
+ *
+ * Returns true if qualifiedName is now present, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/toggleAttribute)
+ */
+ toggleAttribute(qualifiedName: string, force?: boolean): boolean;
+ /**
+ * @deprecated This is a legacy alias of \`matches\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/matches)
+ */
+ webkitMatchesSelector(selectors: string): boolean;
+ addEventListener<K extends keyof ElementEventMap>(type: K, listener: (this: Element, ev: ElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ElementEventMap>(type: K, listener: (this: Element, ev: ElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Element: {
+ prototype: Element;
+ new(): Element;
+};
+
+interface ElementCSSInlineStyle {
+ readonly attributeStyleMap: StylePropertyMap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/style) */
+ readonly style: CSSStyleDeclaration;
+}
+
+interface ElementContentEditable {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/contentEditable) */
+ contentEditable: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/enterKeyHint) */
+ enterKeyHint: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/inputMode) */
+ inputMode: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/isContentEditable) */
+ readonly isContentEditable: boolean;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals) */
+interface ElementInternals extends ARIAMixin {
+ /**
+ * Returns the form owner of internals's target element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/form)
+ */
+ readonly form: HTMLFormElement | null;
+ /**
+ * Returns a NodeList of all the label elements that internals's target element is associated with.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/labels)
+ */
+ readonly labels: NodeList;
+ /**
+ * Returns the ShadowRoot for internals's target element, if the target element is a shadow host, or null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/shadowRoot)
+ */
+ readonly shadowRoot: ShadowRoot | null;
+ /**
+ * Returns the error message that would be shown to the user if internals's target element was to be checked for validity.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/validationMessage)
+ */
+ readonly validationMessage: string;
+ /**
+ * Returns the ValidityState object for internals's target element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/validity)
+ */
+ readonly validity: ValidityState;
+ /**
+ * Returns true if internals's target element will be validated when the form is submitted; false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/willValidate)
+ */
+ readonly willValidate: boolean;
+ /**
+ * Returns true if internals's target element has no validity problems; false otherwise. Fires an invalid event at the element in the latter case.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/checkValidity)
+ */
+ checkValidity(): boolean;
+ /**
+ * Returns true if internals's target element has no validity problems; otherwise, returns false, fires an invalid event at the element, and (if the event isn't canceled) reports the problem to the user.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/reportValidity)
+ */
+ reportValidity(): boolean;
+ /**
+ * Sets both the state and submission value of internals's target element to value.
+ *
+ * If value is null, the element won't participate in form submission.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/setFormValue)
+ */
+ setFormValue(value: File | string | FormData | null, state?: File | string | FormData | null): void;
+ /**
+ * Marks internals's target element as suffering from the constraints indicated by the flags argument, and sets the element's validation message to message. If anchor is specified, the user agent might use it to indicate problems with the constraints of internals's target element when the form owner is validated interactively or reportValidity() is called.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ElementInternals/setValidity)
+ */
+ setValidity(flags?: ValidityStateFlags, message?: string, anchor?: HTMLElement): void;
+}
+
+declare var ElementInternals: {
+ prototype: ElementInternals;
+ new(): ElementInternals;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk) */
+interface EncodedVideoChunk {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/byteLength) */
+ readonly byteLength: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/duration) */
+ readonly duration: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/timestamp) */
+ readonly timestamp: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/type) */
+ readonly type: EncodedVideoChunkType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/copyTo) */
+ copyTo(destination: AllowSharedBufferSource): void;
+}
+
+declare var EncodedVideoChunk: {
+ prototype: EncodedVideoChunk;
+ new(init: EncodedVideoChunkInit): EncodedVideoChunk;
+};
+
+/**
+ * Events providing information related to errors in scripts or in files.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent)
+ */
+interface ErrorEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/colno) */
+ readonly colno: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/error) */
+ readonly error: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/filename) */
+ readonly filename: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/lineno) */
+ readonly lineno: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/message) */
+ readonly message: string;
+}
+
+declare var ErrorEvent: {
+ prototype: ErrorEvent;
+ new(type: string, eventInitDict?: ErrorEventInit): ErrorEvent;
+};
+
+/**
+ * An event which takes place in the DOM.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event)
+ */
+interface Event {
+ /**
+ * Returns true or false depending on how event was initialized. True if event goes through its target's ancestors in reverse tree order, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/bubbles)
+ */
+ readonly bubbles: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/cancelBubble)
+ */
+ cancelBubble: boolean;
+ /**
+ * Returns true or false depending on how event was initialized. Its return value does not always carry meaning, but true can indicate that part of the operation during which event was dispatched, can be canceled by invoking the preventDefault() method.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/cancelable)
+ */
+ readonly cancelable: boolean;
+ /**
+ * Returns true or false depending on how event was initialized. True if event invokes listeners past a ShadowRoot node that is the root of its target, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/composed)
+ */
+ readonly composed: boolean;
+ /**
+ * Returns the object whose event listener's callback is currently being invoked.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/currentTarget)
+ */
+ readonly currentTarget: EventTarget | null;
+ /**
+ * Returns true if preventDefault() was invoked successfully to indicate cancelation, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/defaultPrevented)
+ */
+ readonly defaultPrevented: boolean;
+ /**
+ * Returns the event's phase, which is one of NONE, CAPTURING_PHASE, AT_TARGET, and BUBBLING_PHASE.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/eventPhase)
+ */
+ readonly eventPhase: number;
+ /**
+ * Returns true if event was dispatched by the user agent, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/isTrusted)
+ */
+ readonly isTrusted: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/returnValue)
+ */
+ returnValue: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/srcElement)
+ */
+ readonly srcElement: EventTarget | null;
+ /**
+ * Returns the object to which event is dispatched (its target).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/target)
+ */
+ readonly target: EventTarget | null;
+ /**
+ * Returns the event's timestamp as the number of milliseconds measured relative to the time origin.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/timeStamp)
+ */
+ readonly timeStamp: DOMHighResTimeStamp;
+ /**
+ * Returns the type of event, e.g. "click", "hashchange", or "submit".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/type)
+ */
+ readonly type: string;
+ /**
+ * Returns the invocation target objects of event's path (objects on which listeners will be invoked), except for any nodes in shadow trees of which the shadow root's mode is "closed" that are not reachable from event's currentTarget.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/composedPath)
+ */
+ composedPath(): EventTarget[];
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/initEvent)
+ */
+ initEvent(type: string, bubbles?: boolean, cancelable?: boolean): void;
+ /**
+ * If invoked when the cancelable attribute value is true, and while executing a listener for the event with passive set to false, signals to the operation that caused event to be dispatched that it needs to be canceled.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/preventDefault)
+ */
+ preventDefault(): void;
+ /**
+ * Invoking this method prevents event from reaching any registered event listeners after the current one finishes running and, when dispatched in a tree, also prevents event from reaching any other objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/stopImmediatePropagation)
+ */
+ stopImmediatePropagation(): void;
+ /**
+ * When dispatched in a tree, invoking this method prevents event from reaching any objects other than the current object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/stopPropagation)
+ */
+ stopPropagation(): void;
+ readonly NONE: 0;
+ readonly CAPTURING_PHASE: 1;
+ readonly AT_TARGET: 2;
+ readonly BUBBLING_PHASE: 3;
+}
+
+declare var Event: {
+ prototype: Event;
+ new(type: string, eventInitDict?: EventInit): Event;
+ readonly NONE: 0;
+ readonly CAPTURING_PHASE: 1;
+ readonly AT_TARGET: 2;
+ readonly BUBBLING_PHASE: 3;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventCounts) */
+interface EventCounts {
+ forEach(callbackfn: (value: number, key: string, parent: EventCounts) => void, thisArg?: any): void;
+}
+
+declare var EventCounts: {
+ prototype: EventCounts;
+ new(): EventCounts;
+};
+
+interface EventListener {
+ (evt: Event): void;
+}
+
+interface EventListenerObject {
+ handleEvent(object: Event): void;
+}
+
+interface EventSourceEventMap {
+ "error": Event;
+ "message": MessageEvent;
+ "open": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource) */
+interface EventSource extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/error_event) */
+ onerror: ((this: EventSource, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/message_event) */
+ onmessage: ((this: EventSource, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/open_event) */
+ onopen: ((this: EventSource, ev: Event) => any) | null;
+ /**
+ * Returns the state of this EventSource object's connection. It can have the values described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/readyState)
+ */
+ readonly readyState: number;
+ /**
+ * Returns the URL providing the event stream.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/url)
+ */
+ readonly url: string;
+ /**
+ * Returns true if the credentials mode for connection requests to the URL providing the event stream is set to "include", and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/withCredentials)
+ */
+ readonly withCredentials: boolean;
+ /**
+ * Aborts any instances of the fetch algorithm started for this EventSource object, and sets the readyState attribute to CLOSED.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/close)
+ */
+ close(): void;
+ readonly CONNECTING: 0;
+ readonly OPEN: 1;
+ readonly CLOSED: 2;
+ addEventListener<K extends keyof EventSourceEventMap>(type: K, listener: (this: EventSource, ev: EventSourceEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: (this: EventSource, event: MessageEvent) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof EventSourceEventMap>(type: K, listener: (this: EventSource, ev: EventSourceEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: (this: EventSource, event: MessageEvent) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var EventSource: {
+ prototype: EventSource;
+ new(url: string | URL, eventSourceInitDict?: EventSourceInit): EventSource;
+ readonly CONNECTING: 0;
+ readonly OPEN: 1;
+ readonly CLOSED: 2;
+};
+
+/**
+ * EventTarget is a DOM interface implemented by objects that can receive events and may have listeners for them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget)
+ */
+interface EventTarget {
+ /**
+ * Appends an event listener for events whose type attribute value is type. The callback argument sets the callback that will be invoked when the event is dispatched.
+ *
+ * The options argument sets listener-specific options. For compatibility this can be a boolean, in which case the method behaves exactly as if the value was specified as options's capture.
+ *
+ * When set to true, options's capture prevents callback from being invoked when the event's eventPhase attribute value is BUBBLING_PHASE. When false (or not present), callback will not be invoked when event's eventPhase attribute value is CAPTURING_PHASE. Either way, callback will be invoked if event's eventPhase attribute value is AT_TARGET.
+ *
+ * When set to true, options's passive indicates that the callback will not cancel the event by invoking preventDefault(). This is used to enable performance optimizations described in § 2.8 Observing event listeners.
+ *
+ * When set to true, options's once indicates that the callback will only be invoked once after which the event listener will be removed.
+ *
+ * If an AbortSignal is passed for options's signal, then the event listener will be removed when signal is aborted.
+ *
+ * The event listener is appended to target's event listener list and is not appended if it has the same type, callback, and capture.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/addEventListener)
+ */
+ addEventListener(type: string, callback: EventListenerOrEventListenerObject | null, options?: AddEventListenerOptions | boolean): void;
+ /**
+ * Dispatches a synthetic event event to target and returns true if either event's cancelable attribute value is false or its preventDefault() method was not invoked, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/dispatchEvent)
+ */
+ dispatchEvent(event: Event): boolean;
+ /**
+ * Removes the event listener in target's event listener list with the same type, callback, and options.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/removeEventListener)
+ */
+ removeEventListener(type: string, callback: EventListenerOrEventListenerObject | null, options?: EventListenerOptions | boolean): void;
+}
+
+declare var EventTarget: {
+ prototype: EventTarget;
+ new(): EventTarget;
+};
+
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/External)
+ */
+interface External {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/External/AddSearchProvider)
+ */
+ AddSearchProvider(): void;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/External/IsSearchProviderInstalled)
+ */
+ IsSearchProviderInstalled(): void;
+}
+
+/** @deprecated */
+declare var External: {
+ prototype: External;
+ new(): External;
+};
+
+/**
+ * Provides information about files and allows JavaScript in a web page to access their content.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/File)
+ */
+interface File extends Blob {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/File/lastModified) */
+ readonly lastModified: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/File/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/File/webkitRelativePath) */
+ readonly webkitRelativePath: string;
+}
+
+declare var File: {
+ prototype: File;
+ new(fileBits: BlobPart[], fileName: string, options?: FilePropertyBag): File;
+};
+
+/**
+ * An object of this type is returned by the files property of the HTML <input> element; this lets you access the list of files selected with the <input type="file"> element. It's also used for a list of files dropped into web content when using the drag and drop API; see the DataTransfer object for details on this usage.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileList)
+ */
+interface FileList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileList/item) */
+ item(index: number): File | null;
+ [index: number]: File;
+}
+
+declare var FileList: {
+ prototype: FileList;
+ new(): FileList;
+};
+
+interface FileReaderEventMap {
+ "abort": ProgressEvent<FileReader>;
+ "error": ProgressEvent<FileReader>;
+ "load": ProgressEvent<FileReader>;
+ "loadend": ProgressEvent<FileReader>;
+ "loadstart": ProgressEvent<FileReader>;
+ "progress": ProgressEvent<FileReader>;
+}
+
+/**
+ * Lets web applications asynchronously read the contents of files (or raw data buffers) stored on the user's computer, using File or Blob objects to specify the file or data to read.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader)
+ */
+interface FileReader extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/error) */
+ readonly error: DOMException | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/abort_event) */
+ onabort: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/error_event) */
+ onerror: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/load_event) */
+ onload: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/loadend_event) */
+ onloadend: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/loadstart_event) */
+ onloadstart: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/progress_event) */
+ onprogress: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readyState) */
+ readonly readyState: typeof FileReader.EMPTY | typeof FileReader.LOADING | typeof FileReader.DONE;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/result) */
+ readonly result: string | ArrayBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/abort) */
+ abort(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readAsArrayBuffer) */
+ readAsArrayBuffer(blob: Blob): void;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readAsBinaryString)
+ */
+ readAsBinaryString(blob: Blob): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readAsDataURL) */
+ readAsDataURL(blob: Blob): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readAsText) */
+ readAsText(blob: Blob, encoding?: string): void;
+ readonly EMPTY: 0;
+ readonly LOADING: 1;
+ readonly DONE: 2;
+ addEventListener<K extends keyof FileReaderEventMap>(type: K, listener: (this: FileReader, ev: FileReaderEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof FileReaderEventMap>(type: K, listener: (this: FileReader, ev: FileReaderEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var FileReader: {
+ prototype: FileReader;
+ new(): FileReader;
+ readonly EMPTY: 0;
+ readonly LOADING: 1;
+ readonly DONE: 2;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystem) */
+interface FileSystem {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystem/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystem/root) */
+ readonly root: FileSystemDirectoryEntry;
+}
+
+declare var FileSystem: {
+ prototype: FileSystem;
+ new(): FileSystem;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryEntry) */
+interface FileSystemDirectoryEntry extends FileSystemEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryEntry/createReader) */
+ createReader(): FileSystemDirectoryReader;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryEntry/getDirectory) */
+ getDirectory(path?: string | null, options?: FileSystemFlags, successCallback?: FileSystemEntryCallback, errorCallback?: ErrorCallback): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryEntry/getFile) */
+ getFile(path?: string | null, options?: FileSystemFlags, successCallback?: FileSystemEntryCallback, errorCallback?: ErrorCallback): void;
+}
+
+declare var FileSystemDirectoryEntry: {
+ prototype: FileSystemDirectoryEntry;
+ new(): FileSystemDirectoryEntry;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle)
+ */
+interface FileSystemDirectoryHandle extends FileSystemHandle {
+ readonly kind: "directory";
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle/getDirectoryHandle) */
+ getDirectoryHandle(name: string, options?: FileSystemGetDirectoryOptions): Promise<FileSystemDirectoryHandle>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle/getFileHandle) */
+ getFileHandle(name: string, options?: FileSystemGetFileOptions): Promise<FileSystemFileHandle>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle/removeEntry) */
+ removeEntry(name: string, options?: FileSystemRemoveOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle/resolve) */
+ resolve(possibleDescendant: FileSystemHandle): Promise<string[] | null>;
+}
+
+declare var FileSystemDirectoryHandle: {
+ prototype: FileSystemDirectoryHandle;
+ new(): FileSystemDirectoryHandle;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryReader) */
+interface FileSystemDirectoryReader {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryReader/readEntries) */
+ readEntries(successCallback: FileSystemEntriesCallback, errorCallback?: ErrorCallback): void;
+}
+
+declare var FileSystemDirectoryReader: {
+ prototype: FileSystemDirectoryReader;
+ new(): FileSystemDirectoryReader;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemEntry) */
+interface FileSystemEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemEntry/filesystem) */
+ readonly filesystem: FileSystem;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemEntry/fullPath) */
+ readonly fullPath: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemEntry/isDirectory) */
+ readonly isDirectory: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemEntry/isFile) */
+ readonly isFile: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemEntry/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemEntry/getParent) */
+ getParent(successCallback?: FileSystemEntryCallback, errorCallback?: ErrorCallback): void;
+}
+
+declare var FileSystemEntry: {
+ prototype: FileSystemEntry;
+ new(): FileSystemEntry;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileEntry) */
+interface FileSystemFileEntry extends FileSystemEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileEntry/file) */
+ file(successCallback: FileCallback, errorCallback?: ErrorCallback): void;
+}
+
+declare var FileSystemFileEntry: {
+ prototype: FileSystemFileEntry;
+ new(): FileSystemFileEntry;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileHandle)
+ */
+interface FileSystemFileHandle extends FileSystemHandle {
+ readonly kind: "file";
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileHandle/createWritable) */
+ createWritable(options?: FileSystemCreateWritableOptions): Promise<FileSystemWritableFileStream>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileHandle/getFile) */
+ getFile(): Promise<File>;
+}
+
+declare var FileSystemFileHandle: {
+ prototype: FileSystemFileHandle;
+ new(): FileSystemFileHandle;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemHandle)
+ */
+interface FileSystemHandle {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemHandle/kind) */
+ readonly kind: FileSystemHandleKind;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemHandle/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemHandle/isSameEntry) */
+ isSameEntry(other: FileSystemHandle): Promise<boolean>;
+}
+
+declare var FileSystemHandle: {
+ prototype: FileSystemHandle;
+ new(): FileSystemHandle;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemWritableFileStream)
+ */
+interface FileSystemWritableFileStream extends WritableStream {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemWritableFileStream/seek) */
+ seek(position: number): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemWritableFileStream/truncate) */
+ truncate(size: number): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemWritableFileStream/write) */
+ write(data: FileSystemWriteChunkType): Promise<void>;
+}
+
+declare var FileSystemWritableFileStream: {
+ prototype: FileSystemWritableFileStream;
+ new(): FileSystemWritableFileStream;
+};
+
+/**
+ * Focus-related events like focus, blur, focusin, or focusout.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FocusEvent)
+ */
+interface FocusEvent extends UIEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FocusEvent/relatedTarget) */
+ readonly relatedTarget: EventTarget | null;
+}
+
+declare var FocusEvent: {
+ prototype: FocusEvent;
+ new(type: string, eventInitDict?: FocusEventInit): FocusEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace) */
+interface FontFace {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/ascentOverride) */
+ ascentOverride: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/descentOverride) */
+ descentOverride: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/display) */
+ display: FontDisplay;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/family) */
+ family: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/featureSettings) */
+ featureSettings: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/lineGapOverride) */
+ lineGapOverride: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/loaded) */
+ readonly loaded: Promise<FontFace>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/status) */
+ readonly status: FontFaceLoadStatus;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/stretch) */
+ stretch: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/style) */
+ style: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/unicodeRange) */
+ unicodeRange: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/weight) */
+ weight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/load) */
+ load(): Promise<FontFace>;
+}
+
+declare var FontFace: {
+ prototype: FontFace;
+ new(family: string, source: string | BinaryData, descriptors?: FontFaceDescriptors): FontFace;
+};
+
+interface FontFaceSetEventMap {
+ "loading": Event;
+ "loadingdone": Event;
+ "loadingerror": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet) */
+interface FontFaceSet extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/loading_event) */
+ onloading: ((this: FontFaceSet, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/loadingdone_event) */
+ onloadingdone: ((this: FontFaceSet, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/loadingerror_event) */
+ onloadingerror: ((this: FontFaceSet, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/ready) */
+ readonly ready: Promise<FontFaceSet>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/status) */
+ readonly status: FontFaceSetLoadStatus;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/check) */
+ check(font: string, text?: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/load) */
+ load(font: string, text?: string): Promise<FontFace[]>;
+ forEach(callbackfn: (value: FontFace, key: FontFace, parent: FontFaceSet) => void, thisArg?: any): void;
+ addEventListener<K extends keyof FontFaceSetEventMap>(type: K, listener: (this: FontFaceSet, ev: FontFaceSetEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof FontFaceSetEventMap>(type: K, listener: (this: FontFaceSet, ev: FontFaceSetEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var FontFaceSet: {
+ prototype: FontFaceSet;
+ new(initialFaces: FontFace[]): FontFaceSet;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSetLoadEvent) */
+interface FontFaceSetLoadEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSetLoadEvent/fontfaces) */
+ readonly fontfaces: ReadonlyArray<FontFace>;
+}
+
+declare var FontFaceSetLoadEvent: {
+ prototype: FontFaceSetLoadEvent;
+ new(type: string, eventInitDict?: FontFaceSetLoadEventInit): FontFaceSetLoadEvent;
+};
+
+interface FontFaceSource {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fonts) */
+ readonly fonts: FontFaceSet;
+}
+
+/**
+ * Provides a way to easily construct a set of key/value pairs representing form fields and their values, which can then be easily sent using the XMLHttpRequest.send() method. It uses the same format a form would use if the encoding type were set to "multipart/form-data".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData)
+ */
+interface FormData {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/append) */
+ append(name: string, value: string | Blob): void;
+ append(name: string, value: string): void;
+ append(name: string, blobValue: Blob, filename?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/delete) */
+ delete(name: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/get) */
+ get(name: string): FormDataEntryValue | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/getAll) */
+ getAll(name: string): FormDataEntryValue[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/has) */
+ has(name: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/set) */
+ set(name: string, value: string | Blob): void;
+ set(name: string, value: string): void;
+ set(name: string, blobValue: Blob, filename?: string): void;
+ forEach(callbackfn: (value: FormDataEntryValue, key: string, parent: FormData) => void, thisArg?: any): void;
+}
+
+declare var FormData: {
+ prototype: FormData;
+ new(form?: HTMLFormElement, submitter?: HTMLElement | null): FormData;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormDataEvent) */
+interface FormDataEvent extends Event {
+ /**
+ * Returns a FormData object representing names and values of elements associated to the target form. Operations on the FormData object will affect form data to be submitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormDataEvent/formData)
+ */
+ readonly formData: FormData;
+}
+
+declare var FormDataEvent: {
+ prototype: FormDataEvent;
+ new(type: string, eventInitDict: FormDataEventInit): FormDataEvent;
+};
+
+/**
+ * A change in volume. It is an AudioNode audio-processing module that causes a given gain to be applied to the input data before its propagation to the output. A GainNode always has exactly one input and one output, both with the same number of channels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/GainNode)
+ */
+interface GainNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GainNode/gain) */
+ readonly gain: AudioParam;
+}
+
+declare var GainNode: {
+ prototype: GainNode;
+ new(context: BaseAudioContext, options?: GainOptions): GainNode;
+};
+
+/**
+ * This Gamepad API interface defines an individual gamepad or other controller, allowing access to information such as button presses, axis positions, and id.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Gamepad)
+ */
+interface Gamepad {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Gamepad/axes) */
+ readonly axes: ReadonlyArray<number>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Gamepad/buttons) */
+ readonly buttons: ReadonlyArray<GamepadButton>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Gamepad/connected) */
+ readonly connected: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Gamepad/id) */
+ readonly id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Gamepad/index) */
+ readonly index: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Gamepad/mapping) */
+ readonly mapping: GamepadMappingType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Gamepad/timestamp) */
+ readonly timestamp: DOMHighResTimeStamp;
+ readonly vibrationActuator: GamepadHapticActuator | null;
+}
+
+declare var Gamepad: {
+ prototype: Gamepad;
+ new(): Gamepad;
+};
+
+/**
+ * An individual button of a gamepad or other controller, allowing access to the current state of different types of buttons available on the control device.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/GamepadButton)
+ */
+interface GamepadButton {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GamepadButton/pressed) */
+ readonly pressed: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GamepadButton/touched) */
+ readonly touched: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GamepadButton/value) */
+ readonly value: number;
+}
+
+declare var GamepadButton: {
+ prototype: GamepadButton;
+ new(): GamepadButton;
+};
+
+/**
+ * This Gamepad API interface contains references to gamepads connected to the system, which is what the gamepad events Window.gamepadconnected and Window.gamepaddisconnected are fired in response to.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/GamepadEvent)
+ */
+interface GamepadEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GamepadEvent/gamepad) */
+ readonly gamepad: Gamepad;
+}
+
+declare var GamepadEvent: {
+ prototype: GamepadEvent;
+ new(type: string, eventInitDict: GamepadEventInit): GamepadEvent;
+};
+
+/**
+ * This Gamepad API interface represents hardware in the controller designed to provide haptic feedback to the user (if available), most commonly vibration hardware.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/GamepadHapticActuator)
+ */
+interface GamepadHapticActuator {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GamepadHapticActuator/type) */
+ readonly type: GamepadHapticActuatorType;
+ playEffect(type: GamepadHapticEffectType, params?: GamepadEffectParameters): Promise<GamepadHapticsResult>;
+ reset(): Promise<GamepadHapticsResult>;
+}
+
+declare var GamepadHapticActuator: {
+ prototype: GamepadHapticActuator;
+ new(): GamepadHapticActuator;
+};
+
+interface GenericTransformStream {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompressionStream/readable) */
+ readonly readable: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompressionStream/writable) */
+ readonly writable: WritableStream;
+}
+
+/**
+ * An object able to programmatically obtain the position of the device. It gives Web content access to the location of the device. This allows a Web site or app to offer customized results based on the user's location.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Geolocation)
+ */
+interface Geolocation {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Geolocation/clearWatch) */
+ clearWatch(watchId: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Geolocation/getCurrentPosition) */
+ getCurrentPosition(successCallback: PositionCallback, errorCallback?: PositionErrorCallback | null, options?: PositionOptions): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Geolocation/watchPosition) */
+ watchPosition(successCallback: PositionCallback, errorCallback?: PositionErrorCallback | null, options?: PositionOptions): number;
+}
+
+declare var Geolocation: {
+ prototype: Geolocation;
+ new(): Geolocation;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationCoordinates)
+ */
+interface GeolocationCoordinates {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationCoordinates/accuracy) */
+ readonly accuracy: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationCoordinates/altitude) */
+ readonly altitude: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationCoordinates/altitudeAccuracy) */
+ readonly altitudeAccuracy: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationCoordinates/heading) */
+ readonly heading: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationCoordinates/latitude) */
+ readonly latitude: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationCoordinates/longitude) */
+ readonly longitude: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationCoordinates/speed) */
+ readonly speed: number | null;
+}
+
+declare var GeolocationCoordinates: {
+ prototype: GeolocationCoordinates;
+ new(): GeolocationCoordinates;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationPosition)
+ */
+interface GeolocationPosition {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationPosition/coords) */
+ readonly coords: GeolocationCoordinates;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationPosition/timestamp) */
+ readonly timestamp: EpochTimeStamp;
+}
+
+declare var GeolocationPosition: {
+ prototype: GeolocationPosition;
+ new(): GeolocationPosition;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationPositionError) */
+interface GeolocationPositionError {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationPositionError/code) */
+ readonly code: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/GeolocationPositionError/message) */
+ readonly message: string;
+ readonly PERMISSION_DENIED: 1;
+ readonly POSITION_UNAVAILABLE: 2;
+ readonly TIMEOUT: 3;
+}
+
+declare var GeolocationPositionError: {
+ prototype: GeolocationPositionError;
+ new(): GeolocationPositionError;
+ readonly PERMISSION_DENIED: 1;
+ readonly POSITION_UNAVAILABLE: 2;
+ readonly TIMEOUT: 3;
+};
+
+interface GlobalEventHandlersEventMap {
+ "abort": UIEvent;
+ "animationcancel": AnimationEvent;
+ "animationend": AnimationEvent;
+ "animationiteration": AnimationEvent;
+ "animationstart": AnimationEvent;
+ "auxclick": MouseEvent;
+ "beforeinput": InputEvent;
+ "beforetoggle": Event;
+ "blur": FocusEvent;
+ "cancel": Event;
+ "canplay": Event;
+ "canplaythrough": Event;
+ "change": Event;
+ "click": MouseEvent;
+ "close": Event;
+ "compositionend": CompositionEvent;
+ "compositionstart": CompositionEvent;
+ "compositionupdate": CompositionEvent;
+ "contextmenu": MouseEvent;
+ "copy": ClipboardEvent;
+ "cuechange": Event;
+ "cut": ClipboardEvent;
+ "dblclick": MouseEvent;
+ "drag": DragEvent;
+ "dragend": DragEvent;
+ "dragenter": DragEvent;
+ "dragleave": DragEvent;
+ "dragover": DragEvent;
+ "dragstart": DragEvent;
+ "drop": DragEvent;
+ "durationchange": Event;
+ "emptied": Event;
+ "ended": Event;
+ "error": ErrorEvent;
+ "focus": FocusEvent;
+ "focusin": FocusEvent;
+ "focusout": FocusEvent;
+ "formdata": FormDataEvent;
+ "gotpointercapture": PointerEvent;
+ "input": Event;
+ "invalid": Event;
+ "keydown": KeyboardEvent;
+ "keypress": KeyboardEvent;
+ "keyup": KeyboardEvent;
+ "load": Event;
+ "loadeddata": Event;
+ "loadedmetadata": Event;
+ "loadstart": Event;
+ "lostpointercapture": PointerEvent;
+ "mousedown": MouseEvent;
+ "mouseenter": MouseEvent;
+ "mouseleave": MouseEvent;
+ "mousemove": MouseEvent;
+ "mouseout": MouseEvent;
+ "mouseover": MouseEvent;
+ "mouseup": MouseEvent;
+ "paste": ClipboardEvent;
+ "pause": Event;
+ "play": Event;
+ "playing": Event;
+ "pointercancel": PointerEvent;
+ "pointerdown": PointerEvent;
+ "pointerenter": PointerEvent;
+ "pointerleave": PointerEvent;
+ "pointermove": PointerEvent;
+ "pointerout": PointerEvent;
+ "pointerover": PointerEvent;
+ "pointerup": PointerEvent;
+ "progress": ProgressEvent;
+ "ratechange": Event;
+ "reset": Event;
+ "resize": UIEvent;
+ "scroll": Event;
+ "scrollend": Event;
+ "securitypolicyviolation": SecurityPolicyViolationEvent;
+ "seeked": Event;
+ "seeking": Event;
+ "select": Event;
+ "selectionchange": Event;
+ "selectstart": Event;
+ "slotchange": Event;
+ "stalled": Event;
+ "submit": SubmitEvent;
+ "suspend": Event;
+ "timeupdate": Event;
+ "toggle": Event;
+ "touchcancel": TouchEvent;
+ "touchend": TouchEvent;
+ "touchmove": TouchEvent;
+ "touchstart": TouchEvent;
+ "transitioncancel": TransitionEvent;
+ "transitionend": TransitionEvent;
+ "transitionrun": TransitionEvent;
+ "transitionstart": TransitionEvent;
+ "volumechange": Event;
+ "waiting": Event;
+ "webkitanimationend": Event;
+ "webkitanimationiteration": Event;
+ "webkitanimationstart": Event;
+ "webkittransitionend": Event;
+ "wheel": WheelEvent;
+}
+
+interface GlobalEventHandlers {
+ /**
+ * Fires when the user aborts the download.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/abort_event)
+ */
+ onabort: ((this: GlobalEventHandlers, ev: UIEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationcancel_event) */
+ onanimationcancel: ((this: GlobalEventHandlers, ev: AnimationEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationend_event) */
+ onanimationend: ((this: GlobalEventHandlers, ev: AnimationEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationiteration_event) */
+ onanimationiteration: ((this: GlobalEventHandlers, ev: AnimationEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationstart_event) */
+ onanimationstart: ((this: GlobalEventHandlers, ev: AnimationEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/auxclick_event) */
+ onauxclick: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/beforeinput_event) */
+ onbeforeinput: ((this: GlobalEventHandlers, ev: InputEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/beforetoggle_event) */
+ onbeforetoggle: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Fires when the object loses the input focus.
+ * @param ev The focus event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/blur_event)
+ */
+ onblur: ((this: GlobalEventHandlers, ev: FocusEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/cancel_event) */
+ oncancel: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when playback is possible, but would require further buffering.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/canplay_event)
+ */
+ oncanplay: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/canplaythrough_event) */
+ oncanplaythrough: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Fires when the contents of the object or selection have changed.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/change_event)
+ */
+ onchange: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Fires when the user clicks the left mouse button on the object
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/click_event)
+ */
+ onclick: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/close_event) */
+ onclose: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Fires when the user clicks the right mouse button in the client area, opening the context menu.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/contextmenu_event)
+ */
+ oncontextmenu: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/copy_event) */
+ oncopy: ((this: GlobalEventHandlers, ev: ClipboardEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/cuechange_event) */
+ oncuechange: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/cut_event) */
+ oncut: ((this: GlobalEventHandlers, ev: ClipboardEvent) => any) | null;
+ /**
+ * Fires when the user double-clicks the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/dblclick_event)
+ */
+ ondblclick: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /**
+ * Fires on the source object continuously during a drag operation.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/drag_event)
+ */
+ ondrag: ((this: GlobalEventHandlers, ev: DragEvent) => any) | null;
+ /**
+ * Fires on the source object when the user releases the mouse at the close of a drag operation.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragend_event)
+ */
+ ondragend: ((this: GlobalEventHandlers, ev: DragEvent) => any) | null;
+ /**
+ * Fires on the target element when the user drags the object to a valid drop target.
+ * @param ev The drag event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragenter_event)
+ */
+ ondragenter: ((this: GlobalEventHandlers, ev: DragEvent) => any) | null;
+ /**
+ * Fires on the target object when the user moves the mouse out of a valid drop target during a drag operation.
+ * @param ev The drag event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragleave_event)
+ */
+ ondragleave: ((this: GlobalEventHandlers, ev: DragEvent) => any) | null;
+ /**
+ * Fires on the target element continuously while the user drags the object over a valid drop target.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragover_event)
+ */
+ ondragover: ((this: GlobalEventHandlers, ev: DragEvent) => any) | null;
+ /**
+ * Fires on the source object when the user starts to drag a text selection or selected object.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragstart_event)
+ */
+ ondragstart: ((this: GlobalEventHandlers, ev: DragEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/drop_event) */
+ ondrop: ((this: GlobalEventHandlers, ev: DragEvent) => any) | null;
+ /**
+ * Occurs when the duration attribute is updated.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/durationchange_event)
+ */
+ ondurationchange: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when the media element is reset to its initial state.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/emptied_event)
+ */
+ onemptied: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when the end of playback is reached.
+ * @param ev The event
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/ended_event)
+ */
+ onended: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Fires when an error occurs during object loading.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/error_event)
+ */
+ onerror: OnErrorEventHandler;
+ /**
+ * Fires when the object receives focus.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/focus_event)
+ */
+ onfocus: ((this: GlobalEventHandlers, ev: FocusEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/formdata_event) */
+ onformdata: ((this: GlobalEventHandlers, ev: FormDataEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/gotpointercapture_event) */
+ ongotpointercapture: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/input_event) */
+ oninput: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/invalid_event) */
+ oninvalid: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Fires when the user presses a key.
+ * @param ev The keyboard event
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/keydown_event)
+ */
+ onkeydown: ((this: GlobalEventHandlers, ev: KeyboardEvent) => any) | null;
+ /**
+ * Fires when the user presses an alphanumeric key.
+ * @param ev The event.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/keypress_event)
+ */
+ onkeypress: ((this: GlobalEventHandlers, ev: KeyboardEvent) => any) | null;
+ /**
+ * Fires when the user releases a key.
+ * @param ev The keyboard event
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/keyup_event)
+ */
+ onkeyup: ((this: GlobalEventHandlers, ev: KeyboardEvent) => any) | null;
+ /**
+ * Fires immediately after the browser loads the object.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGElement/load_event)
+ */
+ onload: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when media data is loaded at the current playback position.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/loadeddata_event)
+ */
+ onloadeddata: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when the duration and dimensions of the media have been determined.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/loadedmetadata_event)
+ */
+ onloadedmetadata: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when Internet Explorer begins looking for media data.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/loadstart_event)
+ */
+ onloadstart: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/lostpointercapture_event) */
+ onlostpointercapture: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /**
+ * Fires when the user clicks the object with either mouse button.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mousedown_event)
+ */
+ onmousedown: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseenter_event) */
+ onmouseenter: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseleave_event) */
+ onmouseleave: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /**
+ * Fires when the user moves the mouse over the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mousemove_event)
+ */
+ onmousemove: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /**
+ * Fires when the user moves the mouse pointer outside the boundaries of the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseout_event)
+ */
+ onmouseout: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /**
+ * Fires when the user moves the mouse pointer into the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseover_event)
+ */
+ onmouseover: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /**
+ * Fires when the user releases a mouse button while the mouse is over the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseup_event)
+ */
+ onmouseup: ((this: GlobalEventHandlers, ev: MouseEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/paste_event) */
+ onpaste: ((this: GlobalEventHandlers, ev: ClipboardEvent) => any) | null;
+ /**
+ * Occurs when playback is paused.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/pause_event)
+ */
+ onpause: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when the play method is requested.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/play_event)
+ */
+ onplay: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when the audio or video has started playing.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/playing_event)
+ */
+ onplaying: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointercancel_event) */
+ onpointercancel: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerdown_event) */
+ onpointerdown: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerenter_event) */
+ onpointerenter: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerleave_event) */
+ onpointerleave: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointermove_event) */
+ onpointermove: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerout_event) */
+ onpointerout: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerover_event) */
+ onpointerover: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerup_event) */
+ onpointerup: ((this: GlobalEventHandlers, ev: PointerEvent) => any) | null;
+ /**
+ * Occurs to indicate progress while downloading media data.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/progress_event)
+ */
+ onprogress: ((this: GlobalEventHandlers, ev: ProgressEvent) => any) | null;
+ /**
+ * Occurs when the playback rate is increased or decreased.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/ratechange_event)
+ */
+ onratechange: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Fires when the user resets a form.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/reset_event)
+ */
+ onreset: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/resize_event) */
+ onresize: ((this: GlobalEventHandlers, ev: UIEvent) => any) | null;
+ /**
+ * Fires when the user repositions the scroll box in the scroll bar on the object.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/scroll_event)
+ */
+ onscroll: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/scrollend_event) */
+ onscrollend: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/securitypolicyviolation_event) */
+ onsecuritypolicyviolation: ((this: GlobalEventHandlers, ev: SecurityPolicyViolationEvent) => any) | null;
+ /**
+ * Occurs when the seek operation ends.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/seeked_event)
+ */
+ onseeked: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when the current playback position is moved.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/seeking_event)
+ */
+ onseeking: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Fires when the current selection changes.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/select_event)
+ */
+ onselect: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/selectionchange_event) */
+ onselectionchange: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/selectstart_event) */
+ onselectstart: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSlotElement/slotchange_event) */
+ onslotchange: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when the download has stopped.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/stalled_event)
+ */
+ onstalled: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/submit_event) */
+ onsubmit: ((this: GlobalEventHandlers, ev: SubmitEvent) => any) | null;
+ /**
+ * Occurs if the load operation has been intentionally halted.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/suspend_event)
+ */
+ onsuspend: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs to indicate the current playback position.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/timeupdate_event)
+ */
+ ontimeupdate: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDetailsElement/toggle_event) */
+ ontoggle: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/touchcancel_event) */
+ ontouchcancel?: ((this: GlobalEventHandlers, ev: TouchEvent) => any) | null | undefined;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/touchend_event) */
+ ontouchend?: ((this: GlobalEventHandlers, ev: TouchEvent) => any) | null | undefined;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/touchmove_event) */
+ ontouchmove?: ((this: GlobalEventHandlers, ev: TouchEvent) => any) | null | undefined;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/touchstart_event) */
+ ontouchstart?: ((this: GlobalEventHandlers, ev: TouchEvent) => any) | null | undefined;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitioncancel_event) */
+ ontransitioncancel: ((this: GlobalEventHandlers, ev: TransitionEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitionend_event) */
+ ontransitionend: ((this: GlobalEventHandlers, ev: TransitionEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitionrun_event) */
+ ontransitionrun: ((this: GlobalEventHandlers, ev: TransitionEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitionstart_event) */
+ ontransitionstart: ((this: GlobalEventHandlers, ev: TransitionEvent) => any) | null;
+ /**
+ * Occurs when the volume is changed, or playback is muted or unmuted.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/volumechange_event)
+ */
+ onvolumechange: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * Occurs when playback stops because the next frame of a video resource is not available.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/waiting_event)
+ */
+ onwaiting: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * @deprecated This is a legacy alias of \`onanimationend\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationend_event)
+ */
+ onwebkitanimationend: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * @deprecated This is a legacy alias of \`onanimationiteration\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationiteration_event)
+ */
+ onwebkitanimationiteration: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * @deprecated This is a legacy alias of \`onanimationstart\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationstart_event)
+ */
+ onwebkitanimationstart: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /**
+ * @deprecated This is a legacy alias of \`ontransitionend\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitionend_event)
+ */
+ onwebkittransitionend: ((this: GlobalEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/wheel_event) */
+ onwheel: ((this: GlobalEventHandlers, ev: WheelEvent) => any) | null;
+ addEventListener<K extends keyof GlobalEventHandlersEventMap>(type: K, listener: (this: GlobalEventHandlers, ev: GlobalEventHandlersEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof GlobalEventHandlersEventMap>(type: K, listener: (this: GlobalEventHandlers, ev: GlobalEventHandlersEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAllCollection) */
+interface HTMLAllCollection {
+ /**
+ * Returns the number of elements in the collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAllCollection/length)
+ */
+ readonly length: number;
+ /**
+ * Returns the item with index index from the collection (determined by tree order).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAllCollection/item)
+ */
+ item(nameOrIndex?: string): HTMLCollection | Element | null;
+ /**
+ * Returns the item with ID or name name from the collection.
+ *
+ * If there are multiple matching items, then an HTMLCollection object containing all those elements is returned.
+ *
+ * Only button, form, iframe, input, map, meta, object, select, and textarea elements can have a name for the purpose of this method; their name is given by the value of their name attribute.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAllCollection/namedItem)
+ */
+ namedItem(name: string): HTMLCollection | Element | null;
+ [index: number]: Element;
+}
+
+declare var HTMLAllCollection: {
+ prototype: HTMLAllCollection;
+ new(): HTMLAllCollection;
+};
+
+/**
+ * Hyperlink elements and provides special properties and methods (beyond those of the regular HTMLElement object interface that they inherit from) for manipulating the layout and presentation of such elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement)
+ */
+interface HTMLAnchorElement extends HTMLElement, HTMLHyperlinkElementUtils {
+ /**
+ * Sets or retrieves the character set used to encode the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/charset)
+ */
+ charset: string;
+ /**
+ * Sets or retrieves the coordinates of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/coords)
+ */
+ coords: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/download) */
+ download: string;
+ /**
+ * Sets or retrieves the language code of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/hreflang)
+ */
+ hreflang: string;
+ /**
+ * Sets or retrieves the shape of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/name)
+ */
+ name: string;
+ ping: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/referrerPolicy) */
+ referrerPolicy: string;
+ /**
+ * Sets or retrieves the relationship between the object and the destination of the link.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/rel)
+ */
+ rel: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/relList) */
+ readonly relList: DOMTokenList;
+ /**
+ * Sets or retrieves the relationship between the object and the destination of the link.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/rev)
+ */
+ rev: string;
+ /**
+ * Sets or retrieves the shape of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/shape)
+ */
+ shape: string;
+ /**
+ * Sets or retrieves the window or frame at which to target content.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/target)
+ */
+ target: string;
+ /**
+ * Retrieves or sets the text of the object as a string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/text)
+ */
+ text: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/type) */
+ type: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLAnchorElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLAnchorElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLAnchorElement: {
+ prototype: HTMLAnchorElement;
+ new(): HTMLAnchorElement;
+};
+
+/**
+ * Provides special properties and methods (beyond those of the regular object HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of <area> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement)
+ */
+interface HTMLAreaElement extends HTMLElement, HTMLHyperlinkElementUtils {
+ /**
+ * Sets or retrieves a text alternative to the graphic.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/alt)
+ */
+ alt: string;
+ /**
+ * Sets or retrieves the coordinates of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/coords)
+ */
+ coords: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/download) */
+ download: string;
+ /**
+ * Sets or gets whether clicks in this region cause action.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/noHref)
+ */
+ noHref: boolean;
+ ping: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/referrerPolicy) */
+ referrerPolicy: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/rel) */
+ rel: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/relList) */
+ readonly relList: DOMTokenList;
+ /**
+ * Sets or retrieves the shape of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/shape)
+ */
+ shape: string;
+ /**
+ * Sets or retrieves the window or frame at which to target content.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAreaElement/target)
+ */
+ target: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLAreaElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLAreaElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLAreaElement: {
+ prototype: HTMLAreaElement;
+ new(): HTMLAreaElement;
+};
+
+/**
+ * Provides access to the properties of <audio> elements, as well as methods to manipulate them. It derives from the HTMLMediaElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAudioElement)
+ */
+interface HTMLAudioElement extends HTMLMediaElement {
+ addEventListener<K extends keyof HTMLMediaElementEventMap>(type: K, listener: (this: HTMLAudioElement, ev: HTMLMediaElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLMediaElementEventMap>(type: K, listener: (this: HTMLAudioElement, ev: HTMLMediaElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLAudioElement: {
+ prototype: HTMLAudioElement;
+ new(): HTMLAudioElement;
+};
+
+/**
+ * A HTML line break element (<br>). It inherits from HTMLElement.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBRElement)
+ */
+interface HTMLBRElement extends HTMLElement {
+ /**
+ * Sets or retrieves the side on which floating objects are not to be positioned when any IHTMLBlockElement is inserted into the document.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBRElement/clear)
+ */
+ clear: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLBRElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLBRElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLBRElement: {
+ prototype: HTMLBRElement;
+ new(): HTMLBRElement;
+};
+
+/**
+ * Contains the base URI for a document. This object inherits all of the properties and methods as described in the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBaseElement)
+ */
+interface HTMLBaseElement extends HTMLElement {
+ /**
+ * Gets or sets the baseline URL on which relative links are based.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBaseElement/href)
+ */
+ href: string;
+ /**
+ * Sets or retrieves the window or frame at which to target content.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBaseElement/target)
+ */
+ target: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLBaseElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLBaseElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLBaseElement: {
+ prototype: HTMLBaseElement;
+ new(): HTMLBaseElement;
+};
+
+interface HTMLBodyElementEventMap extends HTMLElementEventMap, WindowEventHandlersEventMap {
+}
+
+/**
+ * Provides special properties (beyond those inherited from the regular HTMLElement interface) for manipulating <body> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBodyElement)
+ */
+interface HTMLBodyElement extends HTMLElement, WindowEventHandlers {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBodyElement/aLink)
+ */
+ aLink: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBodyElement/background)
+ */
+ background: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBodyElement/bgColor)
+ */
+ bgColor: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBodyElement/link)
+ */
+ link: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBodyElement/text)
+ */
+ text: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLBodyElement/vLink)
+ */
+ vLink: string;
+ addEventListener<K extends keyof HTMLBodyElementEventMap>(type: K, listener: (this: HTMLBodyElement, ev: HTMLBodyElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLBodyElementEventMap>(type: K, listener: (this: HTMLBodyElement, ev: HTMLBodyElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLBodyElement: {
+ prototype: HTMLBodyElement;
+ new(): HTMLBodyElement;
+};
+
+/**
+ * Provides properties and methods (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating <button> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement)
+ */
+interface HTMLButtonElement extends HTMLElement, PopoverInvokerElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/disabled) */
+ disabled: boolean;
+ /**
+ * Retrieves a reference to the form that the object is embedded in.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/form)
+ */
+ readonly form: HTMLFormElement | null;
+ /**
+ * Overrides the action attribute (where the data on a form is sent) on the parent form element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/formAction)
+ */
+ formAction: string;
+ /**
+ * Used to override the encoding (formEnctype attribute) specified on the form element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/formEnctype)
+ */
+ formEnctype: string;
+ /**
+ * Overrides the submit method attribute previously specified on a form element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/formMethod)
+ */
+ formMethod: string;
+ /**
+ * Overrides any validation or required attributes on a form or form elements to allow it to be submitted without validation. This can be used to create a "save draft"-type submit option.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/formNoValidate)
+ */
+ formNoValidate: boolean;
+ /**
+ * Overrides the target attribute on a form element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/formTarget)
+ */
+ formTarget: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/labels) */
+ readonly labels: NodeListOf<HTMLLabelElement>;
+ /**
+ * Sets or retrieves the name of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/name)
+ */
+ name: string;
+ /**
+ * Gets the classification and default behavior of the button.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/type)
+ */
+ type: "submit" | "reset" | "button";
+ /**
+ * Returns the error message that would be displayed if the user submits the form, or an empty string if no error message. It also triggers the standard error message, such as "this is a required field". The result is that the user sees validation messages without actually submitting.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/validationMessage)
+ */
+ readonly validationMessage: string;
+ /**
+ * Returns a ValidityState object that represents the validity states of an element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/validity)
+ */
+ readonly validity: ValidityState;
+ /**
+ * Sets or retrieves the default or selected value of the control.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/value)
+ */
+ value: string;
+ /**
+ * Returns whether an element will successfully validate based on forms validation rules and constraints.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/willValidate)
+ */
+ readonly willValidate: boolean;
+ /** Returns whether a form will validate when it is submitted, without having to submit it. */
+ checkValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/reportValidity) */
+ reportValidity(): boolean;
+ /**
+ * Sets a custom error message that is displayed when a form is submitted.
+ * @param error Sets a custom error message that is displayed when a form is submitted.
+ */
+ setCustomValidity(error: string): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLButtonElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLButtonElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLButtonElement: {
+ prototype: HTMLButtonElement;
+ new(): HTMLButtonElement;
+};
+
+/**
+ * Provides properties and methods for manipulating the layout and presentation of <canvas> elements. The HTMLCanvasElement interface also inherits the properties and methods of the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCanvasElement)
+ */
+interface HTMLCanvasElement extends HTMLElement {
+ /**
+ * Gets or sets the height of a canvas element on a document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCanvasElement/height)
+ */
+ height: number;
+ /**
+ * Gets or sets the width of a canvas element on a document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCanvasElement/width)
+ */
+ width: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCanvasElement/captureStream) */
+ captureStream(frameRequestRate?: number): MediaStream;
+ /**
+ * Returns an object that provides methods and properties for drawing and manipulating images and graphics on a canvas element in a document. A context object includes information about colors, line widths, fonts, and other graphic parameters that can be drawn on a canvas.
+ * @param contextId The identifier (ID) of the type of canvas to create. Internet Explorer 9 and Internet Explorer 10 support only a 2-D context using canvas.getContext("2d"); IE11 Preview also supports 3-D or WebGL context using canvas.getContext("experimental-webgl");
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCanvasElement/getContext)
+ */
+ getContext(contextId: "2d", options?: CanvasRenderingContext2DSettings): CanvasRenderingContext2D | null;
+ getContext(contextId: "bitmaprenderer", options?: ImageBitmapRenderingContextSettings): ImageBitmapRenderingContext | null;
+ getContext(contextId: "webgl", options?: WebGLContextAttributes): WebGLRenderingContext | null;
+ getContext(contextId: "webgl2", options?: WebGLContextAttributes): WebGL2RenderingContext | null;
+ getContext(contextId: string, options?: any): RenderingContext | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCanvasElement/toBlob) */
+ toBlob(callback: BlobCallback, type?: string, quality?: any): void;
+ /**
+ * Returns the content of the current canvas as an image that you can use as a source for another canvas or an HTML element.
+ * @param type The standard MIME type for the image format to return. If you do not specify this parameter, the default value is a PNG format image.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCanvasElement/toDataURL)
+ */
+ toDataURL(type?: string, quality?: any): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCanvasElement/transferControlToOffscreen) */
+ transferControlToOffscreen(): OffscreenCanvas;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLCanvasElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLCanvasElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLCanvasElement: {
+ prototype: HTMLCanvasElement;
+ new(): HTMLCanvasElement;
+};
+
+/**
+ * A generic collection (array-like object similar to arguments) of elements (in document order) and offers methods and properties for selecting from the list.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCollection)
+ */
+interface HTMLCollectionBase {
+ /**
+ * Sets or retrieves the number of objects in a collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCollection/length)
+ */
+ readonly length: number;
+ /**
+ * Retrieves an object from various collections.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCollection/item)
+ */
+ item(index: number): Element | null;
+ [index: number]: Element;
+}
+
+interface HTMLCollection extends HTMLCollectionBase {
+ /**
+ * Retrieves a select object or an object from an options collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLCollection/namedItem)
+ */
+ namedItem(name: string): Element | null;
+}
+
+declare var HTMLCollection: {
+ prototype: HTMLCollection;
+ new(): HTMLCollection;
+};
+
+interface HTMLCollectionOf<T extends Element> extends HTMLCollectionBase {
+ item(index: number): T | null;
+ namedItem(name: string): T | null;
+ [index: number]: T;
+}
+
+/**
+ * Provides special properties (beyond those of the regular HTMLElement interface it also has available to it by inheritance) for manipulating definition list (<dl>) elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDListElement)
+ */
+interface HTMLDListElement extends HTMLElement {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDListElement/compact)
+ */
+ compact: boolean;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDListElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDListElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLDListElement: {
+ prototype: HTMLDListElement;
+ new(): HTMLDListElement;
+};
+
+/**
+ * Provides special properties (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating <data> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDataElement)
+ */
+interface HTMLDataElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDataElement/value) */
+ value: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDataElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDataElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLDataElement: {
+ prototype: HTMLDataElement;
+ new(): HTMLDataElement;
+};
+
+/**
+ * Provides special properties (beyond the HTMLElement object interface it also has available to it by inheritance) to manipulate <datalist> elements and their content.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDataListElement)
+ */
+interface HTMLDataListElement extends HTMLElement {
+ /**
+ * Returns an HTMLCollection of the option elements of the datalist element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDataListElement/options)
+ */
+ readonly options: HTMLCollectionOf<HTMLOptionElement>;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDataListElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDataListElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLDataListElement: {
+ prototype: HTMLDataListElement;
+ new(): HTMLDataListElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDetailsElement) */
+interface HTMLDetailsElement extends HTMLElement {
+ name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDetailsElement/open) */
+ open: boolean;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDetailsElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDetailsElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLDetailsElement: {
+ prototype: HTMLDetailsElement;
+ new(): HTMLDetailsElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement) */
+interface HTMLDialogElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/open) */
+ open: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/returnValue) */
+ returnValue: string;
+ /**
+ * Closes the dialog element.
+ *
+ * The argument, if provided, provides a return value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/close)
+ */
+ close(returnValue?: string): void;
+ /**
+ * Displays the dialog element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/show)
+ */
+ show(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/showModal) */
+ showModal(): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDialogElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDialogElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLDialogElement: {
+ prototype: HTMLDialogElement;
+ new(): HTMLDialogElement;
+};
+
+/** @deprecated */
+interface HTMLDirectoryElement extends HTMLElement {
+ /** @deprecated */
+ compact: boolean;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDirectoryElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDirectoryElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** @deprecated */
+declare var HTMLDirectoryElement: {
+ prototype: HTMLDirectoryElement;
+ new(): HTMLDirectoryElement;
+};
+
+/**
+ * Provides special properties (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating <div> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDivElement)
+ */
+interface HTMLDivElement extends HTMLElement {
+ /**
+ * Sets or retrieves how the object is aligned with adjacent text.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDivElement/align)
+ */
+ align: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDivElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLDivElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLDivElement: {
+ prototype: HTMLDivElement;
+ new(): HTMLDivElement;
+};
+
+/** @deprecated use Document */
+interface HTMLDocument extends Document {
+ addEventListener<K extends keyof DocumentEventMap>(type: K, listener: (this: HTMLDocument, ev: DocumentEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof DocumentEventMap>(type: K, listener: (this: HTMLDocument, ev: DocumentEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** @deprecated */
+declare var HTMLDocument: {
+ prototype: HTMLDocument;
+ new(): HTMLDocument;
+};
+
+interface HTMLElementEventMap extends ElementEventMap, GlobalEventHandlersEventMap {
+}
+
+/**
+ * Any HTML element. Some elements directly implement this interface, while others implement it via an interface that inherits it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement)
+ */
+interface HTMLElement extends Element, ElementCSSInlineStyle, ElementContentEditable, GlobalEventHandlers, HTMLOrSVGElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/accessKey) */
+ accessKey: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/accessKeyLabel) */
+ readonly accessKeyLabel: string;
+ autocapitalize: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dir) */
+ dir: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/draggable) */
+ draggable: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/hidden) */
+ hidden: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/inert) */
+ inert: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/innerText) */
+ innerText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/lang) */
+ lang: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/offsetHeight) */
+ readonly offsetHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/offsetLeft) */
+ readonly offsetLeft: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/offsetParent) */
+ readonly offsetParent: Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/offsetTop) */
+ readonly offsetTop: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/offsetWidth) */
+ readonly offsetWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/outerText) */
+ outerText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/popover) */
+ popover: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/spellcheck) */
+ spellcheck: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/title) */
+ title: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/translate) */
+ translate: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/attachInternals) */
+ attachInternals(): ElementInternals;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/click) */
+ click(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/hidePopover) */
+ hidePopover(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/showPopover) */
+ showPopover(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/togglePopover) */
+ togglePopover(force?: boolean): boolean;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLElement: {
+ prototype: HTMLElement;
+ new(): HTMLElement;
+};
+
+/**
+ * Provides special properties (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating <embed> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLEmbedElement)
+ */
+interface HTMLEmbedElement extends HTMLElement {
+ /** @deprecated */
+ align: string;
+ /** Sets or retrieves the height of the object. */
+ height: string;
+ /**
+ * Sets or retrieves the name of the object.
+ * @deprecated
+ */
+ name: string;
+ /** Sets or retrieves a URL to be loaded by the object. */
+ src: string;
+ type: string;
+ /** Sets or retrieves the width of the object. */
+ width: string;
+ getSVGDocument(): Document | null;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLEmbedElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLEmbedElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLEmbedElement: {
+ prototype: HTMLEmbedElement;
+ new(): HTMLEmbedElement;
+};
+
+/**
+ * Provides special properties and methods (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of <fieldset> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement)
+ */
+interface HTMLFieldSetElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/disabled) */
+ disabled: boolean;
+ /**
+ * Returns an HTMLCollection of the form controls in the element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/elements)
+ */
+ readonly elements: HTMLCollection;
+ /**
+ * Retrieves a reference to the form that the object is embedded in.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/form)
+ */
+ readonly form: HTMLFormElement | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/name) */
+ name: string;
+ /**
+ * Returns the string "fieldset".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/type)
+ */
+ readonly type: string;
+ /**
+ * Returns the error message that would be displayed if the user submits the form, or an empty string if no error message. It also triggers the standard error message, such as "this is a required field". The result is that the user sees validation messages without actually submitting.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/validationMessage)
+ */
+ readonly validationMessage: string;
+ /**
+ * Returns a ValidityState object that represents the validity states of an element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/validity)
+ */
+ readonly validity: ValidityState;
+ /**
+ * Returns whether an element will successfully validate based on forms validation rules and constraints.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/willValidate)
+ */
+ readonly willValidate: boolean;
+ /** Returns whether a form will validate when it is submitted, without having to submit it. */
+ checkValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFieldSetElement/reportValidity) */
+ reportValidity(): boolean;
+ /**
+ * Sets a custom error message that is displayed when a form is submitted.
+ * @param error Sets a custom error message that is displayed when a form is submitted.
+ */
+ setCustomValidity(error: string): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLFieldSetElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLFieldSetElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLFieldSetElement: {
+ prototype: HTMLFieldSetElement;
+ new(): HTMLFieldSetElement;
+};
+
+/**
+ * Implements the document object model (DOM) representation of the font element. The HTML Font Element <font> defines the font size, font face and color of text.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFontElement)
+ */
+interface HTMLFontElement extends HTMLElement {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFontElement/color)
+ */
+ color: string;
+ /**
+ * Sets or retrieves the current typeface family.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFontElement/face)
+ */
+ face: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFontElement/size)
+ */
+ size: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLFontElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLFontElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** @deprecated */
+declare var HTMLFontElement: {
+ prototype: HTMLFontElement;
+ new(): HTMLFontElement;
+};
+
+/**
+ * A collection of HTML form control elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormControlsCollection)
+ */
+interface HTMLFormControlsCollection extends HTMLCollectionBase {
+ /**
+ * Returns the item with ID or name name from the collection.
+ *
+ * If there are multiple matching items, then a RadioNodeList object containing all those elements is returned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormControlsCollection/namedItem)
+ */
+ namedItem(name: string): RadioNodeList | Element | null;
+}
+
+declare var HTMLFormControlsCollection: {
+ prototype: HTMLFormControlsCollection;
+ new(): HTMLFormControlsCollection;
+};
+
+/**
+ * A <form> element in the DOM; it allows access to and in some cases modification of aspects of the form, as well as access to its component elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement)
+ */
+interface HTMLFormElement extends HTMLElement {
+ /**
+ * Sets or retrieves a list of character encodings for input data that must be accepted by the server processing the form.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/acceptCharset)
+ */
+ acceptCharset: string;
+ /**
+ * Sets or retrieves the URL to which the form content is sent for processing.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/action)
+ */
+ action: string;
+ /**
+ * Specifies whether autocomplete is applied to an editable text field.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/autocomplete)
+ */
+ autocomplete: AutoFillBase;
+ /**
+ * Retrieves a collection, in source order, of all controls in a given form.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/elements)
+ */
+ readonly elements: HTMLFormControlsCollection;
+ /**
+ * Sets or retrieves the MIME encoding for the form.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/encoding)
+ */
+ encoding: string;
+ /**
+ * Sets or retrieves the encoding type for the form.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/enctype)
+ */
+ enctype: string;
+ /**
+ * Sets or retrieves the number of objects in a collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/length)
+ */
+ readonly length: number;
+ /**
+ * Sets or retrieves how to send the form data to the server.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/method)
+ */
+ method: string;
+ /**
+ * Sets or retrieves the name of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/name)
+ */
+ name: string;
+ /**
+ * Designates a form that is not validated when submitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/noValidate)
+ */
+ noValidate: boolean;
+ rel: string;
+ readonly relList: DOMTokenList;
+ /**
+ * Sets or retrieves the window or frame at which to target content.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/target)
+ */
+ target: string;
+ /**
+ * Returns whether a form will validate when it is submitted, without having to submit it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/checkValidity)
+ */
+ checkValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/reportValidity) */
+ reportValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/requestSubmit) */
+ requestSubmit(submitter?: HTMLElement | null): void;
+ /**
+ * Fires when the user resets a form.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/reset)
+ */
+ reset(): void;
+ /**
+ * Fires when a FORM is about to be submitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/submit)
+ */
+ submit(): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLFormElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLFormElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+ [index: number]: Element;
+ [name: string]: any;
+}
+
+declare var HTMLFormElement: {
+ prototype: HTMLFormElement;
+ new(): HTMLFormElement;
+};
+
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement)
+ */
+interface HTMLFrameElement extends HTMLElement {
+ /**
+ * Retrieves the document object of the page or frame.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/contentDocument)
+ */
+ readonly contentDocument: Document | null;
+ /**
+ * Retrieves the object of the specified.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/contentWindow)
+ */
+ readonly contentWindow: WindowProxy | null;
+ /**
+ * Sets or retrieves whether to display a border for the frame.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/frameBorder)
+ */
+ frameBorder: string;
+ /**
+ * Sets or retrieves a URI to a long description of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/longDesc)
+ */
+ longDesc: string;
+ /**
+ * Sets or retrieves the top and bottom margin heights before displaying the text in a frame.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/marginHeight)
+ */
+ marginHeight: string;
+ /**
+ * Sets or retrieves the left and right margin widths before displaying the text in a frame.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/marginWidth)
+ */
+ marginWidth: string;
+ /**
+ * Sets or retrieves the frame name.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/name)
+ */
+ name: string;
+ /**
+ * Sets or retrieves whether the user can resize the frame.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/noResize)
+ */
+ noResize: boolean;
+ /**
+ * Sets or retrieves whether the frame can be scrolled.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/scrolling)
+ */
+ scrolling: string;
+ /**
+ * Sets or retrieves a URL to be loaded by the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameElement/src)
+ */
+ src: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLFrameElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLFrameElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** @deprecated */
+declare var HTMLFrameElement: {
+ prototype: HTMLFrameElement;
+ new(): HTMLFrameElement;
+};
+
+interface HTMLFrameSetElementEventMap extends HTMLElementEventMap, WindowEventHandlersEventMap {
+}
+
+/**
+ * Provides special properties (beyond those of the regular HTMLElement interface they also inherit) for manipulating <frameset> elements.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFrameSetElement)
+ */
+interface HTMLFrameSetElement extends HTMLElement, WindowEventHandlers {
+ /**
+ * Sets or retrieves the frame widths of the object.
+ * @deprecated
+ */
+ cols: string;
+ /**
+ * Sets or retrieves the frame heights of the object.
+ * @deprecated
+ */
+ rows: string;
+ addEventListener<K extends keyof HTMLFrameSetElementEventMap>(type: K, listener: (this: HTMLFrameSetElement, ev: HTMLFrameSetElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLFrameSetElementEventMap>(type: K, listener: (this: HTMLFrameSetElement, ev: HTMLFrameSetElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** @deprecated */
+declare var HTMLFrameSetElement: {
+ prototype: HTMLFrameSetElement;
+ new(): HTMLFrameSetElement;
+};
+
+/**
+ * Provides special properties (beyond those of the HTMLElement interface it also has available to it by inheritance) for manipulating <hr> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLHRElement)
+ */
+interface HTMLHRElement extends HTMLElement {
+ /**
+ * Sets or retrieves how the object is aligned with adjacent text.
+ * @deprecated
+ */
+ align: string;
+ /** @deprecated */
+ color: string;
+ /**
+ * Sets or retrieves whether the horizontal rule is drawn with 3-D shading.
+ * @deprecated
+ */
+ noShade: boolean;
+ /** @deprecated */
+ size: string;
+ /**
+ * Sets or retrieves the width of the object.
+ * @deprecated
+ */
+ width: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLHRElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLHRElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLHRElement: {
+ prototype: HTMLHRElement;
+ new(): HTMLHRElement;
+};
+
+/**
+ * Contains the descriptive information, or metadata, for a document. This object inherits all of the properties and methods described in the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLHeadElement)
+ */
+interface HTMLHeadElement extends HTMLElement {
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLHeadElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLHeadElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLHeadElement: {
+ prototype: HTMLHeadElement;
+ new(): HTMLHeadElement;
+};
+
+/**
+ * The different heading elements. It inherits methods and properties from the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLHeadingElement)
+ */
+interface HTMLHeadingElement extends HTMLElement {
+ /**
+ * Sets or retrieves a value that indicates the table alignment.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLHeadingElement/align)
+ */
+ align: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLHeadingElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLHeadingElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLHeadingElement: {
+ prototype: HTMLHeadingElement;
+ new(): HTMLHeadingElement;
+};
+
+/**
+ * Serves as the root node for a given HTML document. This object inherits the properties and methods described in the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLHtmlElement)
+ */
+interface HTMLHtmlElement extends HTMLElement {
+ /**
+ * Sets or retrieves the DTD version that governs the current document.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLHtmlElement/version)
+ */
+ version: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLHtmlElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLHtmlElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLHtmlElement: {
+ prototype: HTMLHtmlElement;
+ new(): HTMLHtmlElement;
+};
+
+interface HTMLHyperlinkElementUtils {
+ /**
+ * Returns the hyperlink's URL's fragment (includes leading "#" if non-empty).
+ *
+ * Can be set, to change the URL's fragment (ignores leading "#").
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/hash)
+ */
+ hash: string;
+ /**
+ * Returns the hyperlink's URL's host and port (if different from the default port for the scheme).
+ *
+ * Can be set, to change the URL's host and port.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/host)
+ */
+ host: string;
+ /**
+ * Returns the hyperlink's URL's host.
+ *
+ * Can be set, to change the URL's host.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/hostname)
+ */
+ hostname: string;
+ /**
+ * Returns the hyperlink's URL.
+ *
+ * Can be set, to change the URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/href)
+ */
+ href: string;
+ toString(): string;
+ /**
+ * Returns the hyperlink's URL's origin.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/origin)
+ */
+ readonly origin: string;
+ /**
+ * Returns the hyperlink's URL's password.
+ *
+ * Can be set, to change the URL's password.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/password)
+ */
+ password: string;
+ /**
+ * Returns the hyperlink's URL's path.
+ *
+ * Can be set, to change the URL's path.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/pathname)
+ */
+ pathname: string;
+ /**
+ * Returns the hyperlink's URL's port.
+ *
+ * Can be set, to change the URL's port.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/port)
+ */
+ port: string;
+ /**
+ * Returns the hyperlink's URL's scheme.
+ *
+ * Can be set, to change the URL's scheme.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/protocol)
+ */
+ protocol: string;
+ /**
+ * Returns the hyperlink's URL's query (includes leading "?" if non-empty).
+ *
+ * Can be set, to change the URL's query (ignores leading "?").
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/search)
+ */
+ search: string;
+ /**
+ * Returns the hyperlink's URL's username.
+ *
+ * Can be set, to change the URL's username.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLAnchorElement/username)
+ */
+ username: string;
+}
+
+/**
+ * Provides special properties and methods (beyond those of the HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of inline frame elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement)
+ */
+interface HTMLIFrameElement extends HTMLElement {
+ /**
+ * Sets or retrieves how the object is aligned with adjacent text.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/align)
+ */
+ align: string;
+ allow: string;
+ allowFullscreen: boolean;
+ /**
+ * Retrieves the document object of the page or frame.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/contentDocument)
+ */
+ readonly contentDocument: Document | null;
+ /**
+ * Retrieves the object of the specified.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/contentWindow)
+ */
+ readonly contentWindow: WindowProxy | null;
+ /**
+ * Sets or retrieves whether to display a border for the frame.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/frameBorder)
+ */
+ frameBorder: string;
+ /**
+ * Sets or retrieves the height of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/height)
+ */
+ height: string;
+ loading: string;
+ /**
+ * Sets or retrieves a URI to a long description of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/longDesc)
+ */
+ longDesc: string;
+ /**
+ * Sets or retrieves the top and bottom margin heights before displaying the text in a frame.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/marginHeight)
+ */
+ marginHeight: string;
+ /**
+ * Sets or retrieves the left and right margin widths before displaying the text in a frame.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/marginWidth)
+ */
+ marginWidth: string;
+ /**
+ * Sets or retrieves the frame name.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/name)
+ */
+ name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/referrerPolicy) */
+ referrerPolicy: ReferrerPolicy;
+ readonly sandbox: DOMTokenList;
+ /**
+ * Sets or retrieves whether the frame can be scrolled.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/scrolling)
+ */
+ scrolling: string;
+ /**
+ * Sets or retrieves a URL to be loaded by the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/src)
+ */
+ src: string;
+ /**
+ * Sets or retrives the content of the page that is to contain.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/srcdoc)
+ */
+ srcdoc: string;
+ /**
+ * Sets or retrieves the width of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLIFrameElement/width)
+ */
+ width: string;
+ getSVGDocument(): Document | null;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLIFrameElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLIFrameElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLIFrameElement: {
+ prototype: HTMLIFrameElement;
+ new(): HTMLIFrameElement;
+};
+
+/**
+ * Provides special properties and methods for manipulating <img> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement)
+ */
+interface HTMLImageElement extends HTMLElement {
+ /**
+ * Sets or retrieves how the object is aligned with adjacent text.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/align)
+ */
+ align: string;
+ /**
+ * Sets or retrieves a text alternative to the graphic.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/alt)
+ */
+ alt: string;
+ /**
+ * Specifies the properties of a border drawn around an object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/border)
+ */
+ border: string;
+ /**
+ * Retrieves whether the object is fully loaded.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/complete)
+ */
+ readonly complete: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/crossOrigin) */
+ crossOrigin: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/currentSrc) */
+ readonly currentSrc: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/decoding) */
+ decoding: "async" | "sync" | "auto";
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/fetchPriority) */
+ fetchPriority: string;
+ /**
+ * Sets or retrieves the height of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/height)
+ */
+ height: number;
+ /**
+ * Sets or retrieves the width of the border to draw around the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/hspace)
+ */
+ hspace: number;
+ /**
+ * Sets or retrieves whether the image is a server-side image map.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/isMap)
+ */
+ isMap: boolean;
+ /**
+ * Sets or retrieves the policy for loading image elements that are outside the viewport.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/loading)
+ */
+ loading: "eager" | "lazy";
+ /**
+ * Sets or retrieves a Uniform Resource Identifier (URI) to a long description of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/longDesc)
+ */
+ longDesc: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/lowsrc)
+ */
+ lowsrc: string;
+ /**
+ * Sets or retrieves the name of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/name)
+ */
+ name: string;
+ /**
+ * The original height of the image resource before sizing.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/naturalHeight)
+ */
+ readonly naturalHeight: number;
+ /**
+ * The original width of the image resource before sizing.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/naturalWidth)
+ */
+ readonly naturalWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/referrerPolicy) */
+ referrerPolicy: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/sizes) */
+ sizes: string;
+ /**
+ * The address or URL of the a media resource that is to be considered.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/src)
+ */
+ src: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/srcset) */
+ srcset: string;
+ /**
+ * Sets or retrieves the URL, often with a bookmark extension (#name), to use as a client-side image map.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/useMap)
+ */
+ useMap: string;
+ /**
+ * Sets or retrieves the vertical margin for the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/vspace)
+ */
+ vspace: number;
+ /**
+ * Sets or retrieves the width of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/width)
+ */
+ width: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/x) */
+ readonly x: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/y) */
+ readonly y: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLImageElement/decode) */
+ decode(): Promise<void>;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLImageElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLImageElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLImageElement: {
+ prototype: HTMLImageElement;
+ new(): HTMLImageElement;
+};
+
+/**
+ * Provides special properties and methods for manipulating the options, layout, and presentation of <input> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement)
+ */
+interface HTMLInputElement extends HTMLElement, PopoverInvokerElement {
+ /** Sets or retrieves a comma-separated list of content types. */
+ accept: string;
+ /**
+ * Sets or retrieves how the object is aligned with adjacent text.
+ * @deprecated
+ */
+ align: string;
+ /** Sets or retrieves a text alternative to the graphic. */
+ alt: string;
+ /**
+ * Specifies whether autocomplete is applied to an editable text field.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/autocomplete)
+ */
+ autocomplete: AutoFill;
+ capture: string;
+ /** Sets or retrieves the state of the check box or radio button. */
+ checked: boolean;
+ /** Sets or retrieves the state of the check box or radio button. */
+ defaultChecked: boolean;
+ /** Sets or retrieves the initial contents of the object. */
+ defaultValue: string;
+ dirName: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/disabled) */
+ disabled: boolean;
+ /**
+ * Returns a FileList object on a file type input object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/files)
+ */
+ files: FileList | null;
+ /** Retrieves a reference to the form that the object is embedded in. */
+ readonly form: HTMLFormElement | null;
+ /**
+ * Overrides the action attribute (where the data on a form is sent) on the parent form element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/formAction)
+ */
+ formAction: string;
+ /**
+ * Used to override the encoding (formEnctype attribute) specified on the form element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/formEnctype)
+ */
+ formEnctype: string;
+ /**
+ * Overrides the submit method attribute previously specified on a form element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/formMethod)
+ */
+ formMethod: string;
+ /**
+ * Overrides any validation or required attributes on a form or form elements to allow it to be submitted without validation. This can be used to create a "save draft"-type submit option.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/formNoValidate)
+ */
+ formNoValidate: boolean;
+ /**
+ * Overrides the target attribute on a form element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/formTarget)
+ */
+ formTarget: string;
+ /**
+ * Sets or retrieves the height of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/height)
+ */
+ height: number;
+ /** When set, overrides the rendering of checkbox controls so that the current value is not visible. */
+ indeterminate: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/labels) */
+ readonly labels: NodeListOf<HTMLLabelElement> | null;
+ /**
+ * Specifies the ID of a pre-defined datalist of options for an input element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/list)
+ */
+ readonly list: HTMLDataListElement | null;
+ /** Defines the maximum acceptable value for an input element with type="number".When used with the min and step attributes, lets you control the range and increment (such as only even numbers) that the user can enter into an input field. */
+ max: string;
+ /** Sets or retrieves the maximum number of characters that the user can enter in a text control. */
+ maxLength: number;
+ /** Defines the minimum acceptable value for an input element with type="number". When used with the max and step attributes, lets you control the range and increment (such as even numbers only) that the user can enter into an input field. */
+ min: string;
+ minLength: number;
+ /**
+ * Sets or retrieves the Boolean value indicating whether multiple items can be selected from a list.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/multiple)
+ */
+ multiple: boolean;
+ /** Sets or retrieves the name of the object. */
+ name: string;
+ /**
+ * Gets or sets a string containing a regular expression that the user's input must match.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/pattern)
+ */
+ pattern: string;
+ /**
+ * Gets or sets a text string that is displayed in an input field as a hint or prompt to users as the format or type of information they need to enter.The text appears in an input field until the user puts focus on the field.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/placeholder)
+ */
+ placeholder: string;
+ readOnly: boolean;
+ /**
+ * When present, marks an element that can't be submitted without a value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/required)
+ */
+ required: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/selectionDirection) */
+ selectionDirection: "forward" | "backward" | "none" | null;
+ /** Gets or sets the end position or offset of a text selection. */
+ selectionEnd: number | null;
+ /** Gets or sets the starting position or offset of a text selection. */
+ selectionStart: number | null;
+ size: number;
+ /** The address or URL of the a media resource that is to be considered. */
+ src: string;
+ /** Defines an increment or jump between values that you want to allow the user to enter. When used with the max and min attributes, lets you control the range and increment (for example, allow only even numbers) that the user can enter into an input field. */
+ step: string;
+ /** Returns the content type of the object. */
+ type: string;
+ /**
+ * Sets or retrieves the URL, often with a bookmark extension (#name), to use as a client-side image map.
+ * @deprecated
+ */
+ useMap: string;
+ /**
+ * Returns the error message that would be displayed if the user submits the form, or an empty string if no error message. It also triggers the standard error message, such as "this is a required field". The result is that the user sees validation messages without actually submitting.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/validationMessage)
+ */
+ readonly validationMessage: string;
+ /**
+ * Returns a ValidityState object that represents the validity states of an element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/validity)
+ */
+ readonly validity: ValidityState;
+ /** Returns the value of the data at the cursor's current position. */
+ value: string;
+ /** Returns a Date object representing the form control's value, if applicable; otherwise, returns null. Can be set, to change the value. Throws an "InvalidStateError" DOMException if the control isn't date- or time-based. */
+ valueAsDate: Date | null;
+ /** Returns the input field value as a number. */
+ valueAsNumber: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/webkitEntries) */
+ readonly webkitEntries: ReadonlyArray<FileSystemEntry>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/webkitdirectory) */
+ webkitdirectory: boolean;
+ /**
+ * Sets or retrieves the width of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/width)
+ */
+ width: number;
+ /**
+ * Returns whether an element will successfully validate based on forms validation rules and constraints.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/willValidate)
+ */
+ readonly willValidate: boolean;
+ /**
+ * Returns whether a form will validate when it is submitted, without having to submit it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/checkValidity)
+ */
+ checkValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/reportValidity) */
+ reportValidity(): boolean;
+ /**
+ * Makes the selection equal to the current object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/select)
+ */
+ select(): void;
+ /**
+ * Sets a custom error message that is displayed when a form is submitted.
+ * @param error Sets a custom error message that is displayed when a form is submitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/setCustomValidity)
+ */
+ setCustomValidity(error: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/setRangeText) */
+ setRangeText(replacement: string): void;
+ setRangeText(replacement: string, start: number, end: number, selectionMode?: SelectionMode): void;
+ /**
+ * Sets the start and end positions of a selection in a text field.
+ * @param start The offset into the text field for the start of the selection.
+ * @param end The offset into the text field for the end of the selection.
+ * @param direction The direction in which the selection is performed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/setSelectionRange)
+ */
+ setSelectionRange(start: number | null, end: number | null, direction?: "forward" | "backward" | "none"): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/showPicker) */
+ showPicker(): void;
+ /**
+ * Decrements a range input control's value by the value given by the Step attribute. If the optional parameter is used, it will decrement the input control's step value multiplied by the parameter's value.
+ * @param n Value to decrement the value by.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/stepDown)
+ */
+ stepDown(n?: number): void;
+ /**
+ * Increments a range input control's value by the value given by the Step attribute. If the optional parameter is used, will increment the input control's value by that value.
+ * @param n Value to increment the value by.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/stepUp)
+ */
+ stepUp(n?: number): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLInputElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLInputElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLInputElement: {
+ prototype: HTMLInputElement;
+ new(): HTMLInputElement;
+};
+
+/**
+ * Exposes specific properties and methods (beyond those defined by regular HTMLElement interface it also has available to it by inheritance) for manipulating list elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLIElement)
+ */
+interface HTMLLIElement extends HTMLElement {
+ /** @deprecated */
+ type: string;
+ /** Sets or retrieves the value of a list item. */
+ value: number;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLLIElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLLIElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLLIElement: {
+ prototype: HTMLLIElement;
+ new(): HTMLLIElement;
+};
+
+/**
+ * Gives access to properties specific to <label> elements. It inherits methods and properties from the base HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLabelElement)
+ */
+interface HTMLLabelElement extends HTMLElement {
+ /**
+ * Returns the form control that is associated with this element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLabelElement/control)
+ */
+ readonly control: HTMLElement | null;
+ /**
+ * Retrieves a reference to the form that the object is embedded in.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLabelElement/form)
+ */
+ readonly form: HTMLFormElement | null;
+ /**
+ * Sets or retrieves the object to which the given label object is assigned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLabelElement/htmlFor)
+ */
+ htmlFor: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLLabelElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLLabelElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLLabelElement: {
+ prototype: HTMLLabelElement;
+ new(): HTMLLabelElement;
+};
+
+/**
+ * The HTMLLegendElement is an interface allowing to access properties of the <legend> elements. It inherits properties and methods from the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLegendElement)
+ */
+interface HTMLLegendElement extends HTMLElement {
+ /** @deprecated */
+ align: string;
+ /** Retrieves a reference to the form that the object is embedded in. */
+ readonly form: HTMLFormElement | null;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLLegendElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLLegendElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLLegendElement: {
+ prototype: HTMLLegendElement;
+ new(): HTMLLegendElement;
+};
+
+/**
+ * Reference information for external resources and the relationship of those resources to a document and vice-versa. This object inherits all of the properties and methods of the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement)
+ */
+interface HTMLLinkElement extends HTMLElement, LinkStyle {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/as) */
+ as: string;
+ /**
+ * Sets or retrieves the character set used to encode the object.
+ * @deprecated
+ */
+ charset: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/crossOrigin) */
+ crossOrigin: string | null;
+ disabled: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/fetchPriority) */
+ fetchPriority: string;
+ /**
+ * Sets or retrieves a destination URL or an anchor point.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/href)
+ */
+ href: string;
+ /**
+ * Sets or retrieves the language code of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/hreflang)
+ */
+ hreflang: string;
+ imageSizes: string;
+ imageSrcset: string;
+ integrity: string;
+ /** Sets or retrieves the media type. */
+ media: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/referrerPolicy) */
+ referrerPolicy: string;
+ /**
+ * Sets or retrieves the relationship between the object and the destination of the link.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/rel)
+ */
+ rel: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/relList) */
+ readonly relList: DOMTokenList;
+ /**
+ * Sets or retrieves the relationship between the object and the destination of the link.
+ * @deprecated
+ */
+ rev: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/sizes) */
+ readonly sizes: DOMTokenList;
+ /**
+ * Sets or retrieves the window or frame at which to target content.
+ * @deprecated
+ */
+ target: string;
+ /** Sets or retrieves the MIME type of the object. */
+ type: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLLinkElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLLinkElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLLinkElement: {
+ prototype: HTMLLinkElement;
+ new(): HTMLLinkElement;
+};
+
+/**
+ * Provides special properties and methods (beyond those of the regular object HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of map elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMapElement)
+ */
+interface HTMLMapElement extends HTMLElement {
+ /**
+ * Retrieves a collection of the area objects defined for the given map object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMapElement/areas)
+ */
+ readonly areas: HTMLCollection;
+ /**
+ * Sets or retrieves the name of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMapElement/name)
+ */
+ name: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMapElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMapElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLMapElement: {
+ prototype: HTMLMapElement;
+ new(): HTMLMapElement;
+};
+
+/**
+ * Provides methods to manipulate <marquee> elements.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMarqueeElement)
+ */
+interface HTMLMarqueeElement extends HTMLElement {
+ /** @deprecated */
+ behavior: string;
+ /** @deprecated */
+ bgColor: string;
+ /** @deprecated */
+ direction: string;
+ /** @deprecated */
+ height: string;
+ /** @deprecated */
+ hspace: number;
+ /** @deprecated */
+ loop: number;
+ /** @deprecated */
+ scrollAmount: number;
+ /** @deprecated */
+ scrollDelay: number;
+ /** @deprecated */
+ trueSpeed: boolean;
+ /** @deprecated */
+ vspace: number;
+ /** @deprecated */
+ width: string;
+ /** @deprecated */
+ start(): void;
+ /** @deprecated */
+ stop(): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMarqueeElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMarqueeElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** @deprecated */
+declare var HTMLMarqueeElement: {
+ prototype: HTMLMarqueeElement;
+ new(): HTMLMarqueeElement;
+};
+
+interface HTMLMediaElementEventMap extends HTMLElementEventMap {
+ "encrypted": MediaEncryptedEvent;
+ "waitingforkey": Event;
+}
+
+/**
+ * Adds to HTMLElement the properties and methods needed to support basic media-related capabilities that are common to audio and video.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement)
+ */
+interface HTMLMediaElement extends HTMLElement {
+ /**
+ * Gets or sets a value that indicates whether to start playing the media automatically.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/autoplay)
+ */
+ autoplay: boolean;
+ /**
+ * Gets a collection of buffered time ranges.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/buffered)
+ */
+ readonly buffered: TimeRanges;
+ /**
+ * Gets or sets a flag that indicates whether the client provides a set of controls for the media (in case the developer does not include controls for the player).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/controls)
+ */
+ controls: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/crossOrigin) */
+ crossOrigin: string | null;
+ /**
+ * Gets the address or URL of the current media resource that is selected by IHTMLMediaElement.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/currentSrc)
+ */
+ readonly currentSrc: string;
+ /**
+ * Gets or sets the current playback position, in seconds.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/currentTime)
+ */
+ currentTime: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/defaultMuted) */
+ defaultMuted: boolean;
+ /**
+ * Gets or sets the default playback rate when the user is not using fast forward or reverse for a video or audio resource.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/defaultPlaybackRate)
+ */
+ defaultPlaybackRate: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/disableRemotePlayback) */
+ disableRemotePlayback: boolean;
+ /**
+ * Returns the duration in seconds of the current media resource. A NaN value is returned if duration is not available, or Infinity if the media resource is streaming.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/duration)
+ */
+ readonly duration: number;
+ /**
+ * Gets information about whether the playback has ended or not.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/ended)
+ */
+ readonly ended: boolean;
+ /**
+ * Returns an object representing the current error state of the audio or video element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/error)
+ */
+ readonly error: MediaError | null;
+ /**
+ * Gets or sets a flag to specify whether playback should restart after it completes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/loop)
+ */
+ loop: boolean;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/mediaKeys)
+ */
+ readonly mediaKeys: MediaKeys | null;
+ /**
+ * Gets or sets a flag that indicates whether the audio (either audio or the audio track on video media) is muted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/muted)
+ */
+ muted: boolean;
+ /**
+ * Gets the current network activity for the element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/networkState)
+ */
+ readonly networkState: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/encrypted_event) */
+ onencrypted: ((this: HTMLMediaElement, ev: MediaEncryptedEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/waitingforkey_event) */
+ onwaitingforkey: ((this: HTMLMediaElement, ev: Event) => any) | null;
+ /**
+ * Gets a flag that specifies whether playback is paused.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/paused)
+ */
+ readonly paused: boolean;
+ /**
+ * Gets or sets the current rate of speed for the media resource to play. This speed is expressed as a multiple of the normal speed of the media resource.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/playbackRate)
+ */
+ playbackRate: number;
+ /**
+ * Gets TimeRanges for the current media resource that has been played.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/played)
+ */
+ readonly played: TimeRanges;
+ /**
+ * Gets or sets a value indicating what data should be preloaded, if any.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/preload)
+ */
+ preload: "none" | "metadata" | "auto" | "";
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/preservesPitch) */
+ preservesPitch: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/readyState) */
+ readonly readyState: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/remote) */
+ readonly remote: RemotePlayback;
+ /**
+ * Returns a TimeRanges object that represents the ranges of the current media resource that can be seeked.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/seekable)
+ */
+ readonly seekable: TimeRanges;
+ /**
+ * Gets a flag that indicates whether the client is currently moving to a new playback position in the media resource.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/seeking)
+ */
+ readonly seeking: boolean;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/sinkId)
+ */
+ readonly sinkId: string;
+ /**
+ * The address or URL of the a media resource that is to be considered.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/src)
+ */
+ src: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/srcObject) */
+ srcObject: MediaProvider | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/textTracks) */
+ readonly textTracks: TextTrackList;
+ /**
+ * Gets or sets the volume level for audio portions of the media element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/volume)
+ */
+ volume: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/addTextTrack) */
+ addTextTrack(kind: TextTrackKind, label?: string, language?: string): TextTrack;
+ /**
+ * Returns a string that specifies whether the client can play a given media resource type.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/canPlayType)
+ */
+ canPlayType(type: string): CanPlayTypeResult;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/fastSeek) */
+ fastSeek(time: number): void;
+ /**
+ * Resets the audio or video object and loads a new media resource.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/load)
+ */
+ load(): void;
+ /**
+ * Pauses the current playback and sets paused to TRUE.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/pause)
+ */
+ pause(): void;
+ /**
+ * Loads and starts playback of a media resource.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/play)
+ */
+ play(): Promise<void>;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/setMediaKeys)
+ */
+ setMediaKeys(mediaKeys: MediaKeys | null): Promise<void>;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/setSinkId)
+ */
+ setSinkId(sinkId: string): Promise<void>;
+ readonly NETWORK_EMPTY: 0;
+ readonly NETWORK_IDLE: 1;
+ readonly NETWORK_LOADING: 2;
+ readonly NETWORK_NO_SOURCE: 3;
+ readonly HAVE_NOTHING: 0;
+ readonly HAVE_METADATA: 1;
+ readonly HAVE_CURRENT_DATA: 2;
+ readonly HAVE_FUTURE_DATA: 3;
+ readonly HAVE_ENOUGH_DATA: 4;
+ addEventListener<K extends keyof HTMLMediaElementEventMap>(type: K, listener: (this: HTMLMediaElement, ev: HTMLMediaElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLMediaElementEventMap>(type: K, listener: (this: HTMLMediaElement, ev: HTMLMediaElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLMediaElement: {
+ prototype: HTMLMediaElement;
+ new(): HTMLMediaElement;
+ readonly NETWORK_EMPTY: 0;
+ readonly NETWORK_IDLE: 1;
+ readonly NETWORK_LOADING: 2;
+ readonly NETWORK_NO_SOURCE: 3;
+ readonly HAVE_NOTHING: 0;
+ readonly HAVE_METADATA: 1;
+ readonly HAVE_CURRENT_DATA: 2;
+ readonly HAVE_FUTURE_DATA: 3;
+ readonly HAVE_ENOUGH_DATA: 4;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMenuElement) */
+interface HTMLMenuElement extends HTMLElement {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMenuElement/compact)
+ */
+ compact: boolean;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMenuElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMenuElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLMenuElement: {
+ prototype: HTMLMenuElement;
+ new(): HTMLMenuElement;
+};
+
+/**
+ * Contains descriptive metadata about a document. It inherits all of the properties and methods described in the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMetaElement)
+ */
+interface HTMLMetaElement extends HTMLElement {
+ /** Gets or sets meta-information to associate with httpEquiv or name. */
+ content: string;
+ /** Gets or sets information used to bind the value of a content attribute of a meta element to an HTTP response header. */
+ httpEquiv: string;
+ media: string;
+ /** Sets or retrieves the value specified in the content attribute of the meta object. */
+ name: string;
+ /**
+ * Sets or retrieves a scheme to be used in interpreting the value of a property specified for the object.
+ * @deprecated
+ */
+ scheme: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMetaElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMetaElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLMetaElement: {
+ prototype: HTMLMetaElement;
+ new(): HTMLMetaElement;
+};
+
+/**
+ * The HTML <meter> elements expose the HTMLMeterElement interface, which provides special properties and methods (beyond the HTMLElement object interface they also have available to them by inheritance) for manipulating the layout and presentation of <meter> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMeterElement)
+ */
+interface HTMLMeterElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMeterElement/high) */
+ high: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMeterElement/labels) */
+ readonly labels: NodeListOf<HTMLLabelElement>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMeterElement/low) */
+ low: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMeterElement/max) */
+ max: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMeterElement/min) */
+ min: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMeterElement/optimum) */
+ optimum: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMeterElement/value) */
+ value: number;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMeterElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLMeterElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLMeterElement: {
+ prototype: HTMLMeterElement;
+ new(): HTMLMeterElement;
+};
+
+/**
+ * Provides special properties (beyond the regular methods and properties available through the HTMLElement interface they also have available to them by inheritance) for manipulating modification elements, that is <del> and <ins>.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLModElement)
+ */
+interface HTMLModElement extends HTMLElement {
+ /**
+ * Sets or retrieves reference information about the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLModElement/cite)
+ */
+ cite: string;
+ /**
+ * Sets or retrieves the date and time of a modification to the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLModElement/dateTime)
+ */
+ dateTime: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLModElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLModElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLModElement: {
+ prototype: HTMLModElement;
+ new(): HTMLModElement;
+};
+
+/**
+ * Provides special properties (beyond those defined on the regular HTMLElement interface it also has available to it by inheritance) for manipulating ordered list elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOListElement)
+ */
+interface HTMLOListElement extends HTMLElement {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOListElement/compact)
+ */
+ compact: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOListElement/reversed) */
+ reversed: boolean;
+ /**
+ * The starting number.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOListElement/start)
+ */
+ start: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOListElement/type) */
+ type: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLOListElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLOListElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLOListElement: {
+ prototype: HTMLOListElement;
+ new(): HTMLOListElement;
+};
+
+/**
+ * Provides special properties and methods (beyond those on the HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of <object> element, representing external resources.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement)
+ */
+interface HTMLObjectElement extends HTMLElement {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/align)
+ */
+ align: string;
+ /**
+ * Sets or retrieves a character string that can be used to implement your own archive functionality for the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/archive)
+ */
+ archive: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/border)
+ */
+ border: string;
+ /**
+ * Sets or retrieves the URL of the file containing the compiled Java class.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/code)
+ */
+ code: string;
+ /**
+ * Sets or retrieves the URL of the component.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/codeBase)
+ */
+ codeBase: string;
+ /**
+ * Sets or retrieves the Internet media type for the code associated with the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/codeType)
+ */
+ codeType: string;
+ /**
+ * Retrieves the document object of the page or frame.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/contentDocument)
+ */
+ readonly contentDocument: Document | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/contentWindow) */
+ readonly contentWindow: WindowProxy | null;
+ /**
+ * Sets or retrieves the URL that references the data of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/data)
+ */
+ data: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/declare)
+ */
+ declare: boolean;
+ /**
+ * Retrieves a reference to the form that the object is embedded in.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/form)
+ */
+ readonly form: HTMLFormElement | null;
+ /**
+ * Sets or retrieves the height of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/height)
+ */
+ height: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/hspace)
+ */
+ hspace: number;
+ /**
+ * Sets or retrieves the name of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/name)
+ */
+ name: string;
+ /**
+ * Sets or retrieves a message to be displayed while an object is loading.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/standby)
+ */
+ standby: string;
+ /**
+ * Sets or retrieves the MIME type of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/type)
+ */
+ type: string;
+ /**
+ * Sets or retrieves the URL, often with a bookmark extension (#name), to use as a client-side image map.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/useMap)
+ */
+ useMap: string;
+ /**
+ * Returns the error message that would be displayed if the user submits the form, or an empty string if no error message. It also triggers the standard error message, such as "this is a required field". The result is that the user sees validation messages without actually submitting.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/validationMessage)
+ */
+ readonly validationMessage: string;
+ /**
+ * Returns a ValidityState object that represents the validity states of an element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/validity)
+ */
+ readonly validity: ValidityState;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/vspace)
+ */
+ vspace: number;
+ /**
+ * Sets or retrieves the width of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/width)
+ */
+ width: string;
+ /**
+ * Returns whether an element will successfully validate based on forms validation rules and constraints.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/willValidate)
+ */
+ readonly willValidate: boolean;
+ /**
+ * Returns whether a form will validate when it is submitted, without having to submit it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/checkValidity)
+ */
+ checkValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/getSVGDocument) */
+ getSVGDocument(): Document | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/reportValidity) */
+ reportValidity(): boolean;
+ /**
+ * Sets a custom error message that is displayed when a form is submitted.
+ * @param error Sets a custom error message that is displayed when a form is submitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLObjectElement/setCustomValidity)
+ */
+ setCustomValidity(error: string): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLObjectElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLObjectElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLObjectElement: {
+ prototype: HTMLObjectElement;
+ new(): HTMLObjectElement;
+};
+
+/**
+ * Provides special properties and methods (beyond the regular HTMLElement object interface they also have available to them by inheritance) for manipulating the layout and presentation of <optgroup> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptGroupElement)
+ */
+interface HTMLOptGroupElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptGroupElement/disabled) */
+ disabled: boolean;
+ /**
+ * Sets or retrieves a value that you can use to implement your own label functionality for the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptGroupElement/label)
+ */
+ label: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLOptGroupElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLOptGroupElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLOptGroupElement: {
+ prototype: HTMLOptGroupElement;
+ new(): HTMLOptGroupElement;
+};
+
+/**
+ * <option> elements and inherits all classes and methods of the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement)
+ */
+interface HTMLOptionElement extends HTMLElement {
+ /**
+ * Sets or retrieves the status of an option.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement/defaultSelected)
+ */
+ defaultSelected: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement/disabled) */
+ disabled: boolean;
+ /**
+ * Retrieves a reference to the form that the object is embedded in.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement/form)
+ */
+ readonly form: HTMLFormElement | null;
+ /**
+ * Sets or retrieves the ordinal position of an option in a list box.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement/index)
+ */
+ readonly index: number;
+ /**
+ * Sets or retrieves a value that you can use to implement your own label functionality for the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement/label)
+ */
+ label: string;
+ /**
+ * Sets or retrieves whether the option in the list box is the default item.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement/selected)
+ */
+ selected: boolean;
+ /**
+ * Sets or retrieves the text string specified by the option tag.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement/text)
+ */
+ text: string;
+ /**
+ * Sets or retrieves the value which is returned to the server when the form control is submitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionElement/value)
+ */
+ value: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLOptionElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLOptionElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLOptionElement: {
+ prototype: HTMLOptionElement;
+ new(): HTMLOptionElement;
+};
+
+/**
+ * HTMLOptionsCollection is an interface representing a collection of HTML option elements (in document order) and offers methods and properties for traversing the list as well as optionally altering its items. This type is returned solely by the "options" property of select.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionsCollection)
+ */
+interface HTMLOptionsCollection extends HTMLCollectionOf<HTMLOptionElement> {
+ /**
+ * Returns the number of elements in the collection.
+ *
+ * When set to a smaller number, truncates the number of option elements in the corresponding container.
+ *
+ * When set to a greater number, adds new blank option elements to that container.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionsCollection/length)
+ */
+ length: number;
+ /**
+ * Returns the index of the first selected item, if any, or −1 if there is no selected item.
+ *
+ * Can be set, to change the selection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionsCollection/selectedIndex)
+ */
+ selectedIndex: number;
+ /**
+ * Inserts element before the node given by before.
+ *
+ * The before argument can be a number, in which case element is inserted before the item with that number, or an element from the collection, in which case element is inserted before that element.
+ *
+ * If before is omitted, null, or a number out of range, then element will be added at the end of the list.
+ *
+ * This method will throw a "HierarchyRequestError" DOMException if element is an ancestor of the element into which it is to be inserted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionsCollection/add)
+ */
+ add(element: HTMLOptionElement | HTMLOptGroupElement, before?: HTMLElement | number | null): void;
+ /**
+ * Removes the item with index index from the collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOptionsCollection/remove)
+ */
+ remove(index: number): void;
+}
+
+declare var HTMLOptionsCollection: {
+ prototype: HTMLOptionsCollection;
+ new(): HTMLOptionsCollection;
+};
+
+interface HTMLOrSVGElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/autofocus) */
+ autofocus: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dataset) */
+ readonly dataset: DOMStringMap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/nonce) */
+ nonce?: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/tabIndex) */
+ tabIndex: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/blur) */
+ blur(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/focus) */
+ focus(options?: FocusOptions): void;
+}
+
+/**
+ * Provides properties and methods (beyond those inherited from HTMLElement) for manipulating the layout and presentation of <output> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement)
+ */
+interface HTMLOutputElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/defaultValue) */
+ defaultValue: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/form) */
+ readonly form: HTMLFormElement | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/htmlFor) */
+ readonly htmlFor: DOMTokenList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/labels) */
+ readonly labels: NodeListOf<HTMLLabelElement>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/name) */
+ name: string;
+ /**
+ * Returns the string "output".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/type)
+ */
+ readonly type: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/validationMessage) */
+ readonly validationMessage: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/validity) */
+ readonly validity: ValidityState;
+ /**
+ * Returns the element's current value.
+ *
+ * Can be set, to change the value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/value)
+ */
+ value: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/willValidate) */
+ readonly willValidate: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/checkValidity) */
+ checkValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/reportValidity) */
+ reportValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLOutputElement/setCustomValidity) */
+ setCustomValidity(error: string): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLOutputElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLOutputElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLOutputElement: {
+ prototype: HTMLOutputElement;
+ new(): HTMLOutputElement;
+};
+
+/**
+ * Provides special properties (beyond those of the regular HTMLElement object interface it inherits) for manipulating <p> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLParagraphElement)
+ */
+interface HTMLParagraphElement extends HTMLElement {
+ /**
+ * Sets or retrieves how the object is aligned with adjacent text.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLParagraphElement/align)
+ */
+ align: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLParagraphElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLParagraphElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLParagraphElement: {
+ prototype: HTMLParagraphElement;
+ new(): HTMLParagraphElement;
+};
+
+/**
+ * Provides special properties (beyond those of the regular HTMLElement object interface it inherits) for manipulating <param> elements, representing a pair of a key and a value that acts as a parameter for an <object> element.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLParamElement)
+ */
+interface HTMLParamElement extends HTMLElement {
+ /**
+ * Sets or retrieves the name of an input parameter for an element.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLParamElement/name)
+ */
+ name: string;
+ /**
+ * Sets or retrieves the content type of the resource designated by the value attribute.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLParamElement/type)
+ */
+ type: string;
+ /**
+ * Sets or retrieves the value of an input parameter for an element.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLParamElement/value)
+ */
+ value: string;
+ /**
+ * Sets or retrieves the data type of the value attribute.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLParamElement/valueType)
+ */
+ valueType: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLParamElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLParamElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** @deprecated */
+declare var HTMLParamElement: {
+ prototype: HTMLParamElement;
+ new(): HTMLParamElement;
+};
+
+/**
+ * A <picture> HTML element. It doesn't implement specific properties or methods.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLPictureElement)
+ */
+interface HTMLPictureElement extends HTMLElement {
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLPictureElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLPictureElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLPictureElement: {
+ prototype: HTMLPictureElement;
+ new(): HTMLPictureElement;
+};
+
+/**
+ * Exposes specific properties and methods (beyond those of the HTMLElement interface it also has available to it by inheritance) for manipulating a block of preformatted text (<pre>).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLPreElement)
+ */
+interface HTMLPreElement extends HTMLElement {
+ /**
+ * Sets or gets a value that you can use to implement your own width functionality for the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLPreElement/width)
+ */
+ width: number;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLPreElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLPreElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLPreElement: {
+ prototype: HTMLPreElement;
+ new(): HTMLPreElement;
+};
+
+/**
+ * Provides special properties and methods (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of <progress> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLProgressElement)
+ */
+interface HTMLProgressElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLProgressElement/labels) */
+ readonly labels: NodeListOf<HTMLLabelElement>;
+ /**
+ * Defines the maximum, or "done" value for a progress element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLProgressElement/max)
+ */
+ max: number;
+ /**
+ * Returns the quotient of value/max when the value attribute is set (determinate progress bar), or -1 when the value attribute is missing (indeterminate progress bar).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLProgressElement/position)
+ */
+ readonly position: number;
+ /**
+ * Sets or gets the current value of a progress element. The value must be a non-negative number between 0 and the max value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLProgressElement/value)
+ */
+ value: number;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLProgressElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLProgressElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLProgressElement: {
+ prototype: HTMLProgressElement;
+ new(): HTMLProgressElement;
+};
+
+/**
+ * Provides special properties and methods (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating quoting elements, like <blockquote> and <q>, but not the <cite> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLQuoteElement)
+ */
+interface HTMLQuoteElement extends HTMLElement {
+ /**
+ * Sets or retrieves reference information about the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLQuoteElement/cite)
+ */
+ cite: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLQuoteElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLQuoteElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLQuoteElement: {
+ prototype: HTMLQuoteElement;
+ new(): HTMLQuoteElement;
+};
+
+/**
+ * HTML <script> elements expose the HTMLScriptElement interface, which provides special properties and methods for manipulating the behavior and execution of <script> elements (beyond the inherited HTMLElement interface).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLScriptElement)
+ */
+interface HTMLScriptElement extends HTMLElement {
+ async: boolean;
+ /**
+ * Sets or retrieves the character set used to encode the object.
+ * @deprecated
+ */
+ charset: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLScriptElement/crossOrigin) */
+ crossOrigin: string | null;
+ /** Sets or retrieves the status of the script. */
+ defer: boolean;
+ /**
+ * Sets or retrieves the event for which the script is written.
+ * @deprecated
+ */
+ event: string;
+ fetchPriority: string;
+ /**
+ * Sets or retrieves the object that is bound to the event script.
+ * @deprecated
+ */
+ htmlFor: string;
+ integrity: string;
+ noModule: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLScriptElement/referrerPolicy) */
+ referrerPolicy: string;
+ /** Retrieves the URL to an external file that contains the source code or data. */
+ src: string;
+ /** Retrieves or sets the text of the object as a string. */
+ text: string;
+ /** Sets or retrieves the MIME type for the associated scripting engine. */
+ type: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLScriptElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLScriptElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLScriptElement: {
+ prototype: HTMLScriptElement;
+ new(): HTMLScriptElement;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLScriptElement/supports_static) */
+ supports(type: string): boolean;
+};
+
+/**
+ * A <select> HTML Element. These elements also share all of the properties and methods of other HTML elements via the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement)
+ */
+interface HTMLSelectElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/autocomplete) */
+ autocomplete: AutoFill;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/disabled) */
+ disabled: boolean;
+ /**
+ * Retrieves a reference to the form that the object is embedded in.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/form)
+ */
+ readonly form: HTMLFormElement | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/labels) */
+ readonly labels: NodeListOf<HTMLLabelElement>;
+ /**
+ * Sets or retrieves the number of objects in a collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/length)
+ */
+ length: number;
+ /**
+ * Sets or retrieves the Boolean value indicating whether multiple items can be selected from a list.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/multiple)
+ */
+ multiple: boolean;
+ /**
+ * Sets or retrieves the name of the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/name)
+ */
+ name: string;
+ /**
+ * Returns an HTMLOptionsCollection of the list of options.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/options)
+ */
+ readonly options: HTMLOptionsCollection;
+ /**
+ * When present, marks an element that can't be submitted without a value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/required)
+ */
+ required: boolean;
+ /**
+ * Sets or retrieves the index of the selected option in a select object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/selectedIndex)
+ */
+ selectedIndex: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/selectedOptions) */
+ readonly selectedOptions: HTMLCollectionOf<HTMLOptionElement>;
+ /**
+ * Sets or retrieves the number of rows in the list box.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/size)
+ */
+ size: number;
+ /**
+ * Retrieves the type of select control based on the value of the MULTIPLE attribute.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/type)
+ */
+ readonly type: string;
+ /**
+ * Returns the error message that would be displayed if the user submits the form, or an empty string if no error message. It also triggers the standard error message, such as "this is a required field". The result is that the user sees validation messages without actually submitting.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/validationMessage)
+ */
+ readonly validationMessage: string;
+ /**
+ * Returns a ValidityState object that represents the validity states of an element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/validity)
+ */
+ readonly validity: ValidityState;
+ /**
+ * Sets or retrieves the value which is returned to the server when the form control is submitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/value)
+ */
+ value: string;
+ /**
+ * Returns whether an element will successfully validate based on forms validation rules and constraints.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/willValidate)
+ */
+ readonly willValidate: boolean;
+ /**
+ * Adds an element to the areas, controlRange, or options collection.
+ * @param element Variant of type Number that specifies the index position in the collection where the element is placed. If no value is given, the method places the element at the end of the collection.
+ * @param before Variant of type Object that specifies an element to insert before, or null to append the object to the collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/add)
+ */
+ add(element: HTMLOptionElement | HTMLOptGroupElement, before?: HTMLElement | number | null): void;
+ /**
+ * Returns whether a form will validate when it is submitted, without having to submit it.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/checkValidity)
+ */
+ checkValidity(): boolean;
+ /**
+ * Retrieves a select object or an object from an options collection.
+ * @param name Variant of type Number or String that specifies the object or collection to retrieve. If this parameter is an integer, it is the zero-based index of the object. If this parameter is a string, all objects with matching name or id properties are retrieved, and a collection is returned if more than one match is made.
+ * @param index Variant of type Number that specifies the zero-based index of the object to retrieve when a collection is returned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/item)
+ */
+ item(index: number): HTMLOptionElement | null;
+ /**
+ * Retrieves a select object or an object from an options collection.
+ * @param namedItem A String that specifies the name or id property of the object to retrieve. A collection is returned if more than one match is made.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/namedItem)
+ */
+ namedItem(name: string): HTMLOptionElement | null;
+ /**
+ * Removes an element from the collection.
+ * @param index Number that specifies the zero-based index of the element to remove from the collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/remove)
+ */
+ remove(): void;
+ remove(index: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/reportValidity) */
+ reportValidity(): boolean;
+ /**
+ * Sets a custom error message that is displayed when a form is submitted.
+ * @param error Sets a custom error message that is displayed when a form is submitted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/setCustomValidity)
+ */
+ setCustomValidity(error: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSelectElement/showPicker) */
+ showPicker(): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLSelectElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLSelectElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+ [name: number]: HTMLOptionElement | HTMLOptGroupElement;
+}
+
+declare var HTMLSelectElement: {
+ prototype: HTMLSelectElement;
+ new(): HTMLSelectElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSlotElement) */
+interface HTMLSlotElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSlotElement/name) */
+ name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSlotElement/assign) */
+ assign(...nodes: (Element | Text)[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSlotElement/assignedElements) */
+ assignedElements(options?: AssignedNodesOptions): Element[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSlotElement/assignedNodes) */
+ assignedNodes(options?: AssignedNodesOptions): Node[];
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLSlotElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLSlotElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLSlotElement: {
+ prototype: HTMLSlotElement;
+ new(): HTMLSlotElement;
+};
+
+/**
+ * Provides special properties (beyond the regular HTMLElement object interface it also has available to it by inheritance) for manipulating <source> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSourceElement)
+ */
+interface HTMLSourceElement extends HTMLElement {
+ height: number;
+ /**
+ * Gets or sets the intended media type of the media source.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSourceElement/media)
+ */
+ media: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSourceElement/sizes) */
+ sizes: string;
+ /**
+ * The address or URL of the a media resource that is to be considered.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSourceElement/src)
+ */
+ src: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSourceElement/srcset) */
+ srcset: string;
+ /**
+ * Gets or sets the MIME type of a media resource.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSourceElement/type)
+ */
+ type: string;
+ width: number;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLSourceElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLSourceElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLSourceElement: {
+ prototype: HTMLSourceElement;
+ new(): HTMLSourceElement;
+};
+
+/**
+ * A <span> element and derives from the HTMLElement interface, but without implementing any additional properties or methods.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSpanElement)
+ */
+interface HTMLSpanElement extends HTMLElement {
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLSpanElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLSpanElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLSpanElement: {
+ prototype: HTMLSpanElement;
+ new(): HTMLSpanElement;
+};
+
+/**
+ * A <style> element. It inherits properties and methods from its parent, HTMLElement, and from LinkStyle.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLStyleElement)
+ */
+interface HTMLStyleElement extends HTMLElement, LinkStyle {
+ /**
+ * Enables or disables the style sheet.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLStyleElement/disabled)
+ */
+ disabled: boolean;
+ /**
+ * Sets or retrieves the media type.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLStyleElement/media)
+ */
+ media: string;
+ /**
+ * Retrieves the CSS language in which the style sheet is written.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLStyleElement/type)
+ */
+ type: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLStyleElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLStyleElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLStyleElement: {
+ prototype: HTMLStyleElement;
+ new(): HTMLStyleElement;
+};
+
+/**
+ * Special properties (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating table caption elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCaptionElement)
+ */
+interface HTMLTableCaptionElement extends HTMLElement {
+ /**
+ * Sets or retrieves the alignment of the caption or legend.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCaptionElement/align)
+ */
+ align: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableCaptionElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableCaptionElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTableCaptionElement: {
+ prototype: HTMLTableCaptionElement;
+ new(): HTMLTableCaptionElement;
+};
+
+/**
+ * Provides special properties and methods (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of table cells, either header or data cells, in an HTML document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement)
+ */
+interface HTMLTableCellElement extends HTMLElement {
+ /**
+ * Sets or retrieves abbreviated text for the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/abbr)
+ */
+ abbr: string;
+ /**
+ * Sets or retrieves how the object is aligned with adjacent text.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/align)
+ */
+ align: string;
+ /**
+ * Sets or retrieves a comma-delimited list of conceptual categories associated with the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/axis)
+ */
+ axis: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/bgColor)
+ */
+ bgColor: string;
+ /**
+ * Retrieves the position of the object in the cells collection of a row.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/cellIndex)
+ */
+ readonly cellIndex: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/ch)
+ */
+ ch: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/chOff)
+ */
+ chOff: string;
+ /**
+ * Sets or retrieves the number columns in the table that the object should span.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/colSpan)
+ */
+ colSpan: number;
+ /**
+ * Sets or retrieves a list of header cells that provide information for the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/headers)
+ */
+ headers: string;
+ /**
+ * Sets or retrieves the height of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/height)
+ */
+ height: string;
+ /**
+ * Sets or retrieves whether the browser automatically performs wordwrap.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/noWrap)
+ */
+ noWrap: boolean;
+ /**
+ * Sets or retrieves how many rows in a table the cell should span.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/rowSpan)
+ */
+ rowSpan: number;
+ /**
+ * Sets or retrieves the group of cells in a table to which the object's information applies.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/scope)
+ */
+ scope: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/vAlign)
+ */
+ vAlign: string;
+ /**
+ * Sets or retrieves the width of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableCellElement/width)
+ */
+ width: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableCellElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableCellElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTableCellElement: {
+ prototype: HTMLTableCellElement;
+ new(): HTMLTableCellElement;
+};
+
+/**
+ * Provides special properties (beyond the HTMLElement interface it also has available to it inheritance) for manipulating single or grouped table column elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableColElement)
+ */
+interface HTMLTableColElement extends HTMLElement {
+ /**
+ * Sets or retrieves the alignment of the object relative to the display or table.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableColElement/align)
+ */
+ align: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableColElement/ch)
+ */
+ ch: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableColElement/chOff)
+ */
+ chOff: string;
+ /**
+ * Sets or retrieves the number of columns in the group.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableColElement/span)
+ */
+ span: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableColElement/vAlign)
+ */
+ vAlign: string;
+ /**
+ * Sets or retrieves the width of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableColElement/width)
+ */
+ width: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableColElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableColElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTableColElement: {
+ prototype: HTMLTableColElement;
+ new(): HTMLTableColElement;
+};
+
+/** @deprecated prefer HTMLTableCellElement */
+interface HTMLTableDataCellElement extends HTMLTableCellElement {
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableDataCellElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableDataCellElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/**
+ * Provides special properties and methods (beyond the regular HTMLElement object interface it also has available to it by inheritance) for manipulating the layout and presentation of tables in an HTML document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement)
+ */
+interface HTMLTableElement extends HTMLElement {
+ /**
+ * Sets or retrieves a value that indicates the table alignment.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/align)
+ */
+ align: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/bgColor)
+ */
+ bgColor: string;
+ /**
+ * Sets or retrieves the width of the border to draw around the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/border)
+ */
+ border: string;
+ /**
+ * Retrieves the caption object of a table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/caption)
+ */
+ caption: HTMLTableCaptionElement | null;
+ /**
+ * Sets or retrieves the amount of space between the border of the cell and the content of the cell.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/cellPadding)
+ */
+ cellPadding: string;
+ /**
+ * Sets or retrieves the amount of space between cells in a table.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/cellSpacing)
+ */
+ cellSpacing: string;
+ /**
+ * Sets or retrieves the way the border frame around the table is displayed.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/frame)
+ */
+ frame: string;
+ /**
+ * Sets or retrieves the number of horizontal rows contained in the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/rows)
+ */
+ readonly rows: HTMLCollectionOf<HTMLTableRowElement>;
+ /**
+ * Sets or retrieves which dividing lines (inner borders) are displayed.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/rules)
+ */
+ rules: string;
+ /**
+ * Sets or retrieves a description and/or structure of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/summary)
+ */
+ summary: string;
+ /**
+ * Retrieves a collection of all tBody objects in the table. Objects in this collection are in source order.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/tBodies)
+ */
+ readonly tBodies: HTMLCollectionOf<HTMLTableSectionElement>;
+ /**
+ * Retrieves the tFoot object of the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/tFoot)
+ */
+ tFoot: HTMLTableSectionElement | null;
+ /**
+ * Retrieves the tHead object of the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/tHead)
+ */
+ tHead: HTMLTableSectionElement | null;
+ /**
+ * Sets or retrieves the width of the object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/width)
+ */
+ width: string;
+ /**
+ * Creates an empty caption element in the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/createCaption)
+ */
+ createCaption(): HTMLTableCaptionElement;
+ /**
+ * Creates an empty tBody element in the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/createTBody)
+ */
+ createTBody(): HTMLTableSectionElement;
+ /**
+ * Creates an empty tFoot element in the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/createTFoot)
+ */
+ createTFoot(): HTMLTableSectionElement;
+ /**
+ * Returns the tHead element object if successful, or null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/createTHead)
+ */
+ createTHead(): HTMLTableSectionElement;
+ /**
+ * Deletes the caption element and its contents from the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/deleteCaption)
+ */
+ deleteCaption(): void;
+ /**
+ * Removes the specified row (tr) from the element and from the rows collection.
+ * @param index Number that specifies the zero-based position in the rows collection of the row to remove.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/deleteRow)
+ */
+ deleteRow(index: number): void;
+ /**
+ * Deletes the tFoot element and its contents from the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/deleteTFoot)
+ */
+ deleteTFoot(): void;
+ /**
+ * Deletes the tHead element and its contents from the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/deleteTHead)
+ */
+ deleteTHead(): void;
+ /**
+ * Creates a new row (tr) in the table, and adds the row to the rows collection.
+ * @param index Number that specifies where to insert the row in the rows collection. The default value is -1, which appends the new row to the end of the rows collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableElement/insertRow)
+ */
+ insertRow(index?: number): HTMLTableRowElement;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTableElement: {
+ prototype: HTMLTableElement;
+ new(): HTMLTableElement;
+};
+
+/** @deprecated prefer HTMLTableCellElement */
+interface HTMLTableHeaderCellElement extends HTMLTableCellElement {
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableHeaderCellElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableHeaderCellElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/**
+ * Provides special properties and methods (beyond the HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of rows in an HTML table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement)
+ */
+interface HTMLTableRowElement extends HTMLElement {
+ /**
+ * Sets or retrieves how the object is aligned with adjacent text.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/align)
+ */
+ align: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/bgColor)
+ */
+ bgColor: string;
+ /**
+ * Retrieves a collection of all cells in the table row.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/cells)
+ */
+ readonly cells: HTMLCollectionOf<HTMLTableCellElement>;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/ch)
+ */
+ ch: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/chOff)
+ */
+ chOff: string;
+ /**
+ * Retrieves the position of the object in the rows collection for the table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/rowIndex)
+ */
+ readonly rowIndex: number;
+ /**
+ * Retrieves the position of the object in the collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/sectionRowIndex)
+ */
+ readonly sectionRowIndex: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/vAlign)
+ */
+ vAlign: string;
+ /**
+ * Removes the specified cell from the table row, as well as from the cells collection.
+ * @param index Number that specifies the zero-based position of the cell to remove from the table row. If no value is provided, the last cell in the cells collection is deleted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/deleteCell)
+ */
+ deleteCell(index: number): void;
+ /**
+ * Creates a new cell in the table row, and adds the cell to the cells collection.
+ * @param index Number that specifies where to insert the cell in the tr. The default value is -1, which appends the new cell to the end of the cells collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableRowElement/insertCell)
+ */
+ insertCell(index?: number): HTMLTableCellElement;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableRowElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableRowElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTableRowElement: {
+ prototype: HTMLTableRowElement;
+ new(): HTMLTableRowElement;
+};
+
+/**
+ * Provides special properties and methods (beyond the HTMLElement interface it also has available to it by inheritance) for manipulating the layout and presentation of sections, that is headers, footers and bodies, in an HTML table.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableSectionElement)
+ */
+interface HTMLTableSectionElement extends HTMLElement {
+ /**
+ * Sets or retrieves a value that indicates the table alignment.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableSectionElement/align)
+ */
+ align: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableSectionElement/ch)
+ */
+ ch: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableSectionElement/chOff)
+ */
+ chOff: string;
+ /**
+ * Sets or retrieves the number of horizontal rows contained in the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableSectionElement/rows)
+ */
+ readonly rows: HTMLCollectionOf<HTMLTableRowElement>;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableSectionElement/vAlign)
+ */
+ vAlign: string;
+ /**
+ * Removes the specified row (tr) from the element and from the rows collection.
+ * @param index Number that specifies the zero-based position in the rows collection of the row to remove.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableSectionElement/deleteRow)
+ */
+ deleteRow(index: number): void;
+ /**
+ * Creates a new row (tr) in the table, and adds the row to the rows collection.
+ * @param index Number that specifies where to insert the row in the rows collection. The default value is -1, which appends the new row to the end of the rows collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTableSectionElement/insertRow)
+ */
+ insertRow(index?: number): HTMLTableRowElement;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableSectionElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTableSectionElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTableSectionElement: {
+ prototype: HTMLTableSectionElement;
+ new(): HTMLTableSectionElement;
+};
+
+/**
+ * Enables access to the contents of an HTML <template> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTemplateElement)
+ */
+interface HTMLTemplateElement extends HTMLElement {
+ /**
+ * Returns the template contents (a DocumentFragment).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTemplateElement/content)
+ */
+ readonly content: DocumentFragment;
+ shadowRootMode: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTemplateElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTemplateElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTemplateElement: {
+ prototype: HTMLTemplateElement;
+ new(): HTMLTemplateElement;
+};
+
+/**
+ * Provides special properties and methods for manipulating the layout and presentation of <textarea> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTextAreaElement)
+ */
+interface HTMLTextAreaElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTextAreaElement/autocomplete) */
+ autocomplete: AutoFill;
+ /** Sets or retrieves the width of the object. */
+ cols: number;
+ /** Sets or retrieves the initial contents of the object. */
+ defaultValue: string;
+ dirName: string;
+ disabled: boolean;
+ /** Retrieves a reference to the form that the object is embedded in. */
+ readonly form: HTMLFormElement | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTextAreaElement/labels) */
+ readonly labels: NodeListOf<HTMLLabelElement>;
+ /** Sets or retrieves the maximum number of characters that the user can enter in a text control. */
+ maxLength: number;
+ minLength: number;
+ /** Sets or retrieves the name of the object. */
+ name: string;
+ /** Gets or sets a text string that is displayed in an input field as a hint or prompt to users as the format or type of information they need to enter.The text appears in an input field until the user puts focus on the field. */
+ placeholder: string;
+ /** Sets or retrieves the value indicated whether the content of the object is read-only. */
+ readOnly: boolean;
+ /** When present, marks an element that can't be submitted without a value. */
+ required: boolean;
+ /** Sets or retrieves the number of horizontal rows contained in the object. */
+ rows: number;
+ selectionDirection: "forward" | "backward" | "none";
+ /** Gets or sets the end position or offset of a text selection. */
+ selectionEnd: number;
+ /** Gets or sets the starting position or offset of a text selection. */
+ selectionStart: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTextAreaElement/textLength) */
+ readonly textLength: number;
+ /** Retrieves the type of control. */
+ readonly type: string;
+ /** Returns the error message that would be displayed if the user submits the form, or an empty string if no error message. It also triggers the standard error message, such as "this is a required field". The result is that the user sees validation messages without actually submitting. */
+ readonly validationMessage: string;
+ /** Returns a ValidityState object that represents the validity states of an element. */
+ readonly validity: ValidityState;
+ /** Retrieves or sets the text in the entry field of the textArea element. */
+ value: string;
+ /** Returns whether an element will successfully validate based on forms validation rules and constraints. */
+ readonly willValidate: boolean;
+ /** Sets or retrieves how to handle wordwrapping in the object. */
+ wrap: string;
+ /** Returns whether a form will validate when it is submitted, without having to submit it. */
+ checkValidity(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTextAreaElement/reportValidity) */
+ reportValidity(): boolean;
+ /** Highlights the input area of a form element. */
+ select(): void;
+ /**
+ * Sets a custom error message that is displayed when a form is submitted.
+ * @param error Sets a custom error message that is displayed when a form is submitted.
+ */
+ setCustomValidity(error: string): void;
+ setRangeText(replacement: string): void;
+ setRangeText(replacement: string, start: number, end: number, selectionMode?: SelectionMode): void;
+ /**
+ * Sets the start and end positions of a selection in a text field.
+ * @param start The offset into the text field for the start of the selection.
+ * @param end The offset into the text field for the end of the selection.
+ * @param direction The direction in which the selection is performed.
+ */
+ setSelectionRange(start: number | null, end: number | null, direction?: "forward" | "backward" | "none"): void;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTextAreaElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTextAreaElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTextAreaElement: {
+ prototype: HTMLTextAreaElement;
+ new(): HTMLTextAreaElement;
+};
+
+/**
+ * Provides special properties (beyond the regular HTMLElement interface it also has available to it by inheritance) for manipulating <time> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTimeElement)
+ */
+interface HTMLTimeElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTimeElement/dateTime) */
+ dateTime: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTimeElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTimeElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTimeElement: {
+ prototype: HTMLTimeElement;
+ new(): HTMLTimeElement;
+};
+
+/**
+ * Contains the title for a document. This element inherits all of the properties and methods of the HTMLElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTitleElement)
+ */
+interface HTMLTitleElement extends HTMLElement {
+ /**
+ * Retrieves or sets the text of the object as a string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTitleElement/text)
+ */
+ text: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTitleElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTitleElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTitleElement: {
+ prototype: HTMLTitleElement;
+ new(): HTMLTitleElement;
+};
+
+/**
+ * The HTMLTrackElement
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement)
+ */
+interface HTMLTrackElement extends HTMLElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/default) */
+ default: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/kind) */
+ kind: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/label) */
+ label: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/readyState) */
+ readonly readyState: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/src) */
+ src: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/srclang) */
+ srclang: string;
+ /**
+ * Returns the TextTrack object corresponding to the text track of the track element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/track)
+ */
+ readonly track: TextTrack;
+ readonly NONE: 0;
+ readonly LOADING: 1;
+ readonly LOADED: 2;
+ readonly ERROR: 3;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTrackElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLTrackElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLTrackElement: {
+ prototype: HTMLTrackElement;
+ new(): HTMLTrackElement;
+ readonly NONE: 0;
+ readonly LOADING: 1;
+ readonly LOADED: 2;
+ readonly ERROR: 3;
+};
+
+/**
+ * Provides special properties (beyond those defined on the regular HTMLElement interface it also has available to it by inheritance) for manipulating unordered list elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLUListElement)
+ */
+interface HTMLUListElement extends HTMLElement {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLUListElement/compact)
+ */
+ compact: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLUListElement/type)
+ */
+ type: string;
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLUListElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLUListElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLUListElement: {
+ prototype: HTMLUListElement;
+ new(): HTMLUListElement;
+};
+
+/**
+ * An invalid HTML element and derives from the HTMLElement interface, but without implementing any additional properties or methods.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLUnknownElement)
+ */
+interface HTMLUnknownElement extends HTMLElement {
+ addEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLUnknownElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLElementEventMap>(type: K, listener: (this: HTMLUnknownElement, ev: HTMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLUnknownElement: {
+ prototype: HTMLUnknownElement;
+ new(): HTMLUnknownElement;
+};
+
+interface HTMLVideoElementEventMap extends HTMLMediaElementEventMap {
+ "enterpictureinpicture": Event;
+ "leavepictureinpicture": Event;
+}
+
+/**
+ * Provides special properties and methods for manipulating video objects. It also inherits properties and methods of HTMLMediaElement and HTMLElement.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement)
+ */
+interface HTMLVideoElement extends HTMLMediaElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/disablePictureInPicture) */
+ disablePictureInPicture: boolean;
+ /**
+ * Gets or sets the height of the video element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/height)
+ */
+ height: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/enterpictureinpicture_event) */
+ onenterpictureinpicture: ((this: HTMLVideoElement, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/leavepictureinpicture_event) */
+ onleavepictureinpicture: ((this: HTMLVideoElement, ev: Event) => any) | null;
+ /** Gets or sets the playsinline of the video element. for example, On iPhone, video elements will now be allowed to play inline, and will not automatically enter fullscreen mode when playback begins. */
+ playsInline: boolean;
+ /**
+ * Gets or sets a URL of an image to display, for example, like a movie poster. This can be a still frame from the video, or another image if no video data is available.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/poster)
+ */
+ poster: string;
+ /**
+ * Gets the intrinsic height of a video in CSS pixels, or zero if the dimensions are not known.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/videoHeight)
+ */
+ readonly videoHeight: number;
+ /**
+ * Gets the intrinsic width of a video in CSS pixels, or zero if the dimensions are not known.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/videoWidth)
+ */
+ readonly videoWidth: number;
+ /**
+ * Gets or sets the width of the video element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/width)
+ */
+ width: number;
+ cancelVideoFrameCallback(handle: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/getVideoPlaybackQuality) */
+ getVideoPlaybackQuality(): VideoPlaybackQuality;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/requestPictureInPicture) */
+ requestPictureInPicture(): Promise<PictureInPictureWindow>;
+ requestVideoFrameCallback(callback: VideoFrameRequestCallback): number;
+ addEventListener<K extends keyof HTMLVideoElementEventMap>(type: K, listener: (this: HTMLVideoElement, ev: HTMLVideoElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof HTMLVideoElementEventMap>(type: K, listener: (this: HTMLVideoElement, ev: HTMLVideoElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var HTMLVideoElement: {
+ prototype: HTMLVideoElement;
+ new(): HTMLVideoElement;
+};
+
+/**
+ * Events that fire when the fragment identifier of the URL has changed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HashChangeEvent)
+ */
+interface HashChangeEvent extends Event {
+ /**
+ * Returns the URL of the session history entry that is now current.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HashChangeEvent/newURL)
+ */
+ readonly newURL: string;
+ /**
+ * Returns the URL of the session history entry that was previously current.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HashChangeEvent/oldURL)
+ */
+ readonly oldURL: string;
+}
+
+declare var HashChangeEvent: {
+ prototype: HashChangeEvent;
+ new(type: string, eventInitDict?: HashChangeEventInit): HashChangeEvent;
+};
+
+/**
+ * This Fetch API interface allows you to perform various actions on HTTP request and response headers. These actions include retrieving, setting, adding to, and removing. A Headers object has an associated header list, which is initially empty and consists of zero or more name and value pairs.  You can add to this using methods like append() (see Examples.) In all methods of this interface, header names are matched by case-insensitive byte sequence.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers)
+ */
+interface Headers {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/append) */
+ append(name: string, value: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/delete) */
+ delete(name: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/get) */
+ get(name: string): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/getSetCookie) */
+ getSetCookie(): string[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/has) */
+ has(name: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/set) */
+ set(name: string, value: string): void;
+ forEach(callbackfn: (value: string, key: string, parent: Headers) => void, thisArg?: any): void;
+}
+
+declare var Headers: {
+ prototype: Headers;
+ new(init?: HeadersInit): Headers;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Highlight) */
+interface Highlight {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Highlight/priority) */
+ priority: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Highlight/type) */
+ type: HighlightType;
+ forEach(callbackfn: (value: AbstractRange, key: AbstractRange, parent: Highlight) => void, thisArg?: any): void;
+}
+
+declare var Highlight: {
+ prototype: Highlight;
+ new(...initialRanges: AbstractRange[]): Highlight;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HighlightRegistry) */
+interface HighlightRegistry {
+ forEach(callbackfn: (value: Highlight, key: string, parent: HighlightRegistry) => void, thisArg?: any): void;
+}
+
+declare var HighlightRegistry: {
+ prototype: HighlightRegistry;
+ new(): HighlightRegistry;
+};
+
+/**
+ * Allows manipulation of the browser session history, that is the pages visited in the tab or frame that the current page is loaded in.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/History)
+ */
+interface History {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/History/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/History/scrollRestoration) */
+ scrollRestoration: ScrollRestoration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/History/state) */
+ readonly state: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/History/back) */
+ back(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/History/forward) */
+ forward(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/History/go) */
+ go(delta?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/History/pushState) */
+ pushState(data: any, unused: string, url?: string | URL | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/History/replaceState) */
+ replaceState(data: any, unused: string, url?: string | URL | null): void;
+}
+
+declare var History: {
+ prototype: History;
+ new(): History;
+};
+
+/**
+ * This IndexedDB API interface represents a cursor for traversing or iterating over multiple records in a database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor)
+ */
+interface IDBCursor {
+ /**
+ * Returns the direction ("next", "nextunique", "prev" or "prevunique") of the cursor.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/direction)
+ */
+ readonly direction: IDBCursorDirection;
+ /**
+ * Returns the key of the cursor. Throws a "InvalidStateError" DOMException if the cursor is advancing or is finished.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/key)
+ */
+ readonly key: IDBValidKey;
+ /**
+ * Returns the effective key of the cursor. Throws a "InvalidStateError" DOMException if the cursor is advancing or is finished.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/primaryKey)
+ */
+ readonly primaryKey: IDBValidKey;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/request) */
+ readonly request: IDBRequest;
+ /**
+ * Returns the IDBObjectStore or IDBIndex the cursor was opened from.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/source)
+ */
+ readonly source: IDBObjectStore | IDBIndex;
+ /**
+ * Advances the cursor through the next count records in range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/advance)
+ */
+ advance(count: number): void;
+ /**
+ * Advances the cursor to the next record in range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/continue)
+ */
+ continue(key?: IDBValidKey): void;
+ /**
+ * Advances the cursor to the next record in range matching or after key and primaryKey. Throws an "InvalidAccessError" DOMException if the source is not an index.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/continuePrimaryKey)
+ */
+ continuePrimaryKey(key: IDBValidKey, primaryKey: IDBValidKey): void;
+ /**
+ * Delete the record pointed at by the cursor with a new value.
+ *
+ * If successful, request's result will be undefined.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/delete)
+ */
+ delete(): IDBRequest<undefined>;
+ /**
+ * Updated the record pointed at by the cursor with a new value.
+ *
+ * Throws a "DataError" DOMException if the effective object store uses in-line keys and the key would have changed.
+ *
+ * If successful, request's result will be the record's key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/update)
+ */
+ update(value: any): IDBRequest<IDBValidKey>;
+}
+
+declare var IDBCursor: {
+ prototype: IDBCursor;
+ new(): IDBCursor;
+};
+
+/**
+ * This IndexedDB API interface represents a cursor for traversing or iterating over multiple records in a database. It is the same as the IDBCursor, except that it includes the value property.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursorWithValue)
+ */
+interface IDBCursorWithValue extends IDBCursor {
+ /**
+ * Returns the cursor's current value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursorWithValue/value)
+ */
+ readonly value: any;
+}
+
+declare var IDBCursorWithValue: {
+ prototype: IDBCursorWithValue;
+ new(): IDBCursorWithValue;
+};
+
+interface IDBDatabaseEventMap {
+ "abort": Event;
+ "close": Event;
+ "error": Event;
+ "versionchange": IDBVersionChangeEvent;
+}
+
+/**
+ * This IndexedDB API interface provides a connection to a database; you can use an IDBDatabase object to open a transaction on your database then create, manipulate, and delete objects (data) in that database. The interface provides the only way to get and manage versions of the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase)
+ */
+interface IDBDatabase extends EventTarget {
+ /**
+ * Returns the name of the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/name)
+ */
+ readonly name: string;
+ /**
+ * Returns a list of the names of object stores in the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/objectStoreNames)
+ */
+ readonly objectStoreNames: DOMStringList;
+ onabort: ((this: IDBDatabase, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/close_event) */
+ onclose: ((this: IDBDatabase, ev: Event) => any) | null;
+ onerror: ((this: IDBDatabase, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/versionchange_event) */
+ onversionchange: ((this: IDBDatabase, ev: IDBVersionChangeEvent) => any) | null;
+ /**
+ * Returns the version of the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/version)
+ */
+ readonly version: number;
+ /**
+ * Closes the connection once all running transactions have finished.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/close)
+ */
+ close(): void;
+ /**
+ * Creates a new object store with the given name and options and returns a new IDBObjectStore.
+ *
+ * Throws a "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/createObjectStore)
+ */
+ createObjectStore(name: string, options?: IDBObjectStoreParameters): IDBObjectStore;
+ /**
+ * Deletes the object store with the given name.
+ *
+ * Throws a "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/deleteObjectStore)
+ */
+ deleteObjectStore(name: string): void;
+ /**
+ * Returns a new transaction with the given mode ("readonly" or "readwrite") and scope which can be a single object store name or an array of names.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/transaction)
+ */
+ transaction(storeNames: string | string[], mode?: IDBTransactionMode, options?: IDBTransactionOptions): IDBTransaction;
+ addEventListener<K extends keyof IDBDatabaseEventMap>(type: K, listener: (this: IDBDatabase, ev: IDBDatabaseEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof IDBDatabaseEventMap>(type: K, listener: (this: IDBDatabase, ev: IDBDatabaseEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var IDBDatabase: {
+ prototype: IDBDatabase;
+ new(): IDBDatabase;
+};
+
+/**
+ * In the following code snippet, we make a request to open a database, and include handlers for the success and error cases. For a full working example, see our To-do Notifications app (view example live.)
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory)
+ */
+interface IDBFactory {
+ /**
+ * Compares two values as keys. Returns -1 if key1 precedes key2, 1 if key2 precedes key1, and 0 if the keys are equal.
+ *
+ * Throws a "DataError" DOMException if either input is not a valid key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory/cmp)
+ */
+ cmp(first: any, second: any): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory/databases) */
+ databases(): Promise<IDBDatabaseInfo[]>;
+ /**
+ * Attempts to delete the named database. If the database already exists and there are open connections that don't close in response to a versionchange event, the request will be blocked until all they close. If the request is successful request's result will be null.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory/deleteDatabase)
+ */
+ deleteDatabase(name: string): IDBOpenDBRequest;
+ /**
+ * Attempts to open a connection to the named database with the current version, or 1 if it does not already exist. If the request is successful request's result will be the connection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory/open)
+ */
+ open(name: string, version?: number): IDBOpenDBRequest;
+}
+
+declare var IDBFactory: {
+ prototype: IDBFactory;
+ new(): IDBFactory;
+};
+
+/**
+ * IDBIndex interface of the IndexedDB API provides asynchronous access to an index in a database. An index is a kind of object store for looking up records in another object store, called the referenced object store. You use this interface to retrieve data.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex)
+ */
+interface IDBIndex {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/keyPath) */
+ readonly keyPath: string | string[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/multiEntry) */
+ readonly multiEntry: boolean;
+ /**
+ * Returns the name of the index.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/name)
+ */
+ name: string;
+ /**
+ * Returns the IDBObjectStore the index belongs to.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/objectStore)
+ */
+ readonly objectStore: IDBObjectStore;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/unique) */
+ readonly unique: boolean;
+ /**
+ * Retrieves the number of records matching the given key or key range in query.
+ *
+ * If successful, request's result will be the count.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/count)
+ */
+ count(query?: IDBValidKey | IDBKeyRange): IDBRequest<number>;
+ /**
+ * Retrieves the value of the first record matching the given key or key range in query.
+ *
+ * If successful, request's result will be the value, or undefined if there was no matching record.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/get)
+ */
+ get(query: IDBValidKey | IDBKeyRange): IDBRequest<any>;
+ /**
+ * Retrieves the values of the records matching the given key or key range in query (up to count if given).
+ *
+ * If successful, request's result will be an Array of the values.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/getAll)
+ */
+ getAll(query?: IDBValidKey | IDBKeyRange | null, count?: number): IDBRequest<any[]>;
+ /**
+ * Retrieves the keys of records matching the given key or key range in query (up to count if given).
+ *
+ * If successful, request's result will be an Array of the keys.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/getAllKeys)
+ */
+ getAllKeys(query?: IDBValidKey | IDBKeyRange | null, count?: number): IDBRequest<IDBValidKey[]>;
+ /**
+ * Retrieves the key of the first record matching the given key or key range in query.
+ *
+ * If successful, request's result will be the key, or undefined if there was no matching record.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/getKey)
+ */
+ getKey(query: IDBValidKey | IDBKeyRange): IDBRequest<IDBValidKey | undefined>;
+ /**
+ * Opens a cursor over the records matching query, ordered by direction. If query is null, all records in index are matched.
+ *
+ * If successful, request's result will be an IDBCursorWithValue, or null if there were no matching records.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/openCursor)
+ */
+ openCursor(query?: IDBValidKey | IDBKeyRange | null, direction?: IDBCursorDirection): IDBRequest<IDBCursorWithValue | null>;
+ /**
+ * Opens a cursor with key only flag set over the records matching query, ordered by direction. If query is null, all records in index are matched.
+ *
+ * If successful, request's result will be an IDBCursor, or null if there were no matching records.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/openKeyCursor)
+ */
+ openKeyCursor(query?: IDBValidKey | IDBKeyRange | null, direction?: IDBCursorDirection): IDBRequest<IDBCursor | null>;
+}
+
+declare var IDBIndex: {
+ prototype: IDBIndex;
+ new(): IDBIndex;
+};
+
+/**
+ * A key range can be a single value or a range with upper and lower bounds or endpoints. If the key range has both upper and lower bounds, then it is bounded; if it has no bounds, it is unbounded. A bounded key range can either be open (the endpoints are excluded) or closed (the endpoints are included). To retrieve all keys within a certain range, you can use the following code constructs:
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange)
+ */
+interface IDBKeyRange {
+ /**
+ * Returns lower bound, or undefined if none.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/lower)
+ */
+ readonly lower: any;
+ /**
+ * Returns true if the lower open flag is set, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/lowerOpen)
+ */
+ readonly lowerOpen: boolean;
+ /**
+ * Returns upper bound, or undefined if none.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/upper)
+ */
+ readonly upper: any;
+ /**
+ * Returns true if the upper open flag is set, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/upperOpen)
+ */
+ readonly upperOpen: boolean;
+ /**
+ * Returns true if key is included in the range, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/includes)
+ */
+ includes(key: any): boolean;
+}
+
+declare var IDBKeyRange: {
+ prototype: IDBKeyRange;
+ new(): IDBKeyRange;
+ /**
+ * Returns a new IDBKeyRange spanning from lower to upper. If lowerOpen is true, lower is not included in the range. If upperOpen is true, upper is not included in the range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/bound_static)
+ */
+ bound(lower: any, upper: any, lowerOpen?: boolean, upperOpen?: boolean): IDBKeyRange;
+ /**
+ * Returns a new IDBKeyRange starting at key with no upper bound. If open is true, key is not included in the range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/lowerBound_static)
+ */
+ lowerBound(lower: any, open?: boolean): IDBKeyRange;
+ /**
+ * Returns a new IDBKeyRange spanning only key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/only_static)
+ */
+ only(value: any): IDBKeyRange;
+ /**
+ * Returns a new IDBKeyRange with no lower bound and ending at key. If open is true, key is not included in the range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/upperBound_static)
+ */
+ upperBound(upper: any, open?: boolean): IDBKeyRange;
+};
+
+/**
+ * This example shows a variety of different uses of object stores, from updating the data structure with IDBObjectStore.createIndex inside an onupgradeneeded function, to adding a new item to our object store with IDBObjectStore.add. For a full working example, see our To-do Notifications app (view example live.)
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore)
+ */
+interface IDBObjectStore {
+ /**
+ * Returns true if the store has a key generator, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/autoIncrement)
+ */
+ readonly autoIncrement: boolean;
+ /**
+ * Returns a list of the names of indexes in the store.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/indexNames)
+ */
+ readonly indexNames: DOMStringList;
+ /**
+ * Returns the key path of the store, or null if none.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/keyPath)
+ */
+ readonly keyPath: string | string[];
+ /**
+ * Returns the name of the store.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/name)
+ */
+ name: string;
+ /**
+ * Returns the associated transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/transaction)
+ */
+ readonly transaction: IDBTransaction;
+ /**
+ * Adds or updates a record in store with the given value and key.
+ *
+ * If the store uses in-line keys and key is specified a "DataError" DOMException will be thrown.
+ *
+ * If put() is used, any existing record with the key will be replaced. If add() is used, and if a record with the key already exists the request will fail, with request's error set to a "ConstraintError" DOMException.
+ *
+ * If successful, request's result will be the record's key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/add)
+ */
+ add(value: any, key?: IDBValidKey): IDBRequest<IDBValidKey>;
+ /**
+ * Deletes all records in store.
+ *
+ * If successful, request's result will be undefined.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/clear)
+ */
+ clear(): IDBRequest<undefined>;
+ /**
+ * Retrieves the number of records matching the given key or key range in query.
+ *
+ * If successful, request's result will be the count.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/count)
+ */
+ count(query?: IDBValidKey | IDBKeyRange): IDBRequest<number>;
+ /**
+ * Creates a new index in store with the given name, keyPath and options and returns a new IDBIndex. If the keyPath and options define constraints that cannot be satisfied with the data already in store the upgrade transaction will abort with a "ConstraintError" DOMException.
+ *
+ * Throws an "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/createIndex)
+ */
+ createIndex(name: string, keyPath: string | string[], options?: IDBIndexParameters): IDBIndex;
+ /**
+ * Deletes records in store with the given key or in the given key range in query.
+ *
+ * If successful, request's result will be undefined.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/delete)
+ */
+ delete(query: IDBValidKey | IDBKeyRange): IDBRequest<undefined>;
+ /**
+ * Deletes the index in store with the given name.
+ *
+ * Throws an "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/deleteIndex)
+ */
+ deleteIndex(name: string): void;
+ /**
+ * Retrieves the value of the first record matching the given key or key range in query.
+ *
+ * If successful, request's result will be the value, or undefined if there was no matching record.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/get)
+ */
+ get(query: IDBValidKey | IDBKeyRange): IDBRequest<any>;
+ /**
+ * Retrieves the values of the records matching the given key or key range in query (up to count if given).
+ *
+ * If successful, request's result will be an Array of the values.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/getAll)
+ */
+ getAll(query?: IDBValidKey | IDBKeyRange | null, count?: number): IDBRequest<any[]>;
+ /**
+ * Retrieves the keys of records matching the given key or key range in query (up to count if given).
+ *
+ * If successful, request's result will be an Array of the keys.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/getAllKeys)
+ */
+ getAllKeys(query?: IDBValidKey | IDBKeyRange | null, count?: number): IDBRequest<IDBValidKey[]>;
+ /**
+ * Retrieves the key of the first record matching the given key or key range in query.
+ *
+ * If successful, request's result will be the key, or undefined if there was no matching record.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/getKey)
+ */
+ getKey(query: IDBValidKey | IDBKeyRange): IDBRequest<IDBValidKey | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/index) */
+ index(name: string): IDBIndex;
+ /**
+ * Opens a cursor over the records matching query, ordered by direction. If query is null, all records in store are matched.
+ *
+ * If successful, request's result will be an IDBCursorWithValue pointing at the first matching record, or null if there were no matching records.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/openCursor)
+ */
+ openCursor(query?: IDBValidKey | IDBKeyRange | null, direction?: IDBCursorDirection): IDBRequest<IDBCursorWithValue | null>;
+ /**
+ * Opens a cursor with key only flag set over the records matching query, ordered by direction. If query is null, all records in store are matched.
+ *
+ * If successful, request's result will be an IDBCursor pointing at the first matching record, or null if there were no matching records.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/openKeyCursor)
+ */
+ openKeyCursor(query?: IDBValidKey | IDBKeyRange | null, direction?: IDBCursorDirection): IDBRequest<IDBCursor | null>;
+ /**
+ * Adds or updates a record in store with the given value and key.
+ *
+ * If the store uses in-line keys and key is specified a "DataError" DOMException will be thrown.
+ *
+ * If put() is used, any existing record with the key will be replaced. If add() is used, and if a record with the key already exists the request will fail, with request's error set to a "ConstraintError" DOMException.
+ *
+ * If successful, request's result will be the record's key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/put)
+ */
+ put(value: any, key?: IDBValidKey): IDBRequest<IDBValidKey>;
+}
+
+declare var IDBObjectStore: {
+ prototype: IDBObjectStore;
+ new(): IDBObjectStore;
+};
+
+interface IDBOpenDBRequestEventMap extends IDBRequestEventMap {
+ "blocked": IDBVersionChangeEvent;
+ "upgradeneeded": IDBVersionChangeEvent;
+}
+
+/**
+ * Also inherits methods from its parents IDBRequest and EventTarget.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBOpenDBRequest)
+ */
+interface IDBOpenDBRequest extends IDBRequest<IDBDatabase> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBOpenDBRequest/blocked_event) */
+ onblocked: ((this: IDBOpenDBRequest, ev: IDBVersionChangeEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBOpenDBRequest/upgradeneeded_event) */
+ onupgradeneeded: ((this: IDBOpenDBRequest, ev: IDBVersionChangeEvent) => any) | null;
+ addEventListener<K extends keyof IDBOpenDBRequestEventMap>(type: K, listener: (this: IDBOpenDBRequest, ev: IDBOpenDBRequestEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof IDBOpenDBRequestEventMap>(type: K, listener: (this: IDBOpenDBRequest, ev: IDBOpenDBRequestEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var IDBOpenDBRequest: {
+ prototype: IDBOpenDBRequest;
+ new(): IDBOpenDBRequest;
+};
+
+interface IDBRequestEventMap {
+ "error": Event;
+ "success": Event;
+}
+
+/**
+ * The request object does not initially contain any information about the result of the operation, but once information becomes available, an event is fired on the request, and the information becomes available through the properties of the IDBRequest instance.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest)
+ */
+interface IDBRequest<T = any> extends EventTarget {
+ /**
+ * When a request is completed, returns the error (a DOMException), or null if the request succeeded. Throws a "InvalidStateError" DOMException if the request is still pending.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/error)
+ */
+ readonly error: DOMException | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/error_event) */
+ onerror: ((this: IDBRequest<T>, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/success_event) */
+ onsuccess: ((this: IDBRequest<T>, ev: Event) => any) | null;
+ /**
+ * Returns "pending" until a request is complete, then returns "done".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/readyState)
+ */
+ readonly readyState: IDBRequestReadyState;
+ /**
+ * When a request is completed, returns the result, or undefined if the request failed. Throws a "InvalidStateError" DOMException if the request is still pending.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/result)
+ */
+ readonly result: T;
+ /**
+ * Returns the IDBObjectStore, IDBIndex, or IDBCursor the request was made against, or null if is was an open request.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/source)
+ */
+ readonly source: IDBObjectStore | IDBIndex | IDBCursor;
+ /**
+ * Returns the IDBTransaction the request was made within. If this as an open request, then it returns an upgrade transaction while it is running, or null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/transaction)
+ */
+ readonly transaction: IDBTransaction | null;
+ addEventListener<K extends keyof IDBRequestEventMap>(type: K, listener: (this: IDBRequest<T>, ev: IDBRequestEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof IDBRequestEventMap>(type: K, listener: (this: IDBRequest<T>, ev: IDBRequestEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var IDBRequest: {
+ prototype: IDBRequest;
+ new(): IDBRequest;
+};
+
+interface IDBTransactionEventMap {
+ "abort": Event;
+ "complete": Event;
+ "error": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction) */
+interface IDBTransaction extends EventTarget {
+ /**
+ * Returns the transaction's connection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/db)
+ */
+ readonly db: IDBDatabase;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/durability) */
+ readonly durability: IDBTransactionDurability;
+ /**
+ * If the transaction was aborted, returns the error (a DOMException) providing the reason.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/error)
+ */
+ readonly error: DOMException | null;
+ /**
+ * Returns the mode the transaction was created with ("readonly" or "readwrite"), or "versionchange" for an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/mode)
+ */
+ readonly mode: IDBTransactionMode;
+ /**
+ * Returns a list of the names of object stores in the transaction's scope. For an upgrade transaction this is all object stores in the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/objectStoreNames)
+ */
+ readonly objectStoreNames: DOMStringList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/abort_event) */
+ onabort: ((this: IDBTransaction, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/complete_event) */
+ oncomplete: ((this: IDBTransaction, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/error_event) */
+ onerror: ((this: IDBTransaction, ev: Event) => any) | null;
+ /**
+ * Aborts the transaction. All pending requests will fail with a "AbortError" DOMException and all changes made to the database will be reverted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/abort)
+ */
+ abort(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/commit) */
+ commit(): void;
+ /**
+ * Returns an IDBObjectStore in the transaction's scope.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/objectStore)
+ */
+ objectStore(name: string): IDBObjectStore;
+ addEventListener<K extends keyof IDBTransactionEventMap>(type: K, listener: (this: IDBTransaction, ev: IDBTransactionEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof IDBTransactionEventMap>(type: K, listener: (this: IDBTransaction, ev: IDBTransactionEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var IDBTransaction: {
+ prototype: IDBTransaction;
+ new(): IDBTransaction;
+};
+
+/**
+ * This IndexedDB API interface indicates that the version of the database has changed, as the result of an IDBOpenDBRequest.onupgradeneeded event handler function.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBVersionChangeEvent)
+ */
+interface IDBVersionChangeEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBVersionChangeEvent/newVersion) */
+ readonly newVersion: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBVersionChangeEvent/oldVersion) */
+ readonly oldVersion: number;
+}
+
+declare var IDBVersionChangeEvent: {
+ prototype: IDBVersionChangeEvent;
+ new(type: string, eventInitDict?: IDBVersionChangeEventInit): IDBVersionChangeEvent;
+};
+
+/**
+ * The IIRFilterNode interface of the Web Audio API is a AudioNode processor which implements a general infinite impulse response (IIR)  filter; this type of filter can be used to implement tone control devices and graphic equalizers as well. It lets the parameters of the filter response be specified, so that it can be tuned as needed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IIRFilterNode)
+ */
+interface IIRFilterNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IIRFilterNode/getFrequencyResponse) */
+ getFrequencyResponse(frequencyHz: Float32Array, magResponse: Float32Array, phaseResponse: Float32Array): void;
+}
+
+declare var IIRFilterNode: {
+ prototype: IIRFilterNode;
+ new(context: BaseAudioContext, options: IIRFilterOptions): IIRFilterNode;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IdleDeadline) */
+interface IdleDeadline {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IdleDeadline/didTimeout) */
+ readonly didTimeout: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IdleDeadline/timeRemaining) */
+ timeRemaining(): DOMHighResTimeStamp;
+}
+
+declare var IdleDeadline: {
+ prototype: IdleDeadline;
+ new(): IdleDeadline;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmap) */
+interface ImageBitmap {
+ /**
+ * Returns the intrinsic height of the image, in CSS pixels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmap/height)
+ */
+ readonly height: number;
+ /**
+ * Returns the intrinsic width of the image, in CSS pixels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmap/width)
+ */
+ readonly width: number;
+ /**
+ * Releases imageBitmap's underlying bitmap data.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmap/close)
+ */
+ close(): void;
+}
+
+declare var ImageBitmap: {
+ prototype: ImageBitmap;
+ new(): ImageBitmap;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmapRenderingContext) */
+interface ImageBitmapRenderingContext {
+ /** Returns the canvas element that the context is bound to. */
+ readonly canvas: HTMLCanvasElement | OffscreenCanvas;
+ /**
+ * Transfers the underlying bitmap data from imageBitmap to context, and the bitmap becomes the contents of the canvas element to which context is bound.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmapRenderingContext/transferFromImageBitmap)
+ */
+ transferFromImageBitmap(bitmap: ImageBitmap | null): void;
+}
+
+declare var ImageBitmapRenderingContext: {
+ prototype: ImageBitmapRenderingContext;
+ new(): ImageBitmapRenderingContext;
+};
+
+/**
+ * The underlying pixel data of an area of a <canvas> element. It is created using the ImageData() constructor or creator methods on the CanvasRenderingContext2D object associated with a canvas: createImageData() and getImageData(). It can also be used to set a part of the canvas by using putImageData().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData)
+ */
+interface ImageData {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData/colorSpace) */
+ readonly colorSpace: PredefinedColorSpace;
+ /**
+ * Returns the one-dimensional array containing the data in RGBA order, as integers in the range 0 to 255.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData/data)
+ */
+ readonly data: Uint8ClampedArray;
+ /**
+ * Returns the actual dimensions of the data in the ImageData object, in pixels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData/height)
+ */
+ readonly height: number;
+ /**
+ * Returns the actual dimensions of the data in the ImageData object, in pixels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData/width)
+ */
+ readonly width: number;
+}
+
+declare var ImageData: {
+ prototype: ImageData;
+ new(sw: number, sh: number, settings?: ImageDataSettings): ImageData;
+ new(data: Uint8ClampedArray, sw: number, sh?: number, settings?: ImageDataSettings): ImageData;
+};
+
+interface InnerHTML {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/innerHTML) */
+ innerHTML: string;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/InputDeviceInfo)
+ */
+interface InputDeviceInfo extends MediaDeviceInfo {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/InputDeviceInfo/getCapabilities) */
+ getCapabilities(): MediaTrackCapabilities;
+}
+
+declare var InputDeviceInfo: {
+ prototype: InputDeviceInfo;
+ new(): InputDeviceInfo;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/InputEvent) */
+interface InputEvent extends UIEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/InputEvent/data) */
+ readonly data: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/InputEvent/dataTransfer) */
+ readonly dataTransfer: DataTransfer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/InputEvent/inputType) */
+ readonly inputType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/InputEvent/isComposing) */
+ readonly isComposing: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/InputEvent/getTargetRanges) */
+ getTargetRanges(): StaticRange[];
+}
+
+declare var InputEvent: {
+ prototype: InputEvent;
+ new(type: string, eventInitDict?: InputEventInit): InputEvent;
+};
+
+/**
+ * provides a way to asynchronously observe changes in the intersection of a target element with an ancestor element or with a top-level document's viewport.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserver)
+ */
+interface IntersectionObserver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserver/root) */
+ readonly root: Element | Document | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserver/rootMargin) */
+ readonly rootMargin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserver/thresholds) */
+ readonly thresholds: ReadonlyArray<number>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserver/disconnect) */
+ disconnect(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserver/observe) */
+ observe(target: Element): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserver/takeRecords) */
+ takeRecords(): IntersectionObserverEntry[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserver/unobserve) */
+ unobserve(target: Element): void;
+}
+
+declare var IntersectionObserver: {
+ prototype: IntersectionObserver;
+ new(callback: IntersectionObserverCallback, options?: IntersectionObserverInit): IntersectionObserver;
+};
+
+/**
+ * This Intersection Observer API interface describes the intersection between the target element and its root container at a specific moment of transition.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserverEntry)
+ */
+interface IntersectionObserverEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserverEntry/boundingClientRect) */
+ readonly boundingClientRect: DOMRectReadOnly;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserverEntry/intersectionRatio) */
+ readonly intersectionRatio: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserverEntry/intersectionRect) */
+ readonly intersectionRect: DOMRectReadOnly;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserverEntry/isIntersecting) */
+ readonly isIntersecting: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserverEntry/rootBounds) */
+ readonly rootBounds: DOMRectReadOnly | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserverEntry/target) */
+ readonly target: Element;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IntersectionObserverEntry/time) */
+ readonly time: DOMHighResTimeStamp;
+}
+
+declare var IntersectionObserverEntry: {
+ prototype: IntersectionObserverEntry;
+ new(intersectionObserverEntryInit: IntersectionObserverEntryInit): IntersectionObserverEntry;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KHR_parallel_shader_compile) */
+interface KHR_parallel_shader_compile {
+ readonly COMPLETION_STATUS_KHR: 0x91B1;
+}
+
+/**
+ * KeyboardEvent objects describe a user interaction with the keyboard; each event describes a single interaction between the user and a key (or combination of a key with modifier keys) on the keyboard.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent)
+ */
+interface KeyboardEvent extends UIEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/altKey) */
+ readonly altKey: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/charCode)
+ */
+ readonly charCode: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/code) */
+ readonly code: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/ctrlKey) */
+ readonly ctrlKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/isComposing) */
+ readonly isComposing: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/key) */
+ readonly key: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/keyCode)
+ */
+ readonly keyCode: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/location) */
+ readonly location: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/metaKey) */
+ readonly metaKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/repeat) */
+ readonly repeat: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/shiftKey) */
+ readonly shiftKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/getModifierState) */
+ getModifierState(keyArg: string): boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyboardEvent/initKeyboardEvent)
+ */
+ initKeyboardEvent(typeArg: string, bubblesArg?: boolean, cancelableArg?: boolean, viewArg?: Window | null, keyArg?: string, locationArg?: number, ctrlKey?: boolean, altKey?: boolean, shiftKey?: boolean, metaKey?: boolean): void;
+ readonly DOM_KEY_LOCATION_STANDARD: 0x00;
+ readonly DOM_KEY_LOCATION_LEFT: 0x01;
+ readonly DOM_KEY_LOCATION_RIGHT: 0x02;
+ readonly DOM_KEY_LOCATION_NUMPAD: 0x03;
+}
+
+declare var KeyboardEvent: {
+ prototype: KeyboardEvent;
+ new(type: string, eventInitDict?: KeyboardEventInit): KeyboardEvent;
+ readonly DOM_KEY_LOCATION_STANDARD: 0x00;
+ readonly DOM_KEY_LOCATION_LEFT: 0x01;
+ readonly DOM_KEY_LOCATION_RIGHT: 0x02;
+ readonly DOM_KEY_LOCATION_NUMPAD: 0x03;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyframeEffect) */
+interface KeyframeEffect extends AnimationEffect {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyframeEffect/composite) */
+ composite: CompositeOperation;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyframeEffect/iterationComposite) */
+ iterationComposite: IterationCompositeOperation;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyframeEffect/pseudoElement) */
+ pseudoElement: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyframeEffect/target) */
+ target: Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyframeEffect/getKeyframes) */
+ getKeyframes(): ComputedKeyframe[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KeyframeEffect/setKeyframes) */
+ setKeyframes(keyframes: Keyframe[] | PropertyIndexedKeyframes | null): void;
+}
+
+declare var KeyframeEffect: {
+ prototype: KeyframeEffect;
+ new(target: Element | null, keyframes: Keyframe[] | PropertyIndexedKeyframes | null, options?: number | KeyframeEffectOptions): KeyframeEffect;
+ new(source: KeyframeEffect): KeyframeEffect;
+};
+
+interface LinkStyle {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLLinkElement/sheet) */
+ readonly sheet: CSSStyleSheet | null;
+}
+
+/**
+ * The location (URL) of the object it is linked to. Changes done on it are reflected on the object it relates to. Both the Document and Window interface have such a linked Location, accessible via Document.location and Window.location respectively.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location)
+ */
+interface Location {
+ /**
+ * Returns a DOMStringList object listing the origins of the ancestor browsing contexts, from the parent browsing context to the top-level browsing context.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/ancestorOrigins)
+ */
+ readonly ancestorOrigins: DOMStringList;
+ /**
+ * Returns the Location object's URL's fragment (includes leading "#" if non-empty).
+ *
+ * Can be set, to navigate to the same URL with a changed fragment (ignores leading "#").
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/hash)
+ */
+ hash: string;
+ /**
+ * Returns the Location object's URL's host and port (if different from the default port for the scheme).
+ *
+ * Can be set, to navigate to the same URL with a changed host and port.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/host)
+ */
+ host: string;
+ /**
+ * Returns the Location object's URL's host.
+ *
+ * Can be set, to navigate to the same URL with a changed host.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/hostname)
+ */
+ hostname: string;
+ /**
+ * Returns the Location object's URL.
+ *
+ * Can be set, to navigate to the given URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/href)
+ */
+ href: string;
+ toString(): string;
+ /**
+ * Returns the Location object's URL's origin.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/origin)
+ */
+ readonly origin: string;
+ /**
+ * Returns the Location object's URL's path.
+ *
+ * Can be set, to navigate to the same URL with a changed path.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/pathname)
+ */
+ pathname: string;
+ /**
+ * Returns the Location object's URL's port.
+ *
+ * Can be set, to navigate to the same URL with a changed port.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/port)
+ */
+ port: string;
+ /**
+ * Returns the Location object's URL's scheme.
+ *
+ * Can be set, to navigate to the same URL with a changed scheme.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/protocol)
+ */
+ protocol: string;
+ /**
+ * Returns the Location object's URL's query (includes leading "?" if non-empty).
+ *
+ * Can be set, to navigate to the same URL with a changed query (ignores leading "?").
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/search)
+ */
+ search: string;
+ /**
+ * Navigates to the given URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/assign)
+ */
+ assign(url: string | URL): void;
+ /**
+ * Reloads the current page.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/reload)
+ */
+ reload(): void;
+ /**
+ * Removes the current page from the session history and navigates to the given URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Location/replace)
+ */
+ replace(url: string | URL): void;
+}
+
+declare var Location: {
+ prototype: Location;
+ new(): Location;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Lock)
+ */
+interface Lock {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Lock/mode) */
+ readonly mode: LockMode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Lock/name) */
+ readonly name: string;
+}
+
+declare var Lock: {
+ prototype: Lock;
+ new(): Lock;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/LockManager)
+ */
+interface LockManager {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/LockManager/query) */
+ query(): Promise<LockManagerSnapshot>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/LockManager/request) */
+ request(name: string, callback: LockGrantedCallback): Promise<any>;
+ request(name: string, options: LockOptions, callback: LockGrantedCallback): Promise<any>;
+}
+
+declare var LockManager: {
+ prototype: LockManager;
+ new(): LockManager;
+};
+
+interface MIDIAccessEventMap {
+ "statechange": Event;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIAccess)
+ */
+interface MIDIAccess extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIAccess/inputs) */
+ readonly inputs: MIDIInputMap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIAccess/statechange_event) */
+ onstatechange: ((this: MIDIAccess, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIAccess/outputs) */
+ readonly outputs: MIDIOutputMap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIAccess/sysexEnabled) */
+ readonly sysexEnabled: boolean;
+ addEventListener<K extends keyof MIDIAccessEventMap>(type: K, listener: (this: MIDIAccess, ev: MIDIAccessEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MIDIAccessEventMap>(type: K, listener: (this: MIDIAccess, ev: MIDIAccessEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MIDIAccess: {
+ prototype: MIDIAccess;
+ new(): MIDIAccess;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIConnectionEvent)
+ */
+interface MIDIConnectionEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIConnectionEvent/port) */
+ readonly port: MIDIPort | null;
+}
+
+declare var MIDIConnectionEvent: {
+ prototype: MIDIConnectionEvent;
+ new(type: string, eventInitDict?: MIDIConnectionEventInit): MIDIConnectionEvent;
+};
+
+interface MIDIInputEventMap extends MIDIPortEventMap {
+ "midimessage": MIDIMessageEvent;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIInput)
+ */
+interface MIDIInput extends MIDIPort {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIInput/midimessage_event) */
+ onmidimessage: ((this: MIDIInput, ev: MIDIMessageEvent) => any) | null;
+ addEventListener<K extends keyof MIDIInputEventMap>(type: K, listener: (this: MIDIInput, ev: MIDIInputEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MIDIInputEventMap>(type: K, listener: (this: MIDIInput, ev: MIDIInputEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MIDIInput: {
+ prototype: MIDIInput;
+ new(): MIDIInput;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIInputMap)
+ */
+interface MIDIInputMap {
+ forEach(callbackfn: (value: MIDIInput, key: string, parent: MIDIInputMap) => void, thisArg?: any): void;
+}
+
+declare var MIDIInputMap: {
+ prototype: MIDIInputMap;
+ new(): MIDIInputMap;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIMessageEvent)
+ */
+interface MIDIMessageEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIMessageEvent/data) */
+ readonly data: Uint8Array | null;
+}
+
+declare var MIDIMessageEvent: {
+ prototype: MIDIMessageEvent;
+ new(type: string, eventInitDict?: MIDIMessageEventInit): MIDIMessageEvent;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIOutput)
+ */
+interface MIDIOutput extends MIDIPort {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIOutput/send) */
+ send(data: number[], timestamp?: DOMHighResTimeStamp): void;
+ addEventListener<K extends keyof MIDIPortEventMap>(type: K, listener: (this: MIDIOutput, ev: MIDIPortEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MIDIPortEventMap>(type: K, listener: (this: MIDIOutput, ev: MIDIPortEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MIDIOutput: {
+ prototype: MIDIOutput;
+ new(): MIDIOutput;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIOutputMap)
+ */
+interface MIDIOutputMap {
+ forEach(callbackfn: (value: MIDIOutput, key: string, parent: MIDIOutputMap) => void, thisArg?: any): void;
+}
+
+declare var MIDIOutputMap: {
+ prototype: MIDIOutputMap;
+ new(): MIDIOutputMap;
+};
+
+interface MIDIPortEventMap {
+ "statechange": MIDIConnectionEvent;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort)
+ */
+interface MIDIPort extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/connection) */
+ readonly connection: MIDIPortConnectionState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/id) */
+ readonly id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/manufacturer) */
+ readonly manufacturer: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/name) */
+ readonly name: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/statechange_event) */
+ onstatechange: ((this: MIDIPort, ev: MIDIConnectionEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/state) */
+ readonly state: MIDIPortDeviceState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/type) */
+ readonly type: MIDIPortType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/version) */
+ readonly version: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/close) */
+ close(): Promise<MIDIPort>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIPort/open) */
+ open(): Promise<MIDIPort>;
+ addEventListener<K extends keyof MIDIPortEventMap>(type: K, listener: (this: MIDIPort, ev: MIDIPortEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MIDIPortEventMap>(type: K, listener: (this: MIDIPort, ev: MIDIPortEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MIDIPort: {
+ prototype: MIDIPort;
+ new(): MIDIPort;
+};
+
+interface MathMLElementEventMap extends ElementEventMap, GlobalEventHandlersEventMap {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MathMLElement) */
+interface MathMLElement extends Element, ElementCSSInlineStyle, GlobalEventHandlers, HTMLOrSVGElement {
+ addEventListener<K extends keyof MathMLElementEventMap>(type: K, listener: (this: MathMLElement, ev: MathMLElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MathMLElementEventMap>(type: K, listener: (this: MathMLElement, ev: MathMLElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MathMLElement: {
+ prototype: MathMLElement;
+ new(): MathMLElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaCapabilities) */
+interface MediaCapabilities {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaCapabilities/decodingInfo) */
+ decodingInfo(configuration: MediaDecodingConfiguration): Promise<MediaCapabilitiesDecodingInfo>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaCapabilities/encodingInfo) */
+ encodingInfo(configuration: MediaEncodingConfiguration): Promise<MediaCapabilitiesEncodingInfo>;
+}
+
+declare var MediaCapabilities: {
+ prototype: MediaCapabilities;
+ new(): MediaCapabilities;
+};
+
+/**
+ * The MediaDevicesInfo interface contains information that describes a single media input or output device.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDeviceInfo)
+ */
+interface MediaDeviceInfo {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDeviceInfo/deviceId) */
+ readonly deviceId: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDeviceInfo/groupId) */
+ readonly groupId: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDeviceInfo/kind) */
+ readonly kind: MediaDeviceKind;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDeviceInfo/label) */
+ readonly label: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDeviceInfo/toJSON) */
+ toJSON(): any;
+}
+
+declare var MediaDeviceInfo: {
+ prototype: MediaDeviceInfo;
+ new(): MediaDeviceInfo;
+};
+
+interface MediaDevicesEventMap {
+ "devicechange": Event;
+}
+
+/**
+ * Provides access to connected media input devices like cameras and microphones, as well as screen sharing. In essence, it lets you obtain access to any hardware source of media data.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDevices)
+ */
+interface MediaDevices extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDevices/devicechange_event) */
+ ondevicechange: ((this: MediaDevices, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDevices/enumerateDevices) */
+ enumerateDevices(): Promise<MediaDeviceInfo[]>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDevices/getDisplayMedia) */
+ getDisplayMedia(options?: DisplayMediaStreamOptions): Promise<MediaStream>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDevices/getSupportedConstraints) */
+ getSupportedConstraints(): MediaTrackSupportedConstraints;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaDevices/getUserMedia) */
+ getUserMedia(constraints?: MediaStreamConstraints): Promise<MediaStream>;
+ addEventListener<K extends keyof MediaDevicesEventMap>(type: K, listener: (this: MediaDevices, ev: MediaDevicesEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MediaDevicesEventMap>(type: K, listener: (this: MediaDevices, ev: MediaDevicesEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MediaDevices: {
+ prototype: MediaDevices;
+ new(): MediaDevices;
+};
+
+/**
+ * A MediaElementSourceNode has no inputs and exactly one output, and is created using the AudioContext.createMediaElementSource method. The amount of channels in the output equals the number of channels of the audio referenced by the HTMLMediaElement used in the creation of the node, or is 1 if the HTMLMediaElement has no audio.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaElementAudioSourceNode)
+ */
+interface MediaElementAudioSourceNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaElementAudioSourceNode/mediaElement) */
+ readonly mediaElement: HTMLMediaElement;
+}
+
+declare var MediaElementAudioSourceNode: {
+ prototype: MediaElementAudioSourceNode;
+ new(context: AudioContext, options: MediaElementAudioSourceOptions): MediaElementAudioSourceNode;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaEncryptedEvent) */
+interface MediaEncryptedEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaEncryptedEvent/initData) */
+ readonly initData: ArrayBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaEncryptedEvent/initDataType) */
+ readonly initDataType: string;
+}
+
+declare var MediaEncryptedEvent: {
+ prototype: MediaEncryptedEvent;
+ new(type: string, eventInitDict?: MediaEncryptedEventInit): MediaEncryptedEvent;
+};
+
+/**
+ * An error which occurred while handling media in an HTML media element based on HTMLMediaElement, such as <audio> or <video>.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaError)
+ */
+interface MediaError {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaError/code) */
+ readonly code: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaError/message) */
+ readonly message: string;
+ readonly MEDIA_ERR_ABORTED: 1;
+ readonly MEDIA_ERR_NETWORK: 2;
+ readonly MEDIA_ERR_DECODE: 3;
+ readonly MEDIA_ERR_SRC_NOT_SUPPORTED: 4;
+}
+
+declare var MediaError: {
+ prototype: MediaError;
+ new(): MediaError;
+ readonly MEDIA_ERR_ABORTED: 1;
+ readonly MEDIA_ERR_NETWORK: 2;
+ readonly MEDIA_ERR_DECODE: 3;
+ readonly MEDIA_ERR_SRC_NOT_SUPPORTED: 4;
+};
+
+/**
+ * This EncryptedMediaExtensions API interface contains the content and related data when the content decryption module generates a message for the session.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeyMessageEvent)
+ */
+interface MediaKeyMessageEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeyMessageEvent/message) */
+ readonly message: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeyMessageEvent/messageType) */
+ readonly messageType: MediaKeyMessageType;
+}
+
+declare var MediaKeyMessageEvent: {
+ prototype: MediaKeyMessageEvent;
+ new(type: string, eventInitDict: MediaKeyMessageEventInit): MediaKeyMessageEvent;
+};
+
+interface MediaKeySessionEventMap {
+ "keystatuseschange": Event;
+ "message": MediaKeyMessageEvent;
+}
+
+/**
+ * This EncryptedMediaExtensions API interface represents a context for message exchange with a content decryption module (CDM).
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession)
+ */
+interface MediaKeySession extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/closed) */
+ readonly closed: Promise<MediaKeySessionClosedReason>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/expiration) */
+ readonly expiration: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/keyStatuses) */
+ readonly keyStatuses: MediaKeyStatusMap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/keystatuseschange_event) */
+ onkeystatuseschange: ((this: MediaKeySession, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/message_event) */
+ onmessage: ((this: MediaKeySession, ev: MediaKeyMessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/sessionId) */
+ readonly sessionId: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/close) */
+ close(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/generateRequest) */
+ generateRequest(initDataType: string, initData: BufferSource): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/load) */
+ load(sessionId: string): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/remove) */
+ remove(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySession/update) */
+ update(response: BufferSource): Promise<void>;
+ addEventListener<K extends keyof MediaKeySessionEventMap>(type: K, listener: (this: MediaKeySession, ev: MediaKeySessionEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MediaKeySessionEventMap>(type: K, listener: (this: MediaKeySession, ev: MediaKeySessionEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MediaKeySession: {
+ prototype: MediaKeySession;
+ new(): MediaKeySession;
+};
+
+/**
+ * This EncryptedMediaExtensions API interface is a read-only map of media key statuses by key IDs.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeyStatusMap)
+ */
+interface MediaKeyStatusMap {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeyStatusMap/size) */
+ readonly size: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeyStatusMap/get) */
+ get(keyId: BufferSource): MediaKeyStatus | undefined;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeyStatusMap/has) */
+ has(keyId: BufferSource): boolean;
+ forEach(callbackfn: (value: MediaKeyStatus, key: BufferSource, parent: MediaKeyStatusMap) => void, thisArg?: any): void;
+}
+
+declare var MediaKeyStatusMap: {
+ prototype: MediaKeyStatusMap;
+ new(): MediaKeyStatusMap;
+};
+
+/**
+ * This EncryptedMediaExtensions API interface provides access to a Key System for decryption and/or a content protection provider. You can request an instance of this object using the Navigator.requestMediaKeySystemAccess method.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySystemAccess)
+ */
+interface MediaKeySystemAccess {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySystemAccess/keySystem) */
+ readonly keySystem: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySystemAccess/createMediaKeys) */
+ createMediaKeys(): Promise<MediaKeys>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeySystemAccess/getConfiguration) */
+ getConfiguration(): MediaKeySystemConfiguration;
+}
+
+declare var MediaKeySystemAccess: {
+ prototype: MediaKeySystemAccess;
+ new(): MediaKeySystemAccess;
+};
+
+/**
+ * This EncryptedMediaExtensions API interface the represents a set of keys that an associated HTMLMediaElement can use for decryption of media data during playback.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeys)
+ */
+interface MediaKeys {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeys/createSession) */
+ createSession(sessionType?: MediaKeySessionType): MediaKeySession;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaKeys/setServerCertificate) */
+ setServerCertificate(serverCertificate: BufferSource): Promise<boolean>;
+}
+
+declare var MediaKeys: {
+ prototype: MediaKeys;
+ new(): MediaKeys;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaList) */
+interface MediaList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaList/mediaText) */
+ mediaText: string;
+ toString(): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaList/appendMedium) */
+ appendMedium(medium: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaList/deleteMedium) */
+ deleteMedium(medium: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaList/item) */
+ item(index: number): string | null;
+ [index: number]: string;
+}
+
+declare var MediaList: {
+ prototype: MediaList;
+ new(): MediaList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaMetadata) */
+interface MediaMetadata {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaMetadata/album) */
+ album: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaMetadata/artist) */
+ artist: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaMetadata/artwork) */
+ artwork: ReadonlyArray<MediaImage>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaMetadata/title) */
+ title: string;
+}
+
+declare var MediaMetadata: {
+ prototype: MediaMetadata;
+ new(init?: MediaMetadataInit): MediaMetadata;
+};
+
+interface MediaQueryListEventMap {
+ "change": MediaQueryListEvent;
+}
+
+/**
+ * Stores information on a media query applied to a document, and handles sending notifications to listeners when the media query state change (i.e. when the media query test starts or stops evaluating to true).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryList)
+ */
+interface MediaQueryList extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryList/matches) */
+ readonly matches: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryList/media) */
+ readonly media: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryList/change_event) */
+ onchange: ((this: MediaQueryList, ev: MediaQueryListEvent) => any) | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryList/addListener)
+ */
+ addListener(callback: ((this: MediaQueryList, ev: MediaQueryListEvent) => any) | null): void;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryList/removeListener)
+ */
+ removeListener(callback: ((this: MediaQueryList, ev: MediaQueryListEvent) => any) | null): void;
+ addEventListener<K extends keyof MediaQueryListEventMap>(type: K, listener: (this: MediaQueryList, ev: MediaQueryListEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MediaQueryListEventMap>(type: K, listener: (this: MediaQueryList, ev: MediaQueryListEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MediaQueryList: {
+ prototype: MediaQueryList;
+ new(): MediaQueryList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryListEvent) */
+interface MediaQueryListEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryListEvent/matches) */
+ readonly matches: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaQueryListEvent/media) */
+ readonly media: string;
+}
+
+declare var MediaQueryListEvent: {
+ prototype: MediaQueryListEvent;
+ new(type: string, eventInitDict?: MediaQueryListEventInit): MediaQueryListEvent;
+};
+
+interface MediaRecorderEventMap {
+ "dataavailable": BlobEvent;
+ "error": Event;
+ "pause": Event;
+ "resume": Event;
+ "start": Event;
+ "stop": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder) */
+interface MediaRecorder extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/audioBitsPerSecond) */
+ readonly audioBitsPerSecond: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/mimeType) */
+ readonly mimeType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/dataavailable_event) */
+ ondataavailable: ((this: MediaRecorder, ev: BlobEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/error_event) */
+ onerror: ((this: MediaRecorder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/pause_event) */
+ onpause: ((this: MediaRecorder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/resume_event) */
+ onresume: ((this: MediaRecorder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/start_event) */
+ onstart: ((this: MediaRecorder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/stop_event) */
+ onstop: ((this: MediaRecorder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/state) */
+ readonly state: RecordingState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/stream) */
+ readonly stream: MediaStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/videoBitsPerSecond) */
+ readonly videoBitsPerSecond: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/pause) */
+ pause(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/requestData) */
+ requestData(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/resume) */
+ resume(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/start) */
+ start(timeslice?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/stop) */
+ stop(): void;
+ addEventListener<K extends keyof MediaRecorderEventMap>(type: K, listener: (this: MediaRecorder, ev: MediaRecorderEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MediaRecorderEventMap>(type: K, listener: (this: MediaRecorder, ev: MediaRecorderEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MediaRecorder: {
+ prototype: MediaRecorder;
+ new(stream: MediaStream, options?: MediaRecorderOptions): MediaRecorder;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaRecorder/isTypeSupported_static) */
+ isTypeSupported(type: string): boolean;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSession) */
+interface MediaSession {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSession/metadata) */
+ metadata: MediaMetadata | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSession/playbackState) */
+ playbackState: MediaSessionPlaybackState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSession/setActionHandler) */
+ setActionHandler(action: MediaSessionAction, handler: MediaSessionActionHandler | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSession/setPositionState) */
+ setPositionState(state?: MediaPositionState): void;
+}
+
+declare var MediaSession: {
+ prototype: MediaSession;
+ new(): MediaSession;
+};
+
+interface MediaSourceEventMap {
+ "sourceclose": Event;
+ "sourceended": Event;
+ "sourceopen": Event;
+}
+
+/**
+ * This Media Source Extensions API interface represents a source of media data for an HTMLMediaElement object. A MediaSource object can be attached to a HTMLMediaElement to be played in the user agent.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource)
+ */
+interface MediaSource extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/activeSourceBuffers) */
+ readonly activeSourceBuffers: SourceBufferList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/duration) */
+ duration: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/sourceclose_event) */
+ onsourceclose: ((this: MediaSource, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/sourceended_event) */
+ onsourceended: ((this: MediaSource, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/sourceopen_event) */
+ onsourceopen: ((this: MediaSource, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/readyState) */
+ readonly readyState: ReadyState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/sourceBuffers) */
+ readonly sourceBuffers: SourceBufferList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/addSourceBuffer) */
+ addSourceBuffer(type: string): SourceBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/clearLiveSeekableRange) */
+ clearLiveSeekableRange(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/endOfStream) */
+ endOfStream(error?: EndOfStreamError): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/removeSourceBuffer) */
+ removeSourceBuffer(sourceBuffer: SourceBuffer): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/setLiveSeekableRange) */
+ setLiveSeekableRange(start: number, end: number): void;
+ addEventListener<K extends keyof MediaSourceEventMap>(type: K, listener: (this: MediaSource, ev: MediaSourceEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MediaSourceEventMap>(type: K, listener: (this: MediaSource, ev: MediaSourceEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MediaSource: {
+ prototype: MediaSource;
+ new(): MediaSource;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaSource/isTypeSupported_static) */
+ isTypeSupported(type: string): boolean;
+};
+
+interface MediaStreamEventMap {
+ "addtrack": MediaStreamTrackEvent;
+ "removetrack": MediaStreamTrackEvent;
+}
+
+/**
+ * A stream of media content. A stream consists of several tracks such as video or audio tracks. Each track is specified as an instance of MediaStreamTrack.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream)
+ */
+interface MediaStream extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/active) */
+ readonly active: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/id) */
+ readonly id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/addtrack_event) */
+ onaddtrack: ((this: MediaStream, ev: MediaStreamTrackEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/removetrack_event) */
+ onremovetrack: ((this: MediaStream, ev: MediaStreamTrackEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/addTrack) */
+ addTrack(track: MediaStreamTrack): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/clone) */
+ clone(): MediaStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/getAudioTracks) */
+ getAudioTracks(): MediaStreamTrack[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/getTrackById) */
+ getTrackById(trackId: string): MediaStreamTrack | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/getTracks) */
+ getTracks(): MediaStreamTrack[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/getVideoTracks) */
+ getVideoTracks(): MediaStreamTrack[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStream/removeTrack) */
+ removeTrack(track: MediaStreamTrack): void;
+ addEventListener<K extends keyof MediaStreamEventMap>(type: K, listener: (this: MediaStream, ev: MediaStreamEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MediaStreamEventMap>(type: K, listener: (this: MediaStream, ev: MediaStreamEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MediaStream: {
+ prototype: MediaStream;
+ new(): MediaStream;
+ new(stream: MediaStream): MediaStream;
+ new(tracks: MediaStreamTrack[]): MediaStream;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamAudioDestinationNode) */
+interface MediaStreamAudioDestinationNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamAudioDestinationNode/stream) */
+ readonly stream: MediaStream;
+}
+
+declare var MediaStreamAudioDestinationNode: {
+ prototype: MediaStreamAudioDestinationNode;
+ new(context: AudioContext, options?: AudioNodeOptions): MediaStreamAudioDestinationNode;
+};
+
+/**
+ * A type of AudioNode which operates as an audio source whose media is received from a MediaStream obtained using the WebRTC or Media Capture and Streams APIs.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamAudioSourceNode)
+ */
+interface MediaStreamAudioSourceNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamAudioSourceNode/mediaStream) */
+ readonly mediaStream: MediaStream;
+}
+
+declare var MediaStreamAudioSourceNode: {
+ prototype: MediaStreamAudioSourceNode;
+ new(context: AudioContext, options: MediaStreamAudioSourceOptions): MediaStreamAudioSourceNode;
+};
+
+interface MediaStreamTrackEventMap {
+ "ended": Event;
+ "mute": Event;
+ "unmute": Event;
+}
+
+/**
+ * A single media track within a stream; typically, these are audio or video tracks, but other track types may exist as well.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack)
+ */
+interface MediaStreamTrack extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/contentHint) */
+ contentHint: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/enabled) */
+ enabled: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/id) */
+ readonly id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/kind) */
+ readonly kind: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/label) */
+ readonly label: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/muted) */
+ readonly muted: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/ended_event) */
+ onended: ((this: MediaStreamTrack, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/mute_event) */
+ onmute: ((this: MediaStreamTrack, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/unmute_event) */
+ onunmute: ((this: MediaStreamTrack, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/readyState) */
+ readonly readyState: MediaStreamTrackState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/applyConstraints) */
+ applyConstraints(constraints?: MediaTrackConstraints): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/clone) */
+ clone(): MediaStreamTrack;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/getCapabilities) */
+ getCapabilities(): MediaTrackCapabilities;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/getConstraints) */
+ getConstraints(): MediaTrackConstraints;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/getSettings) */
+ getSettings(): MediaTrackSettings;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrack/stop) */
+ stop(): void;
+ addEventListener<K extends keyof MediaStreamTrackEventMap>(type: K, listener: (this: MediaStreamTrack, ev: MediaStreamTrackEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MediaStreamTrackEventMap>(type: K, listener: (this: MediaStreamTrack, ev: MediaStreamTrackEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MediaStreamTrack: {
+ prototype: MediaStreamTrack;
+ new(): MediaStreamTrack;
+};
+
+/**
+ * Events which indicate that a MediaStream has had tracks added to or removed from the stream through calls to Media Stream API methods. These events are sent to the stream when these changes occur.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrackEvent)
+ */
+interface MediaStreamTrackEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaStreamTrackEvent/track) */
+ readonly track: MediaStreamTrack;
+}
+
+declare var MediaStreamTrackEvent: {
+ prototype: MediaStreamTrackEvent;
+ new(type: string, eventInitDict: MediaStreamTrackEventInit): MediaStreamTrackEvent;
+};
+
+/**
+ * This Channel Messaging API interface allows us to create a new message channel and send data through it via its two MessagePort properties.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageChannel)
+ */
+interface MessageChannel {
+ /**
+ * Returns the first MessagePort object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageChannel/port1)
+ */
+ readonly port1: MessagePort;
+ /**
+ * Returns the second MessagePort object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageChannel/port2)
+ */
+ readonly port2: MessagePort;
+}
+
+declare var MessageChannel: {
+ prototype: MessageChannel;
+ new(): MessageChannel;
+};
+
+/**
+ * A message received by a target object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent)
+ */
+interface MessageEvent<T = any> extends Event {
+ /**
+ * Returns the data of the message.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/data)
+ */
+ readonly data: T;
+ /**
+ * Returns the last event ID string, for server-sent events.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/lastEventId)
+ */
+ readonly lastEventId: string;
+ /**
+ * Returns the origin of the message, for server-sent events and cross-document messaging.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/origin)
+ */
+ readonly origin: string;
+ /**
+ * Returns the MessagePort array sent with the message, for cross-document messaging and channel messaging.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/ports)
+ */
+ readonly ports: ReadonlyArray<MessagePort>;
+ /**
+ * Returns the WindowProxy of the source window, for cross-document messaging, and the MessagePort being attached, in the connect event fired at SharedWorkerGlobalScope objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/source)
+ */
+ readonly source: MessageEventSource | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/initMessageEvent)
+ */
+ initMessageEvent(type: string, bubbles?: boolean, cancelable?: boolean, data?: any, origin?: string, lastEventId?: string, source?: MessageEventSource | null, ports?: MessagePort[]): void;
+}
+
+declare var MessageEvent: {
+ prototype: MessageEvent;
+ new<T>(type: string, eventInitDict?: MessageEventInit<T>): MessageEvent<T>;
+};
+
+interface MessagePortEventMap {
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+}
+
+/**
+ * This Channel Messaging API interface represents one of the two ports of a MessageChannel, allowing messages to be sent from one port and listening out for them arriving at the other.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort)
+ */
+interface MessagePort extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/message_event) */
+ onmessage: ((this: MessagePort, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/messageerror_event) */
+ onmessageerror: ((this: MessagePort, ev: MessageEvent) => any) | null;
+ /**
+ * Disconnects the port, so that it is no longer active.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/close)
+ */
+ close(): void;
+ /**
+ * Posts a message through the channel. Objects listed in transfer are transferred, not just cloned, meaning that they are no longer usable on the sending side.
+ *
+ * Throws a "DataCloneError" DOMException if transfer contains duplicate objects or port, or if message could not be cloned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/postMessage)
+ */
+ postMessage(message: any, transfer: Transferable[]): void;
+ postMessage(message: any, options?: StructuredSerializeOptions): void;
+ /**
+ * Begins dispatching messages received on the port.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/start)
+ */
+ start(): void;
+ addEventListener<K extends keyof MessagePortEventMap>(type: K, listener: (this: MessagePort, ev: MessagePortEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MessagePortEventMap>(type: K, listener: (this: MessagePort, ev: MessagePortEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MessagePort: {
+ prototype: MessagePort;
+ new(): MessagePort;
+};
+
+/**
+ * Provides contains information about a MIME type associated with a particular plugin. NavigatorPlugins.mimeTypes returns an array of this object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeType)
+ */
+interface MimeType {
+ /**
+ * Returns the MIME type's description.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeType/description)
+ */
+ readonly description: string;
+ /**
+ * Returns the Plugin object that implements this MIME type.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeType/enabledPlugin)
+ */
+ readonly enabledPlugin: Plugin;
+ /**
+ * Returns the MIME type's typical file extensions, in a comma-separated list.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeType/suffixes)
+ */
+ readonly suffixes: string;
+ /**
+ * Returns the MIME type.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeType/type)
+ */
+ readonly type: string;
+}
+
+/** @deprecated */
+declare var MimeType: {
+ prototype: MimeType;
+ new(): MimeType;
+};
+
+/**
+ * Returns an array of MimeType instances, each of which contains information about a supported browser plugins. This object is returned by NavigatorPlugins.mimeTypes.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeTypeArray)
+ */
+interface MimeTypeArray {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeTypeArray/length)
+ */
+ readonly length: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeTypeArray/item)
+ */
+ item(index: number): MimeType | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MimeTypeArray/namedItem)
+ */
+ namedItem(name: string): MimeType | null;
+ [index: number]: MimeType;
+}
+
+/** @deprecated */
+declare var MimeTypeArray: {
+ prototype: MimeTypeArray;
+ new(): MimeTypeArray;
+};
+
+/**
+ * Events that occur due to the user interacting with a pointing device (such as a mouse). Common events using this interface include click, dblclick, mouseup, mousedown.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent)
+ */
+interface MouseEvent extends UIEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/altKey) */
+ readonly altKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/button) */
+ readonly button: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/buttons) */
+ readonly buttons: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/clientX) */
+ readonly clientX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/clientY) */
+ readonly clientY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/ctrlKey) */
+ readonly ctrlKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/layerX) */
+ readonly layerX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/layerY) */
+ readonly layerY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/metaKey) */
+ readonly metaKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/movementX) */
+ readonly movementX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/movementY) */
+ readonly movementY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/offsetX) */
+ readonly offsetX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/offsetY) */
+ readonly offsetY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/pageX) */
+ readonly pageX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/pageY) */
+ readonly pageY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/relatedTarget) */
+ readonly relatedTarget: EventTarget | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/screenX) */
+ readonly screenX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/screenY) */
+ readonly screenY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/shiftKey) */
+ readonly shiftKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/x) */
+ readonly x: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/y) */
+ readonly y: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/getModifierState) */
+ getModifierState(keyArg: string): boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MouseEvent/initMouseEvent)
+ */
+ initMouseEvent(typeArg: string, canBubbleArg: boolean, cancelableArg: boolean, viewArg: Window, detailArg: number, screenXArg: number, screenYArg: number, clientXArg: number, clientYArg: number, ctrlKeyArg: boolean, altKeyArg: boolean, shiftKeyArg: boolean, metaKeyArg: boolean, buttonArg: number, relatedTargetArg: EventTarget | null): void;
+}
+
+declare var MouseEvent: {
+ prototype: MouseEvent;
+ new(type: string, eventInitDict?: MouseEventInit): MouseEvent;
+};
+
+/**
+ * Provides event properties that are specific to modifications to the Document Object Model (DOM) hierarchy and nodes.
+ * @deprecated DOM4 [DOM] provides a new mechanism using a MutationObserver interface which addresses the use cases that mutation events solve, but in a more performant manner. Thus, this specification describes mutation events for reference and completeness of legacy behavior, but deprecates the use of the MutationEvent interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationEvent)
+ */
+interface MutationEvent extends Event {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationEvent/attrChange)
+ */
+ readonly attrChange: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationEvent/attrName)
+ */
+ readonly attrName: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationEvent/newValue)
+ */
+ readonly newValue: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationEvent/prevValue)
+ */
+ readonly prevValue: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationEvent/relatedNode)
+ */
+ readonly relatedNode: Node | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationEvent/initMutationEvent)
+ */
+ initMutationEvent(typeArg: string, bubblesArg?: boolean, cancelableArg?: boolean, relatedNodeArg?: Node | null, prevValueArg?: string, newValueArg?: string, attrNameArg?: string, attrChangeArg?: number): void;
+ readonly MODIFICATION: 1;
+ readonly ADDITION: 2;
+ readonly REMOVAL: 3;
+}
+
+/** @deprecated */
+declare var MutationEvent: {
+ prototype: MutationEvent;
+ new(): MutationEvent;
+ readonly MODIFICATION: 1;
+ readonly ADDITION: 2;
+ readonly REMOVAL: 3;
+};
+
+/**
+ * Provides the ability to watch for changes being made to the DOM tree. It is designed as a replacement for the older Mutation Events feature which was part of the DOM3 Events specification.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationObserver)
+ */
+interface MutationObserver {
+ /**
+ * Stops observer from observing any mutations. Until the observe() method is used again, observer's callback will not be invoked.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationObserver/disconnect)
+ */
+ disconnect(): void;
+ /**
+ * Instructs the user agent to observe a given target (a node) and report any mutations based on the criteria given by options (an object).
+ *
+ * The options argument allows for setting mutation observation options via object members.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationObserver/observe)
+ */
+ observe(target: Node, options?: MutationObserverInit): void;
+ /**
+ * Empties the record queue and returns what was in there.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationObserver/takeRecords)
+ */
+ takeRecords(): MutationRecord[];
+}
+
+declare var MutationObserver: {
+ prototype: MutationObserver;
+ new(callback: MutationCallback): MutationObserver;
+};
+
+/**
+ * A MutationRecord represents an individual DOM mutation. It is the object that is passed to MutationObserver's callback.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord)
+ */
+interface MutationRecord {
+ /**
+ * Return the nodes added and removed respectively.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/addedNodes)
+ */
+ readonly addedNodes: NodeList;
+ /**
+ * Returns the local name of the changed attribute, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/attributeName)
+ */
+ readonly attributeName: string | null;
+ /**
+ * Returns the namespace of the changed attribute, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/attributeNamespace)
+ */
+ readonly attributeNamespace: string | null;
+ /**
+ * Return the previous and next sibling respectively of the added or removed nodes, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/nextSibling)
+ */
+ readonly nextSibling: Node | null;
+ /**
+ * The return value depends on type. For "attributes", it is the value of the changed attribute before the change. For "characterData", it is the data of the changed node before the change. For "childList", it is null.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/oldValue)
+ */
+ readonly oldValue: string | null;
+ /**
+ * Return the previous and next sibling respectively of the added or removed nodes, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/previousSibling)
+ */
+ readonly previousSibling: Node | null;
+ /**
+ * Return the nodes added and removed respectively.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/removedNodes)
+ */
+ readonly removedNodes: NodeList;
+ /**
+ * Returns the node the mutation affected, depending on the type. For "attributes", it is the element whose attribute changed. For "characterData", it is the CharacterData node. For "childList", it is the node whose children changed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/target)
+ */
+ readonly target: Node;
+ /**
+ * Returns "attributes" if it was an attribute mutation. "characterData" if it was a mutation to a CharacterData node. And "childList" if it was a mutation to the tree of nodes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MutationRecord/type)
+ */
+ readonly type: MutationRecordType;
+}
+
+declare var MutationRecord: {
+ prototype: MutationRecord;
+ new(): MutationRecord;
+};
+
+/**
+ * A collection of Attr objects. Objects inside a NamedNodeMap are not in any particular order, unlike NodeList, although they may be accessed by an index as in an array.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap)
+ */
+interface NamedNodeMap {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap/getNamedItem) */
+ getNamedItem(qualifiedName: string): Attr | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap/getNamedItemNS) */
+ getNamedItemNS(namespace: string | null, localName: string): Attr | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap/item) */
+ item(index: number): Attr | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap/removeNamedItem) */
+ removeNamedItem(qualifiedName: string): Attr;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap/removeNamedItemNS) */
+ removeNamedItemNS(namespace: string | null, localName: string): Attr;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap/setNamedItem) */
+ setNamedItem(attr: Attr): Attr | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NamedNodeMap/setNamedItemNS) */
+ setNamedItemNS(attr: Attr): Attr | null;
+ [index: number]: Attr;
+}
+
+declare var NamedNodeMap: {
+ prototype: NamedNodeMap;
+ new(): NamedNodeMap;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager)
+ */
+interface NavigationPreloadManager {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager/disable) */
+ disable(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager/enable) */
+ enable(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager/getState) */
+ getState(): Promise<NavigationPreloadState>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager/setHeaderValue) */
+ setHeaderValue(value: string): Promise<void>;
+}
+
+declare var NavigationPreloadManager: {
+ prototype: NavigationPreloadManager;
+ new(): NavigationPreloadManager;
+};
+
+/**
+ * The state and the identity of the user agent. It allows scripts to query it and to register themselves to carry on some activities.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator)
+ */
+interface Navigator extends NavigatorAutomationInformation, NavigatorBadge, NavigatorConcurrentHardware, NavigatorContentUtils, NavigatorCookies, NavigatorID, NavigatorLanguage, NavigatorLocks, NavigatorOnLine, NavigatorPlugins, NavigatorStorage {
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/clipboard)
+ */
+ readonly clipboard: Clipboard;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/credentials)
+ */
+ readonly credentials: CredentialsContainer;
+ readonly doNotTrack: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/geolocation) */
+ readonly geolocation: Geolocation;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/maxTouchPoints) */
+ readonly maxTouchPoints: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/mediaCapabilities) */
+ readonly mediaCapabilities: MediaCapabilities;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/mediaDevices)
+ */
+ readonly mediaDevices: MediaDevices;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/mediaSession) */
+ readonly mediaSession: MediaSession;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/permissions) */
+ readonly permissions: Permissions;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/serviceWorker)
+ */
+ readonly serviceWorker: ServiceWorkerContainer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/userActivation) */
+ readonly userActivation: UserActivation;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/wakeLock) */
+ readonly wakeLock: WakeLock;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/canShare)
+ */
+ canShare(data?: ShareData): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/getGamepads) */
+ getGamepads(): (Gamepad | null)[];
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/requestMIDIAccess)
+ */
+ requestMIDIAccess(options?: MIDIOptions): Promise<MIDIAccess>;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/requestMediaKeySystemAccess)
+ */
+ requestMediaKeySystemAccess(keySystem: string, supportedConfigurations: MediaKeySystemConfiguration[]): Promise<MediaKeySystemAccess>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/sendBeacon) */
+ sendBeacon(url: string | URL, data?: BodyInit | null): boolean;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/share)
+ */
+ share(data?: ShareData): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/vibrate) */
+ vibrate(pattern: VibratePattern): boolean;
+}
+
+declare var Navigator: {
+ prototype: Navigator;
+ new(): Navigator;
+};
+
+interface NavigatorAutomationInformation {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/webdriver) */
+ readonly webdriver: boolean;
+}
+
+/** Available only in secure contexts. */
+interface NavigatorBadge {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/clearAppBadge) */
+ clearAppBadge(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/setAppBadge) */
+ setAppBadge(contents?: number): Promise<void>;
+}
+
+interface NavigatorConcurrentHardware {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/hardwareConcurrency) */
+ readonly hardwareConcurrency: number;
+}
+
+interface NavigatorContentUtils {
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/registerProtocolHandler)
+ */
+ registerProtocolHandler(scheme: string, url: string | URL): void;
+}
+
+interface NavigatorCookies {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/cookieEnabled) */
+ readonly cookieEnabled: boolean;
+}
+
+interface NavigatorID {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/appCodeName)
+ */
+ readonly appCodeName: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/appName)
+ */
+ readonly appName: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/appVersion)
+ */
+ readonly appVersion: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/platform)
+ */
+ readonly platform: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/product)
+ */
+ readonly product: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/productSub)
+ */
+ readonly productSub: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/userAgent) */
+ readonly userAgent: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/vendor)
+ */
+ readonly vendor: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/vendorSub)
+ */
+ readonly vendorSub: string;
+}
+
+interface NavigatorLanguage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/language) */
+ readonly language: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/languages) */
+ readonly languages: ReadonlyArray<string>;
+}
+
+/** Available only in secure contexts. */
+interface NavigatorLocks {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/locks) */
+ readonly locks: LockManager;
+}
+
+interface NavigatorOnLine {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/onLine) */
+ readonly onLine: boolean;
+}
+
+interface NavigatorPlugins {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigatorPlugins/mimeTypes)
+ */
+ readonly mimeTypes: MimeTypeArray;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/pdfViewerEnabled) */
+ readonly pdfViewerEnabled: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/plugins)
+ */
+ readonly plugins: PluginArray;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/javaEnabled)
+ */
+ javaEnabled(): boolean;
+}
+
+/** Available only in secure contexts. */
+interface NavigatorStorage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/storage) */
+ readonly storage: StorageManager;
+}
+
+/**
+ * Node is an interface from which a number of DOM API object types inherit. It allows those types to be treated similarly; for example, inheriting the same set of methods, or being tested in the same way.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node)
+ */
+interface Node extends EventTarget {
+ /**
+ * Returns node's node document's document base URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/baseURI)
+ */
+ readonly baseURI: string;
+ /**
+ * Returns the children.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/childNodes)
+ */
+ readonly childNodes: NodeListOf<ChildNode>;
+ /**
+ * Returns the first child.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/firstChild)
+ */
+ readonly firstChild: ChildNode | null;
+ /**
+ * Returns true if node is connected and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/isConnected)
+ */
+ readonly isConnected: boolean;
+ /**
+ * Returns the last child.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/lastChild)
+ */
+ readonly lastChild: ChildNode | null;
+ /**
+ * Returns the next sibling.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/nextSibling)
+ */
+ readonly nextSibling: ChildNode | null;
+ /**
+ * Returns a string appropriate for the type of node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/nodeName)
+ */
+ readonly nodeName: string;
+ /**
+ * Returns the type of node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/nodeType)
+ */
+ readonly nodeType: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/nodeValue) */
+ nodeValue: string | null;
+ /**
+ * Returns the node document. Returns null for documents.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/ownerDocument)
+ */
+ readonly ownerDocument: Document | null;
+ /**
+ * Returns the parent element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/parentElement)
+ */
+ readonly parentElement: HTMLElement | null;
+ /**
+ * Returns the parent.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/parentNode)
+ */
+ readonly parentNode: ParentNode | null;
+ /**
+ * Returns the previous sibling.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/previousSibling)
+ */
+ readonly previousSibling: ChildNode | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/textContent) */
+ textContent: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/appendChild) */
+ appendChild<T extends Node>(node: T): T;
+ /**
+ * Returns a copy of node. If deep is true, the copy also includes the node's descendants.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/cloneNode)
+ */
+ cloneNode(deep?: boolean): Node;
+ /**
+ * Returns a bitmask indicating the position of other relative to node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/compareDocumentPosition)
+ */
+ compareDocumentPosition(other: Node): number;
+ /**
+ * Returns true if other is an inclusive descendant of node, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/contains)
+ */
+ contains(other: Node | null): boolean;
+ /**
+ * Returns node's root.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/getRootNode)
+ */
+ getRootNode(options?: GetRootNodeOptions): Node;
+ /**
+ * Returns whether node has children.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/hasChildNodes)
+ */
+ hasChildNodes(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/insertBefore) */
+ insertBefore<T extends Node>(node: T, child: Node | null): T;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/isDefaultNamespace) */
+ isDefaultNamespace(namespace: string | null): boolean;
+ /**
+ * Returns whether node and otherNode have the same properties.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/isEqualNode)
+ */
+ isEqualNode(otherNode: Node | null): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/isSameNode) */
+ isSameNode(otherNode: Node | null): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/lookupNamespaceURI) */
+ lookupNamespaceURI(prefix: string | null): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/lookupPrefix) */
+ lookupPrefix(namespace: string | null): string | null;
+ /**
+ * Removes empty exclusive Text nodes and concatenates the data of remaining contiguous exclusive Text nodes into the first of their nodes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/normalize)
+ */
+ normalize(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/removeChild) */
+ removeChild<T extends Node>(child: T): T;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/replaceChild) */
+ replaceChild<T extends Node>(node: Node, child: T): T;
+ /** node is an element. */
+ readonly ELEMENT_NODE: 1;
+ readonly ATTRIBUTE_NODE: 2;
+ /** node is a Text node. */
+ readonly TEXT_NODE: 3;
+ /** node is a CDATASection node. */
+ readonly CDATA_SECTION_NODE: 4;
+ readonly ENTITY_REFERENCE_NODE: 5;
+ readonly ENTITY_NODE: 6;
+ /** node is a ProcessingInstruction node. */
+ readonly PROCESSING_INSTRUCTION_NODE: 7;
+ /** node is a Comment node. */
+ readonly COMMENT_NODE: 8;
+ /** node is a document. */
+ readonly DOCUMENT_NODE: 9;
+ /** node is a doctype. */
+ readonly DOCUMENT_TYPE_NODE: 10;
+ /** node is a DocumentFragment node. */
+ readonly DOCUMENT_FRAGMENT_NODE: 11;
+ readonly NOTATION_NODE: 12;
+ /** Set when node and other are not in the same tree. */
+ readonly DOCUMENT_POSITION_DISCONNECTED: 0x01;
+ /** Set when other is preceding node. */
+ readonly DOCUMENT_POSITION_PRECEDING: 0x02;
+ /** Set when other is following node. */
+ readonly DOCUMENT_POSITION_FOLLOWING: 0x04;
+ /** Set when other is an ancestor of node. */
+ readonly DOCUMENT_POSITION_CONTAINS: 0x08;
+ /** Set when other is a descendant of node. */
+ readonly DOCUMENT_POSITION_CONTAINED_BY: 0x10;
+ readonly DOCUMENT_POSITION_IMPLEMENTATION_SPECIFIC: 0x20;
+}
+
+declare var Node: {
+ prototype: Node;
+ new(): Node;
+ /** node is an element. */
+ readonly ELEMENT_NODE: 1;
+ readonly ATTRIBUTE_NODE: 2;
+ /** node is a Text node. */
+ readonly TEXT_NODE: 3;
+ /** node is a CDATASection node. */
+ readonly CDATA_SECTION_NODE: 4;
+ readonly ENTITY_REFERENCE_NODE: 5;
+ readonly ENTITY_NODE: 6;
+ /** node is a ProcessingInstruction node. */
+ readonly PROCESSING_INSTRUCTION_NODE: 7;
+ /** node is a Comment node. */
+ readonly COMMENT_NODE: 8;
+ /** node is a document. */
+ readonly DOCUMENT_NODE: 9;
+ /** node is a doctype. */
+ readonly DOCUMENT_TYPE_NODE: 10;
+ /** node is a DocumentFragment node. */
+ readonly DOCUMENT_FRAGMENT_NODE: 11;
+ readonly NOTATION_NODE: 12;
+ /** Set when node and other are not in the same tree. */
+ readonly DOCUMENT_POSITION_DISCONNECTED: 0x01;
+ /** Set when other is preceding node. */
+ readonly DOCUMENT_POSITION_PRECEDING: 0x02;
+ /** Set when other is following node. */
+ readonly DOCUMENT_POSITION_FOLLOWING: 0x04;
+ /** Set when other is an ancestor of node. */
+ readonly DOCUMENT_POSITION_CONTAINS: 0x08;
+ /** Set when other is a descendant of node. */
+ readonly DOCUMENT_POSITION_CONTAINED_BY: 0x10;
+ readonly DOCUMENT_POSITION_IMPLEMENTATION_SPECIFIC: 0x20;
+};
+
+/**
+ * An iterator over the members of a list of the nodes in a subtree of the DOM. The nodes will be returned in document order.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator)
+ */
+interface NodeIterator {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator/filter) */
+ readonly filter: NodeFilter | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator/pointerBeforeReferenceNode) */
+ readonly pointerBeforeReferenceNode: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator/referenceNode) */
+ readonly referenceNode: Node;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator/root) */
+ readonly root: Node;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator/whatToShow) */
+ readonly whatToShow: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator/detach)
+ */
+ detach(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator/nextNode) */
+ nextNode(): Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeIterator/previousNode) */
+ previousNode(): Node | null;
+}
+
+declare var NodeIterator: {
+ prototype: NodeIterator;
+ new(): NodeIterator;
+};
+
+/**
+ * NodeList objects are collections of nodes, usually returned by properties such as Node.childNodes and methods such as document.querySelectorAll().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeList)
+ */
+interface NodeList {
+ /**
+ * Returns the number of nodes in the collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeList/length)
+ */
+ readonly length: number;
+ /**
+ * Returns the node with index index from the collection. The nodes are sorted in tree order.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NodeList/item)
+ */
+ item(index: number): Node | null;
+ /**
+ * Performs the specified action for each node in an list.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the callbackfn function one time for each element in the list.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function. If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: Node, key: number, parent: NodeList) => void, thisArg?: any): void;
+ [index: number]: Node;
+}
+
+declare var NodeList: {
+ prototype: NodeList;
+ new(): NodeList;
+};
+
+interface NodeListOf<TNode extends Node> extends NodeList {
+ item(index: number): TNode;
+ /**
+ * Performs the specified action for each node in an list.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the callbackfn function one time for each element in the list.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function. If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: TNode, key: number, parent: NodeListOf<TNode>) => void, thisArg?: any): void;
+ [index: number]: TNode;
+}
+
+interface NonDocumentTypeChildNode {
+ /**
+ * Returns the first following sibling that is an element, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/nextElementSibling)
+ */
+ readonly nextElementSibling: Element | null;
+ /**
+ * Returns the first preceding sibling that is an element, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CharacterData/previousElementSibling)
+ */
+ readonly previousElementSibling: Element | null;
+}
+
+interface NonElementParentNode {
+ /**
+ * Returns the first element within node's descendants whose ID is elementId.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/getElementById)
+ */
+ getElementById(elementId: string): Element | null;
+}
+
+interface NotificationEventMap {
+ "click": Event;
+ "close": Event;
+ "error": Event;
+ "show": Event;
+}
+
+/**
+ * This Notifications API interface is used to configure and display desktop notifications to the user.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification)
+ */
+interface Notification extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/badge) */
+ readonly badge: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/body) */
+ readonly body: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/data) */
+ readonly data: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/dir) */
+ readonly dir: NotificationDirection;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/icon) */
+ readonly icon: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/lang) */
+ readonly lang: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/click_event) */
+ onclick: ((this: Notification, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/close_event) */
+ onclose: ((this: Notification, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/error_event) */
+ onerror: ((this: Notification, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/show_event) */
+ onshow: ((this: Notification, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/requireInteraction) */
+ readonly requireInteraction: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/silent) */
+ readonly silent: boolean | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/tag) */
+ readonly tag: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/title) */
+ readonly title: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/close) */
+ close(): void;
+ addEventListener<K extends keyof NotificationEventMap>(type: K, listener: (this: Notification, ev: NotificationEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof NotificationEventMap>(type: K, listener: (this: Notification, ev: NotificationEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Notification: {
+ prototype: Notification;
+ new(title: string, options?: NotificationOptions): Notification;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/permission_static) */
+ readonly permission: NotificationPermission;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/requestPermission_static) */
+ requestPermission(deprecatedCallback?: NotificationPermissionCallback): Promise<NotificationPermission>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed) */
+interface OES_draw_buffers_indexed {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/blendEquationSeparateiOES) */
+ blendEquationSeparateiOES(buf: GLuint, modeRGB: GLenum, modeAlpha: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/blendEquationiOES) */
+ blendEquationiOES(buf: GLuint, mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/blendFuncSeparateiOES) */
+ blendFuncSeparateiOES(buf: GLuint, srcRGB: GLenum, dstRGB: GLenum, srcAlpha: GLenum, dstAlpha: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/blendFunciOES) */
+ blendFunciOES(buf: GLuint, src: GLenum, dst: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/colorMaskiOES) */
+ colorMaskiOES(buf: GLuint, r: GLboolean, g: GLboolean, b: GLboolean, a: GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/disableiOES) */
+ disableiOES(target: GLenum, index: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/enableiOES) */
+ enableiOES(target: GLenum, index: GLuint): void;
+}
+
+/**
+ * The OES_element_index_uint extension is part of the WebGL API and adds support for gl.UNSIGNED_INT types to WebGLRenderingContext.drawElements().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_element_index_uint)
+ */
+interface OES_element_index_uint {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_fbo_render_mipmap) */
+interface OES_fbo_render_mipmap {
+}
+
+/**
+ * The OES_standard_derivatives extension is part of the WebGL API and adds the GLSL derivative functions dFdx, dFdy, and fwidth.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_standard_derivatives)
+ */
+interface OES_standard_derivatives {
+ readonly FRAGMENT_SHADER_DERIVATIVE_HINT_OES: 0x8B8B;
+}
+
+/**
+ * The OES_texture_float extension is part of the WebGL API and exposes floating-point pixel types for textures.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_texture_float)
+ */
+interface OES_texture_float {
+}
+
+/**
+ * The OES_texture_float_linear extension is part of the WebGL API and allows linear filtering with floating-point pixel types for textures.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_texture_float_linear)
+ */
+interface OES_texture_float_linear {
+}
+
+/**
+ * The OES_texture_half_float extension is part of the WebGL API and adds texture formats with 16- (aka half float) and 32-bit floating-point components.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_texture_half_float)
+ */
+interface OES_texture_half_float {
+ readonly HALF_FLOAT_OES: 0x8D61;
+}
+
+/**
+ * The OES_texture_half_float_linear extension is part of the WebGL API and allows linear filtering with half floating-point pixel types for textures.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_texture_half_float_linear)
+ */
+interface OES_texture_half_float_linear {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object) */
+interface OES_vertex_array_object {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object/bindVertexArrayOES) */
+ bindVertexArrayOES(arrayObject: WebGLVertexArrayObjectOES | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object/createVertexArrayOES) */
+ createVertexArrayOES(): WebGLVertexArrayObjectOES | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object/deleteVertexArrayOES) */
+ deleteVertexArrayOES(arrayObject: WebGLVertexArrayObjectOES | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object/isVertexArrayOES) */
+ isVertexArrayOES(arrayObject: WebGLVertexArrayObjectOES | null): GLboolean;
+ readonly VERTEX_ARRAY_BINDING_OES: 0x85B5;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OVR_multiview2) */
+interface OVR_multiview2 {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OVR_multiview2/framebufferTextureMultiviewOVR) */
+ framebufferTextureMultiviewOVR(target: GLenum, attachment: GLenum, texture: WebGLTexture | null, level: GLint, baseViewIndex: GLint, numViews: GLsizei): void;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_NUM_VIEWS_OVR: 0x9630;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_BASE_VIEW_INDEX_OVR: 0x9632;
+ readonly MAX_VIEWS_OVR: 0x9631;
+ readonly FRAMEBUFFER_INCOMPLETE_VIEW_TARGETS_OVR: 0x9633;
+}
+
+/**
+ * The Web Audio API OfflineAudioCompletionEvent interface represents events that occur when the processing of an OfflineAudioContext is terminated. The complete event implements this interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OfflineAudioCompletionEvent)
+ */
+interface OfflineAudioCompletionEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OfflineAudioCompletionEvent/renderedBuffer) */
+ readonly renderedBuffer: AudioBuffer;
+}
+
+declare var OfflineAudioCompletionEvent: {
+ prototype: OfflineAudioCompletionEvent;
+ new(type: string, eventInitDict: OfflineAudioCompletionEventInit): OfflineAudioCompletionEvent;
+};
+
+interface OfflineAudioContextEventMap extends BaseAudioContextEventMap {
+ "complete": OfflineAudioCompletionEvent;
+}
+
+/**
+ * An AudioContext interface representing an audio-processing graph built from linked together AudioNodes. In contrast with a standard AudioContext, an OfflineAudioContext doesn't render the audio to the device hardware; instead, it generates it, as fast as it can, and outputs the result to an AudioBuffer.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OfflineAudioContext)
+ */
+interface OfflineAudioContext extends BaseAudioContext {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OfflineAudioContext/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OfflineAudioContext/complete_event) */
+ oncomplete: ((this: OfflineAudioContext, ev: OfflineAudioCompletionEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OfflineAudioContext/resume) */
+ resume(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OfflineAudioContext/startRendering) */
+ startRendering(): Promise<AudioBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OfflineAudioContext/suspend) */
+ suspend(suspendTime: number): Promise<void>;
+ addEventListener<K extends keyof OfflineAudioContextEventMap>(type: K, listener: (this: OfflineAudioContext, ev: OfflineAudioContextEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof OfflineAudioContextEventMap>(type: K, listener: (this: OfflineAudioContext, ev: OfflineAudioContextEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var OfflineAudioContext: {
+ prototype: OfflineAudioContext;
+ new(contextOptions: OfflineAudioContextOptions): OfflineAudioContext;
+ new(numberOfChannels: number, length: number, sampleRate: number): OfflineAudioContext;
+};
+
+interface OffscreenCanvasEventMap {
+ "contextlost": Event;
+ "contextrestored": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas) */
+interface OffscreenCanvas extends EventTarget {
+ /**
+ * These attributes return the dimensions of the OffscreenCanvas object's bitmap.
+ *
+ * They can be set, to replace the bitmap with a new, transparent black bitmap of the specified dimensions (effectively resizing it).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/height)
+ */
+ height: number;
+ oncontextlost: ((this: OffscreenCanvas, ev: Event) => any) | null;
+ oncontextrestored: ((this: OffscreenCanvas, ev: Event) => any) | null;
+ /**
+ * These attributes return the dimensions of the OffscreenCanvas object's bitmap.
+ *
+ * They can be set, to replace the bitmap with a new, transparent black bitmap of the specified dimensions (effectively resizing it).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/width)
+ */
+ width: number;
+ /**
+ * Returns a promise that will fulfill with a new Blob object representing a file containing the image in the OffscreenCanvas object.
+ *
+ * The argument, if provided, is a dictionary that controls the encoding options of the image file to be created. The type field specifies the file format and has a default value of "image/png"; that type is also used if the requested type isn't supported. If the image format supports variable quality (such as "image/jpeg"), then the quality field is a number in the range 0.0 to 1.0 inclusive indicating the desired quality level for the resulting image.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/convertToBlob)
+ */
+ convertToBlob(options?: ImageEncodeOptions): Promise<Blob>;
+ /**
+ * Returns an object that exposes an API for drawing on the OffscreenCanvas object. contextId specifies the desired API: "2d", "bitmaprenderer", "webgl", or "webgl2". options is handled by that API.
+ *
+ * This specification defines the "2d" context below, which is similar but distinct from the "2d" context that is created from a canvas element. The WebGL specifications define the "webgl" and "webgl2" contexts. [WEBGL]
+ *
+ * Returns null if the canvas has already been initialized with another context type (e.g., trying to get a "2d" context after getting a "webgl" context).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/getContext)
+ */
+ getContext(contextId: "2d", options?: any): OffscreenCanvasRenderingContext2D | null;
+ getContext(contextId: "bitmaprenderer", options?: any): ImageBitmapRenderingContext | null;
+ getContext(contextId: "webgl", options?: any): WebGLRenderingContext | null;
+ getContext(contextId: "webgl2", options?: any): WebGL2RenderingContext | null;
+ getContext(contextId: OffscreenRenderingContextId, options?: any): OffscreenRenderingContext | null;
+ /**
+ * Returns a newly created ImageBitmap object with the image in the OffscreenCanvas object. The image in the OffscreenCanvas object is replaced with a new blank image.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/transferToImageBitmap)
+ */
+ transferToImageBitmap(): ImageBitmap;
+ addEventListener<K extends keyof OffscreenCanvasEventMap>(type: K, listener: (this: OffscreenCanvas, ev: OffscreenCanvasEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof OffscreenCanvasEventMap>(type: K, listener: (this: OffscreenCanvas, ev: OffscreenCanvasEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var OffscreenCanvas: {
+ prototype: OffscreenCanvas;
+ new(width: number, height: number): OffscreenCanvas;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvasRenderingContext2D) */
+interface OffscreenCanvasRenderingContext2D extends CanvasCompositing, CanvasDrawImage, CanvasDrawPath, CanvasFillStrokeStyles, CanvasFilters, CanvasImageData, CanvasImageSmoothing, CanvasPath, CanvasPathDrawingStyles, CanvasRect, CanvasShadowStyles, CanvasState, CanvasText, CanvasTextDrawingStyles, CanvasTransform {
+ readonly canvas: OffscreenCanvas;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvasRenderingContext2D/commit) */
+ commit(): void;
+}
+
+declare var OffscreenCanvasRenderingContext2D: {
+ prototype: OffscreenCanvasRenderingContext2D;
+ new(): OffscreenCanvasRenderingContext2D;
+};
+
+/**
+ * The OscillatorNode interface represents a periodic waveform, such as a sine wave. It is an AudioScheduledSourceNode audio-processing module that causes a specified frequency of a given wave to be created—in effect, a constant tone.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OscillatorNode)
+ */
+interface OscillatorNode extends AudioScheduledSourceNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OscillatorNode/detune) */
+ readonly detune: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OscillatorNode/frequency) */
+ readonly frequency: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OscillatorNode/type) */
+ type: OscillatorType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OscillatorNode/setPeriodicWave) */
+ setPeriodicWave(periodicWave: PeriodicWave): void;
+ addEventListener<K extends keyof AudioScheduledSourceNodeEventMap>(type: K, listener: (this: OscillatorNode, ev: AudioScheduledSourceNodeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AudioScheduledSourceNodeEventMap>(type: K, listener: (this: OscillatorNode, ev: AudioScheduledSourceNodeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var OscillatorNode: {
+ prototype: OscillatorNode;
+ new(context: BaseAudioContext, options?: OscillatorOptions): OscillatorNode;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OverconstrainedError) */
+interface OverconstrainedError extends DOMException {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OverconstrainedError/constraint) */
+ readonly constraint: string;
+}
+
+declare var OverconstrainedError: {
+ prototype: OverconstrainedError;
+ new(constraint: string, message?: string): OverconstrainedError;
+};
+
+/**
+ * The PageTransitionEvent is fired when a document is being loaded or unloaded.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PageTransitionEvent)
+ */
+interface PageTransitionEvent extends Event {
+ /**
+ * For the pageshow event, returns false if the page is newly being loaded (and the load event will fire). Otherwise, returns true.
+ *
+ * For the pagehide event, returns false if the page is going away for the last time. Otherwise, returns true, meaning that (if nothing conspires to make the page unsalvageable) the page might be reused if the user navigates back to this page.
+ *
+ * Things that can cause the page to be unsalvageable include:
+ *
+ * The user agent decided to not keep the Document alive in a session history entry after unload
+ * Having iframes that are not salvageable
+ * Active WebSocket objects
+ * Aborting a Document
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PageTransitionEvent/persisted)
+ */
+ readonly persisted: boolean;
+}
+
+declare var PageTransitionEvent: {
+ prototype: PageTransitionEvent;
+ new(type: string, eventInitDict?: PageTransitionEventInit): PageTransitionEvent;
+};
+
+/**
+ * A PannerNode always has exactly one input and one output: the input can be mono or stereo but the output is always stereo (2 channels); you can't have panning effects without at least two audio channels!
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode)
+ */
+interface PannerNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/coneInnerAngle) */
+ coneInnerAngle: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/coneOuterAngle) */
+ coneOuterAngle: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/coneOuterGain) */
+ coneOuterGain: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/distanceModel) */
+ distanceModel: DistanceModelType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/maxDistance) */
+ maxDistance: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/orientationX) */
+ readonly orientationX: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/orientationY) */
+ readonly orientationY: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/orientationZ) */
+ readonly orientationZ: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/panningModel) */
+ panningModel: PanningModelType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/positionX) */
+ readonly positionX: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/positionY) */
+ readonly positionY: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/positionZ) */
+ readonly positionZ: AudioParam;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/refDistance) */
+ refDistance: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/rolloffFactor) */
+ rolloffFactor: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/setOrientation)
+ */
+ setOrientation(x: number, y: number, z: number): void;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PannerNode/setPosition)
+ */
+ setPosition(x: number, y: number, z: number): void;
+}
+
+declare var PannerNode: {
+ prototype: PannerNode;
+ new(context: BaseAudioContext, options?: PannerOptions): PannerNode;
+};
+
+interface ParentNode extends Node {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/childElementCount) */
+ readonly childElementCount: number;
+ /**
+ * Returns the child elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/children)
+ */
+ readonly children: HTMLCollection;
+ /**
+ * Returns the first child that is an element, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/firstElementChild)
+ */
+ readonly firstElementChild: Element | null;
+ /**
+ * Returns the last child that is an element, and null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/lastElementChild)
+ */
+ readonly lastElementChild: Element | null;
+ /**
+ * Inserts nodes after the last child of node, while replacing strings in nodes with equivalent Text nodes.
+ *
+ * Throws a "HierarchyRequestError" DOMException if the constraints of the node tree are violated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/append)
+ */
+ append(...nodes: (Node | string)[]): void;
+ /**
+ * Inserts nodes before the first child of node, while replacing strings in nodes with equivalent Text nodes.
+ *
+ * Throws a "HierarchyRequestError" DOMException if the constraints of the node tree are violated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/prepend)
+ */
+ prepend(...nodes: (Node | string)[]): void;
+ /**
+ * Returns the first element that is a descendant of node that matches selectors.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/querySelector)
+ */
+ querySelector<K extends keyof HTMLElementTagNameMap>(selectors: K): HTMLElementTagNameMap[K] | null;
+ querySelector<K extends keyof SVGElementTagNameMap>(selectors: K): SVGElementTagNameMap[K] | null;
+ querySelector<K extends keyof MathMLElementTagNameMap>(selectors: K): MathMLElementTagNameMap[K] | null;
+ /** @deprecated */
+ querySelector<K extends keyof HTMLElementDeprecatedTagNameMap>(selectors: K): HTMLElementDeprecatedTagNameMap[K] | null;
+ querySelector<E extends Element = Element>(selectors: string): E | null;
+ /**
+ * Returns all element descendants of node that match selectors.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/querySelectorAll)
+ */
+ querySelectorAll<K extends keyof HTMLElementTagNameMap>(selectors: K): NodeListOf<HTMLElementTagNameMap[K]>;
+ querySelectorAll<K extends keyof SVGElementTagNameMap>(selectors: K): NodeListOf<SVGElementTagNameMap[K]>;
+ querySelectorAll<K extends keyof MathMLElementTagNameMap>(selectors: K): NodeListOf<MathMLElementTagNameMap[K]>;
+ /** @deprecated */
+ querySelectorAll<K extends keyof HTMLElementDeprecatedTagNameMap>(selectors: K): NodeListOf<HTMLElementDeprecatedTagNameMap[K]>;
+ querySelectorAll<E extends Element = Element>(selectors: string): NodeListOf<E>;
+ /**
+ * Replace all children of node with nodes, while replacing strings in nodes with equivalent Text nodes.
+ *
+ * Throws a "HierarchyRequestError" DOMException if the constraints of the node tree are violated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/replaceChildren)
+ */
+ replaceChildren(...nodes: (Node | string)[]): void;
+}
+
+/**
+ * This Canvas 2D API interface is used to declare a path that can then be used on a CanvasRenderingContext2D object. The path methods of the CanvasRenderingContext2D interface are also present on this interface, which gives you the convenience of being able to retain and replay your path whenever desired.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Path2D)
+ */
+interface Path2D extends CanvasPath {
+ /**
+ * Adds to the path the path given by the argument.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Path2D/addPath)
+ */
+ addPath(path: Path2D, transform?: DOMMatrix2DInit): void;
+}
+
+declare var Path2D: {
+ prototype: Path2D;
+ new(path?: Path2D | string): Path2D;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentMethodChangeEvent)
+ */
+interface PaymentMethodChangeEvent extends PaymentRequestUpdateEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentMethodChangeEvent/methodDetails) */
+ readonly methodDetails: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentMethodChangeEvent/methodName) */
+ readonly methodName: string;
+}
+
+declare var PaymentMethodChangeEvent: {
+ prototype: PaymentMethodChangeEvent;
+ new(type: string, eventInitDict?: PaymentMethodChangeEventInit): PaymentMethodChangeEvent;
+};
+
+interface PaymentRequestEventMap {
+ "paymentmethodchange": Event;
+}
+
+/**
+ * This Payment Request API interface is the primary access point into the API, and lets web content and apps accept payments from the end user.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentRequest)
+ */
+interface PaymentRequest extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentRequest/id) */
+ readonly id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentRequest/paymentmethodchange_event) */
+ onpaymentmethodchange: ((this: PaymentRequest, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentRequest/abort) */
+ abort(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentRequest/canMakePayment) */
+ canMakePayment(): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentRequest/show) */
+ show(detailsPromise?: PaymentDetailsUpdate | PromiseLike<PaymentDetailsUpdate>): Promise<PaymentResponse>;
+ addEventListener<K extends keyof PaymentRequestEventMap>(type: K, listener: (this: PaymentRequest, ev: PaymentRequestEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof PaymentRequestEventMap>(type: K, listener: (this: PaymentRequest, ev: PaymentRequestEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var PaymentRequest: {
+ prototype: PaymentRequest;
+ new(methodData: PaymentMethodData[], details: PaymentDetailsInit): PaymentRequest;
+};
+
+/**
+ * This Payment Request API interface enables a web page to update the details of a PaymentRequest in response to a user action.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentRequestUpdateEvent)
+ */
+interface PaymentRequestUpdateEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentRequestUpdateEvent/updateWith) */
+ updateWith(detailsPromise: PaymentDetailsUpdate | PromiseLike<PaymentDetailsUpdate>): void;
+}
+
+declare var PaymentRequestUpdateEvent: {
+ prototype: PaymentRequestUpdateEvent;
+ new(type: string, eventInitDict?: PaymentRequestUpdateEventInit): PaymentRequestUpdateEvent;
+};
+
+/**
+ * This Payment Request API interface is returned after a user selects a payment method and approves a payment request.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentResponse)
+ */
+interface PaymentResponse extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentResponse/details) */
+ readonly details: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentResponse/methodName) */
+ readonly methodName: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentResponse/requestId) */
+ readonly requestId: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentResponse/complete) */
+ complete(result?: PaymentComplete): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentResponse/retry) */
+ retry(errorFields?: PaymentValidationErrors): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PaymentResponse/toJSON) */
+ toJSON(): any;
+}
+
+declare var PaymentResponse: {
+ prototype: PaymentResponse;
+ new(): PaymentResponse;
+};
+
+interface PerformanceEventMap {
+ "resourcetimingbufferfull": Event;
+}
+
+/**
+ * Provides access to performance-related information for the current page. It's part of the High Resolution Time API, but is enhanced by the Performance Timeline API, the Navigation Timing API, the User Timing API, and the Resource Timing API.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance)
+ */
+interface Performance extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/eventCounts) */
+ readonly eventCounts: EventCounts;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/navigation)
+ */
+ readonly navigation: PerformanceNavigation;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/resourcetimingbufferfull_event) */
+ onresourcetimingbufferfull: ((this: Performance, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/timeOrigin) */
+ readonly timeOrigin: DOMHighResTimeStamp;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/timing)
+ */
+ readonly timing: PerformanceTiming;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/clearMarks) */
+ clearMarks(markName?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/clearMeasures) */
+ clearMeasures(measureName?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/clearResourceTimings) */
+ clearResourceTimings(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/getEntries) */
+ getEntries(): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/getEntriesByName) */
+ getEntriesByName(name: string, type?: string): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/getEntriesByType) */
+ getEntriesByType(type: string): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/mark) */
+ mark(markName: string, markOptions?: PerformanceMarkOptions): PerformanceMark;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/measure) */
+ measure(measureName: string, startOrMeasureOptions?: string | PerformanceMeasureOptions, endMark?: string): PerformanceMeasure;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/now) */
+ now(): DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/setResourceTimingBufferSize) */
+ setResourceTimingBufferSize(maxSize: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/toJSON) */
+ toJSON(): any;
+ addEventListener<K extends keyof PerformanceEventMap>(type: K, listener: (this: Performance, ev: PerformanceEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof PerformanceEventMap>(type: K, listener: (this: Performance, ev: PerformanceEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Performance: {
+ prototype: Performance;
+ new(): Performance;
+};
+
+/**
+ * Encapsulates a single performance metric that is part of the performance timeline. A performance entry can be directly created by making a performance mark or measure (for example by calling the mark() method) at an explicit point in an application. Performance entries are also created in indirect ways such as loading a resource (such as an image).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry)
+ */
+interface PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/duration) */
+ readonly duration: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/entryType) */
+ readonly entryType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/startTime) */
+ readonly startTime: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/toJSON) */
+ toJSON(): any;
+}
+
+declare var PerformanceEntry: {
+ prototype: PerformanceEntry;
+ new(): PerformanceEntry;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEventTiming) */
+interface PerformanceEventTiming extends PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEventTiming/cancelable) */
+ readonly cancelable: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEventTiming/processingEnd) */
+ readonly processingEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEventTiming/processingStart) */
+ readonly processingStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEventTiming/target) */
+ readonly target: Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEventTiming/toJSON) */
+ toJSON(): any;
+}
+
+declare var PerformanceEventTiming: {
+ prototype: PerformanceEventTiming;
+ new(): PerformanceEventTiming;
+};
+
+/**
+ * PerformanceMark is an abstract interface for PerformanceEntry objects with an entryType of "mark". Entries of this type are created by calling performance.mark() to add a named DOMHighResTimeStamp (the mark) to the browser's performance timeline.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceMark)
+ */
+interface PerformanceMark extends PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceMark/detail) */
+ readonly detail: any;
+}
+
+declare var PerformanceMark: {
+ prototype: PerformanceMark;
+ new(markName: string, markOptions?: PerformanceMarkOptions): PerformanceMark;
+};
+
+/**
+ * PerformanceMeasure is an abstract interface for PerformanceEntry objects with an entryType of "measure". Entries of this type are created by calling performance.measure() to add a named DOMHighResTimeStamp (the measure) between two marks to the browser's performance timeline.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceMeasure)
+ */
+interface PerformanceMeasure extends PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceMeasure/detail) */
+ readonly detail: any;
+}
+
+declare var PerformanceMeasure: {
+ prototype: PerformanceMeasure;
+ new(): PerformanceMeasure;
+};
+
+/**
+ * The legacy PerformanceNavigation interface represents information about how the navigation to the current document was done.
+ * @deprecated This interface is deprecated in the Navigation Timing Level 2 specification. Please use the PerformanceNavigationTiming interface instead.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigation)
+ */
+interface PerformanceNavigation {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigation/redirectCount)
+ */
+ readonly redirectCount: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigation/type)
+ */
+ readonly type: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigation/toJSON)
+ */
+ toJSON(): any;
+ readonly TYPE_NAVIGATE: 0;
+ readonly TYPE_RELOAD: 1;
+ readonly TYPE_BACK_FORWARD: 2;
+ readonly TYPE_RESERVED: 255;
+}
+
+/** @deprecated */
+declare var PerformanceNavigation: {
+ prototype: PerformanceNavigation;
+ new(): PerformanceNavigation;
+ readonly TYPE_NAVIGATE: 0;
+ readonly TYPE_RELOAD: 1;
+ readonly TYPE_BACK_FORWARD: 2;
+ readonly TYPE_RESERVED: 255;
+};
+
+/**
+ * Provides methods and properties to store and retrieve metrics regarding the browser's document navigation events. For example, this interface can be used to determine how much time it takes to load or unload a document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming)
+ */
+interface PerformanceNavigationTiming extends PerformanceResourceTiming {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/domComplete) */
+ readonly domComplete: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/domContentLoadedEventEnd) */
+ readonly domContentLoadedEventEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/domContentLoadedEventStart) */
+ readonly domContentLoadedEventStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/domInteractive) */
+ readonly domInteractive: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/loadEventEnd) */
+ readonly loadEventEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/loadEventStart) */
+ readonly loadEventStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/redirectCount) */
+ readonly redirectCount: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/type) */
+ readonly type: NavigationTimingType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/unloadEventEnd) */
+ readonly unloadEventEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/unloadEventStart) */
+ readonly unloadEventStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceNavigationTiming/toJSON) */
+ toJSON(): any;
+}
+
+declare var PerformanceNavigationTiming: {
+ prototype: PerformanceNavigationTiming;
+ new(): PerformanceNavigationTiming;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver) */
+interface PerformanceObserver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver/disconnect) */
+ disconnect(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver/observe) */
+ observe(options?: PerformanceObserverInit): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver/takeRecords) */
+ takeRecords(): PerformanceEntryList;
+}
+
+declare var PerformanceObserver: {
+ prototype: PerformanceObserver;
+ new(callback: PerformanceObserverCallback): PerformanceObserver;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver/supportedEntryTypes_static) */
+ readonly supportedEntryTypes: ReadonlyArray<string>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserverEntryList) */
+interface PerformanceObserverEntryList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserverEntryList/getEntries) */
+ getEntries(): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserverEntryList/getEntriesByName) */
+ getEntriesByName(name: string, type?: string): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserverEntryList/getEntriesByType) */
+ getEntriesByType(type: string): PerformanceEntryList;
+}
+
+declare var PerformanceObserverEntryList: {
+ prototype: PerformanceObserverEntryList;
+ new(): PerformanceObserverEntryList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformancePaintTiming) */
+interface PerformancePaintTiming extends PerformanceEntry {
+}
+
+declare var PerformancePaintTiming: {
+ prototype: PerformancePaintTiming;
+ new(): PerformancePaintTiming;
+};
+
+/**
+ * Enables retrieval and analysis of detailed network timing data regarding the loading of an application's resources. An application can use the timing metrics to determine, for example, the length of time it takes to fetch a specific resource, such as an XMLHttpRequest, <SVG>, image, or script.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming)
+ */
+interface PerformanceResourceTiming extends PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/connectEnd) */
+ readonly connectEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/connectStart) */
+ readonly connectStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/decodedBodySize) */
+ readonly decodedBodySize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/domainLookupEnd) */
+ readonly domainLookupEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/domainLookupStart) */
+ readonly domainLookupStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/encodedBodySize) */
+ readonly encodedBodySize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/fetchStart) */
+ readonly fetchStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/initiatorType) */
+ readonly initiatorType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/nextHopProtocol) */
+ readonly nextHopProtocol: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/redirectEnd) */
+ readonly redirectEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/redirectStart) */
+ readonly redirectStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/requestStart) */
+ readonly requestStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/responseEnd) */
+ readonly responseEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/responseStart) */
+ readonly responseStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/secureConnectionStart) */
+ readonly secureConnectionStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/serverTiming) */
+ readonly serverTiming: ReadonlyArray<PerformanceServerTiming>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/transferSize) */
+ readonly transferSize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/workerStart) */
+ readonly workerStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/toJSON) */
+ toJSON(): any;
+}
+
+declare var PerformanceResourceTiming: {
+ prototype: PerformanceResourceTiming;
+ new(): PerformanceResourceTiming;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming) */
+interface PerformanceServerTiming {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming/description) */
+ readonly description: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming/duration) */
+ readonly duration: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming/toJSON) */
+ toJSON(): any;
+}
+
+declare var PerformanceServerTiming: {
+ prototype: PerformanceServerTiming;
+ new(): PerformanceServerTiming;
+};
+
+/**
+ * A legacy interface kept for backwards compatibility and contains properties that offer performance timing information for various events which occur during the loading and use of the current page. You get a PerformanceTiming object describing your page using the window.performance.timing property.
+ * @deprecated This interface is deprecated in the Navigation Timing Level 2 specification. Please use the PerformanceNavigationTiming interface instead.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming)
+ */
+interface PerformanceTiming {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/connectEnd)
+ */
+ readonly connectEnd: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/connectStart)
+ */
+ readonly connectStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/domComplete)
+ */
+ readonly domComplete: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/domContentLoadedEventEnd)
+ */
+ readonly domContentLoadedEventEnd: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/domContentLoadedEventStart)
+ */
+ readonly domContentLoadedEventStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/domInteractive)
+ */
+ readonly domInteractive: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/domLoading)
+ */
+ readonly domLoading: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/domainLookupEnd)
+ */
+ readonly domainLookupEnd: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/domainLookupStart)
+ */
+ readonly domainLookupStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/fetchStart)
+ */
+ readonly fetchStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/loadEventEnd)
+ */
+ readonly loadEventEnd: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/loadEventStart)
+ */
+ readonly loadEventStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/navigationStart)
+ */
+ readonly navigationStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/redirectEnd)
+ */
+ readonly redirectEnd: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/redirectStart)
+ */
+ readonly redirectStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/requestStart)
+ */
+ readonly requestStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/responseEnd)
+ */
+ readonly responseEnd: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/responseStart)
+ */
+ readonly responseStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/secureConnectionStart)
+ */
+ readonly secureConnectionStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/unloadEventEnd)
+ */
+ readonly unloadEventEnd: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/unloadEventStart)
+ */
+ readonly unloadEventStart: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceTiming/toJSON)
+ */
+ toJSON(): any;
+}
+
+/** @deprecated */
+declare var PerformanceTiming: {
+ prototype: PerformanceTiming;
+ new(): PerformanceTiming;
+};
+
+/**
+ * PeriodicWave has no inputs or outputs; it is used to define custom oscillators when calling OscillatorNode.setPeriodicWave(). The PeriodicWave itself is created/returned by AudioContext.createPeriodicWave().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PeriodicWave)
+ */
+interface PeriodicWave {
+}
+
+declare var PeriodicWave: {
+ prototype: PeriodicWave;
+ new(context: BaseAudioContext, options?: PeriodicWaveOptions): PeriodicWave;
+};
+
+interface PermissionStatusEventMap {
+ "change": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PermissionStatus) */
+interface PermissionStatus extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PermissionStatus/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PermissionStatus/change_event) */
+ onchange: ((this: PermissionStatus, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PermissionStatus/state) */
+ readonly state: PermissionState;
+ addEventListener<K extends keyof PermissionStatusEventMap>(type: K, listener: (this: PermissionStatus, ev: PermissionStatusEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof PermissionStatusEventMap>(type: K, listener: (this: PermissionStatus, ev: PermissionStatusEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var PermissionStatus: {
+ prototype: PermissionStatus;
+ new(): PermissionStatus;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Permissions) */
+interface Permissions {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Permissions/query) */
+ query(permissionDesc: PermissionDescriptor): Promise<PermissionStatus>;
+}
+
+declare var Permissions: {
+ prototype: Permissions;
+ new(): Permissions;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PictureInPictureEvent) */
+interface PictureInPictureEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PictureInPictureEvent/pictureInPictureWindow) */
+ readonly pictureInPictureWindow: PictureInPictureWindow;
+}
+
+declare var PictureInPictureEvent: {
+ prototype: PictureInPictureEvent;
+ new(type: string, eventInitDict: PictureInPictureEventInit): PictureInPictureEvent;
+};
+
+interface PictureInPictureWindowEventMap {
+ "resize": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PictureInPictureWindow) */
+interface PictureInPictureWindow extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PictureInPictureWindow/height) */
+ readonly height: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PictureInPictureWindow/resize_event) */
+ onresize: ((this: PictureInPictureWindow, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PictureInPictureWindow/width) */
+ readonly width: number;
+ addEventListener<K extends keyof PictureInPictureWindowEventMap>(type: K, listener: (this: PictureInPictureWindow, ev: PictureInPictureWindowEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof PictureInPictureWindowEventMap>(type: K, listener: (this: PictureInPictureWindow, ev: PictureInPictureWindowEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var PictureInPictureWindow: {
+ prototype: PictureInPictureWindow;
+ new(): PictureInPictureWindow;
+};
+
+/**
+ * Provides information about a browser plugin.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Plugin)
+ */
+interface Plugin {
+ /**
+ * Returns the plugin's description.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Plugin/description)
+ */
+ readonly description: string;
+ /**
+ * Returns the plugin library's filename, if applicable on the current platform.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Plugin/filename)
+ */
+ readonly filename: string;
+ /**
+ * Returns the number of MIME types, represented by MimeType objects, supported by the plugin.
+ * @deprecated
+ */
+ readonly length: number;
+ /**
+ * Returns the plugin's name.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Plugin/name)
+ */
+ readonly name: string;
+ /**
+ * Returns the specified MimeType object.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Plugin/item)
+ */
+ item(index: number): MimeType | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Plugin/namedItem)
+ */
+ namedItem(name: string): MimeType | null;
+ [index: number]: MimeType;
+}
+
+/** @deprecated */
+declare var Plugin: {
+ prototype: Plugin;
+ new(): Plugin;
+};
+
+/**
+ * Used to store a list of Plugin objects describing the available plugins; it's returned by the window.navigator.plugins property. The PluginArray is not a JavaScript array, but has the length property and supports accessing individual items using bracket notation (plugins[2]), as well as via item(index) and namedItem("name") methods.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PluginArray)
+ */
+interface PluginArray {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PluginArray/length)
+ */
+ readonly length: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PluginArray/item)
+ */
+ item(index: number): Plugin | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PluginArray/namedItem)
+ */
+ namedItem(name: string): Plugin | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PluginArray/refresh)
+ */
+ refresh(): void;
+ [index: number]: Plugin;
+}
+
+/** @deprecated */
+declare var PluginArray: {
+ prototype: PluginArray;
+ new(): PluginArray;
+};
+
+/**
+ * The state of a DOM event produced by a pointer such as the geometry of the contact point, the device type that generated the event, the amount of pressure that was applied on the contact surface, etc.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent)
+ */
+interface PointerEvent extends MouseEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/height) */
+ readonly height: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/isPrimary) */
+ readonly isPrimary: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/pointerId) */
+ readonly pointerId: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/pointerType) */
+ readonly pointerType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/pressure) */
+ readonly pressure: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/tangentialPressure) */
+ readonly tangentialPressure: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/tiltX) */
+ readonly tiltX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/tiltY) */
+ readonly tiltY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/twist) */
+ readonly twist: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/width) */
+ readonly width: number;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/getCoalescedEvents)
+ */
+ getCoalescedEvents(): PointerEvent[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PointerEvent/getPredictedEvents) */
+ getPredictedEvents(): PointerEvent[];
+}
+
+declare var PointerEvent: {
+ prototype: PointerEvent;
+ new(type: string, eventInitDict?: PointerEventInit): PointerEvent;
+};
+
+/**
+ * PopStateEvent is an event handler for the popstate event on the window.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PopStateEvent)
+ */
+interface PopStateEvent extends Event {
+ /**
+ * Returns a copy of the information that was provided to pushState() or replaceState().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PopStateEvent/state)
+ */
+ readonly state: any;
+}
+
+declare var PopStateEvent: {
+ prototype: PopStateEvent;
+ new(type: string, eventInitDict?: PopStateEventInit): PopStateEvent;
+};
+
+interface PopoverInvokerElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/popoverTargetAction) */
+ popoverTargetAction: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLButtonElement/popoverTargetElement) */
+ popoverTargetElement: Element | null;
+}
+
+/**
+ * A processing instruction embeds application-specific instructions in XML which can be ignored by other applications that don't recognize them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProcessingInstruction)
+ */
+interface ProcessingInstruction extends CharacterData, LinkStyle {
+ readonly ownerDocument: Document;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProcessingInstruction/target) */
+ readonly target: string;
+}
+
+declare var ProcessingInstruction: {
+ prototype: ProcessingInstruction;
+ new(): ProcessingInstruction;
+};
+
+/**
+ * Events measuring progress of an underlying process, like an HTTP request (for an XMLHttpRequest, or the loading of the underlying resource of an <img>, <audio>, <video>, <style> or <link>).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProgressEvent)
+ */
+interface ProgressEvent<T extends EventTarget = EventTarget> extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProgressEvent/lengthComputable) */
+ readonly lengthComputable: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProgressEvent/loaded) */
+ readonly loaded: number;
+ readonly target: T | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProgressEvent/total) */
+ readonly total: number;
+}
+
+declare var ProgressEvent: {
+ prototype: ProgressEvent;
+ new(type: string, eventInitDict?: ProgressEventInit): ProgressEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PromiseRejectionEvent) */
+interface PromiseRejectionEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PromiseRejectionEvent/promise) */
+ readonly promise: Promise<any>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PromiseRejectionEvent/reason) */
+ readonly reason: any;
+}
+
+declare var PromiseRejectionEvent: {
+ prototype: PromiseRejectionEvent;
+ new(type: string, eventInitDict: PromiseRejectionEventInit): PromiseRejectionEvent;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PublicKeyCredential)
+ */
+interface PublicKeyCredential extends Credential {
+ readonly authenticatorAttachment: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PublicKeyCredential/rawId) */
+ readonly rawId: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PublicKeyCredential/response) */
+ readonly response: AuthenticatorResponse;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PublicKeyCredential/getClientExtensionResults) */
+ getClientExtensionResults(): AuthenticationExtensionsClientOutputs;
+}
+
+declare var PublicKeyCredential: {
+ prototype: PublicKeyCredential;
+ new(): PublicKeyCredential;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PublicKeyCredential/isConditionalMediationAvailable) */
+ isConditionalMediationAvailable(): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PublicKeyCredential/isUserVerifyingPlatformAuthenticatorAvailable_static) */
+ isUserVerifyingPlatformAuthenticatorAvailable(): Promise<boolean>;
+};
+
+/**
+ * This Push API interface provides a way to receive notifications from third-party servers as well as request URLs for push notifications.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager)
+ */
+interface PushManager {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager/getSubscription) */
+ getSubscription(): Promise<PushSubscription | null>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager/permissionState) */
+ permissionState(options?: PushSubscriptionOptionsInit): Promise<PermissionState>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager/subscribe) */
+ subscribe(options?: PushSubscriptionOptionsInit): Promise<PushSubscription>;
+}
+
+declare var PushManager: {
+ prototype: PushManager;
+ new(): PushManager;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager/supportedContentEncodings_static) */
+ readonly supportedContentEncodings: ReadonlyArray<string>;
+};
+
+/**
+ * This Push API interface provides a subcription's URL endpoint and allows unsubscription from a push service.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription)
+ */
+interface PushSubscription {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/endpoint) */
+ readonly endpoint: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/expirationTime) */
+ readonly expirationTime: EpochTimeStamp | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/options) */
+ readonly options: PushSubscriptionOptions;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/getKey) */
+ getKey(name: PushEncryptionKeyName): ArrayBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/toJSON) */
+ toJSON(): PushSubscriptionJSON;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/unsubscribe) */
+ unsubscribe(): Promise<boolean>;
+}
+
+declare var PushSubscription: {
+ prototype: PushSubscription;
+ new(): PushSubscription;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscriptionOptions)
+ */
+interface PushSubscriptionOptions {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscriptionOptions/applicationServerKey) */
+ readonly applicationServerKey: ArrayBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscriptionOptions/userVisibleOnly) */
+ readonly userVisibleOnly: boolean;
+}
+
+declare var PushSubscriptionOptions: {
+ prototype: PushSubscriptionOptions;
+ new(): PushSubscriptionOptions;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCCertificate) */
+interface RTCCertificate {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCCertificate/expires) */
+ readonly expires: EpochTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCCertificate/getFingerprints) */
+ getFingerprints(): RTCDtlsFingerprint[];
+}
+
+declare var RTCCertificate: {
+ prototype: RTCCertificate;
+ new(): RTCCertificate;
+};
+
+interface RTCDTMFSenderEventMap {
+ "tonechange": RTCDTMFToneChangeEvent;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDTMFSender) */
+interface RTCDTMFSender extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDTMFSender/canInsertDTMF) */
+ readonly canInsertDTMF: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDTMFSender/tonechange_event) */
+ ontonechange: ((this: RTCDTMFSender, ev: RTCDTMFToneChangeEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDTMFSender/toneBuffer) */
+ readonly toneBuffer: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDTMFSender/insertDTMF) */
+ insertDTMF(tones: string, duration?: number, interToneGap?: number): void;
+ addEventListener<K extends keyof RTCDTMFSenderEventMap>(type: K, listener: (this: RTCDTMFSender, ev: RTCDTMFSenderEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof RTCDTMFSenderEventMap>(type: K, listener: (this: RTCDTMFSender, ev: RTCDTMFSenderEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var RTCDTMFSender: {
+ prototype: RTCDTMFSender;
+ new(): RTCDTMFSender;
+};
+
+/**
+ * Events sent to indicate that DTMF tones have started or finished playing. This interface is used by the tonechange event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDTMFToneChangeEvent)
+ */
+interface RTCDTMFToneChangeEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDTMFToneChangeEvent/tone) */
+ readonly tone: string;
+}
+
+declare var RTCDTMFToneChangeEvent: {
+ prototype: RTCDTMFToneChangeEvent;
+ new(type: string, eventInitDict?: RTCDTMFToneChangeEventInit): RTCDTMFToneChangeEvent;
+};
+
+interface RTCDataChannelEventMap {
+ "bufferedamountlow": Event;
+ "close": Event;
+ "closing": Event;
+ "error": Event;
+ "message": MessageEvent;
+ "open": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel) */
+interface RTCDataChannel extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/binaryType) */
+ binaryType: BinaryType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/bufferedAmount) */
+ readonly bufferedAmount: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/bufferedAmountLowThreshold) */
+ bufferedAmountLowThreshold: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/id) */
+ readonly id: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/label) */
+ readonly label: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/maxPacketLifeTime) */
+ readonly maxPacketLifeTime: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/maxRetransmits) */
+ readonly maxRetransmits: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/negotiated) */
+ readonly negotiated: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/bufferedamountlow_event) */
+ onbufferedamountlow: ((this: RTCDataChannel, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/close_event) */
+ onclose: ((this: RTCDataChannel, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/closing_event) */
+ onclosing: ((this: RTCDataChannel, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/error_event) */
+ onerror: ((this: RTCDataChannel, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/message_event) */
+ onmessage: ((this: RTCDataChannel, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/open_event) */
+ onopen: ((this: RTCDataChannel, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/ordered) */
+ readonly ordered: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/protocol) */
+ readonly protocol: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/readyState) */
+ readonly readyState: RTCDataChannelState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannel/send) */
+ send(data: string): void;
+ send(data: Blob): void;
+ send(data: ArrayBuffer): void;
+ send(data: ArrayBufferView): void;
+ addEventListener<K extends keyof RTCDataChannelEventMap>(type: K, listener: (this: RTCDataChannel, ev: RTCDataChannelEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof RTCDataChannelEventMap>(type: K, listener: (this: RTCDataChannel, ev: RTCDataChannelEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var RTCDataChannel: {
+ prototype: RTCDataChannel;
+ new(): RTCDataChannel;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannelEvent) */
+interface RTCDataChannelEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDataChannelEvent/channel) */
+ readonly channel: RTCDataChannel;
+}
+
+declare var RTCDataChannelEvent: {
+ prototype: RTCDataChannelEvent;
+ new(type: string, eventInitDict: RTCDataChannelEventInit): RTCDataChannelEvent;
+};
+
+interface RTCDtlsTransportEventMap {
+ "error": Event;
+ "statechange": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDtlsTransport) */
+interface RTCDtlsTransport extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDtlsTransport/iceTransport) */
+ readonly iceTransport: RTCIceTransport;
+ onerror: ((this: RTCDtlsTransport, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDtlsTransport/statechange_event) */
+ onstatechange: ((this: RTCDtlsTransport, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDtlsTransport/state) */
+ readonly state: RTCDtlsTransportState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCDtlsTransport/getRemoteCertificates) */
+ getRemoteCertificates(): ArrayBuffer[];
+ addEventListener<K extends keyof RTCDtlsTransportEventMap>(type: K, listener: (this: RTCDtlsTransport, ev: RTCDtlsTransportEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof RTCDtlsTransportEventMap>(type: K, listener: (this: RTCDtlsTransport, ev: RTCDtlsTransportEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var RTCDtlsTransport: {
+ prototype: RTCDtlsTransport;
+ new(): RTCDtlsTransport;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedAudioFrame) */
+interface RTCEncodedAudioFrame {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedAudioFrame/data) */
+ data: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedAudioFrame/timestamp) */
+ readonly timestamp: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedAudioFrame/getMetadata) */
+ getMetadata(): RTCEncodedAudioFrameMetadata;
+}
+
+declare var RTCEncodedAudioFrame: {
+ prototype: RTCEncodedAudioFrame;
+ new(): RTCEncodedAudioFrame;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame) */
+interface RTCEncodedVideoFrame {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame/data) */
+ data: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame/timestamp) */
+ readonly timestamp: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame/type) */
+ readonly type: RTCEncodedVideoFrameType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame/getMetadata) */
+ getMetadata(): RTCEncodedVideoFrameMetadata;
+}
+
+declare var RTCEncodedVideoFrame: {
+ prototype: RTCEncodedVideoFrame;
+ new(): RTCEncodedVideoFrame;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCError) */
+interface RTCError extends DOMException {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCError/errorDetail) */
+ readonly errorDetail: RTCErrorDetailType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCError/receivedAlert) */
+ readonly receivedAlert: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCError/sctpCauseCode) */
+ readonly sctpCauseCode: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCError/sdpLineNumber) */
+ readonly sdpLineNumber: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCError/sentAlert) */
+ readonly sentAlert: number | null;
+}
+
+declare var RTCError: {
+ prototype: RTCError;
+ new(init: RTCErrorInit, message?: string): RTCError;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCErrorEvent) */
+interface RTCErrorEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCErrorEvent/error) */
+ readonly error: RTCError;
+}
+
+declare var RTCErrorEvent: {
+ prototype: RTCErrorEvent;
+ new(type: string, eventInitDict: RTCErrorEventInit): RTCErrorEvent;
+};
+
+/**
+ * The RTCIceCandidate interface—part of the WebRTC API—represents a candidate Internet Connectivity Establishment (ICE) configuration which may be used to establish an RTCPeerConnection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate)
+ */
+interface RTCIceCandidate {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/address) */
+ readonly address: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/candidate) */
+ readonly candidate: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/component) */
+ readonly component: RTCIceComponent | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/foundation) */
+ readonly foundation: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/port) */
+ readonly port: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/priority) */
+ readonly priority: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/protocol) */
+ readonly protocol: RTCIceProtocol | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/relatedAddress) */
+ readonly relatedAddress: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/relatedPort) */
+ readonly relatedPort: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/sdpMLineIndex) */
+ readonly sdpMLineIndex: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/sdpMid) */
+ readonly sdpMid: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/tcpType) */
+ readonly tcpType: RTCIceTcpCandidateType | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/type) */
+ readonly type: RTCIceCandidateType | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/usernameFragment) */
+ readonly usernameFragment: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceCandidate/toJSON) */
+ toJSON(): RTCIceCandidateInit;
+}
+
+declare var RTCIceCandidate: {
+ prototype: RTCIceCandidate;
+ new(candidateInitDict?: RTCIceCandidateInit): RTCIceCandidate;
+};
+
+interface RTCIceTransportEventMap {
+ "gatheringstatechange": Event;
+ "selectedcandidatepairchange": Event;
+ "statechange": Event;
+}
+
+/**
+ * Provides access to information about the ICE transport layer over which the data is being sent and received.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceTransport)
+ */
+interface RTCIceTransport extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceTransport/gatheringState) */
+ readonly gatheringState: RTCIceGathererState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceTransport/gatheringstatechange_event) */
+ ongatheringstatechange: ((this: RTCIceTransport, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceTransport/selectedcandidatepairchange_event) */
+ onselectedcandidatepairchange: ((this: RTCIceTransport, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceTransport/statechange_event) */
+ onstatechange: ((this: RTCIceTransport, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceTransport/state) */
+ readonly state: RTCIceTransportState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCIceTransport/getSelectedCandidatePair) */
+ getSelectedCandidatePair(): RTCIceCandidatePair | null;
+ addEventListener<K extends keyof RTCIceTransportEventMap>(type: K, listener: (this: RTCIceTransport, ev: RTCIceTransportEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof RTCIceTransportEventMap>(type: K, listener: (this: RTCIceTransport, ev: RTCIceTransportEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var RTCIceTransport: {
+ prototype: RTCIceTransport;
+ new(): RTCIceTransport;
+};
+
+interface RTCPeerConnectionEventMap {
+ "connectionstatechange": Event;
+ "datachannel": RTCDataChannelEvent;
+ "icecandidate": RTCPeerConnectionIceEvent;
+ "icecandidateerror": RTCPeerConnectionIceErrorEvent;
+ "iceconnectionstatechange": Event;
+ "icegatheringstatechange": Event;
+ "negotiationneeded": Event;
+ "signalingstatechange": Event;
+ "track": RTCTrackEvent;
+}
+
+/**
+ * A WebRTC connection between the local computer and a remote peer. It provides methods to connect to a remote peer, maintain and monitor the connection, and close the connection once it's no longer needed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection)
+ */
+interface RTCPeerConnection extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/canTrickleIceCandidates) */
+ readonly canTrickleIceCandidates: boolean | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/connectionState) */
+ readonly connectionState: RTCPeerConnectionState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/currentLocalDescription) */
+ readonly currentLocalDescription: RTCSessionDescription | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/currentRemoteDescription) */
+ readonly currentRemoteDescription: RTCSessionDescription | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/iceConnectionState) */
+ readonly iceConnectionState: RTCIceConnectionState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/iceGatheringState) */
+ readonly iceGatheringState: RTCIceGatheringState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/localDescription) */
+ readonly localDescription: RTCSessionDescription | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/connectionstatechange_event) */
+ onconnectionstatechange: ((this: RTCPeerConnection, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/datachannel_event) */
+ ondatachannel: ((this: RTCPeerConnection, ev: RTCDataChannelEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/icecandidate_event) */
+ onicecandidate: ((this: RTCPeerConnection, ev: RTCPeerConnectionIceEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/icecandidateerror_event) */
+ onicecandidateerror: ((this: RTCPeerConnection, ev: RTCPeerConnectionIceErrorEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/iceconnectionstatechange_event) */
+ oniceconnectionstatechange: ((this: RTCPeerConnection, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/icegatheringstatechange_event) */
+ onicegatheringstatechange: ((this: RTCPeerConnection, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/negotiationneeded_event) */
+ onnegotiationneeded: ((this: RTCPeerConnection, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/signalingstatechange_event) */
+ onsignalingstatechange: ((this: RTCPeerConnection, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/track_event) */
+ ontrack: ((this: RTCPeerConnection, ev: RTCTrackEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/pendingLocalDescription) */
+ readonly pendingLocalDescription: RTCSessionDescription | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/pendingRemoteDescription) */
+ readonly pendingRemoteDescription: RTCSessionDescription | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/remoteDescription) */
+ readonly remoteDescription: RTCSessionDescription | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/sctp) */
+ readonly sctp: RTCSctpTransport | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/signalingState) */
+ readonly signalingState: RTCSignalingState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/addIceCandidate) */
+ addIceCandidate(candidate?: RTCIceCandidateInit): Promise<void>;
+ /** @deprecated */
+ addIceCandidate(candidate: RTCIceCandidateInit, successCallback: VoidFunction, failureCallback: RTCPeerConnectionErrorCallback): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/addTrack) */
+ addTrack(track: MediaStreamTrack, ...streams: MediaStream[]): RTCRtpSender;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/addTransceiver) */
+ addTransceiver(trackOrKind: MediaStreamTrack | string, init?: RTCRtpTransceiverInit): RTCRtpTransceiver;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/createAnswer) */
+ createAnswer(options?: RTCAnswerOptions): Promise<RTCSessionDescriptionInit>;
+ /** @deprecated */
+ createAnswer(successCallback: RTCSessionDescriptionCallback, failureCallback: RTCPeerConnectionErrorCallback): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/createDataChannel) */
+ createDataChannel(label: string, dataChannelDict?: RTCDataChannelInit): RTCDataChannel;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/createOffer) */
+ createOffer(options?: RTCOfferOptions): Promise<RTCSessionDescriptionInit>;
+ /** @deprecated */
+ createOffer(successCallback: RTCSessionDescriptionCallback, failureCallback: RTCPeerConnectionErrorCallback, options?: RTCOfferOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/getConfiguration) */
+ getConfiguration(): RTCConfiguration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/getReceivers) */
+ getReceivers(): RTCRtpReceiver[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/getSenders) */
+ getSenders(): RTCRtpSender[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/getStats) */
+ getStats(selector?: MediaStreamTrack | null): Promise<RTCStatsReport>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/getTransceivers) */
+ getTransceivers(): RTCRtpTransceiver[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/removeTrack) */
+ removeTrack(sender: RTCRtpSender): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/restartIce) */
+ restartIce(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/setConfiguration) */
+ setConfiguration(configuration?: RTCConfiguration): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/setLocalDescription) */
+ setLocalDescription(description?: RTCLocalSessionDescriptionInit): Promise<void>;
+ /** @deprecated */
+ setLocalDescription(description: RTCLocalSessionDescriptionInit, successCallback: VoidFunction, failureCallback: RTCPeerConnectionErrorCallback): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/setRemoteDescription) */
+ setRemoteDescription(description: RTCSessionDescriptionInit): Promise<void>;
+ /** @deprecated */
+ setRemoteDescription(description: RTCSessionDescriptionInit, successCallback: VoidFunction, failureCallback: RTCPeerConnectionErrorCallback): Promise<void>;
+ addEventListener<K extends keyof RTCPeerConnectionEventMap>(type: K, listener: (this: RTCPeerConnection, ev: RTCPeerConnectionEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof RTCPeerConnectionEventMap>(type: K, listener: (this: RTCPeerConnection, ev: RTCPeerConnectionEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var RTCPeerConnection: {
+ prototype: RTCPeerConnection;
+ new(configuration?: RTCConfiguration): RTCPeerConnection;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnection/generateCertificate_static) */
+ generateCertificate(keygenAlgorithm: AlgorithmIdentifier): Promise<RTCCertificate>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnectionIceErrorEvent) */
+interface RTCPeerConnectionIceErrorEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnectionIceErrorEvent/address) */
+ readonly address: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnectionIceErrorEvent/errorCode) */
+ readonly errorCode: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnectionIceErrorEvent/errorText) */
+ readonly errorText: string;
+ readonly port: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnectionIceErrorEvent/url) */
+ readonly url: string;
+}
+
+declare var RTCPeerConnectionIceErrorEvent: {
+ prototype: RTCPeerConnectionIceErrorEvent;
+ new(type: string, eventInitDict: RTCPeerConnectionIceErrorEventInit): RTCPeerConnectionIceErrorEvent;
+};
+
+/**
+ * Events that occurs in relation to ICE candidates with the target, usually an RTCPeerConnection. Only one event is of this type: icecandidate.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnectionIceEvent)
+ */
+interface RTCPeerConnectionIceEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCPeerConnectionIceEvent/candidate) */
+ readonly candidate: RTCIceCandidate | null;
+}
+
+declare var RTCPeerConnectionIceEvent: {
+ prototype: RTCPeerConnectionIceEvent;
+ new(type: string, eventInitDict?: RTCPeerConnectionIceEventInit): RTCPeerConnectionIceEvent;
+};
+
+/**
+ * This WebRTC API interface manages the reception and decoding of data for a MediaStreamTrack on an RTCPeerConnection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver)
+ */
+interface RTCRtpReceiver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver/track) */
+ readonly track: MediaStreamTrack;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver/transform) */
+ transform: RTCRtpTransform | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver/transport) */
+ readonly transport: RTCDtlsTransport | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver/getContributingSources) */
+ getContributingSources(): RTCRtpContributingSource[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver/getParameters) */
+ getParameters(): RTCRtpReceiveParameters;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver/getStats) */
+ getStats(): Promise<RTCStatsReport>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver/getSynchronizationSources) */
+ getSynchronizationSources(): RTCRtpSynchronizationSource[];
+}
+
+declare var RTCRtpReceiver: {
+ prototype: RTCRtpReceiver;
+ new(): RTCRtpReceiver;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpReceiver/getCapabilities_static) */
+ getCapabilities(kind: string): RTCRtpCapabilities | null;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpScriptTransform) */
+interface RTCRtpScriptTransform {
+}
+
+declare var RTCRtpScriptTransform: {
+ prototype: RTCRtpScriptTransform;
+ new(worker: Worker, options?: any, transfer?: any[]): RTCRtpScriptTransform;
+};
+
+/**
+ * Provides the ability to control and obtain details about how a particular MediaStreamTrack is encoded and sent to a remote peer.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender)
+ */
+interface RTCRtpSender {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/dtmf) */
+ readonly dtmf: RTCDTMFSender | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/track) */
+ readonly track: MediaStreamTrack | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/transform) */
+ transform: RTCRtpTransform | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/transport) */
+ readonly transport: RTCDtlsTransport | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/getParameters) */
+ getParameters(): RTCRtpSendParameters;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/getStats) */
+ getStats(): Promise<RTCStatsReport>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/replaceTrack) */
+ replaceTrack(withTrack: MediaStreamTrack | null): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/setParameters) */
+ setParameters(parameters: RTCRtpSendParameters, setParameterOptions?: RTCSetParameterOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/setStreams) */
+ setStreams(...streams: MediaStream[]): void;
+}
+
+declare var RTCRtpSender: {
+ prototype: RTCRtpSender;
+ new(): RTCRtpSender;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpSender/getCapabilities_static) */
+ getCapabilities(kind: string): RTCRtpCapabilities | null;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver) */
+interface RTCRtpTransceiver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver/currentDirection) */
+ readonly currentDirection: RTCRtpTransceiverDirection | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver/direction) */
+ direction: RTCRtpTransceiverDirection;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver/mid) */
+ readonly mid: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver/receiver) */
+ readonly receiver: RTCRtpReceiver;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver/sender) */
+ readonly sender: RTCRtpSender;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver/setCodecPreferences) */
+ setCodecPreferences(codecs: RTCRtpCodecCapability[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver/stop) */
+ stop(): void;
+}
+
+declare var RTCRtpTransceiver: {
+ prototype: RTCRtpTransceiver;
+ new(): RTCRtpTransceiver;
+};
+
+interface RTCSctpTransportEventMap {
+ "statechange": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSctpTransport) */
+interface RTCSctpTransport extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSctpTransport/maxChannels) */
+ readonly maxChannels: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSctpTransport/maxMessageSize) */
+ readonly maxMessageSize: number;
+ onstatechange: ((this: RTCSctpTransport, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSctpTransport/state) */
+ readonly state: RTCSctpTransportState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSctpTransport/transport) */
+ readonly transport: RTCDtlsTransport;
+ addEventListener<K extends keyof RTCSctpTransportEventMap>(type: K, listener: (this: RTCSctpTransport, ev: RTCSctpTransportEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof RTCSctpTransportEventMap>(type: K, listener: (this: RTCSctpTransport, ev: RTCSctpTransportEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var RTCSctpTransport: {
+ prototype: RTCSctpTransport;
+ new(): RTCSctpTransport;
+};
+
+/**
+ * One end of a connection—or potential connection—and how it's configured. Each RTCSessionDescription consists of a description type indicating which part of the offer/answer negotiation process it describes and of the SDP descriptor of the session.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSessionDescription)
+ */
+interface RTCSessionDescription {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSessionDescription/sdp) */
+ readonly sdp: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSessionDescription/type) */
+ readonly type: RTCSdpType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCSessionDescription/toJSON) */
+ toJSON(): any;
+}
+
+declare var RTCSessionDescription: {
+ prototype: RTCSessionDescription;
+ new(descriptionInitDict: RTCSessionDescriptionInit): RTCSessionDescription;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCStatsReport) */
+interface RTCStatsReport {
+ forEach(callbackfn: (value: any, key: string, parent: RTCStatsReport) => void, thisArg?: any): void;
+}
+
+declare var RTCStatsReport: {
+ prototype: RTCStatsReport;
+ new(): RTCStatsReport;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCTrackEvent) */
+interface RTCTrackEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCTrackEvent/receiver) */
+ readonly receiver: RTCRtpReceiver;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCTrackEvent/streams) */
+ readonly streams: ReadonlyArray<MediaStream>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCTrackEvent/track) */
+ readonly track: MediaStreamTrack;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCTrackEvent/transceiver) */
+ readonly transceiver: RTCRtpTransceiver;
+}
+
+declare var RTCTrackEvent: {
+ prototype: RTCTrackEvent;
+ new(type: string, eventInitDict: RTCTrackEventInit): RTCTrackEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RadioNodeList) */
+interface RadioNodeList extends NodeList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RadioNodeList/value) */
+ value: string;
+}
+
+declare var RadioNodeList: {
+ prototype: RadioNodeList;
+ new(): RadioNodeList;
+};
+
+/**
+ * A fragment of a document that can contain nodes and parts of text nodes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range)
+ */
+interface Range extends AbstractRange {
+ /**
+ * Returns the node, furthest away from the document, that is an ancestor of both range's start node and end node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/commonAncestorContainer)
+ */
+ readonly commonAncestorContainer: Node;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/cloneContents) */
+ cloneContents(): DocumentFragment;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/cloneRange) */
+ cloneRange(): Range;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/collapse) */
+ collapse(toStart?: boolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/compareBoundaryPoints) */
+ compareBoundaryPoints(how: number, sourceRange: Range): number;
+ /**
+ * Returns −1 if the point is before the range, 0 if the point is in the range, and 1 if the point is after the range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/comparePoint)
+ */
+ comparePoint(node: Node, offset: number): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/createContextualFragment) */
+ createContextualFragment(fragment: string): DocumentFragment;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/deleteContents) */
+ deleteContents(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/detach) */
+ detach(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/extractContents) */
+ extractContents(): DocumentFragment;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/getBoundingClientRect) */
+ getBoundingClientRect(): DOMRect;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/getClientRects) */
+ getClientRects(): DOMRectList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/insertNode) */
+ insertNode(node: Node): void;
+ /**
+ * Returns whether range intersects node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/intersectsNode)
+ */
+ intersectsNode(node: Node): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/isPointInRange) */
+ isPointInRange(node: Node, offset: number): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/selectNode) */
+ selectNode(node: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/selectNodeContents) */
+ selectNodeContents(node: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/setEnd) */
+ setEnd(node: Node, offset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/setEndAfter) */
+ setEndAfter(node: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/setEndBefore) */
+ setEndBefore(node: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/setStart) */
+ setStart(node: Node, offset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/setStartAfter) */
+ setStartAfter(node: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/setStartBefore) */
+ setStartBefore(node: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Range/surroundContents) */
+ surroundContents(newParent: Node): void;
+ toString(): string;
+ readonly START_TO_START: 0;
+ readonly START_TO_END: 1;
+ readonly END_TO_END: 2;
+ readonly END_TO_START: 3;
+}
+
+declare var Range: {
+ prototype: Range;
+ new(): Range;
+ readonly START_TO_START: 0;
+ readonly START_TO_END: 1;
+ readonly END_TO_END: 2;
+ readonly END_TO_START: 3;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController) */
+interface ReadableByteStreamController {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/byobRequest) */
+ readonly byobRequest: ReadableStreamBYOBRequest | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/desiredSize) */
+ readonly desiredSize: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/enqueue) */
+ enqueue(chunk: ArrayBufferView): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/error) */
+ error(e?: any): void;
+}
+
+declare var ReadableByteStreamController: {
+ prototype: ReadableByteStreamController;
+ new(): ReadableByteStreamController;
+};
+
+/**
+ * This Streams API interface represents a readable stream of byte data. The Fetch API offers a concrete instance of a ReadableStream through the body property of a Response object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream)
+ */
+interface ReadableStream<R = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/locked) */
+ readonly locked: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/cancel) */
+ cancel(reason?: any): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/getReader) */
+ getReader(options: { mode: "byob" }): ReadableStreamBYOBReader;
+ getReader(): ReadableStreamDefaultReader<R>;
+ getReader(options?: ReadableStreamGetReaderOptions): ReadableStreamReader<R>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/pipeThrough) */
+ pipeThrough<T>(transform: ReadableWritablePair<T, R>, options?: StreamPipeOptions): ReadableStream<T>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/pipeTo) */
+ pipeTo(destination: WritableStream<R>, options?: StreamPipeOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/tee) */
+ tee(): [ReadableStream<R>, ReadableStream<R>];
+}
+
+declare var ReadableStream: {
+ prototype: ReadableStream;
+ new(underlyingSource: UnderlyingByteSource, strategy?: { highWaterMark?: number }): ReadableStream<Uint8Array>;
+ new<R = any>(underlyingSource: UnderlyingDefaultSource<R>, strategy?: QueuingStrategy<R>): ReadableStream<R>;
+ new<R = any>(underlyingSource?: UnderlyingSource<R>, strategy?: QueuingStrategy<R>): ReadableStream<R>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader) */
+interface ReadableStreamBYOBReader extends ReadableStreamGenericReader {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader/read) */
+ read<T extends ArrayBufferView>(view: T): Promise<ReadableStreamReadResult<T>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader/releaseLock) */
+ releaseLock(): void;
+}
+
+declare var ReadableStreamBYOBReader: {
+ prototype: ReadableStreamBYOBReader;
+ new(stream: ReadableStream): ReadableStreamBYOBReader;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBRequest) */
+interface ReadableStreamBYOBRequest {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBRequest/view) */
+ readonly view: ArrayBufferView | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBRequest/respond) */
+ respond(bytesWritten: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBRequest/respondWithNewView) */
+ respondWithNewView(view: ArrayBufferView): void;
+}
+
+declare var ReadableStreamBYOBRequest: {
+ prototype: ReadableStreamBYOBRequest;
+ new(): ReadableStreamBYOBRequest;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController) */
+interface ReadableStreamDefaultController<R = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController/desiredSize) */
+ readonly desiredSize: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController/enqueue) */
+ enqueue(chunk?: R): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController/error) */
+ error(e?: any): void;
+}
+
+declare var ReadableStreamDefaultController: {
+ prototype: ReadableStreamDefaultController;
+ new(): ReadableStreamDefaultController;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultReader) */
+interface ReadableStreamDefaultReader<R = any> extends ReadableStreamGenericReader {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultReader/read) */
+ read(): Promise<ReadableStreamReadResult<R>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultReader/releaseLock) */
+ releaseLock(): void;
+}
+
+declare var ReadableStreamDefaultReader: {
+ prototype: ReadableStreamDefaultReader;
+ new<R = any>(stream: ReadableStream<R>): ReadableStreamDefaultReader<R>;
+};
+
+interface ReadableStreamGenericReader {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader/closed) */
+ readonly closed: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader/cancel) */
+ cancel(reason?: any): Promise<void>;
+}
+
+interface RemotePlaybackEventMap {
+ "connect": Event;
+ "connecting": Event;
+ "disconnect": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RemotePlayback) */
+interface RemotePlayback extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RemotePlayback/connect_event) */
+ onconnect: ((this: RemotePlayback, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RemotePlayback/connecting_event) */
+ onconnecting: ((this: RemotePlayback, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RemotePlayback/disconnect_event) */
+ ondisconnect: ((this: RemotePlayback, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RemotePlayback/state) */
+ readonly state: RemotePlaybackState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RemotePlayback/cancelWatchAvailability) */
+ cancelWatchAvailability(id?: number): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RemotePlayback/prompt) */
+ prompt(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RemotePlayback/watchAvailability) */
+ watchAvailability(callback: RemotePlaybackAvailabilityCallback): Promise<number>;
+ addEventListener<K extends keyof RemotePlaybackEventMap>(type: K, listener: (this: RemotePlayback, ev: RemotePlaybackEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof RemotePlaybackEventMap>(type: K, listener: (this: RemotePlayback, ev: RemotePlaybackEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var RemotePlayback: {
+ prototype: RemotePlayback;
+ new(): RemotePlayback;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Report) */
+interface Report {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Report/body) */
+ readonly body: ReportBody | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Report/type) */
+ readonly type: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Report/url) */
+ readonly url: string;
+ toJSON(): any;
+}
+
+declare var Report: {
+ prototype: Report;
+ new(): Report;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportBody) */
+interface ReportBody {
+ toJSON(): any;
+}
+
+declare var ReportBody: {
+ prototype: ReportBody;
+ new(): ReportBody;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportingObserver) */
+interface ReportingObserver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportingObserver/disconnect) */
+ disconnect(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportingObserver/observe) */
+ observe(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportingObserver/takeRecords) */
+ takeRecords(): ReportList;
+}
+
+declare var ReportingObserver: {
+ prototype: ReportingObserver;
+ new(callback: ReportingObserverCallback, options?: ReportingObserverOptions): ReportingObserver;
+};
+
+/**
+ * This Fetch API interface represents a resource request.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request)
+ */
+interface Request extends Body {
+ /**
+ * Returns the cache mode associated with request, which is a string indicating how the request will interact with the browser's cache when fetching.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/cache)
+ */
+ readonly cache: RequestCache;
+ /**
+ * Returns the credentials mode associated with request, which is a string indicating whether credentials will be sent with the request always, never, or only when sent to a same-origin URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/credentials)
+ */
+ readonly credentials: RequestCredentials;
+ /**
+ * Returns the kind of resource requested by request, e.g., "document" or "script".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/destination)
+ */
+ readonly destination: RequestDestination;
+ /**
+ * Returns a Headers object consisting of the headers associated with request. Note that headers added in the network layer by the user agent will not be accounted for in this object, e.g., the "Host" header.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/headers)
+ */
+ readonly headers: Headers;
+ /**
+ * Returns request's subresource integrity metadata, which is a cryptographic hash of the resource being fetched. Its value consists of multiple hashes separated by whitespace. [SRI]
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/integrity)
+ */
+ readonly integrity: string;
+ /**
+ * Returns a boolean indicating whether or not request can outlive the global in which it was created.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/keepalive)
+ */
+ readonly keepalive: boolean;
+ /**
+ * Returns request's HTTP method, which is "GET" by default.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/method)
+ */
+ readonly method: string;
+ /**
+ * Returns the mode associated with request, which is a string indicating whether the request will use CORS, or will be restricted to same-origin URLs.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/mode)
+ */
+ readonly mode: RequestMode;
+ /**
+ * Returns the redirect mode associated with request, which is a string indicating how redirects for the request will be handled during fetching. A request will follow redirects by default.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/redirect)
+ */
+ readonly redirect: RequestRedirect;
+ /**
+ * Returns the referrer of request. Its value can be a same-origin URL if explicitly set in init, the empty string to indicate no referrer, and "about:client" when defaulting to the global's default. This is used during fetching to determine the value of the \`Referer\` header of the request being made.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/referrer)
+ */
+ readonly referrer: string;
+ /**
+ * Returns the referrer policy associated with request. This is used during fetching to compute the value of the request's referrer.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/referrerPolicy)
+ */
+ readonly referrerPolicy: ReferrerPolicy;
+ /**
+ * Returns the signal associated with request, which is an AbortSignal object indicating whether or not request has been aborted, and its abort event handler.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/signal)
+ */
+ readonly signal: AbortSignal;
+ /**
+ * Returns the URL of request as a string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/url)
+ */
+ readonly url: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/clone) */
+ clone(): Request;
+}
+
+declare var Request: {
+ prototype: Request;
+ new(input: RequestInfo | URL, init?: RequestInit): Request;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserver) */
+interface ResizeObserver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserver/disconnect) */
+ disconnect(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserver/observe) */
+ observe(target: Element, options?: ResizeObserverOptions): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserver/unobserve) */
+ unobserve(target: Element): void;
+}
+
+declare var ResizeObserver: {
+ prototype: ResizeObserver;
+ new(callback: ResizeObserverCallback): ResizeObserver;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserverEntry) */
+interface ResizeObserverEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserverEntry/borderBoxSize) */
+ readonly borderBoxSize: ReadonlyArray<ResizeObserverSize>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserverEntry/contentBoxSize) */
+ readonly contentBoxSize: ReadonlyArray<ResizeObserverSize>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserverEntry/contentRect) */
+ readonly contentRect: DOMRectReadOnly;
+ readonly devicePixelContentBoxSize: ReadonlyArray<ResizeObserverSize>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserverEntry/target) */
+ readonly target: Element;
+}
+
+declare var ResizeObserverEntry: {
+ prototype: ResizeObserverEntry;
+ new(): ResizeObserverEntry;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserverSize) */
+interface ResizeObserverSize {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserverSize/blockSize) */
+ readonly blockSize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ResizeObserverSize/inlineSize) */
+ readonly inlineSize: number;
+}
+
+declare var ResizeObserverSize: {
+ prototype: ResizeObserverSize;
+ new(): ResizeObserverSize;
+};
+
+/**
+ * This Fetch API interface represents the response to a request.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response)
+ */
+interface Response extends Body {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/headers) */
+ readonly headers: Headers;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/ok) */
+ readonly ok: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/redirected) */
+ readonly redirected: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/status) */
+ readonly status: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/statusText) */
+ readonly statusText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/type) */
+ readonly type: ResponseType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/url) */
+ readonly url: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/clone) */
+ clone(): Response;
+}
+
+declare var Response: {
+ prototype: Response;
+ new(body?: BodyInit | null, init?: ResponseInit): Response;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/error_static) */
+ error(): Response;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/json_static) */
+ json(data: any, init?: ResponseInit): Response;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/redirect_static) */
+ redirect(url: string | URL, status?: number): Response;
+};
+
+/**
+ * Provides access to the properties of <a> element, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAElement)
+ */
+interface SVGAElement extends SVGGraphicsElement, SVGURIReference {
+ rel: string;
+ readonly relList: DOMTokenList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAElement/target) */
+ readonly target: SVGAnimatedString;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGAElement: {
+ prototype: SVGAElement;
+ new(): SVGAElement;
+};
+
+/**
+ * Used to represent a value that can be an <angle> or <number> value. An SVGAngle reflected through the animVal attribute is always read only.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAngle)
+ */
+interface SVGAngle {
+ readonly unitType: number;
+ value: number;
+ valueAsString: string;
+ valueInSpecifiedUnits: number;
+ convertToSpecifiedUnits(unitType: number): void;
+ newValueSpecifiedUnits(unitType: number, valueInSpecifiedUnits: number): void;
+ readonly SVG_ANGLETYPE_UNKNOWN: 0;
+ readonly SVG_ANGLETYPE_UNSPECIFIED: 1;
+ readonly SVG_ANGLETYPE_DEG: 2;
+ readonly SVG_ANGLETYPE_RAD: 3;
+ readonly SVG_ANGLETYPE_GRAD: 4;
+}
+
+declare var SVGAngle: {
+ prototype: SVGAngle;
+ new(): SVGAngle;
+ readonly SVG_ANGLETYPE_UNKNOWN: 0;
+ readonly SVG_ANGLETYPE_UNSPECIFIED: 1;
+ readonly SVG_ANGLETYPE_DEG: 2;
+ readonly SVG_ANGLETYPE_RAD: 3;
+ readonly SVG_ANGLETYPE_GRAD: 4;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimateElement) */
+interface SVGAnimateElement extends SVGAnimationElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAnimateElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAnimateElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGAnimateElement: {
+ prototype: SVGAnimateElement;
+ new(): SVGAnimateElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimateMotionElement) */
+interface SVGAnimateMotionElement extends SVGAnimationElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAnimateMotionElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAnimateMotionElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGAnimateMotionElement: {
+ prototype: SVGAnimateMotionElement;
+ new(): SVGAnimateMotionElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimateTransformElement) */
+interface SVGAnimateTransformElement extends SVGAnimationElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAnimateTransformElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAnimateTransformElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGAnimateTransformElement: {
+ prototype: SVGAnimateTransformElement;
+ new(): SVGAnimateTransformElement;
+};
+
+/**
+ * Used for attributes of basic type <angle> which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedAngle)
+ */
+interface SVGAnimatedAngle {
+ readonly animVal: SVGAngle;
+ readonly baseVal: SVGAngle;
+}
+
+declare var SVGAnimatedAngle: {
+ prototype: SVGAnimatedAngle;
+ new(): SVGAnimatedAngle;
+};
+
+/**
+ * Used for attributes of type boolean which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedBoolean)
+ */
+interface SVGAnimatedBoolean {
+ readonly animVal: boolean;
+ baseVal: boolean;
+}
+
+declare var SVGAnimatedBoolean: {
+ prototype: SVGAnimatedBoolean;
+ new(): SVGAnimatedBoolean;
+};
+
+/**
+ * Used for attributes whose value must be a constant from a particular enumeration and which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedEnumeration)
+ */
+interface SVGAnimatedEnumeration {
+ readonly animVal: number;
+ baseVal: number;
+}
+
+declare var SVGAnimatedEnumeration: {
+ prototype: SVGAnimatedEnumeration;
+ new(): SVGAnimatedEnumeration;
+};
+
+/**
+ * Used for attributes of basic type <integer> which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedInteger)
+ */
+interface SVGAnimatedInteger {
+ readonly animVal: number;
+ baseVal: number;
+}
+
+declare var SVGAnimatedInteger: {
+ prototype: SVGAnimatedInteger;
+ new(): SVGAnimatedInteger;
+};
+
+/**
+ * Used for attributes of basic type <length> which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedLength)
+ */
+interface SVGAnimatedLength {
+ readonly animVal: SVGLength;
+ readonly baseVal: SVGLength;
+}
+
+declare var SVGAnimatedLength: {
+ prototype: SVGAnimatedLength;
+ new(): SVGAnimatedLength;
+};
+
+/**
+ * Used for attributes of type SVGLengthList which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedLengthList)
+ */
+interface SVGAnimatedLengthList {
+ readonly animVal: SVGLengthList;
+ readonly baseVal: SVGLengthList;
+}
+
+declare var SVGAnimatedLengthList: {
+ prototype: SVGAnimatedLengthList;
+ new(): SVGAnimatedLengthList;
+};
+
+/**
+ * Used for attributes of basic type <Number> which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedNumber)
+ */
+interface SVGAnimatedNumber {
+ readonly animVal: number;
+ baseVal: number;
+}
+
+declare var SVGAnimatedNumber: {
+ prototype: SVGAnimatedNumber;
+ new(): SVGAnimatedNumber;
+};
+
+/**
+ * The SVGAnimatedNumber interface is used for attributes which take a list of numbers and which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedNumberList)
+ */
+interface SVGAnimatedNumberList {
+ readonly animVal: SVGNumberList;
+ readonly baseVal: SVGNumberList;
+}
+
+declare var SVGAnimatedNumberList: {
+ prototype: SVGAnimatedNumberList;
+ new(): SVGAnimatedNumberList;
+};
+
+interface SVGAnimatedPoints {
+ readonly animatedPoints: SVGPointList;
+ readonly points: SVGPointList;
+}
+
+/**
+ * Used for attributes of type SVGPreserveAspectRatio which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedPreserveAspectRatio)
+ */
+interface SVGAnimatedPreserveAspectRatio {
+ readonly animVal: SVGPreserveAspectRatio;
+ readonly baseVal: SVGPreserveAspectRatio;
+}
+
+declare var SVGAnimatedPreserveAspectRatio: {
+ prototype: SVGAnimatedPreserveAspectRatio;
+ new(): SVGAnimatedPreserveAspectRatio;
+};
+
+/**
+ * Used for attributes of basic SVGRect which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedRect)
+ */
+interface SVGAnimatedRect {
+ readonly animVal: DOMRectReadOnly;
+ readonly baseVal: DOMRect;
+}
+
+declare var SVGAnimatedRect: {
+ prototype: SVGAnimatedRect;
+ new(): SVGAnimatedRect;
+};
+
+/**
+ * The SVGAnimatedString interface represents string attributes which can be animated from each SVG declaration. You need to create SVG attribute before doing anything else, everything should be declared inside this.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedString)
+ */
+interface SVGAnimatedString {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedString/animVal) */
+ readonly animVal: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedString/baseVal) */
+ baseVal: string;
+}
+
+declare var SVGAnimatedString: {
+ prototype: SVGAnimatedString;
+ new(): SVGAnimatedString;
+};
+
+/**
+ * Used for attributes which take a list of numbers and which can be animated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimatedTransformList)
+ */
+interface SVGAnimatedTransformList {
+ readonly animVal: SVGTransformList;
+ readonly baseVal: SVGTransformList;
+}
+
+declare var SVGAnimatedTransformList: {
+ prototype: SVGAnimatedTransformList;
+ new(): SVGAnimatedTransformList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimationElement) */
+interface SVGAnimationElement extends SVGElement, SVGTests {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGAnimationElement/targetElement) */
+ readonly targetElement: SVGElement | null;
+ beginElement(): void;
+ beginElementAt(offset: number): void;
+ endElement(): void;
+ endElementAt(offset: number): void;
+ getCurrentTime(): number;
+ getSimpleDuration(): number;
+ getStartTime(): number;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAnimationElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGAnimationElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGAnimationElement: {
+ prototype: SVGAnimationElement;
+ new(): SVGAnimationElement;
+};
+
+/**
+ * An interface for the <circle> element. The circle element is defined by the cx and cy attributes that denote the coordinates of the centre of the circle.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGCircleElement)
+ */
+interface SVGCircleElement extends SVGGeometryElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGCircleElement/cx) */
+ readonly cx: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGCircleElement/cy) */
+ readonly cy: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGCircleElement/r) */
+ readonly r: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGCircleElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGCircleElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGCircleElement: {
+ prototype: SVGCircleElement;
+ new(): SVGCircleElement;
+};
+
+/**
+ * Provides access to the properties of <clipPath> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGClipPathElement)
+ */
+interface SVGClipPathElement extends SVGElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGClipPathElement/clipPathUnits) */
+ readonly clipPathUnits: SVGAnimatedEnumeration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGClipPathElement/transform) */
+ readonly transform: SVGAnimatedTransformList;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGClipPathElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGClipPathElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGClipPathElement: {
+ prototype: SVGClipPathElement;
+ new(): SVGClipPathElement;
+};
+
+/**
+ * A base interface used by the component transfer function interfaces.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGComponentTransferFunctionElement)
+ */
+interface SVGComponentTransferFunctionElement extends SVGElement {
+ readonly amplitude: SVGAnimatedNumber;
+ readonly exponent: SVGAnimatedNumber;
+ readonly intercept: SVGAnimatedNumber;
+ readonly offset: SVGAnimatedNumber;
+ readonly slope: SVGAnimatedNumber;
+ readonly tableValues: SVGAnimatedNumberList;
+ readonly type: SVGAnimatedEnumeration;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_UNKNOWN: 0;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_IDENTITY: 1;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_TABLE: 2;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_DISCRETE: 3;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_LINEAR: 4;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_GAMMA: 5;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGComponentTransferFunctionElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGComponentTransferFunctionElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGComponentTransferFunctionElement: {
+ prototype: SVGComponentTransferFunctionElement;
+ new(): SVGComponentTransferFunctionElement;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_UNKNOWN: 0;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_IDENTITY: 1;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_TABLE: 2;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_DISCRETE: 3;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_LINEAR: 4;
+ readonly SVG_FECOMPONENTTRANSFER_TYPE_GAMMA: 5;
+};
+
+/**
+ * Corresponds to the <defs> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGDefsElement)
+ */
+interface SVGDefsElement extends SVGGraphicsElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGDefsElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGDefsElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGDefsElement: {
+ prototype: SVGDefsElement;
+ new(): SVGDefsElement;
+};
+
+/**
+ * Corresponds to the <desc> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGDescElement)
+ */
+interface SVGDescElement extends SVGElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGDescElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGDescElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGDescElement: {
+ prototype: SVGDescElement;
+ new(): SVGDescElement;
+};
+
+interface SVGElementEventMap extends ElementEventMap, GlobalEventHandlersEventMap {
+}
+
+/**
+ * All of the SVG DOM interfaces that correspond directly to elements in the SVG language derive from the SVGElement interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGElement)
+ */
+interface SVGElement extends Element, ElementCSSInlineStyle, GlobalEventHandlers, HTMLOrSVGElement {
+ /** @deprecated */
+ readonly className: any;
+ readonly ownerSVGElement: SVGSVGElement | null;
+ readonly viewportElement: SVGElement | null;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGElement: {
+ prototype: SVGElement;
+ new(): SVGElement;
+};
+
+/**
+ * Provides access to the properties of <ellipse> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGEllipseElement)
+ */
+interface SVGEllipseElement extends SVGGeometryElement {
+ readonly cx: SVGAnimatedLength;
+ readonly cy: SVGAnimatedLength;
+ readonly rx: SVGAnimatedLength;
+ readonly ry: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGEllipseElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGEllipseElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGEllipseElement: {
+ prototype: SVGEllipseElement;
+ new(): SVGEllipseElement;
+};
+
+/**
+ * Corresponds to the <feBlend> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEBlendElement)
+ */
+interface SVGFEBlendElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly in1: SVGAnimatedString;
+ readonly in2: SVGAnimatedString;
+ readonly mode: SVGAnimatedEnumeration;
+ readonly SVG_FEBLEND_MODE_UNKNOWN: 0;
+ readonly SVG_FEBLEND_MODE_NORMAL: 1;
+ readonly SVG_FEBLEND_MODE_MULTIPLY: 2;
+ readonly SVG_FEBLEND_MODE_SCREEN: 3;
+ readonly SVG_FEBLEND_MODE_DARKEN: 4;
+ readonly SVG_FEBLEND_MODE_LIGHTEN: 5;
+ readonly SVG_FEBLEND_MODE_OVERLAY: 6;
+ readonly SVG_FEBLEND_MODE_COLOR_DODGE: 7;
+ readonly SVG_FEBLEND_MODE_COLOR_BURN: 8;
+ readonly SVG_FEBLEND_MODE_HARD_LIGHT: 9;
+ readonly SVG_FEBLEND_MODE_SOFT_LIGHT: 10;
+ readonly SVG_FEBLEND_MODE_DIFFERENCE: 11;
+ readonly SVG_FEBLEND_MODE_EXCLUSION: 12;
+ readonly SVG_FEBLEND_MODE_HUE: 13;
+ readonly SVG_FEBLEND_MODE_SATURATION: 14;
+ readonly SVG_FEBLEND_MODE_COLOR: 15;
+ readonly SVG_FEBLEND_MODE_LUMINOSITY: 16;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEBlendElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEBlendElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEBlendElement: {
+ prototype: SVGFEBlendElement;
+ new(): SVGFEBlendElement;
+ readonly SVG_FEBLEND_MODE_UNKNOWN: 0;
+ readonly SVG_FEBLEND_MODE_NORMAL: 1;
+ readonly SVG_FEBLEND_MODE_MULTIPLY: 2;
+ readonly SVG_FEBLEND_MODE_SCREEN: 3;
+ readonly SVG_FEBLEND_MODE_DARKEN: 4;
+ readonly SVG_FEBLEND_MODE_LIGHTEN: 5;
+ readonly SVG_FEBLEND_MODE_OVERLAY: 6;
+ readonly SVG_FEBLEND_MODE_COLOR_DODGE: 7;
+ readonly SVG_FEBLEND_MODE_COLOR_BURN: 8;
+ readonly SVG_FEBLEND_MODE_HARD_LIGHT: 9;
+ readonly SVG_FEBLEND_MODE_SOFT_LIGHT: 10;
+ readonly SVG_FEBLEND_MODE_DIFFERENCE: 11;
+ readonly SVG_FEBLEND_MODE_EXCLUSION: 12;
+ readonly SVG_FEBLEND_MODE_HUE: 13;
+ readonly SVG_FEBLEND_MODE_SATURATION: 14;
+ readonly SVG_FEBLEND_MODE_COLOR: 15;
+ readonly SVG_FEBLEND_MODE_LUMINOSITY: 16;
+};
+
+/**
+ * Corresponds to the <feColorMatrix> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEColorMatrixElement)
+ */
+interface SVGFEColorMatrixElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEColorMatrixElement/in1) */
+ readonly in1: SVGAnimatedString;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEColorMatrixElement/type) */
+ readonly type: SVGAnimatedEnumeration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEColorMatrixElement/values) */
+ readonly values: SVGAnimatedNumberList;
+ readonly SVG_FECOLORMATRIX_TYPE_UNKNOWN: 0;
+ readonly SVG_FECOLORMATRIX_TYPE_MATRIX: 1;
+ readonly SVG_FECOLORMATRIX_TYPE_SATURATE: 2;
+ readonly SVG_FECOLORMATRIX_TYPE_HUEROTATE: 3;
+ readonly SVG_FECOLORMATRIX_TYPE_LUMINANCETOALPHA: 4;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEColorMatrixElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEColorMatrixElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEColorMatrixElement: {
+ prototype: SVGFEColorMatrixElement;
+ new(): SVGFEColorMatrixElement;
+ readonly SVG_FECOLORMATRIX_TYPE_UNKNOWN: 0;
+ readonly SVG_FECOLORMATRIX_TYPE_MATRIX: 1;
+ readonly SVG_FECOLORMATRIX_TYPE_SATURATE: 2;
+ readonly SVG_FECOLORMATRIX_TYPE_HUEROTATE: 3;
+ readonly SVG_FECOLORMATRIX_TYPE_LUMINANCETOALPHA: 4;
+};
+
+/**
+ * Corresponds to the <feComponentTransfer> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEComponentTransferElement)
+ */
+interface SVGFEComponentTransferElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly in1: SVGAnimatedString;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEComponentTransferElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEComponentTransferElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEComponentTransferElement: {
+ prototype: SVGFEComponentTransferElement;
+ new(): SVGFEComponentTransferElement;
+};
+
+/**
+ * Corresponds to the <feComposite> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFECompositeElement)
+ */
+interface SVGFECompositeElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly in1: SVGAnimatedString;
+ readonly in2: SVGAnimatedString;
+ readonly k1: SVGAnimatedNumber;
+ readonly k2: SVGAnimatedNumber;
+ readonly k3: SVGAnimatedNumber;
+ readonly k4: SVGAnimatedNumber;
+ readonly operator: SVGAnimatedEnumeration;
+ readonly SVG_FECOMPOSITE_OPERATOR_UNKNOWN: 0;
+ readonly SVG_FECOMPOSITE_OPERATOR_OVER: 1;
+ readonly SVG_FECOMPOSITE_OPERATOR_IN: 2;
+ readonly SVG_FECOMPOSITE_OPERATOR_OUT: 3;
+ readonly SVG_FECOMPOSITE_OPERATOR_ATOP: 4;
+ readonly SVG_FECOMPOSITE_OPERATOR_XOR: 5;
+ readonly SVG_FECOMPOSITE_OPERATOR_ARITHMETIC: 6;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFECompositeElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFECompositeElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFECompositeElement: {
+ prototype: SVGFECompositeElement;
+ new(): SVGFECompositeElement;
+ readonly SVG_FECOMPOSITE_OPERATOR_UNKNOWN: 0;
+ readonly SVG_FECOMPOSITE_OPERATOR_OVER: 1;
+ readonly SVG_FECOMPOSITE_OPERATOR_IN: 2;
+ readonly SVG_FECOMPOSITE_OPERATOR_OUT: 3;
+ readonly SVG_FECOMPOSITE_OPERATOR_ATOP: 4;
+ readonly SVG_FECOMPOSITE_OPERATOR_XOR: 5;
+ readonly SVG_FECOMPOSITE_OPERATOR_ARITHMETIC: 6;
+};
+
+/**
+ * Corresponds to the <feConvolveMatrix> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEConvolveMatrixElement)
+ */
+interface SVGFEConvolveMatrixElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly bias: SVGAnimatedNumber;
+ readonly divisor: SVGAnimatedNumber;
+ readonly edgeMode: SVGAnimatedEnumeration;
+ readonly in1: SVGAnimatedString;
+ readonly kernelMatrix: SVGAnimatedNumberList;
+ readonly kernelUnitLengthX: SVGAnimatedNumber;
+ readonly kernelUnitLengthY: SVGAnimatedNumber;
+ readonly orderX: SVGAnimatedInteger;
+ readonly orderY: SVGAnimatedInteger;
+ readonly preserveAlpha: SVGAnimatedBoolean;
+ readonly targetX: SVGAnimatedInteger;
+ readonly targetY: SVGAnimatedInteger;
+ readonly SVG_EDGEMODE_UNKNOWN: 0;
+ readonly SVG_EDGEMODE_DUPLICATE: 1;
+ readonly SVG_EDGEMODE_WRAP: 2;
+ readonly SVG_EDGEMODE_NONE: 3;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEConvolveMatrixElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEConvolveMatrixElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEConvolveMatrixElement: {
+ prototype: SVGFEConvolveMatrixElement;
+ new(): SVGFEConvolveMatrixElement;
+ readonly SVG_EDGEMODE_UNKNOWN: 0;
+ readonly SVG_EDGEMODE_DUPLICATE: 1;
+ readonly SVG_EDGEMODE_WRAP: 2;
+ readonly SVG_EDGEMODE_NONE: 3;
+};
+
+/**
+ * Corresponds to the <feDiffuseLighting> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEDiffuseLightingElement)
+ */
+interface SVGFEDiffuseLightingElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly diffuseConstant: SVGAnimatedNumber;
+ readonly in1: SVGAnimatedString;
+ readonly kernelUnitLengthX: SVGAnimatedNumber;
+ readonly kernelUnitLengthY: SVGAnimatedNumber;
+ readonly surfaceScale: SVGAnimatedNumber;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEDiffuseLightingElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEDiffuseLightingElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEDiffuseLightingElement: {
+ prototype: SVGFEDiffuseLightingElement;
+ new(): SVGFEDiffuseLightingElement;
+};
+
+/**
+ * Corresponds to the <feDisplacementMap> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEDisplacementMapElement)
+ */
+interface SVGFEDisplacementMapElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly in1: SVGAnimatedString;
+ readonly in2: SVGAnimatedString;
+ readonly scale: SVGAnimatedNumber;
+ readonly xChannelSelector: SVGAnimatedEnumeration;
+ readonly yChannelSelector: SVGAnimatedEnumeration;
+ readonly SVG_CHANNEL_UNKNOWN: 0;
+ readonly SVG_CHANNEL_R: 1;
+ readonly SVG_CHANNEL_G: 2;
+ readonly SVG_CHANNEL_B: 3;
+ readonly SVG_CHANNEL_A: 4;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEDisplacementMapElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEDisplacementMapElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEDisplacementMapElement: {
+ prototype: SVGFEDisplacementMapElement;
+ new(): SVGFEDisplacementMapElement;
+ readonly SVG_CHANNEL_UNKNOWN: 0;
+ readonly SVG_CHANNEL_R: 1;
+ readonly SVG_CHANNEL_G: 2;
+ readonly SVG_CHANNEL_B: 3;
+ readonly SVG_CHANNEL_A: 4;
+};
+
+/**
+ * Corresponds to the <feDistantLight> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEDistantLightElement)
+ */
+interface SVGFEDistantLightElement extends SVGElement {
+ readonly azimuth: SVGAnimatedNumber;
+ readonly elevation: SVGAnimatedNumber;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEDistantLightElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEDistantLightElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEDistantLightElement: {
+ prototype: SVGFEDistantLightElement;
+ new(): SVGFEDistantLightElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEDropShadowElement) */
+interface SVGFEDropShadowElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly dx: SVGAnimatedNumber;
+ readonly dy: SVGAnimatedNumber;
+ readonly in1: SVGAnimatedString;
+ readonly stdDeviationX: SVGAnimatedNumber;
+ readonly stdDeviationY: SVGAnimatedNumber;
+ setStdDeviation(stdDeviationX: number, stdDeviationY: number): void;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEDropShadowElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEDropShadowElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEDropShadowElement: {
+ prototype: SVGFEDropShadowElement;
+ new(): SVGFEDropShadowElement;
+};
+
+/**
+ * Corresponds to the <feFlood> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEFloodElement)
+ */
+interface SVGFEFloodElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFloodElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFloodElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEFloodElement: {
+ prototype: SVGFEFloodElement;
+ new(): SVGFEFloodElement;
+};
+
+/**
+ * Corresponds to the <feFuncA> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEFuncAElement)
+ */
+interface SVGFEFuncAElement extends SVGComponentTransferFunctionElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFuncAElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFuncAElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEFuncAElement: {
+ prototype: SVGFEFuncAElement;
+ new(): SVGFEFuncAElement;
+};
+
+/**
+ * Corresponds to the <feFuncB> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEFuncBElement)
+ */
+interface SVGFEFuncBElement extends SVGComponentTransferFunctionElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFuncBElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFuncBElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEFuncBElement: {
+ prototype: SVGFEFuncBElement;
+ new(): SVGFEFuncBElement;
+};
+
+/**
+ * Corresponds to the <feFuncG> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEFuncGElement)
+ */
+interface SVGFEFuncGElement extends SVGComponentTransferFunctionElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFuncGElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFuncGElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEFuncGElement: {
+ prototype: SVGFEFuncGElement;
+ new(): SVGFEFuncGElement;
+};
+
+/**
+ * Corresponds to the <feFuncR> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEFuncRElement)
+ */
+interface SVGFEFuncRElement extends SVGComponentTransferFunctionElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFuncRElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEFuncRElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEFuncRElement: {
+ prototype: SVGFEFuncRElement;
+ new(): SVGFEFuncRElement;
+};
+
+/**
+ * Corresponds to the <feGaussianBlur> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEGaussianBlurElement)
+ */
+interface SVGFEGaussianBlurElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly in1: SVGAnimatedString;
+ readonly stdDeviationX: SVGAnimatedNumber;
+ readonly stdDeviationY: SVGAnimatedNumber;
+ setStdDeviation(stdDeviationX: number, stdDeviationY: number): void;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEGaussianBlurElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEGaussianBlurElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEGaussianBlurElement: {
+ prototype: SVGFEGaussianBlurElement;
+ new(): SVGFEGaussianBlurElement;
+};
+
+/**
+ * Corresponds to the <feImage> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEImageElement)
+ */
+interface SVGFEImageElement extends SVGElement, SVGFilterPrimitiveStandardAttributes, SVGURIReference {
+ readonly preserveAspectRatio: SVGAnimatedPreserveAspectRatio;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEImageElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEImageElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEImageElement: {
+ prototype: SVGFEImageElement;
+ new(): SVGFEImageElement;
+};
+
+/**
+ * Corresponds to the <feMerge> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEMergeElement)
+ */
+interface SVGFEMergeElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEMergeElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEMergeElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEMergeElement: {
+ prototype: SVGFEMergeElement;
+ new(): SVGFEMergeElement;
+};
+
+/**
+ * Corresponds to the <feMergeNode> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEMergeNodeElement)
+ */
+interface SVGFEMergeNodeElement extends SVGElement {
+ readonly in1: SVGAnimatedString;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEMergeNodeElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEMergeNodeElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEMergeNodeElement: {
+ prototype: SVGFEMergeNodeElement;
+ new(): SVGFEMergeNodeElement;
+};
+
+/**
+ * Corresponds to the <feMorphology> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEMorphologyElement)
+ */
+interface SVGFEMorphologyElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly in1: SVGAnimatedString;
+ readonly operator: SVGAnimatedEnumeration;
+ readonly radiusX: SVGAnimatedNumber;
+ readonly radiusY: SVGAnimatedNumber;
+ readonly SVG_MORPHOLOGY_OPERATOR_UNKNOWN: 0;
+ readonly SVG_MORPHOLOGY_OPERATOR_ERODE: 1;
+ readonly SVG_MORPHOLOGY_OPERATOR_DILATE: 2;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEMorphologyElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEMorphologyElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEMorphologyElement: {
+ prototype: SVGFEMorphologyElement;
+ new(): SVGFEMorphologyElement;
+ readonly SVG_MORPHOLOGY_OPERATOR_UNKNOWN: 0;
+ readonly SVG_MORPHOLOGY_OPERATOR_ERODE: 1;
+ readonly SVG_MORPHOLOGY_OPERATOR_DILATE: 2;
+};
+
+/**
+ * Corresponds to the <feOffset> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEOffsetElement)
+ */
+interface SVGFEOffsetElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly dx: SVGAnimatedNumber;
+ readonly dy: SVGAnimatedNumber;
+ readonly in1: SVGAnimatedString;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEOffsetElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEOffsetElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEOffsetElement: {
+ prototype: SVGFEOffsetElement;
+ new(): SVGFEOffsetElement;
+};
+
+/**
+ * Corresponds to the <fePointLight> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFEPointLightElement)
+ */
+interface SVGFEPointLightElement extends SVGElement {
+ readonly x: SVGAnimatedNumber;
+ readonly y: SVGAnimatedNumber;
+ readonly z: SVGAnimatedNumber;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEPointLightElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFEPointLightElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFEPointLightElement: {
+ prototype: SVGFEPointLightElement;
+ new(): SVGFEPointLightElement;
+};
+
+/**
+ * Corresponds to the <feSpecularLighting> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFESpecularLightingElement)
+ */
+interface SVGFESpecularLightingElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly in1: SVGAnimatedString;
+ readonly kernelUnitLengthX: SVGAnimatedNumber;
+ readonly kernelUnitLengthY: SVGAnimatedNumber;
+ readonly specularConstant: SVGAnimatedNumber;
+ readonly specularExponent: SVGAnimatedNumber;
+ readonly surfaceScale: SVGAnimatedNumber;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFESpecularLightingElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFESpecularLightingElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFESpecularLightingElement: {
+ prototype: SVGFESpecularLightingElement;
+ new(): SVGFESpecularLightingElement;
+};
+
+/**
+ * Corresponds to the <feSpotLight> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFESpotLightElement)
+ */
+interface SVGFESpotLightElement extends SVGElement {
+ readonly limitingConeAngle: SVGAnimatedNumber;
+ readonly pointsAtX: SVGAnimatedNumber;
+ readonly pointsAtY: SVGAnimatedNumber;
+ readonly pointsAtZ: SVGAnimatedNumber;
+ readonly specularExponent: SVGAnimatedNumber;
+ readonly x: SVGAnimatedNumber;
+ readonly y: SVGAnimatedNumber;
+ readonly z: SVGAnimatedNumber;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFESpotLightElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFESpotLightElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFESpotLightElement: {
+ prototype: SVGFESpotLightElement;
+ new(): SVGFESpotLightElement;
+};
+
+/**
+ * Corresponds to the <feTile> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFETileElement)
+ */
+interface SVGFETileElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly in1: SVGAnimatedString;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFETileElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFETileElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFETileElement: {
+ prototype: SVGFETileElement;
+ new(): SVGFETileElement;
+};
+
+/**
+ * Corresponds to the <feTurbulence> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFETurbulenceElement)
+ */
+interface SVGFETurbulenceElement extends SVGElement, SVGFilterPrimitiveStandardAttributes {
+ readonly baseFrequencyX: SVGAnimatedNumber;
+ readonly baseFrequencyY: SVGAnimatedNumber;
+ readonly numOctaves: SVGAnimatedInteger;
+ readonly seed: SVGAnimatedNumber;
+ readonly stitchTiles: SVGAnimatedEnumeration;
+ readonly type: SVGAnimatedEnumeration;
+ readonly SVG_TURBULENCE_TYPE_UNKNOWN: 0;
+ readonly SVG_TURBULENCE_TYPE_FRACTALNOISE: 1;
+ readonly SVG_TURBULENCE_TYPE_TURBULENCE: 2;
+ readonly SVG_STITCHTYPE_UNKNOWN: 0;
+ readonly SVG_STITCHTYPE_STITCH: 1;
+ readonly SVG_STITCHTYPE_NOSTITCH: 2;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFETurbulenceElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFETurbulenceElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFETurbulenceElement: {
+ prototype: SVGFETurbulenceElement;
+ new(): SVGFETurbulenceElement;
+ readonly SVG_TURBULENCE_TYPE_UNKNOWN: 0;
+ readonly SVG_TURBULENCE_TYPE_FRACTALNOISE: 1;
+ readonly SVG_TURBULENCE_TYPE_TURBULENCE: 2;
+ readonly SVG_STITCHTYPE_UNKNOWN: 0;
+ readonly SVG_STITCHTYPE_STITCH: 1;
+ readonly SVG_STITCHTYPE_NOSTITCH: 2;
+};
+
+/**
+ * Provides access to the properties of <filter> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGFilterElement)
+ */
+interface SVGFilterElement extends SVGElement, SVGURIReference {
+ readonly filterUnits: SVGAnimatedEnumeration;
+ readonly height: SVGAnimatedLength;
+ readonly primitiveUnits: SVGAnimatedEnumeration;
+ readonly width: SVGAnimatedLength;
+ readonly x: SVGAnimatedLength;
+ readonly y: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFilterElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGFilterElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGFilterElement: {
+ prototype: SVGFilterElement;
+ new(): SVGFilterElement;
+};
+
+interface SVGFilterPrimitiveStandardAttributes {
+ readonly height: SVGAnimatedLength;
+ readonly result: SVGAnimatedString;
+ readonly width: SVGAnimatedLength;
+ readonly x: SVGAnimatedLength;
+ readonly y: SVGAnimatedLength;
+}
+
+interface SVGFitToViewBox {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/preserveAspectRatio) */
+ readonly preserveAspectRatio: SVGAnimatedPreserveAspectRatio;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/viewBox) */
+ readonly viewBox: SVGAnimatedRect;
+}
+
+/**
+ * Provides access to the properties of <foreignObject> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGForeignObjectElement)
+ */
+interface SVGForeignObjectElement extends SVGGraphicsElement {
+ readonly height: SVGAnimatedLength;
+ readonly width: SVGAnimatedLength;
+ readonly x: SVGAnimatedLength;
+ readonly y: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGForeignObjectElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGForeignObjectElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGForeignObjectElement: {
+ prototype: SVGForeignObjectElement;
+ new(): SVGForeignObjectElement;
+};
+
+/**
+ * Corresponds to the <g> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGElement)
+ */
+interface SVGGElement extends SVGGraphicsElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGGElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGGElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGGElement: {
+ prototype: SVGGElement;
+ new(): SVGGElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGeometryElement) */
+interface SVGGeometryElement extends SVGGraphicsElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGeometryElement/pathLength) */
+ readonly pathLength: SVGAnimatedNumber;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGeometryElement/getPointAtLength) */
+ getPointAtLength(distance: number): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGeometryElement/getTotalLength) */
+ getTotalLength(): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGeometryElement/isPointInFill) */
+ isPointInFill(point?: DOMPointInit): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGeometryElement/isPointInStroke) */
+ isPointInStroke(point?: DOMPointInit): boolean;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGGeometryElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGGeometryElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGGeometryElement: {
+ prototype: SVGGeometryElement;
+ new(): SVGGeometryElement;
+};
+
+/**
+ * The SVGGradient interface is a base interface used by SVGLinearGradientElement and SVGRadialGradientElement.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGradientElement)
+ */
+interface SVGGradientElement extends SVGElement, SVGURIReference {
+ readonly gradientTransform: SVGAnimatedTransformList;
+ readonly gradientUnits: SVGAnimatedEnumeration;
+ readonly spreadMethod: SVGAnimatedEnumeration;
+ readonly SVG_SPREADMETHOD_UNKNOWN: 0;
+ readonly SVG_SPREADMETHOD_PAD: 1;
+ readonly SVG_SPREADMETHOD_REFLECT: 2;
+ readonly SVG_SPREADMETHOD_REPEAT: 3;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGGradientElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGGradientElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGGradientElement: {
+ prototype: SVGGradientElement;
+ new(): SVGGradientElement;
+ readonly SVG_SPREADMETHOD_UNKNOWN: 0;
+ readonly SVG_SPREADMETHOD_PAD: 1;
+ readonly SVG_SPREADMETHOD_REFLECT: 2;
+ readonly SVG_SPREADMETHOD_REPEAT: 3;
+};
+
+/**
+ * SVG elements whose primary purpose is to directly render graphics into a group.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGraphicsElement)
+ */
+interface SVGGraphicsElement extends SVGElement, SVGTests {
+ readonly transform: SVGAnimatedTransformList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGGraphicsElement/getBBox) */
+ getBBox(options?: SVGBoundingBoxOptions): DOMRect;
+ getCTM(): DOMMatrix | null;
+ getScreenCTM(): DOMMatrix | null;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGGraphicsElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGGraphicsElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGGraphicsElement: {
+ prototype: SVGGraphicsElement;
+ new(): SVGGraphicsElement;
+};
+
+/**
+ * Corresponds to the <image> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGImageElement)
+ */
+interface SVGImageElement extends SVGGraphicsElement, SVGURIReference {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGImageElement/crossorigin) */
+ crossOrigin: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGImageElement/height) */
+ readonly height: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGImageElement/preserveAspectRatio) */
+ readonly preserveAspectRatio: SVGAnimatedPreserveAspectRatio;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGImageElement/width) */
+ readonly width: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGImageElement/x) */
+ readonly x: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGImageElement/y) */
+ readonly y: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGImageElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGImageElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGImageElement: {
+ prototype: SVGImageElement;
+ new(): SVGImageElement;
+};
+
+/**
+ * Correspond to the <length> basic data type.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGLength)
+ */
+interface SVGLength {
+ readonly unitType: number;
+ value: number;
+ valueAsString: string;
+ valueInSpecifiedUnits: number;
+ convertToSpecifiedUnits(unitType: number): void;
+ newValueSpecifiedUnits(unitType: number, valueInSpecifiedUnits: number): void;
+ readonly SVG_LENGTHTYPE_UNKNOWN: 0;
+ readonly SVG_LENGTHTYPE_NUMBER: 1;
+ readonly SVG_LENGTHTYPE_PERCENTAGE: 2;
+ readonly SVG_LENGTHTYPE_EMS: 3;
+ readonly SVG_LENGTHTYPE_EXS: 4;
+ readonly SVG_LENGTHTYPE_PX: 5;
+ readonly SVG_LENGTHTYPE_CM: 6;
+ readonly SVG_LENGTHTYPE_MM: 7;
+ readonly SVG_LENGTHTYPE_IN: 8;
+ readonly SVG_LENGTHTYPE_PT: 9;
+ readonly SVG_LENGTHTYPE_PC: 10;
+}
+
+declare var SVGLength: {
+ prototype: SVGLength;
+ new(): SVGLength;
+ readonly SVG_LENGTHTYPE_UNKNOWN: 0;
+ readonly SVG_LENGTHTYPE_NUMBER: 1;
+ readonly SVG_LENGTHTYPE_PERCENTAGE: 2;
+ readonly SVG_LENGTHTYPE_EMS: 3;
+ readonly SVG_LENGTHTYPE_EXS: 4;
+ readonly SVG_LENGTHTYPE_PX: 5;
+ readonly SVG_LENGTHTYPE_CM: 6;
+ readonly SVG_LENGTHTYPE_MM: 7;
+ readonly SVG_LENGTHTYPE_IN: 8;
+ readonly SVG_LENGTHTYPE_PT: 9;
+ readonly SVG_LENGTHTYPE_PC: 10;
+};
+
+/**
+ * The SVGLengthList defines a list of SVGLength objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGLengthList)
+ */
+interface SVGLengthList {
+ readonly length: number;
+ readonly numberOfItems: number;
+ appendItem(newItem: SVGLength): SVGLength;
+ clear(): void;
+ getItem(index: number): SVGLength;
+ initialize(newItem: SVGLength): SVGLength;
+ insertItemBefore(newItem: SVGLength, index: number): SVGLength;
+ removeItem(index: number): SVGLength;
+ replaceItem(newItem: SVGLength, index: number): SVGLength;
+ [index: number]: SVGLength;
+}
+
+declare var SVGLengthList: {
+ prototype: SVGLengthList;
+ new(): SVGLengthList;
+};
+
+/**
+ * Provides access to the properties of <line> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGLineElement)
+ */
+interface SVGLineElement extends SVGGeometryElement {
+ readonly x1: SVGAnimatedLength;
+ readonly x2: SVGAnimatedLength;
+ readonly y1: SVGAnimatedLength;
+ readonly y2: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGLineElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGLineElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGLineElement: {
+ prototype: SVGLineElement;
+ new(): SVGLineElement;
+};
+
+/**
+ * Corresponds to the <linearGradient> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGLinearGradientElement)
+ */
+interface SVGLinearGradientElement extends SVGGradientElement {
+ readonly x1: SVGAnimatedLength;
+ readonly x2: SVGAnimatedLength;
+ readonly y1: SVGAnimatedLength;
+ readonly y2: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGLinearGradientElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGLinearGradientElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGLinearGradientElement: {
+ prototype: SVGLinearGradientElement;
+ new(): SVGLinearGradientElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMPathElement) */
+interface SVGMPathElement extends SVGElement, SVGURIReference {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGMPathElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGMPathElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGMPathElement: {
+ prototype: SVGMPathElement;
+ new(): SVGMPathElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement) */
+interface SVGMarkerElement extends SVGElement, SVGFitToViewBox {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/markerHeight) */
+ readonly markerHeight: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/markerUnits) */
+ readonly markerUnits: SVGAnimatedEnumeration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/markerWidth) */
+ readonly markerWidth: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/orientAngle) */
+ readonly orientAngle: SVGAnimatedAngle;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/orientType) */
+ readonly orientType: SVGAnimatedEnumeration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/refX) */
+ readonly refX: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/refY) */
+ readonly refY: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/setOrientToAngle) */
+ setOrientToAngle(angle: SVGAngle): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMarkerElement/setOrientToAuto) */
+ setOrientToAuto(): void;
+ readonly SVG_MARKERUNITS_UNKNOWN: 0;
+ readonly SVG_MARKERUNITS_USERSPACEONUSE: 1;
+ readonly SVG_MARKERUNITS_STROKEWIDTH: 2;
+ readonly SVG_MARKER_ORIENT_UNKNOWN: 0;
+ readonly SVG_MARKER_ORIENT_AUTO: 1;
+ readonly SVG_MARKER_ORIENT_ANGLE: 2;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGMarkerElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGMarkerElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGMarkerElement: {
+ prototype: SVGMarkerElement;
+ new(): SVGMarkerElement;
+ readonly SVG_MARKERUNITS_UNKNOWN: 0;
+ readonly SVG_MARKERUNITS_USERSPACEONUSE: 1;
+ readonly SVG_MARKERUNITS_STROKEWIDTH: 2;
+ readonly SVG_MARKER_ORIENT_UNKNOWN: 0;
+ readonly SVG_MARKER_ORIENT_AUTO: 1;
+ readonly SVG_MARKER_ORIENT_ANGLE: 2;
+};
+
+/**
+ * Provides access to the properties of <mask> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMaskElement)
+ */
+interface SVGMaskElement extends SVGElement {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMaskElement/height) */
+ readonly height: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMaskElement/maskContentUnits) */
+ readonly maskContentUnits: SVGAnimatedEnumeration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMaskElement/maskUnits) */
+ readonly maskUnits: SVGAnimatedEnumeration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMaskElement/width) */
+ readonly width: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMaskElement/x) */
+ readonly x: SVGAnimatedLength;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMaskElement/y) */
+ readonly y: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGMaskElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGMaskElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGMaskElement: {
+ prototype: SVGMaskElement;
+ new(): SVGMaskElement;
+};
+
+/**
+ * Corresponds to the <metadata> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGMetadataElement)
+ */
+interface SVGMetadataElement extends SVGElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGMetadataElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGMetadataElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGMetadataElement: {
+ prototype: SVGMetadataElement;
+ new(): SVGMetadataElement;
+};
+
+/**
+ * Corresponds to the <number> basic data type.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGNumber)
+ */
+interface SVGNumber {
+ value: number;
+}
+
+declare var SVGNumber: {
+ prototype: SVGNumber;
+ new(): SVGNumber;
+};
+
+/**
+ * The SVGNumberList defines a list of SVGNumber objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGNumberList)
+ */
+interface SVGNumberList {
+ readonly length: number;
+ readonly numberOfItems: number;
+ appendItem(newItem: SVGNumber): SVGNumber;
+ clear(): void;
+ getItem(index: number): SVGNumber;
+ initialize(newItem: SVGNumber): SVGNumber;
+ insertItemBefore(newItem: SVGNumber, index: number): SVGNumber;
+ removeItem(index: number): SVGNumber;
+ replaceItem(newItem: SVGNumber, index: number): SVGNumber;
+ [index: number]: SVGNumber;
+}
+
+declare var SVGNumberList: {
+ prototype: SVGNumberList;
+ new(): SVGNumberList;
+};
+
+/**
+ * Corresponds to the <path> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPathElement)
+ */
+interface SVGPathElement extends SVGGeometryElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGPathElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGPathElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGPathElement: {
+ prototype: SVGPathElement;
+ new(): SVGPathElement;
+};
+
+/**
+ * Corresponds to the <pattern> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPatternElement)
+ */
+interface SVGPatternElement extends SVGElement, SVGFitToViewBox, SVGURIReference {
+ readonly height: SVGAnimatedLength;
+ readonly patternContentUnits: SVGAnimatedEnumeration;
+ readonly patternTransform: SVGAnimatedTransformList;
+ readonly patternUnits: SVGAnimatedEnumeration;
+ readonly width: SVGAnimatedLength;
+ readonly x: SVGAnimatedLength;
+ readonly y: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGPatternElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGPatternElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGPatternElement: {
+ prototype: SVGPatternElement;
+ new(): SVGPatternElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList) */
+interface SVGPointList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/numberOfItems) */
+ readonly numberOfItems: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/appendItem) */
+ appendItem(newItem: DOMPoint): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/clear) */
+ clear(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/getItem) */
+ getItem(index: number): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/initialize) */
+ initialize(newItem: DOMPoint): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/insertItemBefore) */
+ insertItemBefore(newItem: DOMPoint, index: number): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/removeItem) */
+ removeItem(index: number): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPointList/replaceItem) */
+ replaceItem(newItem: DOMPoint, index: number): DOMPoint;
+ [index: number]: DOMPoint;
+}
+
+declare var SVGPointList: {
+ prototype: SVGPointList;
+ new(): SVGPointList;
+};
+
+/**
+ * Provides access to the properties of <polygon> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPolygonElement)
+ */
+interface SVGPolygonElement extends SVGGeometryElement, SVGAnimatedPoints {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGPolygonElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGPolygonElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGPolygonElement: {
+ prototype: SVGPolygonElement;
+ new(): SVGPolygonElement;
+};
+
+/**
+ * Provides access to the properties of <polyline> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPolylineElement)
+ */
+interface SVGPolylineElement extends SVGGeometryElement, SVGAnimatedPoints {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGPolylineElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGPolylineElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGPolylineElement: {
+ prototype: SVGPolylineElement;
+ new(): SVGPolylineElement;
+};
+
+/**
+ * Corresponds to the preserveAspectRatio attribute, which is available for some of SVG's elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGPreserveAspectRatio)
+ */
+interface SVGPreserveAspectRatio {
+ align: number;
+ meetOrSlice: number;
+ readonly SVG_PRESERVEASPECTRATIO_UNKNOWN: 0;
+ readonly SVG_PRESERVEASPECTRATIO_NONE: 1;
+ readonly SVG_PRESERVEASPECTRATIO_XMINYMIN: 2;
+ readonly SVG_PRESERVEASPECTRATIO_XMIDYMIN: 3;
+ readonly SVG_PRESERVEASPECTRATIO_XMAXYMIN: 4;
+ readonly SVG_PRESERVEASPECTRATIO_XMINYMID: 5;
+ readonly SVG_PRESERVEASPECTRATIO_XMIDYMID: 6;
+ readonly SVG_PRESERVEASPECTRATIO_XMAXYMID: 7;
+ readonly SVG_PRESERVEASPECTRATIO_XMINYMAX: 8;
+ readonly SVG_PRESERVEASPECTRATIO_XMIDYMAX: 9;
+ readonly SVG_PRESERVEASPECTRATIO_XMAXYMAX: 10;
+ readonly SVG_MEETORSLICE_UNKNOWN: 0;
+ readonly SVG_MEETORSLICE_MEET: 1;
+ readonly SVG_MEETORSLICE_SLICE: 2;
+}
+
+declare var SVGPreserveAspectRatio: {
+ prototype: SVGPreserveAspectRatio;
+ new(): SVGPreserveAspectRatio;
+ readonly SVG_PRESERVEASPECTRATIO_UNKNOWN: 0;
+ readonly SVG_PRESERVEASPECTRATIO_NONE: 1;
+ readonly SVG_PRESERVEASPECTRATIO_XMINYMIN: 2;
+ readonly SVG_PRESERVEASPECTRATIO_XMIDYMIN: 3;
+ readonly SVG_PRESERVEASPECTRATIO_XMAXYMIN: 4;
+ readonly SVG_PRESERVEASPECTRATIO_XMINYMID: 5;
+ readonly SVG_PRESERVEASPECTRATIO_XMIDYMID: 6;
+ readonly SVG_PRESERVEASPECTRATIO_XMAXYMID: 7;
+ readonly SVG_PRESERVEASPECTRATIO_XMINYMAX: 8;
+ readonly SVG_PRESERVEASPECTRATIO_XMIDYMAX: 9;
+ readonly SVG_PRESERVEASPECTRATIO_XMAXYMAX: 10;
+ readonly SVG_MEETORSLICE_UNKNOWN: 0;
+ readonly SVG_MEETORSLICE_MEET: 1;
+ readonly SVG_MEETORSLICE_SLICE: 2;
+};
+
+/**
+ * Corresponds to the <RadialGradient> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGRadialGradientElement)
+ */
+interface SVGRadialGradientElement extends SVGGradientElement {
+ readonly cx: SVGAnimatedLength;
+ readonly cy: SVGAnimatedLength;
+ readonly fr: SVGAnimatedLength;
+ readonly fx: SVGAnimatedLength;
+ readonly fy: SVGAnimatedLength;
+ readonly r: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGRadialGradientElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGRadialGradientElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGRadialGradientElement: {
+ prototype: SVGRadialGradientElement;
+ new(): SVGRadialGradientElement;
+};
+
+/**
+ * Provides access to the properties of <rect> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGRectElement)
+ */
+interface SVGRectElement extends SVGGeometryElement {
+ readonly height: SVGAnimatedLength;
+ readonly rx: SVGAnimatedLength;
+ readonly ry: SVGAnimatedLength;
+ readonly width: SVGAnimatedLength;
+ readonly x: SVGAnimatedLength;
+ readonly y: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGRectElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGRectElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGRectElement: {
+ prototype: SVGRectElement;
+ new(): SVGRectElement;
+};
+
+interface SVGSVGElementEventMap extends SVGElementEventMap, WindowEventHandlersEventMap {
+}
+
+/**
+ * Provides access to the properties of <svg> elements, as well as methods to manipulate them. This interface contains also various miscellaneous commonly-used utility methods, such as matrix operations and the ability to control the time of redraw on visual rendering devices.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGSVGElement)
+ */
+interface SVGSVGElement extends SVGGraphicsElement, SVGFitToViewBox, WindowEventHandlers {
+ currentScale: number;
+ readonly currentTranslate: DOMPointReadOnly;
+ readonly height: SVGAnimatedLength;
+ readonly width: SVGAnimatedLength;
+ readonly x: SVGAnimatedLength;
+ readonly y: SVGAnimatedLength;
+ animationsPaused(): boolean;
+ checkEnclosure(element: SVGElement, rect: DOMRectReadOnly): boolean;
+ checkIntersection(element: SVGElement, rect: DOMRectReadOnly): boolean;
+ createSVGAngle(): SVGAngle;
+ createSVGLength(): SVGLength;
+ createSVGMatrix(): DOMMatrix;
+ createSVGNumber(): SVGNumber;
+ createSVGPoint(): DOMPoint;
+ createSVGRect(): DOMRect;
+ createSVGTransform(): SVGTransform;
+ createSVGTransformFromMatrix(matrix?: DOMMatrix2DInit): SVGTransform;
+ deselectAll(): void;
+ /** @deprecated */
+ forceRedraw(): void;
+ getCurrentTime(): number;
+ getElementById(elementId: string): Element;
+ getEnclosureList(rect: DOMRectReadOnly, referenceElement: SVGElement | null): NodeListOf<SVGCircleElement | SVGEllipseElement | SVGImageElement | SVGLineElement | SVGPathElement | SVGPolygonElement | SVGPolylineElement | SVGRectElement | SVGTextElement | SVGUseElement>;
+ getIntersectionList(rect: DOMRectReadOnly, referenceElement: SVGElement | null): NodeListOf<SVGCircleElement | SVGEllipseElement | SVGImageElement | SVGLineElement | SVGPathElement | SVGPolygonElement | SVGPolylineElement | SVGRectElement | SVGTextElement | SVGUseElement>;
+ pauseAnimations(): void;
+ setCurrentTime(seconds: number): void;
+ /** @deprecated */
+ suspendRedraw(maxWaitMilliseconds: number): number;
+ unpauseAnimations(): void;
+ /** @deprecated */
+ unsuspendRedraw(suspendHandleID: number): void;
+ /** @deprecated */
+ unsuspendRedrawAll(): void;
+ addEventListener<K extends keyof SVGSVGElementEventMap>(type: K, listener: (this: SVGSVGElement, ev: SVGSVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGSVGElementEventMap>(type: K, listener: (this: SVGSVGElement, ev: SVGSVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGSVGElement: {
+ prototype: SVGSVGElement;
+ new(): SVGSVGElement;
+};
+
+/**
+ * Corresponds to the SVG <script> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGScriptElement)
+ */
+interface SVGScriptElement extends SVGElement, SVGURIReference {
+ type: string;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGScriptElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGScriptElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGScriptElement: {
+ prototype: SVGScriptElement;
+ new(): SVGScriptElement;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGSetElement) */
+interface SVGSetElement extends SVGAnimationElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGSetElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGSetElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGSetElement: {
+ prototype: SVGSetElement;
+ new(): SVGSetElement;
+};
+
+/**
+ * Corresponds to the <stop> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGStopElement)
+ */
+interface SVGStopElement extends SVGElement {
+ readonly offset: SVGAnimatedNumber;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGStopElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGStopElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGStopElement: {
+ prototype: SVGStopElement;
+ new(): SVGStopElement;
+};
+
+/**
+ * The SVGStringList defines a list of DOMString objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGStringList)
+ */
+interface SVGStringList {
+ readonly length: number;
+ readonly numberOfItems: number;
+ appendItem(newItem: string): string;
+ clear(): void;
+ getItem(index: number): string;
+ initialize(newItem: string): string;
+ insertItemBefore(newItem: string, index: number): string;
+ removeItem(index: number): string;
+ replaceItem(newItem: string, index: number): string;
+ [index: number]: string;
+}
+
+declare var SVGStringList: {
+ prototype: SVGStringList;
+ new(): SVGStringList;
+};
+
+/**
+ * Corresponds to the SVG <style> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGStyleElement)
+ */
+interface SVGStyleElement extends SVGElement, LinkStyle {
+ disabled: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGStyleElement/media) */
+ media: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGStyleElement/title) */
+ title: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGStyleElement/type)
+ */
+ type: string;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGStyleElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGStyleElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGStyleElement: {
+ prototype: SVGStyleElement;
+ new(): SVGStyleElement;
+};
+
+/**
+ * Corresponds to the <switch> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGSwitchElement)
+ */
+interface SVGSwitchElement extends SVGGraphicsElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGSwitchElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGSwitchElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGSwitchElement: {
+ prototype: SVGSwitchElement;
+ new(): SVGSwitchElement;
+};
+
+/**
+ * Corresponds to the <symbol> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGSymbolElement)
+ */
+interface SVGSymbolElement extends SVGElement, SVGFitToViewBox {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGSymbolElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGSymbolElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGSymbolElement: {
+ prototype: SVGSymbolElement;
+ new(): SVGSymbolElement;
+};
+
+/**
+ * A <tspan> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGTSpanElement)
+ */
+interface SVGTSpanElement extends SVGTextPositioningElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTSpanElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTSpanElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGTSpanElement: {
+ prototype: SVGTSpanElement;
+ new(): SVGTSpanElement;
+};
+
+interface SVGTests {
+ readonly requiredExtensions: SVGStringList;
+ readonly systemLanguage: SVGStringList;
+}
+
+/**
+ * Implemented by elements that support rendering child text content. It is inherited by various text-related interfaces, such as SVGTextElement, SVGTSpanElement, SVGTRefElement, SVGAltGlyphElement and SVGTextPathElement.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGTextContentElement)
+ */
+interface SVGTextContentElement extends SVGGraphicsElement {
+ readonly lengthAdjust: SVGAnimatedEnumeration;
+ readonly textLength: SVGAnimatedLength;
+ getCharNumAtPosition(point?: DOMPointInit): number;
+ getComputedTextLength(): number;
+ getEndPositionOfChar(charnum: number): DOMPoint;
+ getExtentOfChar(charnum: number): DOMRect;
+ getNumberOfChars(): number;
+ getRotationOfChar(charnum: number): number;
+ getStartPositionOfChar(charnum: number): DOMPoint;
+ getSubStringLength(charnum: number, nchars: number): number;
+ /** @deprecated */
+ selectSubString(charnum: number, nchars: number): void;
+ readonly LENGTHADJUST_UNKNOWN: 0;
+ readonly LENGTHADJUST_SPACING: 1;
+ readonly LENGTHADJUST_SPACINGANDGLYPHS: 2;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTextContentElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTextContentElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGTextContentElement: {
+ prototype: SVGTextContentElement;
+ new(): SVGTextContentElement;
+ readonly LENGTHADJUST_UNKNOWN: 0;
+ readonly LENGTHADJUST_SPACING: 1;
+ readonly LENGTHADJUST_SPACINGANDGLYPHS: 2;
+};
+
+/**
+ * Corresponds to the <text> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGTextElement)
+ */
+interface SVGTextElement extends SVGTextPositioningElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTextElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTextElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGTextElement: {
+ prototype: SVGTextElement;
+ new(): SVGTextElement;
+};
+
+/**
+ * Corresponds to the <textPath> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGTextPathElement)
+ */
+interface SVGTextPathElement extends SVGTextContentElement, SVGURIReference {
+ readonly method: SVGAnimatedEnumeration;
+ readonly spacing: SVGAnimatedEnumeration;
+ readonly startOffset: SVGAnimatedLength;
+ readonly TEXTPATH_METHODTYPE_UNKNOWN: 0;
+ readonly TEXTPATH_METHODTYPE_ALIGN: 1;
+ readonly TEXTPATH_METHODTYPE_STRETCH: 2;
+ readonly TEXTPATH_SPACINGTYPE_UNKNOWN: 0;
+ readonly TEXTPATH_SPACINGTYPE_AUTO: 1;
+ readonly TEXTPATH_SPACINGTYPE_EXACT: 2;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTextPathElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTextPathElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGTextPathElement: {
+ prototype: SVGTextPathElement;
+ new(): SVGTextPathElement;
+ readonly TEXTPATH_METHODTYPE_UNKNOWN: 0;
+ readonly TEXTPATH_METHODTYPE_ALIGN: 1;
+ readonly TEXTPATH_METHODTYPE_STRETCH: 2;
+ readonly TEXTPATH_SPACINGTYPE_UNKNOWN: 0;
+ readonly TEXTPATH_SPACINGTYPE_AUTO: 1;
+ readonly TEXTPATH_SPACINGTYPE_EXACT: 2;
+};
+
+/**
+ * Implemented by elements that support attributes that position individual text glyphs. It is inherited by SVGTextElement, SVGTSpanElement, SVGTRefElement and SVGAltGlyphElement.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGTextPositioningElement)
+ */
+interface SVGTextPositioningElement extends SVGTextContentElement {
+ readonly dx: SVGAnimatedLengthList;
+ readonly dy: SVGAnimatedLengthList;
+ readonly rotate: SVGAnimatedNumberList;
+ readonly x: SVGAnimatedLengthList;
+ readonly y: SVGAnimatedLengthList;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTextPositioningElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTextPositioningElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGTextPositioningElement: {
+ prototype: SVGTextPositioningElement;
+ new(): SVGTextPositioningElement;
+};
+
+/**
+ * Corresponds to the <title> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGTitleElement)
+ */
+interface SVGTitleElement extends SVGElement {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTitleElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGTitleElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGTitleElement: {
+ prototype: SVGTitleElement;
+ new(): SVGTitleElement;
+};
+
+/**
+ * SVGTransform is the interface for one of the component transformations within an SVGTransformList; thus, an SVGTransform object corresponds to a single component (e.g., scale(…) or matrix(…)) within a transform attribute.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGTransform)
+ */
+interface SVGTransform {
+ readonly angle: number;
+ readonly matrix: DOMMatrix;
+ readonly type: number;
+ setMatrix(matrix?: DOMMatrix2DInit): void;
+ setRotate(angle: number, cx: number, cy: number): void;
+ setScale(sx: number, sy: number): void;
+ setSkewX(angle: number): void;
+ setSkewY(angle: number): void;
+ setTranslate(tx: number, ty: number): void;
+ readonly SVG_TRANSFORM_UNKNOWN: 0;
+ readonly SVG_TRANSFORM_MATRIX: 1;
+ readonly SVG_TRANSFORM_TRANSLATE: 2;
+ readonly SVG_TRANSFORM_SCALE: 3;
+ readonly SVG_TRANSFORM_ROTATE: 4;
+ readonly SVG_TRANSFORM_SKEWX: 5;
+ readonly SVG_TRANSFORM_SKEWY: 6;
+}
+
+declare var SVGTransform: {
+ prototype: SVGTransform;
+ new(): SVGTransform;
+ readonly SVG_TRANSFORM_UNKNOWN: 0;
+ readonly SVG_TRANSFORM_MATRIX: 1;
+ readonly SVG_TRANSFORM_TRANSLATE: 2;
+ readonly SVG_TRANSFORM_SCALE: 3;
+ readonly SVG_TRANSFORM_ROTATE: 4;
+ readonly SVG_TRANSFORM_SKEWX: 5;
+ readonly SVG_TRANSFORM_SKEWY: 6;
+};
+
+/**
+ * The SVGTransformList defines a list of SVGTransform objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGTransformList)
+ */
+interface SVGTransformList {
+ readonly length: number;
+ readonly numberOfItems: number;
+ appendItem(newItem: SVGTransform): SVGTransform;
+ clear(): void;
+ consolidate(): SVGTransform | null;
+ createSVGTransformFromMatrix(matrix?: DOMMatrix2DInit): SVGTransform;
+ getItem(index: number): SVGTransform;
+ initialize(newItem: SVGTransform): SVGTransform;
+ insertItemBefore(newItem: SVGTransform, index: number): SVGTransform;
+ removeItem(index: number): SVGTransform;
+ replaceItem(newItem: SVGTransform, index: number): SVGTransform;
+ [index: number]: SVGTransform;
+}
+
+declare var SVGTransformList: {
+ prototype: SVGTransformList;
+ new(): SVGTransformList;
+};
+
+interface SVGURIReference {
+ readonly href: SVGAnimatedString;
+}
+
+/**
+ * A commonly used set of constants used for reflecting gradientUnits, patternContentUnits and other similar attributes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGUnitTypes)
+ */
+interface SVGUnitTypes {
+ readonly SVG_UNIT_TYPE_UNKNOWN: 0;
+ readonly SVG_UNIT_TYPE_USERSPACEONUSE: 1;
+ readonly SVG_UNIT_TYPE_OBJECTBOUNDINGBOX: 2;
+}
+
+declare var SVGUnitTypes: {
+ prototype: SVGUnitTypes;
+ new(): SVGUnitTypes;
+ readonly SVG_UNIT_TYPE_UNKNOWN: 0;
+ readonly SVG_UNIT_TYPE_USERSPACEONUSE: 1;
+ readonly SVG_UNIT_TYPE_OBJECTBOUNDINGBOX: 2;
+};
+
+/**
+ * Corresponds to the <use> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGUseElement)
+ */
+interface SVGUseElement extends SVGGraphicsElement, SVGURIReference {
+ readonly height: SVGAnimatedLength;
+ readonly width: SVGAnimatedLength;
+ readonly x: SVGAnimatedLength;
+ readonly y: SVGAnimatedLength;
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGUseElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGUseElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGUseElement: {
+ prototype: SVGUseElement;
+ new(): SVGUseElement;
+};
+
+/**
+ * Provides access to the properties of <view> elements, as well as methods to manipulate them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGViewElement)
+ */
+interface SVGViewElement extends SVGElement, SVGFitToViewBox {
+ addEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGViewElement, ev: SVGElementEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SVGElementEventMap>(type: K, listener: (this: SVGViewElement, ev: SVGElementEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SVGViewElement: {
+ prototype: SVGViewElement;
+ new(): SVGViewElement;
+};
+
+/**
+ * A screen, usually the one on which the current window is being rendered, and is obtained using window.screen.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Screen)
+ */
+interface Screen {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Screen/availHeight) */
+ readonly availHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Screen/availWidth) */
+ readonly availWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Screen/colorDepth) */
+ readonly colorDepth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Screen/height) */
+ readonly height: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Screen/orientation) */
+ readonly orientation: ScreenOrientation;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Screen/pixelDepth) */
+ readonly pixelDepth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Screen/width) */
+ readonly width: number;
+}
+
+declare var Screen: {
+ prototype: Screen;
+ new(): Screen;
+};
+
+interface ScreenOrientationEventMap {
+ "change": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ScreenOrientation) */
+interface ScreenOrientation extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ScreenOrientation/angle) */
+ readonly angle: number;
+ onchange: ((this: ScreenOrientation, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ScreenOrientation/type) */
+ readonly type: OrientationType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ScreenOrientation/unlock) */
+ unlock(): void;
+ addEventListener<K extends keyof ScreenOrientationEventMap>(type: K, listener: (this: ScreenOrientation, ev: ScreenOrientationEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ScreenOrientationEventMap>(type: K, listener: (this: ScreenOrientation, ev: ScreenOrientationEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ScreenOrientation: {
+ prototype: ScreenOrientation;
+ new(): ScreenOrientation;
+};
+
+interface ScriptProcessorNodeEventMap {
+ "audioprocess": AudioProcessingEvent;
+}
+
+/**
+ * Allows the generation, processing, or analyzing of audio using JavaScript.
+ * @deprecated As of the August 29 2014 Web Audio API spec publication, this feature has been marked as deprecated, and was replaced by AudioWorklet (see AudioWorkletNode).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ScriptProcessorNode)
+ */
+interface ScriptProcessorNode extends AudioNode {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ScriptProcessorNode/bufferSize)
+ */
+ readonly bufferSize: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ScriptProcessorNode/audioprocess_event)
+ */
+ onaudioprocess: ((this: ScriptProcessorNode, ev: AudioProcessingEvent) => any) | null;
+ addEventListener<K extends keyof ScriptProcessorNodeEventMap>(type: K, listener: (this: ScriptProcessorNode, ev: ScriptProcessorNodeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ScriptProcessorNodeEventMap>(type: K, listener: (this: ScriptProcessorNode, ev: ScriptProcessorNodeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+/** @deprecated */
+declare var ScriptProcessorNode: {
+ prototype: ScriptProcessorNode;
+ new(): ScriptProcessorNode;
+};
+
+/**
+ * Inherits from Event, and represents the event object of an event sent on a document or worker when its content security policy is violated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent)
+ */
+interface SecurityPolicyViolationEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/blockedURI) */
+ readonly blockedURI: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/columnNumber) */
+ readonly columnNumber: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/disposition) */
+ readonly disposition: SecurityPolicyViolationEventDisposition;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/documentURI) */
+ readonly documentURI: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/effectiveDirective) */
+ readonly effectiveDirective: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/lineNumber) */
+ readonly lineNumber: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/originalPolicy) */
+ readonly originalPolicy: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/referrer) */
+ readonly referrer: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/sample) */
+ readonly sample: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/sourceFile) */
+ readonly sourceFile: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/statusCode) */
+ readonly statusCode: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/violatedDirective) */
+ readonly violatedDirective: string;
+}
+
+declare var SecurityPolicyViolationEvent: {
+ prototype: SecurityPolicyViolationEvent;
+ new(type: string, eventInitDict?: SecurityPolicyViolationEventInit): SecurityPolicyViolationEvent;
+};
+
+/**
+ * A Selection object represents the range of text selected by the user or the current position of the caret. To obtain a Selection object for examination or modification, call Window.getSelection().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection)
+ */
+interface Selection {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/anchorNode) */
+ readonly anchorNode: Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/anchorOffset) */
+ readonly anchorOffset: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/focusNode) */
+ readonly focusNode: Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/focusOffset) */
+ readonly focusOffset: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/isCollapsed) */
+ readonly isCollapsed: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/rangeCount) */
+ readonly rangeCount: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/type) */
+ readonly type: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/addRange) */
+ addRange(range: Range): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/collapse) */
+ collapse(node: Node | null, offset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/collapseToEnd) */
+ collapseToEnd(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/collapseToStart) */
+ collapseToStart(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/containsNode) */
+ containsNode(node: Node, allowPartialContainment?: boolean): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/deleteFromDocument) */
+ deleteFromDocument(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/removeAllRanges) */
+ empty(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/extend) */
+ extend(node: Node, offset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/getRangeAt) */
+ getRangeAt(index: number): Range;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/modify) */
+ modify(alter?: string, direction?: string, granularity?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/removeAllRanges) */
+ removeAllRanges(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/removeRange) */
+ removeRange(range: Range): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/selectAllChildren) */
+ selectAllChildren(node: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/setBaseAndExtent) */
+ setBaseAndExtent(anchorNode: Node, anchorOffset: number, focusNode: Node, focusOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Selection/collapse) */
+ setPosition(node: Node | null, offset?: number): void;
+ toString(): string;
+}
+
+declare var Selection: {
+ prototype: Selection;
+ new(): Selection;
+};
+
+interface ServiceWorkerEventMap extends AbstractWorkerEventMap {
+ "statechange": Event;
+}
+
+/**
+ * This ServiceWorker API interface provides a reference to a service worker. Multiple browsing contexts (e.g. pages, workers, etc.) can be associated with the same service worker, each through a unique ServiceWorker object.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker)
+ */
+interface ServiceWorker extends EventTarget, AbstractWorker {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/statechange_event) */
+ onstatechange: ((this: ServiceWorker, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/scriptURL) */
+ readonly scriptURL: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/state) */
+ readonly state: ServiceWorkerState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/postMessage) */
+ postMessage(message: any, transfer: Transferable[]): void;
+ postMessage(message: any, options?: StructuredSerializeOptions): void;
+ addEventListener<K extends keyof ServiceWorkerEventMap>(type: K, listener: (this: ServiceWorker, ev: ServiceWorkerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ServiceWorkerEventMap>(type: K, listener: (this: ServiceWorker, ev: ServiceWorkerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ServiceWorker: {
+ prototype: ServiceWorker;
+ new(): ServiceWorker;
+};
+
+interface ServiceWorkerContainerEventMap {
+ "controllerchange": Event;
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+}
+
+/**
+ * The ServiceWorkerContainer interface of the ServiceWorker API provides an object representing the service worker as an overall unit in the network ecosystem, including facilities to register, unregister and update service workers, and access the state of service workers and their registrations.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer)
+ */
+interface ServiceWorkerContainer extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/controller) */
+ readonly controller: ServiceWorker | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/controllerchange_event) */
+ oncontrollerchange: ((this: ServiceWorkerContainer, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/message_event) */
+ onmessage: ((this: ServiceWorkerContainer, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/messageerror_event) */
+ onmessageerror: ((this: ServiceWorkerContainer, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/ready) */
+ readonly ready: Promise<ServiceWorkerRegistration>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/getRegistration) */
+ getRegistration(clientURL?: string | URL): Promise<ServiceWorkerRegistration | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/getRegistrations) */
+ getRegistrations(): Promise<ReadonlyArray<ServiceWorkerRegistration>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/register) */
+ register(scriptURL: string | URL, options?: RegistrationOptions): Promise<ServiceWorkerRegistration>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/startMessages) */
+ startMessages(): void;
+ addEventListener<K extends keyof ServiceWorkerContainerEventMap>(type: K, listener: (this: ServiceWorkerContainer, ev: ServiceWorkerContainerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ServiceWorkerContainerEventMap>(type: K, listener: (this: ServiceWorkerContainer, ev: ServiceWorkerContainerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ServiceWorkerContainer: {
+ prototype: ServiceWorkerContainer;
+ new(): ServiceWorkerContainer;
+};
+
+interface ServiceWorkerRegistrationEventMap {
+ "updatefound": Event;
+}
+
+/**
+ * This ServiceWorker API interface represents the service worker registration. You register a service worker to control one or more pages that share the same origin.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration)
+ */
+interface ServiceWorkerRegistration extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/active) */
+ readonly active: ServiceWorker | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/installing) */
+ readonly installing: ServiceWorker | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/navigationPreload) */
+ readonly navigationPreload: NavigationPreloadManager;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/updatefound_event) */
+ onupdatefound: ((this: ServiceWorkerRegistration, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/pushManager) */
+ readonly pushManager: PushManager;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/scope) */
+ readonly scope: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/updateViaCache) */
+ readonly updateViaCache: ServiceWorkerUpdateViaCache;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/waiting) */
+ readonly waiting: ServiceWorker | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/getNotifications) */
+ getNotifications(filter?: GetNotificationOptions): Promise<Notification[]>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/showNotification) */
+ showNotification(title: string, options?: NotificationOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/unregister) */
+ unregister(): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/update) */
+ update(): Promise<void>;
+ addEventListener<K extends keyof ServiceWorkerRegistrationEventMap>(type: K, listener: (this: ServiceWorkerRegistration, ev: ServiceWorkerRegistrationEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ServiceWorkerRegistrationEventMap>(type: K, listener: (this: ServiceWorkerRegistration, ev: ServiceWorkerRegistrationEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ServiceWorkerRegistration: {
+ prototype: ServiceWorkerRegistration;
+ new(): ServiceWorkerRegistration;
+};
+
+interface ShadowRootEventMap {
+ "slotchange": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ShadowRoot) */
+interface ShadowRoot extends DocumentFragment, DocumentOrShadowRoot, InnerHTML {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ShadowRoot/delegatesFocus) */
+ readonly delegatesFocus: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ShadowRoot/host) */
+ readonly host: Element;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ShadowRoot/mode) */
+ readonly mode: ShadowRootMode;
+ onslotchange: ((this: ShadowRoot, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ShadowRoot/slotAssignment) */
+ readonly slotAssignment: SlotAssignmentMode;
+ /** Throws a "NotSupportedError" DOMException if context object is a shadow root. */
+ addEventListener<K extends keyof ShadowRootEventMap>(type: K, listener: (this: ShadowRoot, ev: ShadowRootEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ShadowRootEventMap>(type: K, listener: (this: ShadowRoot, ev: ShadowRootEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ShadowRoot: {
+ prototype: ShadowRoot;
+ new(): ShadowRoot;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SharedWorker) */
+interface SharedWorker extends EventTarget, AbstractWorker {
+ /**
+ * Returns sharedWorker's MessagePort object which can be used to communicate with the global environment.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SharedWorker/port)
+ */
+ readonly port: MessagePort;
+ addEventListener<K extends keyof AbstractWorkerEventMap>(type: K, listener: (this: SharedWorker, ev: AbstractWorkerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AbstractWorkerEventMap>(type: K, listener: (this: SharedWorker, ev: AbstractWorkerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SharedWorker: {
+ prototype: SharedWorker;
+ new(scriptURL: string | URL, options?: string | WorkerOptions): SharedWorker;
+};
+
+interface Slottable {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/assignedSlot) */
+ readonly assignedSlot: HTMLSlotElement | null;
+}
+
+interface SourceBufferEventMap {
+ "abort": Event;
+ "error": Event;
+ "update": Event;
+ "updateend": Event;
+ "updatestart": Event;
+}
+
+/**
+ * A chunk of media to be passed into an HTMLMediaElement and played, via a MediaSource object. This can be made up of one or several media segments.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer)
+ */
+interface SourceBuffer extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/appendWindowEnd) */
+ appendWindowEnd: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/appendWindowStart) */
+ appendWindowStart: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/buffered) */
+ readonly buffered: TimeRanges;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/mode) */
+ mode: AppendMode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/abort_event) */
+ onabort: ((this: SourceBuffer, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/error_event) */
+ onerror: ((this: SourceBuffer, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/update_event) */
+ onupdate: ((this: SourceBuffer, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/updateend_event) */
+ onupdateend: ((this: SourceBuffer, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/updatestart_event) */
+ onupdatestart: ((this: SourceBuffer, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/timestampOffset) */
+ timestampOffset: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/updating) */
+ readonly updating: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/abort) */
+ abort(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/appendBuffer) */
+ appendBuffer(data: BufferSource): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/changeType) */
+ changeType(type: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBuffer/remove) */
+ remove(start: number, end: number): void;
+ addEventListener<K extends keyof SourceBufferEventMap>(type: K, listener: (this: SourceBuffer, ev: SourceBufferEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SourceBufferEventMap>(type: K, listener: (this: SourceBuffer, ev: SourceBufferEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SourceBuffer: {
+ prototype: SourceBuffer;
+ new(): SourceBuffer;
+};
+
+interface SourceBufferListEventMap {
+ "addsourcebuffer": Event;
+ "removesourcebuffer": Event;
+}
+
+/**
+ * A simple container list for multiple SourceBuffer objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBufferList)
+ */
+interface SourceBufferList extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBufferList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBufferList/addsourcebuffer_event) */
+ onaddsourcebuffer: ((this: SourceBufferList, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SourceBufferList/removesourcebuffer_event) */
+ onremovesourcebuffer: ((this: SourceBufferList, ev: Event) => any) | null;
+ addEventListener<K extends keyof SourceBufferListEventMap>(type: K, listener: (this: SourceBufferList, ev: SourceBufferListEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SourceBufferListEventMap>(type: K, listener: (this: SourceBufferList, ev: SourceBufferListEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+ [index: number]: SourceBuffer;
+}
+
+declare var SourceBufferList: {
+ prototype: SourceBufferList;
+ new(): SourceBufferList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionAlternative) */
+interface SpeechRecognitionAlternative {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionAlternative/confidence) */
+ readonly confidence: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionAlternative/transcript) */
+ readonly transcript: string;
+}
+
+declare var SpeechRecognitionAlternative: {
+ prototype: SpeechRecognitionAlternative;
+ new(): SpeechRecognitionAlternative;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionResult) */
+interface SpeechRecognitionResult {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionResult/isFinal) */
+ readonly isFinal: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionResult/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionResult/item) */
+ item(index: number): SpeechRecognitionAlternative;
+ [index: number]: SpeechRecognitionAlternative;
+}
+
+declare var SpeechRecognitionResult: {
+ prototype: SpeechRecognitionResult;
+ new(): SpeechRecognitionResult;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionResultList) */
+interface SpeechRecognitionResultList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionResultList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechRecognitionResultList/item) */
+ item(index: number): SpeechRecognitionResult;
+ [index: number]: SpeechRecognitionResult;
+}
+
+declare var SpeechRecognitionResultList: {
+ prototype: SpeechRecognitionResultList;
+ new(): SpeechRecognitionResultList;
+};
+
+interface SpeechSynthesisEventMap {
+ "voiceschanged": Event;
+}
+
+/**
+ * This Web Speech API interface is the controller interface for the speech service; this can be used to retrieve information about the synthesis voices available on the device, start and pause speech, and other commands besides.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis)
+ */
+interface SpeechSynthesis extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/voiceschanged_event) */
+ onvoiceschanged: ((this: SpeechSynthesis, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/paused) */
+ readonly paused: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/pending) */
+ readonly pending: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/speaking) */
+ readonly speaking: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/cancel) */
+ cancel(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/getVoices) */
+ getVoices(): SpeechSynthesisVoice[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/pause) */
+ pause(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/resume) */
+ resume(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesis/speak) */
+ speak(utterance: SpeechSynthesisUtterance): void;
+ addEventListener<K extends keyof SpeechSynthesisEventMap>(type: K, listener: (this: SpeechSynthesis, ev: SpeechSynthesisEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SpeechSynthesisEventMap>(type: K, listener: (this: SpeechSynthesis, ev: SpeechSynthesisEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SpeechSynthesis: {
+ prototype: SpeechSynthesis;
+ new(): SpeechSynthesis;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisErrorEvent) */
+interface SpeechSynthesisErrorEvent extends SpeechSynthesisEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisErrorEvent/error) */
+ readonly error: SpeechSynthesisErrorCode;
+}
+
+declare var SpeechSynthesisErrorEvent: {
+ prototype: SpeechSynthesisErrorEvent;
+ new(type: string, eventInitDict: SpeechSynthesisErrorEventInit): SpeechSynthesisErrorEvent;
+};
+
+/**
+ * This Web Speech API interface contains information about the current state of SpeechSynthesisUtterance objects that have been processed in the speech service.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisEvent)
+ */
+interface SpeechSynthesisEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisEvent/charIndex) */
+ readonly charIndex: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisEvent/charLength) */
+ readonly charLength: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisEvent/elapsedTime) */
+ readonly elapsedTime: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisEvent/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisEvent/utterance) */
+ readonly utterance: SpeechSynthesisUtterance;
+}
+
+declare var SpeechSynthesisEvent: {
+ prototype: SpeechSynthesisEvent;
+ new(type: string, eventInitDict: SpeechSynthesisEventInit): SpeechSynthesisEvent;
+};
+
+interface SpeechSynthesisUtteranceEventMap {
+ "boundary": SpeechSynthesisEvent;
+ "end": SpeechSynthesisEvent;
+ "error": SpeechSynthesisErrorEvent;
+ "mark": SpeechSynthesisEvent;
+ "pause": SpeechSynthesisEvent;
+ "resume": SpeechSynthesisEvent;
+ "start": SpeechSynthesisEvent;
+}
+
+/**
+ * This Web Speech API interface represents a speech request. It contains the content the speech service should read and information about how to read it (e.g. language, pitch and volume.)
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance)
+ */
+interface SpeechSynthesisUtterance extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/lang) */
+ lang: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/boundary_event) */
+ onboundary: ((this: SpeechSynthesisUtterance, ev: SpeechSynthesisEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/end_event) */
+ onend: ((this: SpeechSynthesisUtterance, ev: SpeechSynthesisEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/error_event) */
+ onerror: ((this: SpeechSynthesisUtterance, ev: SpeechSynthesisErrorEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/mark_event) */
+ onmark: ((this: SpeechSynthesisUtterance, ev: SpeechSynthesisEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/pause_event) */
+ onpause: ((this: SpeechSynthesisUtterance, ev: SpeechSynthesisEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/resume_event) */
+ onresume: ((this: SpeechSynthesisUtterance, ev: SpeechSynthesisEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/start_event) */
+ onstart: ((this: SpeechSynthesisUtterance, ev: SpeechSynthesisEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/pitch) */
+ pitch: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/rate) */
+ rate: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/text) */
+ text: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/voice) */
+ voice: SpeechSynthesisVoice | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisUtterance/volume) */
+ volume: number;
+ addEventListener<K extends keyof SpeechSynthesisUtteranceEventMap>(type: K, listener: (this: SpeechSynthesisUtterance, ev: SpeechSynthesisUtteranceEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SpeechSynthesisUtteranceEventMap>(type: K, listener: (this: SpeechSynthesisUtterance, ev: SpeechSynthesisUtteranceEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SpeechSynthesisUtterance: {
+ prototype: SpeechSynthesisUtterance;
+ new(text?: string): SpeechSynthesisUtterance;
+};
+
+/**
+ * This Web Speech API interface represents a voice that the system supports. Every SpeechSynthesisVoice has its own relative speech service including information about language, name and URI.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisVoice)
+ */
+interface SpeechSynthesisVoice {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisVoice/default) */
+ readonly default: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisVoice/lang) */
+ readonly lang: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisVoice/localService) */
+ readonly localService: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisVoice/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SpeechSynthesisVoice/voiceURI) */
+ readonly voiceURI: string;
+}
+
+declare var SpeechSynthesisVoice: {
+ prototype: SpeechSynthesisVoice;
+ new(): SpeechSynthesisVoice;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StaticRange) */
+interface StaticRange extends AbstractRange {
+}
+
+declare var StaticRange: {
+ prototype: StaticRange;
+ new(init: StaticRangeInit): StaticRange;
+};
+
+/**
+ * The pan property takes a unitless value between -1 (full left pan) and 1 (full right pan). This interface was introduced as a much simpler way to apply a simple panning effect than having to use a full PannerNode.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StereoPannerNode)
+ */
+interface StereoPannerNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StereoPannerNode/pan) */
+ readonly pan: AudioParam;
+}
+
+declare var StereoPannerNode: {
+ prototype: StereoPannerNode;
+ new(context: BaseAudioContext, options?: StereoPannerOptions): StereoPannerNode;
+};
+
+/**
+ * This Web Storage API interface provides access to a particular domain's session or local storage. It allows, for example, the addition, modification, or deletion of stored data items.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Storage)
+ */
+interface Storage {
+ /**
+ * Returns the number of key/value pairs.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Storage/length)
+ */
+ readonly length: number;
+ /**
+ * Removes all key/value pairs, if there are any.
+ *
+ * Dispatches a storage event on Window objects holding an equivalent Storage object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Storage/clear)
+ */
+ clear(): void;
+ /**
+ * Returns the current value associated with the given key, or null if the given key does not exist.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Storage/getItem)
+ */
+ getItem(key: string): string | null;
+ /**
+ * Returns the name of the nth key, or null if n is greater than or equal to the number of key/value pairs.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Storage/key)
+ */
+ key(index: number): string | null;
+ /**
+ * Removes the key/value pair with the given key, if a key/value pair with the given key exists.
+ *
+ * Dispatches a storage event on Window objects holding an equivalent Storage object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Storage/removeItem)
+ */
+ removeItem(key: string): void;
+ /**
+ * Sets the value of the pair identified by key to value, creating a new key/value pair if none existed for key previously.
+ *
+ * Throws a "QuotaExceededError" DOMException exception if the new value couldn't be set. (Setting could fail if, e.g., the user has disabled storage for the site, or if the quota has been exceeded.)
+ *
+ * Dispatches a storage event on Window objects holding an equivalent Storage object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Storage/setItem)
+ */
+ setItem(key: string, value: string): void;
+ [name: string]: any;
+}
+
+declare var Storage: {
+ prototype: Storage;
+ new(): Storage;
+};
+
+/**
+ * A StorageEvent is sent to a window when a storage area it has access to is changed within the context of another document.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageEvent)
+ */
+interface StorageEvent extends Event {
+ /**
+ * Returns the key of the storage item being changed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageEvent/key)
+ */
+ readonly key: string | null;
+ /**
+ * Returns the new value of the key of the storage item whose value is being changed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageEvent/newValue)
+ */
+ readonly newValue: string | null;
+ /**
+ * Returns the old value of the key of the storage item whose value is being changed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageEvent/oldValue)
+ */
+ readonly oldValue: string | null;
+ /**
+ * Returns the Storage object that was affected.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageEvent/storageArea)
+ */
+ readonly storageArea: Storage | null;
+ /**
+ * Returns the URL of the document whose storage item changed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageEvent/url)
+ */
+ readonly url: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageEvent/initStorageEvent)
+ */
+ initStorageEvent(type: string, bubbles?: boolean, cancelable?: boolean, key?: string | null, oldValue?: string | null, newValue?: string | null, url?: string | URL, storageArea?: Storage | null): void;
+}
+
+declare var StorageEvent: {
+ prototype: StorageEvent;
+ new(type: string, eventInitDict?: StorageEventInit): StorageEvent;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager)
+ */
+interface StorageManager {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager/estimate) */
+ estimate(): Promise<StorageEstimate>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager/getDirectory) */
+ getDirectory(): Promise<FileSystemDirectoryHandle>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager/persist) */
+ persist(): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager/persisted) */
+ persisted(): Promise<boolean>;
+}
+
+declare var StorageManager: {
+ prototype: StorageManager;
+ new(): StorageManager;
+};
+
+/** @deprecated */
+interface StyleMedia {
+ type: string;
+ matchMedium(mediaquery: string): boolean;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMap) */
+interface StylePropertyMap extends StylePropertyMapReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMap/append) */
+ append(property: string, ...values: (CSSStyleValue | string)[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMap/clear) */
+ clear(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMap/delete) */
+ delete(property: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMap/set) */
+ set(property: string, ...values: (CSSStyleValue | string)[]): void;
+}
+
+declare var StylePropertyMap: {
+ prototype: StylePropertyMap;
+ new(): StylePropertyMap;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly) */
+interface StylePropertyMapReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly/size) */
+ readonly size: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly/get) */
+ get(property: string): undefined | CSSStyleValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly/getAll) */
+ getAll(property: string): CSSStyleValue[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly/has) */
+ has(property: string): boolean;
+ forEach(callbackfn: (value: CSSStyleValue[], key: string, parent: StylePropertyMapReadOnly) => void, thisArg?: any): void;
+}
+
+declare var StylePropertyMapReadOnly: {
+ prototype: StylePropertyMapReadOnly;
+ new(): StylePropertyMapReadOnly;
+};
+
+/**
+ * A single style sheet. CSS style sheets will further implement the more specialized CSSStyleSheet interface.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheet)
+ */
+interface StyleSheet {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheet/disabled) */
+ disabled: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheet/href) */
+ readonly href: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheet/media) */
+ readonly media: MediaList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheet/ownerNode) */
+ readonly ownerNode: Element | ProcessingInstruction | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheet/parentStyleSheet) */
+ readonly parentStyleSheet: CSSStyleSheet | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheet/title) */
+ readonly title: string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheet/type) */
+ readonly type: string;
+}
+
+declare var StyleSheet: {
+ prototype: StyleSheet;
+ new(): StyleSheet;
+};
+
+/**
+ * A list of StyleSheet.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheetList)
+ */
+interface StyleSheetList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheetList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StyleSheetList/item) */
+ item(index: number): CSSStyleSheet | null;
+ [index: number]: CSSStyleSheet;
+}
+
+declare var StyleSheetList: {
+ prototype: StyleSheetList;
+ new(): StyleSheetList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubmitEvent) */
+interface SubmitEvent extends Event {
+ /**
+ * Returns the element representing the submit button that triggered the form submission, or null if the submission was not triggered by a button.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubmitEvent/submitter)
+ */
+ readonly submitter: HTMLElement | null;
+}
+
+declare var SubmitEvent: {
+ prototype: SubmitEvent;
+ new(type: string, eventInitDict?: SubmitEventInit): SubmitEvent;
+};
+
+/**
+ * This Web Crypto API interface provides a number of low-level cryptographic functions. It is accessed via the Crypto.subtle properties available in a window context (via Window.crypto).
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto)
+ */
+interface SubtleCrypto {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/decrypt) */
+ decrypt(algorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams, key: CryptoKey, data: BufferSource): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/deriveBits) */
+ deriveBits(algorithm: AlgorithmIdentifier | EcdhKeyDeriveParams | HkdfParams | Pbkdf2Params, baseKey: CryptoKey, length: number): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/deriveKey) */
+ deriveKey(algorithm: AlgorithmIdentifier | EcdhKeyDeriveParams | HkdfParams | Pbkdf2Params, baseKey: CryptoKey, derivedKeyType: AlgorithmIdentifier | AesDerivedKeyParams | HmacImportParams | HkdfParams | Pbkdf2Params, extractable: boolean, keyUsages: KeyUsage[]): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/digest) */
+ digest(algorithm: AlgorithmIdentifier, data: BufferSource): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/encrypt) */
+ encrypt(algorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams, key: CryptoKey, data: BufferSource): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/exportKey) */
+ exportKey(format: "jwk", key: CryptoKey): Promise<JsonWebKey>;
+ exportKey(format: Exclude<KeyFormat, "jwk">, key: CryptoKey): Promise<ArrayBuffer>;
+ exportKey(format: KeyFormat, key: CryptoKey): Promise<ArrayBuffer | JsonWebKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/generateKey) */
+ generateKey(algorithm: "Ed25519", extractable: boolean, keyUsages: ReadonlyArray<"sign" | "verify">): Promise<CryptoKeyPair>;
+ generateKey(algorithm: RsaHashedKeyGenParams | EcKeyGenParams, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKeyPair>;
+ generateKey(algorithm: AesKeyGenParams | HmacKeyGenParams | Pbkdf2Params, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKey>;
+ generateKey(algorithm: AlgorithmIdentifier, extractable: boolean, keyUsages: KeyUsage[]): Promise<CryptoKeyPair | CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/importKey) */
+ importKey(format: "jwk", keyData: JsonWebKey, algorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKey>;
+ importKey(format: Exclude<KeyFormat, "jwk">, keyData: BufferSource, algorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: KeyUsage[]): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/sign) */
+ sign(algorithm: AlgorithmIdentifier | RsaPssParams | EcdsaParams, key: CryptoKey, data: BufferSource): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/unwrapKey) */
+ unwrapKey(format: KeyFormat, wrappedKey: BufferSource, unwrappingKey: CryptoKey, unwrapAlgorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams, unwrappedKeyAlgorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: KeyUsage[]): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/verify) */
+ verify(algorithm: AlgorithmIdentifier | RsaPssParams | EcdsaParams, key: CryptoKey, signature: BufferSource, data: BufferSource): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/wrapKey) */
+ wrapKey(format: KeyFormat, key: CryptoKey, wrappingKey: CryptoKey, wrapAlgorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams): Promise<ArrayBuffer>;
+}
+
+declare var SubtleCrypto: {
+ prototype: SubtleCrypto;
+ new(): SubtleCrypto;
+};
+
+/**
+ * The textual content of Element or Attr. If an element has no markup within its content, it has a single child implementing Text that contains the element's text. However, if the element contains markup, it is parsed into information items and Text nodes that form its children.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Text)
+ */
+interface Text extends CharacterData, Slottable {
+ /**
+ * Returns the combined data of all direct Text node siblings.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Text/wholeText)
+ */
+ readonly wholeText: string;
+ /**
+ * Splits data at the given offset and returns the remainder as Text node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Text/splitText)
+ */
+ splitText(offset: number): Text;
+}
+
+declare var Text: {
+ prototype: Text;
+ new(data?: string): Text;
+};
+
+/**
+ * A decoder for a specific method, that is a specific character encoding, like utf-8, iso-8859-2, koi8, cp1261, gbk, etc. A decoder takes a stream of bytes as input and emits a stream of code points. For a more scalable, non-native library, see StringView – a C-like representation of strings based on typed arrays.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder)
+ */
+interface TextDecoder extends TextDecoderCommon {
+ /**
+ * Returns the result of running encoding's decoder. The method can be invoked zero or more times with options's stream set to true, and then once without options's stream (or set to false), to process a fragmented input. If the invocation without options's stream (or set to false) has no input, it's clearest to omit both arguments.
+ *
+ * \`\`\`
+ * var string = "", decoder = new TextDecoder(encoding), buffer;
+ * while(buffer = next_chunk()) {
+ * string += decoder.decode(buffer, {stream:true});
+ * }
+ * string += decoder.decode(); // end-of-queue
+ * \`\`\`
+ *
+ * If the error mode is "fatal" and encoding's decoder returns error, throws a TypeError.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder/decode)
+ */
+ decode(input?: AllowSharedBufferSource, options?: TextDecodeOptions): string;
+}
+
+declare var TextDecoder: {
+ prototype: TextDecoder;
+ new(label?: string, options?: TextDecoderOptions): TextDecoder;
+};
+
+interface TextDecoderCommon {
+ /**
+ * Returns encoding's name, lowercased.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder/encoding)
+ */
+ readonly encoding: string;
+ /**
+ * Returns true if error mode is "fatal", otherwise false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder/fatal)
+ */
+ readonly fatal: boolean;
+ /**
+ * Returns the value of ignore BOM.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder/ignoreBOM)
+ */
+ readonly ignoreBOM: boolean;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoderStream) */
+interface TextDecoderStream extends GenericTransformStream, TextDecoderCommon {
+ readonly readable: ReadableStream<string>;
+ readonly writable: WritableStream<BufferSource>;
+}
+
+declare var TextDecoderStream: {
+ prototype: TextDecoderStream;
+ new(label?: string, options?: TextDecoderOptions): TextDecoderStream;
+};
+
+/**
+ * TextEncoder takes a stream of code points as input and emits a stream of bytes. For a more scalable, non-native library, see StringView – a C-like representation of strings based on typed arrays.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoder)
+ */
+interface TextEncoder extends TextEncoderCommon {
+ /**
+ * Returns the result of running UTF-8's encoder.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoder/encode)
+ */
+ encode(input?: string): Uint8Array;
+ /**
+ * Runs the UTF-8 encoder on source, stores the result of that operation into destination, and returns the progress made as an object wherein read is the number of converted code units of source and written is the number of bytes modified in destination.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoder/encodeInto)
+ */
+ encodeInto(source: string, destination: Uint8Array): TextEncoderEncodeIntoResult;
+}
+
+declare var TextEncoder: {
+ prototype: TextEncoder;
+ new(): TextEncoder;
+};
+
+interface TextEncoderCommon {
+ /**
+ * Returns "utf-8".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoder/encoding)
+ */
+ readonly encoding: string;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoderStream) */
+interface TextEncoderStream extends GenericTransformStream, TextEncoderCommon {
+ readonly readable: ReadableStream<Uint8Array>;
+ readonly writable: WritableStream<string>;
+}
+
+declare var TextEncoderStream: {
+ prototype: TextEncoderStream;
+ new(): TextEncoderStream;
+};
+
+/**
+ * The dimensions of a piece of text in the canvas, as created by the CanvasRenderingContext2D.measureText() method.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics)
+ */
+interface TextMetrics {
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/actualBoundingBoxAscent)
+ */
+ readonly actualBoundingBoxAscent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/actualBoundingBoxDescent)
+ */
+ readonly actualBoundingBoxDescent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/actualBoundingBoxLeft)
+ */
+ readonly actualBoundingBoxLeft: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/actualBoundingBoxRight)
+ */
+ readonly actualBoundingBoxRight: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/alphabeticBaseline)
+ */
+ readonly alphabeticBaseline: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/emHeightAscent)
+ */
+ readonly emHeightAscent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/emHeightDescent)
+ */
+ readonly emHeightDescent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/fontBoundingBoxAscent)
+ */
+ readonly fontBoundingBoxAscent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/fontBoundingBoxDescent)
+ */
+ readonly fontBoundingBoxDescent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/hangingBaseline)
+ */
+ readonly hangingBaseline: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/ideographicBaseline)
+ */
+ readonly ideographicBaseline: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/width)
+ */
+ readonly width: number;
+}
+
+declare var TextMetrics: {
+ prototype: TextMetrics;
+ new(): TextMetrics;
+};
+
+interface TextTrackEventMap {
+ "cuechange": Event;
+}
+
+/**
+ * This interface also inherits properties from EventTarget.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack)
+ */
+interface TextTrack extends EventTarget {
+ /**
+ * Returns the text track cues from the text track list of cues that are currently active (i.e. that start before the current playback position and end after it), as a TextTrackCueList object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/activeCues)
+ */
+ readonly activeCues: TextTrackCueList | null;
+ /**
+ * Returns the text track list of cues, as a TextTrackCueList object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/cues)
+ */
+ readonly cues: TextTrackCueList | null;
+ /**
+ * Returns the ID of the given track.
+ *
+ * For in-band tracks, this is the ID that can be used with a fragment if the format supports media fragment syntax, and that can be used with the getTrackById() method.
+ *
+ * For TextTrack objects corresponding to track elements, this is the ID of the track element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/id)
+ */
+ readonly id: string;
+ /**
+ * Returns the text track in-band metadata track dispatch type string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/inBandMetadataTrackDispatchType)
+ */
+ readonly inBandMetadataTrackDispatchType: string;
+ /**
+ * Returns the text track kind string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/kind)
+ */
+ readonly kind: TextTrackKind;
+ /**
+ * Returns the text track label, if there is one, or the empty string otherwise (indicating that a custom label probably needs to be generated from the other attributes of the object if the object is exposed to the user).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/label)
+ */
+ readonly label: string;
+ /**
+ * Returns the text track language string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/language)
+ */
+ readonly language: string;
+ /**
+ * Returns the text track mode, represented by a string from the following list:
+ *
+ * Can be set, to change the mode.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/mode)
+ */
+ mode: TextTrackMode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/cuechange_event) */
+ oncuechange: ((this: TextTrack, ev: Event) => any) | null;
+ /**
+ * Adds the given cue to textTrack's text track list of cues.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/addCue)
+ */
+ addCue(cue: TextTrackCue): void;
+ /**
+ * Removes the given cue from textTrack's text track list of cues.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrack/removeCue)
+ */
+ removeCue(cue: TextTrackCue): void;
+ addEventListener<K extends keyof TextTrackEventMap>(type: K, listener: (this: TextTrack, ev: TextTrackEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof TextTrackEventMap>(type: K, listener: (this: TextTrack, ev: TextTrackEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var TextTrack: {
+ prototype: TextTrack;
+ new(): TextTrack;
+};
+
+interface TextTrackCueEventMap {
+ "enter": Event;
+ "exit": Event;
+}
+
+/**
+ * TextTrackCues represent a string of text that will be displayed for some duration of time on a TextTrack. This includes the start and end times that the cue will be displayed. A TextTrackCue cannot be used directly, instead one of the derived types (e.g. VTTCue) must be used.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCue)
+ */
+interface TextTrackCue extends EventTarget {
+ /**
+ * Returns the text track cue end time, in seconds.
+ *
+ * Can be set.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCue/endTime)
+ */
+ endTime: number;
+ /**
+ * Returns the text track cue identifier.
+ *
+ * Can be set.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCue/id)
+ */
+ id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCue/enter_event) */
+ onenter: ((this: TextTrackCue, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCue/exit_event) */
+ onexit: ((this: TextTrackCue, ev: Event) => any) | null;
+ /**
+ * Returns true if the text track cue pause-on-exit flag is set, false otherwise.
+ *
+ * Can be set.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCue/pauseOnExit)
+ */
+ pauseOnExit: boolean;
+ /**
+ * Returns the text track cue start time, in seconds.
+ *
+ * Can be set.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCue/startTime)
+ */
+ startTime: number;
+ /**
+ * Returns the TextTrack object to which this text track cue belongs, if any, or null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCue/track)
+ */
+ readonly track: TextTrack | null;
+ addEventListener<K extends keyof TextTrackCueEventMap>(type: K, listener: (this: TextTrackCue, ev: TextTrackCueEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof TextTrackCueEventMap>(type: K, listener: (this: TextTrackCue, ev: TextTrackCueEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var TextTrackCue: {
+ prototype: TextTrackCue;
+ new(): TextTrackCue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCueList) */
+interface TextTrackCueList {
+ /**
+ * Returns the number of cues in the list.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCueList/length)
+ */
+ readonly length: number;
+ /**
+ * Returns the first text track cue (in text track cue order) with text track cue identifier id.
+ *
+ * Returns null if none of the cues have the given identifier or if the argument is the empty string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackCueList/getCueById)
+ */
+ getCueById(id: string): TextTrackCue | null;
+ [index: number]: TextTrackCue;
+}
+
+declare var TextTrackCueList: {
+ prototype: TextTrackCueList;
+ new(): TextTrackCueList;
+};
+
+interface TextTrackListEventMap {
+ "addtrack": TrackEvent;
+ "change": Event;
+ "removetrack": TrackEvent;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackList) */
+interface TextTrackList extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackList/addtrack_event) */
+ onaddtrack: ((this: TextTrackList, ev: TrackEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackList/change_event) */
+ onchange: ((this: TextTrackList, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackList/removetrack_event) */
+ onremovetrack: ((this: TextTrackList, ev: TrackEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextTrackList/getTrackById) */
+ getTrackById(id: string): TextTrack | null;
+ addEventListener<K extends keyof TextTrackListEventMap>(type: K, listener: (this: TextTrackList, ev: TextTrackListEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof TextTrackListEventMap>(type: K, listener: (this: TextTrackList, ev: TextTrackListEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+ [index: number]: TextTrack;
+}
+
+declare var TextTrackList: {
+ prototype: TextTrackList;
+ new(): TextTrackList;
+};
+
+/**
+ * Used to represent a set of time ranges, primarily for the purpose of tracking which portions of media have been buffered when loading it for use by the <audio> and <video> elements.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TimeRanges)
+ */
+interface TimeRanges {
+ /**
+ * Returns the number of ranges in the object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TimeRanges/length)
+ */
+ readonly length: number;
+ /**
+ * Returns the time for the end of the range with the given index.
+ *
+ * Throws an "IndexSizeError" DOMException if the index is out of range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TimeRanges/end)
+ */
+ end(index: number): number;
+ /**
+ * Returns the time for the start of the range with the given index.
+ *
+ * Throws an "IndexSizeError" DOMException if the index is out of range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TimeRanges/start)
+ */
+ start(index: number): number;
+}
+
+declare var TimeRanges: {
+ prototype: TimeRanges;
+ new(): TimeRanges;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ToggleEvent) */
+interface ToggleEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ToggleEvent/newState) */
+ readonly newState: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ToggleEvent/oldState) */
+ readonly oldState: string;
+}
+
+declare var ToggleEvent: {
+ prototype: ToggleEvent;
+ new(type: string, eventInitDict?: ToggleEventInit): ToggleEvent;
+};
+
+/**
+ * A single contact point on a touch-sensitive device. The contact point is commonly a finger or stylus and the device may be a touchscreen or trackpad.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch)
+ */
+interface Touch {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/clientX) */
+ readonly clientX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/clientY) */
+ readonly clientY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/force) */
+ readonly force: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/identifier) */
+ readonly identifier: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/pageX) */
+ readonly pageX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/pageY) */
+ readonly pageY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/radiusX) */
+ readonly radiusX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/radiusY) */
+ readonly radiusY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/rotationAngle) */
+ readonly rotationAngle: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/screenX) */
+ readonly screenX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/screenY) */
+ readonly screenY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Touch/target) */
+ readonly target: EventTarget;
+}
+
+declare var Touch: {
+ prototype: Touch;
+ new(touchInitDict: TouchInit): Touch;
+};
+
+/**
+ * An event sent when the state of contacts with a touch-sensitive surface changes. This surface can be a touch screen or trackpad, for example. The event can describe one or more points of contact with the screen and includes support for detecting movement, addition and removal of contact points, and so forth.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchEvent)
+ */
+interface TouchEvent extends UIEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchEvent/altKey) */
+ readonly altKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchEvent/changedTouches) */
+ readonly changedTouches: TouchList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchEvent/ctrlKey) */
+ readonly ctrlKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchEvent/metaKey) */
+ readonly metaKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchEvent/shiftKey) */
+ readonly shiftKey: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchEvent/targetTouches) */
+ readonly targetTouches: TouchList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchEvent/touches) */
+ readonly touches: TouchList;
+}
+
+declare var TouchEvent: {
+ prototype: TouchEvent;
+ new(type: string, eventInitDict?: TouchEventInit): TouchEvent;
+};
+
+/**
+ * A list of contact points on a touch surface. For example, if the user has three fingers on the touch surface (such as a screen or trackpad), the corresponding TouchList object would have one Touch object for each finger, for a total of three entries.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchList)
+ */
+interface TouchList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TouchList/item) */
+ item(index: number): Touch | null;
+ [index: number]: Touch;
+}
+
+declare var TouchList: {
+ prototype: TouchList;
+ new(): TouchList;
+};
+
+/**
+ * The TrackEvent interface, part of the HTML DOM specification, is used for events which represent changes to the set of available tracks on an HTML media element; these events are addtrack and removetrack.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TrackEvent)
+ */
+interface TrackEvent extends Event {
+ /**
+ * Returns the track object (TextTrack, AudioTrack, or VideoTrack) to which the event relates.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TrackEvent/track)
+ */
+ readonly track: TextTrack | null;
+}
+
+declare var TrackEvent: {
+ prototype: TrackEvent;
+ new(type: string, eventInitDict?: TrackEventInit): TrackEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStream) */
+interface TransformStream<I = any, O = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStream/readable) */
+ readonly readable: ReadableStream<O>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStream/writable) */
+ readonly writable: WritableStream<I>;
+}
+
+declare var TransformStream: {
+ prototype: TransformStream;
+ new<I = any, O = any>(transformer?: Transformer<I, O>, writableStrategy?: QueuingStrategy<I>, readableStrategy?: QueuingStrategy<O>): TransformStream<I, O>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController) */
+interface TransformStreamDefaultController<O = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController/desiredSize) */
+ readonly desiredSize: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController/enqueue) */
+ enqueue(chunk?: O): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController/error) */
+ error(reason?: any): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController/terminate) */
+ terminate(): void;
+}
+
+declare var TransformStreamDefaultController: {
+ prototype: TransformStreamDefaultController;
+ new(): TransformStreamDefaultController;
+};
+
+/**
+ * Events providing information related to transitions.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransitionEvent)
+ */
+interface TransitionEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransitionEvent/elapsedTime) */
+ readonly elapsedTime: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransitionEvent/propertyName) */
+ readonly propertyName: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransitionEvent/pseudoElement) */
+ readonly pseudoElement: string;
+}
+
+declare var TransitionEvent: {
+ prototype: TransitionEvent;
+ new(type: string, transitionEventInitDict?: TransitionEventInit): TransitionEvent;
+};
+
+/**
+ * The nodes of a document subtree and a position within them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker)
+ */
+interface TreeWalker {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/currentNode) */
+ currentNode: Node;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/filter) */
+ readonly filter: NodeFilter | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/root) */
+ readonly root: Node;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/whatToShow) */
+ readonly whatToShow: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/firstChild) */
+ firstChild(): Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/lastChild) */
+ lastChild(): Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/nextNode) */
+ nextNode(): Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/nextSibling) */
+ nextSibling(): Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/parentNode) */
+ parentNode(): Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/previousNode) */
+ previousNode(): Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TreeWalker/previousSibling) */
+ previousSibling(): Node | null;
+}
+
+declare var TreeWalker: {
+ prototype: TreeWalker;
+ new(): TreeWalker;
+};
+
+/**
+ * Simple user interface events.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/UIEvent)
+ */
+interface UIEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/UIEvent/detail) */
+ readonly detail: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/UIEvent/view) */
+ readonly view: Window | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/UIEvent/which)
+ */
+ readonly which: number;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/UIEvent/initUIEvent)
+ */
+ initUIEvent(typeArg: string, bubblesArg?: boolean, cancelableArg?: boolean, viewArg?: Window | null, detailArg?: number): void;
+}
+
+declare var UIEvent: {
+ prototype: UIEvent;
+ new(type: string, eventInitDict?: UIEventInit): UIEvent;
+};
+
+/**
+ * The URL interface represents an object providing static methods used for creating object URLs.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL)
+ */
+interface URL {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/hash) */
+ hash: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/host) */
+ host: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/hostname) */
+ hostname: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/href) */
+ href: string;
+ toString(): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/origin) */
+ readonly origin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/password) */
+ password: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/pathname) */
+ pathname: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/port) */
+ port: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/protocol) */
+ protocol: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/search) */
+ search: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/searchParams) */
+ readonly searchParams: URLSearchParams;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/username) */
+ username: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/toJSON) */
+ toJSON(): string;
+}
+
+declare var URL: {
+ prototype: URL;
+ new(url: string | URL, base?: string | URL): URL;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/canParse_static) */
+ canParse(url: string | URL, base?: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/createObjectURL_static) */
+ createObjectURL(obj: Blob | MediaSource): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/revokeObjectURL_static) */
+ revokeObjectURL(url: string): void;
+};
+
+type webkitURL = URL;
+declare var webkitURL: typeof URL;
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams) */
+interface URLSearchParams {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/size) */
+ readonly size: number;
+ /**
+ * Appends a specified key/value pair as a new search parameter.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/append)
+ */
+ append(name: string, value: string): void;
+ /**
+ * Deletes the given search parameter, and its associated value, from the list of all search parameters.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/delete)
+ */
+ delete(name: string, value?: string): void;
+ /**
+ * Returns the first value associated to the given search parameter.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/get)
+ */
+ get(name: string): string | null;
+ /**
+ * Returns all the values association with a given search parameter.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/getAll)
+ */
+ getAll(name: string): string[];
+ /**
+ * Returns a Boolean indicating if such a search parameter exists.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/has)
+ */
+ has(name: string, value?: string): boolean;
+ /**
+ * Sets the value associated to a given search parameter to the given value. If there were several values, delete the others.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/set)
+ */
+ set(name: string, value: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/sort) */
+ sort(): void;
+ /** Returns a string containing a query string suitable for use in a URL. Does not include the question mark. */
+ toString(): string;
+ forEach(callbackfn: (value: string, key: string, parent: URLSearchParams) => void, thisArg?: any): void;
+}
+
+declare var URLSearchParams: {
+ prototype: URLSearchParams;
+ new(init?: string[][] | Record<string, string> | string | URLSearchParams): URLSearchParams;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/UserActivation) */
+interface UserActivation {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/UserActivation/hasBeenActive) */
+ readonly hasBeenActive: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/UserActivation/hasBeenActive) */
+ readonly isActive: boolean;
+}
+
+declare var UserActivation: {
+ prototype: UserActivation;
+ new(): UserActivation;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue) */
+interface VTTCue extends TextTrackCue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/align) */
+ align: AlignSetting;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/line) */
+ line: LineAndPositionSetting;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/lineAlign) */
+ lineAlign: LineAlignSetting;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/position) */
+ position: LineAndPositionSetting;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/positionAlign) */
+ positionAlign: PositionAlignSetting;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/region) */
+ region: VTTRegion | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/size) */
+ size: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/snapToLines) */
+ snapToLines: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/text) */
+ text: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/vertical) */
+ vertical: DirectionSetting;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTCue/getCueAsHTML) */
+ getCueAsHTML(): DocumentFragment;
+ addEventListener<K extends keyof TextTrackCueEventMap>(type: K, listener: (this: VTTCue, ev: TextTrackCueEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof TextTrackCueEventMap>(type: K, listener: (this: VTTCue, ev: TextTrackCueEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var VTTCue: {
+ prototype: VTTCue;
+ new(startTime: number, endTime: number, text: string): VTTCue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion) */
+interface VTTRegion {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion/id) */
+ id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion/lines) */
+ lines: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion/regionAnchorX) */
+ regionAnchorX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion/regionAnchorY) */
+ regionAnchorY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion/scroll) */
+ scroll: ScrollSetting;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion/viewportAnchorX) */
+ viewportAnchorX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion/viewportAnchorY) */
+ viewportAnchorY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VTTRegion/width) */
+ width: number;
+}
+
+declare var VTTRegion: {
+ prototype: VTTRegion;
+ new(): VTTRegion;
+};
+
+/**
+ * The validity states that an element can be in, with respect to constraint validation. Together, they help explain why an element's value fails to validate, if it's not valid.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState)
+ */
+interface ValidityState {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/badInput) */
+ readonly badInput: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/customError) */
+ readonly customError: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/patternMismatch) */
+ readonly patternMismatch: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/rangeOverflow) */
+ readonly rangeOverflow: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/rangeUnderflow) */
+ readonly rangeUnderflow: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/stepMismatch) */
+ readonly stepMismatch: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/tooLong) */
+ readonly tooLong: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/tooShort) */
+ readonly tooShort: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/typeMismatch) */
+ readonly typeMismatch: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/valid) */
+ readonly valid: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ValidityState/valueMissing) */
+ readonly valueMissing: boolean;
+}
+
+declare var ValidityState: {
+ prototype: ValidityState;
+ new(): ValidityState;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace) */
+interface VideoColorSpace {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/fullRange) */
+ readonly fullRange: boolean | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/matrix) */
+ readonly matrix: VideoMatrixCoefficients | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/primaries) */
+ readonly primaries: VideoColorPrimaries | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/transfer) */
+ readonly transfer: VideoTransferCharacteristics | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/toJSON) */
+ toJSON(): VideoColorSpaceInit;
+}
+
+declare var VideoColorSpace: {
+ prototype: VideoColorSpace;
+ new(init?: VideoColorSpaceInit): VideoColorSpace;
+};
+
+interface VideoDecoderEventMap {
+ "dequeue": Event;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder)
+ */
+interface VideoDecoder extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/decodeQueueSize) */
+ readonly decodeQueueSize: number;
+ ondequeue: ((this: VideoDecoder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/state) */
+ readonly state: CodecState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/configure) */
+ configure(config: VideoDecoderConfig): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/decode) */
+ decode(chunk: EncodedVideoChunk): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/flush) */
+ flush(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/reset) */
+ reset(): void;
+ addEventListener<K extends keyof VideoDecoderEventMap>(type: K, listener: (this: VideoDecoder, ev: VideoDecoderEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof VideoDecoderEventMap>(type: K, listener: (this: VideoDecoder, ev: VideoDecoderEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var VideoDecoder: {
+ prototype: VideoDecoder;
+ new(init: VideoDecoderInit): VideoDecoder;
+ isConfigSupported(config: VideoDecoderConfig): Promise<VideoDecoderSupport>;
+};
+
+interface VideoEncoderEventMap {
+ "dequeue": Event;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder)
+ */
+interface VideoEncoder extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/encodeQueueSize) */
+ readonly encodeQueueSize: number;
+ ondequeue: ((this: VideoEncoder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/state) */
+ readonly state: CodecState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/configure) */
+ configure(config: VideoEncoderConfig): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/encode) */
+ encode(frame: VideoFrame, options?: VideoEncoderEncodeOptions): void;
+ flush(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/reset) */
+ reset(): void;
+ addEventListener<K extends keyof VideoEncoderEventMap>(type: K, listener: (this: VideoEncoder, ev: VideoEncoderEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof VideoEncoderEventMap>(type: K, listener: (this: VideoEncoder, ev: VideoEncoderEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var VideoEncoder: {
+ prototype: VideoEncoder;
+ new(init: VideoEncoderInit): VideoEncoder;
+ isConfigSupported(config: VideoEncoderConfig): Promise<VideoEncoderSupport>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame) */
+interface VideoFrame {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/codedHeight) */
+ readonly codedHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/codedRect) */
+ readonly codedRect: DOMRectReadOnly | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/codedWidth) */
+ readonly codedWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/colorSpace) */
+ readonly colorSpace: VideoColorSpace;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/displayHeight) */
+ readonly displayHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/displayWidth) */
+ readonly displayWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/duration) */
+ readonly duration: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/format) */
+ readonly format: VideoPixelFormat | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/timestamp) */
+ readonly timestamp: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/visibleRect) */
+ readonly visibleRect: DOMRectReadOnly | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/allocationSize) */
+ allocationSize(options?: VideoFrameCopyToOptions): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/clone) */
+ clone(): VideoFrame;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/close) */
+ close(): void;
+ copyTo(destination: AllowSharedBufferSource, options?: VideoFrameCopyToOptions): Promise<PlaneLayout[]>;
+}
+
+declare var VideoFrame: {
+ prototype: VideoFrame;
+ new(image: CanvasImageSource, init?: VideoFrameInit): VideoFrame;
+ new(data: AllowSharedBufferSource, init: VideoFrameBufferInit): VideoFrame;
+};
+
+/**
+ * Returned by the HTMLVideoElement.getVideoPlaybackQuality() method and contains metrics that can be used to determine the playback quality of a video.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoPlaybackQuality)
+ */
+interface VideoPlaybackQuality {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoPlaybackQuality/corruptedVideoFrames)
+ */
+ readonly corruptedVideoFrames: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoPlaybackQuality/creationTime) */
+ readonly creationTime: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoPlaybackQuality/droppedVideoFrames) */
+ readonly droppedVideoFrames: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoPlaybackQuality/totalVideoFrames) */
+ readonly totalVideoFrames: number;
+}
+
+declare var VideoPlaybackQuality: {
+ prototype: VideoPlaybackQuality;
+ new(): VideoPlaybackQuality;
+};
+
+interface VisualViewportEventMap {
+ "resize": Event;
+ "scroll": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport) */
+interface VisualViewport extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/height) */
+ readonly height: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/offsetLeft) */
+ readonly offsetLeft: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/offsetTop) */
+ readonly offsetTop: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/resize_event) */
+ onresize: ((this: VisualViewport, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/scroll_event) */
+ onscroll: ((this: VisualViewport, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/pageLeft) */
+ readonly pageLeft: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/pageTop) */
+ readonly pageTop: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/scale) */
+ readonly scale: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VisualViewport/width) */
+ readonly width: number;
+ addEventListener<K extends keyof VisualViewportEventMap>(type: K, listener: (this: VisualViewport, ev: VisualViewportEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof VisualViewportEventMap>(type: K, listener: (this: VisualViewport, ev: VisualViewportEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var VisualViewport: {
+ prototype: VisualViewport;
+ new(): VisualViewport;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_color_buffer_float) */
+interface WEBGL_color_buffer_float {
+ readonly RGBA32F_EXT: 0x8814;
+ readonly FRAMEBUFFER_ATTACHMENT_COMPONENT_TYPE_EXT: 0x8211;
+ readonly UNSIGNED_NORMALIZED_EXT: 0x8C17;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_astc) */
+interface WEBGL_compressed_texture_astc {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_astc/getSupportedProfiles) */
+ getSupportedProfiles(): string[];
+ readonly COMPRESSED_RGBA_ASTC_4x4_KHR: 0x93B0;
+ readonly COMPRESSED_RGBA_ASTC_5x4_KHR: 0x93B1;
+ readonly COMPRESSED_RGBA_ASTC_5x5_KHR: 0x93B2;
+ readonly COMPRESSED_RGBA_ASTC_6x5_KHR: 0x93B3;
+ readonly COMPRESSED_RGBA_ASTC_6x6_KHR: 0x93B4;
+ readonly COMPRESSED_RGBA_ASTC_8x5_KHR: 0x93B5;
+ readonly COMPRESSED_RGBA_ASTC_8x6_KHR: 0x93B6;
+ readonly COMPRESSED_RGBA_ASTC_8x8_KHR: 0x93B7;
+ readonly COMPRESSED_RGBA_ASTC_10x5_KHR: 0x93B8;
+ readonly COMPRESSED_RGBA_ASTC_10x6_KHR: 0x93B9;
+ readonly COMPRESSED_RGBA_ASTC_10x8_KHR: 0x93BA;
+ readonly COMPRESSED_RGBA_ASTC_10x10_KHR: 0x93BB;
+ readonly COMPRESSED_RGBA_ASTC_12x10_KHR: 0x93BC;
+ readonly COMPRESSED_RGBA_ASTC_12x12_KHR: 0x93BD;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_4x4_KHR: 0x93D0;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_5x4_KHR: 0x93D1;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_5x5_KHR: 0x93D2;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_6x5_KHR: 0x93D3;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_6x6_KHR: 0x93D4;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_8x5_KHR: 0x93D5;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_8x6_KHR: 0x93D6;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_8x8_KHR: 0x93D7;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_10x5_KHR: 0x93D8;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_10x6_KHR: 0x93D9;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_10x8_KHR: 0x93DA;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_10x10_KHR: 0x93DB;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_12x10_KHR: 0x93DC;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_12x12_KHR: 0x93DD;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_etc) */
+interface WEBGL_compressed_texture_etc {
+ readonly COMPRESSED_R11_EAC: 0x9270;
+ readonly COMPRESSED_SIGNED_R11_EAC: 0x9271;
+ readonly COMPRESSED_RG11_EAC: 0x9272;
+ readonly COMPRESSED_SIGNED_RG11_EAC: 0x9273;
+ readonly COMPRESSED_RGB8_ETC2: 0x9274;
+ readonly COMPRESSED_SRGB8_ETC2: 0x9275;
+ readonly COMPRESSED_RGB8_PUNCHTHROUGH_ALPHA1_ETC2: 0x9276;
+ readonly COMPRESSED_SRGB8_PUNCHTHROUGH_ALPHA1_ETC2: 0x9277;
+ readonly COMPRESSED_RGBA8_ETC2_EAC: 0x9278;
+ readonly COMPRESSED_SRGB8_ALPHA8_ETC2_EAC: 0x9279;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_etc1) */
+interface WEBGL_compressed_texture_etc1 {
+ readonly COMPRESSED_RGB_ETC1_WEBGL: 0x8D64;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_pvrtc) */
+interface WEBGL_compressed_texture_pvrtc {
+ readonly COMPRESSED_RGB_PVRTC_4BPPV1_IMG: 0x8C00;
+ readonly COMPRESSED_RGB_PVRTC_2BPPV1_IMG: 0x8C01;
+ readonly COMPRESSED_RGBA_PVRTC_4BPPV1_IMG: 0x8C02;
+ readonly COMPRESSED_RGBA_PVRTC_2BPPV1_IMG: 0x8C03;
+}
+
+/**
+ * The WEBGL_compressed_texture_s3tc extension is part of the WebGL API and exposes four S3TC compressed texture formats.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_s3tc)
+ */
+interface WEBGL_compressed_texture_s3tc {
+ readonly COMPRESSED_RGB_S3TC_DXT1_EXT: 0x83F0;
+ readonly COMPRESSED_RGBA_S3TC_DXT1_EXT: 0x83F1;
+ readonly COMPRESSED_RGBA_S3TC_DXT3_EXT: 0x83F2;
+ readonly COMPRESSED_RGBA_S3TC_DXT5_EXT: 0x83F3;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_s3tc_srgb) */
+interface WEBGL_compressed_texture_s3tc_srgb {
+ readonly COMPRESSED_SRGB_S3TC_DXT1_EXT: 0x8C4C;
+ readonly COMPRESSED_SRGB_ALPHA_S3TC_DXT1_EXT: 0x8C4D;
+ readonly COMPRESSED_SRGB_ALPHA_S3TC_DXT3_EXT: 0x8C4E;
+ readonly COMPRESSED_SRGB_ALPHA_S3TC_DXT5_EXT: 0x8C4F;
+}
+
+/**
+ * The WEBGL_debug_renderer_info extension is part of the WebGL API and exposes two constants with information about the graphics driver for debugging purposes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_debug_renderer_info)
+ */
+interface WEBGL_debug_renderer_info {
+ readonly UNMASKED_VENDOR_WEBGL: 0x9245;
+ readonly UNMASKED_RENDERER_WEBGL: 0x9246;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_debug_shaders) */
+interface WEBGL_debug_shaders {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_debug_shaders/getTranslatedShaderSource) */
+ getTranslatedShaderSource(shader: WebGLShader): string;
+}
+
+/**
+ * The WEBGL_depth_texture extension is part of the WebGL API and defines 2D depth and depth-stencil textures.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_depth_texture)
+ */
+interface WEBGL_depth_texture {
+ readonly UNSIGNED_INT_24_8_WEBGL: 0x84FA;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_draw_buffers) */
+interface WEBGL_draw_buffers {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_draw_buffers/drawBuffersWEBGL) */
+ drawBuffersWEBGL(buffers: GLenum[]): void;
+ readonly COLOR_ATTACHMENT0_WEBGL: 0x8CE0;
+ readonly COLOR_ATTACHMENT1_WEBGL: 0x8CE1;
+ readonly COLOR_ATTACHMENT2_WEBGL: 0x8CE2;
+ readonly COLOR_ATTACHMENT3_WEBGL: 0x8CE3;
+ readonly COLOR_ATTACHMENT4_WEBGL: 0x8CE4;
+ readonly COLOR_ATTACHMENT5_WEBGL: 0x8CE5;
+ readonly COLOR_ATTACHMENT6_WEBGL: 0x8CE6;
+ readonly COLOR_ATTACHMENT7_WEBGL: 0x8CE7;
+ readonly COLOR_ATTACHMENT8_WEBGL: 0x8CE8;
+ readonly COLOR_ATTACHMENT9_WEBGL: 0x8CE9;
+ readonly COLOR_ATTACHMENT10_WEBGL: 0x8CEA;
+ readonly COLOR_ATTACHMENT11_WEBGL: 0x8CEB;
+ readonly COLOR_ATTACHMENT12_WEBGL: 0x8CEC;
+ readonly COLOR_ATTACHMENT13_WEBGL: 0x8CED;
+ readonly COLOR_ATTACHMENT14_WEBGL: 0x8CEE;
+ readonly COLOR_ATTACHMENT15_WEBGL: 0x8CEF;
+ readonly DRAW_BUFFER0_WEBGL: 0x8825;
+ readonly DRAW_BUFFER1_WEBGL: 0x8826;
+ readonly DRAW_BUFFER2_WEBGL: 0x8827;
+ readonly DRAW_BUFFER3_WEBGL: 0x8828;
+ readonly DRAW_BUFFER4_WEBGL: 0x8829;
+ readonly DRAW_BUFFER5_WEBGL: 0x882A;
+ readonly DRAW_BUFFER6_WEBGL: 0x882B;
+ readonly DRAW_BUFFER7_WEBGL: 0x882C;
+ readonly DRAW_BUFFER8_WEBGL: 0x882D;
+ readonly DRAW_BUFFER9_WEBGL: 0x882E;
+ readonly DRAW_BUFFER10_WEBGL: 0x882F;
+ readonly DRAW_BUFFER11_WEBGL: 0x8830;
+ readonly DRAW_BUFFER12_WEBGL: 0x8831;
+ readonly DRAW_BUFFER13_WEBGL: 0x8832;
+ readonly DRAW_BUFFER14_WEBGL: 0x8833;
+ readonly DRAW_BUFFER15_WEBGL: 0x8834;
+ readonly MAX_COLOR_ATTACHMENTS_WEBGL: 0x8CDF;
+ readonly MAX_DRAW_BUFFERS_WEBGL: 0x8824;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_lose_context) */
+interface WEBGL_lose_context {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_lose_context/loseContext) */
+ loseContext(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_lose_context/restoreContext) */
+ restoreContext(): void;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw) */
+interface WEBGL_multi_draw {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawArraysInstancedWEBGL) */
+ multiDrawArraysInstancedWEBGL(mode: GLenum, firstsList: Int32Array | GLint[], firstsOffset: number, countsList: Int32Array | GLsizei[], countsOffset: number, instanceCountsList: Int32Array | GLsizei[], instanceCountsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawArraysWEBGL) */
+ multiDrawArraysWEBGL(mode: GLenum, firstsList: Int32Array | GLint[], firstsOffset: number, countsList: Int32Array | GLsizei[], countsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawElementsInstancedWEBGL) */
+ multiDrawElementsInstancedWEBGL(mode: GLenum, countsList: Int32Array | GLsizei[], countsOffset: number, type: GLenum, offsetsList: Int32Array | GLsizei[], offsetsOffset: number, instanceCountsList: Int32Array | GLsizei[], instanceCountsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawElementsWEBGL) */
+ multiDrawElementsWEBGL(mode: GLenum, countsList: Int32Array | GLsizei[], countsOffset: number, type: GLenum, offsetsList: Int32Array | GLsizei[], offsetsOffset: number, drawcount: GLsizei): void;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WakeLock)
+ */
+interface WakeLock {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WakeLock/request) */
+ request(type?: WakeLockType): Promise<WakeLockSentinel>;
+}
+
+declare var WakeLock: {
+ prototype: WakeLock;
+ new(): WakeLock;
+};
+
+interface WakeLockSentinelEventMap {
+ "release": Event;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WakeLockSentinel)
+ */
+interface WakeLockSentinel extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WakeLockSentinel/release_event) */
+ onrelease: ((this: WakeLockSentinel, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WakeLockSentinel/released) */
+ readonly released: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WakeLockSentinel/type) */
+ readonly type: WakeLockType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WakeLockSentinel/release) */
+ release(): Promise<void>;
+ addEventListener<K extends keyof WakeLockSentinelEventMap>(type: K, listener: (this: WakeLockSentinel, ev: WakeLockSentinelEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof WakeLockSentinelEventMap>(type: K, listener: (this: WakeLockSentinel, ev: WakeLockSentinelEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var WakeLockSentinel: {
+ prototype: WakeLockSentinel;
+ new(): WakeLockSentinel;
+};
+
+/**
+ * A WaveShaperNode always has exactly one input and one output.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WaveShaperNode)
+ */
+interface WaveShaperNode extends AudioNode {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WaveShaperNode/curve) */
+ curve: Float32Array | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WaveShaperNode/oversample) */
+ oversample: OverSampleType;
+}
+
+declare var WaveShaperNode: {
+ prototype: WaveShaperNode;
+ new(context: BaseAudioContext, options?: WaveShaperOptions): WaveShaperNode;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext) */
+interface WebGL2RenderingContext extends WebGL2RenderingContextBase, WebGL2RenderingContextOverloads, WebGLRenderingContextBase {
+}
+
+declare var WebGL2RenderingContext: {
+ prototype: WebGL2RenderingContext;
+ new(): WebGL2RenderingContext;
+ readonly READ_BUFFER: 0x0C02;
+ readonly UNPACK_ROW_LENGTH: 0x0CF2;
+ readonly UNPACK_SKIP_ROWS: 0x0CF3;
+ readonly UNPACK_SKIP_PIXELS: 0x0CF4;
+ readonly PACK_ROW_LENGTH: 0x0D02;
+ readonly PACK_SKIP_ROWS: 0x0D03;
+ readonly PACK_SKIP_PIXELS: 0x0D04;
+ readonly COLOR: 0x1800;
+ readonly DEPTH: 0x1801;
+ readonly STENCIL: 0x1802;
+ readonly RED: 0x1903;
+ readonly RGB8: 0x8051;
+ readonly RGB10_A2: 0x8059;
+ readonly TEXTURE_BINDING_3D: 0x806A;
+ readonly UNPACK_SKIP_IMAGES: 0x806D;
+ readonly UNPACK_IMAGE_HEIGHT: 0x806E;
+ readonly TEXTURE_3D: 0x806F;
+ readonly TEXTURE_WRAP_R: 0x8072;
+ readonly MAX_3D_TEXTURE_SIZE: 0x8073;
+ readonly UNSIGNED_INT_2_10_10_10_REV: 0x8368;
+ readonly MAX_ELEMENTS_VERTICES: 0x80E8;
+ readonly MAX_ELEMENTS_INDICES: 0x80E9;
+ readonly TEXTURE_MIN_LOD: 0x813A;
+ readonly TEXTURE_MAX_LOD: 0x813B;
+ readonly TEXTURE_BASE_LEVEL: 0x813C;
+ readonly TEXTURE_MAX_LEVEL: 0x813D;
+ readonly MIN: 0x8007;
+ readonly MAX: 0x8008;
+ readonly DEPTH_COMPONENT24: 0x81A6;
+ readonly MAX_TEXTURE_LOD_BIAS: 0x84FD;
+ readonly TEXTURE_COMPARE_MODE: 0x884C;
+ readonly TEXTURE_COMPARE_FUNC: 0x884D;
+ readonly CURRENT_QUERY: 0x8865;
+ readonly QUERY_RESULT: 0x8866;
+ readonly QUERY_RESULT_AVAILABLE: 0x8867;
+ readonly STREAM_READ: 0x88E1;
+ readonly STREAM_COPY: 0x88E2;
+ readonly STATIC_READ: 0x88E5;
+ readonly STATIC_COPY: 0x88E6;
+ readonly DYNAMIC_READ: 0x88E9;
+ readonly DYNAMIC_COPY: 0x88EA;
+ readonly MAX_DRAW_BUFFERS: 0x8824;
+ readonly DRAW_BUFFER0: 0x8825;
+ readonly DRAW_BUFFER1: 0x8826;
+ readonly DRAW_BUFFER2: 0x8827;
+ readonly DRAW_BUFFER3: 0x8828;
+ readonly DRAW_BUFFER4: 0x8829;
+ readonly DRAW_BUFFER5: 0x882A;
+ readonly DRAW_BUFFER6: 0x882B;
+ readonly DRAW_BUFFER7: 0x882C;
+ readonly DRAW_BUFFER8: 0x882D;
+ readonly DRAW_BUFFER9: 0x882E;
+ readonly DRAW_BUFFER10: 0x882F;
+ readonly DRAW_BUFFER11: 0x8830;
+ readonly DRAW_BUFFER12: 0x8831;
+ readonly DRAW_BUFFER13: 0x8832;
+ readonly DRAW_BUFFER14: 0x8833;
+ readonly DRAW_BUFFER15: 0x8834;
+ readonly MAX_FRAGMENT_UNIFORM_COMPONENTS: 0x8B49;
+ readonly MAX_VERTEX_UNIFORM_COMPONENTS: 0x8B4A;
+ readonly SAMPLER_3D: 0x8B5F;
+ readonly SAMPLER_2D_SHADOW: 0x8B62;
+ readonly FRAGMENT_SHADER_DERIVATIVE_HINT: 0x8B8B;
+ readonly PIXEL_PACK_BUFFER: 0x88EB;
+ readonly PIXEL_UNPACK_BUFFER: 0x88EC;
+ readonly PIXEL_PACK_BUFFER_BINDING: 0x88ED;
+ readonly PIXEL_UNPACK_BUFFER_BINDING: 0x88EF;
+ readonly FLOAT_MAT2x3: 0x8B65;
+ readonly FLOAT_MAT2x4: 0x8B66;
+ readonly FLOAT_MAT3x2: 0x8B67;
+ readonly FLOAT_MAT3x4: 0x8B68;
+ readonly FLOAT_MAT4x2: 0x8B69;
+ readonly FLOAT_MAT4x3: 0x8B6A;
+ readonly SRGB: 0x8C40;
+ readonly SRGB8: 0x8C41;
+ readonly SRGB8_ALPHA8: 0x8C43;
+ readonly COMPARE_REF_TO_TEXTURE: 0x884E;
+ readonly RGBA32F: 0x8814;
+ readonly RGB32F: 0x8815;
+ readonly RGBA16F: 0x881A;
+ readonly RGB16F: 0x881B;
+ readonly VERTEX_ATTRIB_ARRAY_INTEGER: 0x88FD;
+ readonly MAX_ARRAY_TEXTURE_LAYERS: 0x88FF;
+ readonly MIN_PROGRAM_TEXEL_OFFSET: 0x8904;
+ readonly MAX_PROGRAM_TEXEL_OFFSET: 0x8905;
+ readonly MAX_VARYING_COMPONENTS: 0x8B4B;
+ readonly TEXTURE_2D_ARRAY: 0x8C1A;
+ readonly TEXTURE_BINDING_2D_ARRAY: 0x8C1D;
+ readonly R11F_G11F_B10F: 0x8C3A;
+ readonly UNSIGNED_INT_10F_11F_11F_REV: 0x8C3B;
+ readonly RGB9_E5: 0x8C3D;
+ readonly UNSIGNED_INT_5_9_9_9_REV: 0x8C3E;
+ readonly TRANSFORM_FEEDBACK_BUFFER_MODE: 0x8C7F;
+ readonly MAX_TRANSFORM_FEEDBACK_SEPARATE_COMPONENTS: 0x8C80;
+ readonly TRANSFORM_FEEDBACK_VARYINGS: 0x8C83;
+ readonly TRANSFORM_FEEDBACK_BUFFER_START: 0x8C84;
+ readonly TRANSFORM_FEEDBACK_BUFFER_SIZE: 0x8C85;
+ readonly TRANSFORM_FEEDBACK_PRIMITIVES_WRITTEN: 0x8C88;
+ readonly RASTERIZER_DISCARD: 0x8C89;
+ readonly MAX_TRANSFORM_FEEDBACK_INTERLEAVED_COMPONENTS: 0x8C8A;
+ readonly MAX_TRANSFORM_FEEDBACK_SEPARATE_ATTRIBS: 0x8C8B;
+ readonly INTERLEAVED_ATTRIBS: 0x8C8C;
+ readonly SEPARATE_ATTRIBS: 0x8C8D;
+ readonly TRANSFORM_FEEDBACK_BUFFER: 0x8C8E;
+ readonly TRANSFORM_FEEDBACK_BUFFER_BINDING: 0x8C8F;
+ readonly RGBA32UI: 0x8D70;
+ readonly RGB32UI: 0x8D71;
+ readonly RGBA16UI: 0x8D76;
+ readonly RGB16UI: 0x8D77;
+ readonly RGBA8UI: 0x8D7C;
+ readonly RGB8UI: 0x8D7D;
+ readonly RGBA32I: 0x8D82;
+ readonly RGB32I: 0x8D83;
+ readonly RGBA16I: 0x8D88;
+ readonly RGB16I: 0x8D89;
+ readonly RGBA8I: 0x8D8E;
+ readonly RGB8I: 0x8D8F;
+ readonly RED_INTEGER: 0x8D94;
+ readonly RGB_INTEGER: 0x8D98;
+ readonly RGBA_INTEGER: 0x8D99;
+ readonly SAMPLER_2D_ARRAY: 0x8DC1;
+ readonly SAMPLER_2D_ARRAY_SHADOW: 0x8DC4;
+ readonly SAMPLER_CUBE_SHADOW: 0x8DC5;
+ readonly UNSIGNED_INT_VEC2: 0x8DC6;
+ readonly UNSIGNED_INT_VEC3: 0x8DC7;
+ readonly UNSIGNED_INT_VEC4: 0x8DC8;
+ readonly INT_SAMPLER_2D: 0x8DCA;
+ readonly INT_SAMPLER_3D: 0x8DCB;
+ readonly INT_SAMPLER_CUBE: 0x8DCC;
+ readonly INT_SAMPLER_2D_ARRAY: 0x8DCF;
+ readonly UNSIGNED_INT_SAMPLER_2D: 0x8DD2;
+ readonly UNSIGNED_INT_SAMPLER_3D: 0x8DD3;
+ readonly UNSIGNED_INT_SAMPLER_CUBE: 0x8DD4;
+ readonly UNSIGNED_INT_SAMPLER_2D_ARRAY: 0x8DD7;
+ readonly DEPTH_COMPONENT32F: 0x8CAC;
+ readonly DEPTH32F_STENCIL8: 0x8CAD;
+ readonly FLOAT_32_UNSIGNED_INT_24_8_REV: 0x8DAD;
+ readonly FRAMEBUFFER_ATTACHMENT_COLOR_ENCODING: 0x8210;
+ readonly FRAMEBUFFER_ATTACHMENT_COMPONENT_TYPE: 0x8211;
+ readonly FRAMEBUFFER_ATTACHMENT_RED_SIZE: 0x8212;
+ readonly FRAMEBUFFER_ATTACHMENT_GREEN_SIZE: 0x8213;
+ readonly FRAMEBUFFER_ATTACHMENT_BLUE_SIZE: 0x8214;
+ readonly FRAMEBUFFER_ATTACHMENT_ALPHA_SIZE: 0x8215;
+ readonly FRAMEBUFFER_ATTACHMENT_DEPTH_SIZE: 0x8216;
+ readonly FRAMEBUFFER_ATTACHMENT_STENCIL_SIZE: 0x8217;
+ readonly FRAMEBUFFER_DEFAULT: 0x8218;
+ readonly UNSIGNED_INT_24_8: 0x84FA;
+ readonly DEPTH24_STENCIL8: 0x88F0;
+ readonly UNSIGNED_NORMALIZED: 0x8C17;
+ readonly DRAW_FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly READ_FRAMEBUFFER: 0x8CA8;
+ readonly DRAW_FRAMEBUFFER: 0x8CA9;
+ readonly READ_FRAMEBUFFER_BINDING: 0x8CAA;
+ readonly RENDERBUFFER_SAMPLES: 0x8CAB;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LAYER: 0x8CD4;
+ readonly MAX_COLOR_ATTACHMENTS: 0x8CDF;
+ readonly COLOR_ATTACHMENT1: 0x8CE1;
+ readonly COLOR_ATTACHMENT2: 0x8CE2;
+ readonly COLOR_ATTACHMENT3: 0x8CE3;
+ readonly COLOR_ATTACHMENT4: 0x8CE4;
+ readonly COLOR_ATTACHMENT5: 0x8CE5;
+ readonly COLOR_ATTACHMENT6: 0x8CE6;
+ readonly COLOR_ATTACHMENT7: 0x8CE7;
+ readonly COLOR_ATTACHMENT8: 0x8CE8;
+ readonly COLOR_ATTACHMENT9: 0x8CE9;
+ readonly COLOR_ATTACHMENT10: 0x8CEA;
+ readonly COLOR_ATTACHMENT11: 0x8CEB;
+ readonly COLOR_ATTACHMENT12: 0x8CEC;
+ readonly COLOR_ATTACHMENT13: 0x8CED;
+ readonly COLOR_ATTACHMENT14: 0x8CEE;
+ readonly COLOR_ATTACHMENT15: 0x8CEF;
+ readonly FRAMEBUFFER_INCOMPLETE_MULTISAMPLE: 0x8D56;
+ readonly MAX_SAMPLES: 0x8D57;
+ readonly HALF_FLOAT: 0x140B;
+ readonly RG: 0x8227;
+ readonly RG_INTEGER: 0x8228;
+ readonly R8: 0x8229;
+ readonly RG8: 0x822B;
+ readonly R16F: 0x822D;
+ readonly R32F: 0x822E;
+ readonly RG16F: 0x822F;
+ readonly RG32F: 0x8230;
+ readonly R8I: 0x8231;
+ readonly R8UI: 0x8232;
+ readonly R16I: 0x8233;
+ readonly R16UI: 0x8234;
+ readonly R32I: 0x8235;
+ readonly R32UI: 0x8236;
+ readonly RG8I: 0x8237;
+ readonly RG8UI: 0x8238;
+ readonly RG16I: 0x8239;
+ readonly RG16UI: 0x823A;
+ readonly RG32I: 0x823B;
+ readonly RG32UI: 0x823C;
+ readonly VERTEX_ARRAY_BINDING: 0x85B5;
+ readonly R8_SNORM: 0x8F94;
+ readonly RG8_SNORM: 0x8F95;
+ readonly RGB8_SNORM: 0x8F96;
+ readonly RGBA8_SNORM: 0x8F97;
+ readonly SIGNED_NORMALIZED: 0x8F9C;
+ readonly COPY_READ_BUFFER: 0x8F36;
+ readonly COPY_WRITE_BUFFER: 0x8F37;
+ readonly COPY_READ_BUFFER_BINDING: 0x8F36;
+ readonly COPY_WRITE_BUFFER_BINDING: 0x8F37;
+ readonly UNIFORM_BUFFER: 0x8A11;
+ readonly UNIFORM_BUFFER_BINDING: 0x8A28;
+ readonly UNIFORM_BUFFER_START: 0x8A29;
+ readonly UNIFORM_BUFFER_SIZE: 0x8A2A;
+ readonly MAX_VERTEX_UNIFORM_BLOCKS: 0x8A2B;
+ readonly MAX_FRAGMENT_UNIFORM_BLOCKS: 0x8A2D;
+ readonly MAX_COMBINED_UNIFORM_BLOCKS: 0x8A2E;
+ readonly MAX_UNIFORM_BUFFER_BINDINGS: 0x8A2F;
+ readonly MAX_UNIFORM_BLOCK_SIZE: 0x8A30;
+ readonly MAX_COMBINED_VERTEX_UNIFORM_COMPONENTS: 0x8A31;
+ readonly MAX_COMBINED_FRAGMENT_UNIFORM_COMPONENTS: 0x8A33;
+ readonly UNIFORM_BUFFER_OFFSET_ALIGNMENT: 0x8A34;
+ readonly ACTIVE_UNIFORM_BLOCKS: 0x8A36;
+ readonly UNIFORM_TYPE: 0x8A37;
+ readonly UNIFORM_SIZE: 0x8A38;
+ readonly UNIFORM_BLOCK_INDEX: 0x8A3A;
+ readonly UNIFORM_OFFSET: 0x8A3B;
+ readonly UNIFORM_ARRAY_STRIDE: 0x8A3C;
+ readonly UNIFORM_MATRIX_STRIDE: 0x8A3D;
+ readonly UNIFORM_IS_ROW_MAJOR: 0x8A3E;
+ readonly UNIFORM_BLOCK_BINDING: 0x8A3F;
+ readonly UNIFORM_BLOCK_DATA_SIZE: 0x8A40;
+ readonly UNIFORM_BLOCK_ACTIVE_UNIFORMS: 0x8A42;
+ readonly UNIFORM_BLOCK_ACTIVE_UNIFORM_INDICES: 0x8A43;
+ readonly UNIFORM_BLOCK_REFERENCED_BY_VERTEX_SHADER: 0x8A44;
+ readonly UNIFORM_BLOCK_REFERENCED_BY_FRAGMENT_SHADER: 0x8A46;
+ readonly INVALID_INDEX: 0xFFFFFFFF;
+ readonly MAX_VERTEX_OUTPUT_COMPONENTS: 0x9122;
+ readonly MAX_FRAGMENT_INPUT_COMPONENTS: 0x9125;
+ readonly MAX_SERVER_WAIT_TIMEOUT: 0x9111;
+ readonly OBJECT_TYPE: 0x9112;
+ readonly SYNC_CONDITION: 0x9113;
+ readonly SYNC_STATUS: 0x9114;
+ readonly SYNC_FLAGS: 0x9115;
+ readonly SYNC_FENCE: 0x9116;
+ readonly SYNC_GPU_COMMANDS_COMPLETE: 0x9117;
+ readonly UNSIGNALED: 0x9118;
+ readonly SIGNALED: 0x9119;
+ readonly ALREADY_SIGNALED: 0x911A;
+ readonly TIMEOUT_EXPIRED: 0x911B;
+ readonly CONDITION_SATISFIED: 0x911C;
+ readonly WAIT_FAILED: 0x911D;
+ readonly SYNC_FLUSH_COMMANDS_BIT: 0x00000001;
+ readonly VERTEX_ATTRIB_ARRAY_DIVISOR: 0x88FE;
+ readonly ANY_SAMPLES_PASSED: 0x8C2F;
+ readonly ANY_SAMPLES_PASSED_CONSERVATIVE: 0x8D6A;
+ readonly SAMPLER_BINDING: 0x8919;
+ readonly RGB10_A2UI: 0x906F;
+ readonly INT_2_10_10_10_REV: 0x8D9F;
+ readonly TRANSFORM_FEEDBACK: 0x8E22;
+ readonly TRANSFORM_FEEDBACK_PAUSED: 0x8E23;
+ readonly TRANSFORM_FEEDBACK_ACTIVE: 0x8E24;
+ readonly TRANSFORM_FEEDBACK_BINDING: 0x8E25;
+ readonly TEXTURE_IMMUTABLE_FORMAT: 0x912F;
+ readonly MAX_ELEMENT_INDEX: 0x8D6B;
+ readonly TEXTURE_IMMUTABLE_LEVELS: 0x82DF;
+ readonly TIMEOUT_IGNORED: -1;
+ readonly MAX_CLIENT_WAIT_TIMEOUT_WEBGL: 0x9247;
+ readonly DEPTH_BUFFER_BIT: 0x00000100;
+ readonly STENCIL_BUFFER_BIT: 0x00000400;
+ readonly COLOR_BUFFER_BIT: 0x00004000;
+ readonly POINTS: 0x0000;
+ readonly LINES: 0x0001;
+ readonly LINE_LOOP: 0x0002;
+ readonly LINE_STRIP: 0x0003;
+ readonly TRIANGLES: 0x0004;
+ readonly TRIANGLE_STRIP: 0x0005;
+ readonly TRIANGLE_FAN: 0x0006;
+ readonly ZERO: 0;
+ readonly ONE: 1;
+ readonly SRC_COLOR: 0x0300;
+ readonly ONE_MINUS_SRC_COLOR: 0x0301;
+ readonly SRC_ALPHA: 0x0302;
+ readonly ONE_MINUS_SRC_ALPHA: 0x0303;
+ readonly DST_ALPHA: 0x0304;
+ readonly ONE_MINUS_DST_ALPHA: 0x0305;
+ readonly DST_COLOR: 0x0306;
+ readonly ONE_MINUS_DST_COLOR: 0x0307;
+ readonly SRC_ALPHA_SATURATE: 0x0308;
+ readonly FUNC_ADD: 0x8006;
+ readonly BLEND_EQUATION: 0x8009;
+ readonly BLEND_EQUATION_RGB: 0x8009;
+ readonly BLEND_EQUATION_ALPHA: 0x883D;
+ readonly FUNC_SUBTRACT: 0x800A;
+ readonly FUNC_REVERSE_SUBTRACT: 0x800B;
+ readonly BLEND_DST_RGB: 0x80C8;
+ readonly BLEND_SRC_RGB: 0x80C9;
+ readonly BLEND_DST_ALPHA: 0x80CA;
+ readonly BLEND_SRC_ALPHA: 0x80CB;
+ readonly CONSTANT_COLOR: 0x8001;
+ readonly ONE_MINUS_CONSTANT_COLOR: 0x8002;
+ readonly CONSTANT_ALPHA: 0x8003;
+ readonly ONE_MINUS_CONSTANT_ALPHA: 0x8004;
+ readonly BLEND_COLOR: 0x8005;
+ readonly ARRAY_BUFFER: 0x8892;
+ readonly ELEMENT_ARRAY_BUFFER: 0x8893;
+ readonly ARRAY_BUFFER_BINDING: 0x8894;
+ readonly ELEMENT_ARRAY_BUFFER_BINDING: 0x8895;
+ readonly STREAM_DRAW: 0x88E0;
+ readonly STATIC_DRAW: 0x88E4;
+ readonly DYNAMIC_DRAW: 0x88E8;
+ readonly BUFFER_SIZE: 0x8764;
+ readonly BUFFER_USAGE: 0x8765;
+ readonly CURRENT_VERTEX_ATTRIB: 0x8626;
+ readonly FRONT: 0x0404;
+ readonly BACK: 0x0405;
+ readonly FRONT_AND_BACK: 0x0408;
+ readonly CULL_FACE: 0x0B44;
+ readonly BLEND: 0x0BE2;
+ readonly DITHER: 0x0BD0;
+ readonly STENCIL_TEST: 0x0B90;
+ readonly DEPTH_TEST: 0x0B71;
+ readonly SCISSOR_TEST: 0x0C11;
+ readonly POLYGON_OFFSET_FILL: 0x8037;
+ readonly SAMPLE_ALPHA_TO_COVERAGE: 0x809E;
+ readonly SAMPLE_COVERAGE: 0x80A0;
+ readonly NO_ERROR: 0;
+ readonly INVALID_ENUM: 0x0500;
+ readonly INVALID_VALUE: 0x0501;
+ readonly INVALID_OPERATION: 0x0502;
+ readonly OUT_OF_MEMORY: 0x0505;
+ readonly CW: 0x0900;
+ readonly CCW: 0x0901;
+ readonly LINE_WIDTH: 0x0B21;
+ readonly ALIASED_POINT_SIZE_RANGE: 0x846D;
+ readonly ALIASED_LINE_WIDTH_RANGE: 0x846E;
+ readonly CULL_FACE_MODE: 0x0B45;
+ readonly FRONT_FACE: 0x0B46;
+ readonly DEPTH_RANGE: 0x0B70;
+ readonly DEPTH_WRITEMASK: 0x0B72;
+ readonly DEPTH_CLEAR_VALUE: 0x0B73;
+ readonly DEPTH_FUNC: 0x0B74;
+ readonly STENCIL_CLEAR_VALUE: 0x0B91;
+ readonly STENCIL_FUNC: 0x0B92;
+ readonly STENCIL_FAIL: 0x0B94;
+ readonly STENCIL_PASS_DEPTH_FAIL: 0x0B95;
+ readonly STENCIL_PASS_DEPTH_PASS: 0x0B96;
+ readonly STENCIL_REF: 0x0B97;
+ readonly STENCIL_VALUE_MASK: 0x0B93;
+ readonly STENCIL_WRITEMASK: 0x0B98;
+ readonly STENCIL_BACK_FUNC: 0x8800;
+ readonly STENCIL_BACK_FAIL: 0x8801;
+ readonly STENCIL_BACK_PASS_DEPTH_FAIL: 0x8802;
+ readonly STENCIL_BACK_PASS_DEPTH_PASS: 0x8803;
+ readonly STENCIL_BACK_REF: 0x8CA3;
+ readonly STENCIL_BACK_VALUE_MASK: 0x8CA4;
+ readonly STENCIL_BACK_WRITEMASK: 0x8CA5;
+ readonly VIEWPORT: 0x0BA2;
+ readonly SCISSOR_BOX: 0x0C10;
+ readonly COLOR_CLEAR_VALUE: 0x0C22;
+ readonly COLOR_WRITEMASK: 0x0C23;
+ readonly UNPACK_ALIGNMENT: 0x0CF5;
+ readonly PACK_ALIGNMENT: 0x0D05;
+ readonly MAX_TEXTURE_SIZE: 0x0D33;
+ readonly MAX_VIEWPORT_DIMS: 0x0D3A;
+ readonly SUBPIXEL_BITS: 0x0D50;
+ readonly RED_BITS: 0x0D52;
+ readonly GREEN_BITS: 0x0D53;
+ readonly BLUE_BITS: 0x0D54;
+ readonly ALPHA_BITS: 0x0D55;
+ readonly DEPTH_BITS: 0x0D56;
+ readonly STENCIL_BITS: 0x0D57;
+ readonly POLYGON_OFFSET_UNITS: 0x2A00;
+ readonly POLYGON_OFFSET_FACTOR: 0x8038;
+ readonly TEXTURE_BINDING_2D: 0x8069;
+ readonly SAMPLE_BUFFERS: 0x80A8;
+ readonly SAMPLES: 0x80A9;
+ readonly SAMPLE_COVERAGE_VALUE: 0x80AA;
+ readonly SAMPLE_COVERAGE_INVERT: 0x80AB;
+ readonly COMPRESSED_TEXTURE_FORMATS: 0x86A3;
+ readonly DONT_CARE: 0x1100;
+ readonly FASTEST: 0x1101;
+ readonly NICEST: 0x1102;
+ readonly GENERATE_MIPMAP_HINT: 0x8192;
+ readonly BYTE: 0x1400;
+ readonly UNSIGNED_BYTE: 0x1401;
+ readonly SHORT: 0x1402;
+ readonly UNSIGNED_SHORT: 0x1403;
+ readonly INT: 0x1404;
+ readonly UNSIGNED_INT: 0x1405;
+ readonly FLOAT: 0x1406;
+ readonly DEPTH_COMPONENT: 0x1902;
+ readonly ALPHA: 0x1906;
+ readonly RGB: 0x1907;
+ readonly RGBA: 0x1908;
+ readonly LUMINANCE: 0x1909;
+ readonly LUMINANCE_ALPHA: 0x190A;
+ readonly UNSIGNED_SHORT_4_4_4_4: 0x8033;
+ readonly UNSIGNED_SHORT_5_5_5_1: 0x8034;
+ readonly UNSIGNED_SHORT_5_6_5: 0x8363;
+ readonly FRAGMENT_SHADER: 0x8B30;
+ readonly VERTEX_SHADER: 0x8B31;
+ readonly MAX_VERTEX_ATTRIBS: 0x8869;
+ readonly MAX_VERTEX_UNIFORM_VECTORS: 0x8DFB;
+ readonly MAX_VARYING_VECTORS: 0x8DFC;
+ readonly MAX_COMBINED_TEXTURE_IMAGE_UNITS: 0x8B4D;
+ readonly MAX_VERTEX_TEXTURE_IMAGE_UNITS: 0x8B4C;
+ readonly MAX_TEXTURE_IMAGE_UNITS: 0x8872;
+ readonly MAX_FRAGMENT_UNIFORM_VECTORS: 0x8DFD;
+ readonly SHADER_TYPE: 0x8B4F;
+ readonly DELETE_STATUS: 0x8B80;
+ readonly LINK_STATUS: 0x8B82;
+ readonly VALIDATE_STATUS: 0x8B83;
+ readonly ATTACHED_SHADERS: 0x8B85;
+ readonly ACTIVE_UNIFORMS: 0x8B86;
+ readonly ACTIVE_ATTRIBUTES: 0x8B89;
+ readonly SHADING_LANGUAGE_VERSION: 0x8B8C;
+ readonly CURRENT_PROGRAM: 0x8B8D;
+ readonly NEVER: 0x0200;
+ readonly LESS: 0x0201;
+ readonly EQUAL: 0x0202;
+ readonly LEQUAL: 0x0203;
+ readonly GREATER: 0x0204;
+ readonly NOTEQUAL: 0x0205;
+ readonly GEQUAL: 0x0206;
+ readonly ALWAYS: 0x0207;
+ readonly KEEP: 0x1E00;
+ readonly REPLACE: 0x1E01;
+ readonly INCR: 0x1E02;
+ readonly DECR: 0x1E03;
+ readonly INVERT: 0x150A;
+ readonly INCR_WRAP: 0x8507;
+ readonly DECR_WRAP: 0x8508;
+ readonly VENDOR: 0x1F00;
+ readonly RENDERER: 0x1F01;
+ readonly VERSION: 0x1F02;
+ readonly NEAREST: 0x2600;
+ readonly LINEAR: 0x2601;
+ readonly NEAREST_MIPMAP_NEAREST: 0x2700;
+ readonly LINEAR_MIPMAP_NEAREST: 0x2701;
+ readonly NEAREST_MIPMAP_LINEAR: 0x2702;
+ readonly LINEAR_MIPMAP_LINEAR: 0x2703;
+ readonly TEXTURE_MAG_FILTER: 0x2800;
+ readonly TEXTURE_MIN_FILTER: 0x2801;
+ readonly TEXTURE_WRAP_S: 0x2802;
+ readonly TEXTURE_WRAP_T: 0x2803;
+ readonly TEXTURE_2D: 0x0DE1;
+ readonly TEXTURE: 0x1702;
+ readonly TEXTURE_CUBE_MAP: 0x8513;
+ readonly TEXTURE_BINDING_CUBE_MAP: 0x8514;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_X: 0x8515;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_X: 0x8516;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Y: 0x8517;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Y: 0x8518;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Z: 0x8519;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Z: 0x851A;
+ readonly MAX_CUBE_MAP_TEXTURE_SIZE: 0x851C;
+ readonly TEXTURE0: 0x84C0;
+ readonly TEXTURE1: 0x84C1;
+ readonly TEXTURE2: 0x84C2;
+ readonly TEXTURE3: 0x84C3;
+ readonly TEXTURE4: 0x84C4;
+ readonly TEXTURE5: 0x84C5;
+ readonly TEXTURE6: 0x84C6;
+ readonly TEXTURE7: 0x84C7;
+ readonly TEXTURE8: 0x84C8;
+ readonly TEXTURE9: 0x84C9;
+ readonly TEXTURE10: 0x84CA;
+ readonly TEXTURE11: 0x84CB;
+ readonly TEXTURE12: 0x84CC;
+ readonly TEXTURE13: 0x84CD;
+ readonly TEXTURE14: 0x84CE;
+ readonly TEXTURE15: 0x84CF;
+ readonly TEXTURE16: 0x84D0;
+ readonly TEXTURE17: 0x84D1;
+ readonly TEXTURE18: 0x84D2;
+ readonly TEXTURE19: 0x84D3;
+ readonly TEXTURE20: 0x84D4;
+ readonly TEXTURE21: 0x84D5;
+ readonly TEXTURE22: 0x84D6;
+ readonly TEXTURE23: 0x84D7;
+ readonly TEXTURE24: 0x84D8;
+ readonly TEXTURE25: 0x84D9;
+ readonly TEXTURE26: 0x84DA;
+ readonly TEXTURE27: 0x84DB;
+ readonly TEXTURE28: 0x84DC;
+ readonly TEXTURE29: 0x84DD;
+ readonly TEXTURE30: 0x84DE;
+ readonly TEXTURE31: 0x84DF;
+ readonly ACTIVE_TEXTURE: 0x84E0;
+ readonly REPEAT: 0x2901;
+ readonly CLAMP_TO_EDGE: 0x812F;
+ readonly MIRRORED_REPEAT: 0x8370;
+ readonly FLOAT_VEC2: 0x8B50;
+ readonly FLOAT_VEC3: 0x8B51;
+ readonly FLOAT_VEC4: 0x8B52;
+ readonly INT_VEC2: 0x8B53;
+ readonly INT_VEC3: 0x8B54;
+ readonly INT_VEC4: 0x8B55;
+ readonly BOOL: 0x8B56;
+ readonly BOOL_VEC2: 0x8B57;
+ readonly BOOL_VEC3: 0x8B58;
+ readonly BOOL_VEC4: 0x8B59;
+ readonly FLOAT_MAT2: 0x8B5A;
+ readonly FLOAT_MAT3: 0x8B5B;
+ readonly FLOAT_MAT4: 0x8B5C;
+ readonly SAMPLER_2D: 0x8B5E;
+ readonly SAMPLER_CUBE: 0x8B60;
+ readonly VERTEX_ATTRIB_ARRAY_ENABLED: 0x8622;
+ readonly VERTEX_ATTRIB_ARRAY_SIZE: 0x8623;
+ readonly VERTEX_ATTRIB_ARRAY_STRIDE: 0x8624;
+ readonly VERTEX_ATTRIB_ARRAY_TYPE: 0x8625;
+ readonly VERTEX_ATTRIB_ARRAY_NORMALIZED: 0x886A;
+ readonly VERTEX_ATTRIB_ARRAY_POINTER: 0x8645;
+ readonly VERTEX_ATTRIB_ARRAY_BUFFER_BINDING: 0x889F;
+ readonly IMPLEMENTATION_COLOR_READ_TYPE: 0x8B9A;
+ readonly IMPLEMENTATION_COLOR_READ_FORMAT: 0x8B9B;
+ readonly COMPILE_STATUS: 0x8B81;
+ readonly LOW_FLOAT: 0x8DF0;
+ readonly MEDIUM_FLOAT: 0x8DF1;
+ readonly HIGH_FLOAT: 0x8DF2;
+ readonly LOW_INT: 0x8DF3;
+ readonly MEDIUM_INT: 0x8DF4;
+ readonly HIGH_INT: 0x8DF5;
+ readonly FRAMEBUFFER: 0x8D40;
+ readonly RENDERBUFFER: 0x8D41;
+ readonly RGBA4: 0x8056;
+ readonly RGB5_A1: 0x8057;
+ readonly RGBA8: 0x8058;
+ readonly RGB565: 0x8D62;
+ readonly DEPTH_COMPONENT16: 0x81A5;
+ readonly STENCIL_INDEX8: 0x8D48;
+ readonly DEPTH_STENCIL: 0x84F9;
+ readonly RENDERBUFFER_WIDTH: 0x8D42;
+ readonly RENDERBUFFER_HEIGHT: 0x8D43;
+ readonly RENDERBUFFER_INTERNAL_FORMAT: 0x8D44;
+ readonly RENDERBUFFER_RED_SIZE: 0x8D50;
+ readonly RENDERBUFFER_GREEN_SIZE: 0x8D51;
+ readonly RENDERBUFFER_BLUE_SIZE: 0x8D52;
+ readonly RENDERBUFFER_ALPHA_SIZE: 0x8D53;
+ readonly RENDERBUFFER_DEPTH_SIZE: 0x8D54;
+ readonly RENDERBUFFER_STENCIL_SIZE: 0x8D55;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_TYPE: 0x8CD0;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_NAME: 0x8CD1;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LEVEL: 0x8CD2;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_CUBE_MAP_FACE: 0x8CD3;
+ readonly COLOR_ATTACHMENT0: 0x8CE0;
+ readonly DEPTH_ATTACHMENT: 0x8D00;
+ readonly STENCIL_ATTACHMENT: 0x8D20;
+ readonly DEPTH_STENCIL_ATTACHMENT: 0x821A;
+ readonly NONE: 0;
+ readonly FRAMEBUFFER_COMPLETE: 0x8CD5;
+ readonly FRAMEBUFFER_INCOMPLETE_ATTACHMENT: 0x8CD6;
+ readonly FRAMEBUFFER_INCOMPLETE_MISSING_ATTACHMENT: 0x8CD7;
+ readonly FRAMEBUFFER_INCOMPLETE_DIMENSIONS: 0x8CD9;
+ readonly FRAMEBUFFER_UNSUPPORTED: 0x8CDD;
+ readonly FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly RENDERBUFFER_BINDING: 0x8CA7;
+ readonly MAX_RENDERBUFFER_SIZE: 0x84E8;
+ readonly INVALID_FRAMEBUFFER_OPERATION: 0x0506;
+ readonly UNPACK_FLIP_Y_WEBGL: 0x9240;
+ readonly UNPACK_PREMULTIPLY_ALPHA_WEBGL: 0x9241;
+ readonly CONTEXT_LOST_WEBGL: 0x9242;
+ readonly UNPACK_COLORSPACE_CONVERSION_WEBGL: 0x9243;
+ readonly BROWSER_DEFAULT_WEBGL: 0x9244;
+};
+
+interface WebGL2RenderingContextBase {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/beginQuery) */
+ beginQuery(target: GLenum, query: WebGLQuery): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/beginTransformFeedback) */
+ beginTransformFeedback(primitiveMode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindBufferBase) */
+ bindBufferBase(target: GLenum, index: GLuint, buffer: WebGLBuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindBufferRange) */
+ bindBufferRange(target: GLenum, index: GLuint, buffer: WebGLBuffer | null, offset: GLintptr, size: GLsizeiptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindSampler) */
+ bindSampler(unit: GLuint, sampler: WebGLSampler | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindTransformFeedback) */
+ bindTransformFeedback(target: GLenum, tf: WebGLTransformFeedback | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindVertexArray) */
+ bindVertexArray(array: WebGLVertexArrayObject | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/blitFramebuffer) */
+ blitFramebuffer(srcX0: GLint, srcY0: GLint, srcX1: GLint, srcY1: GLint, dstX0: GLint, dstY0: GLint, dstX1: GLint, dstY1: GLint, mask: GLbitfield, filter: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferfi(buffer: GLenum, drawbuffer: GLint, depth: GLfloat, stencil: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferfv(buffer: GLenum, drawbuffer: GLint, values: Float32List, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferiv(buffer: GLenum, drawbuffer: GLint, values: Int32List, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferuiv(buffer: GLenum, drawbuffer: GLint, values: Uint32List, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clientWaitSync) */
+ clientWaitSync(sync: WebGLSync, flags: GLbitfield, timeout: GLuint64): GLenum;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/compressedTexImage3D) */
+ compressedTexImage3D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, imageSize: GLsizei, offset: GLintptr): void;
+ compressedTexImage3D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, srcData: ArrayBufferView, srcOffset?: number, srcLengthOverride?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/compressedTexSubImage3D) */
+ compressedTexSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, imageSize: GLsizei, offset: GLintptr): void;
+ compressedTexSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, srcData: ArrayBufferView, srcOffset?: number, srcLengthOverride?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/copyBufferSubData) */
+ copyBufferSubData(readTarget: GLenum, writeTarget: GLenum, readOffset: GLintptr, writeOffset: GLintptr, size: GLsizeiptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/copyTexSubImage3D) */
+ copyTexSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/createQuery) */
+ createQuery(): WebGLQuery | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/createSampler) */
+ createSampler(): WebGLSampler | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/createTransformFeedback) */
+ createTransformFeedback(): WebGLTransformFeedback | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/createVertexArray) */
+ createVertexArray(): WebGLVertexArrayObject | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteQuery) */
+ deleteQuery(query: WebGLQuery | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteSampler) */
+ deleteSampler(sampler: WebGLSampler | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteSync) */
+ deleteSync(sync: WebGLSync | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteTransformFeedback) */
+ deleteTransformFeedback(tf: WebGLTransformFeedback | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteVertexArray) */
+ deleteVertexArray(vertexArray: WebGLVertexArrayObject | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawArraysInstanced) */
+ drawArraysInstanced(mode: GLenum, first: GLint, count: GLsizei, instanceCount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawBuffers) */
+ drawBuffers(buffers: GLenum[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawElementsInstanced) */
+ drawElementsInstanced(mode: GLenum, count: GLsizei, type: GLenum, offset: GLintptr, instanceCount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawRangeElements) */
+ drawRangeElements(mode: GLenum, start: GLuint, end: GLuint, count: GLsizei, type: GLenum, offset: GLintptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/endQuery) */
+ endQuery(target: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/endTransformFeedback) */
+ endTransformFeedback(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/fenceSync) */
+ fenceSync(condition: GLenum, flags: GLbitfield): WebGLSync | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/framebufferTextureLayer) */
+ framebufferTextureLayer(target: GLenum, attachment: GLenum, texture: WebGLTexture | null, level: GLint, layer: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getActiveUniformBlockName) */
+ getActiveUniformBlockName(program: WebGLProgram, uniformBlockIndex: GLuint): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getActiveUniformBlockParameter) */
+ getActiveUniformBlockParameter(program: WebGLProgram, uniformBlockIndex: GLuint, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getActiveUniforms) */
+ getActiveUniforms(program: WebGLProgram, uniformIndices: GLuint[], pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getBufferSubData) */
+ getBufferSubData(target: GLenum, srcByteOffset: GLintptr, dstBuffer: ArrayBufferView, dstOffset?: number, length?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getFragDataLocation) */
+ getFragDataLocation(program: WebGLProgram, name: string): GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getIndexedParameter) */
+ getIndexedParameter(target: GLenum, index: GLuint): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getInternalformatParameter) */
+ getInternalformatParameter(target: GLenum, internalformat: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getQuery) */
+ getQuery(target: GLenum, pname: GLenum): WebGLQuery | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getQueryParameter) */
+ getQueryParameter(query: WebGLQuery, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getSamplerParameter) */
+ getSamplerParameter(sampler: WebGLSampler, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getSyncParameter) */
+ getSyncParameter(sync: WebGLSync, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getTransformFeedbackVarying) */
+ getTransformFeedbackVarying(program: WebGLProgram, index: GLuint): WebGLActiveInfo | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getUniformBlockIndex) */
+ getUniformBlockIndex(program: WebGLProgram, uniformBlockName: string): GLuint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getUniformIndices) */
+ getUniformIndices(program: WebGLProgram, uniformNames: string[]): GLuint[] | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/invalidateFramebuffer) */
+ invalidateFramebuffer(target: GLenum, attachments: GLenum[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/invalidateSubFramebuffer) */
+ invalidateSubFramebuffer(target: GLenum, attachments: GLenum[], x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isQuery) */
+ isQuery(query: WebGLQuery | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isSampler) */
+ isSampler(sampler: WebGLSampler | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isSync) */
+ isSync(sync: WebGLSync | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isTransformFeedback) */
+ isTransformFeedback(tf: WebGLTransformFeedback | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isVertexArray) */
+ isVertexArray(vertexArray: WebGLVertexArrayObject | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/pauseTransformFeedback) */
+ pauseTransformFeedback(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/readBuffer) */
+ readBuffer(src: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/renderbufferStorageMultisample) */
+ renderbufferStorageMultisample(target: GLenum, samples: GLsizei, internalformat: GLenum, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/resumeTransformFeedback) */
+ resumeTransformFeedback(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/samplerParameter) */
+ samplerParameterf(sampler: WebGLSampler, pname: GLenum, param: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/samplerParameter) */
+ samplerParameteri(sampler: WebGLSampler, pname: GLenum, param: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/texImage3D) */
+ texImage3D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, format: GLenum, type: GLenum, pboOffset: GLintptr): void;
+ texImage3D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texImage3D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, format: GLenum, type: GLenum, srcData: ArrayBufferView | null): void;
+ texImage3D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, format: GLenum, type: GLenum, srcData: ArrayBufferView, srcOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/texStorage2D) */
+ texStorage2D(target: GLenum, levels: GLsizei, internalformat: GLenum, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/texStorage3D) */
+ texStorage3D(target: GLenum, levels: GLsizei, internalformat: GLenum, width: GLsizei, height: GLsizei, depth: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/texSubImage3D) */
+ texSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, type: GLenum, pboOffset: GLintptr): void;
+ texSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, type: GLenum, srcData: ArrayBufferView | null, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/transformFeedbackVaryings) */
+ transformFeedbackVaryings(program: WebGLProgram, varyings: string[], bufferMode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform1ui(location: WebGLUniformLocation | null, v0: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform1uiv(location: WebGLUniformLocation | null, data: Uint32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform2ui(location: WebGLUniformLocation | null, v0: GLuint, v1: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform2uiv(location: WebGLUniformLocation | null, data: Uint32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform3ui(location: WebGLUniformLocation | null, v0: GLuint, v1: GLuint, v2: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform3uiv(location: WebGLUniformLocation | null, data: Uint32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform4ui(location: WebGLUniformLocation | null, v0: GLuint, v1: GLuint, v2: GLuint, v3: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform4uiv(location: WebGLUniformLocation | null, data: Uint32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformBlockBinding) */
+ uniformBlockBinding(program: WebGLProgram, uniformBlockIndex: GLuint, uniformBlockBinding: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix2x3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix2x4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix3x2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix3x4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix4x2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix4x3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribDivisor) */
+ vertexAttribDivisor(index: GLuint, divisor: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4i(index: GLuint, x: GLint, y: GLint, z: GLint, w: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4iv(index: GLuint, values: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4ui(index: GLuint, x: GLuint, y: GLuint, z: GLuint, w: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4uiv(index: GLuint, values: Uint32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribIPointer) */
+ vertexAttribIPointer(index: GLuint, size: GLint, type: GLenum, stride: GLsizei, offset: GLintptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/waitSync) */
+ waitSync(sync: WebGLSync, flags: GLbitfield, timeout: GLint64): void;
+ readonly READ_BUFFER: 0x0C02;
+ readonly UNPACK_ROW_LENGTH: 0x0CF2;
+ readonly UNPACK_SKIP_ROWS: 0x0CF3;
+ readonly UNPACK_SKIP_PIXELS: 0x0CF4;
+ readonly PACK_ROW_LENGTH: 0x0D02;
+ readonly PACK_SKIP_ROWS: 0x0D03;
+ readonly PACK_SKIP_PIXELS: 0x0D04;
+ readonly COLOR: 0x1800;
+ readonly DEPTH: 0x1801;
+ readonly STENCIL: 0x1802;
+ readonly RED: 0x1903;
+ readonly RGB8: 0x8051;
+ readonly RGB10_A2: 0x8059;
+ readonly TEXTURE_BINDING_3D: 0x806A;
+ readonly UNPACK_SKIP_IMAGES: 0x806D;
+ readonly UNPACK_IMAGE_HEIGHT: 0x806E;
+ readonly TEXTURE_3D: 0x806F;
+ readonly TEXTURE_WRAP_R: 0x8072;
+ readonly MAX_3D_TEXTURE_SIZE: 0x8073;
+ readonly UNSIGNED_INT_2_10_10_10_REV: 0x8368;
+ readonly MAX_ELEMENTS_VERTICES: 0x80E8;
+ readonly MAX_ELEMENTS_INDICES: 0x80E9;
+ readonly TEXTURE_MIN_LOD: 0x813A;
+ readonly TEXTURE_MAX_LOD: 0x813B;
+ readonly TEXTURE_BASE_LEVEL: 0x813C;
+ readonly TEXTURE_MAX_LEVEL: 0x813D;
+ readonly MIN: 0x8007;
+ readonly MAX: 0x8008;
+ readonly DEPTH_COMPONENT24: 0x81A6;
+ readonly MAX_TEXTURE_LOD_BIAS: 0x84FD;
+ readonly TEXTURE_COMPARE_MODE: 0x884C;
+ readonly TEXTURE_COMPARE_FUNC: 0x884D;
+ readonly CURRENT_QUERY: 0x8865;
+ readonly QUERY_RESULT: 0x8866;
+ readonly QUERY_RESULT_AVAILABLE: 0x8867;
+ readonly STREAM_READ: 0x88E1;
+ readonly STREAM_COPY: 0x88E2;
+ readonly STATIC_READ: 0x88E5;
+ readonly STATIC_COPY: 0x88E6;
+ readonly DYNAMIC_READ: 0x88E9;
+ readonly DYNAMIC_COPY: 0x88EA;
+ readonly MAX_DRAW_BUFFERS: 0x8824;
+ readonly DRAW_BUFFER0: 0x8825;
+ readonly DRAW_BUFFER1: 0x8826;
+ readonly DRAW_BUFFER2: 0x8827;
+ readonly DRAW_BUFFER3: 0x8828;
+ readonly DRAW_BUFFER4: 0x8829;
+ readonly DRAW_BUFFER5: 0x882A;
+ readonly DRAW_BUFFER6: 0x882B;
+ readonly DRAW_BUFFER7: 0x882C;
+ readonly DRAW_BUFFER8: 0x882D;
+ readonly DRAW_BUFFER9: 0x882E;
+ readonly DRAW_BUFFER10: 0x882F;
+ readonly DRAW_BUFFER11: 0x8830;
+ readonly DRAW_BUFFER12: 0x8831;
+ readonly DRAW_BUFFER13: 0x8832;
+ readonly DRAW_BUFFER14: 0x8833;
+ readonly DRAW_BUFFER15: 0x8834;
+ readonly MAX_FRAGMENT_UNIFORM_COMPONENTS: 0x8B49;
+ readonly MAX_VERTEX_UNIFORM_COMPONENTS: 0x8B4A;
+ readonly SAMPLER_3D: 0x8B5F;
+ readonly SAMPLER_2D_SHADOW: 0x8B62;
+ readonly FRAGMENT_SHADER_DERIVATIVE_HINT: 0x8B8B;
+ readonly PIXEL_PACK_BUFFER: 0x88EB;
+ readonly PIXEL_UNPACK_BUFFER: 0x88EC;
+ readonly PIXEL_PACK_BUFFER_BINDING: 0x88ED;
+ readonly PIXEL_UNPACK_BUFFER_BINDING: 0x88EF;
+ readonly FLOAT_MAT2x3: 0x8B65;
+ readonly FLOAT_MAT2x4: 0x8B66;
+ readonly FLOAT_MAT3x2: 0x8B67;
+ readonly FLOAT_MAT3x4: 0x8B68;
+ readonly FLOAT_MAT4x2: 0x8B69;
+ readonly FLOAT_MAT4x3: 0x8B6A;
+ readonly SRGB: 0x8C40;
+ readonly SRGB8: 0x8C41;
+ readonly SRGB8_ALPHA8: 0x8C43;
+ readonly COMPARE_REF_TO_TEXTURE: 0x884E;
+ readonly RGBA32F: 0x8814;
+ readonly RGB32F: 0x8815;
+ readonly RGBA16F: 0x881A;
+ readonly RGB16F: 0x881B;
+ readonly VERTEX_ATTRIB_ARRAY_INTEGER: 0x88FD;
+ readonly MAX_ARRAY_TEXTURE_LAYERS: 0x88FF;
+ readonly MIN_PROGRAM_TEXEL_OFFSET: 0x8904;
+ readonly MAX_PROGRAM_TEXEL_OFFSET: 0x8905;
+ readonly MAX_VARYING_COMPONENTS: 0x8B4B;
+ readonly TEXTURE_2D_ARRAY: 0x8C1A;
+ readonly TEXTURE_BINDING_2D_ARRAY: 0x8C1D;
+ readonly R11F_G11F_B10F: 0x8C3A;
+ readonly UNSIGNED_INT_10F_11F_11F_REV: 0x8C3B;
+ readonly RGB9_E5: 0x8C3D;
+ readonly UNSIGNED_INT_5_9_9_9_REV: 0x8C3E;
+ readonly TRANSFORM_FEEDBACK_BUFFER_MODE: 0x8C7F;
+ readonly MAX_TRANSFORM_FEEDBACK_SEPARATE_COMPONENTS: 0x8C80;
+ readonly TRANSFORM_FEEDBACK_VARYINGS: 0x8C83;
+ readonly TRANSFORM_FEEDBACK_BUFFER_START: 0x8C84;
+ readonly TRANSFORM_FEEDBACK_BUFFER_SIZE: 0x8C85;
+ readonly TRANSFORM_FEEDBACK_PRIMITIVES_WRITTEN: 0x8C88;
+ readonly RASTERIZER_DISCARD: 0x8C89;
+ readonly MAX_TRANSFORM_FEEDBACK_INTERLEAVED_COMPONENTS: 0x8C8A;
+ readonly MAX_TRANSFORM_FEEDBACK_SEPARATE_ATTRIBS: 0x8C8B;
+ readonly INTERLEAVED_ATTRIBS: 0x8C8C;
+ readonly SEPARATE_ATTRIBS: 0x8C8D;
+ readonly TRANSFORM_FEEDBACK_BUFFER: 0x8C8E;
+ readonly TRANSFORM_FEEDBACK_BUFFER_BINDING: 0x8C8F;
+ readonly RGBA32UI: 0x8D70;
+ readonly RGB32UI: 0x8D71;
+ readonly RGBA16UI: 0x8D76;
+ readonly RGB16UI: 0x8D77;
+ readonly RGBA8UI: 0x8D7C;
+ readonly RGB8UI: 0x8D7D;
+ readonly RGBA32I: 0x8D82;
+ readonly RGB32I: 0x8D83;
+ readonly RGBA16I: 0x8D88;
+ readonly RGB16I: 0x8D89;
+ readonly RGBA8I: 0x8D8E;
+ readonly RGB8I: 0x8D8F;
+ readonly RED_INTEGER: 0x8D94;
+ readonly RGB_INTEGER: 0x8D98;
+ readonly RGBA_INTEGER: 0x8D99;
+ readonly SAMPLER_2D_ARRAY: 0x8DC1;
+ readonly SAMPLER_2D_ARRAY_SHADOW: 0x8DC4;
+ readonly SAMPLER_CUBE_SHADOW: 0x8DC5;
+ readonly UNSIGNED_INT_VEC2: 0x8DC6;
+ readonly UNSIGNED_INT_VEC3: 0x8DC7;
+ readonly UNSIGNED_INT_VEC4: 0x8DC8;
+ readonly INT_SAMPLER_2D: 0x8DCA;
+ readonly INT_SAMPLER_3D: 0x8DCB;
+ readonly INT_SAMPLER_CUBE: 0x8DCC;
+ readonly INT_SAMPLER_2D_ARRAY: 0x8DCF;
+ readonly UNSIGNED_INT_SAMPLER_2D: 0x8DD2;
+ readonly UNSIGNED_INT_SAMPLER_3D: 0x8DD3;
+ readonly UNSIGNED_INT_SAMPLER_CUBE: 0x8DD4;
+ readonly UNSIGNED_INT_SAMPLER_2D_ARRAY: 0x8DD7;
+ readonly DEPTH_COMPONENT32F: 0x8CAC;
+ readonly DEPTH32F_STENCIL8: 0x8CAD;
+ readonly FLOAT_32_UNSIGNED_INT_24_8_REV: 0x8DAD;
+ readonly FRAMEBUFFER_ATTACHMENT_COLOR_ENCODING: 0x8210;
+ readonly FRAMEBUFFER_ATTACHMENT_COMPONENT_TYPE: 0x8211;
+ readonly FRAMEBUFFER_ATTACHMENT_RED_SIZE: 0x8212;
+ readonly FRAMEBUFFER_ATTACHMENT_GREEN_SIZE: 0x8213;
+ readonly FRAMEBUFFER_ATTACHMENT_BLUE_SIZE: 0x8214;
+ readonly FRAMEBUFFER_ATTACHMENT_ALPHA_SIZE: 0x8215;
+ readonly FRAMEBUFFER_ATTACHMENT_DEPTH_SIZE: 0x8216;
+ readonly FRAMEBUFFER_ATTACHMENT_STENCIL_SIZE: 0x8217;
+ readonly FRAMEBUFFER_DEFAULT: 0x8218;
+ readonly UNSIGNED_INT_24_8: 0x84FA;
+ readonly DEPTH24_STENCIL8: 0x88F0;
+ readonly UNSIGNED_NORMALIZED: 0x8C17;
+ readonly DRAW_FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly READ_FRAMEBUFFER: 0x8CA8;
+ readonly DRAW_FRAMEBUFFER: 0x8CA9;
+ readonly READ_FRAMEBUFFER_BINDING: 0x8CAA;
+ readonly RENDERBUFFER_SAMPLES: 0x8CAB;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LAYER: 0x8CD4;
+ readonly MAX_COLOR_ATTACHMENTS: 0x8CDF;
+ readonly COLOR_ATTACHMENT1: 0x8CE1;
+ readonly COLOR_ATTACHMENT2: 0x8CE2;
+ readonly COLOR_ATTACHMENT3: 0x8CE3;
+ readonly COLOR_ATTACHMENT4: 0x8CE4;
+ readonly COLOR_ATTACHMENT5: 0x8CE5;
+ readonly COLOR_ATTACHMENT6: 0x8CE6;
+ readonly COLOR_ATTACHMENT7: 0x8CE7;
+ readonly COLOR_ATTACHMENT8: 0x8CE8;
+ readonly COLOR_ATTACHMENT9: 0x8CE9;
+ readonly COLOR_ATTACHMENT10: 0x8CEA;
+ readonly COLOR_ATTACHMENT11: 0x8CEB;
+ readonly COLOR_ATTACHMENT12: 0x8CEC;
+ readonly COLOR_ATTACHMENT13: 0x8CED;
+ readonly COLOR_ATTACHMENT14: 0x8CEE;
+ readonly COLOR_ATTACHMENT15: 0x8CEF;
+ readonly FRAMEBUFFER_INCOMPLETE_MULTISAMPLE: 0x8D56;
+ readonly MAX_SAMPLES: 0x8D57;
+ readonly HALF_FLOAT: 0x140B;
+ readonly RG: 0x8227;
+ readonly RG_INTEGER: 0x8228;
+ readonly R8: 0x8229;
+ readonly RG8: 0x822B;
+ readonly R16F: 0x822D;
+ readonly R32F: 0x822E;
+ readonly RG16F: 0x822F;
+ readonly RG32F: 0x8230;
+ readonly R8I: 0x8231;
+ readonly R8UI: 0x8232;
+ readonly R16I: 0x8233;
+ readonly R16UI: 0x8234;
+ readonly R32I: 0x8235;
+ readonly R32UI: 0x8236;
+ readonly RG8I: 0x8237;
+ readonly RG8UI: 0x8238;
+ readonly RG16I: 0x8239;
+ readonly RG16UI: 0x823A;
+ readonly RG32I: 0x823B;
+ readonly RG32UI: 0x823C;
+ readonly VERTEX_ARRAY_BINDING: 0x85B5;
+ readonly R8_SNORM: 0x8F94;
+ readonly RG8_SNORM: 0x8F95;
+ readonly RGB8_SNORM: 0x8F96;
+ readonly RGBA8_SNORM: 0x8F97;
+ readonly SIGNED_NORMALIZED: 0x8F9C;
+ readonly COPY_READ_BUFFER: 0x8F36;
+ readonly COPY_WRITE_BUFFER: 0x8F37;
+ readonly COPY_READ_BUFFER_BINDING: 0x8F36;
+ readonly COPY_WRITE_BUFFER_BINDING: 0x8F37;
+ readonly UNIFORM_BUFFER: 0x8A11;
+ readonly UNIFORM_BUFFER_BINDING: 0x8A28;
+ readonly UNIFORM_BUFFER_START: 0x8A29;
+ readonly UNIFORM_BUFFER_SIZE: 0x8A2A;
+ readonly MAX_VERTEX_UNIFORM_BLOCKS: 0x8A2B;
+ readonly MAX_FRAGMENT_UNIFORM_BLOCKS: 0x8A2D;
+ readonly MAX_COMBINED_UNIFORM_BLOCKS: 0x8A2E;
+ readonly MAX_UNIFORM_BUFFER_BINDINGS: 0x8A2F;
+ readonly MAX_UNIFORM_BLOCK_SIZE: 0x8A30;
+ readonly MAX_COMBINED_VERTEX_UNIFORM_COMPONENTS: 0x8A31;
+ readonly MAX_COMBINED_FRAGMENT_UNIFORM_COMPONENTS: 0x8A33;
+ readonly UNIFORM_BUFFER_OFFSET_ALIGNMENT: 0x8A34;
+ readonly ACTIVE_UNIFORM_BLOCKS: 0x8A36;
+ readonly UNIFORM_TYPE: 0x8A37;
+ readonly UNIFORM_SIZE: 0x8A38;
+ readonly UNIFORM_BLOCK_INDEX: 0x8A3A;
+ readonly UNIFORM_OFFSET: 0x8A3B;
+ readonly UNIFORM_ARRAY_STRIDE: 0x8A3C;
+ readonly UNIFORM_MATRIX_STRIDE: 0x8A3D;
+ readonly UNIFORM_IS_ROW_MAJOR: 0x8A3E;
+ readonly UNIFORM_BLOCK_BINDING: 0x8A3F;
+ readonly UNIFORM_BLOCK_DATA_SIZE: 0x8A40;
+ readonly UNIFORM_BLOCK_ACTIVE_UNIFORMS: 0x8A42;
+ readonly UNIFORM_BLOCK_ACTIVE_UNIFORM_INDICES: 0x8A43;
+ readonly UNIFORM_BLOCK_REFERENCED_BY_VERTEX_SHADER: 0x8A44;
+ readonly UNIFORM_BLOCK_REFERENCED_BY_FRAGMENT_SHADER: 0x8A46;
+ readonly INVALID_INDEX: 0xFFFFFFFF;
+ readonly MAX_VERTEX_OUTPUT_COMPONENTS: 0x9122;
+ readonly MAX_FRAGMENT_INPUT_COMPONENTS: 0x9125;
+ readonly MAX_SERVER_WAIT_TIMEOUT: 0x9111;
+ readonly OBJECT_TYPE: 0x9112;
+ readonly SYNC_CONDITION: 0x9113;
+ readonly SYNC_STATUS: 0x9114;
+ readonly SYNC_FLAGS: 0x9115;
+ readonly SYNC_FENCE: 0x9116;
+ readonly SYNC_GPU_COMMANDS_COMPLETE: 0x9117;
+ readonly UNSIGNALED: 0x9118;
+ readonly SIGNALED: 0x9119;
+ readonly ALREADY_SIGNALED: 0x911A;
+ readonly TIMEOUT_EXPIRED: 0x911B;
+ readonly CONDITION_SATISFIED: 0x911C;
+ readonly WAIT_FAILED: 0x911D;
+ readonly SYNC_FLUSH_COMMANDS_BIT: 0x00000001;
+ readonly VERTEX_ATTRIB_ARRAY_DIVISOR: 0x88FE;
+ readonly ANY_SAMPLES_PASSED: 0x8C2F;
+ readonly ANY_SAMPLES_PASSED_CONSERVATIVE: 0x8D6A;
+ readonly SAMPLER_BINDING: 0x8919;
+ readonly RGB10_A2UI: 0x906F;
+ readonly INT_2_10_10_10_REV: 0x8D9F;
+ readonly TRANSFORM_FEEDBACK: 0x8E22;
+ readonly TRANSFORM_FEEDBACK_PAUSED: 0x8E23;
+ readonly TRANSFORM_FEEDBACK_ACTIVE: 0x8E24;
+ readonly TRANSFORM_FEEDBACK_BINDING: 0x8E25;
+ readonly TEXTURE_IMMUTABLE_FORMAT: 0x912F;
+ readonly MAX_ELEMENT_INDEX: 0x8D6B;
+ readonly TEXTURE_IMMUTABLE_LEVELS: 0x82DF;
+ readonly TIMEOUT_IGNORED: -1;
+ readonly MAX_CLIENT_WAIT_TIMEOUT_WEBGL: 0x9247;
+}
+
+interface WebGL2RenderingContextOverloads {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bufferData) */
+ bufferData(target: GLenum, size: GLsizeiptr, usage: GLenum): void;
+ bufferData(target: GLenum, srcData: AllowSharedBufferSource | null, usage: GLenum): void;
+ bufferData(target: GLenum, srcData: ArrayBufferView, usage: GLenum, srcOffset: number, length?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bufferSubData) */
+ bufferSubData(target: GLenum, dstByteOffset: GLintptr, srcData: AllowSharedBufferSource): void;
+ bufferSubData(target: GLenum, dstByteOffset: GLintptr, srcData: ArrayBufferView, srcOffset: number, length?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compressedTexImage2D) */
+ compressedTexImage2D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, border: GLint, imageSize: GLsizei, offset: GLintptr): void;
+ compressedTexImage2D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, border: GLint, srcData: ArrayBufferView, srcOffset?: number, srcLengthOverride?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compressedTexSubImage2D) */
+ compressedTexSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, imageSize: GLsizei, offset: GLintptr): void;
+ compressedTexSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, srcData: ArrayBufferView, srcOffset?: number, srcLengthOverride?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/readPixels) */
+ readPixels(x: GLint, y: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, dstData: ArrayBufferView | null): void;
+ readPixels(x: GLint, y: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, offset: GLintptr): void;
+ readPixels(x: GLint, y: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, dstData: ArrayBufferView, dstOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texImage2D) */
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, pboOffset: GLintptr): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, srcData: ArrayBufferView, srcOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texSubImage2D) */
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, pboOffset: GLintptr): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, srcData: ArrayBufferView, srcOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1fv(location: WebGLUniformLocation | null, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1iv(location: WebGLUniformLocation | null, data: Int32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2fv(location: WebGLUniformLocation | null, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2iv(location: WebGLUniformLocation | null, data: Int32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3fv(location: WebGLUniformLocation | null, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3iv(location: WebGLUniformLocation | null, data: Int32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4fv(location: WebGLUniformLocation | null, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4iv(location: WebGLUniformLocation | null, data: Int32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+}
+
+/**
+ * Part of the WebGL API and represents the information returned by calling the WebGLRenderingContext.getActiveAttrib() and WebGLRenderingContext.getActiveUniform() methods.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLActiveInfo)
+ */
+interface WebGLActiveInfo {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLActiveInfo/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLActiveInfo/size) */
+ readonly size: GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLActiveInfo/type) */
+ readonly type: GLenum;
+}
+
+declare var WebGLActiveInfo: {
+ prototype: WebGLActiveInfo;
+ new(): WebGLActiveInfo;
+};
+
+/**
+ * Part of the WebGL API and represents an opaque buffer object storing data such as vertices or colors.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLBuffer)
+ */
+interface WebGLBuffer {
+}
+
+declare var WebGLBuffer: {
+ prototype: WebGLBuffer;
+ new(): WebGLBuffer;
+};
+
+/**
+ * The WebContextEvent interface is part of the WebGL API and is an interface for an event that is generated in response to a status change to the WebGL rendering context.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLContextEvent)
+ */
+interface WebGLContextEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLContextEvent/statusMessage) */
+ readonly statusMessage: string;
+}
+
+declare var WebGLContextEvent: {
+ prototype: WebGLContextEvent;
+ new(type: string, eventInit?: WebGLContextEventInit): WebGLContextEvent;
+};
+
+/**
+ * Part of the WebGL API and represents a collection of buffers that serve as a rendering destination.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLFramebuffer)
+ */
+interface WebGLFramebuffer {
+}
+
+declare var WebGLFramebuffer: {
+ prototype: WebGLFramebuffer;
+ new(): WebGLFramebuffer;
+};
+
+/**
+ * The WebGLProgram is part of the WebGL API and is a combination of two compiled WebGLShaders consisting of a vertex shader and a fragment shader (both written in GLSL).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLProgram)
+ */
+interface WebGLProgram {
+}
+
+declare var WebGLProgram: {
+ prototype: WebGLProgram;
+ new(): WebGLProgram;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLQuery) */
+interface WebGLQuery {
+}
+
+declare var WebGLQuery: {
+ prototype: WebGLQuery;
+ new(): WebGLQuery;
+};
+
+/**
+ * Part of the WebGL API and represents a buffer that can contain an image, or can be source or target of an rendering operation.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderbuffer)
+ */
+interface WebGLRenderbuffer {
+}
+
+declare var WebGLRenderbuffer: {
+ prototype: WebGLRenderbuffer;
+ new(): WebGLRenderbuffer;
+};
+
+/**
+ * Provides an interface to the OpenGL ES 2.0 graphics rendering context for the drawing surface of an HTML <canvas> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext)
+ */
+interface WebGLRenderingContext extends WebGLRenderingContextBase, WebGLRenderingContextOverloads {
+}
+
+declare var WebGLRenderingContext: {
+ prototype: WebGLRenderingContext;
+ new(): WebGLRenderingContext;
+ readonly DEPTH_BUFFER_BIT: 0x00000100;
+ readonly STENCIL_BUFFER_BIT: 0x00000400;
+ readonly COLOR_BUFFER_BIT: 0x00004000;
+ readonly POINTS: 0x0000;
+ readonly LINES: 0x0001;
+ readonly LINE_LOOP: 0x0002;
+ readonly LINE_STRIP: 0x0003;
+ readonly TRIANGLES: 0x0004;
+ readonly TRIANGLE_STRIP: 0x0005;
+ readonly TRIANGLE_FAN: 0x0006;
+ readonly ZERO: 0;
+ readonly ONE: 1;
+ readonly SRC_COLOR: 0x0300;
+ readonly ONE_MINUS_SRC_COLOR: 0x0301;
+ readonly SRC_ALPHA: 0x0302;
+ readonly ONE_MINUS_SRC_ALPHA: 0x0303;
+ readonly DST_ALPHA: 0x0304;
+ readonly ONE_MINUS_DST_ALPHA: 0x0305;
+ readonly DST_COLOR: 0x0306;
+ readonly ONE_MINUS_DST_COLOR: 0x0307;
+ readonly SRC_ALPHA_SATURATE: 0x0308;
+ readonly FUNC_ADD: 0x8006;
+ readonly BLEND_EQUATION: 0x8009;
+ readonly BLEND_EQUATION_RGB: 0x8009;
+ readonly BLEND_EQUATION_ALPHA: 0x883D;
+ readonly FUNC_SUBTRACT: 0x800A;
+ readonly FUNC_REVERSE_SUBTRACT: 0x800B;
+ readonly BLEND_DST_RGB: 0x80C8;
+ readonly BLEND_SRC_RGB: 0x80C9;
+ readonly BLEND_DST_ALPHA: 0x80CA;
+ readonly BLEND_SRC_ALPHA: 0x80CB;
+ readonly CONSTANT_COLOR: 0x8001;
+ readonly ONE_MINUS_CONSTANT_COLOR: 0x8002;
+ readonly CONSTANT_ALPHA: 0x8003;
+ readonly ONE_MINUS_CONSTANT_ALPHA: 0x8004;
+ readonly BLEND_COLOR: 0x8005;
+ readonly ARRAY_BUFFER: 0x8892;
+ readonly ELEMENT_ARRAY_BUFFER: 0x8893;
+ readonly ARRAY_BUFFER_BINDING: 0x8894;
+ readonly ELEMENT_ARRAY_BUFFER_BINDING: 0x8895;
+ readonly STREAM_DRAW: 0x88E0;
+ readonly STATIC_DRAW: 0x88E4;
+ readonly DYNAMIC_DRAW: 0x88E8;
+ readonly BUFFER_SIZE: 0x8764;
+ readonly BUFFER_USAGE: 0x8765;
+ readonly CURRENT_VERTEX_ATTRIB: 0x8626;
+ readonly FRONT: 0x0404;
+ readonly BACK: 0x0405;
+ readonly FRONT_AND_BACK: 0x0408;
+ readonly CULL_FACE: 0x0B44;
+ readonly BLEND: 0x0BE2;
+ readonly DITHER: 0x0BD0;
+ readonly STENCIL_TEST: 0x0B90;
+ readonly DEPTH_TEST: 0x0B71;
+ readonly SCISSOR_TEST: 0x0C11;
+ readonly POLYGON_OFFSET_FILL: 0x8037;
+ readonly SAMPLE_ALPHA_TO_COVERAGE: 0x809E;
+ readonly SAMPLE_COVERAGE: 0x80A0;
+ readonly NO_ERROR: 0;
+ readonly INVALID_ENUM: 0x0500;
+ readonly INVALID_VALUE: 0x0501;
+ readonly INVALID_OPERATION: 0x0502;
+ readonly OUT_OF_MEMORY: 0x0505;
+ readonly CW: 0x0900;
+ readonly CCW: 0x0901;
+ readonly LINE_WIDTH: 0x0B21;
+ readonly ALIASED_POINT_SIZE_RANGE: 0x846D;
+ readonly ALIASED_LINE_WIDTH_RANGE: 0x846E;
+ readonly CULL_FACE_MODE: 0x0B45;
+ readonly FRONT_FACE: 0x0B46;
+ readonly DEPTH_RANGE: 0x0B70;
+ readonly DEPTH_WRITEMASK: 0x0B72;
+ readonly DEPTH_CLEAR_VALUE: 0x0B73;
+ readonly DEPTH_FUNC: 0x0B74;
+ readonly STENCIL_CLEAR_VALUE: 0x0B91;
+ readonly STENCIL_FUNC: 0x0B92;
+ readonly STENCIL_FAIL: 0x0B94;
+ readonly STENCIL_PASS_DEPTH_FAIL: 0x0B95;
+ readonly STENCIL_PASS_DEPTH_PASS: 0x0B96;
+ readonly STENCIL_REF: 0x0B97;
+ readonly STENCIL_VALUE_MASK: 0x0B93;
+ readonly STENCIL_WRITEMASK: 0x0B98;
+ readonly STENCIL_BACK_FUNC: 0x8800;
+ readonly STENCIL_BACK_FAIL: 0x8801;
+ readonly STENCIL_BACK_PASS_DEPTH_FAIL: 0x8802;
+ readonly STENCIL_BACK_PASS_DEPTH_PASS: 0x8803;
+ readonly STENCIL_BACK_REF: 0x8CA3;
+ readonly STENCIL_BACK_VALUE_MASK: 0x8CA4;
+ readonly STENCIL_BACK_WRITEMASK: 0x8CA5;
+ readonly VIEWPORT: 0x0BA2;
+ readonly SCISSOR_BOX: 0x0C10;
+ readonly COLOR_CLEAR_VALUE: 0x0C22;
+ readonly COLOR_WRITEMASK: 0x0C23;
+ readonly UNPACK_ALIGNMENT: 0x0CF5;
+ readonly PACK_ALIGNMENT: 0x0D05;
+ readonly MAX_TEXTURE_SIZE: 0x0D33;
+ readonly MAX_VIEWPORT_DIMS: 0x0D3A;
+ readonly SUBPIXEL_BITS: 0x0D50;
+ readonly RED_BITS: 0x0D52;
+ readonly GREEN_BITS: 0x0D53;
+ readonly BLUE_BITS: 0x0D54;
+ readonly ALPHA_BITS: 0x0D55;
+ readonly DEPTH_BITS: 0x0D56;
+ readonly STENCIL_BITS: 0x0D57;
+ readonly POLYGON_OFFSET_UNITS: 0x2A00;
+ readonly POLYGON_OFFSET_FACTOR: 0x8038;
+ readonly TEXTURE_BINDING_2D: 0x8069;
+ readonly SAMPLE_BUFFERS: 0x80A8;
+ readonly SAMPLES: 0x80A9;
+ readonly SAMPLE_COVERAGE_VALUE: 0x80AA;
+ readonly SAMPLE_COVERAGE_INVERT: 0x80AB;
+ readonly COMPRESSED_TEXTURE_FORMATS: 0x86A3;
+ readonly DONT_CARE: 0x1100;
+ readonly FASTEST: 0x1101;
+ readonly NICEST: 0x1102;
+ readonly GENERATE_MIPMAP_HINT: 0x8192;
+ readonly BYTE: 0x1400;
+ readonly UNSIGNED_BYTE: 0x1401;
+ readonly SHORT: 0x1402;
+ readonly UNSIGNED_SHORT: 0x1403;
+ readonly INT: 0x1404;
+ readonly UNSIGNED_INT: 0x1405;
+ readonly FLOAT: 0x1406;
+ readonly DEPTH_COMPONENT: 0x1902;
+ readonly ALPHA: 0x1906;
+ readonly RGB: 0x1907;
+ readonly RGBA: 0x1908;
+ readonly LUMINANCE: 0x1909;
+ readonly LUMINANCE_ALPHA: 0x190A;
+ readonly UNSIGNED_SHORT_4_4_4_4: 0x8033;
+ readonly UNSIGNED_SHORT_5_5_5_1: 0x8034;
+ readonly UNSIGNED_SHORT_5_6_5: 0x8363;
+ readonly FRAGMENT_SHADER: 0x8B30;
+ readonly VERTEX_SHADER: 0x8B31;
+ readonly MAX_VERTEX_ATTRIBS: 0x8869;
+ readonly MAX_VERTEX_UNIFORM_VECTORS: 0x8DFB;
+ readonly MAX_VARYING_VECTORS: 0x8DFC;
+ readonly MAX_COMBINED_TEXTURE_IMAGE_UNITS: 0x8B4D;
+ readonly MAX_VERTEX_TEXTURE_IMAGE_UNITS: 0x8B4C;
+ readonly MAX_TEXTURE_IMAGE_UNITS: 0x8872;
+ readonly MAX_FRAGMENT_UNIFORM_VECTORS: 0x8DFD;
+ readonly SHADER_TYPE: 0x8B4F;
+ readonly DELETE_STATUS: 0x8B80;
+ readonly LINK_STATUS: 0x8B82;
+ readonly VALIDATE_STATUS: 0x8B83;
+ readonly ATTACHED_SHADERS: 0x8B85;
+ readonly ACTIVE_UNIFORMS: 0x8B86;
+ readonly ACTIVE_ATTRIBUTES: 0x8B89;
+ readonly SHADING_LANGUAGE_VERSION: 0x8B8C;
+ readonly CURRENT_PROGRAM: 0x8B8D;
+ readonly NEVER: 0x0200;
+ readonly LESS: 0x0201;
+ readonly EQUAL: 0x0202;
+ readonly LEQUAL: 0x0203;
+ readonly GREATER: 0x0204;
+ readonly NOTEQUAL: 0x0205;
+ readonly GEQUAL: 0x0206;
+ readonly ALWAYS: 0x0207;
+ readonly KEEP: 0x1E00;
+ readonly REPLACE: 0x1E01;
+ readonly INCR: 0x1E02;
+ readonly DECR: 0x1E03;
+ readonly INVERT: 0x150A;
+ readonly INCR_WRAP: 0x8507;
+ readonly DECR_WRAP: 0x8508;
+ readonly VENDOR: 0x1F00;
+ readonly RENDERER: 0x1F01;
+ readonly VERSION: 0x1F02;
+ readonly NEAREST: 0x2600;
+ readonly LINEAR: 0x2601;
+ readonly NEAREST_MIPMAP_NEAREST: 0x2700;
+ readonly LINEAR_MIPMAP_NEAREST: 0x2701;
+ readonly NEAREST_MIPMAP_LINEAR: 0x2702;
+ readonly LINEAR_MIPMAP_LINEAR: 0x2703;
+ readonly TEXTURE_MAG_FILTER: 0x2800;
+ readonly TEXTURE_MIN_FILTER: 0x2801;
+ readonly TEXTURE_WRAP_S: 0x2802;
+ readonly TEXTURE_WRAP_T: 0x2803;
+ readonly TEXTURE_2D: 0x0DE1;
+ readonly TEXTURE: 0x1702;
+ readonly TEXTURE_CUBE_MAP: 0x8513;
+ readonly TEXTURE_BINDING_CUBE_MAP: 0x8514;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_X: 0x8515;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_X: 0x8516;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Y: 0x8517;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Y: 0x8518;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Z: 0x8519;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Z: 0x851A;
+ readonly MAX_CUBE_MAP_TEXTURE_SIZE: 0x851C;
+ readonly TEXTURE0: 0x84C0;
+ readonly TEXTURE1: 0x84C1;
+ readonly TEXTURE2: 0x84C2;
+ readonly TEXTURE3: 0x84C3;
+ readonly TEXTURE4: 0x84C4;
+ readonly TEXTURE5: 0x84C5;
+ readonly TEXTURE6: 0x84C6;
+ readonly TEXTURE7: 0x84C7;
+ readonly TEXTURE8: 0x84C8;
+ readonly TEXTURE9: 0x84C9;
+ readonly TEXTURE10: 0x84CA;
+ readonly TEXTURE11: 0x84CB;
+ readonly TEXTURE12: 0x84CC;
+ readonly TEXTURE13: 0x84CD;
+ readonly TEXTURE14: 0x84CE;
+ readonly TEXTURE15: 0x84CF;
+ readonly TEXTURE16: 0x84D0;
+ readonly TEXTURE17: 0x84D1;
+ readonly TEXTURE18: 0x84D2;
+ readonly TEXTURE19: 0x84D3;
+ readonly TEXTURE20: 0x84D4;
+ readonly TEXTURE21: 0x84D5;
+ readonly TEXTURE22: 0x84D6;
+ readonly TEXTURE23: 0x84D7;
+ readonly TEXTURE24: 0x84D8;
+ readonly TEXTURE25: 0x84D9;
+ readonly TEXTURE26: 0x84DA;
+ readonly TEXTURE27: 0x84DB;
+ readonly TEXTURE28: 0x84DC;
+ readonly TEXTURE29: 0x84DD;
+ readonly TEXTURE30: 0x84DE;
+ readonly TEXTURE31: 0x84DF;
+ readonly ACTIVE_TEXTURE: 0x84E0;
+ readonly REPEAT: 0x2901;
+ readonly CLAMP_TO_EDGE: 0x812F;
+ readonly MIRRORED_REPEAT: 0x8370;
+ readonly FLOAT_VEC2: 0x8B50;
+ readonly FLOAT_VEC3: 0x8B51;
+ readonly FLOAT_VEC4: 0x8B52;
+ readonly INT_VEC2: 0x8B53;
+ readonly INT_VEC3: 0x8B54;
+ readonly INT_VEC4: 0x8B55;
+ readonly BOOL: 0x8B56;
+ readonly BOOL_VEC2: 0x8B57;
+ readonly BOOL_VEC3: 0x8B58;
+ readonly BOOL_VEC4: 0x8B59;
+ readonly FLOAT_MAT2: 0x8B5A;
+ readonly FLOAT_MAT3: 0x8B5B;
+ readonly FLOAT_MAT4: 0x8B5C;
+ readonly SAMPLER_2D: 0x8B5E;
+ readonly SAMPLER_CUBE: 0x8B60;
+ readonly VERTEX_ATTRIB_ARRAY_ENABLED: 0x8622;
+ readonly VERTEX_ATTRIB_ARRAY_SIZE: 0x8623;
+ readonly VERTEX_ATTRIB_ARRAY_STRIDE: 0x8624;
+ readonly VERTEX_ATTRIB_ARRAY_TYPE: 0x8625;
+ readonly VERTEX_ATTRIB_ARRAY_NORMALIZED: 0x886A;
+ readonly VERTEX_ATTRIB_ARRAY_POINTER: 0x8645;
+ readonly VERTEX_ATTRIB_ARRAY_BUFFER_BINDING: 0x889F;
+ readonly IMPLEMENTATION_COLOR_READ_TYPE: 0x8B9A;
+ readonly IMPLEMENTATION_COLOR_READ_FORMAT: 0x8B9B;
+ readonly COMPILE_STATUS: 0x8B81;
+ readonly LOW_FLOAT: 0x8DF0;
+ readonly MEDIUM_FLOAT: 0x8DF1;
+ readonly HIGH_FLOAT: 0x8DF2;
+ readonly LOW_INT: 0x8DF3;
+ readonly MEDIUM_INT: 0x8DF4;
+ readonly HIGH_INT: 0x8DF5;
+ readonly FRAMEBUFFER: 0x8D40;
+ readonly RENDERBUFFER: 0x8D41;
+ readonly RGBA4: 0x8056;
+ readonly RGB5_A1: 0x8057;
+ readonly RGBA8: 0x8058;
+ readonly RGB565: 0x8D62;
+ readonly DEPTH_COMPONENT16: 0x81A5;
+ readonly STENCIL_INDEX8: 0x8D48;
+ readonly DEPTH_STENCIL: 0x84F9;
+ readonly RENDERBUFFER_WIDTH: 0x8D42;
+ readonly RENDERBUFFER_HEIGHT: 0x8D43;
+ readonly RENDERBUFFER_INTERNAL_FORMAT: 0x8D44;
+ readonly RENDERBUFFER_RED_SIZE: 0x8D50;
+ readonly RENDERBUFFER_GREEN_SIZE: 0x8D51;
+ readonly RENDERBUFFER_BLUE_SIZE: 0x8D52;
+ readonly RENDERBUFFER_ALPHA_SIZE: 0x8D53;
+ readonly RENDERBUFFER_DEPTH_SIZE: 0x8D54;
+ readonly RENDERBUFFER_STENCIL_SIZE: 0x8D55;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_TYPE: 0x8CD0;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_NAME: 0x8CD1;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LEVEL: 0x8CD2;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_CUBE_MAP_FACE: 0x8CD3;
+ readonly COLOR_ATTACHMENT0: 0x8CE0;
+ readonly DEPTH_ATTACHMENT: 0x8D00;
+ readonly STENCIL_ATTACHMENT: 0x8D20;
+ readonly DEPTH_STENCIL_ATTACHMENT: 0x821A;
+ readonly NONE: 0;
+ readonly FRAMEBUFFER_COMPLETE: 0x8CD5;
+ readonly FRAMEBUFFER_INCOMPLETE_ATTACHMENT: 0x8CD6;
+ readonly FRAMEBUFFER_INCOMPLETE_MISSING_ATTACHMENT: 0x8CD7;
+ readonly FRAMEBUFFER_INCOMPLETE_DIMENSIONS: 0x8CD9;
+ readonly FRAMEBUFFER_UNSUPPORTED: 0x8CDD;
+ readonly FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly RENDERBUFFER_BINDING: 0x8CA7;
+ readonly MAX_RENDERBUFFER_SIZE: 0x84E8;
+ readonly INVALID_FRAMEBUFFER_OPERATION: 0x0506;
+ readonly UNPACK_FLIP_Y_WEBGL: 0x9240;
+ readonly UNPACK_PREMULTIPLY_ALPHA_WEBGL: 0x9241;
+ readonly CONTEXT_LOST_WEBGL: 0x9242;
+ readonly UNPACK_COLORSPACE_CONVERSION_WEBGL: 0x9243;
+ readonly BROWSER_DEFAULT_WEBGL: 0x9244;
+};
+
+interface WebGLRenderingContextBase {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/canvas) */
+ readonly canvas: HTMLCanvasElement | OffscreenCanvas;
+ drawingBufferColorSpace: PredefinedColorSpace;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/drawingBufferHeight) */
+ readonly drawingBufferHeight: GLsizei;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/drawingBufferWidth) */
+ readonly drawingBufferWidth: GLsizei;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/activeTexture) */
+ activeTexture(texture: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/attachShader) */
+ attachShader(program: WebGLProgram, shader: WebGLShader): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindAttribLocation) */
+ bindAttribLocation(program: WebGLProgram, index: GLuint, name: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindBuffer) */
+ bindBuffer(target: GLenum, buffer: WebGLBuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindFramebuffer) */
+ bindFramebuffer(target: GLenum, framebuffer: WebGLFramebuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindRenderbuffer) */
+ bindRenderbuffer(target: GLenum, renderbuffer: WebGLRenderbuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindTexture) */
+ bindTexture(target: GLenum, texture: WebGLTexture | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendColor) */
+ blendColor(red: GLclampf, green: GLclampf, blue: GLclampf, alpha: GLclampf): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendEquation) */
+ blendEquation(mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendEquationSeparate) */
+ blendEquationSeparate(modeRGB: GLenum, modeAlpha: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendFunc) */
+ blendFunc(sfactor: GLenum, dfactor: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendFuncSeparate) */
+ blendFuncSeparate(srcRGB: GLenum, dstRGB: GLenum, srcAlpha: GLenum, dstAlpha: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/checkFramebufferStatus) */
+ checkFramebufferStatus(target: GLenum): GLenum;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/clear) */
+ clear(mask: GLbitfield): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/clearColor) */
+ clearColor(red: GLclampf, green: GLclampf, blue: GLclampf, alpha: GLclampf): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/clearDepth) */
+ clearDepth(depth: GLclampf): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/clearStencil) */
+ clearStencil(s: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/colorMask) */
+ colorMask(red: GLboolean, green: GLboolean, blue: GLboolean, alpha: GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compileShader) */
+ compileShader(shader: WebGLShader): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/copyTexImage2D) */
+ copyTexImage2D(target: GLenum, level: GLint, internalformat: GLenum, x: GLint, y: GLint, width: GLsizei, height: GLsizei, border: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/copyTexSubImage2D) */
+ copyTexSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createBuffer) */
+ createBuffer(): WebGLBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createFramebuffer) */
+ createFramebuffer(): WebGLFramebuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createProgram) */
+ createProgram(): WebGLProgram | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createRenderbuffer) */
+ createRenderbuffer(): WebGLRenderbuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createShader) */
+ createShader(type: GLenum): WebGLShader | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createTexture) */
+ createTexture(): WebGLTexture | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/cullFace) */
+ cullFace(mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteBuffer) */
+ deleteBuffer(buffer: WebGLBuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteFramebuffer) */
+ deleteFramebuffer(framebuffer: WebGLFramebuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteProgram) */
+ deleteProgram(program: WebGLProgram | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteRenderbuffer) */
+ deleteRenderbuffer(renderbuffer: WebGLRenderbuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteShader) */
+ deleteShader(shader: WebGLShader | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteTexture) */
+ deleteTexture(texture: WebGLTexture | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/depthFunc) */
+ depthFunc(func: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/depthMask) */
+ depthMask(flag: GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/depthRange) */
+ depthRange(zNear: GLclampf, zFar: GLclampf): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/detachShader) */
+ detachShader(program: WebGLProgram, shader: WebGLShader): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/disable) */
+ disable(cap: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/disableVertexAttribArray) */
+ disableVertexAttribArray(index: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/drawArrays) */
+ drawArrays(mode: GLenum, first: GLint, count: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/drawElements) */
+ drawElements(mode: GLenum, count: GLsizei, type: GLenum, offset: GLintptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/enable) */
+ enable(cap: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/enableVertexAttribArray) */
+ enableVertexAttribArray(index: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/finish) */
+ finish(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/flush) */
+ flush(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/framebufferRenderbuffer) */
+ framebufferRenderbuffer(target: GLenum, attachment: GLenum, renderbuffertarget: GLenum, renderbuffer: WebGLRenderbuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/framebufferTexture2D) */
+ framebufferTexture2D(target: GLenum, attachment: GLenum, textarget: GLenum, texture: WebGLTexture | null, level: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/frontFace) */
+ frontFace(mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/generateMipmap) */
+ generateMipmap(target: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getActiveAttrib) */
+ getActiveAttrib(program: WebGLProgram, index: GLuint): WebGLActiveInfo | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getActiveUniform) */
+ getActiveUniform(program: WebGLProgram, index: GLuint): WebGLActiveInfo | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getAttachedShaders) */
+ getAttachedShaders(program: WebGLProgram): WebGLShader[] | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getAttribLocation) */
+ getAttribLocation(program: WebGLProgram, name: string): GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getBufferParameter) */
+ getBufferParameter(target: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getContextAttributes) */
+ getContextAttributes(): WebGLContextAttributes | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getError) */
+ getError(): GLenum;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getExtension) */
+ getExtension(extensionName: "ANGLE_instanced_arrays"): ANGLE_instanced_arrays | null;
+ getExtension(extensionName: "EXT_blend_minmax"): EXT_blend_minmax | null;
+ getExtension(extensionName: "EXT_color_buffer_float"): EXT_color_buffer_float | null;
+ getExtension(extensionName: "EXT_color_buffer_half_float"): EXT_color_buffer_half_float | null;
+ getExtension(extensionName: "EXT_float_blend"): EXT_float_blend | null;
+ getExtension(extensionName: "EXT_frag_depth"): EXT_frag_depth | null;
+ getExtension(extensionName: "EXT_sRGB"): EXT_sRGB | null;
+ getExtension(extensionName: "EXT_shader_texture_lod"): EXT_shader_texture_lod | null;
+ getExtension(extensionName: "EXT_texture_compression_bptc"): EXT_texture_compression_bptc | null;
+ getExtension(extensionName: "EXT_texture_compression_rgtc"): EXT_texture_compression_rgtc | null;
+ getExtension(extensionName: "EXT_texture_filter_anisotropic"): EXT_texture_filter_anisotropic | null;
+ getExtension(extensionName: "KHR_parallel_shader_compile"): KHR_parallel_shader_compile | null;
+ getExtension(extensionName: "OES_element_index_uint"): OES_element_index_uint | null;
+ getExtension(extensionName: "OES_fbo_render_mipmap"): OES_fbo_render_mipmap | null;
+ getExtension(extensionName: "OES_standard_derivatives"): OES_standard_derivatives | null;
+ getExtension(extensionName: "OES_texture_float"): OES_texture_float | null;
+ getExtension(extensionName: "OES_texture_float_linear"): OES_texture_float_linear | null;
+ getExtension(extensionName: "OES_texture_half_float"): OES_texture_half_float | null;
+ getExtension(extensionName: "OES_texture_half_float_linear"): OES_texture_half_float_linear | null;
+ getExtension(extensionName: "OES_vertex_array_object"): OES_vertex_array_object | null;
+ getExtension(extensionName: "OVR_multiview2"): OVR_multiview2 | null;
+ getExtension(extensionName: "WEBGL_color_buffer_float"): WEBGL_color_buffer_float | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_astc"): WEBGL_compressed_texture_astc | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_etc"): WEBGL_compressed_texture_etc | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_etc1"): WEBGL_compressed_texture_etc1 | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_pvrtc"): WEBGL_compressed_texture_pvrtc | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_s3tc"): WEBGL_compressed_texture_s3tc | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_s3tc_srgb"): WEBGL_compressed_texture_s3tc_srgb | null;
+ getExtension(extensionName: "WEBGL_debug_renderer_info"): WEBGL_debug_renderer_info | null;
+ getExtension(extensionName: "WEBGL_debug_shaders"): WEBGL_debug_shaders | null;
+ getExtension(extensionName: "WEBGL_depth_texture"): WEBGL_depth_texture | null;
+ getExtension(extensionName: "WEBGL_draw_buffers"): WEBGL_draw_buffers | null;
+ getExtension(extensionName: "WEBGL_lose_context"): WEBGL_lose_context | null;
+ getExtension(extensionName: "WEBGL_multi_draw"): WEBGL_multi_draw | null;
+ getExtension(name: string): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getFramebufferAttachmentParameter) */
+ getFramebufferAttachmentParameter(target: GLenum, attachment: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getParameter) */
+ getParameter(pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getProgramInfoLog) */
+ getProgramInfoLog(program: WebGLProgram): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getProgramParameter) */
+ getProgramParameter(program: WebGLProgram, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getRenderbufferParameter) */
+ getRenderbufferParameter(target: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getShaderInfoLog) */
+ getShaderInfoLog(shader: WebGLShader): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getShaderParameter) */
+ getShaderParameter(shader: WebGLShader, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getShaderPrecisionFormat) */
+ getShaderPrecisionFormat(shadertype: GLenum, precisiontype: GLenum): WebGLShaderPrecisionFormat | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getShaderSource) */
+ getShaderSource(shader: WebGLShader): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getSupportedExtensions) */
+ getSupportedExtensions(): string[] | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getTexParameter) */
+ getTexParameter(target: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getUniform) */
+ getUniform(program: WebGLProgram, location: WebGLUniformLocation): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getUniformLocation) */
+ getUniformLocation(program: WebGLProgram, name: string): WebGLUniformLocation | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getVertexAttrib) */
+ getVertexAttrib(index: GLuint, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getVertexAttribOffset) */
+ getVertexAttribOffset(index: GLuint, pname: GLenum): GLintptr;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/hint) */
+ hint(target: GLenum, mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isBuffer) */
+ isBuffer(buffer: WebGLBuffer | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isContextLost) */
+ isContextLost(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isEnabled) */
+ isEnabled(cap: GLenum): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isFramebuffer) */
+ isFramebuffer(framebuffer: WebGLFramebuffer | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isProgram) */
+ isProgram(program: WebGLProgram | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isRenderbuffer) */
+ isRenderbuffer(renderbuffer: WebGLRenderbuffer | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isShader) */
+ isShader(shader: WebGLShader | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isTexture) */
+ isTexture(texture: WebGLTexture | null): GLboolean;
+ lineWidth(width: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/linkProgram) */
+ linkProgram(program: WebGLProgram): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/pixelStorei) */
+ pixelStorei(pname: GLenum, param: GLint | GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/polygonOffset) */
+ polygonOffset(factor: GLfloat, units: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/renderbufferStorage) */
+ renderbufferStorage(target: GLenum, internalformat: GLenum, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/sampleCoverage) */
+ sampleCoverage(value: GLclampf, invert: GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/scissor) */
+ scissor(x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/shaderSource) */
+ shaderSource(shader: WebGLShader, source: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilFunc) */
+ stencilFunc(func: GLenum, ref: GLint, mask: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilFuncSeparate) */
+ stencilFuncSeparate(face: GLenum, func: GLenum, ref: GLint, mask: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilMask) */
+ stencilMask(mask: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilMaskSeparate) */
+ stencilMaskSeparate(face: GLenum, mask: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilOp) */
+ stencilOp(fail: GLenum, zfail: GLenum, zpass: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilOpSeparate) */
+ stencilOpSeparate(face: GLenum, fail: GLenum, zfail: GLenum, zpass: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texParameter) */
+ texParameterf(target: GLenum, pname: GLenum, param: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texParameter) */
+ texParameteri(target: GLenum, pname: GLenum, param: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1f(location: WebGLUniformLocation | null, x: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1i(location: WebGLUniformLocation | null, x: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2f(location: WebGLUniformLocation | null, x: GLfloat, y: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2i(location: WebGLUniformLocation | null, x: GLint, y: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3f(location: WebGLUniformLocation | null, x: GLfloat, y: GLfloat, z: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3i(location: WebGLUniformLocation | null, x: GLint, y: GLint, z: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4f(location: WebGLUniformLocation | null, x: GLfloat, y: GLfloat, z: GLfloat, w: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4i(location: WebGLUniformLocation | null, x: GLint, y: GLint, z: GLint, w: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/useProgram) */
+ useProgram(program: WebGLProgram | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/validateProgram) */
+ validateProgram(program: WebGLProgram): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib1f(index: GLuint, x: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib1fv(index: GLuint, values: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib2f(index: GLuint, x: GLfloat, y: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib2fv(index: GLuint, values: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib3f(index: GLuint, x: GLfloat, y: GLfloat, z: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib3fv(index: GLuint, values: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib4f(index: GLuint, x: GLfloat, y: GLfloat, z: GLfloat, w: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib4fv(index: GLuint, values: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttribPointer) */
+ vertexAttribPointer(index: GLuint, size: GLint, type: GLenum, normalized: GLboolean, stride: GLsizei, offset: GLintptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/viewport) */
+ viewport(x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ readonly DEPTH_BUFFER_BIT: 0x00000100;
+ readonly STENCIL_BUFFER_BIT: 0x00000400;
+ readonly COLOR_BUFFER_BIT: 0x00004000;
+ readonly POINTS: 0x0000;
+ readonly LINES: 0x0001;
+ readonly LINE_LOOP: 0x0002;
+ readonly LINE_STRIP: 0x0003;
+ readonly TRIANGLES: 0x0004;
+ readonly TRIANGLE_STRIP: 0x0005;
+ readonly TRIANGLE_FAN: 0x0006;
+ readonly ZERO: 0;
+ readonly ONE: 1;
+ readonly SRC_COLOR: 0x0300;
+ readonly ONE_MINUS_SRC_COLOR: 0x0301;
+ readonly SRC_ALPHA: 0x0302;
+ readonly ONE_MINUS_SRC_ALPHA: 0x0303;
+ readonly DST_ALPHA: 0x0304;
+ readonly ONE_MINUS_DST_ALPHA: 0x0305;
+ readonly DST_COLOR: 0x0306;
+ readonly ONE_MINUS_DST_COLOR: 0x0307;
+ readonly SRC_ALPHA_SATURATE: 0x0308;
+ readonly FUNC_ADD: 0x8006;
+ readonly BLEND_EQUATION: 0x8009;
+ readonly BLEND_EQUATION_RGB: 0x8009;
+ readonly BLEND_EQUATION_ALPHA: 0x883D;
+ readonly FUNC_SUBTRACT: 0x800A;
+ readonly FUNC_REVERSE_SUBTRACT: 0x800B;
+ readonly BLEND_DST_RGB: 0x80C8;
+ readonly BLEND_SRC_RGB: 0x80C9;
+ readonly BLEND_DST_ALPHA: 0x80CA;
+ readonly BLEND_SRC_ALPHA: 0x80CB;
+ readonly CONSTANT_COLOR: 0x8001;
+ readonly ONE_MINUS_CONSTANT_COLOR: 0x8002;
+ readonly CONSTANT_ALPHA: 0x8003;
+ readonly ONE_MINUS_CONSTANT_ALPHA: 0x8004;
+ readonly BLEND_COLOR: 0x8005;
+ readonly ARRAY_BUFFER: 0x8892;
+ readonly ELEMENT_ARRAY_BUFFER: 0x8893;
+ readonly ARRAY_BUFFER_BINDING: 0x8894;
+ readonly ELEMENT_ARRAY_BUFFER_BINDING: 0x8895;
+ readonly STREAM_DRAW: 0x88E0;
+ readonly STATIC_DRAW: 0x88E4;
+ readonly DYNAMIC_DRAW: 0x88E8;
+ readonly BUFFER_SIZE: 0x8764;
+ readonly BUFFER_USAGE: 0x8765;
+ readonly CURRENT_VERTEX_ATTRIB: 0x8626;
+ readonly FRONT: 0x0404;
+ readonly BACK: 0x0405;
+ readonly FRONT_AND_BACK: 0x0408;
+ readonly CULL_FACE: 0x0B44;
+ readonly BLEND: 0x0BE2;
+ readonly DITHER: 0x0BD0;
+ readonly STENCIL_TEST: 0x0B90;
+ readonly DEPTH_TEST: 0x0B71;
+ readonly SCISSOR_TEST: 0x0C11;
+ readonly POLYGON_OFFSET_FILL: 0x8037;
+ readonly SAMPLE_ALPHA_TO_COVERAGE: 0x809E;
+ readonly SAMPLE_COVERAGE: 0x80A0;
+ readonly NO_ERROR: 0;
+ readonly INVALID_ENUM: 0x0500;
+ readonly INVALID_VALUE: 0x0501;
+ readonly INVALID_OPERATION: 0x0502;
+ readonly OUT_OF_MEMORY: 0x0505;
+ readonly CW: 0x0900;
+ readonly CCW: 0x0901;
+ readonly LINE_WIDTH: 0x0B21;
+ readonly ALIASED_POINT_SIZE_RANGE: 0x846D;
+ readonly ALIASED_LINE_WIDTH_RANGE: 0x846E;
+ readonly CULL_FACE_MODE: 0x0B45;
+ readonly FRONT_FACE: 0x0B46;
+ readonly DEPTH_RANGE: 0x0B70;
+ readonly DEPTH_WRITEMASK: 0x0B72;
+ readonly DEPTH_CLEAR_VALUE: 0x0B73;
+ readonly DEPTH_FUNC: 0x0B74;
+ readonly STENCIL_CLEAR_VALUE: 0x0B91;
+ readonly STENCIL_FUNC: 0x0B92;
+ readonly STENCIL_FAIL: 0x0B94;
+ readonly STENCIL_PASS_DEPTH_FAIL: 0x0B95;
+ readonly STENCIL_PASS_DEPTH_PASS: 0x0B96;
+ readonly STENCIL_REF: 0x0B97;
+ readonly STENCIL_VALUE_MASK: 0x0B93;
+ readonly STENCIL_WRITEMASK: 0x0B98;
+ readonly STENCIL_BACK_FUNC: 0x8800;
+ readonly STENCIL_BACK_FAIL: 0x8801;
+ readonly STENCIL_BACK_PASS_DEPTH_FAIL: 0x8802;
+ readonly STENCIL_BACK_PASS_DEPTH_PASS: 0x8803;
+ readonly STENCIL_BACK_REF: 0x8CA3;
+ readonly STENCIL_BACK_VALUE_MASK: 0x8CA4;
+ readonly STENCIL_BACK_WRITEMASK: 0x8CA5;
+ readonly VIEWPORT: 0x0BA2;
+ readonly SCISSOR_BOX: 0x0C10;
+ readonly COLOR_CLEAR_VALUE: 0x0C22;
+ readonly COLOR_WRITEMASK: 0x0C23;
+ readonly UNPACK_ALIGNMENT: 0x0CF5;
+ readonly PACK_ALIGNMENT: 0x0D05;
+ readonly MAX_TEXTURE_SIZE: 0x0D33;
+ readonly MAX_VIEWPORT_DIMS: 0x0D3A;
+ readonly SUBPIXEL_BITS: 0x0D50;
+ readonly RED_BITS: 0x0D52;
+ readonly GREEN_BITS: 0x0D53;
+ readonly BLUE_BITS: 0x0D54;
+ readonly ALPHA_BITS: 0x0D55;
+ readonly DEPTH_BITS: 0x0D56;
+ readonly STENCIL_BITS: 0x0D57;
+ readonly POLYGON_OFFSET_UNITS: 0x2A00;
+ readonly POLYGON_OFFSET_FACTOR: 0x8038;
+ readonly TEXTURE_BINDING_2D: 0x8069;
+ readonly SAMPLE_BUFFERS: 0x80A8;
+ readonly SAMPLES: 0x80A9;
+ readonly SAMPLE_COVERAGE_VALUE: 0x80AA;
+ readonly SAMPLE_COVERAGE_INVERT: 0x80AB;
+ readonly COMPRESSED_TEXTURE_FORMATS: 0x86A3;
+ readonly DONT_CARE: 0x1100;
+ readonly FASTEST: 0x1101;
+ readonly NICEST: 0x1102;
+ readonly GENERATE_MIPMAP_HINT: 0x8192;
+ readonly BYTE: 0x1400;
+ readonly UNSIGNED_BYTE: 0x1401;
+ readonly SHORT: 0x1402;
+ readonly UNSIGNED_SHORT: 0x1403;
+ readonly INT: 0x1404;
+ readonly UNSIGNED_INT: 0x1405;
+ readonly FLOAT: 0x1406;
+ readonly DEPTH_COMPONENT: 0x1902;
+ readonly ALPHA: 0x1906;
+ readonly RGB: 0x1907;
+ readonly RGBA: 0x1908;
+ readonly LUMINANCE: 0x1909;
+ readonly LUMINANCE_ALPHA: 0x190A;
+ readonly UNSIGNED_SHORT_4_4_4_4: 0x8033;
+ readonly UNSIGNED_SHORT_5_5_5_1: 0x8034;
+ readonly UNSIGNED_SHORT_5_6_5: 0x8363;
+ readonly FRAGMENT_SHADER: 0x8B30;
+ readonly VERTEX_SHADER: 0x8B31;
+ readonly MAX_VERTEX_ATTRIBS: 0x8869;
+ readonly MAX_VERTEX_UNIFORM_VECTORS: 0x8DFB;
+ readonly MAX_VARYING_VECTORS: 0x8DFC;
+ readonly MAX_COMBINED_TEXTURE_IMAGE_UNITS: 0x8B4D;
+ readonly MAX_VERTEX_TEXTURE_IMAGE_UNITS: 0x8B4C;
+ readonly MAX_TEXTURE_IMAGE_UNITS: 0x8872;
+ readonly MAX_FRAGMENT_UNIFORM_VECTORS: 0x8DFD;
+ readonly SHADER_TYPE: 0x8B4F;
+ readonly DELETE_STATUS: 0x8B80;
+ readonly LINK_STATUS: 0x8B82;
+ readonly VALIDATE_STATUS: 0x8B83;
+ readonly ATTACHED_SHADERS: 0x8B85;
+ readonly ACTIVE_UNIFORMS: 0x8B86;
+ readonly ACTIVE_ATTRIBUTES: 0x8B89;
+ readonly SHADING_LANGUAGE_VERSION: 0x8B8C;
+ readonly CURRENT_PROGRAM: 0x8B8D;
+ readonly NEVER: 0x0200;
+ readonly LESS: 0x0201;
+ readonly EQUAL: 0x0202;
+ readonly LEQUAL: 0x0203;
+ readonly GREATER: 0x0204;
+ readonly NOTEQUAL: 0x0205;
+ readonly GEQUAL: 0x0206;
+ readonly ALWAYS: 0x0207;
+ readonly KEEP: 0x1E00;
+ readonly REPLACE: 0x1E01;
+ readonly INCR: 0x1E02;
+ readonly DECR: 0x1E03;
+ readonly INVERT: 0x150A;
+ readonly INCR_WRAP: 0x8507;
+ readonly DECR_WRAP: 0x8508;
+ readonly VENDOR: 0x1F00;
+ readonly RENDERER: 0x1F01;
+ readonly VERSION: 0x1F02;
+ readonly NEAREST: 0x2600;
+ readonly LINEAR: 0x2601;
+ readonly NEAREST_MIPMAP_NEAREST: 0x2700;
+ readonly LINEAR_MIPMAP_NEAREST: 0x2701;
+ readonly NEAREST_MIPMAP_LINEAR: 0x2702;
+ readonly LINEAR_MIPMAP_LINEAR: 0x2703;
+ readonly TEXTURE_MAG_FILTER: 0x2800;
+ readonly TEXTURE_MIN_FILTER: 0x2801;
+ readonly TEXTURE_WRAP_S: 0x2802;
+ readonly TEXTURE_WRAP_T: 0x2803;
+ readonly TEXTURE_2D: 0x0DE1;
+ readonly TEXTURE: 0x1702;
+ readonly TEXTURE_CUBE_MAP: 0x8513;
+ readonly TEXTURE_BINDING_CUBE_MAP: 0x8514;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_X: 0x8515;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_X: 0x8516;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Y: 0x8517;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Y: 0x8518;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Z: 0x8519;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Z: 0x851A;
+ readonly MAX_CUBE_MAP_TEXTURE_SIZE: 0x851C;
+ readonly TEXTURE0: 0x84C0;
+ readonly TEXTURE1: 0x84C1;
+ readonly TEXTURE2: 0x84C2;
+ readonly TEXTURE3: 0x84C3;
+ readonly TEXTURE4: 0x84C4;
+ readonly TEXTURE5: 0x84C5;
+ readonly TEXTURE6: 0x84C6;
+ readonly TEXTURE7: 0x84C7;
+ readonly TEXTURE8: 0x84C8;
+ readonly TEXTURE9: 0x84C9;
+ readonly TEXTURE10: 0x84CA;
+ readonly TEXTURE11: 0x84CB;
+ readonly TEXTURE12: 0x84CC;
+ readonly TEXTURE13: 0x84CD;
+ readonly TEXTURE14: 0x84CE;
+ readonly TEXTURE15: 0x84CF;
+ readonly TEXTURE16: 0x84D0;
+ readonly TEXTURE17: 0x84D1;
+ readonly TEXTURE18: 0x84D2;
+ readonly TEXTURE19: 0x84D3;
+ readonly TEXTURE20: 0x84D4;
+ readonly TEXTURE21: 0x84D5;
+ readonly TEXTURE22: 0x84D6;
+ readonly TEXTURE23: 0x84D7;
+ readonly TEXTURE24: 0x84D8;
+ readonly TEXTURE25: 0x84D9;
+ readonly TEXTURE26: 0x84DA;
+ readonly TEXTURE27: 0x84DB;
+ readonly TEXTURE28: 0x84DC;
+ readonly TEXTURE29: 0x84DD;
+ readonly TEXTURE30: 0x84DE;
+ readonly TEXTURE31: 0x84DF;
+ readonly ACTIVE_TEXTURE: 0x84E0;
+ readonly REPEAT: 0x2901;
+ readonly CLAMP_TO_EDGE: 0x812F;
+ readonly MIRRORED_REPEAT: 0x8370;
+ readonly FLOAT_VEC2: 0x8B50;
+ readonly FLOAT_VEC3: 0x8B51;
+ readonly FLOAT_VEC4: 0x8B52;
+ readonly INT_VEC2: 0x8B53;
+ readonly INT_VEC3: 0x8B54;
+ readonly INT_VEC4: 0x8B55;
+ readonly BOOL: 0x8B56;
+ readonly BOOL_VEC2: 0x8B57;
+ readonly BOOL_VEC3: 0x8B58;
+ readonly BOOL_VEC4: 0x8B59;
+ readonly FLOAT_MAT2: 0x8B5A;
+ readonly FLOAT_MAT3: 0x8B5B;
+ readonly FLOAT_MAT4: 0x8B5C;
+ readonly SAMPLER_2D: 0x8B5E;
+ readonly SAMPLER_CUBE: 0x8B60;
+ readonly VERTEX_ATTRIB_ARRAY_ENABLED: 0x8622;
+ readonly VERTEX_ATTRIB_ARRAY_SIZE: 0x8623;
+ readonly VERTEX_ATTRIB_ARRAY_STRIDE: 0x8624;
+ readonly VERTEX_ATTRIB_ARRAY_TYPE: 0x8625;
+ readonly VERTEX_ATTRIB_ARRAY_NORMALIZED: 0x886A;
+ readonly VERTEX_ATTRIB_ARRAY_POINTER: 0x8645;
+ readonly VERTEX_ATTRIB_ARRAY_BUFFER_BINDING: 0x889F;
+ readonly IMPLEMENTATION_COLOR_READ_TYPE: 0x8B9A;
+ readonly IMPLEMENTATION_COLOR_READ_FORMAT: 0x8B9B;
+ readonly COMPILE_STATUS: 0x8B81;
+ readonly LOW_FLOAT: 0x8DF0;
+ readonly MEDIUM_FLOAT: 0x8DF1;
+ readonly HIGH_FLOAT: 0x8DF2;
+ readonly LOW_INT: 0x8DF3;
+ readonly MEDIUM_INT: 0x8DF4;
+ readonly HIGH_INT: 0x8DF5;
+ readonly FRAMEBUFFER: 0x8D40;
+ readonly RENDERBUFFER: 0x8D41;
+ readonly RGBA4: 0x8056;
+ readonly RGB5_A1: 0x8057;
+ readonly RGBA8: 0x8058;
+ readonly RGB565: 0x8D62;
+ readonly DEPTH_COMPONENT16: 0x81A5;
+ readonly STENCIL_INDEX8: 0x8D48;
+ readonly DEPTH_STENCIL: 0x84F9;
+ readonly RENDERBUFFER_WIDTH: 0x8D42;
+ readonly RENDERBUFFER_HEIGHT: 0x8D43;
+ readonly RENDERBUFFER_INTERNAL_FORMAT: 0x8D44;
+ readonly RENDERBUFFER_RED_SIZE: 0x8D50;
+ readonly RENDERBUFFER_GREEN_SIZE: 0x8D51;
+ readonly RENDERBUFFER_BLUE_SIZE: 0x8D52;
+ readonly RENDERBUFFER_ALPHA_SIZE: 0x8D53;
+ readonly RENDERBUFFER_DEPTH_SIZE: 0x8D54;
+ readonly RENDERBUFFER_STENCIL_SIZE: 0x8D55;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_TYPE: 0x8CD0;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_NAME: 0x8CD1;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LEVEL: 0x8CD2;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_CUBE_MAP_FACE: 0x8CD3;
+ readonly COLOR_ATTACHMENT0: 0x8CE0;
+ readonly DEPTH_ATTACHMENT: 0x8D00;
+ readonly STENCIL_ATTACHMENT: 0x8D20;
+ readonly DEPTH_STENCIL_ATTACHMENT: 0x821A;
+ readonly NONE: 0;
+ readonly FRAMEBUFFER_COMPLETE: 0x8CD5;
+ readonly FRAMEBUFFER_INCOMPLETE_ATTACHMENT: 0x8CD6;
+ readonly FRAMEBUFFER_INCOMPLETE_MISSING_ATTACHMENT: 0x8CD7;
+ readonly FRAMEBUFFER_INCOMPLETE_DIMENSIONS: 0x8CD9;
+ readonly FRAMEBUFFER_UNSUPPORTED: 0x8CDD;
+ readonly FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly RENDERBUFFER_BINDING: 0x8CA7;
+ readonly MAX_RENDERBUFFER_SIZE: 0x84E8;
+ readonly INVALID_FRAMEBUFFER_OPERATION: 0x0506;
+ readonly UNPACK_FLIP_Y_WEBGL: 0x9240;
+ readonly UNPACK_PREMULTIPLY_ALPHA_WEBGL: 0x9241;
+ readonly CONTEXT_LOST_WEBGL: 0x9242;
+ readonly UNPACK_COLORSPACE_CONVERSION_WEBGL: 0x9243;
+ readonly BROWSER_DEFAULT_WEBGL: 0x9244;
+}
+
+interface WebGLRenderingContextOverloads {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bufferData) */
+ bufferData(target: GLenum, size: GLsizeiptr, usage: GLenum): void;
+ bufferData(target: GLenum, data: AllowSharedBufferSource | null, usage: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bufferSubData) */
+ bufferSubData(target: GLenum, offset: GLintptr, data: AllowSharedBufferSource): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compressedTexImage2D) */
+ compressedTexImage2D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, border: GLint, data: ArrayBufferView): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compressedTexSubImage2D) */
+ compressedTexSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, data: ArrayBufferView): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/readPixels) */
+ readPixels(x: GLint, y: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texImage2D) */
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texSubImage2D) */
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1fv(location: WebGLUniformLocation | null, v: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1iv(location: WebGLUniformLocation | null, v: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2fv(location: WebGLUniformLocation | null, v: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2iv(location: WebGLUniformLocation | null, v: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3fv(location: WebGLUniformLocation | null, v: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3iv(location: WebGLUniformLocation | null, v: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4fv(location: WebGLUniformLocation | null, v: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4iv(location: WebGLUniformLocation | null, v: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix2fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix3fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix4fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Float32List): void;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLSampler) */
+interface WebGLSampler {
+}
+
+declare var WebGLSampler: {
+ prototype: WebGLSampler;
+ new(): WebGLSampler;
+};
+
+/**
+ * The WebGLShader is part of the WebGL API and can either be a vertex or a fragment shader. A WebGLProgram requires both types of shaders.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShader)
+ */
+interface WebGLShader {
+}
+
+declare var WebGLShader: {
+ prototype: WebGLShader;
+ new(): WebGLShader;
+};
+
+/**
+ * Part of the WebGL API and represents the information returned by calling the WebGLRenderingContext.getShaderPrecisionFormat() method.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShaderPrecisionFormat)
+ */
+interface WebGLShaderPrecisionFormat {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShaderPrecisionFormat/precision) */
+ readonly precision: GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShaderPrecisionFormat/rangeMax) */
+ readonly rangeMax: GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShaderPrecisionFormat/rangeMin) */
+ readonly rangeMin: GLint;
+}
+
+declare var WebGLShaderPrecisionFormat: {
+ prototype: WebGLShaderPrecisionFormat;
+ new(): WebGLShaderPrecisionFormat;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLSync) */
+interface WebGLSync {
+}
+
+declare var WebGLSync: {
+ prototype: WebGLSync;
+ new(): WebGLSync;
+};
+
+/**
+ * Part of the WebGL API and represents an opaque texture object providing storage and state for texturing operations.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLTexture)
+ */
+interface WebGLTexture {
+}
+
+declare var WebGLTexture: {
+ prototype: WebGLTexture;
+ new(): WebGLTexture;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLTransformFeedback) */
+interface WebGLTransformFeedback {
+}
+
+declare var WebGLTransformFeedback: {
+ prototype: WebGLTransformFeedback;
+ new(): WebGLTransformFeedback;
+};
+
+/**
+ * Part of the WebGL API and represents the location of a uniform variable in a shader program.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLUniformLocation)
+ */
+interface WebGLUniformLocation {
+}
+
+declare var WebGLUniformLocation: {
+ prototype: WebGLUniformLocation;
+ new(): WebGLUniformLocation;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLVertexArrayObject) */
+interface WebGLVertexArrayObject {
+}
+
+declare var WebGLVertexArrayObject: {
+ prototype: WebGLVertexArrayObject;
+ new(): WebGLVertexArrayObject;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLVertexArrayObjectOES) */
+interface WebGLVertexArrayObjectOES {
+}
+
+interface WebSocketEventMap {
+ "close": CloseEvent;
+ "error": Event;
+ "message": MessageEvent;
+ "open": Event;
+}
+
+/**
+ * Provides the API for creating and managing a WebSocket connection to a server, as well as for sending and receiving data on the connection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket)
+ */
+interface WebSocket extends EventTarget {
+ /**
+ * Returns a string that indicates how binary data from the WebSocket object is exposed to scripts:
+ *
+ * Can be set, to change how binary data is returned. The default is "blob".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/binaryType)
+ */
+ binaryType: BinaryType;
+ /**
+ * Returns the number of bytes of application data (UTF-8 text and binary data) that have been queued using send() but not yet been transmitted to the network.
+ *
+ * If the WebSocket connection is closed, this attribute's value will only increase with each call to the send() method. (The number does not reset to zero once the connection closes.)
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/bufferedAmount)
+ */
+ readonly bufferedAmount: number;
+ /**
+ * Returns the extensions selected by the server, if any.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/extensions)
+ */
+ readonly extensions: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/close_event) */
+ onclose: ((this: WebSocket, ev: CloseEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/error_event) */
+ onerror: ((this: WebSocket, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/message_event) */
+ onmessage: ((this: WebSocket, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/open_event) */
+ onopen: ((this: WebSocket, ev: Event) => any) | null;
+ /**
+ * Returns the subprotocol selected by the server, if any. It can be used in conjunction with the array form of the constructor's second argument to perform subprotocol negotiation.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/protocol)
+ */
+ readonly protocol: string;
+ /**
+ * Returns the state of the WebSocket object's connection. It can have the values described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/readyState)
+ */
+ readonly readyState: number;
+ /**
+ * Returns the URL that was used to establish the WebSocket connection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/url)
+ */
+ readonly url: string;
+ /**
+ * Closes the WebSocket connection, optionally using code as the the WebSocket connection close code and reason as the the WebSocket connection close reason.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/close)
+ */
+ close(code?: number, reason?: string): void;
+ /**
+ * Transmits data using the WebSocket connection. data can be a string, a Blob, an ArrayBuffer, or an ArrayBufferView.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/send)
+ */
+ send(data: string | ArrayBufferLike | Blob | ArrayBufferView): void;
+ readonly CONNECTING: 0;
+ readonly OPEN: 1;
+ readonly CLOSING: 2;
+ readonly CLOSED: 3;
+ addEventListener<K extends keyof WebSocketEventMap>(type: K, listener: (this: WebSocket, ev: WebSocketEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof WebSocketEventMap>(type: K, listener: (this: WebSocket, ev: WebSocketEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var WebSocket: {
+ prototype: WebSocket;
+ new(url: string | URL, protocols?: string | string[]): WebSocket;
+ readonly CONNECTING: 0;
+ readonly OPEN: 1;
+ readonly CLOSING: 2;
+ readonly CLOSED: 3;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport)
+ */
+interface WebTransport {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/closed) */
+ readonly closed: Promise<WebTransportCloseInfo>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/datagrams) */
+ readonly datagrams: WebTransportDatagramDuplexStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/incomingBidirectionalStreams) */
+ readonly incomingBidirectionalStreams: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/incomingUnidirectionalStreams) */
+ readonly incomingUnidirectionalStreams: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/ready) */
+ readonly ready: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/close) */
+ close(closeInfo?: WebTransportCloseInfo): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/createBidirectionalStream) */
+ createBidirectionalStream(options?: WebTransportSendStreamOptions): Promise<WebTransportBidirectionalStream>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/createUnidirectionalStream) */
+ createUnidirectionalStream(options?: WebTransportSendStreamOptions): Promise<WritableStream>;
+}
+
+declare var WebTransport: {
+ prototype: WebTransport;
+ new(url: string | URL, options?: WebTransportOptions): WebTransport;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportBidirectionalStream)
+ */
+interface WebTransportBidirectionalStream {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportBidirectionalStream/readable) */
+ readonly readable: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportBidirectionalStream/writable) */
+ readonly writable: WritableStream;
+}
+
+declare var WebTransportBidirectionalStream: {
+ prototype: WebTransportBidirectionalStream;
+ new(): WebTransportBidirectionalStream;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream)
+ */
+interface WebTransportDatagramDuplexStream {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/incomingHighWaterMark) */
+ incomingHighWaterMark: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/incomingMaxAge) */
+ incomingMaxAge: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/maxDatagramSize) */
+ readonly maxDatagramSize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/outgoingHighWaterMark) */
+ outgoingHighWaterMark: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/outgoingMaxAge) */
+ outgoingMaxAge: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/readable) */
+ readonly readable: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/writable) */
+ readonly writable: WritableStream;
+}
+
+declare var WebTransportDatagramDuplexStream: {
+ prototype: WebTransportDatagramDuplexStream;
+ new(): WebTransportDatagramDuplexStream;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportError)
+ */
+interface WebTransportError extends DOMException {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportError/source) */
+ readonly source: WebTransportErrorSource;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportError/streamErrorCode) */
+ readonly streamErrorCode: number | null;
+}
+
+declare var WebTransportError: {
+ prototype: WebTransportError;
+ new(message?: string, options?: WebTransportErrorOptions): WebTransportError;
+};
+
+/**
+ * Events that occur due to the user moving a mouse wheel or similar input device.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WheelEvent)
+ */
+interface WheelEvent extends MouseEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WheelEvent/deltaMode) */
+ readonly deltaMode: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WheelEvent/deltaX) */
+ readonly deltaX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WheelEvent/deltaY) */
+ readonly deltaY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WheelEvent/deltaZ) */
+ readonly deltaZ: number;
+ readonly DOM_DELTA_PIXEL: 0x00;
+ readonly DOM_DELTA_LINE: 0x01;
+ readonly DOM_DELTA_PAGE: 0x02;
+}
+
+declare var WheelEvent: {
+ prototype: WheelEvent;
+ new(type: string, eventInitDict?: WheelEventInit): WheelEvent;
+ readonly DOM_DELTA_PIXEL: 0x00;
+ readonly DOM_DELTA_LINE: 0x01;
+ readonly DOM_DELTA_PAGE: 0x02;
+};
+
+interface WindowEventMap extends GlobalEventHandlersEventMap, WindowEventHandlersEventMap {
+ "DOMContentLoaded": Event;
+ "devicemotion": DeviceMotionEvent;
+ "deviceorientation": DeviceOrientationEvent;
+ "deviceorientationabsolute": DeviceOrientationEvent;
+ "gamepadconnected": GamepadEvent;
+ "gamepaddisconnected": GamepadEvent;
+ "orientationchange": Event;
+}
+
+/**
+ * A window containing a DOM document; the document property points to the DOM document loaded in that window.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window)
+ */
+interface Window extends EventTarget, AnimationFrameProvider, GlobalEventHandlers, WindowEventHandlers, WindowLocalStorage, WindowOrWorkerGlobalScope, WindowSessionStorage {
+ /**
+ * @deprecated This is a legacy alias of \`navigator\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/navigator)
+ */
+ readonly clientInformation: Navigator;
+ /**
+ * Returns true if the window has been closed, false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/closed)
+ */
+ readonly closed: boolean;
+ /**
+ * Defines a new custom element, mapping the given name to the given constructor as an autonomous custom element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/customElements)
+ */
+ readonly customElements: CustomElementRegistry;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/devicePixelRatio) */
+ readonly devicePixelRatio: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/document) */
+ readonly document: Document;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/event)
+ */
+ readonly event: Event | undefined;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/external)
+ */
+ readonly external: External;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/frameElement) */
+ readonly frameElement: Element | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/frames) */
+ readonly frames: WindowProxy;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/history) */
+ readonly history: History;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/innerHeight) */
+ readonly innerHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/innerWidth) */
+ readonly innerWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/location) */
+ get location(): Location;
+ set location(href: string | Location);
+ /**
+ * Returns true if the location bar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/locationbar)
+ */
+ readonly locationbar: BarProp;
+ /**
+ * Returns true if the menu bar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/menubar)
+ */
+ readonly menubar: BarProp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/name) */
+ name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/navigator) */
+ readonly navigator: Navigator;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/devicemotion_event)
+ */
+ ondevicemotion: ((this: Window, ev: DeviceMotionEvent) => any) | null;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/deviceorientation_event)
+ */
+ ondeviceorientation: ((this: Window, ev: DeviceOrientationEvent) => any) | null;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/deviceorientationabsolute_event)
+ */
+ ondeviceorientationabsolute: ((this: Window, ev: DeviceOrientationEvent) => any) | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/orientationchange_event)
+ */
+ onorientationchange: ((this: Window, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/opener) */
+ opener: any;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/orientation)
+ */
+ readonly orientation: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/outerHeight) */
+ readonly outerHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/outerWidth) */
+ readonly outerWidth: number;
+ /**
+ * @deprecated This is a legacy alias of \`scrollX\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollX)
+ */
+ readonly pageXOffset: number;
+ /**
+ * @deprecated This is a legacy alias of \`scrollY\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollY)
+ */
+ readonly pageYOffset: number;
+ /**
+ * Refers to either the parent WindowProxy, or itself.
+ *
+ * It can rarely be null e.g. for contentWindow of an iframe that is already removed from the parent.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/parent)
+ */
+ readonly parent: WindowProxy;
+ /**
+ * Returns true if the personal bar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/personalbar)
+ */
+ readonly personalbar: BarProp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screen) */
+ readonly screen: Screen;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screenLeft) */
+ readonly screenLeft: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screenTop) */
+ readonly screenTop: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screenX) */
+ readonly screenX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screenY) */
+ readonly screenY: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollX) */
+ readonly scrollX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollY) */
+ readonly scrollY: number;
+ /**
+ * Returns true if the scrollbars are visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollbars)
+ */
+ readonly scrollbars: BarProp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/self) */
+ readonly self: Window & typeof globalThis;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/speechSynthesis) */
+ readonly speechSynthesis: SpeechSynthesis;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/status)
+ */
+ status: string;
+ /**
+ * Returns true if the status bar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/statusbar)
+ */
+ readonly statusbar: BarProp;
+ /**
+ * Returns true if the toolbar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/toolbar)
+ */
+ readonly toolbar: BarProp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/top) */
+ readonly top: WindowProxy | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/visualViewport) */
+ readonly visualViewport: VisualViewport | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/window) */
+ readonly window: Window & typeof globalThis;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/alert) */
+ alert(message?: any): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/blur) */
+ blur(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/cancelIdleCallback) */
+ cancelIdleCallback(handle: number): void;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/captureEvents)
+ */
+ captureEvents(): void;
+ /**
+ * Closes the window.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/close)
+ */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/confirm) */
+ confirm(message?: string): boolean;
+ /**
+ * Moves the focus to the window's browsing context, if any.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/focus)
+ */
+ focus(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/getComputedStyle) */
+ getComputedStyle(elt: Element, pseudoElt?: string | null): CSSStyleDeclaration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/getSelection) */
+ getSelection(): Selection | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/matchMedia) */
+ matchMedia(query: string): MediaQueryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/moveBy) */
+ moveBy(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/moveTo) */
+ moveTo(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/open) */
+ open(url?: string | URL, target?: string, features?: string): WindowProxy | null;
+ /**
+ * Posts a message to the given window. Messages can be structured objects, e.g. nested objects and arrays, can contain JavaScript values (strings, numbers, Date objects, etc), and can contain certain data objects such as File Blob, FileList, and ArrayBuffer objects.
+ *
+ * Objects listed in the transfer member of options are transferred, not just cloned, meaning that they are no longer usable on the sending side.
+ *
+ * A target origin can be specified using the targetOrigin member of options. If not provided, it defaults to "/". This default restricts the message to same-origin targets only.
+ *
+ * If the origin of the target window doesn't match the given target origin, the message is discarded, to avoid information leakage. To send the message to the target regardless of origin, set the target origin to "*".
+ *
+ * Throws a "DataCloneError" DOMException if transfer array contains duplicate objects or if message could not be cloned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/postMessage)
+ */
+ postMessage(message: any, targetOrigin: string, transfer?: Transferable[]): void;
+ postMessage(message: any, options?: WindowPostMessageOptions): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/print) */
+ print(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/prompt) */
+ prompt(message?: string, _default?: string): string | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/releaseEvents)
+ */
+ releaseEvents(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/requestIdleCallback) */
+ requestIdleCallback(callback: IdleRequestCallback, options?: IdleRequestOptions): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/resizeBy) */
+ resizeBy(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/resizeTo) */
+ resizeTo(width: number, height: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scroll) */
+ scroll(options?: ScrollToOptions): void;
+ scroll(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollBy) */
+ scrollBy(options?: ScrollToOptions): void;
+ scrollBy(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollTo) */
+ scrollTo(options?: ScrollToOptions): void;
+ scrollTo(x: number, y: number): void;
+ /**
+ * Cancels the document load.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/stop)
+ */
+ stop(): void;
+ addEventListener<K extends keyof WindowEventMap>(type: K, listener: (this: Window, ev: WindowEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof WindowEventMap>(type: K, listener: (this: Window, ev: WindowEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+ [index: number]: Window;
+}
+
+declare var Window: {
+ prototype: Window;
+ new(): Window;
+};
+
+interface WindowEventHandlersEventMap {
+ "afterprint": Event;
+ "beforeprint": Event;
+ "beforeunload": BeforeUnloadEvent;
+ "gamepadconnected": GamepadEvent;
+ "gamepaddisconnected": GamepadEvent;
+ "hashchange": HashChangeEvent;
+ "languagechange": Event;
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+ "offline": Event;
+ "online": Event;
+ "pagehide": PageTransitionEvent;
+ "pageshow": PageTransitionEvent;
+ "popstate": PopStateEvent;
+ "rejectionhandled": PromiseRejectionEvent;
+ "storage": StorageEvent;
+ "unhandledrejection": PromiseRejectionEvent;
+ "unload": Event;
+}
+
+interface WindowEventHandlers {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/afterprint_event) */
+ onafterprint: ((this: WindowEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/beforeprint_event) */
+ onbeforeprint: ((this: WindowEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/beforeunload_event) */
+ onbeforeunload: ((this: WindowEventHandlers, ev: BeforeUnloadEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/gamepadconnected_event) */
+ ongamepadconnected: ((this: WindowEventHandlers, ev: GamepadEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/gamepaddisconnected_event) */
+ ongamepaddisconnected: ((this: WindowEventHandlers, ev: GamepadEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/hashchange_event) */
+ onhashchange: ((this: WindowEventHandlers, ev: HashChangeEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/languagechange_event) */
+ onlanguagechange: ((this: WindowEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/message_event) */
+ onmessage: ((this: WindowEventHandlers, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/messageerror_event) */
+ onmessageerror: ((this: WindowEventHandlers, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/offline_event) */
+ onoffline: ((this: WindowEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/online_event) */
+ ononline: ((this: WindowEventHandlers, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/pagehide_event) */
+ onpagehide: ((this: WindowEventHandlers, ev: PageTransitionEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/pageshow_event) */
+ onpageshow: ((this: WindowEventHandlers, ev: PageTransitionEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/popstate_event) */
+ onpopstate: ((this: WindowEventHandlers, ev: PopStateEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/rejectionhandled_event) */
+ onrejectionhandled: ((this: WindowEventHandlers, ev: PromiseRejectionEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/storage_event) */
+ onstorage: ((this: WindowEventHandlers, ev: StorageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/unhandledrejection_event) */
+ onunhandledrejection: ((this: WindowEventHandlers, ev: PromiseRejectionEvent) => any) | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/unload_event)
+ */
+ onunload: ((this: WindowEventHandlers, ev: Event) => any) | null;
+ addEventListener<K extends keyof WindowEventHandlersEventMap>(type: K, listener: (this: WindowEventHandlers, ev: WindowEventHandlersEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof WindowEventHandlersEventMap>(type: K, listener: (this: WindowEventHandlers, ev: WindowEventHandlersEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+interface WindowLocalStorage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/localStorage) */
+ readonly localStorage: Storage;
+}
+
+interface WindowOrWorkerGlobalScope {
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/caches)
+ */
+ readonly caches: CacheStorage;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/crossOriginIsolated) */
+ readonly crossOriginIsolated: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/crypto_property) */
+ readonly crypto: Crypto;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/indexedDB) */
+ readonly indexedDB: IDBFactory;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/isSecureContext) */
+ readonly isSecureContext: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/origin) */
+ readonly origin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/performance_property) */
+ readonly performance: Performance;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/atob) */
+ atob(data: string): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/btoa) */
+ btoa(data: string): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/clearInterval) */
+ clearInterval(id: number | undefined): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/clearTimeout) */
+ clearTimeout(id: number | undefined): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/createImageBitmap) */
+ createImageBitmap(image: ImageBitmapSource, options?: ImageBitmapOptions): Promise<ImageBitmap>;
+ createImageBitmap(image: ImageBitmapSource, sx: number, sy: number, sw: number, sh: number, options?: ImageBitmapOptions): Promise<ImageBitmap>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/fetch) */
+ fetch(input: RequestInfo | URL, init?: RequestInit): Promise<Response>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/queueMicrotask) */
+ queueMicrotask(callback: VoidFunction): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/reportError) */
+ reportError(e: any): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/setInterval) */
+ setInterval(handler: TimerHandler, timeout?: number, ...arguments: any[]): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/setTimeout) */
+ setTimeout(handler: TimerHandler, timeout?: number, ...arguments: any[]): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/structuredClone) */
+ structuredClone<T = any>(value: T, options?: StructuredSerializeOptions): T;
+}
+
+interface WindowSessionStorage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/sessionStorage) */
+ readonly sessionStorage: Storage;
+}
+
+interface WorkerEventMap extends AbstractWorkerEventMap {
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+}
+
+/**
+ * This Web Workers API interface represents a background task that can be easily created and can send messages back to its creator. Creating a worker is as simple as calling the Worker() constructor and specifying a script to be run in the worker thread.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker)
+ */
+interface Worker extends EventTarget, AbstractWorker {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker/message_event) */
+ onmessage: ((this: Worker, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker/messageerror_event) */
+ onmessageerror: ((this: Worker, ev: MessageEvent) => any) | null;
+ /**
+ * Clones message and transmits it to worker's global environment. transfer can be passed as a list of objects that are to be transferred rather than cloned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker/postMessage)
+ */
+ postMessage(message: any, transfer: Transferable[]): void;
+ postMessage(message: any, options?: StructuredSerializeOptions): void;
+ /**
+ * Aborts worker's associated global environment.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker/terminate)
+ */
+ terminate(): void;
+ addEventListener<K extends keyof WorkerEventMap>(type: K, listener: (this: Worker, ev: WorkerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof WorkerEventMap>(type: K, listener: (this: Worker, ev: WorkerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Worker: {
+ prototype: Worker;
+ new(scriptURL: string | URL, options?: WorkerOptions): Worker;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worklet)
+ */
+interface Worklet {
+ /**
+ * Loads and executes the module script given by moduleURL into all of worklet's global scopes. It can also create additional global scopes as part of this process, depending on the worklet type. The returned promise will fulfill once the script has been successfully loaded and run in all global scopes.
+ *
+ * The credentials option can be set to a credentials mode to modify the script-fetching process. It defaults to "same-origin".
+ *
+ * Any failures in fetching the script or its dependencies will cause the returned promise to be rejected with an "AbortError" DOMException. Any errors in parsing the script or its dependencies will cause the returned promise to be rejected with the exception generated during parsing.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worklet/addModule)
+ */
+ addModule(moduleURL: string | URL, options?: WorkletOptions): Promise<void>;
+}
+
+declare var Worklet: {
+ prototype: Worklet;
+ new(): Worklet;
+};
+
+/**
+ * This Streams API interface provides a standard abstraction for writing streaming data to a destination, known as a sink. This object comes with built-in backpressure and queuing.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream)
+ */
+interface WritableStream<W = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream/locked) */
+ readonly locked: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream/abort) */
+ abort(reason?: any): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream/close) */
+ close(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream/getWriter) */
+ getWriter(): WritableStreamDefaultWriter<W>;
+}
+
+declare var WritableStream: {
+ prototype: WritableStream;
+ new<W = any>(underlyingSink?: UnderlyingSink<W>, strategy?: QueuingStrategy<W>): WritableStream<W>;
+};
+
+/**
+ * This Streams API interface represents a controller allowing control of a WritableStream's state. When constructing a WritableStream, the underlying sink is given a corresponding WritableStreamDefaultController instance to manipulate.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultController)
+ */
+interface WritableStreamDefaultController {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultController/signal) */
+ readonly signal: AbortSignal;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultController/error) */
+ error(e?: any): void;
+}
+
+declare var WritableStreamDefaultController: {
+ prototype: WritableStreamDefaultController;
+ new(): WritableStreamDefaultController;
+};
+
+/**
+ * This Streams API interface is the object returned by WritableStream.getWriter() and once created locks the < writer to the WritableStream ensuring that no other streams can write to the underlying sink.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter)
+ */
+interface WritableStreamDefaultWriter<W = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/closed) */
+ readonly closed: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/desiredSize) */
+ readonly desiredSize: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/ready) */
+ readonly ready: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/abort) */
+ abort(reason?: any): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/close) */
+ close(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/releaseLock) */
+ releaseLock(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/write) */
+ write(chunk?: W): Promise<void>;
+}
+
+declare var WritableStreamDefaultWriter: {
+ prototype: WritableStreamDefaultWriter;
+ new<W = any>(stream: WritableStream<W>): WritableStreamDefaultWriter<W>;
+};
+
+/**
+ * An XML document. It inherits from the generic Document and does not add any specific methods or properties to it: nevertheless, several algorithms behave differently with the two types of documents.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLDocument)
+ */
+interface XMLDocument extends Document {
+ addEventListener<K extends keyof DocumentEventMap>(type: K, listener: (this: XMLDocument, ev: DocumentEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof DocumentEventMap>(type: K, listener: (this: XMLDocument, ev: DocumentEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var XMLDocument: {
+ prototype: XMLDocument;
+ new(): XMLDocument;
+};
+
+interface XMLHttpRequestEventMap extends XMLHttpRequestEventTargetEventMap {
+ "readystatechange": Event;
+}
+
+/**
+ * Use XMLHttpRequest (XHR) objects to interact with servers. You can retrieve data from a URL without having to do a full page refresh. This enables a Web page to update just part of a page without disrupting what the user is doing.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest)
+ */
+interface XMLHttpRequest extends XMLHttpRequestEventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/readystatechange_event) */
+ onreadystatechange: ((this: XMLHttpRequest, ev: Event) => any) | null;
+ /**
+ * Returns client's state.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/readyState)
+ */
+ readonly readyState: number;
+ /**
+ * Returns the response body.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/response)
+ */
+ readonly response: any;
+ /**
+ * Returns response as text.
+ *
+ * Throws an "InvalidStateError" DOMException if responseType is not the empty string or "text".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/responseText)
+ */
+ readonly responseText: string;
+ /**
+ * Returns the response type.
+ *
+ * Can be set to change the response type. Values are: the empty string (default), "arraybuffer", "blob", "document", "json", and "text".
+ *
+ * When set: setting to "document" is ignored if current global object is not a Window object.
+ *
+ * When set: throws an "InvalidStateError" DOMException if state is loading or done.
+ *
+ * When set: throws an "InvalidAccessError" DOMException if the synchronous flag is set and current global object is a Window object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/responseType)
+ */
+ responseType: XMLHttpRequestResponseType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/responseURL) */
+ readonly responseURL: string;
+ /**
+ * Returns the response as document.
+ *
+ * Throws an "InvalidStateError" DOMException if responseType is not the empty string or "document".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/responseXML)
+ */
+ readonly responseXML: Document | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/status) */
+ readonly status: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/statusText) */
+ readonly statusText: string;
+ /**
+ * Can be set to a time in milliseconds. When set to a non-zero value will cause fetching to terminate after the given time has passed. When the time has passed, the request has not yet completed, and this's synchronous flag is unset, a timeout event will then be dispatched, or a "TimeoutError" DOMException will be thrown otherwise (for the send() method).
+ *
+ * When set: throws an "InvalidAccessError" DOMException if the synchronous flag is set and current global object is a Window object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/timeout)
+ */
+ timeout: number;
+ /**
+ * Returns the associated XMLHttpRequestUpload object. It can be used to gather transmission information when data is transferred to a server.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/upload)
+ */
+ readonly upload: XMLHttpRequestUpload;
+ /**
+ * True when credentials are to be included in a cross-origin request. False when they are to be excluded in a cross-origin request and when cookies are to be ignored in its response. Initially false.
+ *
+ * When set: throws an "InvalidStateError" DOMException if state is not unsent or opened, or if the send() flag is set.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/withCredentials)
+ */
+ withCredentials: boolean;
+ /**
+ * Cancels any network activity.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/abort)
+ */
+ abort(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/getAllResponseHeaders) */
+ getAllResponseHeaders(): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/getResponseHeader) */
+ getResponseHeader(name: string): string | null;
+ /**
+ * Sets the request method, request URL, and synchronous flag.
+ *
+ * Throws a "SyntaxError" DOMException if either method is not a valid method or url cannot be parsed.
+ *
+ * Throws a "SecurityError" DOMException if method is a case-insensitive match for \`CONNECT\`, \`TRACE\`, or \`TRACK\`.
+ *
+ * Throws an "InvalidAccessError" DOMException if async is false, current global object is a Window object, and the timeout attribute is not zero or the responseType attribute is not the empty string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/open)
+ */
+ open(method: string, url: string | URL): void;
+ open(method: string, url: string | URL, async: boolean, username?: string | null, password?: string | null): void;
+ /**
+ * Acts as if the \`Content-Type\` header value for a response is mime. (It does not change the header.)
+ *
+ * Throws an "InvalidStateError" DOMException if state is loading or done.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/overrideMimeType)
+ */
+ overrideMimeType(mime: string): void;
+ /**
+ * Initiates the request. The body argument provides the request body, if any, and is ignored if the request method is GET or HEAD.
+ *
+ * Throws an "InvalidStateError" DOMException if either state is not opened or the send() flag is set.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/send)
+ */
+ send(body?: Document | XMLHttpRequestBodyInit | null): void;
+ /**
+ * Combines a header in author request headers.
+ *
+ * Throws an "InvalidStateError" DOMException if either state is not opened or the send() flag is set.
+ *
+ * Throws a "SyntaxError" DOMException if name is not a header name or if value is not a header value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/setRequestHeader)
+ */
+ setRequestHeader(name: string, value: string): void;
+ readonly UNSENT: 0;
+ readonly OPENED: 1;
+ readonly HEADERS_RECEIVED: 2;
+ readonly LOADING: 3;
+ readonly DONE: 4;
+ addEventListener<K extends keyof XMLHttpRequestEventMap>(type: K, listener: (this: XMLHttpRequest, ev: XMLHttpRequestEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof XMLHttpRequestEventMap>(type: K, listener: (this: XMLHttpRequest, ev: XMLHttpRequestEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var XMLHttpRequest: {
+ prototype: XMLHttpRequest;
+ new(): XMLHttpRequest;
+ readonly UNSENT: 0;
+ readonly OPENED: 1;
+ readonly HEADERS_RECEIVED: 2;
+ readonly LOADING: 3;
+ readonly DONE: 4;
+};
+
+interface XMLHttpRequestEventTargetEventMap {
+ "abort": ProgressEvent<XMLHttpRequestEventTarget>;
+ "error": ProgressEvent<XMLHttpRequestEventTarget>;
+ "load": ProgressEvent<XMLHttpRequestEventTarget>;
+ "loadend": ProgressEvent<XMLHttpRequestEventTarget>;
+ "loadstart": ProgressEvent<XMLHttpRequestEventTarget>;
+ "progress": ProgressEvent<XMLHttpRequestEventTarget>;
+ "timeout": ProgressEvent<XMLHttpRequestEventTarget>;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequestEventTarget) */
+interface XMLHttpRequestEventTarget extends EventTarget {
+ onabort: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onerror: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onload: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onloadend: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onloadstart: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onprogress: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ ontimeout: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ addEventListener<K extends keyof XMLHttpRequestEventTargetEventMap>(type: K, listener: (this: XMLHttpRequestEventTarget, ev: XMLHttpRequestEventTargetEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof XMLHttpRequestEventTargetEventMap>(type: K, listener: (this: XMLHttpRequestEventTarget, ev: XMLHttpRequestEventTargetEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var XMLHttpRequestEventTarget: {
+ prototype: XMLHttpRequestEventTarget;
+ new(): XMLHttpRequestEventTarget;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequestUpload) */
+interface XMLHttpRequestUpload extends XMLHttpRequestEventTarget {
+ addEventListener<K extends keyof XMLHttpRequestEventTargetEventMap>(type: K, listener: (this: XMLHttpRequestUpload, ev: XMLHttpRequestEventTargetEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof XMLHttpRequestEventTargetEventMap>(type: K, listener: (this: XMLHttpRequestUpload, ev: XMLHttpRequestEventTargetEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var XMLHttpRequestUpload: {
+ prototype: XMLHttpRequestUpload;
+ new(): XMLHttpRequestUpload;
+};
+
+/**
+ * Provides the serializeToString() method to construct an XML string representing a DOM tree.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLSerializer)
+ */
+interface XMLSerializer {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLSerializer/serializeToString) */
+ serializeToString(root: Node): string;
+}
+
+declare var XMLSerializer: {
+ prototype: XMLSerializer;
+ new(): XMLSerializer;
+};
+
+/**
+ * The XPathEvaluator interface allows to compile and evaluate XPath expressions.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathEvaluator)
+ */
+interface XPathEvaluator extends XPathEvaluatorBase {
+}
+
+declare var XPathEvaluator: {
+ prototype: XPathEvaluator;
+ new(): XPathEvaluator;
+};
+
+interface XPathEvaluatorBase {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createExpression) */
+ createExpression(expression: string, resolver?: XPathNSResolver | null): XPathExpression;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/createNSResolver) */
+ createNSResolver(nodeResolver: Node): Node;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/evaluate) */
+ evaluate(expression: string, contextNode: Node, resolver?: XPathNSResolver | null, type?: number, result?: XPathResult | null): XPathResult;
+}
+
+/**
+ * This interface is a compiled XPath expression that can be evaluated on a document or specific node to return information its DOM tree.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathExpression)
+ */
+interface XPathExpression {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathExpression/evaluate) */
+ evaluate(contextNode: Node, type?: number, result?: XPathResult | null): XPathResult;
+}
+
+declare var XPathExpression: {
+ prototype: XPathExpression;
+ new(): XPathExpression;
+};
+
+/**
+ * The results generated by evaluating an XPath expression within the context of a given node.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult)
+ */
+interface XPathResult {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/booleanValue) */
+ readonly booleanValue: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/invalidIteratorState) */
+ readonly invalidIteratorState: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/numberValue) */
+ readonly numberValue: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/resultType) */
+ readonly resultType: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/singleNodeValue) */
+ readonly singleNodeValue: Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/snapshotLength) */
+ readonly snapshotLength: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/stringValue) */
+ readonly stringValue: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/iterateNext) */
+ iterateNext(): Node | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XPathResult/snapshotItem) */
+ snapshotItem(index: number): Node | null;
+ readonly ANY_TYPE: 0;
+ readonly NUMBER_TYPE: 1;
+ readonly STRING_TYPE: 2;
+ readonly BOOLEAN_TYPE: 3;
+ readonly UNORDERED_NODE_ITERATOR_TYPE: 4;
+ readonly ORDERED_NODE_ITERATOR_TYPE: 5;
+ readonly UNORDERED_NODE_SNAPSHOT_TYPE: 6;
+ readonly ORDERED_NODE_SNAPSHOT_TYPE: 7;
+ readonly ANY_UNORDERED_NODE_TYPE: 8;
+ readonly FIRST_ORDERED_NODE_TYPE: 9;
+}
+
+declare var XPathResult: {
+ prototype: XPathResult;
+ new(): XPathResult;
+ readonly ANY_TYPE: 0;
+ readonly NUMBER_TYPE: 1;
+ readonly STRING_TYPE: 2;
+ readonly BOOLEAN_TYPE: 3;
+ readonly UNORDERED_NODE_ITERATOR_TYPE: 4;
+ readonly ORDERED_NODE_ITERATOR_TYPE: 5;
+ readonly UNORDERED_NODE_SNAPSHOT_TYPE: 6;
+ readonly ORDERED_NODE_SNAPSHOT_TYPE: 7;
+ readonly ANY_UNORDERED_NODE_TYPE: 8;
+ readonly FIRST_ORDERED_NODE_TYPE: 9;
+};
+
+/**
+ * An XSLTProcessor applies an XSLT stylesheet transformation to an XML document to produce a new XML document as output. It has methods to load the XSLT stylesheet, to manipulate <xsl:param> parameter values, and to apply the transformation to documents.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor)
+ */
+interface XSLTProcessor {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor/clearParameters) */
+ clearParameters(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor/getParameter) */
+ getParameter(namespaceURI: string | null, localName: string): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor/importStylesheet) */
+ importStylesheet(style: Node): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor/removeParameter) */
+ removeParameter(namespaceURI: string | null, localName: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor/reset) */
+ reset(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor/setParameter) */
+ setParameter(namespaceURI: string | null, localName: string, value: any): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor/transformToDocument) */
+ transformToDocument(source: Node): Document;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XSLTProcessor/transformToFragment) */
+ transformToFragment(source: Node, output: Document): DocumentFragment;
+}
+
+declare var XSLTProcessor: {
+ prototype: XSLTProcessor;
+ new(): XSLTProcessor;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console) */
+interface Console {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/assert_static) */
+ assert(condition?: boolean, ...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/clear_static) */
+ clear(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/count_static) */
+ count(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/countReset_static) */
+ countReset(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/debug_static) */
+ debug(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/dir_static) */
+ dir(item?: any, options?: any): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/dirxml_static) */
+ dirxml(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/error_static) */
+ error(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/group_static) */
+ group(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/groupCollapsed_static) */
+ groupCollapsed(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/groupEnd_static) */
+ groupEnd(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/info_static) */
+ info(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/log_static) */
+ log(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/table_static) */
+ table(tabularData?: any, properties?: string[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/time_static) */
+ time(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/timeEnd_static) */
+ timeEnd(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/timeLog_static) */
+ timeLog(label?: string, ...data: any[]): void;
+ timeStamp(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/trace_static) */
+ trace(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/warn_static) */
+ warn(...data: any[]): void;
+}
+
+declare var console: Console;
+
+/** Holds useful CSS-related methods. No object with this interface are implemented: it contains only static methods and therefore is a utilitarian interface. */
+declare namespace CSS {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/highlights_static) */
+ var highlights: HighlightRegistry;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function Hz(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function Q(value: number): CSSUnitValue;
+ function cap(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function ch(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function cm(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function cqb(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function cqh(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function cqi(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function cqmax(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function cqmin(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function cqw(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function deg(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dpcm(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dpi(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dppx(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dvb(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dvh(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dvi(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dvmax(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dvmin(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function dvw(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function em(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/escape_static) */
+ function escape(ident: string): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function ex(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function fr(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function grad(value: number): CSSUnitValue;
+ function ic(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function kHz(value: number): CSSUnitValue;
+ function lh(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function lvb(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function lvh(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function lvi(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function lvmax(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function lvmin(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function lvw(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function mm(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function ms(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function number(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function pc(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function percent(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function pt(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function px(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function rad(value: number): CSSUnitValue;
+ function rcap(value: number): CSSUnitValue;
+ function rch(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/registerProperty_static) */
+ function registerProperty(definition: PropertyDefinition): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function rem(value: number): CSSUnitValue;
+ function rex(value: number): CSSUnitValue;
+ function ric(value: number): CSSUnitValue;
+ function rlh(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function s(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/supports_static) */
+ function supports(property: string, value: string): boolean;
+ function supports(conditionText: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function svb(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function svh(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function svi(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function svmax(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function svmin(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function svw(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function turn(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function vb(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function vh(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function vi(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function vmax(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function vmin(value: number): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSS/factory_functions_static) */
+ function vw(value: number): CSSUnitValue;
+}
+
+declare namespace WebAssembly {
+ interface CompileError extends Error {
+ }
+
+ var CompileError: {
+ prototype: CompileError;
+ new(message?: string): CompileError;
+ (message?: string): CompileError;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Global) */
+ interface Global<T extends ValueType = ValueType> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Global/value) */
+ value: ValueTypeMap[T];
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Global/valueOf) */
+ valueOf(): ValueTypeMap[T];
+ }
+
+ var Global: {
+ prototype: Global;
+ new<T extends ValueType = ValueType>(descriptor: GlobalDescriptor<T>, v?: ValueTypeMap[T]): Global<T>;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Instance) */
+ interface Instance {
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Instance/exports) */
+ readonly exports: Exports;
+ }
+
+ var Instance: {
+ prototype: Instance;
+ new(module: Module, importObject?: Imports): Instance;
+ };
+
+ interface LinkError extends Error {
+ }
+
+ var LinkError: {
+ prototype: LinkError;
+ new(message?: string): LinkError;
+ (message?: string): LinkError;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Memory) */
+ interface Memory {
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Memory/buffer) */
+ readonly buffer: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Memory/grow) */
+ grow(delta: number): number;
+ }
+
+ var Memory: {
+ prototype: Memory;
+ new(descriptor: MemoryDescriptor): Memory;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Module) */
+ interface Module {
+ }
+
+ var Module: {
+ prototype: Module;
+ new(bytes: BufferSource): Module;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Module/customSections_static) */
+ customSections(moduleObject: Module, sectionName: string): ArrayBuffer[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Module/exports_static) */
+ exports(moduleObject: Module): ModuleExportDescriptor[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Module/imports_static) */
+ imports(moduleObject: Module): ModuleImportDescriptor[];
+ };
+
+ interface RuntimeError extends Error {
+ }
+
+ var RuntimeError: {
+ prototype: RuntimeError;
+ new(message?: string): RuntimeError;
+ (message?: string): RuntimeError;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table) */
+ interface Table {
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table/get) */
+ get(index: number): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table/grow) */
+ grow(delta: number, value?: any): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table/set) */
+ set(index: number, value?: any): void;
+ }
+
+ var Table: {
+ prototype: Table;
+ new(descriptor: TableDescriptor, value?: any): Table;
+ };
+
+ interface GlobalDescriptor<T extends ValueType = ValueType> {
+ mutable?: boolean;
+ value: T;
+ }
+
+ interface MemoryDescriptor {
+ initial: number;
+ maximum?: number;
+ shared?: boolean;
+ }
+
+ interface ModuleExportDescriptor {
+ kind: ImportExportKind;
+ name: string;
+ }
+
+ interface ModuleImportDescriptor {
+ kind: ImportExportKind;
+ module: string;
+ name: string;
+ }
+
+ interface TableDescriptor {
+ element: TableKind;
+ initial: number;
+ maximum?: number;
+ }
+
+ interface ValueTypeMap {
+ anyfunc: Function;
+ externref: any;
+ f32: number;
+ f64: number;
+ i32: number;
+ i64: bigint;
+ v128: never;
+ }
+
+ interface WebAssemblyInstantiatedSource {
+ instance: Instance;
+ module: Module;
+ }
+
+ type ImportExportKind = "function" | "global" | "memory" | "table";
+ type TableKind = "anyfunc" | "externref";
+ type ExportValue = Function | Global | Memory | Table;
+ type Exports = Record<string, ExportValue>;
+ type ImportValue = ExportValue | number;
+ type Imports = Record<string, ModuleImports>;
+ type ModuleImports = Record<string, ImportValue>;
+ type ValueType = keyof ValueTypeMap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/compile_static) */
+ function compile(bytes: BufferSource): Promise<Module>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/compileStreaming_static) */
+ function compileStreaming(source: Response | PromiseLike<Response>): Promise<Module>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/instantiate_static) */
+ function instantiate(bytes: BufferSource, importObject?: Imports): Promise<WebAssemblyInstantiatedSource>;
+ function instantiate(moduleObject: Module, importObject?: Imports): Promise<Instance>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/instantiateStreaming_static) */
+ function instantiateStreaming(source: Response | PromiseLike<Response>, importObject?: Imports): Promise<WebAssemblyInstantiatedSource>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/validate_static) */
+ function validate(bytes: BufferSource): boolean;
+}
+
+interface BlobCallback {
+ (blob: Blob | null): void;
+}
+
+interface CustomElementConstructor {
+ new (...params: any[]): HTMLElement;
+}
+
+interface DecodeErrorCallback {
+ (error: DOMException): void;
+}
+
+interface DecodeSuccessCallback {
+ (decodedData: AudioBuffer): void;
+}
+
+interface EncodedVideoChunkOutputCallback {
+ (chunk: EncodedVideoChunk, metadata?: EncodedVideoChunkMetadata): void;
+}
+
+interface ErrorCallback {
+ (err: DOMException): void;
+}
+
+interface FileCallback {
+ (file: File): void;
+}
+
+interface FileSystemEntriesCallback {
+ (entries: FileSystemEntry[]): void;
+}
+
+interface FileSystemEntryCallback {
+ (entry: FileSystemEntry): void;
+}
+
+interface FrameRequestCallback {
+ (time: DOMHighResTimeStamp): void;
+}
+
+interface FunctionStringCallback {
+ (data: string): void;
+}
+
+interface IdleRequestCallback {
+ (deadline: IdleDeadline): void;
+}
+
+interface IntersectionObserverCallback {
+ (entries: IntersectionObserverEntry[], observer: IntersectionObserver): void;
+}
+
+interface LockGrantedCallback {
+ (lock: Lock | null): any;
+}
+
+interface MediaSessionActionHandler {
+ (details: MediaSessionActionDetails): void;
+}
+
+interface MutationCallback {
+ (mutations: MutationRecord[], observer: MutationObserver): void;
+}
+
+interface NotificationPermissionCallback {
+ (permission: NotificationPermission): void;
+}
+
+interface OnBeforeUnloadEventHandlerNonNull {
+ (event: Event): string | null;
+}
+
+interface OnErrorEventHandlerNonNull {
+ (event: Event | string, source?: string, lineno?: number, colno?: number, error?: Error): any;
+}
+
+interface PerformanceObserverCallback {
+ (entries: PerformanceObserverEntryList, observer: PerformanceObserver): void;
+}
+
+interface PositionCallback {
+ (position: GeolocationPosition): void;
+}
+
+interface PositionErrorCallback {
+ (positionError: GeolocationPositionError): void;
+}
+
+interface QueuingStrategySize<T = any> {
+ (chunk: T): number;
+}
+
+interface RTCPeerConnectionErrorCallback {
+ (error: DOMException): void;
+}
+
+interface RTCSessionDescriptionCallback {
+ (description: RTCSessionDescriptionInit): void;
+}
+
+interface RemotePlaybackAvailabilityCallback {
+ (available: boolean): void;
+}
+
+interface ReportingObserverCallback {
+ (reports: Report[], observer: ReportingObserver): void;
+}
+
+interface ResizeObserverCallback {
+ (entries: ResizeObserverEntry[], observer: ResizeObserver): void;
+}
+
+interface TransformerFlushCallback<O> {
+ (controller: TransformStreamDefaultController<O>): void | PromiseLike<void>;
+}
+
+interface TransformerStartCallback<O> {
+ (controller: TransformStreamDefaultController<O>): any;
+}
+
+interface TransformerTransformCallback<I, O> {
+ (chunk: I, controller: TransformStreamDefaultController<O>): void | PromiseLike<void>;
+}
+
+interface UnderlyingSinkAbortCallback {
+ (reason?: any): void | PromiseLike<void>;
+}
+
+interface UnderlyingSinkCloseCallback {
+ (): void | PromiseLike<void>;
+}
+
+interface UnderlyingSinkStartCallback {
+ (controller: WritableStreamDefaultController): any;
+}
+
+interface UnderlyingSinkWriteCallback<W> {
+ (chunk: W, controller: WritableStreamDefaultController): void | PromiseLike<void>;
+}
+
+interface UnderlyingSourceCancelCallback {
+ (reason?: any): void | PromiseLike<void>;
+}
+
+interface UnderlyingSourcePullCallback<R> {
+ (controller: ReadableStreamController<R>): void | PromiseLike<void>;
+}
+
+interface UnderlyingSourceStartCallback<R> {
+ (controller: ReadableStreamController<R>): any;
+}
+
+interface VideoFrameOutputCallback {
+ (output: VideoFrame): void;
+}
+
+interface VideoFrameRequestCallback {
+ (now: DOMHighResTimeStamp, metadata: VideoFrameCallbackMetadata): void;
+}
+
+interface VoidFunction {
+ (): void;
+}
+
+interface WebCodecsErrorCallback {
+ (error: DOMException): void;
+}
+
+interface HTMLElementTagNameMap {
+ "a": HTMLAnchorElement;
+ "abbr": HTMLElement;
+ "address": HTMLElement;
+ "area": HTMLAreaElement;
+ "article": HTMLElement;
+ "aside": HTMLElement;
+ "audio": HTMLAudioElement;
+ "b": HTMLElement;
+ "base": HTMLBaseElement;
+ "bdi": HTMLElement;
+ "bdo": HTMLElement;
+ "blockquote": HTMLQuoteElement;
+ "body": HTMLBodyElement;
+ "br": HTMLBRElement;
+ "button": HTMLButtonElement;
+ "canvas": HTMLCanvasElement;
+ "caption": HTMLTableCaptionElement;
+ "cite": HTMLElement;
+ "code": HTMLElement;
+ "col": HTMLTableColElement;
+ "colgroup": HTMLTableColElement;
+ "data": HTMLDataElement;
+ "datalist": HTMLDataListElement;
+ "dd": HTMLElement;
+ "del": HTMLModElement;
+ "details": HTMLDetailsElement;
+ "dfn": HTMLElement;
+ "dialog": HTMLDialogElement;
+ "div": HTMLDivElement;
+ "dl": HTMLDListElement;
+ "dt": HTMLElement;
+ "em": HTMLElement;
+ "embed": HTMLEmbedElement;
+ "fieldset": HTMLFieldSetElement;
+ "figcaption": HTMLElement;
+ "figure": HTMLElement;
+ "footer": HTMLElement;
+ "form": HTMLFormElement;
+ "h1": HTMLHeadingElement;
+ "h2": HTMLHeadingElement;
+ "h3": HTMLHeadingElement;
+ "h4": HTMLHeadingElement;
+ "h5": HTMLHeadingElement;
+ "h6": HTMLHeadingElement;
+ "head": HTMLHeadElement;
+ "header": HTMLElement;
+ "hgroup": HTMLElement;
+ "hr": HTMLHRElement;
+ "html": HTMLHtmlElement;
+ "i": HTMLElement;
+ "iframe": HTMLIFrameElement;
+ "img": HTMLImageElement;
+ "input": HTMLInputElement;
+ "ins": HTMLModElement;
+ "kbd": HTMLElement;
+ "label": HTMLLabelElement;
+ "legend": HTMLLegendElement;
+ "li": HTMLLIElement;
+ "link": HTMLLinkElement;
+ "main": HTMLElement;
+ "map": HTMLMapElement;
+ "mark": HTMLElement;
+ "menu": HTMLMenuElement;
+ "meta": HTMLMetaElement;
+ "meter": HTMLMeterElement;
+ "nav": HTMLElement;
+ "noscript": HTMLElement;
+ "object": HTMLObjectElement;
+ "ol": HTMLOListElement;
+ "optgroup": HTMLOptGroupElement;
+ "option": HTMLOptionElement;
+ "output": HTMLOutputElement;
+ "p": HTMLParagraphElement;
+ "picture": HTMLPictureElement;
+ "pre": HTMLPreElement;
+ "progress": HTMLProgressElement;
+ "q": HTMLQuoteElement;
+ "rp": HTMLElement;
+ "rt": HTMLElement;
+ "ruby": HTMLElement;
+ "s": HTMLElement;
+ "samp": HTMLElement;
+ "script": HTMLScriptElement;
+ "search": HTMLElement;
+ "section": HTMLElement;
+ "select": HTMLSelectElement;
+ "slot": HTMLSlotElement;
+ "small": HTMLElement;
+ "source": HTMLSourceElement;
+ "span": HTMLSpanElement;
+ "strong": HTMLElement;
+ "style": HTMLStyleElement;
+ "sub": HTMLElement;
+ "summary": HTMLElement;
+ "sup": HTMLElement;
+ "table": HTMLTableElement;
+ "tbody": HTMLTableSectionElement;
+ "td": HTMLTableCellElement;
+ "template": HTMLTemplateElement;
+ "textarea": HTMLTextAreaElement;
+ "tfoot": HTMLTableSectionElement;
+ "th": HTMLTableCellElement;
+ "thead": HTMLTableSectionElement;
+ "time": HTMLTimeElement;
+ "title": HTMLTitleElement;
+ "tr": HTMLTableRowElement;
+ "track": HTMLTrackElement;
+ "u": HTMLElement;
+ "ul": HTMLUListElement;
+ "var": HTMLElement;
+ "video": HTMLVideoElement;
+ "wbr": HTMLElement;
+}
+
+interface HTMLElementDeprecatedTagNameMap {
+ "acronym": HTMLElement;
+ "applet": HTMLUnknownElement;
+ "basefont": HTMLElement;
+ "bgsound": HTMLUnknownElement;
+ "big": HTMLElement;
+ "blink": HTMLUnknownElement;
+ "center": HTMLElement;
+ "dir": HTMLDirectoryElement;
+ "font": HTMLFontElement;
+ "frame": HTMLFrameElement;
+ "frameset": HTMLFrameSetElement;
+ "isindex": HTMLUnknownElement;
+ "keygen": HTMLUnknownElement;
+ "listing": HTMLPreElement;
+ "marquee": HTMLMarqueeElement;
+ "menuitem": HTMLElement;
+ "multicol": HTMLUnknownElement;
+ "nextid": HTMLUnknownElement;
+ "nobr": HTMLElement;
+ "noembed": HTMLElement;
+ "noframes": HTMLElement;
+ "param": HTMLParamElement;
+ "plaintext": HTMLElement;
+ "rb": HTMLElement;
+ "rtc": HTMLElement;
+ "spacer": HTMLUnknownElement;
+ "strike": HTMLElement;
+ "tt": HTMLElement;
+ "xmp": HTMLPreElement;
+}
+
+interface SVGElementTagNameMap {
+ "a": SVGAElement;
+ "animate": SVGAnimateElement;
+ "animateMotion": SVGAnimateMotionElement;
+ "animateTransform": SVGAnimateTransformElement;
+ "circle": SVGCircleElement;
+ "clipPath": SVGClipPathElement;
+ "defs": SVGDefsElement;
+ "desc": SVGDescElement;
+ "ellipse": SVGEllipseElement;
+ "feBlend": SVGFEBlendElement;
+ "feColorMatrix": SVGFEColorMatrixElement;
+ "feComponentTransfer": SVGFEComponentTransferElement;
+ "feComposite": SVGFECompositeElement;
+ "feConvolveMatrix": SVGFEConvolveMatrixElement;
+ "feDiffuseLighting": SVGFEDiffuseLightingElement;
+ "feDisplacementMap": SVGFEDisplacementMapElement;
+ "feDistantLight": SVGFEDistantLightElement;
+ "feDropShadow": SVGFEDropShadowElement;
+ "feFlood": SVGFEFloodElement;
+ "feFuncA": SVGFEFuncAElement;
+ "feFuncB": SVGFEFuncBElement;
+ "feFuncG": SVGFEFuncGElement;
+ "feFuncR": SVGFEFuncRElement;
+ "feGaussianBlur": SVGFEGaussianBlurElement;
+ "feImage": SVGFEImageElement;
+ "feMerge": SVGFEMergeElement;
+ "feMergeNode": SVGFEMergeNodeElement;
+ "feMorphology": SVGFEMorphologyElement;
+ "feOffset": SVGFEOffsetElement;
+ "fePointLight": SVGFEPointLightElement;
+ "feSpecularLighting": SVGFESpecularLightingElement;
+ "feSpotLight": SVGFESpotLightElement;
+ "feTile": SVGFETileElement;
+ "feTurbulence": SVGFETurbulenceElement;
+ "filter": SVGFilterElement;
+ "foreignObject": SVGForeignObjectElement;
+ "g": SVGGElement;
+ "image": SVGImageElement;
+ "line": SVGLineElement;
+ "linearGradient": SVGLinearGradientElement;
+ "marker": SVGMarkerElement;
+ "mask": SVGMaskElement;
+ "metadata": SVGMetadataElement;
+ "mpath": SVGMPathElement;
+ "path": SVGPathElement;
+ "pattern": SVGPatternElement;
+ "polygon": SVGPolygonElement;
+ "polyline": SVGPolylineElement;
+ "radialGradient": SVGRadialGradientElement;
+ "rect": SVGRectElement;
+ "script": SVGScriptElement;
+ "set": SVGSetElement;
+ "stop": SVGStopElement;
+ "style": SVGStyleElement;
+ "svg": SVGSVGElement;
+ "switch": SVGSwitchElement;
+ "symbol": SVGSymbolElement;
+ "text": SVGTextElement;
+ "textPath": SVGTextPathElement;
+ "title": SVGTitleElement;
+ "tspan": SVGTSpanElement;
+ "use": SVGUseElement;
+ "view": SVGViewElement;
+}
+
+interface MathMLElementTagNameMap {
+ "annotation": MathMLElement;
+ "annotation-xml": MathMLElement;
+ "maction": MathMLElement;
+ "math": MathMLElement;
+ "merror": MathMLElement;
+ "mfrac": MathMLElement;
+ "mi": MathMLElement;
+ "mmultiscripts": MathMLElement;
+ "mn": MathMLElement;
+ "mo": MathMLElement;
+ "mover": MathMLElement;
+ "mpadded": MathMLElement;
+ "mphantom": MathMLElement;
+ "mprescripts": MathMLElement;
+ "mroot": MathMLElement;
+ "mrow": MathMLElement;
+ "ms": MathMLElement;
+ "mspace": MathMLElement;
+ "msqrt": MathMLElement;
+ "mstyle": MathMLElement;
+ "msub": MathMLElement;
+ "msubsup": MathMLElement;
+ "msup": MathMLElement;
+ "mtable": MathMLElement;
+ "mtd": MathMLElement;
+ "mtext": MathMLElement;
+ "mtr": MathMLElement;
+ "munder": MathMLElement;
+ "munderover": MathMLElement;
+ "semantics": MathMLElement;
+}
+
+/** @deprecated Directly use HTMLElementTagNameMap or SVGElementTagNameMap as appropriate, instead. */
+type ElementTagNameMap = HTMLElementTagNameMap & Pick<SVGElementTagNameMap, Exclude<keyof SVGElementTagNameMap, keyof HTMLElementTagNameMap>>;
+
+declare var Audio: {
+ new(src?: string): HTMLAudioElement;
+};
+declare var Image: {
+ new(width?: number, height?: number): HTMLImageElement;
+};
+declare var Option: {
+ new(text?: string, value?: string, defaultSelected?: boolean, selected?: boolean): HTMLOptionElement;
+};
+/**
+ * @deprecated This is a legacy alias of \`navigator\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/navigator)
+ */
+declare var clientInformation: Navigator;
+/**
+ * Returns true if the window has been closed, false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/closed)
+ */
+declare var closed: boolean;
+/**
+ * Defines a new custom element, mapping the given name to the given constructor as an autonomous custom element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/customElements)
+ */
+declare var customElements: CustomElementRegistry;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/devicePixelRatio) */
+declare var devicePixelRatio: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/document) */
+declare var document: Document;
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/event)
+ */
+declare var event: Event | undefined;
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/external)
+ */
+declare var external: External;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/frameElement) */
+declare var frameElement: Element | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/frames) */
+declare var frames: WindowProxy;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/history) */
+declare var history: History;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/innerHeight) */
+declare var innerHeight: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/innerWidth) */
+declare var innerWidth: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/length) */
+declare var length: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/location) */
+declare var location: Location;
+/**
+ * Returns true if the location bar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/locationbar)
+ */
+declare var locationbar: BarProp;
+/**
+ * Returns true if the menu bar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/menubar)
+ */
+declare var menubar: BarProp;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/name) */
+/** @deprecated */
+declare const name: void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/navigator) */
+declare var navigator: Navigator;
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/devicemotion_event)
+ */
+declare var ondevicemotion: ((this: Window, ev: DeviceMotionEvent) => any) | null;
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/deviceorientation_event)
+ */
+declare var ondeviceorientation: ((this: Window, ev: DeviceOrientationEvent) => any) | null;
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/deviceorientationabsolute_event)
+ */
+declare var ondeviceorientationabsolute: ((this: Window, ev: DeviceOrientationEvent) => any) | null;
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/orientationchange_event)
+ */
+declare var onorientationchange: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/opener) */
+declare var opener: any;
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/orientation)
+ */
+declare var orientation: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/outerHeight) */
+declare var outerHeight: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/outerWidth) */
+declare var outerWidth: number;
+/**
+ * @deprecated This is a legacy alias of \`scrollX\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollX)
+ */
+declare var pageXOffset: number;
+/**
+ * @deprecated This is a legacy alias of \`scrollY\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollY)
+ */
+declare var pageYOffset: number;
+/**
+ * Refers to either the parent WindowProxy, or itself.
+ *
+ * It can rarely be null e.g. for contentWindow of an iframe that is already removed from the parent.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/parent)
+ */
+declare var parent: WindowProxy;
+/**
+ * Returns true if the personal bar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/personalbar)
+ */
+declare var personalbar: BarProp;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screen) */
+declare var screen: Screen;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screenLeft) */
+declare var screenLeft: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screenTop) */
+declare var screenTop: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screenX) */
+declare var screenX: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/screenY) */
+declare var screenY: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollX) */
+declare var scrollX: number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollY) */
+declare var scrollY: number;
+/**
+ * Returns true if the scrollbars are visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollbars)
+ */
+declare var scrollbars: BarProp;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/self) */
+declare var self: Window & typeof globalThis;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/speechSynthesis) */
+declare var speechSynthesis: SpeechSynthesis;
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/status)
+ */
+declare var status: string;
+/**
+ * Returns true if the status bar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/statusbar)
+ */
+declare var statusbar: BarProp;
+/**
+ * Returns true if the toolbar is visible; otherwise, returns false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/toolbar)
+ */
+declare var toolbar: BarProp;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/top) */
+declare var top: WindowProxy | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/visualViewport) */
+declare var visualViewport: VisualViewport | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/window) */
+declare var window: Window & typeof globalThis;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/alert) */
+declare function alert(message?: any): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/blur) */
+declare function blur(): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/cancelIdleCallback) */
+declare function cancelIdleCallback(handle: number): void;
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/captureEvents)
+ */
+declare function captureEvents(): void;
+/**
+ * Closes the window.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/close)
+ */
+declare function close(): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/confirm) */
+declare function confirm(message?: string): boolean;
+/**
+ * Moves the focus to the window's browsing context, if any.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/focus)
+ */
+declare function focus(): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/getComputedStyle) */
+declare function getComputedStyle(elt: Element, pseudoElt?: string | null): CSSStyleDeclaration;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/getSelection) */
+declare function getSelection(): Selection | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/matchMedia) */
+declare function matchMedia(query: string): MediaQueryList;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/moveBy) */
+declare function moveBy(x: number, y: number): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/moveTo) */
+declare function moveTo(x: number, y: number): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/open) */
+declare function open(url?: string | URL, target?: string, features?: string): WindowProxy | null;
+/**
+ * Posts a message to the given window. Messages can be structured objects, e.g. nested objects and arrays, can contain JavaScript values (strings, numbers, Date objects, etc), and can contain certain data objects such as File Blob, FileList, and ArrayBuffer objects.
+ *
+ * Objects listed in the transfer member of options are transferred, not just cloned, meaning that they are no longer usable on the sending side.
+ *
+ * A target origin can be specified using the targetOrigin member of options. If not provided, it defaults to "/". This default restricts the message to same-origin targets only.
+ *
+ * If the origin of the target window doesn't match the given target origin, the message is discarded, to avoid information leakage. To send the message to the target regardless of origin, set the target origin to "*".
+ *
+ * Throws a "DataCloneError" DOMException if transfer array contains duplicate objects or if message could not be cloned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/postMessage)
+ */
+declare function postMessage(message: any, targetOrigin: string, transfer?: Transferable[]): void;
+declare function postMessage(message: any, options?: WindowPostMessageOptions): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/print) */
+declare function print(): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/prompt) */
+declare function prompt(message?: string, _default?: string): string | null;
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/releaseEvents)
+ */
+declare function releaseEvents(): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/requestIdleCallback) */
+declare function requestIdleCallback(callback: IdleRequestCallback, options?: IdleRequestOptions): number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/resizeBy) */
+declare function resizeBy(x: number, y: number): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/resizeTo) */
+declare function resizeTo(width: number, height: number): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scroll) */
+declare function scroll(options?: ScrollToOptions): void;
+declare function scroll(x: number, y: number): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollBy) */
+declare function scrollBy(options?: ScrollToOptions): void;
+declare function scrollBy(x: number, y: number): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/scrollTo) */
+declare function scrollTo(options?: ScrollToOptions): void;
+declare function scrollTo(x: number, y: number): void;
+/**
+ * Cancels the document load.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/stop)
+ */
+declare function stop(): void;
+declare function toString(): string;
+/**
+ * Dispatches a synthetic event event to target and returns true if either event's cancelable attribute value is false or its preventDefault() method was not invoked, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/dispatchEvent)
+ */
+declare function dispatchEvent(event: Event): boolean;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/cancelAnimationFrame) */
+declare function cancelAnimationFrame(handle: number): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/requestAnimationFrame) */
+declare function requestAnimationFrame(callback: FrameRequestCallback): number;
+/**
+ * Fires when the user aborts the download.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/abort_event)
+ */
+declare var onabort: ((this: Window, ev: UIEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationcancel_event) */
+declare var onanimationcancel: ((this: Window, ev: AnimationEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationend_event) */
+declare var onanimationend: ((this: Window, ev: AnimationEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationiteration_event) */
+declare var onanimationiteration: ((this: Window, ev: AnimationEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationstart_event) */
+declare var onanimationstart: ((this: Window, ev: AnimationEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/auxclick_event) */
+declare var onauxclick: ((this: Window, ev: MouseEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/beforeinput_event) */
+declare var onbeforeinput: ((this: Window, ev: InputEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/beforetoggle_event) */
+declare var onbeforetoggle: ((this: Window, ev: Event) => any) | null;
+/**
+ * Fires when the object loses the input focus.
+ * @param ev The focus event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/blur_event)
+ */
+declare var onblur: ((this: Window, ev: FocusEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/cancel_event) */
+declare var oncancel: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when playback is possible, but would require further buffering.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/canplay_event)
+ */
+declare var oncanplay: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/canplaythrough_event) */
+declare var oncanplaythrough: ((this: Window, ev: Event) => any) | null;
+/**
+ * Fires when the contents of the object or selection have changed.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/change_event)
+ */
+declare var onchange: ((this: Window, ev: Event) => any) | null;
+/**
+ * Fires when the user clicks the left mouse button on the object
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/click_event)
+ */
+declare var onclick: ((this: Window, ev: MouseEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDialogElement/close_event) */
+declare var onclose: ((this: Window, ev: Event) => any) | null;
+/**
+ * Fires when the user clicks the right mouse button in the client area, opening the context menu.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/contextmenu_event)
+ */
+declare var oncontextmenu: ((this: Window, ev: MouseEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/copy_event) */
+declare var oncopy: ((this: Window, ev: ClipboardEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLTrackElement/cuechange_event) */
+declare var oncuechange: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/cut_event) */
+declare var oncut: ((this: Window, ev: ClipboardEvent) => any) | null;
+/**
+ * Fires when the user double-clicks the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/dblclick_event)
+ */
+declare var ondblclick: ((this: Window, ev: MouseEvent) => any) | null;
+/**
+ * Fires on the source object continuously during a drag operation.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/drag_event)
+ */
+declare var ondrag: ((this: Window, ev: DragEvent) => any) | null;
+/**
+ * Fires on the source object when the user releases the mouse at the close of a drag operation.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragend_event)
+ */
+declare var ondragend: ((this: Window, ev: DragEvent) => any) | null;
+/**
+ * Fires on the target element when the user drags the object to a valid drop target.
+ * @param ev The drag event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragenter_event)
+ */
+declare var ondragenter: ((this: Window, ev: DragEvent) => any) | null;
+/**
+ * Fires on the target object when the user moves the mouse out of a valid drop target during a drag operation.
+ * @param ev The drag event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragleave_event)
+ */
+declare var ondragleave: ((this: Window, ev: DragEvent) => any) | null;
+/**
+ * Fires on the target element continuously while the user drags the object over a valid drop target.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragover_event)
+ */
+declare var ondragover: ((this: Window, ev: DragEvent) => any) | null;
+/**
+ * Fires on the source object when the user starts to drag a text selection or selected object.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/dragstart_event)
+ */
+declare var ondragstart: ((this: Window, ev: DragEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/drop_event) */
+declare var ondrop: ((this: Window, ev: DragEvent) => any) | null;
+/**
+ * Occurs when the duration attribute is updated.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/durationchange_event)
+ */
+declare var ondurationchange: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when the media element is reset to its initial state.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/emptied_event)
+ */
+declare var onemptied: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when the end of playback is reached.
+ * @param ev The event
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/ended_event)
+ */
+declare var onended: ((this: Window, ev: Event) => any) | null;
+/**
+ * Fires when an error occurs during object loading.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/error_event)
+ */
+declare var onerror: OnErrorEventHandler;
+/**
+ * Fires when the object receives focus.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/focus_event)
+ */
+declare var onfocus: ((this: Window, ev: FocusEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/formdata_event) */
+declare var onformdata: ((this: Window, ev: FormDataEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/gotpointercapture_event) */
+declare var ongotpointercapture: ((this: Window, ev: PointerEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/input_event) */
+declare var oninput: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/invalid_event) */
+declare var oninvalid: ((this: Window, ev: Event) => any) | null;
+/**
+ * Fires when the user presses a key.
+ * @param ev The keyboard event
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/keydown_event)
+ */
+declare var onkeydown: ((this: Window, ev: KeyboardEvent) => any) | null;
+/**
+ * Fires when the user presses an alphanumeric key.
+ * @param ev The event.
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/keypress_event)
+ */
+declare var onkeypress: ((this: Window, ev: KeyboardEvent) => any) | null;
+/**
+ * Fires when the user releases a key.
+ * @param ev The keyboard event
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/keyup_event)
+ */
+declare var onkeyup: ((this: Window, ev: KeyboardEvent) => any) | null;
+/**
+ * Fires immediately after the browser loads the object.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SVGElement/load_event)
+ */
+declare var onload: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when media data is loaded at the current playback position.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/loadeddata_event)
+ */
+declare var onloadeddata: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when the duration and dimensions of the media have been determined.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/loadedmetadata_event)
+ */
+declare var onloadedmetadata: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when Internet Explorer begins looking for media data.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/loadstart_event)
+ */
+declare var onloadstart: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLElement/lostpointercapture_event) */
+declare var onlostpointercapture: ((this: Window, ev: PointerEvent) => any) | null;
+/**
+ * Fires when the user clicks the object with either mouse button.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mousedown_event)
+ */
+declare var onmousedown: ((this: Window, ev: MouseEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseenter_event) */
+declare var onmouseenter: ((this: Window, ev: MouseEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseleave_event) */
+declare var onmouseleave: ((this: Window, ev: MouseEvent) => any) | null;
+/**
+ * Fires when the user moves the mouse over the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mousemove_event)
+ */
+declare var onmousemove: ((this: Window, ev: MouseEvent) => any) | null;
+/**
+ * Fires when the user moves the mouse pointer outside the boundaries of the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseout_event)
+ */
+declare var onmouseout: ((this: Window, ev: MouseEvent) => any) | null;
+/**
+ * Fires when the user moves the mouse pointer into the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseover_event)
+ */
+declare var onmouseover: ((this: Window, ev: MouseEvent) => any) | null;
+/**
+ * Fires when the user releases a mouse button while the mouse is over the object.
+ * @param ev The mouse event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/mouseup_event)
+ */
+declare var onmouseup: ((this: Window, ev: MouseEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/paste_event) */
+declare var onpaste: ((this: Window, ev: ClipboardEvent) => any) | null;
+/**
+ * Occurs when playback is paused.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/pause_event)
+ */
+declare var onpause: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when the play method is requested.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/play_event)
+ */
+declare var onplay: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when the audio or video has started playing.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/playing_event)
+ */
+declare var onplaying: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointercancel_event) */
+declare var onpointercancel: ((this: Window, ev: PointerEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerdown_event) */
+declare var onpointerdown: ((this: Window, ev: PointerEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerenter_event) */
+declare var onpointerenter: ((this: Window, ev: PointerEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerleave_event) */
+declare var onpointerleave: ((this: Window, ev: PointerEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointermove_event) */
+declare var onpointermove: ((this: Window, ev: PointerEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerout_event) */
+declare var onpointerout: ((this: Window, ev: PointerEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerover_event) */
+declare var onpointerover: ((this: Window, ev: PointerEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/pointerup_event) */
+declare var onpointerup: ((this: Window, ev: PointerEvent) => any) | null;
+/**
+ * Occurs to indicate progress while downloading media data.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/progress_event)
+ */
+declare var onprogress: ((this: Window, ev: ProgressEvent) => any) | null;
+/**
+ * Occurs when the playback rate is increased or decreased.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/ratechange_event)
+ */
+declare var onratechange: ((this: Window, ev: Event) => any) | null;
+/**
+ * Fires when the user resets a form.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/reset_event)
+ */
+declare var onreset: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLVideoElement/resize_event) */
+declare var onresize: ((this: Window, ev: UIEvent) => any) | null;
+/**
+ * Fires when the user repositions the scroll box in the scroll bar on the object.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/scroll_event)
+ */
+declare var onscroll: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/scrollend_event) */
+declare var onscrollend: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/securitypolicyviolation_event) */
+declare var onsecuritypolicyviolation: ((this: Window, ev: SecurityPolicyViolationEvent) => any) | null;
+/**
+ * Occurs when the seek operation ends.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/seeked_event)
+ */
+declare var onseeked: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when the current playback position is moved.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/seeking_event)
+ */
+declare var onseeking: ((this: Window, ev: Event) => any) | null;
+/**
+ * Fires when the current selection changes.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLInputElement/select_event)
+ */
+declare var onselect: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/selectionchange_event) */
+declare var onselectionchange: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Node/selectstart_event) */
+declare var onselectstart: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLSlotElement/slotchange_event) */
+declare var onslotchange: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when the download has stopped.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/stalled_event)
+ */
+declare var onstalled: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLFormElement/submit_event) */
+declare var onsubmit: ((this: Window, ev: SubmitEvent) => any) | null;
+/**
+ * Occurs if the load operation has been intentionally halted.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/suspend_event)
+ */
+declare var onsuspend: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs to indicate the current playback position.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/timeupdate_event)
+ */
+declare var ontimeupdate: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLDetailsElement/toggle_event) */
+declare var ontoggle: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/touchcancel_event) */
+declare var ontouchcancel: ((this: Window, ev: TouchEvent) => any) | null | undefined;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/touchend_event) */
+declare var ontouchend: ((this: Window, ev: TouchEvent) => any) | null | undefined;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/touchmove_event) */
+declare var ontouchmove: ((this: Window, ev: TouchEvent) => any) | null | undefined;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/touchstart_event) */
+declare var ontouchstart: ((this: Window, ev: TouchEvent) => any) | null | undefined;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitioncancel_event) */
+declare var ontransitioncancel: ((this: Window, ev: TransitionEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitionend_event) */
+declare var ontransitionend: ((this: Window, ev: TransitionEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitionrun_event) */
+declare var ontransitionrun: ((this: Window, ev: TransitionEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitionstart_event) */
+declare var ontransitionstart: ((this: Window, ev: TransitionEvent) => any) | null;
+/**
+ * Occurs when the volume is changed, or playback is muted or unmuted.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/volumechange_event)
+ */
+declare var onvolumechange: ((this: Window, ev: Event) => any) | null;
+/**
+ * Occurs when playback stops because the next frame of a video resource is not available.
+ * @param ev The event.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/HTMLMediaElement/waiting_event)
+ */
+declare var onwaiting: ((this: Window, ev: Event) => any) | null;
+/**
+ * @deprecated This is a legacy alias of \`onanimationend\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationend_event)
+ */
+declare var onwebkitanimationend: ((this: Window, ev: Event) => any) | null;
+/**
+ * @deprecated This is a legacy alias of \`onanimationiteration\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationiteration_event)
+ */
+declare var onwebkitanimationiteration: ((this: Window, ev: Event) => any) | null;
+/**
+ * @deprecated This is a legacy alias of \`onanimationstart\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/animationstart_event)
+ */
+declare var onwebkitanimationstart: ((this: Window, ev: Event) => any) | null;
+/**
+ * @deprecated This is a legacy alias of \`ontransitionend\`.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/transitionend_event)
+ */
+declare var onwebkittransitionend: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Element/wheel_event) */
+declare var onwheel: ((this: Window, ev: WheelEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/afterprint_event) */
+declare var onafterprint: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/beforeprint_event) */
+declare var onbeforeprint: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/beforeunload_event) */
+declare var onbeforeunload: ((this: Window, ev: BeforeUnloadEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/gamepadconnected_event) */
+declare var ongamepadconnected: ((this: Window, ev: GamepadEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/gamepaddisconnected_event) */
+declare var ongamepaddisconnected: ((this: Window, ev: GamepadEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/hashchange_event) */
+declare var onhashchange: ((this: Window, ev: HashChangeEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/languagechange_event) */
+declare var onlanguagechange: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/message_event) */
+declare var onmessage: ((this: Window, ev: MessageEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/messageerror_event) */
+declare var onmessageerror: ((this: Window, ev: MessageEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/offline_event) */
+declare var onoffline: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/online_event) */
+declare var ononline: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/pagehide_event) */
+declare var onpagehide: ((this: Window, ev: PageTransitionEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/pageshow_event) */
+declare var onpageshow: ((this: Window, ev: PageTransitionEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/popstate_event) */
+declare var onpopstate: ((this: Window, ev: PopStateEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/rejectionhandled_event) */
+declare var onrejectionhandled: ((this: Window, ev: PromiseRejectionEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/storage_event) */
+declare var onstorage: ((this: Window, ev: StorageEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/unhandledrejection_event) */
+declare var onunhandledrejection: ((this: Window, ev: PromiseRejectionEvent) => any) | null;
+/**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/unload_event)
+ */
+declare var onunload: ((this: Window, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/localStorage) */
+declare var localStorage: Storage;
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/caches)
+ */
+declare var caches: CacheStorage;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/crossOriginIsolated) */
+declare var crossOriginIsolated: boolean;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/crypto_property) */
+declare var crypto: Crypto;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/indexedDB) */
+declare var indexedDB: IDBFactory;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/isSecureContext) */
+declare var isSecureContext: boolean;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/origin) */
+declare var origin: string;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/performance_property) */
+declare var performance: Performance;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/atob) */
+declare function atob(data: string): string;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/btoa) */
+declare function btoa(data: string): string;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/clearInterval) */
+declare function clearInterval(id: number | undefined): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/clearTimeout) */
+declare function clearTimeout(id: number | undefined): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/createImageBitmap) */
+declare function createImageBitmap(image: ImageBitmapSource, options?: ImageBitmapOptions): Promise<ImageBitmap>;
+declare function createImageBitmap(image: ImageBitmapSource, sx: number, sy: number, sw: number, sh: number, options?: ImageBitmapOptions): Promise<ImageBitmap>;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/fetch) */
+declare function fetch(input: RequestInfo | URL, init?: RequestInit): Promise<Response>;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/queueMicrotask) */
+declare function queueMicrotask(callback: VoidFunction): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/reportError) */
+declare function reportError(e: any): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/setInterval) */
+declare function setInterval(handler: TimerHandler, timeout?: number, ...arguments: any[]): number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/setTimeout) */
+declare function setTimeout(handler: TimerHandler, timeout?: number, ...arguments: any[]): number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/structuredClone) */
+declare function structuredClone<T = any>(value: T, options?: StructuredSerializeOptions): T;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Window/sessionStorage) */
+declare var sessionStorage: Storage;
+declare function addEventListener<K extends keyof WindowEventMap>(type: K, listener: (this: Window, ev: WindowEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+declare function addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+declare function removeEventListener<K extends keyof WindowEventMap>(type: K, listener: (this: Window, ev: WindowEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+declare function removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+type AlgorithmIdentifier = Algorithm | string;
+type AllowSharedBufferSource = ArrayBuffer | ArrayBufferView;
+type AutoFill = AutoFillBase | \`\${OptionalPrefixToken<AutoFillSection>}\${OptionalPrefixToken<AutoFillAddressKind>}\${AutoFillField}\${OptionalPostfixToken<AutoFillCredentialField>}\`;
+type AutoFillField = AutoFillNormalField | \`\${OptionalPrefixToken<AutoFillContactKind>}\${AutoFillContactField}\`;
+type AutoFillSection = \`section-\${string}\`;
+type BigInteger = Uint8Array;
+type BinaryData = ArrayBuffer | ArrayBufferView;
+type BlobPart = BufferSource | Blob | string;
+type BodyInit = ReadableStream | XMLHttpRequestBodyInit;
+type BufferSource = ArrayBufferView | ArrayBuffer;
+type COSEAlgorithmIdentifier = number;
+type CSSKeywordish = string | CSSKeywordValue;
+type CSSNumberish = number | CSSNumericValue;
+type CSSPerspectiveValue = CSSNumericValue | CSSKeywordish;
+type CSSUnparsedSegment = string | CSSVariableReferenceValue;
+type CanvasImageSource = HTMLOrSVGImageElement | HTMLVideoElement | HTMLCanvasElement | ImageBitmap | OffscreenCanvas | VideoFrame;
+type ClipboardItemData = Promise<string | Blob>;
+type ClipboardItems = ClipboardItem[];
+type ConstrainBoolean = boolean | ConstrainBooleanParameters;
+type ConstrainDOMString = string | string[] | ConstrainDOMStringParameters;
+type ConstrainDouble = number | ConstrainDoubleRange;
+type ConstrainULong = number | ConstrainULongRange;
+type DOMHighResTimeStamp = number;
+type EpochTimeStamp = number;
+type EventListenerOrEventListenerObject = EventListener | EventListenerObject;
+type FileSystemWriteChunkType = BufferSource | Blob | string | WriteParams;
+type Float32List = Float32Array | GLfloat[];
+type FormDataEntryValue = File | string;
+type GLbitfield = number;
+type GLboolean = boolean;
+type GLclampf = number;
+type GLenum = number;
+type GLfloat = number;
+type GLint = number;
+type GLint64 = number;
+type GLintptr = number;
+type GLsizei = number;
+type GLsizeiptr = number;
+type GLuint = number;
+type GLuint64 = number;
+type HTMLOrSVGImageElement = HTMLImageElement | SVGImageElement;
+type HTMLOrSVGScriptElement = HTMLScriptElement | SVGScriptElement;
+type HashAlgorithmIdentifier = AlgorithmIdentifier;
+type HeadersInit = [string, string][] | Record<string, string> | Headers;
+type IDBValidKey = number | string | Date | BufferSource | IDBValidKey[];
+type ImageBitmapSource = CanvasImageSource | Blob | ImageData;
+type Int32List = Int32Array | GLint[];
+type LineAndPositionSetting = number | AutoKeyword;
+type MediaProvider = MediaStream | MediaSource | Blob;
+type MessageEventSource = WindowProxy | MessagePort | ServiceWorker;
+type MutationRecordType = "attributes" | "characterData" | "childList";
+type NamedCurve = string;
+type OffscreenRenderingContext = OffscreenCanvasRenderingContext2D | ImageBitmapRenderingContext | WebGLRenderingContext | WebGL2RenderingContext;
+type OnBeforeUnloadEventHandler = OnBeforeUnloadEventHandlerNonNull | null;
+type OnErrorEventHandler = OnErrorEventHandlerNonNull | null;
+type OptionalPostfixToken<T extends string> = \` \${T}\` | "";
+type OptionalPrefixToken<T extends string> = \`\${T} \` | "";
+type PerformanceEntryList = PerformanceEntry[];
+type RTCRtpTransform = RTCRtpScriptTransform;
+type ReadableStreamController<T> = ReadableStreamDefaultController<T> | ReadableByteStreamController;
+type ReadableStreamReadResult<T> = ReadableStreamReadValueResult<T> | ReadableStreamReadDoneResult<T>;
+type ReadableStreamReader<T> = ReadableStreamDefaultReader<T> | ReadableStreamBYOBReader;
+type RenderingContext = CanvasRenderingContext2D | ImageBitmapRenderingContext | WebGLRenderingContext | WebGL2RenderingContext;
+type ReportList = Report[];
+type RequestInfo = Request | string;
+type TexImageSource = ImageBitmap | ImageData | HTMLImageElement | HTMLCanvasElement | HTMLVideoElement | OffscreenCanvas | VideoFrame;
+type TimerHandler = string | Function;
+type Transferable = OffscreenCanvas | ImageBitmap | MessagePort | ReadableStream | WritableStream | TransformStream | VideoFrame | ArrayBuffer;
+type Uint32List = Uint32Array | GLuint[];
+type VibratePattern = number | number[];
+type WindowProxy = Window;
+type XMLHttpRequestBodyInit = Blob | BufferSource | FormData | URLSearchParams | string;
+type AlignSetting = "center" | "end" | "left" | "right" | "start";
+type AlphaOption = "discard" | "keep";
+type AnimationPlayState = "finished" | "idle" | "paused" | "running";
+type AnimationReplaceState = "active" | "persisted" | "removed";
+type AppendMode = "segments" | "sequence";
+type AttestationConveyancePreference = "direct" | "enterprise" | "indirect" | "none";
+type AudioContextLatencyCategory = "balanced" | "interactive" | "playback";
+type AudioContextState = "closed" | "running" | "suspended";
+type AuthenticatorAttachment = "cross-platform" | "platform";
+type AuthenticatorTransport = "ble" | "hybrid" | "internal" | "nfc" | "usb";
+type AutoFillAddressKind = "billing" | "shipping";
+type AutoFillBase = "" | "off" | "on";
+type AutoFillContactField = "email" | "tel" | "tel-area-code" | "tel-country-code" | "tel-extension" | "tel-local" | "tel-local-prefix" | "tel-local-suffix" | "tel-national";
+type AutoFillContactKind = "home" | "mobile" | "work";
+type AutoFillCredentialField = "webauthn";
+type AutoFillNormalField = "additional-name" | "address-level1" | "address-level2" | "address-level3" | "address-level4" | "address-line1" | "address-line2" | "address-line3" | "bday-day" | "bday-month" | "bday-year" | "cc-csc" | "cc-exp" | "cc-exp-month" | "cc-exp-year" | "cc-family-name" | "cc-given-name" | "cc-name" | "cc-number" | "cc-type" | "country" | "country-name" | "current-password" | "family-name" | "given-name" | "honorific-prefix" | "honorific-suffix" | "name" | "new-password" | "one-time-code" | "organization" | "postal-code" | "street-address" | "transaction-amount" | "transaction-currency" | "username";
+type AutoKeyword = "auto";
+type AutomationRate = "a-rate" | "k-rate";
+type AvcBitstreamFormat = "annexb" | "avc";
+type BinaryType = "arraybuffer" | "blob";
+type BiquadFilterType = "allpass" | "bandpass" | "highpass" | "highshelf" | "lowpass" | "lowshelf" | "notch" | "peaking";
+type CSSMathOperator = "clamp" | "invert" | "max" | "min" | "negate" | "product" | "sum";
+type CSSNumericBaseType = "angle" | "flex" | "frequency" | "length" | "percent" | "resolution" | "time";
+type CanPlayTypeResult = "" | "maybe" | "probably";
+type CanvasDirection = "inherit" | "ltr" | "rtl";
+type CanvasFillRule = "evenodd" | "nonzero";
+type CanvasFontKerning = "auto" | "none" | "normal";
+type CanvasFontStretch = "condensed" | "expanded" | "extra-condensed" | "extra-expanded" | "normal" | "semi-condensed" | "semi-expanded" | "ultra-condensed" | "ultra-expanded";
+type CanvasFontVariantCaps = "all-petite-caps" | "all-small-caps" | "normal" | "petite-caps" | "small-caps" | "titling-caps" | "unicase";
+type CanvasLineCap = "butt" | "round" | "square";
+type CanvasLineJoin = "bevel" | "miter" | "round";
+type CanvasTextAlign = "center" | "end" | "left" | "right" | "start";
+type CanvasTextBaseline = "alphabetic" | "bottom" | "hanging" | "ideographic" | "middle" | "top";
+type CanvasTextRendering = "auto" | "geometricPrecision" | "optimizeLegibility" | "optimizeSpeed";
+type ChannelCountMode = "clamped-max" | "explicit" | "max";
+type ChannelInterpretation = "discrete" | "speakers";
+type ClientTypes = "all" | "sharedworker" | "window" | "worker";
+type CodecState = "closed" | "configured" | "unconfigured";
+type ColorGamut = "p3" | "rec2020" | "srgb";
+type ColorSpaceConversion = "default" | "none";
+type CompositeOperation = "accumulate" | "add" | "replace";
+type CompositeOperationOrAuto = "accumulate" | "add" | "auto" | "replace";
+type CompressionFormat = "deflate" | "deflate-raw" | "gzip";
+type CredentialMediationRequirement = "conditional" | "optional" | "required" | "silent";
+type DOMParserSupportedType = "application/xhtml+xml" | "application/xml" | "image/svg+xml" | "text/html" | "text/xml";
+type DirectionSetting = "" | "lr" | "rl";
+type DisplayCaptureSurfaceType = "browser" | "monitor" | "window";
+type DistanceModelType = "exponential" | "inverse" | "linear";
+type DocumentReadyState = "complete" | "interactive" | "loading";
+type DocumentVisibilityState = "hidden" | "visible";
+type EncodedVideoChunkType = "delta" | "key";
+type EndOfStreamError = "decode" | "network";
+type EndingType = "native" | "transparent";
+type FileSystemHandleKind = "directory" | "file";
+type FillMode = "auto" | "backwards" | "both" | "forwards" | "none";
+type FontDisplay = "auto" | "block" | "fallback" | "optional" | "swap";
+type FontFaceLoadStatus = "error" | "loaded" | "loading" | "unloaded";
+type FontFaceSetLoadStatus = "loaded" | "loading";
+type FullscreenNavigationUI = "auto" | "hide" | "show";
+type GamepadHapticActuatorType = "vibration";
+type GamepadHapticEffectType = "dual-rumble";
+type GamepadHapticsResult = "complete" | "preempted";
+type GamepadMappingType = "" | "standard" | "xr-standard";
+type GlobalCompositeOperation = "color" | "color-burn" | "color-dodge" | "copy" | "darken" | "destination-atop" | "destination-in" | "destination-out" | "destination-over" | "difference" | "exclusion" | "hard-light" | "hue" | "lighten" | "lighter" | "luminosity" | "multiply" | "overlay" | "saturation" | "screen" | "soft-light" | "source-atop" | "source-in" | "source-out" | "source-over" | "xor";
+type HardwareAcceleration = "no-preference" | "prefer-hardware" | "prefer-software";
+type HdrMetadataType = "smpteSt2086" | "smpteSt2094-10" | "smpteSt2094-40";
+type HighlightType = "grammar-error" | "highlight" | "spelling-error";
+type IDBCursorDirection = "next" | "nextunique" | "prev" | "prevunique";
+type IDBRequestReadyState = "done" | "pending";
+type IDBTransactionDurability = "default" | "relaxed" | "strict";
+type IDBTransactionMode = "readonly" | "readwrite" | "versionchange";
+type ImageOrientation = "flipY" | "from-image" | "none";
+type ImageSmoothingQuality = "high" | "low" | "medium";
+type InsertPosition = "afterbegin" | "afterend" | "beforebegin" | "beforeend";
+type IterationCompositeOperation = "accumulate" | "replace";
+type KeyFormat = "jwk" | "pkcs8" | "raw" | "spki";
+type KeyType = "private" | "public" | "secret";
+type KeyUsage = "decrypt" | "deriveBits" | "deriveKey" | "encrypt" | "sign" | "unwrapKey" | "verify" | "wrapKey";
+type LatencyMode = "quality" | "realtime";
+type LineAlignSetting = "center" | "end" | "start";
+type LockMode = "exclusive" | "shared";
+type MIDIPortConnectionState = "closed" | "open" | "pending";
+type MIDIPortDeviceState = "connected" | "disconnected";
+type MIDIPortType = "input" | "output";
+type MediaDecodingType = "file" | "media-source" | "webrtc";
+type MediaDeviceKind = "audioinput" | "audiooutput" | "videoinput";
+type MediaEncodingType = "record" | "webrtc";
+type MediaKeyMessageType = "individualization-request" | "license-release" | "license-renewal" | "license-request";
+type MediaKeySessionClosedReason = "closed-by-application" | "hardware-context-reset" | "internal-error" | "release-acknowledged" | "resource-evicted";
+type MediaKeySessionType = "persistent-license" | "temporary";
+type MediaKeyStatus = "expired" | "internal-error" | "output-downscaled" | "output-restricted" | "released" | "status-pending" | "usable" | "usable-in-future";
+type MediaKeysRequirement = "not-allowed" | "optional" | "required";
+type MediaSessionAction = "nexttrack" | "pause" | "play" | "previoustrack" | "seekbackward" | "seekforward" | "seekto" | "skipad" | "stop";
+type MediaSessionPlaybackState = "none" | "paused" | "playing";
+type MediaStreamTrackState = "ended" | "live";
+type NavigationTimingType = "back_forward" | "navigate" | "prerender" | "reload";
+type NotificationDirection = "auto" | "ltr" | "rtl";
+type NotificationPermission = "default" | "denied" | "granted";
+type OffscreenRenderingContextId = "2d" | "bitmaprenderer" | "webgl" | "webgl2" | "webgpu";
+type OrientationType = "landscape-primary" | "landscape-secondary" | "portrait-primary" | "portrait-secondary";
+type OscillatorType = "custom" | "sawtooth" | "sine" | "square" | "triangle";
+type OverSampleType = "2x" | "4x" | "none";
+type PanningModelType = "HRTF" | "equalpower";
+type PaymentComplete = "fail" | "success" | "unknown";
+type PermissionName = "geolocation" | "notifications" | "persistent-storage" | "push" | "screen-wake-lock" | "xr-spatial-tracking";
+type PermissionState = "denied" | "granted" | "prompt";
+type PlaybackDirection = "alternate" | "alternate-reverse" | "normal" | "reverse";
+type PositionAlignSetting = "auto" | "center" | "line-left" | "line-right";
+type PredefinedColorSpace = "display-p3" | "srgb";
+type PremultiplyAlpha = "default" | "none" | "premultiply";
+type PresentationStyle = "attachment" | "inline" | "unspecified";
+type PublicKeyCredentialType = "public-key";
+type PushEncryptionKeyName = "auth" | "p256dh";
+type RTCBundlePolicy = "balanced" | "max-bundle" | "max-compat";
+type RTCDataChannelState = "closed" | "closing" | "connecting" | "open";
+type RTCDegradationPreference = "balanced" | "maintain-framerate" | "maintain-resolution";
+type RTCDtlsTransportState = "closed" | "connected" | "connecting" | "failed" | "new";
+type RTCEncodedVideoFrameType = "delta" | "empty" | "key";
+type RTCErrorDetailType = "data-channel-failure" | "dtls-failure" | "fingerprint-failure" | "hardware-encoder-error" | "hardware-encoder-not-available" | "sctp-failure" | "sdp-syntax-error";
+type RTCIceCandidateType = "host" | "prflx" | "relay" | "srflx";
+type RTCIceComponent = "rtcp" | "rtp";
+type RTCIceConnectionState = "checking" | "closed" | "completed" | "connected" | "disconnected" | "failed" | "new";
+type RTCIceGathererState = "complete" | "gathering" | "new";
+type RTCIceGatheringState = "complete" | "gathering" | "new";
+type RTCIceProtocol = "tcp" | "udp";
+type RTCIceTcpCandidateType = "active" | "passive" | "so";
+type RTCIceTransportPolicy = "all" | "relay";
+type RTCIceTransportState = "checking" | "closed" | "completed" | "connected" | "disconnected" | "failed" | "new";
+type RTCPeerConnectionState = "closed" | "connected" | "connecting" | "disconnected" | "failed" | "new";
+type RTCPriorityType = "high" | "low" | "medium" | "very-low";
+type RTCRtcpMuxPolicy = "require";
+type RTCRtpTransceiverDirection = "inactive" | "recvonly" | "sendonly" | "sendrecv" | "stopped";
+type RTCSctpTransportState = "closed" | "connected" | "connecting";
+type RTCSdpType = "answer" | "offer" | "pranswer" | "rollback";
+type RTCSignalingState = "closed" | "have-local-offer" | "have-local-pranswer" | "have-remote-offer" | "have-remote-pranswer" | "stable";
+type RTCStatsIceCandidatePairState = "failed" | "frozen" | "in-progress" | "inprogress" | "succeeded" | "waiting";
+type RTCStatsType = "candidate-pair" | "certificate" | "codec" | "data-channel" | "inbound-rtp" | "local-candidate" | "media-playout" | "media-source" | "outbound-rtp" | "peer-connection" | "remote-candidate" | "remote-inbound-rtp" | "remote-outbound-rtp" | "transport";
+type ReadableStreamReaderMode = "byob";
+type ReadableStreamType = "bytes";
+type ReadyState = "closed" | "ended" | "open";
+type RecordingState = "inactive" | "paused" | "recording";
+type ReferrerPolicy = "" | "no-referrer" | "no-referrer-when-downgrade" | "origin" | "origin-when-cross-origin" | "same-origin" | "strict-origin" | "strict-origin-when-cross-origin" | "unsafe-url";
+type RemotePlaybackState = "connected" | "connecting" | "disconnected";
+type RequestCache = "default" | "force-cache" | "no-cache" | "no-store" | "only-if-cached" | "reload";
+type RequestCredentials = "include" | "omit" | "same-origin";
+type RequestDestination = "" | "audio" | "audioworklet" | "document" | "embed" | "font" | "frame" | "iframe" | "image" | "manifest" | "object" | "paintworklet" | "report" | "script" | "sharedworker" | "style" | "track" | "video" | "worker" | "xslt";
+type RequestMode = "cors" | "navigate" | "no-cors" | "same-origin";
+type RequestPriority = "auto" | "high" | "low";
+type RequestRedirect = "error" | "follow" | "manual";
+type ResidentKeyRequirement = "discouraged" | "preferred" | "required";
+type ResizeObserverBoxOptions = "border-box" | "content-box" | "device-pixel-content-box";
+type ResizeQuality = "high" | "low" | "medium" | "pixelated";
+type ResponseType = "basic" | "cors" | "default" | "error" | "opaque" | "opaqueredirect";
+type ScrollBehavior = "auto" | "instant" | "smooth";
+type ScrollLogicalPosition = "center" | "end" | "nearest" | "start";
+type ScrollRestoration = "auto" | "manual";
+type ScrollSetting = "" | "up";
+type SecurityPolicyViolationEventDisposition = "enforce" | "report";
+type SelectionMode = "end" | "preserve" | "select" | "start";
+type ServiceWorkerState = "activated" | "activating" | "installed" | "installing" | "parsed" | "redundant";
+type ServiceWorkerUpdateViaCache = "all" | "imports" | "none";
+type ShadowRootMode = "closed" | "open";
+type SlotAssignmentMode = "manual" | "named";
+type SpeechSynthesisErrorCode = "audio-busy" | "audio-hardware" | "canceled" | "interrupted" | "invalid-argument" | "language-unavailable" | "network" | "not-allowed" | "synthesis-failed" | "synthesis-unavailable" | "text-too-long" | "voice-unavailable";
+type TextTrackKind = "captions" | "chapters" | "descriptions" | "metadata" | "subtitles";
+type TextTrackMode = "disabled" | "hidden" | "showing";
+type TouchType = "direct" | "stylus";
+type TransferFunction = "hlg" | "pq" | "srgb";
+type UserVerificationRequirement = "discouraged" | "preferred" | "required";
+type VideoColorPrimaries = "bt470bg" | "bt709" | "smpte170m";
+type VideoEncoderBitrateMode = "constant" | "quantizer" | "variable";
+type VideoFacingModeEnum = "environment" | "left" | "right" | "user";
+type VideoMatrixCoefficients = "bt470bg" | "bt709" | "rgb" | "smpte170m";
+type VideoPixelFormat = "BGRA" | "BGRX" | "I420" | "I420A" | "I422" | "I444" | "NV12" | "RGBA" | "RGBX";
+type VideoTransferCharacteristics = "bt709" | "iec61966-2-1" | "smpte170m";
+type WakeLockType = "screen";
+type WebGLPowerPreference = "default" | "high-performance" | "low-power";
+type WebTransportCongestionControl = "default" | "low-latency" | "throughput";
+type WebTransportErrorSource = "session" | "stream";
+type WorkerType = "classic" | "module";
+type WriteCommandType = "seek" | "truncate" | "write";
+type XMLHttpRequestResponseType = "" | "arraybuffer" | "blob" | "document" | "json" | "text";
+`,lo["lib.dom.iterable.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/////////////////////////////
+/// Window Iterable APIs
+/////////////////////////////
+
+interface AudioParam {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AudioParam/setValueCurveAtTime) */
+ setValueCurveAtTime(values: Iterable<number>, startTime: number, duration: number): AudioParam;
+}
+
+interface AudioParamMap extends ReadonlyMap<string, AudioParam> {
+}
+
+interface BaseAudioContext {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createIIRFilter) */
+ createIIRFilter(feedforward: Iterable<number>, feedback: Iterable<number>): IIRFilterNode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BaseAudioContext/createPeriodicWave) */
+ createPeriodicWave(real: Iterable<number>, imag: Iterable<number>, constraints?: PeriodicWaveConstraints): PeriodicWave;
+}
+
+interface CSSKeyframesRule {
+ [Symbol.iterator](): IterableIterator<CSSKeyframeRule>;
+}
+
+interface CSSNumericArray {
+ [Symbol.iterator](): IterableIterator<CSSNumericValue>;
+ entries(): IterableIterator<[number, CSSNumericValue]>;
+ keys(): IterableIterator<number>;
+ values(): IterableIterator<CSSNumericValue>;
+}
+
+interface CSSRuleList {
+ [Symbol.iterator](): IterableIterator<CSSRule>;
+}
+
+interface CSSStyleDeclaration {
+ [Symbol.iterator](): IterableIterator<string>;
+}
+
+interface CSSTransformValue {
+ [Symbol.iterator](): IterableIterator<CSSTransformComponent>;
+ entries(): IterableIterator<[number, CSSTransformComponent]>;
+ keys(): IterableIterator<number>;
+ values(): IterableIterator<CSSTransformComponent>;
+}
+
+interface CSSUnparsedValue {
+ [Symbol.iterator](): IterableIterator<CSSUnparsedSegment>;
+ entries(): IterableIterator<[number, CSSUnparsedSegment]>;
+ keys(): IterableIterator<number>;
+ values(): IterableIterator<CSSUnparsedSegment>;
+}
+
+interface Cache {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/addAll) */
+ addAll(requests: Iterable<RequestInfo>): Promise<void>;
+}
+
+interface CanvasPath {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/roundRect) */
+ roundRect(x: number, y: number, w: number, h: number, radii?: number | DOMPointInit | Iterable<number | DOMPointInit>): void;
+}
+
+interface CanvasPathDrawingStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/setLineDash) */
+ setLineDash(segments: Iterable<number>): void;
+}
+
+interface DOMRectList {
+ [Symbol.iterator](): IterableIterator<DOMRect>;
+}
+
+interface DOMStringList {
+ [Symbol.iterator](): IterableIterator<string>;
+}
+
+interface DOMTokenList {
+ [Symbol.iterator](): IterableIterator<string>;
+ entries(): IterableIterator<[number, string]>;
+ keys(): IterableIterator<number>;
+ values(): IterableIterator<string>;
+}
+
+interface DataTransferItemList {
+ [Symbol.iterator](): IterableIterator<DataTransferItem>;
+}
+
+interface EventCounts extends ReadonlyMap<string, number> {
+}
+
+interface FileList {
+ [Symbol.iterator](): IterableIterator<File>;
+}
+
+interface FontFaceSet extends Set<FontFace> {
+}
+
+interface FormData {
+ [Symbol.iterator](): IterableIterator<[string, FormDataEntryValue]>;
+ /** Returns an array of key, value pairs for every entry in the list. */
+ entries(): IterableIterator<[string, FormDataEntryValue]>;
+ /** Returns a list of keys in the list. */
+ keys(): IterableIterator<string>;
+ /** Returns a list of values in the list. */
+ values(): IterableIterator<FormDataEntryValue>;
+}
+
+interface HTMLAllCollection {
+ [Symbol.iterator](): IterableIterator<Element>;
+}
+
+interface HTMLCollectionBase {
+ [Symbol.iterator](): IterableIterator<Element>;
+}
+
+interface HTMLCollectionOf<T extends Element> {
+ [Symbol.iterator](): IterableIterator<T>;
+}
+
+interface HTMLFormElement {
+ [Symbol.iterator](): IterableIterator<Element>;
+}
+
+interface HTMLSelectElement {
+ [Symbol.iterator](): IterableIterator<HTMLOptionElement>;
+}
+
+interface Headers {
+ [Symbol.iterator](): IterableIterator<[string, string]>;
+ /** Returns an iterator allowing to go through all key/value pairs contained in this object. */
+ entries(): IterableIterator<[string, string]>;
+ /** Returns an iterator allowing to go through all keys of the key/value pairs contained in this object. */
+ keys(): IterableIterator<string>;
+ /** Returns an iterator allowing to go through all values of the key/value pairs contained in this object. */
+ values(): IterableIterator<string>;
+}
+
+interface Highlight extends Set<AbstractRange> {
+}
+
+interface HighlightRegistry extends Map<string, Highlight> {
+}
+
+interface IDBDatabase {
+ /**
+ * Returns a new transaction with the given mode ("readonly" or "readwrite") and scope which can be a single object store name or an array of names.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/transaction)
+ */
+ transaction(storeNames: string | Iterable<string>, mode?: IDBTransactionMode, options?: IDBTransactionOptions): IDBTransaction;
+}
+
+interface IDBObjectStore {
+ /**
+ * Creates a new index in store with the given name, keyPath and options and returns a new IDBIndex. If the keyPath and options define constraints that cannot be satisfied with the data already in store the upgrade transaction will abort with a "ConstraintError" DOMException.
+ *
+ * Throws an "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/createIndex)
+ */
+ createIndex(name: string, keyPath: string | Iterable<string>, options?: IDBIndexParameters): IDBIndex;
+}
+
+interface MIDIInputMap extends ReadonlyMap<string, MIDIInput> {
+}
+
+interface MIDIOutput {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MIDIOutput/send) */
+ send(data: Iterable<number>, timestamp?: DOMHighResTimeStamp): void;
+}
+
+interface MIDIOutputMap extends ReadonlyMap<string, MIDIOutput> {
+}
+
+interface MediaKeyStatusMap {
+ [Symbol.iterator](): IterableIterator<[BufferSource, MediaKeyStatus]>;
+ entries(): IterableIterator<[BufferSource, MediaKeyStatus]>;
+ keys(): IterableIterator<BufferSource>;
+ values(): IterableIterator<MediaKeyStatus>;
+}
+
+interface MediaList {
+ [Symbol.iterator](): IterableIterator<string>;
+}
+
+interface MessageEvent<T = any> {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/initMessageEvent)
+ */
+ initMessageEvent(type: string, bubbles?: boolean, cancelable?: boolean, data?: any, origin?: string, lastEventId?: string, source?: MessageEventSource | null, ports?: Iterable<MessagePort>): void;
+}
+
+interface MimeTypeArray {
+ [Symbol.iterator](): IterableIterator<MimeType>;
+}
+
+interface NamedNodeMap {
+ [Symbol.iterator](): IterableIterator<Attr>;
+}
+
+interface Navigator {
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/requestMediaKeySystemAccess)
+ */
+ requestMediaKeySystemAccess(keySystem: string, supportedConfigurations: Iterable<MediaKeySystemConfiguration>): Promise<MediaKeySystemAccess>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/vibrate) */
+ vibrate(pattern: Iterable<number>): boolean;
+}
+
+interface NodeList {
+ [Symbol.iterator](): IterableIterator<Node>;
+ /** Returns an array of key, value pairs for every entry in the list. */
+ entries(): IterableIterator<[number, Node]>;
+ /** Returns an list of keys in the list. */
+ keys(): IterableIterator<number>;
+ /** Returns an list of values in the list. */
+ values(): IterableIterator<Node>;
+}
+
+interface NodeListOf<TNode extends Node> {
+ [Symbol.iterator](): IterableIterator<TNode>;
+ /** Returns an array of key, value pairs for every entry in the list. */
+ entries(): IterableIterator<[number, TNode]>;
+ /** Returns an list of keys in the list. */
+ keys(): IterableIterator<number>;
+ /** Returns an list of values in the list. */
+ values(): IterableIterator<TNode>;
+}
+
+interface Plugin {
+ [Symbol.iterator](): IterableIterator<MimeType>;
+}
+
+interface PluginArray {
+ [Symbol.iterator](): IterableIterator<Plugin>;
+}
+
+interface RTCRtpTransceiver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpTransceiver/setCodecPreferences) */
+ setCodecPreferences(codecs: Iterable<RTCRtpCodecCapability>): void;
+}
+
+interface RTCStatsReport extends ReadonlyMap<string, any> {
+}
+
+interface SVGLengthList {
+ [Symbol.iterator](): IterableIterator<SVGLength>;
+}
+
+interface SVGNumberList {
+ [Symbol.iterator](): IterableIterator<SVGNumber>;
+}
+
+interface SVGPointList {
+ [Symbol.iterator](): IterableIterator<DOMPoint>;
+}
+
+interface SVGStringList {
+ [Symbol.iterator](): IterableIterator<string>;
+}
+
+interface SVGTransformList {
+ [Symbol.iterator](): IterableIterator<SVGTransform>;
+}
+
+interface SourceBufferList {
+ [Symbol.iterator](): IterableIterator<SourceBuffer>;
+}
+
+interface SpeechRecognitionResult {
+ [Symbol.iterator](): IterableIterator<SpeechRecognitionAlternative>;
+}
+
+interface SpeechRecognitionResultList {
+ [Symbol.iterator](): IterableIterator<SpeechRecognitionResult>;
+}
+
+interface StylePropertyMapReadOnly {
+ [Symbol.iterator](): IterableIterator<[string, Iterable<CSSStyleValue>]>;
+ entries(): IterableIterator<[string, Iterable<CSSStyleValue>]>;
+ keys(): IterableIterator<string>;
+ values(): IterableIterator<Iterable<CSSStyleValue>>;
+}
+
+interface StyleSheetList {
+ [Symbol.iterator](): IterableIterator<CSSStyleSheet>;
+}
+
+interface SubtleCrypto {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/deriveKey) */
+ deriveKey(algorithm: AlgorithmIdentifier | EcdhKeyDeriveParams | HkdfParams | Pbkdf2Params, baseKey: CryptoKey, derivedKeyType: AlgorithmIdentifier | AesDerivedKeyParams | HmacImportParams | HkdfParams | Pbkdf2Params, extractable: boolean, keyUsages: Iterable<KeyUsage>): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/generateKey) */
+ generateKey(algorithm: "Ed25519", extractable: boolean, keyUsages: ReadonlyArray<"sign" | "verify">): Promise<CryptoKeyPair>;
+ generateKey(algorithm: RsaHashedKeyGenParams | EcKeyGenParams, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKeyPair>;
+ generateKey(algorithm: AesKeyGenParams | HmacKeyGenParams | Pbkdf2Params, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKey>;
+ generateKey(algorithm: AlgorithmIdentifier, extractable: boolean, keyUsages: Iterable<KeyUsage>): Promise<CryptoKeyPair | CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/importKey) */
+ importKey(format: "jwk", keyData: JsonWebKey, algorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKey>;
+ importKey(format: Exclude<KeyFormat, "jwk">, keyData: BufferSource, algorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: Iterable<KeyUsage>): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/unwrapKey) */
+ unwrapKey(format: KeyFormat, wrappedKey: BufferSource, unwrappingKey: CryptoKey, unwrapAlgorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams, unwrappedKeyAlgorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: Iterable<KeyUsage>): Promise<CryptoKey>;
+}
+
+interface TextTrackCueList {
+ [Symbol.iterator](): IterableIterator<TextTrackCue>;
+}
+
+interface TextTrackList {
+ [Symbol.iterator](): IterableIterator<TextTrack>;
+}
+
+interface TouchList {
+ [Symbol.iterator](): IterableIterator<Touch>;
+}
+
+interface URLSearchParams {
+ [Symbol.iterator](): IterableIterator<[string, string]>;
+ /** Returns an array of key, value pairs for every entry in the search params. */
+ entries(): IterableIterator<[string, string]>;
+ /** Returns a list of keys in the search params. */
+ keys(): IterableIterator<string>;
+ /** Returns a list of values in the search params. */
+ values(): IterableIterator<string>;
+}
+
+interface WEBGL_draw_buffers {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_draw_buffers/drawBuffersWEBGL) */
+ drawBuffersWEBGL(buffers: Iterable<GLenum>): void;
+}
+
+interface WEBGL_multi_draw {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawArraysInstancedWEBGL) */
+ multiDrawArraysInstancedWEBGL(mode: GLenum, firstsList: Int32Array | Iterable<GLint>, firstsOffset: number, countsList: Int32Array | Iterable<GLsizei>, countsOffset: number, instanceCountsList: Int32Array | Iterable<GLsizei>, instanceCountsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawArraysWEBGL) */
+ multiDrawArraysWEBGL(mode: GLenum, firstsList: Int32Array | Iterable<GLint>, firstsOffset: number, countsList: Int32Array | Iterable<GLsizei>, countsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawElementsInstancedWEBGL) */
+ multiDrawElementsInstancedWEBGL(mode: GLenum, countsList: Int32Array | Iterable<GLsizei>, countsOffset: number, type: GLenum, offsetsList: Int32Array | Iterable<GLsizei>, offsetsOffset: number, instanceCountsList: Int32Array | Iterable<GLsizei>, instanceCountsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawElementsWEBGL) */
+ multiDrawElementsWEBGL(mode: GLenum, countsList: Int32Array | Iterable<GLsizei>, countsOffset: number, type: GLenum, offsetsList: Int32Array | Iterable<GLsizei>, offsetsOffset: number, drawcount: GLsizei): void;
+}
+
+interface WebGL2RenderingContextBase {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferfv(buffer: GLenum, drawbuffer: GLint, values: Iterable<GLfloat>, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferiv(buffer: GLenum, drawbuffer: GLint, values: Iterable<GLint>, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferuiv(buffer: GLenum, drawbuffer: GLint, values: Iterable<GLuint>, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawBuffers) */
+ drawBuffers(buffers: Iterable<GLenum>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getActiveUniforms) */
+ getActiveUniforms(program: WebGLProgram, uniformIndices: Iterable<GLuint>, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getUniformIndices) */
+ getUniformIndices(program: WebGLProgram, uniformNames: Iterable<string>): Iterable<GLuint> | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/invalidateFramebuffer) */
+ invalidateFramebuffer(target: GLenum, attachments: Iterable<GLenum>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/invalidateSubFramebuffer) */
+ invalidateSubFramebuffer(target: GLenum, attachments: Iterable<GLenum>, x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/transformFeedbackVaryings) */
+ transformFeedbackVaryings(program: WebGLProgram, varyings: Iterable<string>, bufferMode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform1uiv(location: WebGLUniformLocation | null, data: Iterable<GLuint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform2uiv(location: WebGLUniformLocation | null, data: Iterable<GLuint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform3uiv(location: WebGLUniformLocation | null, data: Iterable<GLuint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform4uiv(location: WebGLUniformLocation | null, data: Iterable<GLuint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix2x3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix2x4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix3x2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix3x4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix4x2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix4x3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4iv(index: GLuint, values: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4uiv(index: GLuint, values: Iterable<GLuint>): void;
+}
+
+interface WebGL2RenderingContextOverloads {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1fv(location: WebGLUniformLocation | null, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1iv(location: WebGLUniformLocation | null, data: Iterable<GLint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2fv(location: WebGLUniformLocation | null, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2iv(location: WebGLUniformLocation | null, data: Iterable<GLint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3fv(location: WebGLUniformLocation | null, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3iv(location: WebGLUniformLocation | null, data: Iterable<GLint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4fv(location: WebGLUniformLocation | null, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4iv(location: WebGLUniformLocation | null, data: Iterable<GLint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+}
+
+interface WebGLRenderingContextBase {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib1fv(index: GLuint, values: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib2fv(index: GLuint, values: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib3fv(index: GLuint, values: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib4fv(index: GLuint, values: Iterable<GLfloat>): void;
+}
+
+interface WebGLRenderingContextOverloads {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1fv(location: WebGLUniformLocation | null, v: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1iv(location: WebGLUniformLocation | null, v: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2fv(location: WebGLUniformLocation | null, v: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2iv(location: WebGLUniformLocation | null, v: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3fv(location: WebGLUniformLocation | null, v: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3iv(location: WebGLUniformLocation | null, v: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4fv(location: WebGLUniformLocation | null, v: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4iv(location: WebGLUniformLocation | null, v: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix2fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix3fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix4fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Iterable<GLfloat>): void;
+}
+`,lo["lib.es2015.collection.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Map<K, V> {
+ clear(): void;
+ /**
+ * @returns true if an element in the Map existed and has been removed, or false if the element does not exist.
+ */
+ delete(key: K): boolean;
+ /**
+ * Executes a provided function once per each key/value pair in the Map, in insertion order.
+ */
+ forEach(callbackfn: (value: V, key: K, map: Map<K, V>) => void, thisArg?: any): void;
+ /**
+ * Returns a specified element from the Map object. If the value that is associated to the provided key is an object, then you will get a reference to that object and any change made to that object will effectively modify it inside the Map.
+ * @returns Returns the element associated with the specified key. If no element is associated with the specified key, undefined is returned.
+ */
+ get(key: K): V | undefined;
+ /**
+ * @returns boolean indicating whether an element with the specified key exists or not.
+ */
+ has(key: K): boolean;
+ /**
+ * Adds a new element with a specified key and value to the Map. If an element with the same key already exists, the element will be updated.
+ */
+ set(key: K, value: V): this;
+ /**
+ * @returns the number of elements in the Map.
+ */
+ readonly size: number;
+}
+
+interface MapConstructor {
+ new (): Map<any, any>;
+ new <K, V>(entries?: readonly (readonly [K, V])[] | null): Map<K, V>;
+ readonly prototype: Map<any, any>;
+}
+declare var Map: MapConstructor;
+
+interface ReadonlyMap<K, V> {
+ forEach(callbackfn: (value: V, key: K, map: ReadonlyMap<K, V>) => void, thisArg?: any): void;
+ get(key: K): V | undefined;
+ has(key: K): boolean;
+ readonly size: number;
+}
+
+interface WeakMap<K extends WeakKey, V> {
+ /**
+ * Removes the specified element from the WeakMap.
+ * @returns true if the element was successfully removed, or false if it was not present.
+ */
+ delete(key: K): boolean;
+ /**
+ * @returns a specified element.
+ */
+ get(key: K): V | undefined;
+ /**
+ * @returns a boolean indicating whether an element with the specified key exists or not.
+ */
+ has(key: K): boolean;
+ /**
+ * Adds a new element with a specified key and value.
+ * @param key Must be an object or symbol.
+ */
+ set(key: K, value: V): this;
+}
+
+interface WeakMapConstructor {
+ new <K extends WeakKey = WeakKey, V = any>(entries?: readonly (readonly [K, V])[] | null): WeakMap<K, V>;
+ readonly prototype: WeakMap<WeakKey, any>;
+}
+declare var WeakMap: WeakMapConstructor;
+
+interface Set<T> {
+ /**
+ * Appends a new element with a specified value to the end of the Set.
+ */
+ add(value: T): this;
+
+ clear(): void;
+ /**
+ * Removes a specified value from the Set.
+ * @returns Returns true if an element in the Set existed and has been removed, or false if the element does not exist.
+ */
+ delete(value: T): boolean;
+ /**
+ * Executes a provided function once per each value in the Set object, in insertion order.
+ */
+ forEach(callbackfn: (value: T, value2: T, set: Set<T>) => void, thisArg?: any): void;
+ /**
+ * @returns a boolean indicating whether an element with the specified value exists in the Set or not.
+ */
+ has(value: T): boolean;
+ /**
+ * @returns the number of (unique) elements in Set.
+ */
+ readonly size: number;
+}
+
+interface SetConstructor {
+ new <T = any>(values?: readonly T[] | null): Set<T>;
+ readonly prototype: Set<any>;
+}
+declare var Set: SetConstructor;
+
+interface ReadonlySet<T> {
+ forEach(callbackfn: (value: T, value2: T, set: ReadonlySet<T>) => void, thisArg?: any): void;
+ has(value: T): boolean;
+ readonly size: number;
+}
+
+interface WeakSet<T extends WeakKey> {
+ /**
+ * Appends a new value to the end of the WeakSet.
+ */
+ add(value: T): this;
+ /**
+ * Removes the specified element from the WeakSet.
+ * @returns Returns true if the element existed and has been removed, or false if the element does not exist.
+ */
+ delete(value: T): boolean;
+ /**
+ * @returns a boolean indicating whether a value exists in the WeakSet or not.
+ */
+ has(value: T): boolean;
+}
+
+interface WeakSetConstructor {
+ new <T extends WeakKey = WeakKey>(values?: readonly T[] | null): WeakSet<T>;
+ readonly prototype: WeakSet<WeakKey>;
+}
+declare var WeakSet: WeakSetConstructor;
+`,lo["lib.es2015.core.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Array<T> {
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find<S extends T>(predicate: (value: T, index: number, obj: T[]) => value is S, thisArg?: any): S | undefined;
+ find(predicate: (value: T, index: number, obj: T[]) => unknown, thisArg?: any): T | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: T, index: number, obj: T[]) => unknown, thisArg?: any): number;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: T, start?: number, end?: number): this;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+}
+
+interface ArrayConstructor {
+ /**
+ * Creates an array from an array-like object.
+ * @param arrayLike An array-like object to convert to an array.
+ */
+ from<T>(arrayLike: ArrayLike<T>): T[];
+
+ /**
+ * Creates an array from an iterable object.
+ * @param arrayLike An array-like object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T, U>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => U, thisArg?: any): U[];
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of<T>(...items: T[]): T[];
+}
+
+interface DateConstructor {
+ new (value: number | string | Date): Date;
+}
+
+interface Function {
+ /**
+ * Returns the name of the function. Function names are read-only and can not be changed.
+ */
+ readonly name: string;
+}
+
+interface Math {
+ /**
+ * Returns the number of leading zero bits in the 32-bit binary representation of a number.
+ * @param x A numeric expression.
+ */
+ clz32(x: number): number;
+
+ /**
+ * Returns the result of 32-bit multiplication of two numbers.
+ * @param x First number
+ * @param y Second number
+ */
+ imul(x: number, y: number): number;
+
+ /**
+ * Returns the sign of the x, indicating whether x is positive, negative or zero.
+ * @param x The numeric expression to test
+ */
+ sign(x: number): number;
+
+ /**
+ * Returns the base 10 logarithm of a number.
+ * @param x A numeric expression.
+ */
+ log10(x: number): number;
+
+ /**
+ * Returns the base 2 logarithm of a number.
+ * @param x A numeric expression.
+ */
+ log2(x: number): number;
+
+ /**
+ * Returns the natural logarithm of 1 + x.
+ * @param x A numeric expression.
+ */
+ log1p(x: number): number;
+
+ /**
+ * Returns the result of (e^x - 1), which is an implementation-dependent approximation to
+ * subtracting 1 from the exponential function of x (e raised to the power of x, where e
+ * is the base of the natural logarithms).
+ * @param x A numeric expression.
+ */
+ expm1(x: number): number;
+
+ /**
+ * Returns the hyperbolic cosine of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ cosh(x: number): number;
+
+ /**
+ * Returns the hyperbolic sine of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ sinh(x: number): number;
+
+ /**
+ * Returns the hyperbolic tangent of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ tanh(x: number): number;
+
+ /**
+ * Returns the inverse hyperbolic cosine of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ acosh(x: number): number;
+
+ /**
+ * Returns the inverse hyperbolic sine of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ asinh(x: number): number;
+
+ /**
+ * Returns the inverse hyperbolic tangent of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ atanh(x: number): number;
+
+ /**
+ * Returns the square root of the sum of squares of its arguments.
+ * @param values Values to compute the square root for.
+ * If no arguments are passed, the result is +0.
+ * If there is only one argument, the result is the absolute value.
+ * If any argument is +Infinity or -Infinity, the result is +Infinity.
+ * If any argument is NaN, the result is NaN.
+ * If all arguments are either +0 or −0, the result is +0.
+ */
+ hypot(...values: number[]): number;
+
+ /**
+ * Returns the integral part of the a numeric expression, x, removing any fractional digits.
+ * If x is already an integer, the result is x.
+ * @param x A numeric expression.
+ */
+ trunc(x: number): number;
+
+ /**
+ * Returns the nearest single precision float representation of a number.
+ * @param x A numeric expression.
+ */
+ fround(x: number): number;
+
+ /**
+ * Returns an implementation-dependent approximation to the cube root of number.
+ * @param x A numeric expression.
+ */
+ cbrt(x: number): number;
+}
+
+interface NumberConstructor {
+ /**
+ * The value of Number.EPSILON is the difference between 1 and the smallest value greater than 1
+ * that is representable as a Number value, which is approximately:
+ * 2.2204460492503130808472633361816 x 10‍−‍16.
+ */
+ readonly EPSILON: number;
+
+ /**
+ * Returns true if passed value is finite.
+ * Unlike the global isFinite, Number.isFinite doesn't forcibly convert the parameter to a
+ * number. Only finite values of the type number, result in true.
+ * @param number A numeric value.
+ */
+ isFinite(number: unknown): boolean;
+
+ /**
+ * Returns true if the value passed is an integer, false otherwise.
+ * @param number A numeric value.
+ */
+ isInteger(number: unknown): boolean;
+
+ /**
+ * Returns a Boolean value that indicates whether a value is the reserved value NaN (not a
+ * number). Unlike the global isNaN(), Number.isNaN() doesn't forcefully convert the parameter
+ * to a number. Only values of the type number, that are also NaN, result in true.
+ * @param number A numeric value.
+ */
+ isNaN(number: unknown): boolean;
+
+ /**
+ * Returns true if the value passed is a safe integer.
+ * @param number A numeric value.
+ */
+ isSafeInteger(number: unknown): boolean;
+
+ /**
+ * The value of the largest integer n such that n and n + 1 are both exactly representable as
+ * a Number value.
+ * The value of Number.MAX_SAFE_INTEGER is 9007199254740991 2^53 − 1.
+ */
+ readonly MAX_SAFE_INTEGER: number;
+
+ /**
+ * The value of the smallest integer n such that n and n − 1 are both exactly representable as
+ * a Number value.
+ * The value of Number.MIN_SAFE_INTEGER is −9007199254740991 (−(2^53 − 1)).
+ */
+ readonly MIN_SAFE_INTEGER: number;
+
+ /**
+ * Converts a string to a floating-point number.
+ * @param string A string that contains a floating-point number.
+ */
+ parseFloat(string: string): number;
+
+ /**
+ * Converts A string to an integer.
+ * @param string A string to convert into a number.
+ * @param radix A value between 2 and 36 that specifies the base of the number in \`string\`.
+ * If this argument is not supplied, strings with a prefix of '0x' are considered hexadecimal.
+ * All other strings are considered decimal.
+ */
+ parseInt(string: string, radix?: number): number;
+}
+
+interface ObjectConstructor {
+ /**
+ * Copy the values of all of the enumerable own properties from one or more source objects to a
+ * target object. Returns the target object.
+ * @param target The target object to copy to.
+ * @param source The source object from which to copy properties.
+ */
+ assign<T extends {}, U>(target: T, source: U): T & U;
+
+ /**
+ * Copy the values of all of the enumerable own properties from one or more source objects to a
+ * target object. Returns the target object.
+ * @param target The target object to copy to.
+ * @param source1 The first source object from which to copy properties.
+ * @param source2 The second source object from which to copy properties.
+ */
+ assign<T extends {}, U, V>(target: T, source1: U, source2: V): T & U & V;
+
+ /**
+ * Copy the values of all of the enumerable own properties from one or more source objects to a
+ * target object. Returns the target object.
+ * @param target The target object to copy to.
+ * @param source1 The first source object from which to copy properties.
+ * @param source2 The second source object from which to copy properties.
+ * @param source3 The third source object from which to copy properties.
+ */
+ assign<T extends {}, U, V, W>(target: T, source1: U, source2: V, source3: W): T & U & V & W;
+
+ /**
+ * Copy the values of all of the enumerable own properties from one or more source objects to a
+ * target object. Returns the target object.
+ * @param target The target object to copy to.
+ * @param sources One or more source objects from which to copy properties
+ */
+ assign(target: object, ...sources: any[]): any;
+
+ /**
+ * Returns an array of all symbol properties found directly on object o.
+ * @param o Object to retrieve the symbols from.
+ */
+ getOwnPropertySymbols(o: any): symbol[];
+
+ /**
+ * Returns the names of the enumerable string properties and methods of an object.
+ * @param o Object that contains the properties and methods. This can be an object that you created or an existing Document Object Model (DOM) object.
+ */
+ keys(o: {}): string[];
+
+ /**
+ * Returns true if the values are the same value, false otherwise.
+ * @param value1 The first value.
+ * @param value2 The second value.
+ */
+ is(value1: any, value2: any): boolean;
+
+ /**
+ * Sets the prototype of a specified object o to object proto or null. Returns the object o.
+ * @param o The object to change its prototype.
+ * @param proto The value of the new prototype or null.
+ */
+ setPrototypeOf(o: any, proto: object | null): any;
+}
+
+interface ReadonlyArray<T> {
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find<S extends T>(predicate: (value: T, index: number, obj: readonly T[]) => value is S, thisArg?: any): S | undefined;
+ find(predicate: (value: T, index: number, obj: readonly T[]) => unknown, thisArg?: any): T | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: T, index: number, obj: readonly T[]) => unknown, thisArg?: any): number;
+}
+
+interface RegExp {
+ /**
+ * Returns a string indicating the flags of the regular expression in question. This field is read-only.
+ * The characters in this string are sequenced and concatenated in the following order:
+ *
+ * - "g" for global
+ * - "i" for ignoreCase
+ * - "m" for multiline
+ * - "u" for unicode
+ * - "y" for sticky
+ *
+ * If no flags are set, the value is the empty string.
+ */
+ readonly flags: string;
+
+ /**
+ * Returns a Boolean value indicating the state of the sticky flag (y) used with a regular
+ * expression. Default is false. Read-only.
+ */
+ readonly sticky: boolean;
+
+ /**
+ * Returns a Boolean value indicating the state of the Unicode flag (u) used with a regular
+ * expression. Default is false. Read-only.
+ */
+ readonly unicode: boolean;
+}
+
+interface RegExpConstructor {
+ new (pattern: RegExp | string, flags?: string): RegExp;
+ (pattern: RegExp | string, flags?: string): RegExp;
+}
+
+interface String {
+ /**
+ * Returns a nonnegative integer Number less than 1114112 (0x110000) that is the code point
+ * value of the UTF-16 encoded code point starting at the string element at position pos in
+ * the String resulting from converting this object to a String.
+ * If there is no element at that position, the result is undefined.
+ * If a valid UTF-16 surrogate pair does not begin at pos, the result is the code unit at pos.
+ */
+ codePointAt(pos: number): number | undefined;
+
+ /**
+ * Returns true if searchString appears as a substring of the result of converting this
+ * object to a String, at one or more positions that are
+ * greater than or equal to position; otherwise, returns false.
+ * @param searchString search string
+ * @param position If position is undefined, 0 is assumed, so as to search all of the String.
+ */
+ includes(searchString: string, position?: number): boolean;
+
+ /**
+ * Returns true if the sequence of elements of searchString converted to a String is the
+ * same as the corresponding elements of this object (converted to a String) starting at
+ * endPosition – length(this). Otherwise returns false.
+ */
+ endsWith(searchString: string, endPosition?: number): boolean;
+
+ /**
+ * Returns the String value result of normalizing the string into the normalization form
+ * named by form as specified in Unicode Standard Annex #15, Unicode Normalization Forms.
+ * @param form Applicable values: "NFC", "NFD", "NFKC", or "NFKD", If not specified default
+ * is "NFC"
+ */
+ normalize(form: "NFC" | "NFD" | "NFKC" | "NFKD"): string;
+
+ /**
+ * Returns the String value result of normalizing the string into the normalization form
+ * named by form as specified in Unicode Standard Annex #15, Unicode Normalization Forms.
+ * @param form Applicable values: "NFC", "NFD", "NFKC", or "NFKD", If not specified default
+ * is "NFC"
+ */
+ normalize(form?: string): string;
+
+ /**
+ * Returns a String value that is made from count copies appended together. If count is 0,
+ * the empty string is returned.
+ * @param count number of copies to append
+ */
+ repeat(count: number): string;
+
+ /**
+ * Returns true if the sequence of elements of searchString converted to a String is the
+ * same as the corresponding elements of this object (converted to a String) starting at
+ * position. Otherwise returns false.
+ */
+ startsWith(searchString: string, position?: number): boolean;
+
+ /**
+ * Returns an \`<a>\` HTML anchor element and sets the name attribute to the text value
+ * @deprecated A legacy feature for browser compatibility
+ * @param name
+ */
+ anchor(name: string): string;
+
+ /**
+ * Returns a \`<big>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ big(): string;
+
+ /**
+ * Returns a \`<blink>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ blink(): string;
+
+ /**
+ * Returns a \`<b>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ bold(): string;
+
+ /**
+ * Returns a \`<tt>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ fixed(): string;
+
+ /**
+ * Returns a \`<font>\` HTML element and sets the color attribute value
+ * @deprecated A legacy feature for browser compatibility
+ */
+ fontcolor(color: string): string;
+
+ /**
+ * Returns a \`<font>\` HTML element and sets the size attribute value
+ * @deprecated A legacy feature for browser compatibility
+ */
+ fontsize(size: number): string;
+
+ /**
+ * Returns a \`<font>\` HTML element and sets the size attribute value
+ * @deprecated A legacy feature for browser compatibility
+ */
+ fontsize(size: string): string;
+
+ /**
+ * Returns an \`<i>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ italics(): string;
+
+ /**
+ * Returns an \`<a>\` HTML element and sets the href attribute value
+ * @deprecated A legacy feature for browser compatibility
+ */
+ link(url: string): string;
+
+ /**
+ * Returns a \`<small>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ small(): string;
+
+ /**
+ * Returns a \`<strike>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ strike(): string;
+
+ /**
+ * Returns a \`<sub>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ sub(): string;
+
+ /**
+ * Returns a \`<sup>\` HTML element
+ * @deprecated A legacy feature for browser compatibility
+ */
+ sup(): string;
+}
+
+interface StringConstructor {
+ /**
+ * Return the String value whose elements are, in order, the elements in the List elements.
+ * If length is 0, the empty string is returned.
+ */
+ fromCodePoint(...codePoints: number[]): string;
+
+ /**
+ * String.raw is usually used as a tag function of a Tagged Template String. When called as
+ * such, the first argument will be a well formed template call site object and the rest
+ * parameter will contain the substitution values. It can also be called directly, for example,
+ * to interleave strings and values from your own tag function, and in this case the only thing
+ * it needs from the first argument is the raw property.
+ * @param template A well-formed template string call site representation.
+ * @param substitutions A set of substitution values.
+ */
+ raw(template: { raw: readonly string[] | ArrayLike<string>; }, ...substitutions: any[]): string;
+}
+`,lo["lib.es2015.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es5" />
+/// <reference lib="es2015.core" />
+/// <reference lib="es2015.collection" />
+/// <reference lib="es2015.iterable" />
+/// <reference lib="es2015.generator" />
+/// <reference lib="es2015.promise" />
+/// <reference lib="es2015.proxy" />
+/// <reference lib="es2015.reflect" />
+/// <reference lib="es2015.symbol" />
+/// <reference lib="es2015.symbol.wellknown" />
+`,lo["lib.es2015.generator.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.iterable" />
+
+interface Generator<T = unknown, TReturn = any, TNext = unknown> extends Iterator<T, TReturn, TNext> {
+ // NOTE: 'next' is defined using a tuple to ensure we report the correct assignability errors in all places.
+ next(...args: [] | [TNext]): IteratorResult<T, TReturn>;
+ return(value: TReturn): IteratorResult<T, TReturn>;
+ throw(e: any): IteratorResult<T, TReturn>;
+ [Symbol.iterator](): Generator<T, TReturn, TNext>;
+}
+
+interface GeneratorFunction {
+ /**
+ * Creates a new Generator object.
+ * @param args A list of arguments the function accepts.
+ */
+ new (...args: any[]): Generator;
+ /**
+ * Creates a new Generator object.
+ * @param args A list of arguments the function accepts.
+ */
+ (...args: any[]): Generator;
+ /**
+ * The length of the arguments.
+ */
+ readonly length: number;
+ /**
+ * Returns the name of the function.
+ */
+ readonly name: string;
+ /**
+ * A reference to the prototype.
+ */
+ readonly prototype: Generator;
+}
+
+interface GeneratorFunctionConstructor {
+ /**
+ * Creates a new Generator function.
+ * @param args A list of arguments the function accepts.
+ */
+ new (...args: string[]): GeneratorFunction;
+ /**
+ * Creates a new Generator function.
+ * @param args A list of arguments the function accepts.
+ */
+ (...args: string[]): GeneratorFunction;
+ /**
+ * The length of the arguments.
+ */
+ readonly length: number;
+ /**
+ * Returns the name of the function.
+ */
+ readonly name: string;
+ /**
+ * A reference to the prototype.
+ */
+ readonly prototype: GeneratorFunction;
+}
+`,lo["lib.es2015.iterable.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.symbol" />
+
+interface SymbolConstructor {
+ /**
+ * A method that returns the default iterator for an object. Called by the semantics of the
+ * for-of statement.
+ */
+ readonly iterator: unique symbol;
+}
+
+interface IteratorYieldResult<TYield> {
+ done?: false;
+ value: TYield;
+}
+
+interface IteratorReturnResult<TReturn> {
+ done: true;
+ value: TReturn;
+}
+
+type IteratorResult<T, TReturn = any> = IteratorYieldResult<T> | IteratorReturnResult<TReturn>;
+
+interface Iterator<T, TReturn = any, TNext = undefined> {
+ // NOTE: 'next' is defined using a tuple to ensure we report the correct assignability errors in all places.
+ next(...args: [] | [TNext]): IteratorResult<T, TReturn>;
+ return?(value?: TReturn): IteratorResult<T, TReturn>;
+ throw?(e?: any): IteratorResult<T, TReturn>;
+}
+
+interface Iterable<T> {
+ [Symbol.iterator](): Iterator<T>;
+}
+
+interface IterableIterator<T> extends Iterator<T> {
+ [Symbol.iterator](): IterableIterator<T>;
+}
+
+interface Array<T> {
+ /** Iterator */
+ [Symbol.iterator](): IterableIterator<T>;
+
+ /**
+ * Returns an iterable of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, T]>;
+
+ /**
+ * Returns an iterable of keys in the array
+ */
+ keys(): IterableIterator<number>;
+
+ /**
+ * Returns an iterable of values in the array
+ */
+ values(): IterableIterator<T>;
+}
+
+interface ArrayConstructor {
+ /**
+ * Creates an array from an iterable object.
+ * @param iterable An iterable object to convert to an array.
+ */
+ from<T>(iterable: Iterable<T> | ArrayLike<T>): T[];
+
+ /**
+ * Creates an array from an iterable object.
+ * @param iterable An iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T, U>(iterable: Iterable<T> | ArrayLike<T>, mapfn: (v: T, k: number) => U, thisArg?: any): U[];
+}
+
+interface ReadonlyArray<T> {
+ /** Iterator of values in the array. */
+ [Symbol.iterator](): IterableIterator<T>;
+
+ /**
+ * Returns an iterable of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, T]>;
+
+ /**
+ * Returns an iterable of keys in the array
+ */
+ keys(): IterableIterator<number>;
+
+ /**
+ * Returns an iterable of values in the array
+ */
+ values(): IterableIterator<T>;
+}
+
+interface IArguments {
+ /** Iterator */
+ [Symbol.iterator](): IterableIterator<any>;
+}
+
+interface Map<K, V> {
+ /** Returns an iterable of entries in the map. */
+ [Symbol.iterator](): IterableIterator<[K, V]>;
+
+ /**
+ * Returns an iterable of key, value pairs for every entry in the map.
+ */
+ entries(): IterableIterator<[K, V]>;
+
+ /**
+ * Returns an iterable of keys in the map
+ */
+ keys(): IterableIterator<K>;
+
+ /**
+ * Returns an iterable of values in the map
+ */
+ values(): IterableIterator<V>;
+}
+
+interface ReadonlyMap<K, V> {
+ /** Returns an iterable of entries in the map. */
+ [Symbol.iterator](): IterableIterator<[K, V]>;
+
+ /**
+ * Returns an iterable of key, value pairs for every entry in the map.
+ */
+ entries(): IterableIterator<[K, V]>;
+
+ /**
+ * Returns an iterable of keys in the map
+ */
+ keys(): IterableIterator<K>;
+
+ /**
+ * Returns an iterable of values in the map
+ */
+ values(): IterableIterator<V>;
+}
+
+interface MapConstructor {
+ new (): Map<any, any>;
+ new <K, V>(iterable?: Iterable<readonly [K, V]> | null): Map<K, V>;
+}
+
+interface WeakMap<K extends WeakKey, V> {}
+
+interface WeakMapConstructor {
+ new <K extends WeakKey, V>(iterable: Iterable<readonly [K, V]>): WeakMap<K, V>;
+}
+
+interface Set<T> {
+ /** Iterates over values in the set. */
+ [Symbol.iterator](): IterableIterator<T>;
+ /**
+ * Returns an iterable of [v,v] pairs for every value \`v\` in the set.
+ */
+ entries(): IterableIterator<[T, T]>;
+ /**
+ * Despite its name, returns an iterable of the values in the set.
+ */
+ keys(): IterableIterator<T>;
+
+ /**
+ * Returns an iterable of values in the set.
+ */
+ values(): IterableIterator<T>;
+}
+
+interface ReadonlySet<T> {
+ /** Iterates over values in the set. */
+ [Symbol.iterator](): IterableIterator<T>;
+
+ /**
+ * Returns an iterable of [v,v] pairs for every value \`v\` in the set.
+ */
+ entries(): IterableIterator<[T, T]>;
+
+ /**
+ * Despite its name, returns an iterable of the values in the set.
+ */
+ keys(): IterableIterator<T>;
+
+ /**
+ * Returns an iterable of values in the set.
+ */
+ values(): IterableIterator<T>;
+}
+
+interface SetConstructor {
+ new <T>(iterable?: Iterable<T> | null): Set<T>;
+}
+
+interface WeakSet<T extends WeakKey> {}
+
+interface WeakSetConstructor {
+ new <T extends WeakKey = WeakKey>(iterable: Iterable<T>): WeakSet<T>;
+}
+
+interface Promise<T> {}
+
+interface PromiseConstructor {
+ /**
+ * Creates a Promise that is resolved with an array of results when all of the provided Promises
+ * resolve, or rejected when any Promise is rejected.
+ * @param values An iterable of Promises.
+ * @returns A new Promise.
+ */
+ all<T>(values: Iterable<T | PromiseLike<T>>): Promise<Awaited<T>[]>;
+
+ /**
+ * Creates a Promise that is resolved or rejected when any of the provided Promises are resolved
+ * or rejected.
+ * @param values An iterable of Promises.
+ * @returns A new Promise.
+ */
+ race<T>(values: Iterable<T | PromiseLike<T>>): Promise<Awaited<T>>;
+}
+
+interface String {
+ /** Iterator */
+ [Symbol.iterator](): IterableIterator<string>;
+}
+
+interface Int8Array {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Int8ArrayConstructor {
+ new (elements: Iterable<number>): Int8Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Int8Array;
+}
+
+interface Uint8Array {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Uint8ArrayConstructor {
+ new (elements: Iterable<number>): Uint8Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Uint8Array;
+}
+
+interface Uint8ClampedArray {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Uint8ClampedArrayConstructor {
+ new (elements: Iterable<number>): Uint8ClampedArray;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Uint8ClampedArray;
+}
+
+interface Int16Array {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Int16ArrayConstructor {
+ new (elements: Iterable<number>): Int16Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Int16Array;
+}
+
+interface Uint16Array {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Uint16ArrayConstructor {
+ new (elements: Iterable<number>): Uint16Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Uint16Array;
+}
+
+interface Int32Array {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Int32ArrayConstructor {
+ new (elements: Iterable<number>): Int32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Int32Array;
+}
+
+interface Uint32Array {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Uint32ArrayConstructor {
+ new (elements: Iterable<number>): Uint32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Uint32Array;
+}
+
+interface Float32Array {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Float32ArrayConstructor {
+ new (elements: Iterable<number>): Float32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Float32Array;
+}
+
+interface Float64Array {
+ [Symbol.iterator](): IterableIterator<number>;
+ /**
+ * Returns an array of key, value pairs for every entry in the array
+ */
+ entries(): IterableIterator<[number, number]>;
+ /**
+ * Returns an list of keys in the array
+ */
+ keys(): IterableIterator<number>;
+ /**
+ * Returns an list of values in the array
+ */
+ values(): IterableIterator<number>;
+}
+
+interface Float64ArrayConstructor {
+ new (elements: Iterable<number>): Float64Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: Iterable<number>, mapfn?: (v: number, k: number) => number, thisArg?: any): Float64Array;
+}
+`,lo["lib.es2015.promise.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface PromiseConstructor {
+ /**
+ * A reference to the prototype.
+ */
+ readonly prototype: Promise<any>;
+
+ /**
+ * Creates a new Promise.
+ * @param executor A callback used to initialize the promise. This callback is passed two arguments:
+ * a resolve callback used to resolve the promise with a value or the result of another promise,
+ * and a reject callback used to reject the promise with a provided reason or error.
+ */
+ new <T>(executor: (resolve: (value: T | PromiseLike<T>) => void, reject: (reason?: any) => void) => void): Promise<T>;
+
+ /**
+ * Creates a Promise that is resolved with an array of results when all of the provided Promises
+ * resolve, or rejected when any Promise is rejected.
+ * @param values An array of Promises.
+ * @returns A new Promise.
+ */
+ all<T extends readonly unknown[] | []>(values: T): Promise<{ -readonly [P in keyof T]: Awaited<T[P]>; }>;
+
+ // see: lib.es2015.iterable.d.ts
+ // all<T>(values: Iterable<T | PromiseLike<T>>): Promise<Awaited<T>[]>;
+
+ /**
+ * Creates a Promise that is resolved or rejected when any of the provided Promises are resolved
+ * or rejected.
+ * @param values An array of Promises.
+ * @returns A new Promise.
+ */
+ race<T extends readonly unknown[] | []>(values: T): Promise<Awaited<T[number]>>;
+
+ // see: lib.es2015.iterable.d.ts
+ // race<T>(values: Iterable<T | PromiseLike<T>>): Promise<Awaited<T>>;
+
+ /**
+ * Creates a new rejected promise for the provided reason.
+ * @param reason The reason the promise was rejected.
+ * @returns A new rejected Promise.
+ */
+ reject<T = never>(reason?: any): Promise<T>;
+
+ /**
+ * Creates a new resolved promise.
+ * @returns A resolved promise.
+ */
+ resolve(): Promise<void>;
+ /**
+ * Creates a new resolved promise for the provided value.
+ * @param value A promise.
+ * @returns A promise whose internal state matches the provided promise.
+ */
+ resolve<T>(value: T): Promise<Awaited<T>>;
+ /**
+ * Creates a new resolved promise for the provided value.
+ * @param value A promise.
+ * @returns A promise whose internal state matches the provided promise.
+ */
+ resolve<T>(value: T | PromiseLike<T>): Promise<Awaited<T>>;
+}
+
+declare var Promise: PromiseConstructor;
+`,lo["lib.es2015.proxy.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface ProxyHandler<T extends object> {
+ /**
+ * A trap method for a function call.
+ * @param target The original callable object which is being proxied.
+ */
+ apply?(target: T, thisArg: any, argArray: any[]): any;
+
+ /**
+ * A trap for the \`new\` operator.
+ * @param target The original object which is being proxied.
+ * @param newTarget The constructor that was originally called.
+ */
+ construct?(target: T, argArray: any[], newTarget: Function): object;
+
+ /**
+ * A trap for \`Object.defineProperty()\`.
+ * @param target The original object which is being proxied.
+ * @returns A \`Boolean\` indicating whether or not the property has been defined.
+ */
+ defineProperty?(target: T, property: string | symbol, attributes: PropertyDescriptor): boolean;
+
+ /**
+ * A trap for the \`delete\` operator.
+ * @param target The original object which is being proxied.
+ * @param p The name or \`Symbol\` of the property to delete.
+ * @returns A \`Boolean\` indicating whether or not the property was deleted.
+ */
+ deleteProperty?(target: T, p: string | symbol): boolean;
+
+ /**
+ * A trap for getting a property value.
+ * @param target The original object which is being proxied.
+ * @param p The name or \`Symbol\` of the property to get.
+ * @param receiver The proxy or an object that inherits from the proxy.
+ */
+ get?(target: T, p: string | symbol, receiver: any): any;
+
+ /**
+ * A trap for \`Object.getOwnPropertyDescriptor()\`.
+ * @param target The original object which is being proxied.
+ * @param p The name of the property whose description should be retrieved.
+ */
+ getOwnPropertyDescriptor?(target: T, p: string | symbol): PropertyDescriptor | undefined;
+
+ /**
+ * A trap for the \`[[GetPrototypeOf]]\` internal method.
+ * @param target The original object which is being proxied.
+ */
+ getPrototypeOf?(target: T): object | null;
+
+ /**
+ * A trap for the \`in\` operator.
+ * @param target The original object which is being proxied.
+ * @param p The name or \`Symbol\` of the property to check for existence.
+ */
+ has?(target: T, p: string | symbol): boolean;
+
+ /**
+ * A trap for \`Object.isExtensible()\`.
+ * @param target The original object which is being proxied.
+ */
+ isExtensible?(target: T): boolean;
+
+ /**
+ * A trap for \`Reflect.ownKeys()\`.
+ * @param target The original object which is being proxied.
+ */
+ ownKeys?(target: T): ArrayLike<string | symbol>;
+
+ /**
+ * A trap for \`Object.preventExtensions()\`.
+ * @param target The original object which is being proxied.
+ */
+ preventExtensions?(target: T): boolean;
+
+ /**
+ * A trap for setting a property value.
+ * @param target The original object which is being proxied.
+ * @param p The name or \`Symbol\` of the property to set.
+ * @param receiver The object to which the assignment was originally directed.
+ * @returns A \`Boolean\` indicating whether or not the property was set.
+ */
+ set?(target: T, p: string | symbol, newValue: any, receiver: any): boolean;
+
+ /**
+ * A trap for \`Object.setPrototypeOf()\`.
+ * @param target The original object which is being proxied.
+ * @param newPrototype The object's new prototype or \`null\`.
+ */
+ setPrototypeOf?(target: T, v: object | null): boolean;
+}
+
+interface ProxyConstructor {
+ /**
+ * Creates a revocable Proxy object.
+ * @param target A target object to wrap with Proxy.
+ * @param handler An object whose properties define the behavior of Proxy when an operation is attempted on it.
+ */
+ revocable<T extends object>(target: T, handler: ProxyHandler<T>): { proxy: T; revoke: () => void; };
+
+ /**
+ * Creates a Proxy object. The Proxy object allows you to create an object that can be used in place of the
+ * original object, but which may redefine fundamental Object operations like getting, setting, and defining
+ * properties. Proxy objects are commonly used to log property accesses, validate, format, or sanitize inputs.
+ * @param target A target object to wrap with Proxy.
+ * @param handler An object whose properties define the behavior of Proxy when an operation is attempted on it.
+ */
+ new <T extends object>(target: T, handler: ProxyHandler<T>): T;
+}
+declare var Proxy: ProxyConstructor;
+`,lo["lib.es2015.reflect.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare namespace Reflect {
+ /**
+ * Calls the function with the specified object as the this value
+ * and the elements of specified array as the arguments.
+ * @param target The function to call.
+ * @param thisArgument The object to be used as the this object.
+ * @param argumentsList An array of argument values to be passed to the function.
+ */
+ function apply<T, A extends readonly any[], R>(
+ target: (this: T, ...args: A) => R,
+ thisArgument: T,
+ argumentsList: Readonly<A>,
+ ): R;
+ function apply(target: Function, thisArgument: any, argumentsList: ArrayLike<any>): any;
+
+ /**
+ * Constructs the target with the elements of specified array as the arguments
+ * and the specified constructor as the \`new.target\` value.
+ * @param target The constructor to invoke.
+ * @param argumentsList An array of argument values to be passed to the constructor.
+ * @param newTarget The constructor to be used as the \`new.target\` object.
+ */
+ function construct<A extends readonly any[], R>(
+ target: new (...args: A) => R,
+ argumentsList: Readonly<A>,
+ newTarget?: new (...args: any) => any,
+ ): R;
+ function construct(target: Function, argumentsList: ArrayLike<any>, newTarget?: Function): any;
+
+ /**
+ * Adds a property to an object, or modifies attributes of an existing property.
+ * @param target Object on which to add or modify the property. This can be a native JavaScript object
+ * (that is, a user-defined object or a built in object) or a DOM object.
+ * @param propertyKey The property name.
+ * @param attributes Descriptor for the property. It can be for a data property or an accessor property.
+ */
+ function defineProperty(target: object, propertyKey: PropertyKey, attributes: PropertyDescriptor & ThisType<any>): boolean;
+
+ /**
+ * Removes a property from an object, equivalent to \`delete target[propertyKey]\`,
+ * except it won't throw if \`target[propertyKey]\` is non-configurable.
+ * @param target Object from which to remove the own property.
+ * @param propertyKey The property name.
+ */
+ function deleteProperty(target: object, propertyKey: PropertyKey): boolean;
+
+ /**
+ * Gets the property of target, equivalent to \`target[propertyKey]\` when \`receiver === target\`.
+ * @param target Object that contains the property on itself or in its prototype chain.
+ * @param propertyKey The property name.
+ * @param receiver The reference to use as the \`this\` value in the getter function,
+ * if \`target[propertyKey]\` is an accessor property.
+ */
+ function get<T extends object, P extends PropertyKey>(
+ target: T,
+ propertyKey: P,
+ receiver?: unknown,
+ ): P extends keyof T ? T[P] : any;
+
+ /**
+ * Gets the own property descriptor of the specified object.
+ * An own property descriptor is one that is defined directly on the object and is not inherited from the object's prototype.
+ * @param target Object that contains the property.
+ * @param propertyKey The property name.
+ */
+ function getOwnPropertyDescriptor<T extends object, P extends PropertyKey>(
+ target: T,
+ propertyKey: P,
+ ): TypedPropertyDescriptor<P extends keyof T ? T[P] : any> | undefined;
+
+ /**
+ * Returns the prototype of an object.
+ * @param target The object that references the prototype.
+ */
+ function getPrototypeOf(target: object): object | null;
+
+ /**
+ * Equivalent to \`propertyKey in target\`.
+ * @param target Object that contains the property on itself or in its prototype chain.
+ * @param propertyKey Name of the property.
+ */
+ function has(target: object, propertyKey: PropertyKey): boolean;
+
+ /**
+ * Returns a value that indicates whether new properties can be added to an object.
+ * @param target Object to test.
+ */
+ function isExtensible(target: object): boolean;
+
+ /**
+ * Returns the string and symbol keys of the own properties of an object. The own properties of an object
+ * are those that are defined directly on that object, and are not inherited from the object's prototype.
+ * @param target Object that contains the own properties.
+ */
+ function ownKeys(target: object): (string | symbol)[];
+
+ /**
+ * Prevents the addition of new properties to an object.
+ * @param target Object to make non-extensible.
+ * @return Whether the object has been made non-extensible.
+ */
+ function preventExtensions(target: object): boolean;
+
+ /**
+ * Sets the property of target, equivalent to \`target[propertyKey] = value\` when \`receiver === target\`.
+ * @param target Object that contains the property on itself or in its prototype chain.
+ * @param propertyKey Name of the property.
+ * @param receiver The reference to use as the \`this\` value in the setter function,
+ * if \`target[propertyKey]\` is an accessor property.
+ */
+ function set<T extends object, P extends PropertyKey>(
+ target: T,
+ propertyKey: P,
+ value: P extends keyof T ? T[P] : any,
+ receiver?: any,
+ ): boolean;
+ function set(target: object, propertyKey: PropertyKey, value: any, receiver?: any): boolean;
+
+ /**
+ * Sets the prototype of a specified object o to object proto or null.
+ * @param target The object to change its prototype.
+ * @param proto The value of the new prototype or null.
+ * @return Whether setting the prototype was successful.
+ */
+ function setPrototypeOf(target: object, proto: object | null): boolean;
+}
+`,lo["lib.es2015.symbol.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface SymbolConstructor {
+ /**
+ * A reference to the prototype.
+ */
+ readonly prototype: Symbol;
+
+ /**
+ * Returns a new unique Symbol value.
+ * @param description Description of the new Symbol object.
+ */
+ (description?: string | number): symbol;
+
+ /**
+ * Returns a Symbol object from the global symbol registry matching the given key if found.
+ * Otherwise, returns a new symbol with this key.
+ * @param key key to search for.
+ */
+ for(key: string): symbol;
+
+ /**
+ * Returns a key from the global symbol registry matching the given Symbol if found.
+ * Otherwise, returns a undefined.
+ * @param sym Symbol to find the key for.
+ */
+ keyFor(sym: symbol): string | undefined;
+}
+
+declare var Symbol: SymbolConstructor;
+`,lo["lib.es2015.symbol.wellknown.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.symbol" />
+
+interface SymbolConstructor {
+ /**
+ * A method that determines if a constructor object recognizes an object as one of the
+ * constructor’s instances. Called by the semantics of the instanceof operator.
+ */
+ readonly hasInstance: unique symbol;
+
+ /**
+ * A Boolean value that if true indicates that an object should flatten to its array elements
+ * by Array.prototype.concat.
+ */
+ readonly isConcatSpreadable: unique symbol;
+
+ /**
+ * A regular expression method that matches the regular expression against a string. Called
+ * by the String.prototype.match method.
+ */
+ readonly match: unique symbol;
+
+ /**
+ * A regular expression method that replaces matched substrings of a string. Called by the
+ * String.prototype.replace method.
+ */
+ readonly replace: unique symbol;
+
+ /**
+ * A regular expression method that returns the index within a string that matches the
+ * regular expression. Called by the String.prototype.search method.
+ */
+ readonly search: unique symbol;
+
+ /**
+ * A function valued property that is the constructor function that is used to create
+ * derived objects.
+ */
+ readonly species: unique symbol;
+
+ /**
+ * A regular expression method that splits a string at the indices that match the regular
+ * expression. Called by the String.prototype.split method.
+ */
+ readonly split: unique symbol;
+
+ /**
+ * A method that converts an object to a corresponding primitive value.
+ * Called by the ToPrimitive abstract operation.
+ */
+ readonly toPrimitive: unique symbol;
+
+ /**
+ * A String value that is used in the creation of the default string description of an object.
+ * Called by the built-in method Object.prototype.toString.
+ */
+ readonly toStringTag: unique symbol;
+
+ /**
+ * An Object whose truthy properties are properties that are excluded from the 'with'
+ * environment bindings of the associated objects.
+ */
+ readonly unscopables: unique symbol;
+}
+
+interface Symbol {
+ /**
+ * Converts a Symbol object to a symbol.
+ */
+ [Symbol.toPrimitive](hint: string): symbol;
+
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface Array<T> {
+ /**
+ * Is an object whose properties have the value 'true'
+ * when they will be absent when used in a 'with' statement.
+ */
+ readonly [Symbol.unscopables]: {
+ [K in keyof any[]]?: boolean;
+ };
+}
+
+interface ReadonlyArray<T> {
+ /**
+ * Is an object whose properties have the value 'true'
+ * when they will be absent when used in a 'with' statement.
+ */
+ readonly [Symbol.unscopables]: {
+ [K in keyof readonly any[]]?: boolean;
+ };
+}
+
+interface Date {
+ /**
+ * Converts a Date object to a string.
+ */
+ [Symbol.toPrimitive](hint: "default"): string;
+ /**
+ * Converts a Date object to a string.
+ */
+ [Symbol.toPrimitive](hint: "string"): string;
+ /**
+ * Converts a Date object to a number.
+ */
+ [Symbol.toPrimitive](hint: "number"): number;
+ /**
+ * Converts a Date object to a string or number.
+ *
+ * @param hint The strings "number", "string", or "default" to specify what primitive to return.
+ *
+ * @throws {TypeError} If 'hint' was given something other than "number", "string", or "default".
+ * @returns A number if 'hint' was "number", a string if 'hint' was "string" or "default".
+ */
+ [Symbol.toPrimitive](hint: string): string | number;
+}
+
+interface Map<K, V> {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface WeakMap<K extends WeakKey, V> {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface Set<T> {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface WeakSet<T extends WeakKey> {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface JSON {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface Function {
+ /**
+ * Determines whether the given value inherits from this function if this function was used
+ * as a constructor function.
+ *
+ * A constructor function can control which objects are recognized as its instances by
+ * 'instanceof' by overriding this method.
+ */
+ [Symbol.hasInstance](value: any): boolean;
+}
+
+interface GeneratorFunction {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface Math {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface Promise<T> {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface PromiseConstructor {
+ readonly [Symbol.species]: PromiseConstructor;
+}
+
+interface RegExp {
+ /**
+ * Matches a string with this regular expression, and returns an array containing the results of
+ * that search.
+ * @param string A string to search within.
+ */
+ [Symbol.match](string: string): RegExpMatchArray | null;
+
+ /**
+ * Replaces text in a string, using this regular expression.
+ * @param string A String object or string literal whose contents matching against
+ * this regular expression will be replaced
+ * @param replaceValue A String object or string literal containing the text to replace for every
+ * successful match of this regular expression.
+ */
+ [Symbol.replace](string: string, replaceValue: string): string;
+
+ /**
+ * Replaces text in a string, using this regular expression.
+ * @param string A String object or string literal whose contents matching against
+ * this regular expression will be replaced
+ * @param replacer A function that returns the replacement text.
+ */
+ [Symbol.replace](string: string, replacer: (substring: string, ...args: any[]) => string): string;
+
+ /**
+ * Finds the position beginning first substring match in a regular expression search
+ * using this regular expression.
+ *
+ * @param string The string to search within.
+ */
+ [Symbol.search](string: string): number;
+
+ /**
+ * Returns an array of substrings that were delimited by strings in the original input that
+ * match against this regular expression.
+ *
+ * If the regular expression contains capturing parentheses, then each time this
+ * regular expression matches, the results (including any undefined results) of the
+ * capturing parentheses are spliced.
+ *
+ * @param string string value to split
+ * @param limit if not undefined, the output array is truncated so that it contains no more
+ * than 'limit' elements.
+ */
+ [Symbol.split](string: string, limit?: number): string[];
+}
+
+interface RegExpConstructor {
+ readonly [Symbol.species]: RegExpConstructor;
+}
+
+interface String {
+ /**
+ * Matches a string or an object that supports being matched against, and returns an array
+ * containing the results of that search, or null if no matches are found.
+ * @param matcher An object that supports being matched against.
+ */
+ match(matcher: { [Symbol.match](string: string): RegExpMatchArray | null; }): RegExpMatchArray | null;
+
+ /**
+ * Passes a string and {@linkcode replaceValue} to the \`[Symbol.replace]\` method on {@linkcode searchValue}. This method is expected to implement its own replacement algorithm.
+ * @param searchValue An object that supports searching for and replacing matches within a string.
+ * @param replaceValue The replacement text.
+ */
+ replace(searchValue: { [Symbol.replace](string: string, replaceValue: string): string; }, replaceValue: string): string;
+
+ /**
+ * Replaces text in a string, using an object that supports replacement within a string.
+ * @param searchValue A object can search for and replace matches within a string.
+ * @param replacer A function that returns the replacement text.
+ */
+ replace(searchValue: { [Symbol.replace](string: string, replacer: (substring: string, ...args: any[]) => string): string; }, replacer: (substring: string, ...args: any[]) => string): string;
+
+ /**
+ * Finds the first substring match in a regular expression search.
+ * @param searcher An object which supports searching within a string.
+ */
+ search(searcher: { [Symbol.search](string: string): number; }): number;
+
+ /**
+ * Split a string into substrings using the specified separator and return them as an array.
+ * @param splitter An object that can split a string.
+ * @param limit A value used to limit the number of elements returned in the array.
+ */
+ split(splitter: { [Symbol.split](string: string, limit?: number): string[]; }, limit?: number): string[];
+}
+
+interface ArrayBuffer {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface DataView {
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface Int8Array {
+ readonly [Symbol.toStringTag]: "Int8Array";
+}
+
+interface Uint8Array {
+ readonly [Symbol.toStringTag]: "Uint8Array";
+}
+
+interface Uint8ClampedArray {
+ readonly [Symbol.toStringTag]: "Uint8ClampedArray";
+}
+
+interface Int16Array {
+ readonly [Symbol.toStringTag]: "Int16Array";
+}
+
+interface Uint16Array {
+ readonly [Symbol.toStringTag]: "Uint16Array";
+}
+
+interface Int32Array {
+ readonly [Symbol.toStringTag]: "Int32Array";
+}
+
+interface Uint32Array {
+ readonly [Symbol.toStringTag]: "Uint32Array";
+}
+
+interface Float32Array {
+ readonly [Symbol.toStringTag]: "Float32Array";
+}
+
+interface Float64Array {
+ readonly [Symbol.toStringTag]: "Float64Array";
+}
+
+interface ArrayConstructor {
+ readonly [Symbol.species]: ArrayConstructor;
+}
+interface MapConstructor {
+ readonly [Symbol.species]: MapConstructor;
+}
+interface SetConstructor {
+ readonly [Symbol.species]: SetConstructor;
+}
+interface ArrayBufferConstructor {
+ readonly [Symbol.species]: ArrayBufferConstructor;
+}
+`,lo["lib.es2016.array.include.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Array<T> {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: T, fromIndex?: number): boolean;
+}
+
+interface ReadonlyArray<T> {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: T, fromIndex?: number): boolean;
+}
+
+interface Int8Array {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+
+interface Uint8Array {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+
+interface Uint8ClampedArray {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+
+interface Int16Array {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+
+interface Uint16Array {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+
+interface Int32Array {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+
+interface Uint32Array {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+
+interface Float32Array {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+
+interface Float64Array {
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: number, fromIndex?: number): boolean;
+}
+`,lo["lib.es2016.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015" />
+/// <reference lib="es2016.array.include" />
+/// <reference lib="es2016.intl" />
+`,lo["lib.es2016.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2016" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+`,lo["lib.es2016.intl.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare namespace Intl {
+ /**
+ * The \`Intl.getCanonicalLocales()\` method returns an array containing
+ * the canonical locale names. Duplicates will be omitted and elements
+ * will be validated as structurally valid language tags.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/getCanonicalLocales)
+ *
+ * @param locale A list of String values for which to get the canonical locale names
+ * @returns An array containing the canonical and validated locale names.
+ */
+ function getCanonicalLocales(locale?: string | readonly string[]): string[];
+}
+`,lo["lib.es2017.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2016" />
+/// <reference lib="es2017.object" />
+/// <reference lib="es2017.sharedmemory" />
+/// <reference lib="es2017.string" />
+/// <reference lib="es2017.intl" />
+/// <reference lib="es2017.typedarrays" />
+/// <reference lib="es2017.date" />
+`,lo["lib.es2017.date.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface DateConstructor {
+ /**
+ * Returns the number of milliseconds between midnight, January 1, 1970 Universal Coordinated Time (UTC) (or GMT) and the specified date.
+ * @param year The full year designation is required for cross-century date accuracy. If year is between 0 and 99 is used, then year is assumed to be 1900 + year.
+ * @param monthIndex The month as a number between 0 and 11 (January to December).
+ * @param date The date as a number between 1 and 31.
+ * @param hours Must be supplied if minutes is supplied. A number from 0 to 23 (midnight to 11pm) that specifies the hour.
+ * @param minutes Must be supplied if seconds is supplied. A number from 0 to 59 that specifies the minutes.
+ * @param seconds Must be supplied if milliseconds is supplied. A number from 0 to 59 that specifies the seconds.
+ * @param ms A number from 0 to 999 that specifies the milliseconds.
+ */
+ UTC(year: number, monthIndex?: number, date?: number, hours?: number, minutes?: number, seconds?: number, ms?: number): number;
+}
+`,lo["lib.es2017.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2017" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+`,lo["lib.es2017.intl.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare namespace Intl {
+ interface DateTimeFormatPartTypesRegistry {
+ day: any;
+ dayPeriod: any;
+ era: any;
+ hour: any;
+ literal: any;
+ minute: any;
+ month: any;
+ second: any;
+ timeZoneName: any;
+ weekday: any;
+ year: any;
+ }
+
+ type DateTimeFormatPartTypes = keyof DateTimeFormatPartTypesRegistry;
+
+ interface DateTimeFormatPart {
+ type: DateTimeFormatPartTypes;
+ value: string;
+ }
+
+ interface DateTimeFormat {
+ formatToParts(date?: Date | number): DateTimeFormatPart[];
+ }
+}
+`,lo["lib.es2017.object.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface ObjectConstructor {
+ /**
+ * Returns an array of values of the enumerable properties of an object
+ * @param o Object that contains the properties and methods. This can be an object that you created or an existing Document Object Model (DOM) object.
+ */
+ values<T>(o: { [s: string]: T; } | ArrayLike<T>): T[];
+
+ /**
+ * Returns an array of values of the enumerable properties of an object
+ * @param o Object that contains the properties and methods. This can be an object that you created or an existing Document Object Model (DOM) object.
+ */
+ values(o: {}): any[];
+
+ /**
+ * Returns an array of key/values of the enumerable properties of an object
+ * @param o Object that contains the properties and methods. This can be an object that you created or an existing Document Object Model (DOM) object.
+ */
+ entries<T>(o: { [s: string]: T; } | ArrayLike<T>): [string, T][];
+
+ /**
+ * Returns an array of key/values of the enumerable properties of an object
+ * @param o Object that contains the properties and methods. This can be an object that you created or an existing Document Object Model (DOM) object.
+ */
+ entries(o: {}): [string, any][];
+
+ /**
+ * Returns an object containing all own property descriptors of an object
+ * @param o Object that contains the properties and methods. This can be an object that you created or an existing Document Object Model (DOM) object.
+ */
+ getOwnPropertyDescriptors<T>(o: T): { [P in keyof T]: TypedPropertyDescriptor<T[P]>; } & { [x: string]: PropertyDescriptor; };
+}
+`,lo["lib.es2017.sharedmemory.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.symbol" />
+/// <reference lib="es2015.symbol.wellknown" />
+
+interface SharedArrayBuffer {
+ /**
+ * Read-only. The length of the ArrayBuffer (in bytes).
+ */
+ readonly byteLength: number;
+
+ /**
+ * Returns a section of an SharedArrayBuffer.
+ */
+ slice(begin: number, end?: number): SharedArrayBuffer;
+ readonly [Symbol.species]: SharedArrayBuffer;
+ readonly [Symbol.toStringTag]: "SharedArrayBuffer";
+}
+
+interface SharedArrayBufferConstructor {
+ readonly prototype: SharedArrayBuffer;
+ new (byteLength: number): SharedArrayBuffer;
+}
+declare var SharedArrayBuffer: SharedArrayBufferConstructor;
+
+interface ArrayBufferTypes {
+ SharedArrayBuffer: SharedArrayBuffer;
+}
+
+interface Atomics {
+ /**
+ * Adds a value to the value at the given position in the array, returning the original value.
+ * Until this atomic operation completes, any other read or write operation against the array
+ * will block.
+ */
+ add(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number, value: number): number;
+
+ /**
+ * Stores the bitwise AND of a value with the value at the given position in the array,
+ * returning the original value. Until this atomic operation completes, any other read or
+ * write operation against the array will block.
+ */
+ and(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number, value: number): number;
+
+ /**
+ * Replaces the value at the given position in the array if the original value equals the given
+ * expected value, returning the original value. Until this atomic operation completes, any
+ * other read or write operation against the array will block.
+ */
+ compareExchange(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number, expectedValue: number, replacementValue: number): number;
+
+ /**
+ * Replaces the value at the given position in the array, returning the original value. Until
+ * this atomic operation completes, any other read or write operation against the array will
+ * block.
+ */
+ exchange(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number, value: number): number;
+
+ /**
+ * Returns a value indicating whether high-performance algorithms can use atomic operations
+ * (\`true\`) or must use locks (\`false\`) for the given number of bytes-per-element of a typed
+ * array.
+ */
+ isLockFree(size: number): boolean;
+
+ /**
+ * Returns the value at the given position in the array. Until this atomic operation completes,
+ * any other read or write operation against the array will block.
+ */
+ load(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number): number;
+
+ /**
+ * Stores the bitwise OR of a value with the value at the given position in the array,
+ * returning the original value. Until this atomic operation completes, any other read or write
+ * operation against the array will block.
+ */
+ or(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number, value: number): number;
+
+ /**
+ * Stores a value at the given position in the array, returning the new value. Until this
+ * atomic operation completes, any other read or write operation against the array will block.
+ */
+ store(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number, value: number): number;
+
+ /**
+ * Subtracts a value from the value at the given position in the array, returning the original
+ * value. Until this atomic operation completes, any other read or write operation against the
+ * array will block.
+ */
+ sub(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number, value: number): number;
+
+ /**
+ * If the value at the given position in the array is equal to the provided value, the current
+ * agent is put to sleep causing execution to suspend until the timeout expires (returning
+ * \`"timed-out"\`) or until the agent is awoken (returning \`"ok"\`); otherwise, returns
+ * \`"not-equal"\`.
+ */
+ wait(typedArray: Int32Array, index: number, value: number, timeout?: number): "ok" | "not-equal" | "timed-out";
+
+ /**
+ * Wakes up sleeping agents that are waiting on the given index of the array, returning the
+ * number of agents that were awoken.
+ * @param typedArray A shared Int32Array.
+ * @param index The position in the typedArray to wake up on.
+ * @param count The number of sleeping agents to notify. Defaults to +Infinity.
+ */
+ notify(typedArray: Int32Array, index: number, count?: number): number;
+
+ /**
+ * Stores the bitwise XOR of a value with the value at the given position in the array,
+ * returning the original value. Until this atomic operation completes, any other read or write
+ * operation against the array will block.
+ */
+ xor(typedArray: Int8Array | Uint8Array | Int16Array | Uint16Array | Int32Array | Uint32Array, index: number, value: number): number;
+
+ readonly [Symbol.toStringTag]: "Atomics";
+}
+
+declare var Atomics: Atomics;
+`,lo["lib.es2017.string.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface String {
+ /**
+ * Pads the current string with a given string (possibly repeated) so that the resulting string reaches a given length.
+ * The padding is applied from the start (left) of the current string.
+ *
+ * @param maxLength The length of the resulting string once the current string has been padded.
+ * If this parameter is smaller than the current string's length, the current string will be returned as it is.
+ *
+ * @param fillString The string to pad the current string with.
+ * If this string is too long, it will be truncated and the left-most part will be applied.
+ * The default value for this parameter is " " (U+0020).
+ */
+ padStart(maxLength: number, fillString?: string): string;
+
+ /**
+ * Pads the current string with a given string (possibly repeated) so that the resulting string reaches a given length.
+ * The padding is applied from the end (right) of the current string.
+ *
+ * @param maxLength The length of the resulting string once the current string has been padded.
+ * If this parameter is smaller than the current string's length, the current string will be returned as it is.
+ *
+ * @param fillString The string to pad the current string with.
+ * If this string is too long, it will be truncated and the left-most part will be applied.
+ * The default value for this parameter is " " (U+0020).
+ */
+ padEnd(maxLength: number, fillString?: string): string;
+}
+`,lo["lib.es2017.typedarrays.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Int8ArrayConstructor {
+ new (): Int8Array;
+}
+
+interface Uint8ArrayConstructor {
+ new (): Uint8Array;
+}
+
+interface Uint8ClampedArrayConstructor {
+ new (): Uint8ClampedArray;
+}
+
+interface Int16ArrayConstructor {
+ new (): Int16Array;
+}
+
+interface Uint16ArrayConstructor {
+ new (): Uint16Array;
+}
+
+interface Int32ArrayConstructor {
+ new (): Int32Array;
+}
+
+interface Uint32ArrayConstructor {
+ new (): Uint32Array;
+}
+
+interface Float32ArrayConstructor {
+ new (): Float32Array;
+}
+
+interface Float64ArrayConstructor {
+ new (): Float64Array;
+}
+`,lo["lib.es2018.asyncgenerator.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2018.asynciterable" />
+
+interface AsyncGenerator<T = unknown, TReturn = any, TNext = unknown> extends AsyncIterator<T, TReturn, TNext> {
+ // NOTE: 'next' is defined using a tuple to ensure we report the correct assignability errors in all places.
+ next(...args: [] | [TNext]): Promise<IteratorResult<T, TReturn>>;
+ return(value: TReturn | PromiseLike<TReturn>): Promise<IteratorResult<T, TReturn>>;
+ throw(e: any): Promise<IteratorResult<T, TReturn>>;
+ [Symbol.asyncIterator](): AsyncGenerator<T, TReturn, TNext>;
+}
+
+interface AsyncGeneratorFunction {
+ /**
+ * Creates a new AsyncGenerator object.
+ * @param args A list of arguments the function accepts.
+ */
+ new (...args: any[]): AsyncGenerator;
+ /**
+ * Creates a new AsyncGenerator object.
+ * @param args A list of arguments the function accepts.
+ */
+ (...args: any[]): AsyncGenerator;
+ /**
+ * The length of the arguments.
+ */
+ readonly length: number;
+ /**
+ * Returns the name of the function.
+ */
+ readonly name: string;
+ /**
+ * A reference to the prototype.
+ */
+ readonly prototype: AsyncGenerator;
+}
+
+interface AsyncGeneratorFunctionConstructor {
+ /**
+ * Creates a new AsyncGenerator function.
+ * @param args A list of arguments the function accepts.
+ */
+ new (...args: string[]): AsyncGeneratorFunction;
+ /**
+ * Creates a new AsyncGenerator function.
+ * @param args A list of arguments the function accepts.
+ */
+ (...args: string[]): AsyncGeneratorFunction;
+ /**
+ * The length of the arguments.
+ */
+ readonly length: number;
+ /**
+ * Returns the name of the function.
+ */
+ readonly name: string;
+ /**
+ * A reference to the prototype.
+ */
+ readonly prototype: AsyncGeneratorFunction;
+}
+`,lo["lib.es2018.asynciterable.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.symbol" />
+/// <reference lib="es2015.iterable" />
+
+interface SymbolConstructor {
+ /**
+ * A method that returns the default async iterator for an object. Called by the semantics of
+ * the for-await-of statement.
+ */
+ readonly asyncIterator: unique symbol;
+}
+
+interface AsyncIterator<T, TReturn = any, TNext = undefined> {
+ // NOTE: 'next' is defined using a tuple to ensure we report the correct assignability errors in all places.
+ next(...args: [] | [TNext]): Promise<IteratorResult<T, TReturn>>;
+ return?(value?: TReturn | PromiseLike<TReturn>): Promise<IteratorResult<T, TReturn>>;
+ throw?(e?: any): Promise<IteratorResult<T, TReturn>>;
+}
+
+interface AsyncIterable<T> {
+ [Symbol.asyncIterator](): AsyncIterator<T>;
+}
+
+interface AsyncIterableIterator<T> extends AsyncIterator<T> {
+ [Symbol.asyncIterator](): AsyncIterableIterator<T>;
+}
+`,lo["lib.es2018.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2017" />
+/// <reference lib="es2018.asynciterable" />
+/// <reference lib="es2018.asyncgenerator" />
+/// <reference lib="es2018.promise" />
+/// <reference lib="es2018.regexp" />
+/// <reference lib="es2018.intl" />
+`,lo["lib.es2018.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2018" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+/// <reference lib="dom.asynciterable" />
+`,lo["lib.es2018.intl.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare namespace Intl {
+ // http://cldr.unicode.org/index/cldr-spec/plural-rules#TOC-Determining-Plural-Categories
+ type LDMLPluralRule = "zero" | "one" | "two" | "few" | "many" | "other";
+ type PluralRuleType = "cardinal" | "ordinal";
+
+ interface PluralRulesOptions {
+ localeMatcher?: "lookup" | "best fit" | undefined;
+ type?: PluralRuleType | undefined;
+ minimumIntegerDigits?: number | undefined;
+ minimumFractionDigits?: number | undefined;
+ maximumFractionDigits?: number | undefined;
+ minimumSignificantDigits?: number | undefined;
+ maximumSignificantDigits?: number | undefined;
+ }
+
+ interface ResolvedPluralRulesOptions {
+ locale: string;
+ pluralCategories: LDMLPluralRule[];
+ type: PluralRuleType;
+ minimumIntegerDigits: number;
+ minimumFractionDigits: number;
+ maximumFractionDigits: number;
+ minimumSignificantDigits?: number;
+ maximumSignificantDigits?: number;
+ }
+
+ interface PluralRules {
+ resolvedOptions(): ResolvedPluralRulesOptions;
+ select(n: number): LDMLPluralRule;
+ }
+
+ interface PluralRulesConstructor {
+ new (locales?: string | readonly string[], options?: PluralRulesOptions): PluralRules;
+ (locales?: string | readonly string[], options?: PluralRulesOptions): PluralRules;
+ supportedLocalesOf(locales: string | readonly string[], options?: { localeMatcher?: "lookup" | "best fit"; }): string[];
+ }
+
+ const PluralRules: PluralRulesConstructor;
+
+ // We can only have one definition for 'type' in TypeScript, and so you can learn where the keys come from here:
+ type ES2018NumberFormatPartType = "literal" | "nan" | "infinity" | "percent" | "integer" | "group" | "decimal" | "fraction" | "plusSign" | "minusSign" | "percentSign" | "currency" | "code" | "symbol" | "name";
+ type ES2020NumberFormatPartType = "compact" | "exponentInteger" | "exponentMinusSign" | "exponentSeparator" | "unit" | "unknown";
+ type NumberFormatPartTypes = ES2018NumberFormatPartType | ES2020NumberFormatPartType;
+
+ interface NumberFormatPart {
+ type: NumberFormatPartTypes;
+ value: string;
+ }
+
+ interface NumberFormat {
+ formatToParts(number?: number | bigint): NumberFormatPart[];
+ }
+}
+`,lo["lib.es2018.promise.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/**
+ * Represents the completion of an asynchronous operation
+ */
+interface Promise<T> {
+ /**
+ * Attaches a callback that is invoked when the Promise is settled (fulfilled or rejected). The
+ * resolved value cannot be modified from the callback.
+ * @param onfinally The callback to execute when the Promise is settled (fulfilled or rejected).
+ * @returns A Promise for the completion of the callback.
+ */
+ finally(onfinally?: (() => void) | undefined | null): Promise<T>;
+}
+`,lo["lib.es2018.regexp.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface RegExpMatchArray {
+ groups?: {
+ [key: string]: string;
+ };
+}
+
+interface RegExpExecArray {
+ groups?: {
+ [key: string]: string;
+ };
+}
+
+interface RegExp {
+ /**
+ * Returns a Boolean value indicating the state of the dotAll flag (s) used with a regular expression.
+ * Default is false. Read-only.
+ */
+ readonly dotAll: boolean;
+}
+`,lo["lib.es2019.array.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+type FlatArray<Arr, Depth extends number> = {
+ done: Arr;
+ recur: Arr extends ReadonlyArray<infer InnerArr> ? FlatArray<InnerArr, [-1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20][Depth]>
+ : Arr;
+}[Depth extends -1 ? "done" : "recur"];
+
+interface ReadonlyArray<T> {
+ /**
+ * Calls a defined callback function on each element of an array. Then, flattens the result into
+ * a new array.
+ * This is identical to a map followed by flat with depth 1.
+ *
+ * @param callback A function that accepts up to three arguments. The flatMap method calls the
+ * callback function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callback function. If
+ * thisArg is omitted, undefined is used as the this value.
+ */
+ flatMap<U, This = undefined>(
+ callback: (this: This, value: T, index: number, array: T[]) => U | ReadonlyArray<U>,
+ thisArg?: This,
+ ): U[];
+
+ /**
+ * Returns a new array with all sub-array elements concatenated into it recursively up to the
+ * specified depth.
+ *
+ * @param depth The maximum recursion depth
+ */
+ flat<A, D extends number = 1>(
+ this: A,
+ depth?: D,
+ ): FlatArray<A, D>[];
+}
+
+interface Array<T> {
+ /**
+ * Calls a defined callback function on each element of an array. Then, flattens the result into
+ * a new array.
+ * This is identical to a map followed by flat with depth 1.
+ *
+ * @param callback A function that accepts up to three arguments. The flatMap method calls the
+ * callback function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callback function. If
+ * thisArg is omitted, undefined is used as the this value.
+ */
+ flatMap<U, This = undefined>(
+ callback: (this: This, value: T, index: number, array: T[]) => U | ReadonlyArray<U>,
+ thisArg?: This,
+ ): U[];
+
+ /**
+ * Returns a new array with all sub-array elements concatenated into it recursively up to the
+ * specified depth.
+ *
+ * @param depth The maximum recursion depth
+ */
+ flat<A, D extends number = 1>(
+ this: A,
+ depth?: D,
+ ): FlatArray<A, D>[];
+}
+`,lo["lib.es2019.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2018" />
+/// <reference lib="es2019.array" />
+/// <reference lib="es2019.object" />
+/// <reference lib="es2019.string" />
+/// <reference lib="es2019.symbol" />
+/// <reference lib="es2019.intl" />
+`,lo["lib.es2019.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2019" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+/// <reference lib="dom.asynciterable" />
+`,lo["lib.es2019.intl.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare namespace Intl {
+ interface DateTimeFormatPartTypesRegistry {
+ unknown: any;
+ }
+}
+`,lo["lib.es2019.object.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.iterable" />
+
+interface ObjectConstructor {
+ /**
+ * Returns an object created by key-value entries for properties and methods
+ * @param entries An iterable object that contains key-value entries for properties and methods.
+ */
+ fromEntries<T = any>(entries: Iterable<readonly [PropertyKey, T]>): { [k: string]: T; };
+
+ /**
+ * Returns an object created by key-value entries for properties and methods
+ * @param entries An iterable object that contains key-value entries for properties and methods.
+ */
+ fromEntries(entries: Iterable<readonly any[]>): any;
+}
+`,lo["lib.es2019.string.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface String {
+ /** Removes the trailing white space and line terminator characters from a string. */
+ trimEnd(): string;
+
+ /** Removes the leading white space and line terminator characters from a string. */
+ trimStart(): string;
+
+ /**
+ * Removes the leading white space and line terminator characters from a string.
+ * @deprecated A legacy feature for browser compatibility. Use \`trimStart\` instead
+ */
+ trimLeft(): string;
+
+ /**
+ * Removes the trailing white space and line terminator characters from a string.
+ * @deprecated A legacy feature for browser compatibility. Use \`trimEnd\` instead
+ */
+ trimRight(): string;
+}
+`,lo["lib.es2019.symbol.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Symbol {
+ /**
+ * Expose the [[Description]] internal slot of a symbol directly.
+ */
+ readonly description: string | undefined;
+}
+`,lo["lib.es2020.bigint.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2020.intl" />
+
+interface BigIntToLocaleStringOptions {
+ /**
+ * The locale matching algorithm to use.The default is "best fit". For information about this option, see the {@link https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_negotiation Intl page}.
+ */
+ localeMatcher?: string;
+ /**
+ * The formatting style to use , the default is "decimal".
+ */
+ style?: string;
+
+ numberingSystem?: string;
+ /**
+ * The unit to use in unit formatting, Possible values are core unit identifiers, defined in UTS #35, Part 2, Section 6. A subset of units from the full list was selected for use in ECMAScript. Pairs of simple units can be concatenated with "-per-" to make a compound unit. There is no default value; if the style is "unit", the unit property must be provided.
+ */
+ unit?: string;
+
+ /**
+ * The unit formatting style to use in unit formatting, the defaults is "short".
+ */
+ unitDisplay?: string;
+
+ /**
+ * The currency to use in currency formatting. Possible values are the ISO 4217 currency codes, such as "USD" for the US dollar, "EUR" for the euro, or "CNY" for the Chinese RMB — see the Current currency & funds code list. There is no default value; if the style is "currency", the currency property must be provided. It is only used when [[Style]] has the value "currency".
+ */
+ currency?: string;
+
+ /**
+ * How to display the currency in currency formatting. It is only used when [[Style]] has the value "currency". The default is "symbol".
+ *
+ * "symbol" to use a localized currency symbol such as €,
+ *
+ * "code" to use the ISO currency code,
+ *
+ * "name" to use a localized currency name such as "dollar"
+ */
+ currencyDisplay?: string;
+
+ /**
+ * Whether to use grouping separators, such as thousands separators or thousand/lakh/crore separators. The default is true.
+ */
+ useGrouping?: boolean;
+
+ /**
+ * The minimum number of integer digits to use. Possible values are from 1 to 21; the default is 1.
+ */
+ minimumIntegerDigits?: 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21;
+
+ /**
+ * The minimum number of fraction digits to use. Possible values are from 0 to 20; the default for plain number and percent formatting is 0; the default for currency formatting is the number of minor unit digits provided by the {@link http://www.currency-iso.org/en/home/tables/table-a1.html ISO 4217 currency codes list} (2 if the list doesn't provide that information).
+ */
+ minimumFractionDigits?: 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20;
+
+ /**
+ * The maximum number of fraction digits to use. Possible values are from 0 to 20; the default for plain number formatting is the larger of minimumFractionDigits and 3; the default for currency formatting is the larger of minimumFractionDigits and the number of minor unit digits provided by the {@link http://www.currency-iso.org/en/home/tables/table-a1.html ISO 4217 currency codes list} (2 if the list doesn't provide that information); the default for percent formatting is the larger of minimumFractionDigits and 0.
+ */
+ maximumFractionDigits?: 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20;
+
+ /**
+ * The minimum number of significant digits to use. Possible values are from 1 to 21; the default is 1.
+ */
+ minimumSignificantDigits?: 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21;
+
+ /**
+ * The maximum number of significant digits to use. Possible values are from 1 to 21; the default is 21.
+ */
+ maximumSignificantDigits?: 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21;
+
+ /**
+ * The formatting that should be displayed for the number, the defaults is "standard"
+ *
+ * "standard" plain number formatting
+ *
+ * "scientific" return the order-of-magnitude for formatted number.
+ *
+ * "engineering" return the exponent of ten when divisible by three
+ *
+ * "compact" string representing exponent, defaults is using the "short" form
+ */
+ notation?: string;
+
+ /**
+ * used only when notation is "compact"
+ */
+ compactDisplay?: string;
+}
+
+interface BigInt {
+ /**
+ * Returns a string representation of an object.
+ * @param radix Specifies a radix for converting numeric values to strings.
+ */
+ toString(radix?: number): string;
+
+ /** Returns a string representation appropriate to the host environment's current locale. */
+ toLocaleString(locales?: Intl.LocalesArgument, options?: BigIntToLocaleStringOptions): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): bigint;
+
+ readonly [Symbol.toStringTag]: "BigInt";
+}
+
+interface BigIntConstructor {
+ (value: bigint | boolean | number | string): bigint;
+ readonly prototype: BigInt;
+
+ /**
+ * Interprets the low bits of a BigInt as a 2's-complement signed integer.
+ * All higher bits are discarded.
+ * @param bits The number of low bits to use
+ * @param int The BigInt whose bits to extract
+ */
+ asIntN(bits: number, int: bigint): bigint;
+ /**
+ * Interprets the low bits of a BigInt as an unsigned integer.
+ * All higher bits are discarded.
+ * @param bits The number of low bits to use
+ * @param int The BigInt whose bits to extract
+ */
+ asUintN(bits: number, int: bigint): bigint;
+}
+
+declare var BigInt: BigIntConstructor;
+
+/**
+ * A typed array of 64-bit signed integer values. The contents are initialized to 0. If the
+ * requested number of bytes could not be allocated, an exception is raised.
+ */
+interface BigInt64Array {
+ /** The size in bytes of each element in the array. */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /** The ArrayBuffer instance referenced by the array. */
+ readonly buffer: ArrayBufferLike;
+
+ /** The length in bytes of the array. */
+ readonly byteLength: number;
+
+ /** The offset in bytes of the array. */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /** Yields index, value pairs for every entry in the array. */
+ entries(): IterableIterator<[number, bigint]>;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns false,
+ * or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: bigint, index: number, array: BigInt64Array) => boolean, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: bigint, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: bigint, index: number, array: BigInt64Array) => any, thisArg?: any): BigInt64Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: bigint, index: number, array: BigInt64Array) => boolean, thisArg?: any): bigint | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: bigint, index: number, array: BigInt64Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: bigint, index: number, array: BigInt64Array) => void, thisArg?: any): void;
+
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: bigint, fromIndex?: number): boolean;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: bigint, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /** Yields each index in the array. */
+ keys(): IterableIterator<number>;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: bigint, fromIndex?: number): number;
+
+ /** The length of the array. */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: bigint, index: number, array: BigInt64Array) => bigint, thisArg?: any): BigInt64Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: bigint, currentValue: bigint, currentIndex: number, array: BigInt64Array) => bigint): bigint;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: bigint, currentIndex: number, array: BigInt64Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: bigint, currentValue: bigint, currentIndex: number, array: BigInt64Array) => bigint): bigint;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: bigint, currentIndex: number, array: BigInt64Array) => U, initialValue: U): U;
+
+ /** Reverses the elements in the array. */
+ reverse(): this;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<bigint>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array.
+ */
+ slice(start?: number, end?: number): BigInt64Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls the
+ * predicate function for each element in the array until the predicate returns true, or until
+ * the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: bigint, index: number, array: BigInt64Array) => boolean, thisArg?: any): boolean;
+
+ /**
+ * Sorts the array.
+ * @param compareFn The function used to determine the order of the elements. If omitted, the elements are sorted in ascending order.
+ */
+ sort(compareFn?: (a: bigint, b: bigint) => number | bigint): this;
+
+ /**
+ * Gets a new BigInt64Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): BigInt64Array;
+
+ /** Converts the array to a string by using the current locale. */
+ toLocaleString(): string;
+
+ /** Returns a string representation of the array. */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): BigInt64Array;
+
+ /** Yields each value in the array. */
+ values(): IterableIterator<bigint>;
+
+ [Symbol.iterator](): IterableIterator<bigint>;
+
+ readonly [Symbol.toStringTag]: "BigInt64Array";
+
+ [index: number]: bigint;
+}
+
+interface BigInt64ArrayConstructor {
+ readonly prototype: BigInt64Array;
+ new (length?: number): BigInt64Array;
+ new (array: Iterable<bigint>): BigInt64Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): BigInt64Array;
+
+ /** The size in bytes of each element in the array. */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: bigint[]): BigInt64Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: ArrayLike<bigint>): BigInt64Array;
+ from<U>(arrayLike: ArrayLike<U>, mapfn: (v: U, k: number) => bigint, thisArg?: any): BigInt64Array;
+}
+
+declare var BigInt64Array: BigInt64ArrayConstructor;
+
+/**
+ * A typed array of 64-bit unsigned integer values. The contents are initialized to 0. If the
+ * requested number of bytes could not be allocated, an exception is raised.
+ */
+interface BigUint64Array {
+ /** The size in bytes of each element in the array. */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /** The ArrayBuffer instance referenced by the array. */
+ readonly buffer: ArrayBufferLike;
+
+ /** The length in bytes of the array. */
+ readonly byteLength: number;
+
+ /** The offset in bytes of the array. */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /** Yields index, value pairs for every entry in the array. */
+ entries(): IterableIterator<[number, bigint]>;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns false,
+ * or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: bigint, index: number, array: BigUint64Array) => boolean, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: bigint, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: bigint, index: number, array: BigUint64Array) => any, thisArg?: any): BigUint64Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: bigint, index: number, array: BigUint64Array) => boolean, thisArg?: any): bigint | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: bigint, index: number, array: BigUint64Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: bigint, index: number, array: BigUint64Array) => void, thisArg?: any): void;
+
+ /**
+ * Determines whether an array includes a certain element, returning true or false as appropriate.
+ * @param searchElement The element to search for.
+ * @param fromIndex The position in this array at which to begin searching for searchElement.
+ */
+ includes(searchElement: bigint, fromIndex?: number): boolean;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: bigint, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /** Yields each index in the array. */
+ keys(): IterableIterator<number>;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: bigint, fromIndex?: number): number;
+
+ /** The length of the array. */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: bigint, index: number, array: BigUint64Array) => bigint, thisArg?: any): BigUint64Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: bigint, currentValue: bigint, currentIndex: number, array: BigUint64Array) => bigint): bigint;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: bigint, currentIndex: number, array: BigUint64Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: bigint, currentValue: bigint, currentIndex: number, array: BigUint64Array) => bigint): bigint;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: bigint, currentIndex: number, array: BigUint64Array) => U, initialValue: U): U;
+
+ /** Reverses the elements in the array. */
+ reverse(): this;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<bigint>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array.
+ */
+ slice(start?: number, end?: number): BigUint64Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls the
+ * predicate function for each element in the array until the predicate returns true, or until
+ * the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: bigint, index: number, array: BigUint64Array) => boolean, thisArg?: any): boolean;
+
+ /**
+ * Sorts the array.
+ * @param compareFn The function used to determine the order of the elements. If omitted, the elements are sorted in ascending order.
+ */
+ sort(compareFn?: (a: bigint, b: bigint) => number | bigint): this;
+
+ /**
+ * Gets a new BigUint64Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): BigUint64Array;
+
+ /** Converts the array to a string by using the current locale. */
+ toLocaleString(): string;
+
+ /** Returns a string representation of the array. */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): BigUint64Array;
+
+ /** Yields each value in the array. */
+ values(): IterableIterator<bigint>;
+
+ [Symbol.iterator](): IterableIterator<bigint>;
+
+ readonly [Symbol.toStringTag]: "BigUint64Array";
+
+ [index: number]: bigint;
+}
+
+interface BigUint64ArrayConstructor {
+ readonly prototype: BigUint64Array;
+ new (length?: number): BigUint64Array;
+ new (array: Iterable<bigint>): BigUint64Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): BigUint64Array;
+
+ /** The size in bytes of each element in the array. */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: bigint[]): BigUint64Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from(arrayLike: ArrayLike<bigint>): BigUint64Array;
+ from<U>(arrayLike: ArrayLike<U>, mapfn: (v: U, k: number) => bigint, thisArg?: any): BigUint64Array;
+}
+
+declare var BigUint64Array: BigUint64ArrayConstructor;
+
+interface DataView {
+ /**
+ * Gets the BigInt64 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ * @param littleEndian If false or undefined, a big-endian value should be read.
+ */
+ getBigInt64(byteOffset: number, littleEndian?: boolean): bigint;
+
+ /**
+ * Gets the BigUint64 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ * @param littleEndian If false or undefined, a big-endian value should be read.
+ */
+ getBigUint64(byteOffset: number, littleEndian?: boolean): bigint;
+
+ /**
+ * Stores a BigInt64 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ * @param littleEndian If false or undefined, a big-endian value should be written.
+ */
+ setBigInt64(byteOffset: number, value: bigint, littleEndian?: boolean): void;
+
+ /**
+ * Stores a BigUint64 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ * @param littleEndian If false or undefined, a big-endian value should be written.
+ */
+ setBigUint64(byteOffset: number, value: bigint, littleEndian?: boolean): void;
+}
+
+declare namespace Intl {
+ interface NumberFormat {
+ format(value: number | bigint): string;
+ resolvedOptions(): ResolvedNumberFormatOptions;
+ }
+}
+`,lo["lib.es2020.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2019" />
+/// <reference lib="es2020.bigint" />
+/// <reference lib="es2020.date" />
+/// <reference lib="es2020.number" />
+/// <reference lib="es2020.promise" />
+/// <reference lib="es2020.sharedmemory" />
+/// <reference lib="es2020.string" />
+/// <reference lib="es2020.symbol.wellknown" />
+/// <reference lib="es2020.intl" />
+`,lo["lib.es2020.date.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2020.intl" />
+
+interface Date {
+ /**
+ * Converts a date and time to a string by using the current or specified locale.
+ * @param locales A locale string, array of locale strings, Intl.Locale object, or array of Intl.Locale objects that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used.
+ * @param options An object that contains one or more properties that specify comparison options.
+ */
+ toLocaleString(locales?: Intl.LocalesArgument, options?: Intl.DateTimeFormatOptions): string;
+
+ /**
+ * Converts a date to a string by using the current or specified locale.
+ * @param locales A locale string, array of locale strings, Intl.Locale object, or array of Intl.Locale objects that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used.
+ * @param options An object that contains one or more properties that specify comparison options.
+ */
+ toLocaleDateString(locales?: Intl.LocalesArgument, options?: Intl.DateTimeFormatOptions): string;
+
+ /**
+ * Converts a time to a string by using the current or specified locale.
+ * @param locales A locale string, array of locale strings, Intl.Locale object, or array of Intl.Locale objects that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used.
+ * @param options An object that contains one or more properties that specify comparison options.
+ */
+ toLocaleTimeString(locales?: Intl.LocalesArgument, options?: Intl.DateTimeFormatOptions): string;
+}
+`,lo["lib.es2020.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2020" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+/// <reference lib="dom.asynciterable" />
+`,lo["lib.es2020.intl.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2018.intl" />
+declare namespace Intl {
+ /**
+ * A string that is a valid [Unicode BCP 47 Locale Identifier](https://unicode.org/reports/tr35/#Unicode_locale_identifier).
+ *
+ * For example: "fa", "es-MX", "zh-Hant-TW".
+ *
+ * See [MDN - Intl - locales argument](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#locales_argument).
+ */
+ type UnicodeBCP47LocaleIdentifier = string;
+
+ /**
+ * Unit to use in the relative time internationalized message.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/format#Parameters).
+ */
+ type RelativeTimeFormatUnit =
+ | "year"
+ | "years"
+ | "quarter"
+ | "quarters"
+ | "month"
+ | "months"
+ | "week"
+ | "weeks"
+ | "day"
+ | "days"
+ | "hour"
+ | "hours"
+ | "minute"
+ | "minutes"
+ | "second"
+ | "seconds";
+
+ /**
+ * Value of the \`unit\` property in objects returned by
+ * \`Intl.RelativeTimeFormat.prototype.formatToParts()\`. \`formatToParts\` and
+ * \`format\` methods accept either singular or plural unit names as input,
+ * but \`formatToParts\` only outputs singular (e.g. "day") not plural (e.g.
+ * "days").
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/formatToParts#Using_formatToParts).
+ */
+ type RelativeTimeFormatUnitSingular =
+ | "year"
+ | "quarter"
+ | "month"
+ | "week"
+ | "day"
+ | "hour"
+ | "minute"
+ | "second";
+
+ /**
+ * The locale matching algorithm to use.
+ *
+ * [MDN](https://developer.mozilla.org/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_negotiation).
+ */
+ type RelativeTimeFormatLocaleMatcher = "lookup" | "best fit";
+
+ /**
+ * The format of output message.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/RelativeTimeFormat#Parameters).
+ */
+ type RelativeTimeFormatNumeric = "always" | "auto";
+
+ /**
+ * The length of the internationalized message.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/RelativeTimeFormat#Parameters).
+ */
+ type RelativeTimeFormatStyle = "long" | "short" | "narrow";
+
+ /**
+ * The locale or locales to use
+ *
+ * See [MDN - Intl - locales argument](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#locales_argument).
+ */
+ type LocalesArgument = UnicodeBCP47LocaleIdentifier | Locale | readonly (UnicodeBCP47LocaleIdentifier | Locale)[] | undefined;
+
+ /**
+ * An object with some or all of properties of \`options\` parameter
+ * of \`Intl.RelativeTimeFormat\` constructor.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/RelativeTimeFormat#Parameters).
+ */
+ interface RelativeTimeFormatOptions {
+ /** The locale matching algorithm to use. For information about this option, see [Intl page](https://developer.mozilla.org/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_negotiation). */
+ localeMatcher?: RelativeTimeFormatLocaleMatcher;
+ /** The format of output message. */
+ numeric?: RelativeTimeFormatNumeric;
+ /** The length of the internationalized message. */
+ style?: RelativeTimeFormatStyle;
+ }
+
+ /**
+ * An object with properties reflecting the locale
+ * and formatting options computed during initialization
+ * of the \`Intl.RelativeTimeFormat\` object
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/resolvedOptions#Description).
+ */
+ interface ResolvedRelativeTimeFormatOptions {
+ locale: UnicodeBCP47LocaleIdentifier;
+ style: RelativeTimeFormatStyle;
+ numeric: RelativeTimeFormatNumeric;
+ numberingSystem: string;
+ }
+
+ /**
+ * An object representing the relative time format in parts
+ * that can be used for custom locale-aware formatting.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/formatToParts#Using_formatToParts).
+ */
+ type RelativeTimeFormatPart =
+ | {
+ type: "literal";
+ value: string;
+ }
+ | {
+ type: Exclude<NumberFormatPartTypes, "literal">;
+ value: string;
+ unit: RelativeTimeFormatUnitSingular;
+ };
+
+ interface RelativeTimeFormat {
+ /**
+ * Formats a value and a unit according to the locale
+ * and formatting options of the given
+ * [\`Intl.RelativeTimeFormat\`](https://developer.mozilla.org/docs/Web/JavaScript/Reference/Global_Objects/RelativeTimeFormat)
+ * object.
+ *
+ * While this method automatically provides the correct plural forms,
+ * the grammatical form is otherwise as neutral as possible.
+ *
+ * It is the caller's responsibility to handle cut-off logic
+ * such as deciding between displaying "in 7 days" or "in 1 week".
+ * This API does not support relative dates involving compound units.
+ * e.g "in 5 days and 4 hours".
+ *
+ * @param value - Numeric value to use in the internationalized relative time message
+ *
+ * @param unit - [Unit](https://tc39.es/ecma402/#sec-singularrelativetimeunit) to use in the relative time internationalized message.
+ *
+ * @throws \`RangeError\` if \`unit\` was given something other than \`unit\` possible values
+ *
+ * @returns {string} Internationalized relative time message as string
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/format).
+ */
+ format(value: number, unit: RelativeTimeFormatUnit): string;
+
+ /**
+ * Returns an array of objects representing the relative time format in parts that can be used for custom locale-aware formatting.
+ *
+ * @param value - Numeric value to use in the internationalized relative time message
+ *
+ * @param unit - [Unit](https://tc39.es/ecma402/#sec-singularrelativetimeunit) to use in the relative time internationalized message.
+ *
+ * @throws \`RangeError\` if \`unit\` was given something other than \`unit\` possible values
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/formatToParts).
+ */
+ formatToParts(value: number, unit: RelativeTimeFormatUnit): RelativeTimeFormatPart[];
+
+ /**
+ * Provides access to the locale and options computed during initialization of this \`Intl.RelativeTimeFormat\` object.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/resolvedOptions).
+ */
+ resolvedOptions(): ResolvedRelativeTimeFormatOptions;
+ }
+
+ /**
+ * The [\`Intl.RelativeTimeFormat\`](https://developer.mozilla.org/docs/Web/JavaScript/Reference/Global_Objects/RelativeTimeFormat)
+ * object is a constructor for objects that enable language-sensitive relative time formatting.
+ *
+ * [Compatibility](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat#Browser_compatibility).
+ */
+ const RelativeTimeFormat: {
+ /**
+ * Creates [Intl.RelativeTimeFormat](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/RelativeTimeFormat) objects
+ *
+ * @param locales - A string with a [BCP 47 language tag](http://tools.ietf.org/html/rfc5646), or an array of such strings.
+ * For the general form and interpretation of the locales argument,
+ * see the [\`Intl\` page](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_identification_and_negotiation).
+ *
+ * @param options - An [object](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/RelativeTimeFormat#Parameters)
+ * with some or all of options of \`RelativeTimeFormatOptions\`.
+ *
+ * @returns [Intl.RelativeTimeFormat](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/RelativeTimeFormat) object.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/RelativeTimeFormat).
+ */
+ new (
+ locales?: LocalesArgument,
+ options?: RelativeTimeFormatOptions,
+ ): RelativeTimeFormat;
+
+ /**
+ * Returns an array containing those of the provided locales
+ * that are supported in date and time formatting
+ * without having to fall back to the runtime's default locale.
+ *
+ * @param locales - A string with a [BCP 47 language tag](http://tools.ietf.org/html/rfc5646), or an array of such strings.
+ * For the general form and interpretation of the locales argument,
+ * see the [\`Intl\` page](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_identification_and_negotiation).
+ *
+ * @param options - An [object](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/RelativeTimeFormat#Parameters)
+ * with some or all of options of the formatting.
+ *
+ * @returns An array containing those of the provided locales
+ * that are supported in date and time formatting
+ * without having to fall back to the runtime's default locale.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/RelativeTimeFormat/supportedLocalesOf).
+ */
+ supportedLocalesOf(
+ locales?: LocalesArgument,
+ options?: RelativeTimeFormatOptions,
+ ): UnicodeBCP47LocaleIdentifier[];
+ };
+
+ interface NumberFormatOptions {
+ compactDisplay?: "short" | "long" | undefined;
+ notation?: "standard" | "scientific" | "engineering" | "compact" | undefined;
+ signDisplay?: "auto" | "never" | "always" | "exceptZero" | undefined;
+ unit?: string | undefined;
+ unitDisplay?: "short" | "long" | "narrow" | undefined;
+ currencyDisplay?: string | undefined;
+ currencySign?: string | undefined;
+ }
+
+ interface ResolvedNumberFormatOptions {
+ compactDisplay?: "short" | "long";
+ notation?: "standard" | "scientific" | "engineering" | "compact";
+ signDisplay?: "auto" | "never" | "always" | "exceptZero";
+ unit?: string;
+ unitDisplay?: "short" | "long" | "narrow";
+ currencyDisplay?: string;
+ currencySign?: string;
+ }
+
+ interface DateTimeFormatOptions {
+ calendar?: string | undefined;
+ dayPeriod?: "narrow" | "short" | "long" | undefined;
+ numberingSystem?: string | undefined;
+
+ dateStyle?: "full" | "long" | "medium" | "short" | undefined;
+ timeStyle?: "full" | "long" | "medium" | "short" | undefined;
+ hourCycle?: "h11" | "h12" | "h23" | "h24" | undefined;
+ }
+
+ type LocaleHourCycleKey = "h12" | "h23" | "h11" | "h24";
+ type LocaleCollationCaseFirst = "upper" | "lower" | "false";
+
+ interface LocaleOptions {
+ /** A string containing the language, and the script and region if available. */
+ baseName?: string;
+ /** The part of the Locale that indicates the locale's calendar era. */
+ calendar?: string;
+ /** Flag that defines whether case is taken into account for the locale's collation rules. */
+ caseFirst?: LocaleCollationCaseFirst;
+ /** The collation type used for sorting */
+ collation?: string;
+ /** The time keeping format convention used by the locale. */
+ hourCycle?: LocaleHourCycleKey;
+ /** The primary language subtag associated with the locale. */
+ language?: string;
+ /** The numeral system used by the locale. */
+ numberingSystem?: string;
+ /** Flag that defines whether the locale has special collation handling for numeric characters. */
+ numeric?: boolean;
+ /** The region of the world (usually a country) associated with the locale. Possible values are region codes as defined by ISO 3166-1. */
+ region?: string;
+ /** The script used for writing the particular language used in the locale. Possible values are script codes as defined by ISO 15924. */
+ script?: string;
+ }
+
+ interface Locale extends LocaleOptions {
+ /** A string containing the language, and the script and region if available. */
+ baseName: string;
+ /** The primary language subtag associated with the locale. */
+ language: string;
+ /** Gets the most likely values for the language, script, and region of the locale based on existing values. */
+ maximize(): Locale;
+ /** Attempts to remove information about the locale that would be added by calling \`Locale.maximize()\`. */
+ minimize(): Locale;
+ /** Returns the locale's full locale identifier string. */
+ toString(): UnicodeBCP47LocaleIdentifier;
+ }
+
+ /**
+ * Constructor creates [Intl.Locale](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Locale)
+ * objects
+ *
+ * @param tag - A string with a [BCP 47 language tag](http://tools.ietf.org/html/rfc5646).
+ * For the general form and interpretation of the locales argument,
+ * see the [\`Intl\` page](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_identification_and_negotiation).
+ *
+ * @param options - An [object](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Locale/Locale#Parameters) with some or all of options of the locale.
+ *
+ * @returns [Intl.Locale](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Locale) object.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Locale).
+ */
+ const Locale: {
+ new (tag: UnicodeBCP47LocaleIdentifier | Locale, options?: LocaleOptions): Locale;
+ };
+
+ type DisplayNamesFallback =
+ | "code"
+ | "none";
+
+ type DisplayNamesType =
+ | "language"
+ | "region"
+ | "script"
+ | "calendar"
+ | "dateTimeField"
+ | "currency";
+
+ type DisplayNamesLanguageDisplay =
+ | "dialect"
+ | "standard";
+
+ interface DisplayNamesOptions {
+ localeMatcher?: RelativeTimeFormatLocaleMatcher;
+ style?: RelativeTimeFormatStyle;
+ type: DisplayNamesType;
+ languageDisplay?: DisplayNamesLanguageDisplay;
+ fallback?: DisplayNamesFallback;
+ }
+
+ interface ResolvedDisplayNamesOptions {
+ locale: UnicodeBCP47LocaleIdentifier;
+ style: RelativeTimeFormatStyle;
+ type: DisplayNamesType;
+ fallback: DisplayNamesFallback;
+ languageDisplay?: DisplayNamesLanguageDisplay;
+ }
+
+ interface DisplayNames {
+ /**
+ * Receives a code and returns a string based on the locale and options provided when instantiating
+ * [\`Intl.DisplayNames()\`](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/DisplayNames)
+ *
+ * @param code The \`code\` to provide depends on the \`type\` passed to display name during creation:
+ * - If the type is \`"region"\`, code should be either an [ISO-3166 two letters region code](https://www.iso.org/iso-3166-country-codes.html),
+ * or a [three digits UN M49 Geographic Regions](https://unstats.un.org/unsd/methodology/m49/).
+ * - If the type is \`"script"\`, code should be an [ISO-15924 four letters script code](https://unicode.org/iso15924/iso15924-codes.html).
+ * - If the type is \`"language"\`, code should be a \`languageCode\` ["-" \`scriptCode\`] ["-" \`regionCode\` ] *("-" \`variant\` )
+ * subsequence of the unicode_language_id grammar in [UTS 35's Unicode Language and Locale Identifiers grammar](https://unicode.org/reports/tr35/#Unicode_language_identifier).
+ * \`languageCode\` is either a two letters ISO 639-1 language code or a three letters ISO 639-2 language code.
+ * - If the type is \`"currency"\`, code should be a [3-letter ISO 4217 currency code](https://www.iso.org/iso-4217-currency-codes.html).
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/DisplayNames/of).
+ */
+ of(code: string): string | undefined;
+ /**
+ * Returns a new object with properties reflecting the locale and style formatting options computed during the construction of the current
+ * [\`Intl/DisplayNames\`](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/DisplayNames) object.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/DisplayNames/resolvedOptions).
+ */
+ resolvedOptions(): ResolvedDisplayNamesOptions;
+ }
+
+ /**
+ * The [\`Intl.DisplayNames()\`](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/DisplayNames)
+ * object enables the consistent translation of language, region and script display names.
+ *
+ * [Compatibility](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/DisplayNames#browser_compatibility).
+ */
+ const DisplayNames: {
+ prototype: DisplayNames;
+
+ /**
+ * @param locales A string with a BCP 47 language tag, or an array of such strings.
+ * For the general form and interpretation of the \`locales\` argument, see the [Intl](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#locale_identification_and_negotiation)
+ * page.
+ *
+ * @param options An object for setting up a display name.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/DisplayNames/DisplayNames).
+ */
+ new (locales: LocalesArgument, options: DisplayNamesOptions): DisplayNames;
+
+ /**
+ * Returns an array containing those of the provided locales that are supported in display names without having to fall back to the runtime's default locale.
+ *
+ * @param locales A string with a BCP 47 language tag, or an array of such strings.
+ * For the general form and interpretation of the \`locales\` argument, see the [Intl](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#locale_identification_and_negotiation)
+ * page.
+ *
+ * @param options An object with a locale matcher.
+ *
+ * @returns An array of strings representing a subset of the given locale tags that are supported in display names without having to fall back to the runtime's default locale.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/DisplayNames/supportedLocalesOf).
+ */
+ supportedLocalesOf(locales?: LocalesArgument, options?: { localeMatcher?: RelativeTimeFormatLocaleMatcher; }): UnicodeBCP47LocaleIdentifier[];
+ };
+
+ interface CollatorConstructor {
+ new (locales?: LocalesArgument, options?: CollatorOptions): Collator;
+ (locales?: LocalesArgument, options?: CollatorOptions): Collator;
+ supportedLocalesOf(locales: LocalesArgument, options?: CollatorOptions): string[];
+ }
+
+ interface DateTimeFormatConstructor {
+ new (locales?: LocalesArgument, options?: DateTimeFormatOptions): DateTimeFormat;
+ (locales?: LocalesArgument, options?: DateTimeFormatOptions): DateTimeFormat;
+ supportedLocalesOf(locales: LocalesArgument, options?: DateTimeFormatOptions): string[];
+ }
+
+ interface NumberFormatConstructor {
+ new (locales?: LocalesArgument, options?: NumberFormatOptions): NumberFormat;
+ (locales?: LocalesArgument, options?: NumberFormatOptions): NumberFormat;
+ supportedLocalesOf(locales: LocalesArgument, options?: NumberFormatOptions): string[];
+ }
+
+ interface PluralRulesConstructor {
+ new (locales?: LocalesArgument, options?: PluralRulesOptions): PluralRules;
+ (locales?: LocalesArgument, options?: PluralRulesOptions): PluralRules;
+
+ supportedLocalesOf(locales: LocalesArgument, options?: { localeMatcher?: "lookup" | "best fit"; }): string[];
+ }
+}
+`,lo["lib.es2020.number.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2020.intl" />
+
+interface Number {
+ /**
+ * Converts a number to a string by using the current or specified locale.
+ * @param locales A locale string, array of locale strings, Intl.Locale object, or array of Intl.Locale objects that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used.
+ * @param options An object that contains one or more properties that specify comparison options.
+ */
+ toLocaleString(locales?: Intl.LocalesArgument, options?: Intl.NumberFormatOptions): string;
+}
+`,lo["lib.es2020.promise.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface PromiseFulfilledResult<T> {
+ status: "fulfilled";
+ value: T;
+}
+
+interface PromiseRejectedResult {
+ status: "rejected";
+ reason: any;
+}
+
+type PromiseSettledResult<T> = PromiseFulfilledResult<T> | PromiseRejectedResult;
+
+interface PromiseConstructor {
+ /**
+ * Creates a Promise that is resolved with an array of results when all
+ * of the provided Promises resolve or reject.
+ * @param values An array of Promises.
+ * @returns A new Promise.
+ */
+ allSettled<T extends readonly unknown[] | []>(values: T): Promise<{ -readonly [P in keyof T]: PromiseSettledResult<Awaited<T[P]>>; }>;
+
+ /**
+ * Creates a Promise that is resolved with an array of results when all
+ * of the provided Promises resolve or reject.
+ * @param values An array of Promises.
+ * @returns A new Promise.
+ */
+ allSettled<T>(values: Iterable<T | PromiseLike<T>>): Promise<PromiseSettledResult<Awaited<T>>[]>;
+}
+`,lo["lib.es2020.sharedmemory.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Atomics {
+ /**
+ * Adds a value to the value at the given position in the array, returning the original value.
+ * Until this atomic operation completes, any other read or write operation against the array
+ * will block.
+ */
+ add(typedArray: BigInt64Array | BigUint64Array, index: number, value: bigint): bigint;
+
+ /**
+ * Stores the bitwise AND of a value with the value at the given position in the array,
+ * returning the original value. Until this atomic operation completes, any other read or
+ * write operation against the array will block.
+ */
+ and(typedArray: BigInt64Array | BigUint64Array, index: number, value: bigint): bigint;
+
+ /**
+ * Replaces the value at the given position in the array if the original value equals the given
+ * expected value, returning the original value. Until this atomic operation completes, any
+ * other read or write operation against the array will block.
+ */
+ compareExchange(typedArray: BigInt64Array | BigUint64Array, index: number, expectedValue: bigint, replacementValue: bigint): bigint;
+
+ /**
+ * Replaces the value at the given position in the array, returning the original value. Until
+ * this atomic operation completes, any other read or write operation against the array will
+ * block.
+ */
+ exchange(typedArray: BigInt64Array | BigUint64Array, index: number, value: bigint): bigint;
+
+ /**
+ * Returns the value at the given position in the array. Until this atomic operation completes,
+ * any other read or write operation against the array will block.
+ */
+ load(typedArray: BigInt64Array | BigUint64Array, index: number): bigint;
+
+ /**
+ * Stores the bitwise OR of a value with the value at the given position in the array,
+ * returning the original value. Until this atomic operation completes, any other read or write
+ * operation against the array will block.
+ */
+ or(typedArray: BigInt64Array | BigUint64Array, index: number, value: bigint): bigint;
+
+ /**
+ * Stores a value at the given position in the array, returning the new value. Until this
+ * atomic operation completes, any other read or write operation against the array will block.
+ */
+ store(typedArray: BigInt64Array | BigUint64Array, index: number, value: bigint): bigint;
+
+ /**
+ * Subtracts a value from the value at the given position in the array, returning the original
+ * value. Until this atomic operation completes, any other read or write operation against the
+ * array will block.
+ */
+ sub(typedArray: BigInt64Array | BigUint64Array, index: number, value: bigint): bigint;
+
+ /**
+ * If the value at the given position in the array is equal to the provided value, the current
+ * agent is put to sleep causing execution to suspend until the timeout expires (returning
+ * \`"timed-out"\`) or until the agent is awoken (returning \`"ok"\`); otherwise, returns
+ * \`"not-equal"\`.
+ */
+ wait(typedArray: BigInt64Array, index: number, value: bigint, timeout?: number): "ok" | "not-equal" | "timed-out";
+
+ /**
+ * Wakes up sleeping agents that are waiting on the given index of the array, returning the
+ * number of agents that were awoken.
+ * @param typedArray A shared BigInt64Array.
+ * @param index The position in the typedArray to wake up on.
+ * @param count The number of sleeping agents to notify. Defaults to +Infinity.
+ */
+ notify(typedArray: BigInt64Array, index: number, count?: number): number;
+
+ /**
+ * Stores the bitwise XOR of a value with the value at the given position in the array,
+ * returning the original value. Until this atomic operation completes, any other read or write
+ * operation against the array will block.
+ */
+ xor(typedArray: BigInt64Array | BigUint64Array, index: number, value: bigint): bigint;
+}
+`,lo["lib.es2020.string.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.iterable" />
+
+interface String {
+ /**
+ * Matches a string with a regular expression, and returns an iterable of matches
+ * containing the results of that search.
+ * @param regexp A variable name or string literal containing the regular expression pattern and flags.
+ */
+ matchAll(regexp: RegExp): IterableIterator<RegExpExecArray>;
+
+ /** Converts all alphabetic characters to lowercase, taking into account the host environment's current locale. */
+ toLocaleLowerCase(locales?: Intl.LocalesArgument): string;
+
+ /** Returns a string where all alphabetic characters have been converted to uppercase, taking into account the host environment's current locale. */
+ toLocaleUpperCase(locales?: Intl.LocalesArgument): string;
+
+ /**
+ * Determines whether two strings are equivalent in the current or specified locale.
+ * @param that String to compare to target string
+ * @param locales A locale string or array of locale strings that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used. This parameter must conform to BCP 47 standards; see the Intl.Collator object for details.
+ * @param options An object that contains one or more properties that specify comparison options. see the Intl.Collator object for details.
+ */
+ localeCompare(that: string, locales?: Intl.LocalesArgument, options?: Intl.CollatorOptions): number;
+}
+`,lo["lib.es2020.symbol.wellknown.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.iterable" />
+/// <reference lib="es2015.symbol" />
+
+interface SymbolConstructor {
+ /**
+ * A regular expression method that matches the regular expression against a string. Called
+ * by the String.prototype.matchAll method.
+ */
+ readonly matchAll: unique symbol;
+}
+
+interface RegExp {
+ /**
+ * Matches a string with this regular expression, and returns an iterable of matches
+ * containing the results of that search.
+ * @param string A string to search within.
+ */
+ [Symbol.matchAll](str: string): IterableIterator<RegExpMatchArray>;
+}
+`,lo["lib.es2021.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2020" />
+/// <reference lib="es2021.promise" />
+/// <reference lib="es2021.string" />
+/// <reference lib="es2021.weakref" />
+/// <reference lib="es2021.intl" />
+`,lo["lib.es2021.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2021" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+/// <reference lib="dom.asynciterable" />
+`,lo["lib.es2021.intl.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare namespace Intl {
+ interface DateTimeFormatPartTypesRegistry {
+ fractionalSecond: any;
+ }
+
+ interface DateTimeFormatOptions {
+ formatMatcher?: "basic" | "best fit" | "best fit" | undefined;
+ dateStyle?: "full" | "long" | "medium" | "short" | undefined;
+ timeStyle?: "full" | "long" | "medium" | "short" | undefined;
+ dayPeriod?: "narrow" | "short" | "long" | undefined;
+ fractionalSecondDigits?: 1 | 2 | 3 | undefined;
+ }
+
+ interface DateTimeRangeFormatPart extends DateTimeFormatPart {
+ source: "startRange" | "endRange" | "shared";
+ }
+
+ interface DateTimeFormat {
+ formatRange(startDate: Date | number | bigint, endDate: Date | number | bigint): string;
+ formatRangeToParts(startDate: Date | number | bigint, endDate: Date | number | bigint): DateTimeRangeFormatPart[];
+ }
+
+ interface ResolvedDateTimeFormatOptions {
+ formatMatcher?: "basic" | "best fit" | "best fit";
+ dateStyle?: "full" | "long" | "medium" | "short";
+ timeStyle?: "full" | "long" | "medium" | "short";
+ hourCycle?: "h11" | "h12" | "h23" | "h24";
+ dayPeriod?: "narrow" | "short" | "long";
+ fractionalSecondDigits?: 1 | 2 | 3;
+ }
+
+ /**
+ * The locale matching algorithm to use.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/ListFormat#parameters).
+ */
+ type ListFormatLocaleMatcher = "lookup" | "best fit";
+
+ /**
+ * The format of output message.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/ListFormat#parameters).
+ */
+ type ListFormatType = "conjunction" | "disjunction" | "unit";
+
+ /**
+ * The length of the formatted message.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/ListFormat#parameters).
+ */
+ type ListFormatStyle = "long" | "short" | "narrow";
+
+ /**
+ * An object with some or all properties of the \`Intl.ListFormat\` constructor \`options\` parameter.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/ListFormat#parameters).
+ */
+ interface ListFormatOptions {
+ /** The locale matching algorithm to use. For information about this option, see [Intl page](https://developer.mozilla.org/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_negotiation). */
+ localeMatcher?: ListFormatLocaleMatcher | undefined;
+ /** The format of output message. */
+ type?: ListFormatType | undefined;
+ /** The length of the internationalized message. */
+ style?: ListFormatStyle | undefined;
+ }
+
+ interface ResolvedListFormatOptions {
+ locale: string;
+ style: ListFormatStyle;
+ type: ListFormatType;
+ }
+
+ interface ListFormat {
+ /**
+ * Returns a string with a language-specific representation of the list.
+ *
+ * @param list - An iterable object, such as an [Array](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Array).
+ *
+ * @throws \`TypeError\` if \`list\` includes something other than the possible values.
+ *
+ * @returns {string} A language-specific formatted string representing the elements of the list.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/format).
+ */
+ format(list: Iterable<string>): string;
+
+ /**
+ * Returns an Array of objects representing the different components that can be used to format a list of values in a locale-aware fashion.
+ *
+ * @param list - An iterable object, such as an [Array](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Array), to be formatted according to a locale.
+ *
+ * @throws \`TypeError\` if \`list\` includes something other than the possible values.
+ *
+ * @returns {{ type: "element" | "literal", value: string; }[]} An Array of components which contains the formatted parts from the list.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/formatToParts).
+ */
+ formatToParts(list: Iterable<string>): { type: "element" | "literal"; value: string; }[];
+
+ /**
+ * Returns a new object with properties reflecting the locale and style
+ * formatting options computed during the construction of the current
+ * \`Intl.ListFormat\` object.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/resolvedOptions).
+ */
+ resolvedOptions(): ResolvedListFormatOptions;
+ }
+
+ const ListFormat: {
+ prototype: ListFormat;
+
+ /**
+ * Creates [Intl.ListFormat](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat) objects that
+ * enable language-sensitive list formatting.
+ *
+ * @param locales - A string with a [BCP 47 language tag](http://tools.ietf.org/html/rfc5646), or an array of such strings.
+ * For the general form and interpretation of the \`locales\` argument,
+ * see the [\`Intl\` page](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_identification_and_negotiation).
+ *
+ * @param options - An [object](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/ListFormat#parameters)
+ * with some or all options of \`ListFormatOptions\`.
+ *
+ * @returns [Intl.ListFormatOptions](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat) object.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat).
+ */
+ new (locales?: LocalesArgument, options?: ListFormatOptions): ListFormat;
+
+ /**
+ * Returns an array containing those of the provided locales that are
+ * supported in list formatting without having to fall back to the runtime's default locale.
+ *
+ * @param locales - A string with a [BCP 47 language tag](http://tools.ietf.org/html/rfc5646), or an array of such strings.
+ * For the general form and interpretation of the \`locales\` argument,
+ * see the [\`Intl\` page](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_identification_and_negotiation).
+ *
+ * @param options - An [object](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/supportedLocalesOf#parameters).
+ * with some or all possible options.
+ *
+ * @returns An array of strings representing a subset of the given locale tags that are supported in list
+ * formatting without having to fall back to the runtime's default locale.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/ListFormat/supportedLocalesOf).
+ */
+ supportedLocalesOf(locales: LocalesArgument, options?: Pick<ListFormatOptions, "localeMatcher">): UnicodeBCP47LocaleIdentifier[];
+ };
+}
+`,lo["lib.es2021.promise.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface AggregateError extends Error {
+ errors: any[];
+}
+
+interface AggregateErrorConstructor {
+ new (errors: Iterable<any>, message?: string): AggregateError;
+ (errors: Iterable<any>, message?: string): AggregateError;
+ readonly prototype: AggregateError;
+}
+
+declare var AggregateError: AggregateErrorConstructor;
+
+/**
+ * Represents the completion of an asynchronous operation
+ */
+interface PromiseConstructor {
+ /**
+ * The any function returns a promise that is fulfilled by the first given promise to be fulfilled, or rejected with an AggregateError containing an array of rejection reasons if all of the given promises are rejected. It resolves all elements of the passed iterable to promises as it runs this algorithm.
+ * @param values An array or iterable of Promises.
+ * @returns A new Promise.
+ */
+ any<T extends readonly unknown[] | []>(values: T): Promise<Awaited<T[number]>>;
+
+ /**
+ * The any function returns a promise that is fulfilled by the first given promise to be fulfilled, or rejected with an AggregateError containing an array of rejection reasons if all of the given promises are rejected. It resolves all elements of the passed iterable to promises as it runs this algorithm.
+ * @param values An array or iterable of Promises.
+ * @returns A new Promise.
+ */
+ any<T>(values: Iterable<T | PromiseLike<T>>): Promise<Awaited<T>>;
+}
+`,lo["lib.es2021.string.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface String {
+ /**
+ * Replace all instances of a substring in a string, using a regular expression or search string.
+ * @param searchValue A string to search for.
+ * @param replaceValue A string containing the text to replace for every successful match of searchValue in this string.
+ */
+ replaceAll(searchValue: string | RegExp, replaceValue: string): string;
+
+ /**
+ * Replace all instances of a substring in a string, using a regular expression or search string.
+ * @param searchValue A string to search for.
+ * @param replacer A function that returns the replacement text.
+ */
+ replaceAll(searchValue: string | RegExp, replacer: (substring: string, ...args: any[]) => string): string;
+}
+`,lo["lib.es2021.weakref.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface WeakRef<T extends WeakKey> {
+ readonly [Symbol.toStringTag]: "WeakRef";
+
+ /**
+ * Returns the WeakRef instance's target value, or undefined if the target value has been
+ * reclaimed.
+ * In es2023 the value can be either a symbol or an object, in previous versions only object is permissible.
+ */
+ deref(): T | undefined;
+}
+
+interface WeakRefConstructor {
+ readonly prototype: WeakRef<any>;
+
+ /**
+ * Creates a WeakRef instance for the given target value.
+ * In es2023 the value can be either a symbol or an object, in previous versions only object is permissible.
+ * @param target The target value for the WeakRef instance.
+ */
+ new <T extends WeakKey>(target: T): WeakRef<T>;
+}
+
+declare var WeakRef: WeakRefConstructor;
+
+interface FinalizationRegistry<T> {
+ readonly [Symbol.toStringTag]: "FinalizationRegistry";
+
+ /**
+ * Registers a value with the registry.
+ * In es2023 the value can be either a symbol or an object, in previous versions only object is permissible.
+ * @param target The target value to register.
+ * @param heldValue The value to pass to the finalizer for this value. This cannot be the
+ * target value.
+ * @param unregisterToken The token to pass to the unregister method to unregister the target
+ * value. If not provided, the target cannot be unregistered.
+ */
+ register(target: WeakKey, heldValue: T, unregisterToken?: WeakKey): void;
+
+ /**
+ * Unregisters a value from the registry.
+ * In es2023 the value can be either a symbol or an object, in previous versions only object is permissible.
+ * @param unregisterToken The token that was used as the unregisterToken argument when calling
+ * register to register the target value.
+ */
+ unregister(unregisterToken: WeakKey): void;
+}
+
+interface FinalizationRegistryConstructor {
+ readonly prototype: FinalizationRegistry<any>;
+
+ /**
+ * Creates a finalization registry with an associated cleanup callback
+ * @param cleanupCallback The callback to call after a value in the registry has been reclaimed.
+ */
+ new <T>(cleanupCallback: (heldValue: T) => void): FinalizationRegistry<T>;
+}
+
+declare var FinalizationRegistry: FinalizationRegistryConstructor;
+`,lo["lib.es2022.array.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Array<T> {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): T | undefined;
+}
+
+interface ReadonlyArray<T> {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): T | undefined;
+}
+
+interface Int8Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface Uint8Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface Uint8ClampedArray {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface Int16Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface Uint16Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface Int32Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface Uint32Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface Float32Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface Float64Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): number | undefined;
+}
+
+interface BigInt64Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): bigint | undefined;
+}
+
+interface BigUint64Array {
+ /**
+ * Returns the item located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): bigint | undefined;
+}
+`,lo["lib.es2022.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2021" />
+/// <reference lib="es2022.array" />
+/// <reference lib="es2022.error" />
+/// <reference lib="es2022.intl" />
+/// <reference lib="es2022.object" />
+/// <reference lib="es2022.sharedmemory" />
+/// <reference lib="es2022.string" />
+/// <reference lib="es2022.regexp" />
+`,lo["lib.es2022.error.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface ErrorOptions {
+ cause?: unknown;
+}
+
+interface Error {
+ cause?: unknown;
+}
+
+interface ErrorConstructor {
+ new (message?: string, options?: ErrorOptions): Error;
+ (message?: string, options?: ErrorOptions): Error;
+}
+
+interface EvalErrorConstructor {
+ new (message?: string, options?: ErrorOptions): EvalError;
+ (message?: string, options?: ErrorOptions): EvalError;
+}
+
+interface RangeErrorConstructor {
+ new (message?: string, options?: ErrorOptions): RangeError;
+ (message?: string, options?: ErrorOptions): RangeError;
+}
+
+interface ReferenceErrorConstructor {
+ new (message?: string, options?: ErrorOptions): ReferenceError;
+ (message?: string, options?: ErrorOptions): ReferenceError;
+}
+
+interface SyntaxErrorConstructor {
+ new (message?: string, options?: ErrorOptions): SyntaxError;
+ (message?: string, options?: ErrorOptions): SyntaxError;
+}
+
+interface TypeErrorConstructor {
+ new (message?: string, options?: ErrorOptions): TypeError;
+ (message?: string, options?: ErrorOptions): TypeError;
+}
+
+interface URIErrorConstructor {
+ new (message?: string, options?: ErrorOptions): URIError;
+ (message?: string, options?: ErrorOptions): URIError;
+}
+
+interface AggregateErrorConstructor {
+ new (
+ errors: Iterable<any>,
+ message?: string,
+ options?: ErrorOptions,
+ ): AggregateError;
+ (
+ errors: Iterable<any>,
+ message?: string,
+ options?: ErrorOptions,
+ ): AggregateError;
+}
+`,lo["lib.es2022.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2022" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+/// <reference lib="dom.asynciterable" />
+`,lo["lib.es2022.intl.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare namespace Intl {
+ /**
+ * An object with some or all properties of the \`Intl.Segmenter\` constructor \`options\` parameter.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Segmenter/Segmenter#parameters)
+ */
+ interface SegmenterOptions {
+ /** The locale matching algorithm to use. For information about this option, see [Intl page](https://developer.mozilla.org/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_negotiation). */
+ localeMatcher?: "best fit" | "lookup" | undefined;
+ /** The type of input to be split */
+ granularity?: "grapheme" | "word" | "sentence" | undefined;
+ }
+
+ interface Segmenter {
+ /**
+ * Returns \`Segments\` object containing the segments of the input string, using the segmenter's locale and granularity.
+ *
+ * @param input - The text to be segmented as a \`string\`.
+ *
+ * @returns A new iterable Segments object containing the segments of the input string, using the segmenter's locale and granularity.
+ */
+ segment(input: string): Segments;
+ resolvedOptions(): ResolvedSegmenterOptions;
+ }
+
+ interface ResolvedSegmenterOptions {
+ locale: string;
+ granularity: "grapheme" | "word" | "sentence";
+ }
+
+ interface Segments {
+ /**
+ * Returns an object describing the segment in the original string that includes the code unit at a specified index.
+ *
+ * @param codeUnitIndex - A number specifying the index of the code unit in the original input string. If the value is omitted, it defaults to \`0\`.
+ */
+ containing(codeUnitIndex?: number): SegmentData;
+
+ /** Returns an iterator to iterate over the segments. */
+ [Symbol.iterator](): IterableIterator<SegmentData>;
+ }
+
+ interface SegmentData {
+ /** A string containing the segment extracted from the original input string. */
+ segment: string;
+ /** The code unit index in the original input string at which the segment begins. */
+ index: number;
+ /** The complete input string that was segmented. */
+ input: string;
+ /**
+ * A boolean value only if granularity is "word"; otherwise, undefined.
+ * If granularity is "word", then isWordLike is true when the segment is word-like (i.e., consists of letters/numbers/ideographs/etc.); otherwise, false.
+ */
+ isWordLike?: boolean;
+ }
+
+ const Segmenter: {
+ prototype: Segmenter;
+
+ /**
+ * Creates a new \`Intl.Segmenter\` object.
+ *
+ * @param locales - A string with a [BCP 47 language tag](http://tools.ietf.org/html/rfc5646), or an array of such strings.
+ * For the general form and interpretation of the \`locales\` argument,
+ * see the [\`Intl\` page](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_identification_and_negotiation).
+ *
+ * @param options - An [object](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Segmenter/Segmenter#parameters)
+ * with some or all options of \`SegmenterOptions\`.
+ *
+ * @returns [Intl.Segmenter](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Segments) object.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Segmenter).
+ */
+ new (locales?: LocalesArgument, options?: SegmenterOptions): Segmenter;
+
+ /**
+ * Returns an array containing those of the provided locales that are supported without having to fall back to the runtime's default locale.
+ *
+ * @param locales - A string with a [BCP 47 language tag](http://tools.ietf.org/html/rfc5646), or an array of such strings.
+ * For the general form and interpretation of the \`locales\` argument,
+ * see the [\`Intl\` page](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl#Locale_identification_and_negotiation).
+ *
+ * @param options An [object](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Segmenter/supportedLocalesOf#parameters).
+ * with some or all possible options.
+ *
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/Segmenter/supportedLocalesOf)
+ */
+ supportedLocalesOf(locales: LocalesArgument, options?: Pick<SegmenterOptions, "localeMatcher">): UnicodeBCP47LocaleIdentifier[];
+ };
+
+ /**
+ * Returns a sorted array of the supported collation, calendar, currency, numbering system, timezones, and units by the implementation.
+ * [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Intl/supportedValuesOf)
+ *
+ * @param key A string indicating the category of values to return.
+ * @returns A sorted array of the supported values.
+ */
+ function supportedValuesOf(key: "calendar" | "collation" | "currency" | "numberingSystem" | "timeZone" | "unit"): string[];
+}
+`,lo["lib.es2022.object.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface ObjectConstructor {
+ /**
+ * Determines whether an object has a property with the specified name.
+ * @param o An object.
+ * @param v A property name.
+ */
+ hasOwn(o: object, v: PropertyKey): boolean;
+}
+`,lo["lib.es2022.regexp.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface RegExpMatchArray {
+ indices?: RegExpIndicesArray;
+}
+
+interface RegExpExecArray {
+ indices?: RegExpIndicesArray;
+}
+
+interface RegExpIndicesArray extends Array<[number, number]> {
+ groups?: {
+ [key: string]: [number, number];
+ };
+}
+
+interface RegExp {
+ /**
+ * Returns a Boolean value indicating the state of the hasIndices flag (d) used with with a regular expression.
+ * Default is false. Read-only.
+ */
+ readonly hasIndices: boolean;
+}
+`,lo["lib.es2022.sharedmemory.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Atomics {
+ /**
+ * A non-blocking, asynchronous version of wait which is usable on the main thread.
+ * Waits asynchronously on a shared memory location and returns a Promise
+ * @param typedArray A shared Int32Array or BigInt64Array.
+ * @param index The position in the typedArray to wait on.
+ * @param value The expected value to test.
+ * @param [timeout] The expected value to test.
+ */
+ waitAsync(typedArray: Int32Array, index: number, value: number, timeout?: number): { async: false; value: "not-equal" | "timed-out"; } | { async: true; value: Promise<"ok" | "timed-out">; };
+
+ /**
+ * A non-blocking, asynchronous version of wait which is usable on the main thread.
+ * Waits asynchronously on a shared memory location and returns a Promise
+ * @param typedArray A shared Int32Array or BigInt64Array.
+ * @param index The position in the typedArray to wait on.
+ * @param value The expected value to test.
+ * @param [timeout] The expected value to test.
+ */
+ waitAsync(typedArray: BigInt64Array, index: number, value: bigint, timeout?: number): { async: false; value: "not-equal" | "timed-out"; } | { async: true; value: Promise<"ok" | "timed-out">; };
+}
+`,lo["lib.es2022.string.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface String {
+ /**
+ * Returns a new String consisting of the single UTF-16 code unit located at the specified index.
+ * @param index The zero-based index of the desired code unit. A negative index will count back from the last item.
+ */
+ at(index: number): string | undefined;
+}
+`,lo["lib.es2023.array.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface Array<T> {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends T>(predicate: (value: T, index: number, array: T[]) => value is S, thisArg?: any): S | undefined;
+ findLast(predicate: (value: T, index: number, array: T[]) => unknown, thisArg?: any): T | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(predicate: (value: T, index: number, array: T[]) => unknown, thisArg?: any): number;
+
+ /**
+ * Returns a copy of an array with its elements reversed.
+ */
+ toReversed(): T[];
+
+ /**
+ * Returns a copy of an array with its elements sorted.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending, ASCII character order.
+ * \`\`\`ts
+ * [11, 2, 22, 1].toSorted((a, b) => a - b) // [1, 2, 11, 22]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: T, b: T) => number): T[];
+
+ /**
+ * Copies an array and removes elements and, if necessary, inserts new elements in their place. Returns the copied array.
+ * @param start The zero-based location in the array from which to start removing elements.
+ * @param deleteCount The number of elements to remove.
+ * @param items Elements to insert into the copied array in place of the deleted elements.
+ * @returns The copied array.
+ */
+ toSpliced(start: number, deleteCount: number, ...items: T[]): T[];
+
+ /**
+ * Copies an array and removes elements while returning the remaining elements.
+ * @param start The zero-based location in the array from which to start removing elements.
+ * @param deleteCount The number of elements to remove.
+ * @returns A copy of the original array with the remaining elements.
+ */
+ toSpliced(start: number, deleteCount?: number): T[];
+
+ /**
+ * Copies an array, then overwrites the value at the provided index with the
+ * given value. If the index is negative, then it replaces from the end
+ * of the array.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to write into the copied array.
+ * @returns The copied array with the updated value.
+ */
+ with(index: number, value: T): T[];
+}
+
+interface ReadonlyArray<T> {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends T>(
+ predicate: (value: T, index: number, array: readonly T[]) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (value: T, index: number, array: readonly T[]) => unknown,
+ thisArg?: any,
+ ): T | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (value: T, index: number, array: readonly T[]) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copied array with all of its elements reversed.
+ */
+ toReversed(): T[];
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending, ASCII character order.
+ * \`\`\`ts
+ * [11, 2, 22, 1].toSorted((a, b) => a - b) // [1, 2, 11, 22]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: T, b: T) => number): T[];
+
+ /**
+ * Copies an array and removes elements while, if necessary, inserting new elements in their place, returning the remaining elements.
+ * @param start The zero-based location in the array from which to start removing elements.
+ * @param deleteCount The number of elements to remove.
+ * @param items Elements to insert into the copied array in place of the deleted elements.
+ * @returns A copy of the original array with the remaining elements.
+ */
+ toSpliced(start: number, deleteCount: number, ...items: T[]): T[];
+
+ /**
+ * Copies an array and removes elements while returning the remaining elements.
+ * @param start The zero-based location in the array from which to start removing elements.
+ * @param deleteCount The number of elements to remove.
+ * @returns A copy of the original array with the remaining elements.
+ */
+ toSpliced(start: number, deleteCount?: number): T[];
+
+ /**
+ * Copies an array, then overwrites the value at the provided index with the
+ * given value. If the index is negative, then it replaces from the end
+ * of the array
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: T): T[];
+}
+
+interface Int8Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Int8Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (value: number, index: number, array: Int8Array) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (value: number, index: number, array: Int8Array) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Uint8Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Uint8Array.from([11, 2, 22, 1]);
+ * myNums.toSorted((a, b) => a - b) // Uint8Array(4) [1, 2, 11, 22]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Uint8Array;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Uint8Array;
+}
+
+interface Uint8Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint8Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (value: number, index: number, array: Uint8Array) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (value: number, index: number, array: Uint8Array) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Uint8Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Uint8Array.from([11, 2, 22, 1]);
+ * myNums.toSorted((a, b) => a - b) // Uint8Array(4) [1, 2, 11, 22]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Uint8Array;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Uint8Array;
+}
+
+interface Uint8ClampedArray {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint8ClampedArray,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint8ClampedArray,
+ ) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint8ClampedArray,
+ ) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Uint8ClampedArray;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Uint8ClampedArray.from([11, 2, 22, 1]);
+ * myNums.toSorted((a, b) => a - b) // Uint8ClampedArray(4) [1, 2, 11, 22]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Uint8ClampedArray;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Uint8ClampedArray;
+}
+
+interface Int16Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Int16Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (value: number, index: number, array: Int16Array) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (value: number, index: number, array: Int16Array) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Int16Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Int16Array.from([11, 2, -22, 1]);
+ * myNums.toSorted((a, b) => a - b) // Int16Array(4) [-22, 1, 2, 11]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Int16Array;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Int16Array;
+}
+
+interface Uint16Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint16Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint16Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint16Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Uint16Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Uint16Array.from([11, 2, 22, 1]);
+ * myNums.toSorted((a, b) => a - b) // Uint16Array(4) [1, 2, 11, 22]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Uint16Array;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Uint16Array;
+}
+
+interface Int32Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Int32Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (value: number, index: number, array: Int32Array) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (value: number, index: number, array: Int32Array) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Int32Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Int32Array.from([11, 2, -22, 1]);
+ * myNums.toSorted((a, b) => a - b) // Int32Array(4) [-22, 1, 2, 11]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Int32Array;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Int32Array;
+}
+
+interface Uint32Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint32Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint32Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (
+ value: number,
+ index: number,
+ array: Uint32Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Uint32Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Uint32Array.from([11, 2, 22, 1]);
+ * myNums.toSorted((a, b) => a - b) // Uint32Array(4) [1, 2, 11, 22]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Uint32Array;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Uint32Array;
+}
+
+interface Float32Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Float32Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (
+ value: number,
+ index: number,
+ array: Float32Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (
+ value: number,
+ index: number,
+ array: Float32Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Float32Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Float32Array.from([11.25, 2, -22.5, 1]);
+ * myNums.toSorted((a, b) => a - b) // Float32Array(4) [-22.5, 1, 2, 11.5]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Float32Array;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Float32Array;
+}
+
+interface Float64Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends number>(
+ predicate: (
+ value: number,
+ index: number,
+ array: Float64Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (
+ value: number,
+ index: number,
+ array: Float64Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (
+ value: number,
+ index: number,
+ array: Float64Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): Float64Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = Float64Array.from([11.25, 2, -22.5, 1]);
+ * myNums.toSorted((a, b) => a - b) // Float64Array(4) [-22.5, 1, 2, 11.5]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: number, b: number) => number): Float64Array;
+
+ /**
+ * Copies the array and inserts the given number at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: number): Float64Array;
+}
+
+interface BigInt64Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends bigint>(
+ predicate: (
+ value: bigint,
+ index: number,
+ array: BigInt64Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (
+ value: bigint,
+ index: number,
+ array: BigInt64Array,
+ ) => unknown,
+ thisArg?: any,
+ ): bigint | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (
+ value: bigint,
+ index: number,
+ array: BigInt64Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): BigInt64Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = BigInt64Array.from([11n, 2n, -22n, 1n]);
+ * myNums.toSorted((a, b) => Number(a - b)) // BigInt64Array(4) [-22n, 1n, 2n, 11n]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: bigint, b: bigint) => number): BigInt64Array;
+
+ /**
+ * Copies the array and inserts the given bigint at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: bigint): BigInt64Array;
+}
+
+interface BigUint64Array {
+ /**
+ * Returns the value of the last element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate findLast calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found, findLast
+ * immediately returns that element value. Otherwise, findLast returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLast<S extends bigint>(
+ predicate: (
+ value: bigint,
+ index: number,
+ array: BigUint64Array,
+ ) => value is S,
+ thisArg?: any,
+ ): S | undefined;
+ findLast(
+ predicate: (
+ value: bigint,
+ index: number,
+ array: BigUint64Array,
+ ) => unknown,
+ thisArg?: any,
+ ): bigint | undefined;
+
+ /**
+ * Returns the index of the last element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate findLastIndex calls predicate once for each element of the array, in descending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findLastIndex immediately returns that element index. Otherwise, findLastIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findLastIndex(
+ predicate: (
+ value: bigint,
+ index: number,
+ array: BigUint64Array,
+ ) => unknown,
+ thisArg?: any,
+ ): number;
+
+ /**
+ * Copies the array and returns the copy with the elements in reverse order.
+ */
+ toReversed(): BigUint64Array;
+
+ /**
+ * Copies and sorts the array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * const myNums = BigUint64Array.from([11n, 2n, 22n, 1n]);
+ * myNums.toSorted((a, b) => Number(a - b)) // BigUint64Array(4) [1n, 2n, 11n, 22n]
+ * \`\`\`
+ */
+ toSorted(compareFn?: (a: bigint, b: bigint) => number): BigUint64Array;
+
+ /**
+ * Copies the array and inserts the given bigint at the provided index.
+ * @param index The index of the value to overwrite. If the index is
+ * negative, then it replaces from the end of the array.
+ * @param value The value to insert into the copied array.
+ * @returns A copy of the original array with the inserted value.
+ */
+ with(index: number, value: bigint): BigUint64Array;
+}
+`,lo["lib.es2023.collection.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface WeakKeyTypes {
+ symbol: symbol;
+}
+`,lo["lib.es2023.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2022" />
+/// <reference lib="es2023.array" />
+/// <reference lib="es2023.collection" />
+`,lo["lib.es2023.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2023" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+/// <reference lib="dom.asynciterable" />
+`,lo["lib.es5.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="decorators" />
+/// <reference lib="decorators.legacy" />
+
+/////////////////////////////
+/// ECMAScript APIs
+/////////////////////////////
+
+declare var NaN: number;
+declare var Infinity: number;
+
+/**
+ * Evaluates JavaScript code and executes it.
+ * @param x A String value that contains valid JavaScript code.
+ */
+declare function eval(x: string): any;
+
+/**
+ * Converts a string to an integer.
+ * @param string A string to convert into a number.
+ * @param radix A value between 2 and 36 that specifies the base of the number in \`string\`.
+ * If this argument is not supplied, strings with a prefix of '0x' are considered hexadecimal.
+ * All other strings are considered decimal.
+ */
+declare function parseInt(string: string, radix?: number): number;
+
+/**
+ * Converts a string to a floating-point number.
+ * @param string A string that contains a floating-point number.
+ */
+declare function parseFloat(string: string): number;
+
+/**
+ * Returns a Boolean value that indicates whether a value is the reserved value NaN (not a number).
+ * @param number A numeric value.
+ */
+declare function isNaN(number: number): boolean;
+
+/**
+ * Determines whether a supplied number is finite.
+ * @param number Any numeric value.
+ */
+declare function isFinite(number: number): boolean;
+
+/**
+ * Gets the unencoded version of an encoded Uniform Resource Identifier (URI).
+ * @param encodedURI A value representing an encoded URI.
+ */
+declare function decodeURI(encodedURI: string): string;
+
+/**
+ * Gets the unencoded version of an encoded component of a Uniform Resource Identifier (URI).
+ * @param encodedURIComponent A value representing an encoded URI component.
+ */
+declare function decodeURIComponent(encodedURIComponent: string): string;
+
+/**
+ * Encodes a text string as a valid Uniform Resource Identifier (URI)
+ * @param uri A value representing an unencoded URI.
+ */
+declare function encodeURI(uri: string): string;
+
+/**
+ * Encodes a text string as a valid component of a Uniform Resource Identifier (URI).
+ * @param uriComponent A value representing an unencoded URI component.
+ */
+declare function encodeURIComponent(uriComponent: string | number | boolean): string;
+
+/**
+ * Computes a new string in which certain characters have been replaced by a hexadecimal escape sequence.
+ * @deprecated A legacy feature for browser compatibility
+ * @param string A string value
+ */
+declare function escape(string: string): string;
+
+/**
+ * Computes a new string in which hexadecimal escape sequences are replaced with the character that it represents.
+ * @deprecated A legacy feature for browser compatibility
+ * @param string A string value
+ */
+declare function unescape(string: string): string;
+
+interface Symbol {
+ /** Returns a string representation of an object. */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): symbol;
+}
+
+declare type PropertyKey = string | number | symbol;
+
+interface PropertyDescriptor {
+ configurable?: boolean;
+ enumerable?: boolean;
+ value?: any;
+ writable?: boolean;
+ get?(): any;
+ set?(v: any): void;
+}
+
+interface PropertyDescriptorMap {
+ [key: PropertyKey]: PropertyDescriptor;
+}
+
+interface Object {
+ /** The initial value of Object.prototype.constructor is the standard built-in Object constructor. */
+ constructor: Function;
+
+ /** Returns a string representation of an object. */
+ toString(): string;
+
+ /** Returns a date converted to a string using the current locale. */
+ toLocaleString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Object;
+
+ /**
+ * Determines whether an object has a property with the specified name.
+ * @param v A property name.
+ */
+ hasOwnProperty(v: PropertyKey): boolean;
+
+ /**
+ * Determines whether an object exists in another object's prototype chain.
+ * @param v Another object whose prototype chain is to be checked.
+ */
+ isPrototypeOf(v: Object): boolean;
+
+ /**
+ * Determines whether a specified property is enumerable.
+ * @param v A property name.
+ */
+ propertyIsEnumerable(v: PropertyKey): boolean;
+}
+
+interface ObjectConstructor {
+ new (value?: any): Object;
+ (): any;
+ (value: any): any;
+
+ /** A reference to the prototype for a class of objects. */
+ readonly prototype: Object;
+
+ /**
+ * Returns the prototype of an object.
+ * @param o The object that references the prototype.
+ */
+ getPrototypeOf(o: any): any;
+
+ /**
+ * Gets the own property descriptor of the specified object.
+ * An own property descriptor is one that is defined directly on the object and is not inherited from the object's prototype.
+ * @param o Object that contains the property.
+ * @param p Name of the property.
+ */
+ getOwnPropertyDescriptor(o: any, p: PropertyKey): PropertyDescriptor | undefined;
+
+ /**
+ * Returns the names of the own properties of an object. The own properties of an object are those that are defined directly
+ * on that object, and are not inherited from the object's prototype. The properties of an object include both fields (objects) and functions.
+ * @param o Object that contains the own properties.
+ */
+ getOwnPropertyNames(o: any): string[];
+
+ /**
+ * Creates an object that has the specified prototype or that has null prototype.
+ * @param o Object to use as a prototype. May be null.
+ */
+ create(o: object | null): any;
+
+ /**
+ * Creates an object that has the specified prototype, and that optionally contains specified properties.
+ * @param o Object to use as a prototype. May be null
+ * @param properties JavaScript object that contains one or more property descriptors.
+ */
+ create(o: object | null, properties: PropertyDescriptorMap & ThisType<any>): any;
+
+ /**
+ * Adds a property to an object, or modifies attributes of an existing property.
+ * @param o Object on which to add or modify the property. This can be a native JavaScript object (that is, a user-defined object or a built in object) or a DOM object.
+ * @param p The property name.
+ * @param attributes Descriptor for the property. It can be for a data property or an accessor property.
+ */
+ defineProperty<T>(o: T, p: PropertyKey, attributes: PropertyDescriptor & ThisType<any>): T;
+
+ /**
+ * Adds one or more properties to an object, and/or modifies attributes of existing properties.
+ * @param o Object on which to add or modify the properties. This can be a native JavaScript object or a DOM object.
+ * @param properties JavaScript object that contains one or more descriptor objects. Each descriptor object describes a data property or an accessor property.
+ */
+ defineProperties<T>(o: T, properties: PropertyDescriptorMap & ThisType<any>): T;
+
+ /**
+ * Prevents the modification of attributes of existing properties, and prevents the addition of new properties.
+ * @param o Object on which to lock the attributes.
+ */
+ seal<T>(o: T): T;
+
+ /**
+ * Prevents the modification of existing property attributes and values, and prevents the addition of new properties.
+ * @param f Object on which to lock the attributes.
+ */
+ freeze<T extends Function>(f: T): T;
+
+ /**
+ * Prevents the modification of existing property attributes and values, and prevents the addition of new properties.
+ * @param o Object on which to lock the attributes.
+ */
+ freeze<T extends { [idx: string]: U | null | undefined | object; }, U extends string | bigint | number | boolean | symbol>(o: T): Readonly<T>;
+
+ /**
+ * Prevents the modification of existing property attributes and values, and prevents the addition of new properties.
+ * @param o Object on which to lock the attributes.
+ */
+ freeze<T>(o: T): Readonly<T>;
+
+ /**
+ * Prevents the addition of new properties to an object.
+ * @param o Object to make non-extensible.
+ */
+ preventExtensions<T>(o: T): T;
+
+ /**
+ * Returns true if existing property attributes cannot be modified in an object and new properties cannot be added to the object.
+ * @param o Object to test.
+ */
+ isSealed(o: any): boolean;
+
+ /**
+ * Returns true if existing property attributes and values cannot be modified in an object, and new properties cannot be added to the object.
+ * @param o Object to test.
+ */
+ isFrozen(o: any): boolean;
+
+ /**
+ * Returns a value that indicates whether new properties can be added to an object.
+ * @param o Object to test.
+ */
+ isExtensible(o: any): boolean;
+
+ /**
+ * Returns the names of the enumerable string properties and methods of an object.
+ * @param o Object that contains the properties and methods. This can be an object that you created or an existing Document Object Model (DOM) object.
+ */
+ keys(o: object): string[];
+}
+
+/**
+ * Provides functionality common to all JavaScript objects.
+ */
+declare var Object: ObjectConstructor;
+
+/**
+ * Creates a new function.
+ */
+interface Function {
+ /**
+ * Calls the function, substituting the specified object for the this value of the function, and the specified array for the arguments of the function.
+ * @param thisArg The object to be used as the this object.
+ * @param argArray A set of arguments to be passed to the function.
+ */
+ apply(this: Function, thisArg: any, argArray?: any): any;
+
+ /**
+ * Calls a method of an object, substituting another object for the current object.
+ * @param thisArg The object to be used as the current object.
+ * @param argArray A list of arguments to be passed to the method.
+ */
+ call(this: Function, thisArg: any, ...argArray: any[]): any;
+
+ /**
+ * For a given function, creates a bound function that has the same body as the original function.
+ * The this object of the bound function is associated with the specified object, and has the specified initial parameters.
+ * @param thisArg An object to which the this keyword can refer inside the new function.
+ * @param argArray A list of arguments to be passed to the new function.
+ */
+ bind(this: Function, thisArg: any, ...argArray: any[]): any;
+
+ /** Returns a string representation of a function. */
+ toString(): string;
+
+ prototype: any;
+ readonly length: number;
+
+ // Non-standard extensions
+ arguments: any;
+ caller: Function;
+}
+
+interface FunctionConstructor {
+ /**
+ * Creates a new function.
+ * @param args A list of arguments the function accepts.
+ */
+ new (...args: string[]): Function;
+ (...args: string[]): Function;
+ readonly prototype: Function;
+}
+
+declare var Function: FunctionConstructor;
+
+/**
+ * Extracts the type of the 'this' parameter of a function type, or 'unknown' if the function type has no 'this' parameter.
+ */
+type ThisParameterType<T> = T extends (this: infer U, ...args: never) => any ? U : unknown;
+
+/**
+ * Removes the 'this' parameter from a function type.
+ */
+type OmitThisParameter<T> = unknown extends ThisParameterType<T> ? T : T extends (...args: infer A) => infer R ? (...args: A) => R : T;
+
+interface CallableFunction extends Function {
+ /**
+ * Calls the function with the specified object as the this value and the elements of specified array as the arguments.
+ * @param thisArg The object to be used as the this object.
+ */
+ apply<T, R>(this: (this: T) => R, thisArg: T): R;
+
+ /**
+ * Calls the function with the specified object as the this value and the elements of specified array as the arguments.
+ * @param thisArg The object to be used as the this object.
+ * @param args An array of argument values to be passed to the function.
+ */
+ apply<T, A extends any[], R>(this: (this: T, ...args: A) => R, thisArg: T, args: A): R;
+
+ /**
+ * Calls the function with the specified object as the this value and the specified rest arguments as the arguments.
+ * @param thisArg The object to be used as the this object.
+ * @param args Argument values to be passed to the function.
+ */
+ call<T, A extends any[], R>(this: (this: T, ...args: A) => R, thisArg: T, ...args: A): R;
+
+ /**
+ * For a given function, creates a bound function that has the same body as the original function.
+ * The this object of the bound function is associated with the specified object, and has the specified initial parameters.
+ * @param thisArg The object to be used as the this object.
+ */
+ bind<T>(this: T, thisArg: ThisParameterType<T>): OmitThisParameter<T>;
+
+ /**
+ * For a given function, creates a bound function that has the same body as the original function.
+ * The this object of the bound function is associated with the specified object, and has the specified initial parameters.
+ * @param thisArg The object to be used as the this object.
+ * @param args Arguments to bind to the parameters of the function.
+ */
+ bind<T, A extends any[], B extends any[], R>(this: (this: T, ...args: [...A, ...B]) => R, thisArg: T, ...args: A): (...args: B) => R;
+}
+
+interface NewableFunction extends Function {
+ /**
+ * Calls the function with the specified object as the this value and the elements of specified array as the arguments.
+ * @param thisArg The object to be used as the this object.
+ */
+ apply<T>(this: new () => T, thisArg: T): void;
+ /**
+ * Calls the function with the specified object as the this value and the elements of specified array as the arguments.
+ * @param thisArg The object to be used as the this object.
+ * @param args An array of argument values to be passed to the function.
+ */
+ apply<T, A extends any[]>(this: new (...args: A) => T, thisArg: T, args: A): void;
+
+ /**
+ * Calls the function with the specified object as the this value and the specified rest arguments as the arguments.
+ * @param thisArg The object to be used as the this object.
+ * @param args Argument values to be passed to the function.
+ */
+ call<T, A extends any[]>(this: new (...args: A) => T, thisArg: T, ...args: A): void;
+
+ /**
+ * For a given function, creates a bound function that has the same body as the original function.
+ * The this object of the bound function is associated with the specified object, and has the specified initial parameters.
+ * @param thisArg The object to be used as the this object.
+ */
+ bind<T>(this: T, thisArg: any): T;
+
+ /**
+ * For a given function, creates a bound function that has the same body as the original function.
+ * The this object of the bound function is associated with the specified object, and has the specified initial parameters.
+ * @param thisArg The object to be used as the this object.
+ * @param args Arguments to bind to the parameters of the function.
+ */
+ bind<A extends any[], B extends any[], R>(this: new (...args: [...A, ...B]) => R, thisArg: any, ...args: A): new (...args: B) => R;
+}
+
+interface IArguments {
+ [index: number]: any;
+ length: number;
+ callee: Function;
+}
+
+interface String {
+ /** Returns a string representation of a string. */
+ toString(): string;
+
+ /**
+ * Returns the character at the specified index.
+ * @param pos The zero-based index of the desired character.
+ */
+ charAt(pos: number): string;
+
+ /**
+ * Returns the Unicode value of the character at the specified location.
+ * @param index The zero-based index of the desired character. If there is no character at the specified index, NaN is returned.
+ */
+ charCodeAt(index: number): number;
+
+ /**
+ * Returns a string that contains the concatenation of two or more strings.
+ * @param strings The strings to append to the end of the string.
+ */
+ concat(...strings: string[]): string;
+
+ /**
+ * Returns the position of the first occurrence of a substring.
+ * @param searchString The substring to search for in the string
+ * @param position The index at which to begin searching the String object. If omitted, search starts at the beginning of the string.
+ */
+ indexOf(searchString: string, position?: number): number;
+
+ /**
+ * Returns the last occurrence of a substring in the string.
+ * @param searchString The substring to search for.
+ * @param position The index at which to begin searching. If omitted, the search begins at the end of the string.
+ */
+ lastIndexOf(searchString: string, position?: number): number;
+
+ /**
+ * Determines whether two strings are equivalent in the current locale.
+ * @param that String to compare to target string
+ */
+ localeCompare(that: string): number;
+
+ /**
+ * Matches a string with a regular expression, and returns an array containing the results of that search.
+ * @param regexp A variable name or string literal containing the regular expression pattern and flags.
+ */
+ match(regexp: string | RegExp): RegExpMatchArray | null;
+
+ /**
+ * Replaces text in a string, using a regular expression or search string.
+ * @param searchValue A string or regular expression to search for.
+ * @param replaceValue A string containing the text to replace. When the {@linkcode searchValue} is a \`RegExp\`, all matches are replaced if the \`g\` flag is set (or only those matches at the beginning, if the \`y\` flag is also present). Otherwise, only the first match of {@linkcode searchValue} is replaced.
+ */
+ replace(searchValue: string | RegExp, replaceValue: string): string;
+
+ /**
+ * Replaces text in a string, using a regular expression or search string.
+ * @param searchValue A string to search for.
+ * @param replacer A function that returns the replacement text.
+ */
+ replace(searchValue: string | RegExp, replacer: (substring: string, ...args: any[]) => string): string;
+
+ /**
+ * Finds the first substring match in a regular expression search.
+ * @param regexp The regular expression pattern and applicable flags.
+ */
+ search(regexp: string | RegExp): number;
+
+ /**
+ * Returns a section of a string.
+ * @param start The index to the beginning of the specified portion of stringObj.
+ * @param end The index to the end of the specified portion of stringObj. The substring includes the characters up to, but not including, the character indicated by end.
+ * If this value is not specified, the substring continues to the end of stringObj.
+ */
+ slice(start?: number, end?: number): string;
+
+ /**
+ * Split a string into substrings using the specified separator and return them as an array.
+ * @param separator A string that identifies character or characters to use in separating the string. If omitted, a single-element array containing the entire string is returned.
+ * @param limit A value used to limit the number of elements returned in the array.
+ */
+ split(separator: string | RegExp, limit?: number): string[];
+
+ /**
+ * Returns the substring at the specified location within a String object.
+ * @param start The zero-based index number indicating the beginning of the substring.
+ * @param end Zero-based index number indicating the end of the substring. The substring includes the characters up to, but not including, the character indicated by end.
+ * If end is omitted, the characters from start through the end of the original string are returned.
+ */
+ substring(start: number, end?: number): string;
+
+ /** Converts all the alphabetic characters in a string to lowercase. */
+ toLowerCase(): string;
+
+ /** Converts all alphabetic characters to lowercase, taking into account the host environment's current locale. */
+ toLocaleLowerCase(locales?: string | string[]): string;
+
+ /** Converts all the alphabetic characters in a string to uppercase. */
+ toUpperCase(): string;
+
+ /** Returns a string where all alphabetic characters have been converted to uppercase, taking into account the host environment's current locale. */
+ toLocaleUpperCase(locales?: string | string[]): string;
+
+ /** Removes the leading and trailing white space and line terminator characters from a string. */
+ trim(): string;
+
+ /** Returns the length of a String object. */
+ readonly length: number;
+
+ // IE extensions
+ /**
+ * Gets a substring beginning at the specified location and having the specified length.
+ * @deprecated A legacy feature for browser compatibility
+ * @param from The starting position of the desired substring. The index of the first character in the string is zero.
+ * @param length The number of characters to include in the returned substring.
+ */
+ substr(from: number, length?: number): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): string;
+
+ readonly [index: number]: string;
+}
+
+interface StringConstructor {
+ new (value?: any): String;
+ (value?: any): string;
+ readonly prototype: String;
+ fromCharCode(...codes: number[]): string;
+}
+
+/**
+ * Allows manipulation and formatting of text strings and determination and location of substrings within strings.
+ */
+declare var String: StringConstructor;
+
+interface Boolean {
+ /** Returns the primitive value of the specified object. */
+ valueOf(): boolean;
+}
+
+interface BooleanConstructor {
+ new (value?: any): Boolean;
+ <T>(value?: T): boolean;
+ readonly prototype: Boolean;
+}
+
+declare var Boolean: BooleanConstructor;
+
+interface Number {
+ /**
+ * Returns a string representation of an object.
+ * @param radix Specifies a radix for converting numeric values to strings. This value is only used for numbers.
+ */
+ toString(radix?: number): string;
+
+ /**
+ * Returns a string representing a number in fixed-point notation.
+ * @param fractionDigits Number of digits after the decimal point. Must be in the range 0 - 20, inclusive.
+ */
+ toFixed(fractionDigits?: number): string;
+
+ /**
+ * Returns a string containing a number represented in exponential notation.
+ * @param fractionDigits Number of digits after the decimal point. Must be in the range 0 - 20, inclusive.
+ */
+ toExponential(fractionDigits?: number): string;
+
+ /**
+ * Returns a string containing a number represented either in exponential or fixed-point notation with a specified number of digits.
+ * @param precision Number of significant digits. Must be in the range 1 - 21, inclusive.
+ */
+ toPrecision(precision?: number): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): number;
+}
+
+interface NumberConstructor {
+ new (value?: any): Number;
+ (value?: any): number;
+ readonly prototype: Number;
+
+ /** The largest number that can be represented in JavaScript. Equal to approximately 1.79E+308. */
+ readonly MAX_VALUE: number;
+
+ /** The closest number to zero that can be represented in JavaScript. Equal to approximately 5.00E-324. */
+ readonly MIN_VALUE: number;
+
+ /**
+ * A value that is not a number.
+ * In equality comparisons, NaN does not equal any value, including itself. To test whether a value is equivalent to NaN, use the isNaN function.
+ */
+ readonly NaN: number;
+
+ /**
+ * A value that is less than the largest negative number that can be represented in JavaScript.
+ * JavaScript displays NEGATIVE_INFINITY values as -infinity.
+ */
+ readonly NEGATIVE_INFINITY: number;
+
+ /**
+ * A value greater than the largest number that can be represented in JavaScript.
+ * JavaScript displays POSITIVE_INFINITY values as infinity.
+ */
+ readonly POSITIVE_INFINITY: number;
+}
+
+/** An object that represents a number of any kind. All JavaScript numbers are 64-bit floating-point numbers. */
+declare var Number: NumberConstructor;
+
+interface TemplateStringsArray extends ReadonlyArray<string> {
+ readonly raw: readonly string[];
+}
+
+/**
+ * The type of \`import.meta\`.
+ *
+ * If you need to declare that a given property exists on \`import.meta\`,
+ * this type may be augmented via interface merging.
+ */
+interface ImportMeta {
+}
+
+/**
+ * The type for the optional second argument to \`import()\`.
+ *
+ * If your host environment supports additional options, this type may be
+ * augmented via interface merging.
+ */
+interface ImportCallOptions {
+ /** @deprecated*/ assert?: ImportAssertions;
+ with?: ImportAttributes;
+}
+
+/**
+ * The type for the \`assert\` property of the optional second argument to \`import()\`.
+ * @deprecated
+ */
+interface ImportAssertions {
+ [key: string]: string;
+}
+
+/**
+ * The type for the \`with\` property of the optional second argument to \`import()\`.
+ */
+interface ImportAttributes {
+ [key: string]: string;
+}
+
+interface Math {
+ /** The mathematical constant e. This is Euler's number, the base of natural logarithms. */
+ readonly E: number;
+ /** The natural logarithm of 10. */
+ readonly LN10: number;
+ /** The natural logarithm of 2. */
+ readonly LN2: number;
+ /** The base-2 logarithm of e. */
+ readonly LOG2E: number;
+ /** The base-10 logarithm of e. */
+ readonly LOG10E: number;
+ /** Pi. This is the ratio of the circumference of a circle to its diameter. */
+ readonly PI: number;
+ /** The square root of 0.5, or, equivalently, one divided by the square root of 2. */
+ readonly SQRT1_2: number;
+ /** The square root of 2. */
+ readonly SQRT2: number;
+ /**
+ * Returns the absolute value of a number (the value without regard to whether it is positive or negative).
+ * For example, the absolute value of -5 is the same as the absolute value of 5.
+ * @param x A numeric expression for which the absolute value is needed.
+ */
+ abs(x: number): number;
+ /**
+ * Returns the arc cosine (or inverse cosine) of a number.
+ * @param x A numeric expression.
+ */
+ acos(x: number): number;
+ /**
+ * Returns the arcsine of a number.
+ * @param x A numeric expression.
+ */
+ asin(x: number): number;
+ /**
+ * Returns the arctangent of a number.
+ * @param x A numeric expression for which the arctangent is needed.
+ */
+ atan(x: number): number;
+ /**
+ * Returns the angle (in radians) from the X axis to a point.
+ * @param y A numeric expression representing the cartesian y-coordinate.
+ * @param x A numeric expression representing the cartesian x-coordinate.
+ */
+ atan2(y: number, x: number): number;
+ /**
+ * Returns the smallest integer greater than or equal to its numeric argument.
+ * @param x A numeric expression.
+ */
+ ceil(x: number): number;
+ /**
+ * Returns the cosine of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ cos(x: number): number;
+ /**
+ * Returns e (the base of natural logarithms) raised to a power.
+ * @param x A numeric expression representing the power of e.
+ */
+ exp(x: number): number;
+ /**
+ * Returns the greatest integer less than or equal to its numeric argument.
+ * @param x A numeric expression.
+ */
+ floor(x: number): number;
+ /**
+ * Returns the natural logarithm (base e) of a number.
+ * @param x A numeric expression.
+ */
+ log(x: number): number;
+ /**
+ * Returns the larger of a set of supplied numeric expressions.
+ * @param values Numeric expressions to be evaluated.
+ */
+ max(...values: number[]): number;
+ /**
+ * Returns the smaller of a set of supplied numeric expressions.
+ * @param values Numeric expressions to be evaluated.
+ */
+ min(...values: number[]): number;
+ /**
+ * Returns the value of a base expression taken to a specified power.
+ * @param x The base value of the expression.
+ * @param y The exponent value of the expression.
+ */
+ pow(x: number, y: number): number;
+ /** Returns a pseudorandom number between 0 and 1. */
+ random(): number;
+ /**
+ * Returns a supplied numeric expression rounded to the nearest integer.
+ * @param x The value to be rounded to the nearest integer.
+ */
+ round(x: number): number;
+ /**
+ * Returns the sine of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ sin(x: number): number;
+ /**
+ * Returns the square root of a number.
+ * @param x A numeric expression.
+ */
+ sqrt(x: number): number;
+ /**
+ * Returns the tangent of a number.
+ * @param x A numeric expression that contains an angle measured in radians.
+ */
+ tan(x: number): number;
+}
+/** An intrinsic object that provides basic mathematics functionality and constants. */
+declare var Math: Math;
+
+/** Enables basic storage and retrieval of dates and times. */
+interface Date {
+ /** Returns a string representation of a date. The format of the string depends on the locale. */
+ toString(): string;
+ /** Returns a date as a string value. */
+ toDateString(): string;
+ /** Returns a time as a string value. */
+ toTimeString(): string;
+ /** Returns a value as a string value appropriate to the host environment's current locale. */
+ toLocaleString(): string;
+ /** Returns a date as a string value appropriate to the host environment's current locale. */
+ toLocaleDateString(): string;
+ /** Returns a time as a string value appropriate to the host environment's current locale. */
+ toLocaleTimeString(): string;
+ /** Returns the stored time value in milliseconds since midnight, January 1, 1970 UTC. */
+ valueOf(): number;
+ /** Returns the stored time value in milliseconds since midnight, January 1, 1970 UTC. */
+ getTime(): number;
+ /** Gets the year, using local time. */
+ getFullYear(): number;
+ /** Gets the year using Universal Coordinated Time (UTC). */
+ getUTCFullYear(): number;
+ /** Gets the month, using local time. */
+ getMonth(): number;
+ /** Gets the month of a Date object using Universal Coordinated Time (UTC). */
+ getUTCMonth(): number;
+ /** Gets the day-of-the-month, using local time. */
+ getDate(): number;
+ /** Gets the day-of-the-month, using Universal Coordinated Time (UTC). */
+ getUTCDate(): number;
+ /** Gets the day of the week, using local time. */
+ getDay(): number;
+ /** Gets the day of the week using Universal Coordinated Time (UTC). */
+ getUTCDay(): number;
+ /** Gets the hours in a date, using local time. */
+ getHours(): number;
+ /** Gets the hours value in a Date object using Universal Coordinated Time (UTC). */
+ getUTCHours(): number;
+ /** Gets the minutes of a Date object, using local time. */
+ getMinutes(): number;
+ /** Gets the minutes of a Date object using Universal Coordinated Time (UTC). */
+ getUTCMinutes(): number;
+ /** Gets the seconds of a Date object, using local time. */
+ getSeconds(): number;
+ /** Gets the seconds of a Date object using Universal Coordinated Time (UTC). */
+ getUTCSeconds(): number;
+ /** Gets the milliseconds of a Date, using local time. */
+ getMilliseconds(): number;
+ /** Gets the milliseconds of a Date object using Universal Coordinated Time (UTC). */
+ getUTCMilliseconds(): number;
+ /** Gets the difference in minutes between the time on the local computer and Universal Coordinated Time (UTC). */
+ getTimezoneOffset(): number;
+ /**
+ * Sets the date and time value in the Date object.
+ * @param time A numeric value representing the number of elapsed milliseconds since midnight, January 1, 1970 GMT.
+ */
+ setTime(time: number): number;
+ /**
+ * Sets the milliseconds value in the Date object using local time.
+ * @param ms A numeric value equal to the millisecond value.
+ */
+ setMilliseconds(ms: number): number;
+ /**
+ * Sets the milliseconds value in the Date object using Universal Coordinated Time (UTC).
+ * @param ms A numeric value equal to the millisecond value.
+ */
+ setUTCMilliseconds(ms: number): number;
+
+ /**
+ * Sets the seconds value in the Date object using local time.
+ * @param sec A numeric value equal to the seconds value.
+ * @param ms A numeric value equal to the milliseconds value.
+ */
+ setSeconds(sec: number, ms?: number): number;
+ /**
+ * Sets the seconds value in the Date object using Universal Coordinated Time (UTC).
+ * @param sec A numeric value equal to the seconds value.
+ * @param ms A numeric value equal to the milliseconds value.
+ */
+ setUTCSeconds(sec: number, ms?: number): number;
+ /**
+ * Sets the minutes value in the Date object using local time.
+ * @param min A numeric value equal to the minutes value.
+ * @param sec A numeric value equal to the seconds value.
+ * @param ms A numeric value equal to the milliseconds value.
+ */
+ setMinutes(min: number, sec?: number, ms?: number): number;
+ /**
+ * Sets the minutes value in the Date object using Universal Coordinated Time (UTC).
+ * @param min A numeric value equal to the minutes value.
+ * @param sec A numeric value equal to the seconds value.
+ * @param ms A numeric value equal to the milliseconds value.
+ */
+ setUTCMinutes(min: number, sec?: number, ms?: number): number;
+ /**
+ * Sets the hour value in the Date object using local time.
+ * @param hours A numeric value equal to the hours value.
+ * @param min A numeric value equal to the minutes value.
+ * @param sec A numeric value equal to the seconds value.
+ * @param ms A numeric value equal to the milliseconds value.
+ */
+ setHours(hours: number, min?: number, sec?: number, ms?: number): number;
+ /**
+ * Sets the hours value in the Date object using Universal Coordinated Time (UTC).
+ * @param hours A numeric value equal to the hours value.
+ * @param min A numeric value equal to the minutes value.
+ * @param sec A numeric value equal to the seconds value.
+ * @param ms A numeric value equal to the milliseconds value.
+ */
+ setUTCHours(hours: number, min?: number, sec?: number, ms?: number): number;
+ /**
+ * Sets the numeric day-of-the-month value of the Date object using local time.
+ * @param date A numeric value equal to the day of the month.
+ */
+ setDate(date: number): number;
+ /**
+ * Sets the numeric day of the month in the Date object using Universal Coordinated Time (UTC).
+ * @param date A numeric value equal to the day of the month.
+ */
+ setUTCDate(date: number): number;
+ /**
+ * Sets the month value in the Date object using local time.
+ * @param month A numeric value equal to the month. The value for January is 0, and other month values follow consecutively.
+ * @param date A numeric value representing the day of the month. If this value is not supplied, the value from a call to the getDate method is used.
+ */
+ setMonth(month: number, date?: number): number;
+ /**
+ * Sets the month value in the Date object using Universal Coordinated Time (UTC).
+ * @param month A numeric value equal to the month. The value for January is 0, and other month values follow consecutively.
+ * @param date A numeric value representing the day of the month. If it is not supplied, the value from a call to the getUTCDate method is used.
+ */
+ setUTCMonth(month: number, date?: number): number;
+ /**
+ * Sets the year of the Date object using local time.
+ * @param year A numeric value for the year.
+ * @param month A zero-based numeric value for the month (0 for January, 11 for December). Must be specified if numDate is specified.
+ * @param date A numeric value equal for the day of the month.
+ */
+ setFullYear(year: number, month?: number, date?: number): number;
+ /**
+ * Sets the year value in the Date object using Universal Coordinated Time (UTC).
+ * @param year A numeric value equal to the year.
+ * @param month A numeric value equal to the month. The value for January is 0, and other month values follow consecutively. Must be supplied if numDate is supplied.
+ * @param date A numeric value equal to the day of the month.
+ */
+ setUTCFullYear(year: number, month?: number, date?: number): number;
+ /** Returns a date converted to a string using Universal Coordinated Time (UTC). */
+ toUTCString(): string;
+ /** Returns a date as a string value in ISO format. */
+ toISOString(): string;
+ /** Used by the JSON.stringify method to enable the transformation of an object's data for JavaScript Object Notation (JSON) serialization. */
+ toJSON(key?: any): string;
+}
+
+interface DateConstructor {
+ new (): Date;
+ new (value: number | string): Date;
+ /**
+ * Creates a new Date.
+ * @param year The full year designation is required for cross-century date accuracy. If year is between 0 and 99 is used, then year is assumed to be 1900 + year.
+ * @param monthIndex The month as a number between 0 and 11 (January to December).
+ * @param date The date as a number between 1 and 31.
+ * @param hours Must be supplied if minutes is supplied. A number from 0 to 23 (midnight to 11pm) that specifies the hour.
+ * @param minutes Must be supplied if seconds is supplied. A number from 0 to 59 that specifies the minutes.
+ * @param seconds Must be supplied if milliseconds is supplied. A number from 0 to 59 that specifies the seconds.
+ * @param ms A number from 0 to 999 that specifies the milliseconds.
+ */
+ new (year: number, monthIndex: number, date?: number, hours?: number, minutes?: number, seconds?: number, ms?: number): Date;
+ (): string;
+ readonly prototype: Date;
+ /**
+ * Parses a string containing a date, and returns the number of milliseconds between that date and midnight, January 1, 1970.
+ * @param s A date string
+ */
+ parse(s: string): number;
+ /**
+ * Returns the number of milliseconds between midnight, January 1, 1970 Universal Coordinated Time (UTC) (or GMT) and the specified date.
+ * @param year The full year designation is required for cross-century date accuracy. If year is between 0 and 99 is used, then year is assumed to be 1900 + year.
+ * @param monthIndex The month as a number between 0 and 11 (January to December).
+ * @param date The date as a number between 1 and 31.
+ * @param hours Must be supplied if minutes is supplied. A number from 0 to 23 (midnight to 11pm) that specifies the hour.
+ * @param minutes Must be supplied if seconds is supplied. A number from 0 to 59 that specifies the minutes.
+ * @param seconds Must be supplied if milliseconds is supplied. A number from 0 to 59 that specifies the seconds.
+ * @param ms A number from 0 to 999 that specifies the milliseconds.
+ */
+ UTC(year: number, monthIndex: number, date?: number, hours?: number, minutes?: number, seconds?: number, ms?: number): number;
+ /** Returns the number of milliseconds elapsed since midnight, January 1, 1970 Universal Coordinated Time (UTC). */
+ now(): number;
+}
+
+declare var Date: DateConstructor;
+
+interface RegExpMatchArray extends Array<string> {
+ /**
+ * The index of the search at which the result was found.
+ */
+ index?: number;
+ /**
+ * A copy of the search string.
+ */
+ input?: string;
+ /**
+ * The first match. This will always be present because \`null\` will be returned if there are no matches.
+ */
+ 0: string;
+}
+
+interface RegExpExecArray extends Array<string> {
+ /**
+ * The index of the search at which the result was found.
+ */
+ index: number;
+ /**
+ * A copy of the search string.
+ */
+ input: string;
+ /**
+ * The first match. This will always be present because \`null\` will be returned if there are no matches.
+ */
+ 0: string;
+}
+
+interface RegExp {
+ /**
+ * Executes a search on a string using a regular expression pattern, and returns an array containing the results of that search.
+ * @param string The String object or string literal on which to perform the search.
+ */
+ exec(string: string): RegExpExecArray | null;
+
+ /**
+ * Returns a Boolean value that indicates whether or not a pattern exists in a searched string.
+ * @param string String on which to perform the search.
+ */
+ test(string: string): boolean;
+
+ /** Returns a copy of the text of the regular expression pattern. Read-only. The regExp argument is a Regular expression object. It can be a variable name or a literal. */
+ readonly source: string;
+
+ /** Returns a Boolean value indicating the state of the global flag (g) used with a regular expression. Default is false. Read-only. */
+ readonly global: boolean;
+
+ /** Returns a Boolean value indicating the state of the ignoreCase flag (i) used with a regular expression. Default is false. Read-only. */
+ readonly ignoreCase: boolean;
+
+ /** Returns a Boolean value indicating the state of the multiline flag (m) used with a regular expression. Default is false. Read-only. */
+ readonly multiline: boolean;
+
+ lastIndex: number;
+
+ // Non-standard extensions
+ /** @deprecated A legacy feature for browser compatibility */
+ compile(pattern: string, flags?: string): this;
+}
+
+interface RegExpConstructor {
+ new (pattern: RegExp | string): RegExp;
+ new (pattern: string, flags?: string): RegExp;
+ (pattern: RegExp | string): RegExp;
+ (pattern: string, flags?: string): RegExp;
+ readonly "prototype": RegExp;
+
+ // Non-standard extensions
+ /** @deprecated A legacy feature for browser compatibility */
+ "$1": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$2": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$3": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$4": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$5": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$6": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$7": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$8": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$9": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "input": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$_": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "lastMatch": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$&": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "lastParen": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$+": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "leftContext": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$\`": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "rightContext": string;
+ /** @deprecated A legacy feature for browser compatibility */
+ "$'": string;
+}
+
+declare var RegExp: RegExpConstructor;
+
+interface Error {
+ name: string;
+ message: string;
+ stack?: string;
+}
+
+interface ErrorConstructor {
+ new (message?: string): Error;
+ (message?: string): Error;
+ readonly prototype: Error;
+}
+
+declare var Error: ErrorConstructor;
+
+interface EvalError extends Error {
+}
+
+interface EvalErrorConstructor extends ErrorConstructor {
+ new (message?: string): EvalError;
+ (message?: string): EvalError;
+ readonly prototype: EvalError;
+}
+
+declare var EvalError: EvalErrorConstructor;
+
+interface RangeError extends Error {
+}
+
+interface RangeErrorConstructor extends ErrorConstructor {
+ new (message?: string): RangeError;
+ (message?: string): RangeError;
+ readonly prototype: RangeError;
+}
+
+declare var RangeError: RangeErrorConstructor;
+
+interface ReferenceError extends Error {
+}
+
+interface ReferenceErrorConstructor extends ErrorConstructor {
+ new (message?: string): ReferenceError;
+ (message?: string): ReferenceError;
+ readonly prototype: ReferenceError;
+}
+
+declare var ReferenceError: ReferenceErrorConstructor;
+
+interface SyntaxError extends Error {
+}
+
+interface SyntaxErrorConstructor extends ErrorConstructor {
+ new (message?: string): SyntaxError;
+ (message?: string): SyntaxError;
+ readonly prototype: SyntaxError;
+}
+
+declare var SyntaxError: SyntaxErrorConstructor;
+
+interface TypeError extends Error {
+}
+
+interface TypeErrorConstructor extends ErrorConstructor {
+ new (message?: string): TypeError;
+ (message?: string): TypeError;
+ readonly prototype: TypeError;
+}
+
+declare var TypeError: TypeErrorConstructor;
+
+interface URIError extends Error {
+}
+
+interface URIErrorConstructor extends ErrorConstructor {
+ new (message?: string): URIError;
+ (message?: string): URIError;
+ readonly prototype: URIError;
+}
+
+declare var URIError: URIErrorConstructor;
+
+interface JSON {
+ /**
+ * Converts a JavaScript Object Notation (JSON) string into an object.
+ * @param text A valid JSON string.
+ * @param reviver A function that transforms the results. This function is called for each member of the object.
+ * If a member contains nested objects, the nested objects are transformed before the parent object is.
+ */
+ parse(text: string, reviver?: (this: any, key: string, value: any) => any): any;
+ /**
+ * Converts a JavaScript value to a JavaScript Object Notation (JSON) string.
+ * @param value A JavaScript value, usually an object or array, to be converted.
+ * @param replacer A function that transforms the results.
+ * @param space Adds indentation, white space, and line break characters to the return-value JSON text to make it easier to read.
+ */
+ stringify(value: any, replacer?: (this: any, key: string, value: any) => any, space?: string | number): string;
+ /**
+ * Converts a JavaScript value to a JavaScript Object Notation (JSON) string.
+ * @param value A JavaScript value, usually an object or array, to be converted.
+ * @param replacer An array of strings and numbers that acts as an approved list for selecting the object properties that will be stringified.
+ * @param space Adds indentation, white space, and line break characters to the return-value JSON text to make it easier to read.
+ */
+ stringify(value: any, replacer?: (number | string)[] | null, space?: string | number): string;
+}
+
+/**
+ * An intrinsic object that provides functions to convert JavaScript values to and from the JavaScript Object Notation (JSON) format.
+ */
+declare var JSON: JSON;
+
+/////////////////////////////
+/// ECMAScript Array API (specially handled by compiler)
+/////////////////////////////
+
+interface ReadonlyArray<T> {
+ /**
+ * Gets the length of the array. This is a number one higher than the highest element defined in an array.
+ */
+ readonly length: number;
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+ /**
+ * Returns a string representation of an array. The elements are converted to string using their toLocaleString methods.
+ */
+ toLocaleString(): string;
+ /**
+ * Combines two or more arrays.
+ * @param items Additional items to add to the end of array1.
+ */
+ concat(...items: ConcatArray<T>[]): T[];
+ /**
+ * Combines two or more arrays.
+ * @param items Additional items to add to the end of array1.
+ */
+ concat(...items: (T | ConcatArray<T>)[]): T[];
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): T[];
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the search starts at index 0.
+ */
+ indexOf(searchElement: T, fromIndex?: number): number;
+ /**
+ * Returns the index of the last occurrence of a specified value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the search starts at the last index in the array.
+ */
+ lastIndexOf(searchElement: T, fromIndex?: number): number;
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every<S extends T>(predicate: (value: T, index: number, array: readonly T[]) => value is S, thisArg?: any): this is readonly S[];
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: T, index: number, array: readonly T[]) => unknown, thisArg?: any): boolean;
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: T, index: number, array: readonly T[]) => unknown, thisArg?: any): boolean;
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function. If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: T, index: number, array: readonly T[]) => void, thisArg?: any): void;
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function. If thisArg is omitted, undefined is used as the this value.
+ */
+ map<U>(callbackfn: (value: T, index: number, array: readonly T[]) => U, thisArg?: any): U[];
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function. If thisArg is omitted, undefined is used as the this value.
+ */
+ filter<S extends T>(predicate: (value: T, index: number, array: readonly T[]) => value is S, thisArg?: any): S[];
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function. If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: T, index: number, array: readonly T[]) => unknown, thisArg?: any): T[];
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of the callback function is the accumulated result, and is provided as an argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start the accumulation. The first call to the callbackfn function provides this value as an argument instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: T, currentValue: T, currentIndex: number, array: readonly T[]) => T): T;
+ reduce(callbackfn: (previousValue: T, currentValue: T, currentIndex: number, array: readonly T[]) => T, initialValue: T): T;
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of the callback function is the accumulated result, and is provided as an argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start the accumulation. The first call to the callbackfn function provides this value as an argument instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: T, currentIndex: number, array: readonly T[]) => U, initialValue: U): U;
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order. The return value of the callback function is the accumulated result, and is provided as an argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start the accumulation. The first call to the callbackfn function provides this value as an argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: T, currentValue: T, currentIndex: number, array: readonly T[]) => T): T;
+ reduceRight(callbackfn: (previousValue: T, currentValue: T, currentIndex: number, array: readonly T[]) => T, initialValue: T): T;
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order. The return value of the callback function is the accumulated result, and is provided as an argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start the accumulation. The first call to the callbackfn function provides this value as an argument instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: T, currentIndex: number, array: readonly T[]) => U, initialValue: U): U;
+
+ readonly [n: number]: T;
+}
+
+interface ConcatArray<T> {
+ readonly length: number;
+ readonly [n: number]: T;
+ join(separator?: string): string;
+ slice(start?: number, end?: number): T[];
+}
+
+interface Array<T> {
+ /**
+ * Gets or sets the length of the array. This is a number one higher than the highest index in the array.
+ */
+ length: number;
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+ /**
+ * Returns a string representation of an array. The elements are converted to string using their toLocaleString methods.
+ */
+ toLocaleString(): string;
+ /**
+ * Removes the last element from an array and returns it.
+ * If the array is empty, undefined is returned and the array is not modified.
+ */
+ pop(): T | undefined;
+ /**
+ * Appends new elements to the end of an array, and returns the new length of the array.
+ * @param items New elements to add to the array.
+ */
+ push(...items: T[]): number;
+ /**
+ * Combines two or more arrays.
+ * This method returns a new array without modifying any existing arrays.
+ * @param items Additional arrays and/or items to add to the end of the array.
+ */
+ concat(...items: ConcatArray<T>[]): T[];
+ /**
+ * Combines two or more arrays.
+ * This method returns a new array without modifying any existing arrays.
+ * @param items Additional arrays and/or items to add to the end of the array.
+ */
+ concat(...items: (T | ConcatArray<T>)[]): T[];
+ /**
+ * Adds all the elements of an array into a string, separated by the specified separator string.
+ * @param separator A string used to separate one element of the array from the next in the resulting string. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+ /**
+ * Reverses the elements in an array in place.
+ * This method mutates the array and returns a reference to the same array.
+ */
+ reverse(): T[];
+ /**
+ * Removes the first element from an array and returns it.
+ * If the array is empty, undefined is returned and the array is not modified.
+ */
+ shift(): T | undefined;
+ /**
+ * Returns a copy of a section of an array.
+ * For both start and end, a negative index can be used to indicate an offset from the end of the array.
+ * For example, -2 refers to the second to last element of the array.
+ * @param start The beginning index of the specified portion of the array.
+ * If start is undefined, then the slice begins at index 0.
+ * @param end The end index of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ * If end is undefined, then the slice extends to the end of the array.
+ */
+ slice(start?: number, end?: number): T[];
+ /**
+ * Sorts an array in place.
+ * This method mutates the array and returns a reference to the same array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if the first argument is less than the second argument, zero if they're equal, and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending, ASCII character order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: T, b: T) => number): this;
+ /**
+ * Removes elements from an array and, if necessary, inserts new elements in their place, returning the deleted elements.
+ * @param start The zero-based location in the array from which to start removing elements.
+ * @param deleteCount The number of elements to remove.
+ * @returns An array containing the elements that were deleted.
+ */
+ splice(start: number, deleteCount?: number): T[];
+ /**
+ * Removes elements from an array and, if necessary, inserts new elements in their place, returning the deleted elements.
+ * @param start The zero-based location in the array from which to start removing elements.
+ * @param deleteCount The number of elements to remove.
+ * @param items Elements to insert into the array in place of the deleted elements.
+ * @returns An array containing the elements that were deleted.
+ */
+ splice(start: number, deleteCount: number, ...items: T[]): T[];
+ /**
+ * Inserts new elements at the start of an array, and returns the new length of the array.
+ * @param items Elements to insert at the start of the array.
+ */
+ unshift(...items: T[]): number;
+ /**
+ * Returns the index of the first occurrence of a value in an array, or -1 if it is not present.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the search starts at index 0.
+ */
+ indexOf(searchElement: T, fromIndex?: number): number;
+ /**
+ * Returns the index of the last occurrence of a specified value in an array, or -1 if it is not present.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin searching backward. If fromIndex is omitted, the search starts at the last index in the array.
+ */
+ lastIndexOf(searchElement: T, fromIndex?: number): number;
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every<S extends T>(predicate: (value: T, index: number, array: T[]) => value is S, thisArg?: any): this is S[];
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: T, index: number, array: T[]) => unknown, thisArg?: any): boolean;
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: T, index: number, array: T[]) => unknown, thisArg?: any): boolean;
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function. If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: T, index: number, array: T[]) => void, thisArg?: any): void;
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function. If thisArg is omitted, undefined is used as the this value.
+ */
+ map<U>(callbackfn: (value: T, index: number, array: T[]) => U, thisArg?: any): U[];
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function. If thisArg is omitted, undefined is used as the this value.
+ */
+ filter<S extends T>(predicate: (value: T, index: number, array: T[]) => value is S, thisArg?: any): S[];
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function. If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: T, index: number, array: T[]) => unknown, thisArg?: any): T[];
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of the callback function is the accumulated result, and is provided as an argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start the accumulation. The first call to the callbackfn function provides this value as an argument instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: T, currentValue: T, currentIndex: number, array: T[]) => T): T;
+ reduce(callbackfn: (previousValue: T, currentValue: T, currentIndex: number, array: T[]) => T, initialValue: T): T;
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of the callback function is the accumulated result, and is provided as an argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start the accumulation. The first call to the callbackfn function provides this value as an argument instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: T, currentIndex: number, array: T[]) => U, initialValue: U): U;
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order. The return value of the callback function is the accumulated result, and is provided as an argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start the accumulation. The first call to the callbackfn function provides this value as an argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: T, currentValue: T, currentIndex: number, array: T[]) => T): T;
+ reduceRight(callbackfn: (previousValue: T, currentValue: T, currentIndex: number, array: T[]) => T, initialValue: T): T;
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order. The return value of the callback function is the accumulated result, and is provided as an argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start the accumulation. The first call to the callbackfn function provides this value as an argument instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: T, currentIndex: number, array: T[]) => U, initialValue: U): U;
+
+ [n: number]: T;
+}
+
+interface ArrayConstructor {
+ new (arrayLength?: number): any[];
+ new <T>(arrayLength: number): T[];
+ new <T>(...items: T[]): T[];
+ (arrayLength?: number): any[];
+ <T>(arrayLength: number): T[];
+ <T>(...items: T[]): T[];
+ isArray(arg: any): arg is any[];
+ readonly prototype: any[];
+}
+
+declare var Array: ArrayConstructor;
+
+interface TypedPropertyDescriptor<T> {
+ enumerable?: boolean;
+ configurable?: boolean;
+ writable?: boolean;
+ value?: T;
+ get?: () => T;
+ set?: (value: T) => void;
+}
+
+declare type PromiseConstructorLike = new <T>(executor: (resolve: (value: T | PromiseLike<T>) => void, reject: (reason?: any) => void) => void) => PromiseLike<T>;
+
+interface PromiseLike<T> {
+ /**
+ * Attaches callbacks for the resolution and/or rejection of the Promise.
+ * @param onfulfilled The callback to execute when the Promise is resolved.
+ * @param onrejected The callback to execute when the Promise is rejected.
+ * @returns A Promise for the completion of which ever callback is executed.
+ */
+ then<TResult1 = T, TResult2 = never>(onfulfilled?: ((value: T) => TResult1 | PromiseLike<TResult1>) | undefined | null, onrejected?: ((reason: any) => TResult2 | PromiseLike<TResult2>) | undefined | null): PromiseLike<TResult1 | TResult2>;
+}
+
+/**
+ * Represents the completion of an asynchronous operation
+ */
+interface Promise<T> {
+ /**
+ * Attaches callbacks for the resolution and/or rejection of the Promise.
+ * @param onfulfilled The callback to execute when the Promise is resolved.
+ * @param onrejected The callback to execute when the Promise is rejected.
+ * @returns A Promise for the completion of which ever callback is executed.
+ */
+ then<TResult1 = T, TResult2 = never>(onfulfilled?: ((value: T) => TResult1 | PromiseLike<TResult1>) | undefined | null, onrejected?: ((reason: any) => TResult2 | PromiseLike<TResult2>) | undefined | null): Promise<TResult1 | TResult2>;
+
+ /**
+ * Attaches a callback for only the rejection of the Promise.
+ * @param onrejected The callback to execute when the Promise is rejected.
+ * @returns A Promise for the completion of the callback.
+ */
+ catch<TResult = never>(onrejected?: ((reason: any) => TResult | PromiseLike<TResult>) | undefined | null): Promise<T | TResult>;
+}
+
+/**
+ * Recursively unwraps the "awaited type" of a type. Non-promise "thenables" should resolve to \`never\`. This emulates the behavior of \`await\`.
+ */
+type Awaited<T> = T extends null | undefined ? T : // special case for \`null | undefined\` when not in \`--strictNullChecks\` mode
+ T extends object & { then(onfulfilled: infer F, ...args: infer _): any; } ? // \`await\` only unwraps object types with a callable \`then\`. Non-object types are not unwrapped
+ F extends ((value: infer V, ...args: infer _) => any) ? // if the argument to \`then\` is callable, extracts the first argument
+ Awaited<V> : // recursively unwrap the value
+ never : // the argument to \`then\` was not callable
+ T; // non-object or non-thenable
+
+interface ArrayLike<T> {
+ readonly length: number;
+ readonly [n: number]: T;
+}
+
+/**
+ * Make all properties in T optional
+ */
+type Partial<T> = {
+ [P in keyof T]?: T[P];
+};
+
+/**
+ * Make all properties in T required
+ */
+type Required<T> = {
+ [P in keyof T]-?: T[P];
+};
+
+/**
+ * Make all properties in T readonly
+ */
+type Readonly<T> = {
+ readonly [P in keyof T]: T[P];
+};
+
+/**
+ * From T, pick a set of properties whose keys are in the union K
+ */
+type Pick<T, K extends keyof T> = {
+ [P in K]: T[P];
+};
+
+/**
+ * Construct a type with a set of properties K of type T
+ */
+type Record<K extends keyof any, T> = {
+ [P in K]: T;
+};
+
+/**
+ * Exclude from T those types that are assignable to U
+ */
+type Exclude<T, U> = T extends U ? never : T;
+
+/**
+ * Extract from T those types that are assignable to U
+ */
+type Extract<T, U> = T extends U ? T : never;
+
+/**
+ * Construct a type with the properties of T except for those in type K.
+ */
+type Omit<T, K extends keyof any> = Pick<T, Exclude<keyof T, K>>;
+
+/**
+ * Exclude null and undefined from T
+ */
+type NonNullable<T> = T & {};
+
+/**
+ * Obtain the parameters of a function type in a tuple
+ */
+type Parameters<T extends (...args: any) => any> = T extends (...args: infer P) => any ? P : never;
+
+/**
+ * Obtain the parameters of a constructor function type in a tuple
+ */
+type ConstructorParameters<T extends abstract new (...args: any) => any> = T extends abstract new (...args: infer P) => any ? P : never;
+
+/**
+ * Obtain the return type of a function type
+ */
+type ReturnType<T extends (...args: any) => any> = T extends (...args: any) => infer R ? R : any;
+
+/**
+ * Obtain the return type of a constructor function type
+ */
+type InstanceType<T extends abstract new (...args: any) => any> = T extends abstract new (...args: any) => infer R ? R : any;
+
+/**
+ * Convert string literal type to uppercase
+ */
+type Uppercase<S extends string> = intrinsic;
+
+/**
+ * Convert string literal type to lowercase
+ */
+type Lowercase<S extends string> = intrinsic;
+
+/**
+ * Convert first character of string literal type to uppercase
+ */
+type Capitalize<S extends string> = intrinsic;
+
+/**
+ * Convert first character of string literal type to lowercase
+ */
+type Uncapitalize<S extends string> = intrinsic;
+
+/**
+ * Marker for non-inference type position
+ */
+type NoInfer<T> = intrinsic;
+
+/**
+ * Marker for contextual 'this' type
+ */
+interface ThisType<T> {}
+
+/**
+ * Stores types to be used with WeakSet, WeakMap, WeakRef, and FinalizationRegistry
+ */
+interface WeakKeyTypes {
+ object: object;
+}
+
+type WeakKey = WeakKeyTypes[keyof WeakKeyTypes];
+
+/**
+ * Represents a raw buffer of binary data, which is used to store data for the
+ * different typed arrays. ArrayBuffers cannot be read from or written to directly,
+ * but can be passed to a typed array or DataView Object to interpret the raw
+ * buffer as needed.
+ */
+interface ArrayBuffer {
+ /**
+ * Read-only. The length of the ArrayBuffer (in bytes).
+ */
+ readonly byteLength: number;
+
+ /**
+ * Returns a section of an ArrayBuffer.
+ */
+ slice(begin: number, end?: number): ArrayBuffer;
+}
+
+/**
+ * Allowed ArrayBuffer types for the buffer of an ArrayBufferView and related Typed Arrays.
+ */
+interface ArrayBufferTypes {
+ ArrayBuffer: ArrayBuffer;
+}
+type ArrayBufferLike = ArrayBufferTypes[keyof ArrayBufferTypes];
+
+interface ArrayBufferConstructor {
+ readonly prototype: ArrayBuffer;
+ new (byteLength: number): ArrayBuffer;
+ isView(arg: any): arg is ArrayBufferView;
+}
+declare var ArrayBuffer: ArrayBufferConstructor;
+
+interface ArrayBufferView {
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ byteOffset: number;
+}
+
+interface DataView {
+ readonly buffer: ArrayBuffer;
+ readonly byteLength: number;
+ readonly byteOffset: number;
+ /**
+ * Gets the Float32 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ * @param littleEndian If false or undefined, a big-endian value should be read.
+ */
+ getFloat32(byteOffset: number, littleEndian?: boolean): number;
+
+ /**
+ * Gets the Float64 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ * @param littleEndian If false or undefined, a big-endian value should be read.
+ */
+ getFloat64(byteOffset: number, littleEndian?: boolean): number;
+
+ /**
+ * Gets the Int8 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ */
+ getInt8(byteOffset: number): number;
+
+ /**
+ * Gets the Int16 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ * @param littleEndian If false or undefined, a big-endian value should be read.
+ */
+ getInt16(byteOffset: number, littleEndian?: boolean): number;
+ /**
+ * Gets the Int32 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ * @param littleEndian If false or undefined, a big-endian value should be read.
+ */
+ getInt32(byteOffset: number, littleEndian?: boolean): number;
+
+ /**
+ * Gets the Uint8 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ */
+ getUint8(byteOffset: number): number;
+
+ /**
+ * Gets the Uint16 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ * @param littleEndian If false or undefined, a big-endian value should be read.
+ */
+ getUint16(byteOffset: number, littleEndian?: boolean): number;
+
+ /**
+ * Gets the Uint32 value at the specified byte offset from the start of the view. There is
+ * no alignment constraint; multi-byte values may be fetched from any offset.
+ * @param byteOffset The place in the buffer at which the value should be retrieved.
+ * @param littleEndian If false or undefined, a big-endian value should be read.
+ */
+ getUint32(byteOffset: number, littleEndian?: boolean): number;
+
+ /**
+ * Stores an Float32 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ * @param littleEndian If false or undefined, a big-endian value should be written.
+ */
+ setFloat32(byteOffset: number, value: number, littleEndian?: boolean): void;
+
+ /**
+ * Stores an Float64 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ * @param littleEndian If false or undefined, a big-endian value should be written.
+ */
+ setFloat64(byteOffset: number, value: number, littleEndian?: boolean): void;
+
+ /**
+ * Stores an Int8 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ */
+ setInt8(byteOffset: number, value: number): void;
+
+ /**
+ * Stores an Int16 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ * @param littleEndian If false or undefined, a big-endian value should be written.
+ */
+ setInt16(byteOffset: number, value: number, littleEndian?: boolean): void;
+
+ /**
+ * Stores an Int32 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ * @param littleEndian If false or undefined, a big-endian value should be written.
+ */
+ setInt32(byteOffset: number, value: number, littleEndian?: boolean): void;
+
+ /**
+ * Stores an Uint8 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ */
+ setUint8(byteOffset: number, value: number): void;
+
+ /**
+ * Stores an Uint16 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ * @param littleEndian If false or undefined, a big-endian value should be written.
+ */
+ setUint16(byteOffset: number, value: number, littleEndian?: boolean): void;
+
+ /**
+ * Stores an Uint32 value at the specified byte offset from the start of the view.
+ * @param byteOffset The place in the buffer at which the value should be set.
+ * @param value The value to set.
+ * @param littleEndian If false or undefined, a big-endian value should be written.
+ */
+ setUint32(byteOffset: number, value: number, littleEndian?: boolean): void;
+}
+
+interface DataViewConstructor {
+ readonly prototype: DataView;
+ new (buffer: ArrayBufferLike & { BYTES_PER_ELEMENT?: never; }, byteOffset?: number, byteLength?: number): DataView;
+}
+declare var DataView: DataViewConstructor;
+
+/**
+ * A typed array of 8-bit integer values. The contents are initialized to 0. If the requested
+ * number of bytes could not be allocated an exception is raised.
+ */
+interface Int8Array {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Int8Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Int8Array) => any, thisArg?: any): Int8Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Int8Array) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Int8Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Int8Array) => void, thisArg?: any): void;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Int8Array) => number, thisArg?: any): Int8Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int8Array) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int8Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Int8Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int8Array) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int8Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Int8Array) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Int8Array;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Int8Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Int8Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Int8Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Int8Array;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Int8Array;
+
+ [index: number]: number;
+}
+interface Int8ArrayConstructor {
+ readonly prototype: Int8Array;
+ new (length: number): Int8Array;
+ new (array: ArrayLike<number> | ArrayBufferLike): Int8Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Int8Array;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Int8Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Int8Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Int8Array;
+}
+declare var Int8Array: Int8ArrayConstructor;
+
+/**
+ * A typed array of 8-bit unsigned integer values. The contents are initialized to 0. If the
+ * requested number of bytes could not be allocated an exception is raised.
+ */
+interface Uint8Array {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Uint8Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Uint8Array) => any, thisArg?: any): Uint8Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Uint8Array) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Uint8Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Uint8Array) => void, thisArg?: any): void;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Uint8Array) => number, thisArg?: any): Uint8Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint8Array) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint8Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Uint8Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint8Array) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint8Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Uint8Array) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Uint8Array;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Uint8Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Uint8Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Uint8Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Uint8Array;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Uint8Array;
+
+ [index: number]: number;
+}
+
+interface Uint8ArrayConstructor {
+ readonly prototype: Uint8Array;
+ new (length: number): Uint8Array;
+ new (array: ArrayLike<number> | ArrayBufferLike): Uint8Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Uint8Array;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Uint8Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Uint8Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Uint8Array;
+}
+declare var Uint8Array: Uint8ArrayConstructor;
+
+/**
+ * A typed array of 8-bit unsigned integer (clamped) values. The contents are initialized to 0.
+ * If the requested number of bytes could not be allocated an exception is raised.
+ */
+interface Uint8ClampedArray {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Uint8ClampedArray) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Uint8ClampedArray) => any, thisArg?: any): Uint8ClampedArray;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Uint8ClampedArray) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Uint8ClampedArray) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Uint8ClampedArray) => void, thisArg?: any): void;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Uint8ClampedArray) => number, thisArg?: any): Uint8ClampedArray;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint8ClampedArray) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint8ClampedArray) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Uint8ClampedArray) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint8ClampedArray) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint8ClampedArray) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Uint8ClampedArray) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Uint8ClampedArray;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Uint8ClampedArray;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Uint8ClampedArray) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Uint8ClampedArray view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Uint8ClampedArray;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Uint8ClampedArray;
+
+ [index: number]: number;
+}
+
+interface Uint8ClampedArrayConstructor {
+ readonly prototype: Uint8ClampedArray;
+ new (length: number): Uint8ClampedArray;
+ new (array: ArrayLike<number> | ArrayBufferLike): Uint8ClampedArray;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Uint8ClampedArray;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Uint8ClampedArray;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Uint8ClampedArray;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Uint8ClampedArray;
+}
+declare var Uint8ClampedArray: Uint8ClampedArrayConstructor;
+
+/**
+ * A typed array of 16-bit signed integer values. The contents are initialized to 0. If the
+ * requested number of bytes could not be allocated an exception is raised.
+ */
+interface Int16Array {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Int16Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Int16Array) => any, thisArg?: any): Int16Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Int16Array) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Int16Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Int16Array) => void, thisArg?: any): void;
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Int16Array) => number, thisArg?: any): Int16Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int16Array) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int16Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Int16Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int16Array) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int16Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Int16Array) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Int16Array;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Int16Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Int16Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Int16Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Int16Array;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Int16Array;
+
+ [index: number]: number;
+}
+
+interface Int16ArrayConstructor {
+ readonly prototype: Int16Array;
+ new (length: number): Int16Array;
+ new (array: ArrayLike<number> | ArrayBufferLike): Int16Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Int16Array;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Int16Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Int16Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Int16Array;
+}
+declare var Int16Array: Int16ArrayConstructor;
+
+/**
+ * A typed array of 16-bit unsigned integer values. The contents are initialized to 0. If the
+ * requested number of bytes could not be allocated an exception is raised.
+ */
+interface Uint16Array {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Uint16Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Uint16Array) => any, thisArg?: any): Uint16Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Uint16Array) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Uint16Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Uint16Array) => void, thisArg?: any): void;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Uint16Array) => number, thisArg?: any): Uint16Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint16Array) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint16Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Uint16Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint16Array) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint16Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Uint16Array) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Uint16Array;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Uint16Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Uint16Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Uint16Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Uint16Array;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Uint16Array;
+
+ [index: number]: number;
+}
+
+interface Uint16ArrayConstructor {
+ readonly prototype: Uint16Array;
+ new (length: number): Uint16Array;
+ new (array: ArrayLike<number> | ArrayBufferLike): Uint16Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Uint16Array;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Uint16Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Uint16Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Uint16Array;
+}
+declare var Uint16Array: Uint16ArrayConstructor;
+/**
+ * A typed array of 32-bit signed integer values. The contents are initialized to 0. If the
+ * requested number of bytes could not be allocated an exception is raised.
+ */
+interface Int32Array {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Int32Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Int32Array) => any, thisArg?: any): Int32Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Int32Array) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Int32Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Int32Array) => void, thisArg?: any): void;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Int32Array) => number, thisArg?: any): Int32Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int32Array) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int32Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Int32Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int32Array) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Int32Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Int32Array) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Int32Array;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Int32Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Int32Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Int32Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Int32Array;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Int32Array;
+
+ [index: number]: number;
+}
+
+interface Int32ArrayConstructor {
+ readonly prototype: Int32Array;
+ new (length: number): Int32Array;
+ new (array: ArrayLike<number> | ArrayBufferLike): Int32Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Int32Array;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Int32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Int32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Int32Array;
+}
+declare var Int32Array: Int32ArrayConstructor;
+
+/**
+ * A typed array of 32-bit unsigned integer values. The contents are initialized to 0. If the
+ * requested number of bytes could not be allocated an exception is raised.
+ */
+interface Uint32Array {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Uint32Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Uint32Array) => any, thisArg?: any): Uint32Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Uint32Array) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Uint32Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Uint32Array) => void, thisArg?: any): void;
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Uint32Array) => number, thisArg?: any): Uint32Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint32Array) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint32Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Uint32Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint32Array) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Uint32Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Uint32Array) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Uint32Array;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Uint32Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Uint32Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Uint32Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Uint32Array;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Uint32Array;
+
+ [index: number]: number;
+}
+
+interface Uint32ArrayConstructor {
+ readonly prototype: Uint32Array;
+ new (length: number): Uint32Array;
+ new (array: ArrayLike<number> | ArrayBufferLike): Uint32Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Uint32Array;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Uint32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Uint32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Uint32Array;
+}
+declare var Uint32Array: Uint32ArrayConstructor;
+
+/**
+ * A typed array of 32-bit float values. The contents are initialized to 0. If the requested number
+ * of bytes could not be allocated an exception is raised.
+ */
+interface Float32Array {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Float32Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Float32Array) => any, thisArg?: any): Float32Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Float32Array) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Float32Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Float32Array) => void, thisArg?: any): void;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Float32Array) => number, thisArg?: any): Float32Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Float32Array) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Float32Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Float32Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Float32Array) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Float32Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Float32Array) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Float32Array;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Float32Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Float32Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Float32Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Float32Array;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Float32Array;
+
+ [index: number]: number;
+}
+
+interface Float32ArrayConstructor {
+ readonly prototype: Float32Array;
+ new (length: number): Float32Array;
+ new (array: ArrayLike<number> | ArrayBufferLike): Float32Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Float32Array;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Float32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Float32Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Float32Array;
+}
+declare var Float32Array: Float32ArrayConstructor;
+
+/**
+ * A typed array of 64-bit float values. The contents are initialized to 0. If the requested
+ * number of bytes could not be allocated an exception is raised.
+ */
+interface Float64Array {
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * The ArrayBuffer instance referenced by the array.
+ */
+ readonly buffer: ArrayBufferLike;
+
+ /**
+ * The length in bytes of the array.
+ */
+ readonly byteLength: number;
+
+ /**
+ * The offset in bytes of the array.
+ */
+ readonly byteOffset: number;
+
+ /**
+ * Returns the this object after copying a section of the array identified by start and end
+ * to the same array starting at position target
+ * @param target If target is negative, it is treated as length+target where length is the
+ * length of the array.
+ * @param start If start is negative, it is treated as length+start. If end is negative, it
+ * is treated as length+end.
+ * @param end If not specified, length of the this object is used as its default value.
+ */
+ copyWithin(target: number, start: number, end?: number): this;
+
+ /**
+ * Determines whether all the members of an array satisfy the specified test.
+ * @param predicate A function that accepts up to three arguments. The every method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value false, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ every(predicate: (value: number, index: number, array: Float64Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Changes all array elements from \`start\` to \`end\` index to a static \`value\` and returns the modified array
+ * @param value value to fill array section with
+ * @param start index to start filling the array at. If start is negative, it is treated as
+ * length+start where length is the length of the array.
+ * @param end index to stop filling the array at. If end is negative, it is treated as
+ * length+end.
+ */
+ fill(value: number, start?: number, end?: number): this;
+
+ /**
+ * Returns the elements of an array that meet the condition specified in a callback function.
+ * @param predicate A function that accepts up to three arguments. The filter method calls
+ * the predicate function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ filter(predicate: (value: number, index: number, array: Float64Array) => any, thisArg?: any): Float64Array;
+
+ /**
+ * Returns the value of the first element in the array where predicate is true, and undefined
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found, find
+ * immediately returns that element value. Otherwise, find returns undefined.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ find(predicate: (value: number, index: number, obj: Float64Array) => boolean, thisArg?: any): number | undefined;
+
+ /**
+ * Returns the index of the first element in the array where predicate is true, and -1
+ * otherwise.
+ * @param predicate find calls predicate once for each element of the array, in ascending
+ * order, until it finds one where predicate returns true. If such an element is found,
+ * findIndex immediately returns that element index. Otherwise, findIndex returns -1.
+ * @param thisArg If provided, it will be used as the this value for each invocation of
+ * predicate. If it is not provided, undefined is used instead.
+ */
+ findIndex(predicate: (value: number, index: number, obj: Float64Array) => boolean, thisArg?: any): number;
+
+ /**
+ * Performs the specified action for each element in an array.
+ * @param callbackfn A function that accepts up to three arguments. forEach calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ forEach(callbackfn: (value: number, index: number, array: Float64Array) => void, thisArg?: any): void;
+
+ /**
+ * Returns the index of the first occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ indexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * Adds all the elements of an array separated by the specified separator string.
+ * @param separator A string used to separate one element of an array from the next in the
+ * resulting String. If omitted, the array elements are separated with a comma.
+ */
+ join(separator?: string): string;
+
+ /**
+ * Returns the index of the last occurrence of a value in an array.
+ * @param searchElement The value to locate in the array.
+ * @param fromIndex The array index at which to begin the search. If fromIndex is omitted, the
+ * search starts at index 0.
+ */
+ lastIndexOf(searchElement: number, fromIndex?: number): number;
+
+ /**
+ * The length of the array.
+ */
+ readonly length: number;
+
+ /**
+ * Calls a defined callback function on each element of an array, and returns an array that
+ * contains the results.
+ * @param callbackfn A function that accepts up to three arguments. The map method calls the
+ * callbackfn function one time for each element in the array.
+ * @param thisArg An object to which the this keyword can refer in the callbackfn function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ map(callbackfn: (value: number, index: number, array: Float64Array) => number, thisArg?: any): Float64Array;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Float64Array) => number): number;
+ reduce(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Float64Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array. The return value of
+ * the callback function is the accumulated result, and is provided as an argument in the next
+ * call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduce method calls the
+ * callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduce<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Float64Array) => U, initialValue: U): U;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an
+ * argument instead of an array value.
+ */
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Float64Array) => number): number;
+ reduceRight(callbackfn: (previousValue: number, currentValue: number, currentIndex: number, array: Float64Array) => number, initialValue: number): number;
+
+ /**
+ * Calls the specified callback function for all the elements in an array, in descending order.
+ * The return value of the callback function is the accumulated result, and is provided as an
+ * argument in the next call to the callback function.
+ * @param callbackfn A function that accepts up to four arguments. The reduceRight method calls
+ * the callbackfn function one time for each element in the array.
+ * @param initialValue If initialValue is specified, it is used as the initial value to start
+ * the accumulation. The first call to the callbackfn function provides this value as an argument
+ * instead of an array value.
+ */
+ reduceRight<U>(callbackfn: (previousValue: U, currentValue: number, currentIndex: number, array: Float64Array) => U, initialValue: U): U;
+
+ /**
+ * Reverses the elements in an Array.
+ */
+ reverse(): Float64Array;
+
+ /**
+ * Sets a value or an array of values.
+ * @param array A typed or untyped array of values to set.
+ * @param offset The index in the current array at which the values are to be written.
+ */
+ set(array: ArrayLike<number>, offset?: number): void;
+
+ /**
+ * Returns a section of an array.
+ * @param start The beginning of the specified portion of the array.
+ * @param end The end of the specified portion of the array. This is exclusive of the element at the index 'end'.
+ */
+ slice(start?: number, end?: number): Float64Array;
+
+ /**
+ * Determines whether the specified callback function returns true for any element of an array.
+ * @param predicate A function that accepts up to three arguments. The some method calls
+ * the predicate function for each element in the array until the predicate returns a value
+ * which is coercible to the Boolean value true, or until the end of the array.
+ * @param thisArg An object to which the this keyword can refer in the predicate function.
+ * If thisArg is omitted, undefined is used as the this value.
+ */
+ some(predicate: (value: number, index: number, array: Float64Array) => unknown, thisArg?: any): boolean;
+
+ /**
+ * Sorts an array.
+ * @param compareFn Function used to determine the order of the elements. It is expected to return
+ * a negative value if first argument is less than second argument, zero if they're equal and a positive
+ * value otherwise. If omitted, the elements are sorted in ascending order.
+ * \`\`\`ts
+ * [11,2,22,1].sort((a, b) => a - b)
+ * \`\`\`
+ */
+ sort(compareFn?: (a: number, b: number) => number): this;
+
+ /**
+ * Gets a new Float64Array view of the ArrayBuffer store for this array, referencing the elements
+ * at begin, inclusive, up to end, exclusive.
+ * @param begin The index of the beginning of the array.
+ * @param end The index of the end of the array.
+ */
+ subarray(begin?: number, end?: number): Float64Array;
+
+ /**
+ * Converts a number to a string by using the current locale.
+ */
+ toLocaleString(): string;
+
+ /**
+ * Returns a string representation of an array.
+ */
+ toString(): string;
+
+ /** Returns the primitive value of the specified object. */
+ valueOf(): Float64Array;
+
+ [index: number]: number;
+}
+
+interface Float64ArrayConstructor {
+ readonly prototype: Float64Array;
+ new (length: number): Float64Array;
+ new (array: ArrayLike<number> | ArrayBufferLike): Float64Array;
+ new (buffer: ArrayBufferLike, byteOffset?: number, length?: number): Float64Array;
+
+ /**
+ * The size in bytes of each element in the array.
+ */
+ readonly BYTES_PER_ELEMENT: number;
+
+ /**
+ * Returns a new array from a set of elements.
+ * @param items A set of elements to include in the new array object.
+ */
+ of(...items: number[]): Float64Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ */
+ from(arrayLike: ArrayLike<number>): Float64Array;
+
+ /**
+ * Creates an array from an array-like or iterable object.
+ * @param arrayLike An array-like or iterable object to convert to an array.
+ * @param mapfn A mapping function to call on every element of the array.
+ * @param thisArg Value of 'this' used to invoke the mapfn.
+ */
+ from<T>(arrayLike: ArrayLike<T>, mapfn: (v: T, k: number) => number, thisArg?: any): Float64Array;
+}
+declare var Float64Array: Float64ArrayConstructor;
+
+/////////////////////////////
+/// ECMAScript Internationalization API
+/////////////////////////////
+
+declare namespace Intl {
+ interface CollatorOptions {
+ usage?: "sort" | "search" | undefined;
+ localeMatcher?: "lookup" | "best fit" | undefined;
+ numeric?: boolean | undefined;
+ caseFirst?: "upper" | "lower" | "false" | undefined;
+ sensitivity?: "base" | "accent" | "case" | "variant" | undefined;
+ collation?: "big5han" | "compat" | "dict" | "direct" | "ducet" | "emoji" | "eor" | "gb2312" | "phonebk" | "phonetic" | "pinyin" | "reformed" | "searchjl" | "stroke" | "trad" | "unihan" | "zhuyin" | undefined;
+ ignorePunctuation?: boolean | undefined;
+ }
+
+ interface ResolvedCollatorOptions {
+ locale: string;
+ usage: string;
+ sensitivity: string;
+ ignorePunctuation: boolean;
+ collation: string;
+ caseFirst: string;
+ numeric: boolean;
+ }
+
+ interface Collator {
+ compare(x: string, y: string): number;
+ resolvedOptions(): ResolvedCollatorOptions;
+ }
+
+ interface CollatorConstructor {
+ new (locales?: string | string[], options?: CollatorOptions): Collator;
+ (locales?: string | string[], options?: CollatorOptions): Collator;
+ supportedLocalesOf(locales: string | string[], options?: CollatorOptions): string[];
+ }
+
+ var Collator: CollatorConstructor;
+
+ interface NumberFormatOptions {
+ localeMatcher?: string | undefined;
+ style?: string | undefined;
+ currency?: string | undefined;
+ currencySign?: string | undefined;
+ useGrouping?: boolean | undefined;
+ minimumIntegerDigits?: number | undefined;
+ minimumFractionDigits?: number | undefined;
+ maximumFractionDigits?: number | undefined;
+ minimumSignificantDigits?: number | undefined;
+ maximumSignificantDigits?: number | undefined;
+ }
+
+ interface ResolvedNumberFormatOptions {
+ locale: string;
+ numberingSystem: string;
+ style: string;
+ currency?: string;
+ minimumIntegerDigits: number;
+ minimumFractionDigits: number;
+ maximumFractionDigits: number;
+ minimumSignificantDigits?: number;
+ maximumSignificantDigits?: number;
+ useGrouping: boolean;
+ }
+
+ interface NumberFormat {
+ format(value: number): string;
+ resolvedOptions(): ResolvedNumberFormatOptions;
+ }
+
+ interface NumberFormatConstructor {
+ new (locales?: string | string[], options?: NumberFormatOptions): NumberFormat;
+ (locales?: string | string[], options?: NumberFormatOptions): NumberFormat;
+ supportedLocalesOf(locales: string | string[], options?: NumberFormatOptions): string[];
+ readonly prototype: NumberFormat;
+ }
+
+ var NumberFormat: NumberFormatConstructor;
+
+ interface DateTimeFormatOptions {
+ localeMatcher?: "best fit" | "lookup" | undefined;
+ weekday?: "long" | "short" | "narrow" | undefined;
+ era?: "long" | "short" | "narrow" | undefined;
+ year?: "numeric" | "2-digit" | undefined;
+ month?: "numeric" | "2-digit" | "long" | "short" | "narrow" | undefined;
+ day?: "numeric" | "2-digit" | undefined;
+ hour?: "numeric" | "2-digit" | undefined;
+ minute?: "numeric" | "2-digit" | undefined;
+ second?: "numeric" | "2-digit" | undefined;
+ timeZoneName?: "short" | "long" | "shortOffset" | "longOffset" | "shortGeneric" | "longGeneric" | undefined;
+ formatMatcher?: "best fit" | "basic" | undefined;
+ hour12?: boolean | undefined;
+ timeZone?: string | undefined;
+ }
+
+ interface ResolvedDateTimeFormatOptions {
+ locale: string;
+ calendar: string;
+ numberingSystem: string;
+ timeZone: string;
+ hour12?: boolean;
+ weekday?: string;
+ era?: string;
+ year?: string;
+ month?: string;
+ day?: string;
+ hour?: string;
+ minute?: string;
+ second?: string;
+ timeZoneName?: string;
+ }
+
+ interface DateTimeFormat {
+ format(date?: Date | number): string;
+ resolvedOptions(): ResolvedDateTimeFormatOptions;
+ }
+
+ interface DateTimeFormatConstructor {
+ new (locales?: string | string[], options?: DateTimeFormatOptions): DateTimeFormat;
+ (locales?: string | string[], options?: DateTimeFormatOptions): DateTimeFormat;
+ supportedLocalesOf(locales: string | string[], options?: DateTimeFormatOptions): string[];
+ readonly prototype: DateTimeFormat;
+ }
+
+ var DateTimeFormat: DateTimeFormatConstructor;
+}
+
+interface String {
+ /**
+ * Determines whether two strings are equivalent in the current or specified locale.
+ * @param that String to compare to target string
+ * @param locales A locale string or array of locale strings that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used. This parameter must conform to BCP 47 standards; see the Intl.Collator object for details.
+ * @param options An object that contains one or more properties that specify comparison options. see the Intl.Collator object for details.
+ */
+ localeCompare(that: string, locales?: string | string[], options?: Intl.CollatorOptions): number;
+}
+
+interface Number {
+ /**
+ * Converts a number to a string by using the current or specified locale.
+ * @param locales A locale string or array of locale strings that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used.
+ * @param options An object that contains one or more properties that specify comparison options.
+ */
+ toLocaleString(locales?: string | string[], options?: Intl.NumberFormatOptions): string;
+}
+
+interface Date {
+ /**
+ * Converts a date and time to a string by using the current or specified locale.
+ * @param locales A locale string or array of locale strings that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used.
+ * @param options An object that contains one or more properties that specify comparison options.
+ */
+ toLocaleString(locales?: string | string[], options?: Intl.DateTimeFormatOptions): string;
+ /**
+ * Converts a date to a string by using the current or specified locale.
+ * @param locales A locale string or array of locale strings that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used.
+ * @param options An object that contains one or more properties that specify comparison options.
+ */
+ toLocaleDateString(locales?: string | string[], options?: Intl.DateTimeFormatOptions): string;
+
+ /**
+ * Converts a time to a string by using the current or specified locale.
+ * @param locales A locale string or array of locale strings that contain one or more language or locale tags. If you include more than one locale string, list them in descending order of priority so that the first entry is the preferred locale. If you omit this parameter, the default locale of the JavaScript runtime is used.
+ * @param options An object that contains one or more properties that specify comparison options.
+ */
+ toLocaleTimeString(locales?: string | string[], options?: Intl.DateTimeFormatOptions): string;
+}
+`,lo["lib.es6.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015" />
+/// <reference lib="dom" />
+/// <reference lib="dom.iterable" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+`,lo["lib.esnext.collection.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface MapConstructor {
+ /**
+ * Groups members of an iterable according to the return value of the passed callback.
+ * @param items An iterable.
+ * @param keySelector A callback which will be invoked for each item in items.
+ */
+ groupBy<K, T>(
+ items: Iterable<T>,
+ keySelector: (item: T, index: number) => K,
+ ): Map<K, T[]>;
+}
+`,lo["lib.esnext.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2023" />
+/// <reference lib="esnext.intl" />
+/// <reference lib="esnext.decorators" />
+/// <reference lib="esnext.disposable" />
+/// <reference lib="esnext.promise" />
+/// <reference lib="esnext.object" />
+/// <reference lib="esnext.collection" />
+`,lo["lib.esnext.decorators.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.symbol" />
+/// <reference lib="decorators" />
+
+interface SymbolConstructor {
+ readonly metadata: unique symbol;
+}
+
+interface Function {
+ [Symbol.metadata]: DecoratorMetadata | null;
+}
+`,lo["lib.esnext.disposable.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="es2015.symbol" />
+
+interface SymbolConstructor {
+ /**
+ * A method that is used to release resources held by an object. Called by the semantics of the \`using\` statement.
+ */
+ readonly dispose: unique symbol;
+
+ /**
+ * A method that is used to asynchronously release resources held by an object. Called by the semantics of the \`await using\` statement.
+ */
+ readonly asyncDispose: unique symbol;
+}
+
+interface Disposable {
+ [Symbol.dispose](): void;
+}
+
+interface AsyncDisposable {
+ [Symbol.asyncDispose](): PromiseLike<void>;
+}
+
+interface SuppressedError extends Error {
+ error: any;
+ suppressed: any;
+}
+
+interface SuppressedErrorConstructor {
+ new (error: any, suppressed: any, message?: string): SuppressedError;
+ (error: any, suppressed: any, message?: string): SuppressedError;
+ readonly prototype: SuppressedError;
+}
+declare var SuppressedError: SuppressedErrorConstructor;
+
+interface DisposableStack {
+ /**
+ * Returns a value indicating whether this stack has been disposed.
+ */
+ readonly disposed: boolean;
+ /**
+ * Disposes each resource in the stack in the reverse order that they were added.
+ */
+ dispose(): void;
+ /**
+ * Adds a disposable resource to the stack, returning the resource.
+ * @param value The resource to add. \`null\` and \`undefined\` will not be added, but will be returned.
+ * @returns The provided {@link value}.
+ */
+ use<T extends Disposable | null | undefined>(value: T): T;
+ /**
+ * Adds a value and associated disposal callback as a resource to the stack.
+ * @param value The value to add.
+ * @param onDispose The callback to use in place of a \`[Symbol.dispose]()\` method. Will be invoked with \`value\`
+ * as the first parameter.
+ * @returns The provided {@link value}.
+ */
+ adopt<T>(value: T, onDispose: (value: T) => void): T;
+ /**
+ * Adds a callback to be invoked when the stack is disposed.
+ */
+ defer(onDispose: () => void): void;
+ /**
+ * Move all resources out of this stack and into a new \`DisposableStack\`, and marks this stack as disposed.
+ * @example
+ * \`\`\`ts
+ * class C {
+ * #res1: Disposable;
+ * #res2: Disposable;
+ * #disposables: DisposableStack;
+ * constructor() {
+ * // stack will be disposed when exiting constructor for any reason
+ * using stack = new DisposableStack();
+ *
+ * // get first resource
+ * this.#res1 = stack.use(getResource1());
+ *
+ * // get second resource. If this fails, both \`stack\` and \`#res1\` will be disposed.
+ * this.#res2 = stack.use(getResource2());
+ *
+ * // all operations succeeded, move resources out of \`stack\` so that they aren't disposed
+ * // when constructor exits
+ * this.#disposables = stack.move();
+ * }
+ *
+ * [Symbol.dispose]() {
+ * this.#disposables.dispose();
+ * }
+ * }
+ * \`\`\`
+ */
+ move(): DisposableStack;
+ [Symbol.dispose](): void;
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface DisposableStackConstructor {
+ new (): DisposableStack;
+ readonly prototype: DisposableStack;
+}
+declare var DisposableStack: DisposableStackConstructor;
+
+interface AsyncDisposableStack {
+ /**
+ * Returns a value indicating whether this stack has been disposed.
+ */
+ readonly disposed: boolean;
+ /**
+ * Disposes each resource in the stack in the reverse order that they were added.
+ */
+ disposeAsync(): Promise<void>;
+ /**
+ * Adds a disposable resource to the stack, returning the resource.
+ * @param value The resource to add. \`null\` and \`undefined\` will not be added, but will be returned.
+ * @returns The provided {@link value}.
+ */
+ use<T extends AsyncDisposable | Disposable | null | undefined>(value: T): T;
+ /**
+ * Adds a value and associated disposal callback as a resource to the stack.
+ * @param value The value to add.
+ * @param onDisposeAsync The callback to use in place of a \`[Symbol.asyncDispose]()\` method. Will be invoked with \`value\`
+ * as the first parameter.
+ * @returns The provided {@link value}.
+ */
+ adopt<T>(value: T, onDisposeAsync: (value: T) => PromiseLike<void> | void): T;
+ /**
+ * Adds a callback to be invoked when the stack is disposed.
+ */
+ defer(onDisposeAsync: () => PromiseLike<void> | void): void;
+ /**
+ * Move all resources out of this stack and into a new \`DisposableStack\`, and marks this stack as disposed.
+ * @example
+ * \`\`\`ts
+ * class C {
+ * #res1: Disposable;
+ * #res2: Disposable;
+ * #disposables: DisposableStack;
+ * constructor() {
+ * // stack will be disposed when exiting constructor for any reason
+ * using stack = new DisposableStack();
+ *
+ * // get first resource
+ * this.#res1 = stack.use(getResource1());
+ *
+ * // get second resource. If this fails, both \`stack\` and \`#res1\` will be disposed.
+ * this.#res2 = stack.use(getResource2());
+ *
+ * // all operations succeeded, move resources out of \`stack\` so that they aren't disposed
+ * // when constructor exits
+ * this.#disposables = stack.move();
+ * }
+ *
+ * [Symbol.dispose]() {
+ * this.#disposables.dispose();
+ * }
+ * }
+ * \`\`\`
+ */
+ move(): AsyncDisposableStack;
+ [Symbol.asyncDispose](): Promise<void>;
+ readonly [Symbol.toStringTag]: string;
+}
+
+interface AsyncDisposableStackConstructor {
+ new (): AsyncDisposableStack;
+ readonly prototype: AsyncDisposableStack;
+}
+declare var AsyncDisposableStack: AsyncDisposableStackConstructor;
+`,lo["lib.esnext.full.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/// <reference lib="esnext" />
+/// <reference lib="dom" />
+/// <reference lib="webworker.importscripts" />
+/// <reference lib="scripthost" />
+/// <reference lib="dom.iterable" />
+/// <reference lib="dom.asynciterable" />
+`,lo["lib.esnext.intl.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+declare namespace Intl {
+ interface NumberRangeFormatPart extends NumberFormatPart {
+ source: "startRange" | "endRange" | "shared";
+ }
+
+ interface NumberFormat {
+ formatRange(start: number | bigint, end: number | bigint): string;
+ formatRangeToParts(start: number | bigint, end: number | bigint): NumberRangeFormatPart[];
+ }
+}
+`,lo["lib.esnext.object.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface ObjectConstructor {
+ /**
+ * Groups members of an iterable according to the return value of the passed callback.
+ * @param items An iterable.
+ * @param keySelector A callback which will be invoked for each item in items.
+ */
+ groupBy<K extends PropertyKey, T>(
+ items: Iterable<T>,
+ keySelector: (item: T, index: number) => K,
+ ): Partial<Record<K, T[]>>;
+}
+`,lo["lib.esnext.promise.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+interface PromiseWithResolvers<T> {
+ promise: Promise<T>;
+ resolve: (value: T | PromiseLike<T>) => void;
+ reject: (reason?: any) => void;
+}
+
+interface PromiseConstructor {
+ /**
+ * Creates a new Promise and returns it in an object, along with its resolve and reject functions.
+ * @returns An object with the properties \`promise\`, \`resolve\`, and \`reject\`.
+ *
+ * \`\`\`ts
+ * const { promise, resolve, reject } = Promise.withResolvers<T>();
+ * \`\`\`
+ */
+ withResolvers<T>(): PromiseWithResolvers<T>;
+}
+`,lo["lib.scripthost.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/////////////////////////////
+/// Windows Script Host APIS
+/////////////////////////////
+
+interface ActiveXObject {
+ new (s: string): any;
+}
+declare var ActiveXObject: ActiveXObject;
+
+interface ITextWriter {
+ Write(s: string): void;
+ WriteLine(s: string): void;
+ Close(): void;
+}
+
+interface TextStreamBase {
+ /**
+ * The column number of the current character position in an input stream.
+ */
+ Column: number;
+
+ /**
+ * The current line number in an input stream.
+ */
+ Line: number;
+
+ /**
+ * Closes a text stream.
+ * It is not necessary to close standard streams; they close automatically when the process ends. If
+ * you close a standard stream, be aware that any other pointers to that standard stream become invalid.
+ */
+ Close(): void;
+}
+
+interface TextStreamWriter extends TextStreamBase {
+ /**
+ * Sends a string to an output stream.
+ */
+ Write(s: string): void;
+
+ /**
+ * Sends a specified number of blank lines (newline characters) to an output stream.
+ */
+ WriteBlankLines(intLines: number): void;
+
+ /**
+ * Sends a string followed by a newline character to an output stream.
+ */
+ WriteLine(s: string): void;
+}
+
+interface TextStreamReader extends TextStreamBase {
+ /**
+ * Returns a specified number of characters from an input stream, starting at the current pointer position.
+ * Does not return until the ENTER key is pressed.
+ * Can only be used on a stream in reading mode; causes an error in writing or appending mode.
+ */
+ Read(characters: number): string;
+
+ /**
+ * Returns all characters from an input stream.
+ * Can only be used on a stream in reading mode; causes an error in writing or appending mode.
+ */
+ ReadAll(): string;
+
+ /**
+ * Returns an entire line from an input stream.
+ * Although this method extracts the newline character, it does not add it to the returned string.
+ * Can only be used on a stream in reading mode; causes an error in writing or appending mode.
+ */
+ ReadLine(): string;
+
+ /**
+ * Skips a specified number of characters when reading from an input text stream.
+ * Can only be used on a stream in reading mode; causes an error in writing or appending mode.
+ * @param characters Positive number of characters to skip forward. (Backward skipping is not supported.)
+ */
+ Skip(characters: number): void;
+
+ /**
+ * Skips the next line when reading from an input text stream.
+ * Can only be used on a stream in reading mode, not writing or appending mode.
+ */
+ SkipLine(): void;
+
+ /**
+ * Indicates whether the stream pointer position is at the end of a line.
+ */
+ AtEndOfLine: boolean;
+
+ /**
+ * Indicates whether the stream pointer position is at the end of a stream.
+ */
+ AtEndOfStream: boolean;
+}
+
+declare var WScript: {
+ /**
+ * Outputs text to either a message box (under WScript.exe) or the command console window followed by
+ * a newline (under CScript.exe).
+ */
+ Echo(s: any): void;
+
+ /**
+ * Exposes the write-only error output stream for the current script.
+ * Can be accessed only while using CScript.exe.
+ */
+ StdErr: TextStreamWriter;
+
+ /**
+ * Exposes the write-only output stream for the current script.
+ * Can be accessed only while using CScript.exe.
+ */
+ StdOut: TextStreamWriter;
+ Arguments: { length: number; Item(n: number): string; };
+
+ /**
+ * The full path of the currently running script.
+ */
+ ScriptFullName: string;
+
+ /**
+ * Forces the script to stop immediately, with an optional exit code.
+ */
+ Quit(exitCode?: number): number;
+
+ /**
+ * The Windows Script Host build version number.
+ */
+ BuildVersion: number;
+
+ /**
+ * Fully qualified path of the host executable.
+ */
+ FullName: string;
+
+ /**
+ * Gets/sets the script mode - interactive(true) or batch(false).
+ */
+ Interactive: boolean;
+
+ /**
+ * The name of the host executable (WScript.exe or CScript.exe).
+ */
+ Name: string;
+
+ /**
+ * Path of the directory containing the host executable.
+ */
+ Path: string;
+
+ /**
+ * The filename of the currently running script.
+ */
+ ScriptName: string;
+
+ /**
+ * Exposes the read-only input stream for the current script.
+ * Can be accessed only while using CScript.exe.
+ */
+ StdIn: TextStreamReader;
+
+ /**
+ * Windows Script Host version
+ */
+ Version: string;
+
+ /**
+ * Connects a COM object's event sources to functions named with a given prefix, in the form prefix_event.
+ */
+ ConnectObject(objEventSource: any, strPrefix: string): void;
+
+ /**
+ * Creates a COM object.
+ * @param strProgiID
+ * @param strPrefix Function names in the form prefix_event will be bound to this object's COM events.
+ */
+ CreateObject(strProgID: string, strPrefix?: string): any;
+
+ /**
+ * Disconnects a COM object from its event sources.
+ */
+ DisconnectObject(obj: any): void;
+
+ /**
+ * Retrieves an existing object with the specified ProgID from memory, or creates a new one from a file.
+ * @param strPathname Fully qualified path to the file containing the object persisted to disk.
+ * For objects in memory, pass a zero-length string.
+ * @param strProgID
+ * @param strPrefix Function names in the form prefix_event will be bound to this object's COM events.
+ */
+ GetObject(strPathname: string, strProgID?: string, strPrefix?: string): any;
+
+ /**
+ * Suspends script execution for a specified length of time, then continues execution.
+ * @param intTime Interval (in milliseconds) to suspend script execution.
+ */
+ Sleep(intTime: number): void;
+};
+
+/**
+ * WSH is an alias for WScript under Windows Script Host
+ */
+declare var WSH: typeof WScript;
+
+/**
+ * Represents an Automation SAFEARRAY
+ */
+declare class SafeArray<T = any> {
+ private constructor();
+ private SafeArray_typekey: SafeArray<T>;
+}
+
+/**
+ * Allows enumerating over a COM collection, which may not have indexed item access.
+ */
+interface Enumerator<T = any> {
+ /**
+ * Returns true if the current item is the last one in the collection, or the collection is empty,
+ * or the current item is undefined.
+ */
+ atEnd(): boolean;
+
+ /**
+ * Returns the current item in the collection
+ */
+ item(): T;
+
+ /**
+ * Resets the current item in the collection to the first item. If there are no items in the collection,
+ * the current item is set to undefined.
+ */
+ moveFirst(): void;
+
+ /**
+ * Moves the current item to the next item in the collection. If the enumerator is at the end of
+ * the collection or the collection is empty, the current item is set to undefined.
+ */
+ moveNext(): void;
+}
+
+interface EnumeratorConstructor {
+ new <T = any>(safearray: SafeArray<T>): Enumerator<T>;
+ new <T = any>(collection: { Item(index: any): T; }): Enumerator<T>;
+ new <T = any>(collection: any): Enumerator<T>;
+}
+
+declare var Enumerator: EnumeratorConstructor;
+
+/**
+ * Enables reading from a COM safe array, which might have an alternate lower bound, or multiple dimensions.
+ */
+interface VBArray<T = any> {
+ /**
+ * Returns the number of dimensions (1-based).
+ */
+ dimensions(): number;
+
+ /**
+ * Takes an index for each dimension in the array, and returns the item at the corresponding location.
+ */
+ getItem(dimension1Index: number, ...dimensionNIndexes: number[]): T;
+
+ /**
+ * Returns the smallest available index for a given dimension.
+ * @param dimension 1-based dimension (defaults to 1)
+ */
+ lbound(dimension?: number): number;
+
+ /**
+ * Returns the largest available index for a given dimension.
+ * @param dimension 1-based dimension (defaults to 1)
+ */
+ ubound(dimension?: number): number;
+
+ /**
+ * Returns a Javascript array with all the elements in the VBArray. If there are multiple dimensions,
+ * each successive dimension is appended to the end of the array.
+ * Example: [[1,2,3],[4,5,6]] becomes [1,2,3,4,5,6]
+ */
+ toArray(): T[];
+}
+
+interface VBArrayConstructor {
+ new <T = any>(safeArray: SafeArray<T>): VBArray<T>;
+}
+
+declare var VBArray: VBArrayConstructor;
+
+/**
+ * Automation date (VT_DATE)
+ */
+declare class VarDate {
+ private constructor();
+ private VarDate_typekey: VarDate;
+}
+
+interface DateConstructor {
+ new (vd: VarDate): Date;
+}
+
+interface Date {
+ getVarDate: () => VarDate;
+}
+`,lo["lib.webworker.asynciterable.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/////////////////////////////
+/// Worker Async Iterable APIs
+/////////////////////////////
+
+interface FileSystemDirectoryHandle {
+ [Symbol.asyncIterator](): AsyncIterableIterator<[string, FileSystemHandle]>;
+ entries(): AsyncIterableIterator<[string, FileSystemHandle]>;
+ keys(): AsyncIterableIterator<string>;
+ values(): AsyncIterableIterator<FileSystemHandle>;
+}
+`,lo["lib.webworker.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/////////////////////////////
+/// Worker APIs
+/////////////////////////////
+
+interface AddEventListenerOptions extends EventListenerOptions {
+ once?: boolean;
+ passive?: boolean;
+ signal?: AbortSignal;
+}
+
+interface AesCbcParams extends Algorithm {
+ iv: BufferSource;
+}
+
+interface AesCtrParams extends Algorithm {
+ counter: BufferSource;
+ length: number;
+}
+
+interface AesDerivedKeyParams extends Algorithm {
+ length: number;
+}
+
+interface AesGcmParams extends Algorithm {
+ additionalData?: BufferSource;
+ iv: BufferSource;
+ tagLength?: number;
+}
+
+interface AesKeyAlgorithm extends KeyAlgorithm {
+ length: number;
+}
+
+interface AesKeyGenParams extends Algorithm {
+ length: number;
+}
+
+interface Algorithm {
+ name: string;
+}
+
+interface AudioConfiguration {
+ bitrate?: number;
+ channels?: string;
+ contentType: string;
+ samplerate?: number;
+ spatialRendering?: boolean;
+}
+
+interface AvcEncoderConfig {
+ format?: AvcBitstreamFormat;
+}
+
+interface BlobPropertyBag {
+ endings?: EndingType;
+ type?: string;
+}
+
+interface CSSMatrixComponentOptions {
+ is2D?: boolean;
+}
+
+interface CSSNumericType {
+ angle?: number;
+ flex?: number;
+ frequency?: number;
+ length?: number;
+ percent?: number;
+ percentHint?: CSSNumericBaseType;
+ resolution?: number;
+ time?: number;
+}
+
+interface CacheQueryOptions {
+ ignoreMethod?: boolean;
+ ignoreSearch?: boolean;
+ ignoreVary?: boolean;
+}
+
+interface ClientQueryOptions {
+ includeUncontrolled?: boolean;
+ type?: ClientTypes;
+}
+
+interface CloseEventInit extends EventInit {
+ code?: number;
+ reason?: string;
+ wasClean?: boolean;
+}
+
+interface CryptoKeyPair {
+ privateKey: CryptoKey;
+ publicKey: CryptoKey;
+}
+
+interface CustomEventInit<T = any> extends EventInit {
+ detail?: T;
+}
+
+interface DOMMatrix2DInit {
+ a?: number;
+ b?: number;
+ c?: number;
+ d?: number;
+ e?: number;
+ f?: number;
+ m11?: number;
+ m12?: number;
+ m21?: number;
+ m22?: number;
+ m41?: number;
+ m42?: number;
+}
+
+interface DOMMatrixInit extends DOMMatrix2DInit {
+ is2D?: boolean;
+ m13?: number;
+ m14?: number;
+ m23?: number;
+ m24?: number;
+ m31?: number;
+ m32?: number;
+ m33?: number;
+ m34?: number;
+ m43?: number;
+ m44?: number;
+}
+
+interface DOMPointInit {
+ w?: number;
+ x?: number;
+ y?: number;
+ z?: number;
+}
+
+interface DOMQuadInit {
+ p1?: DOMPointInit;
+ p2?: DOMPointInit;
+ p3?: DOMPointInit;
+ p4?: DOMPointInit;
+}
+
+interface DOMRectInit {
+ height?: number;
+ width?: number;
+ x?: number;
+ y?: number;
+}
+
+interface EcKeyGenParams extends Algorithm {
+ namedCurve: NamedCurve;
+}
+
+interface EcKeyImportParams extends Algorithm {
+ namedCurve: NamedCurve;
+}
+
+interface EcdhKeyDeriveParams extends Algorithm {
+ public: CryptoKey;
+}
+
+interface EcdsaParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+}
+
+interface EncodedVideoChunkInit {
+ data: AllowSharedBufferSource;
+ duration?: number;
+ timestamp: number;
+ type: EncodedVideoChunkType;
+}
+
+interface EncodedVideoChunkMetadata {
+ decoderConfig?: VideoDecoderConfig;
+}
+
+interface ErrorEventInit extends EventInit {
+ colno?: number;
+ error?: any;
+ filename?: string;
+ lineno?: number;
+ message?: string;
+}
+
+interface EventInit {
+ bubbles?: boolean;
+ cancelable?: boolean;
+ composed?: boolean;
+}
+
+interface EventListenerOptions {
+ capture?: boolean;
+}
+
+interface EventSourceInit {
+ withCredentials?: boolean;
+}
+
+interface ExtendableEventInit extends EventInit {
+}
+
+interface ExtendableMessageEventInit extends ExtendableEventInit {
+ data?: any;
+ lastEventId?: string;
+ origin?: string;
+ ports?: MessagePort[];
+ source?: Client | ServiceWorker | MessagePort | null;
+}
+
+interface FetchEventInit extends ExtendableEventInit {
+ clientId?: string;
+ handled?: Promise<undefined>;
+ preloadResponse?: Promise<any>;
+ replacesClientId?: string;
+ request: Request;
+ resultingClientId?: string;
+}
+
+interface FilePropertyBag extends BlobPropertyBag {
+ lastModified?: number;
+}
+
+interface FileSystemCreateWritableOptions {
+ keepExistingData?: boolean;
+}
+
+interface FileSystemGetDirectoryOptions {
+ create?: boolean;
+}
+
+interface FileSystemGetFileOptions {
+ create?: boolean;
+}
+
+interface FileSystemReadWriteOptions {
+ at?: number;
+}
+
+interface FileSystemRemoveOptions {
+ recursive?: boolean;
+}
+
+interface FontFaceDescriptors {
+ ascentOverride?: string;
+ descentOverride?: string;
+ display?: FontDisplay;
+ featureSettings?: string;
+ lineGapOverride?: string;
+ stretch?: string;
+ style?: string;
+ unicodeRange?: string;
+ weight?: string;
+}
+
+interface FontFaceSetLoadEventInit extends EventInit {
+ fontfaces?: FontFace[];
+}
+
+interface GetNotificationOptions {
+ tag?: string;
+}
+
+interface HkdfParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+ info: BufferSource;
+ salt: BufferSource;
+}
+
+interface HmacImportParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+ length?: number;
+}
+
+interface HmacKeyGenParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+ length?: number;
+}
+
+interface IDBDatabaseInfo {
+ name?: string;
+ version?: number;
+}
+
+interface IDBIndexParameters {
+ multiEntry?: boolean;
+ unique?: boolean;
+}
+
+interface IDBObjectStoreParameters {
+ autoIncrement?: boolean;
+ keyPath?: string | string[] | null;
+}
+
+interface IDBTransactionOptions {
+ durability?: IDBTransactionDurability;
+}
+
+interface IDBVersionChangeEventInit extends EventInit {
+ newVersion?: number | null;
+ oldVersion?: number;
+}
+
+interface ImageBitmapOptions {
+ colorSpaceConversion?: ColorSpaceConversion;
+ imageOrientation?: ImageOrientation;
+ premultiplyAlpha?: PremultiplyAlpha;
+ resizeHeight?: number;
+ resizeQuality?: ResizeQuality;
+ resizeWidth?: number;
+}
+
+interface ImageBitmapRenderingContextSettings {
+ alpha?: boolean;
+}
+
+interface ImageDataSettings {
+ colorSpace?: PredefinedColorSpace;
+}
+
+interface ImageEncodeOptions {
+ quality?: number;
+ type?: string;
+}
+
+interface ImportMeta {
+ url: string;
+}
+
+interface JsonWebKey {
+ alg?: string;
+ crv?: string;
+ d?: string;
+ dp?: string;
+ dq?: string;
+ e?: string;
+ ext?: boolean;
+ k?: string;
+ key_ops?: string[];
+ kty?: string;
+ n?: string;
+ oth?: RsaOtherPrimesInfo[];
+ p?: string;
+ q?: string;
+ qi?: string;
+ use?: string;
+ x?: string;
+ y?: string;
+}
+
+interface KeyAlgorithm {
+ name: string;
+}
+
+interface LockInfo {
+ clientId?: string;
+ mode?: LockMode;
+ name?: string;
+}
+
+interface LockManagerSnapshot {
+ held?: LockInfo[];
+ pending?: LockInfo[];
+}
+
+interface LockOptions {
+ ifAvailable?: boolean;
+ mode?: LockMode;
+ signal?: AbortSignal;
+ steal?: boolean;
+}
+
+interface MediaCapabilitiesDecodingInfo extends MediaCapabilitiesInfo {
+ configuration?: MediaDecodingConfiguration;
+}
+
+interface MediaCapabilitiesEncodingInfo extends MediaCapabilitiesInfo {
+ configuration?: MediaEncodingConfiguration;
+}
+
+interface MediaCapabilitiesInfo {
+ powerEfficient: boolean;
+ smooth: boolean;
+ supported: boolean;
+}
+
+interface MediaConfiguration {
+ audio?: AudioConfiguration;
+ video?: VideoConfiguration;
+}
+
+interface MediaDecodingConfiguration extends MediaConfiguration {
+ type: MediaDecodingType;
+}
+
+interface MediaEncodingConfiguration extends MediaConfiguration {
+ type: MediaEncodingType;
+}
+
+interface MessageEventInit<T = any> extends EventInit {
+ data?: T;
+ lastEventId?: string;
+ origin?: string;
+ ports?: MessagePort[];
+ source?: MessageEventSource | null;
+}
+
+interface MultiCacheQueryOptions extends CacheQueryOptions {
+ cacheName?: string;
+}
+
+interface NavigationPreloadState {
+ enabled?: boolean;
+ headerValue?: string;
+}
+
+interface NotificationEventInit extends ExtendableEventInit {
+ action?: string;
+ notification: Notification;
+}
+
+interface NotificationOptions {
+ badge?: string;
+ body?: string;
+ data?: any;
+ dir?: NotificationDirection;
+ icon?: string;
+ lang?: string;
+ requireInteraction?: boolean;
+ silent?: boolean | null;
+ tag?: string;
+}
+
+interface Pbkdf2Params extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+ iterations: number;
+ salt: BufferSource;
+}
+
+interface PerformanceMarkOptions {
+ detail?: any;
+ startTime?: DOMHighResTimeStamp;
+}
+
+interface PerformanceMeasureOptions {
+ detail?: any;
+ duration?: DOMHighResTimeStamp;
+ end?: string | DOMHighResTimeStamp;
+ start?: string | DOMHighResTimeStamp;
+}
+
+interface PerformanceObserverInit {
+ buffered?: boolean;
+ entryTypes?: string[];
+ type?: string;
+}
+
+interface PermissionDescriptor {
+ name: PermissionName;
+}
+
+interface PlaneLayout {
+ offset: number;
+ stride: number;
+}
+
+interface ProgressEventInit extends EventInit {
+ lengthComputable?: boolean;
+ loaded?: number;
+ total?: number;
+}
+
+interface PromiseRejectionEventInit extends EventInit {
+ promise: Promise<any>;
+ reason?: any;
+}
+
+interface PushEventInit extends ExtendableEventInit {
+ data?: PushMessageDataInit;
+}
+
+interface PushSubscriptionJSON {
+ endpoint?: string;
+ expirationTime?: EpochTimeStamp | null;
+ keys?: Record<string, string>;
+}
+
+interface PushSubscriptionOptionsInit {
+ applicationServerKey?: BufferSource | string | null;
+ userVisibleOnly?: boolean;
+}
+
+interface QueuingStrategy<T = any> {
+ highWaterMark?: number;
+ size?: QueuingStrategySize<T>;
+}
+
+interface QueuingStrategyInit {
+ /**
+ * Creates a new ByteLengthQueuingStrategy with the provided high water mark.
+ *
+ * Note that the provided high water mark will not be validated ahead of time. Instead, if it is negative, NaN, or not a number, the resulting ByteLengthQueuingStrategy will cause the corresponding stream constructor to throw.
+ */
+ highWaterMark: number;
+}
+
+interface RTCEncodedAudioFrameMetadata {
+ contributingSources?: number[];
+ payloadType?: number;
+ sequenceNumber?: number;
+ synchronizationSource?: number;
+}
+
+interface RTCEncodedVideoFrameMetadata {
+ contributingSources?: number[];
+ dependencies?: number[];
+ frameId?: number;
+ height?: number;
+ payloadType?: number;
+ spatialIndex?: number;
+ synchronizationSource?: number;
+ temporalIndex?: number;
+ timestamp?: number;
+ width?: number;
+}
+
+interface ReadableStreamGetReaderOptions {
+ /**
+ * Creates a ReadableStreamBYOBReader and locks the stream to the new reader.
+ *
+ * This call behaves the same way as the no-argument variant, except that it only works on readable byte streams, i.e. streams which were constructed specifically with the ability to handle "bring your own buffer" reading. The returned BYOB reader provides the ability to directly read individual chunks from the stream via its read() method, into developer-supplied buffers, allowing more precise control over allocation.
+ */
+ mode?: ReadableStreamReaderMode;
+}
+
+interface ReadableStreamReadDoneResult<T> {
+ done: true;
+ value?: T;
+}
+
+interface ReadableStreamReadValueResult<T> {
+ done: false;
+ value: T;
+}
+
+interface ReadableWritablePair<R = any, W = any> {
+ readable: ReadableStream<R>;
+ /**
+ * Provides a convenient, chainable way of piping this readable stream through a transform stream (or any other { writable, readable } pair). It simply pipes the stream into the writable side of the supplied pair, and returns the readable side for further use.
+ *
+ * Piping a stream will lock it for the duration of the pipe, preventing any other consumer from acquiring a reader.
+ */
+ writable: WritableStream<W>;
+}
+
+interface RegistrationOptions {
+ scope?: string;
+ type?: WorkerType;
+ updateViaCache?: ServiceWorkerUpdateViaCache;
+}
+
+interface ReportingObserverOptions {
+ buffered?: boolean;
+ types?: string[];
+}
+
+interface RequestInit {
+ /** A BodyInit object or null to set request's body. */
+ body?: BodyInit | null;
+ /** A string indicating how the request will interact with the browser's cache to set request's cache. */
+ cache?: RequestCache;
+ /** A string indicating whether credentials will be sent with the request always, never, or only when sent to a same-origin URL. Sets request's credentials. */
+ credentials?: RequestCredentials;
+ /** A Headers object, an object literal, or an array of two-item arrays to set request's headers. */
+ headers?: HeadersInit;
+ /** A cryptographic hash of the resource to be fetched by request. Sets request's integrity. */
+ integrity?: string;
+ /** A boolean to set request's keepalive. */
+ keepalive?: boolean;
+ /** A string to set request's method. */
+ method?: string;
+ /** A string to indicate whether the request will use CORS, or will be restricted to same-origin URLs. Sets request's mode. */
+ mode?: RequestMode;
+ priority?: RequestPriority;
+ /** A string indicating whether request follows redirects, results in an error upon encountering a redirect, or returns the redirect (in an opaque fashion). Sets request's redirect. */
+ redirect?: RequestRedirect;
+ /** A string whose value is a same-origin URL, "about:client", or the empty string, to set request's referrer. */
+ referrer?: string;
+ /** A referrer policy to set request's referrerPolicy. */
+ referrerPolicy?: ReferrerPolicy;
+ /** An AbortSignal to set request's signal. */
+ signal?: AbortSignal | null;
+ /** Can only be null. Used to disassociate request from any Window. */
+ window?: null;
+}
+
+interface ResponseInit {
+ headers?: HeadersInit;
+ status?: number;
+ statusText?: string;
+}
+
+interface RsaHashedImportParams extends Algorithm {
+ hash: HashAlgorithmIdentifier;
+}
+
+interface RsaHashedKeyGenParams extends RsaKeyGenParams {
+ hash: HashAlgorithmIdentifier;
+}
+
+interface RsaKeyGenParams extends Algorithm {
+ modulusLength: number;
+ publicExponent: BigInteger;
+}
+
+interface RsaOaepParams extends Algorithm {
+ label?: BufferSource;
+}
+
+interface RsaOtherPrimesInfo {
+ d?: string;
+ r?: string;
+ t?: string;
+}
+
+interface RsaPssParams extends Algorithm {
+ saltLength: number;
+}
+
+interface SecurityPolicyViolationEventInit extends EventInit {
+ blockedURI?: string;
+ columnNumber?: number;
+ disposition: SecurityPolicyViolationEventDisposition;
+ documentURI: string;
+ effectiveDirective: string;
+ lineNumber?: number;
+ originalPolicy: string;
+ referrer?: string;
+ sample?: string;
+ sourceFile?: string;
+ statusCode: number;
+ violatedDirective: string;
+}
+
+interface StorageEstimate {
+ quota?: number;
+ usage?: number;
+}
+
+interface StreamPipeOptions {
+ preventAbort?: boolean;
+ preventCancel?: boolean;
+ /**
+ * Pipes this readable stream to a given writable stream destination. The way in which the piping process behaves under various error conditions can be customized with a number of passed options. It returns a promise that fulfills when the piping process completes successfully, or rejects if any errors were encountered.
+ *
+ * Piping a stream will lock it for the duration of the pipe, preventing any other consumer from acquiring a reader.
+ *
+ * Errors and closures of the source and destination streams propagate as follows:
+ *
+ * An error in this source readable stream will abort destination, unless preventAbort is truthy. The returned promise will be rejected with the source's error, or with any error that occurs during aborting the destination.
+ *
+ * An error in destination will cancel this source readable stream, unless preventCancel is truthy. The returned promise will be rejected with the destination's error, or with any error that occurs during canceling the source.
+ *
+ * When this source readable stream closes, destination will be closed, unless preventClose is truthy. The returned promise will be fulfilled once this process completes, unless an error is encountered while closing the destination, in which case it will be rejected with that error.
+ *
+ * If destination starts out closed or closing, this source readable stream will be canceled, unless preventCancel is true. The returned promise will be rejected with an error indicating piping to a closed stream failed, or with any error that occurs during canceling the source.
+ *
+ * The signal option can be set to an AbortSignal to allow aborting an ongoing pipe operation via the corresponding AbortController. In this case, this source readable stream will be canceled, and destination aborted, unless the respective options preventCancel or preventAbort are set.
+ */
+ preventClose?: boolean;
+ signal?: AbortSignal;
+}
+
+interface StructuredSerializeOptions {
+ transfer?: Transferable[];
+}
+
+interface TextDecodeOptions {
+ stream?: boolean;
+}
+
+interface TextDecoderOptions {
+ fatal?: boolean;
+ ignoreBOM?: boolean;
+}
+
+interface TextEncoderEncodeIntoResult {
+ read: number;
+ written: number;
+}
+
+interface Transformer<I = any, O = any> {
+ flush?: TransformerFlushCallback<O>;
+ readableType?: undefined;
+ start?: TransformerStartCallback<O>;
+ transform?: TransformerTransformCallback<I, O>;
+ writableType?: undefined;
+}
+
+interface UnderlyingByteSource {
+ autoAllocateChunkSize?: number;
+ cancel?: UnderlyingSourceCancelCallback;
+ pull?: (controller: ReadableByteStreamController) => void | PromiseLike<void>;
+ start?: (controller: ReadableByteStreamController) => any;
+ type: "bytes";
+}
+
+interface UnderlyingDefaultSource<R = any> {
+ cancel?: UnderlyingSourceCancelCallback;
+ pull?: (controller: ReadableStreamDefaultController<R>) => void | PromiseLike<void>;
+ start?: (controller: ReadableStreamDefaultController<R>) => any;
+ type?: undefined;
+}
+
+interface UnderlyingSink<W = any> {
+ abort?: UnderlyingSinkAbortCallback;
+ close?: UnderlyingSinkCloseCallback;
+ start?: UnderlyingSinkStartCallback;
+ type?: undefined;
+ write?: UnderlyingSinkWriteCallback<W>;
+}
+
+interface UnderlyingSource<R = any> {
+ autoAllocateChunkSize?: number;
+ cancel?: UnderlyingSourceCancelCallback;
+ pull?: UnderlyingSourcePullCallback<R>;
+ start?: UnderlyingSourceStartCallback<R>;
+ type?: ReadableStreamType;
+}
+
+interface VideoColorSpaceInit {
+ fullRange?: boolean | null;
+ matrix?: VideoMatrixCoefficients | null;
+ primaries?: VideoColorPrimaries | null;
+ transfer?: VideoTransferCharacteristics | null;
+}
+
+interface VideoConfiguration {
+ bitrate: number;
+ colorGamut?: ColorGamut;
+ contentType: string;
+ framerate: number;
+ hdrMetadataType?: HdrMetadataType;
+ height: number;
+ scalabilityMode?: string;
+ transferFunction?: TransferFunction;
+ width: number;
+}
+
+interface VideoDecoderConfig {
+ codec: string;
+ codedHeight?: number;
+ codedWidth?: number;
+ colorSpace?: VideoColorSpaceInit;
+ description?: AllowSharedBufferSource;
+ displayAspectHeight?: number;
+ displayAspectWidth?: number;
+ hardwareAcceleration?: HardwareAcceleration;
+ optimizeForLatency?: boolean;
+}
+
+interface VideoDecoderInit {
+ error: WebCodecsErrorCallback;
+ output: VideoFrameOutputCallback;
+}
+
+interface VideoDecoderSupport {
+ config?: VideoDecoderConfig;
+ supported?: boolean;
+}
+
+interface VideoEncoderConfig {
+ alpha?: AlphaOption;
+ avc?: AvcEncoderConfig;
+ bitrate?: number;
+ bitrateMode?: VideoEncoderBitrateMode;
+ codec: string;
+ displayHeight?: number;
+ displayWidth?: number;
+ framerate?: number;
+ hardwareAcceleration?: HardwareAcceleration;
+ height: number;
+ latencyMode?: LatencyMode;
+ scalabilityMode?: string;
+ width: number;
+}
+
+interface VideoEncoderEncodeOptions {
+ keyFrame?: boolean;
+}
+
+interface VideoEncoderInit {
+ error: WebCodecsErrorCallback;
+ output: EncodedVideoChunkOutputCallback;
+}
+
+interface VideoEncoderSupport {
+ config?: VideoEncoderConfig;
+ supported?: boolean;
+}
+
+interface VideoFrameBufferInit {
+ codedHeight: number;
+ codedWidth: number;
+ colorSpace?: VideoColorSpaceInit;
+ displayHeight?: number;
+ displayWidth?: number;
+ duration?: number;
+ format: VideoPixelFormat;
+ layout?: PlaneLayout[];
+ timestamp: number;
+ visibleRect?: DOMRectInit;
+}
+
+interface VideoFrameCopyToOptions {
+ layout?: PlaneLayout[];
+ rect?: DOMRectInit;
+}
+
+interface VideoFrameInit {
+ alpha?: AlphaOption;
+ displayHeight?: number;
+ displayWidth?: number;
+ duration?: number;
+ timestamp?: number;
+ visibleRect?: DOMRectInit;
+}
+
+interface WebGLContextAttributes {
+ alpha?: boolean;
+ antialias?: boolean;
+ depth?: boolean;
+ desynchronized?: boolean;
+ failIfMajorPerformanceCaveat?: boolean;
+ powerPreference?: WebGLPowerPreference;
+ premultipliedAlpha?: boolean;
+ preserveDrawingBuffer?: boolean;
+ stencil?: boolean;
+}
+
+interface WebGLContextEventInit extends EventInit {
+ statusMessage?: string;
+}
+
+interface WebTransportCloseInfo {
+ closeCode?: number;
+ reason?: string;
+}
+
+interface WebTransportErrorOptions {
+ source?: WebTransportErrorSource;
+ streamErrorCode?: number | null;
+}
+
+interface WebTransportHash {
+ algorithm?: string;
+ value?: BufferSource;
+}
+
+interface WebTransportOptions {
+ allowPooling?: boolean;
+ congestionControl?: WebTransportCongestionControl;
+ requireUnreliable?: boolean;
+ serverCertificateHashes?: WebTransportHash[];
+}
+
+interface WebTransportSendStreamOptions {
+ sendOrder?: number;
+}
+
+interface WorkerOptions {
+ credentials?: RequestCredentials;
+ name?: string;
+ type?: WorkerType;
+}
+
+interface WriteParams {
+ data?: BufferSource | Blob | string | null;
+ position?: number | null;
+ size?: number | null;
+ type: WriteCommandType;
+}
+
+/**
+ * The ANGLE_instanced_arrays extension is part of the WebGL API and allows to draw the same object, or groups of similar objects multiple times, if they share the same vertex data, primitive count and type.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ANGLE_instanced_arrays)
+ */
+interface ANGLE_instanced_arrays {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ANGLE_instanced_arrays/drawArraysInstancedANGLE) */
+ drawArraysInstancedANGLE(mode: GLenum, first: GLint, count: GLsizei, primcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ANGLE_instanced_arrays/drawElementsInstancedANGLE) */
+ drawElementsInstancedANGLE(mode: GLenum, count: GLsizei, type: GLenum, offset: GLintptr, primcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ANGLE_instanced_arrays/vertexAttribDivisorANGLE) */
+ vertexAttribDivisorANGLE(index: GLuint, divisor: GLuint): void;
+ readonly VERTEX_ATTRIB_ARRAY_DIVISOR_ANGLE: 0x88FE;
+}
+
+/**
+ * A controller object that allows you to abort one or more DOM requests as and when desired.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortController)
+ */
+interface AbortController {
+ /**
+ * Returns the AbortSignal object associated with this object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortController/signal)
+ */
+ readonly signal: AbortSignal;
+ /**
+ * Invoking this method will set this object's AbortSignal's aborted flag and signal to any observers that the associated activity is to be aborted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortController/abort)
+ */
+ abort(reason?: any): void;
+}
+
+declare var AbortController: {
+ prototype: AbortController;
+ new(): AbortController;
+};
+
+interface AbortSignalEventMap {
+ "abort": Event;
+}
+
+/**
+ * A signal object that allows you to communicate with a DOM request (such as a Fetch) and abort it if required via an AbortController object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal)
+ */
+interface AbortSignal extends EventTarget {
+ /**
+ * Returns true if this AbortSignal's AbortController has signaled to abort, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/aborted)
+ */
+ readonly aborted: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/abort_event) */
+ onabort: ((this: AbortSignal, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/reason) */
+ readonly reason: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/throwIfAborted) */
+ throwIfAborted(): void;
+ addEventListener<K extends keyof AbortSignalEventMap>(type: K, listener: (this: AbortSignal, ev: AbortSignalEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AbortSignalEventMap>(type: K, listener: (this: AbortSignal, ev: AbortSignalEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var AbortSignal: {
+ prototype: AbortSignal;
+ new(): AbortSignal;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/abort_static) */
+ abort(reason?: any): AbortSignal;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/AbortSignal/timeout_static) */
+ timeout(milliseconds: number): AbortSignal;
+};
+
+interface AbstractWorkerEventMap {
+ "error": ErrorEvent;
+}
+
+interface AbstractWorker {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/error_event) */
+ onerror: ((this: AbstractWorker, ev: ErrorEvent) => any) | null;
+ addEventListener<K extends keyof AbstractWorkerEventMap>(type: K, listener: (this: AbstractWorker, ev: AbstractWorkerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof AbstractWorkerEventMap>(type: K, listener: (this: AbstractWorker, ev: AbstractWorkerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+interface AnimationFrameProvider {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/cancelAnimationFrame) */
+ cancelAnimationFrame(handle: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/requestAnimationFrame) */
+ requestAnimationFrame(callback: FrameRequestCallback): number;
+}
+
+/**
+ * A file-like object of immutable, raw data. Blobs represent data that isn't necessarily in a JavaScript-native format. The File interface is based on Blob, inheriting blob functionality and expanding it to support files on the user's system.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob)
+ */
+interface Blob {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/size) */
+ readonly size: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/type) */
+ readonly type: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/arrayBuffer) */
+ arrayBuffer(): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/slice) */
+ slice(start?: number, end?: number, contentType?: string): Blob;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/stream) */
+ stream(): ReadableStream<Uint8Array>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Blob/text) */
+ text(): Promise<string>;
+}
+
+declare var Blob: {
+ prototype: Blob;
+ new(blobParts?: BlobPart[], options?: BlobPropertyBag): Blob;
+};
+
+interface Body {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/body) */
+ readonly body: ReadableStream<Uint8Array> | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/bodyUsed) */
+ readonly bodyUsed: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/arrayBuffer) */
+ arrayBuffer(): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/blob) */
+ blob(): Promise<Blob>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/formData) */
+ formData(): Promise<FormData>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/json) */
+ json(): Promise<any>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/text) */
+ text(): Promise<string>;
+}
+
+interface BroadcastChannelEventMap {
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel) */
+interface BroadcastChannel extends EventTarget {
+ /**
+ * Returns the channel name (as passed to the constructor).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/name)
+ */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/message_event) */
+ onmessage: ((this: BroadcastChannel, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/messageerror_event) */
+ onmessageerror: ((this: BroadcastChannel, ev: MessageEvent) => any) | null;
+ /**
+ * Closes the BroadcastChannel object, opening it up to garbage collection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/close)
+ */
+ close(): void;
+ /**
+ * Sends the given message to other BroadcastChannel objects set up for this channel. Messages can be structured objects, e.g. nested objects and arrays.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/BroadcastChannel/postMessage)
+ */
+ postMessage(message: any): void;
+ addEventListener<K extends keyof BroadcastChannelEventMap>(type: K, listener: (this: BroadcastChannel, ev: BroadcastChannelEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof BroadcastChannelEventMap>(type: K, listener: (this: BroadcastChannel, ev: BroadcastChannelEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var BroadcastChannel: {
+ prototype: BroadcastChannel;
+ new(name: string): BroadcastChannel;
+};
+
+/**
+ * This Streams API interface provides a built-in byte length queuing strategy that can be used when constructing streams.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ByteLengthQueuingStrategy)
+ */
+interface ByteLengthQueuingStrategy extends QueuingStrategy<ArrayBufferView> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ByteLengthQueuingStrategy/highWaterMark) */
+ readonly highWaterMark: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ByteLengthQueuingStrategy/size) */
+ readonly size: QueuingStrategySize<ArrayBufferView>;
+}
+
+declare var ByteLengthQueuingStrategy: {
+ prototype: ByteLengthQueuingStrategy;
+ new(init: QueuingStrategyInit): ByteLengthQueuingStrategy;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSImageValue) */
+interface CSSImageValue extends CSSStyleValue {
+}
+
+declare var CSSImageValue: {
+ prototype: CSSImageValue;
+ new(): CSSImageValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeywordValue) */
+interface CSSKeywordValue extends CSSStyleValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSKeywordValue/value) */
+ value: string;
+}
+
+declare var CSSKeywordValue: {
+ prototype: CSSKeywordValue;
+ new(value: string): CSSKeywordValue;
+};
+
+interface CSSMathClamp extends CSSMathValue {
+ readonly lower: CSSNumericValue;
+ readonly upper: CSSNumericValue;
+ readonly value: CSSNumericValue;
+}
+
+declare var CSSMathClamp: {
+ prototype: CSSMathClamp;
+ new(lower: CSSNumberish, value: CSSNumberish, upper: CSSNumberish): CSSMathClamp;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathInvert) */
+interface CSSMathInvert extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathInvert/value) */
+ readonly value: CSSNumericValue;
+}
+
+declare var CSSMathInvert: {
+ prototype: CSSMathInvert;
+ new(arg: CSSNumberish): CSSMathInvert;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathMax) */
+interface CSSMathMax extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathMax/values) */
+ readonly values: CSSNumericArray;
+}
+
+declare var CSSMathMax: {
+ prototype: CSSMathMax;
+ new(...args: CSSNumberish[]): CSSMathMax;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathMin) */
+interface CSSMathMin extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathMin/values) */
+ readonly values: CSSNumericArray;
+}
+
+declare var CSSMathMin: {
+ prototype: CSSMathMin;
+ new(...args: CSSNumberish[]): CSSMathMin;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathNegate) */
+interface CSSMathNegate extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathNegate/value) */
+ readonly value: CSSNumericValue;
+}
+
+declare var CSSMathNegate: {
+ prototype: CSSMathNegate;
+ new(arg: CSSNumberish): CSSMathNegate;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathProduct) */
+interface CSSMathProduct extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathProduct/values) */
+ readonly values: CSSNumericArray;
+}
+
+declare var CSSMathProduct: {
+ prototype: CSSMathProduct;
+ new(...args: CSSNumberish[]): CSSMathProduct;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathSum) */
+interface CSSMathSum extends CSSMathValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathSum/values) */
+ readonly values: CSSNumericArray;
+}
+
+declare var CSSMathSum: {
+ prototype: CSSMathSum;
+ new(...args: CSSNumberish[]): CSSMathSum;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathValue) */
+interface CSSMathValue extends CSSNumericValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMathValue/operator) */
+ readonly operator: CSSMathOperator;
+}
+
+declare var CSSMathValue: {
+ prototype: CSSMathValue;
+ new(): CSSMathValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMatrixComponent) */
+interface CSSMatrixComponent extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSMatrixComponent/matrix) */
+ matrix: DOMMatrix;
+}
+
+declare var CSSMatrixComponent: {
+ prototype: CSSMatrixComponent;
+ new(matrix: DOMMatrixReadOnly, options?: CSSMatrixComponentOptions): CSSMatrixComponent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericArray) */
+interface CSSNumericArray {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericArray/length) */
+ readonly length: number;
+ forEach(callbackfn: (value: CSSNumericValue, key: number, parent: CSSNumericArray) => void, thisArg?: any): void;
+ [index: number]: CSSNumericValue;
+}
+
+declare var CSSNumericArray: {
+ prototype: CSSNumericArray;
+ new(): CSSNumericArray;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue) */
+interface CSSNumericValue extends CSSStyleValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/add) */
+ add(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/div) */
+ div(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/equals) */
+ equals(...value: CSSNumberish[]): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/max) */
+ max(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/min) */
+ min(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/mul) */
+ mul(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/sub) */
+ sub(...values: CSSNumberish[]): CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/to) */
+ to(unit: string): CSSUnitValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/toSum) */
+ toSum(...units: string[]): CSSMathSum;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSNumericValue/type) */
+ type(): CSSNumericType;
+}
+
+declare var CSSNumericValue: {
+ prototype: CSSNumericValue;
+ new(): CSSNumericValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPerspective) */
+interface CSSPerspective extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSPerspective/length) */
+ length: CSSPerspectiveValue;
+}
+
+declare var CSSPerspective: {
+ prototype: CSSPerspective;
+ new(length: CSSPerspectiveValue): CSSPerspective;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate) */
+interface CSSRotate extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate/angle) */
+ angle: CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate/x) */
+ x: CSSNumberish;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate/y) */
+ y: CSSNumberish;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSRotate/z) */
+ z: CSSNumberish;
+}
+
+declare var CSSRotate: {
+ prototype: CSSRotate;
+ new(angle: CSSNumericValue): CSSRotate;
+ new(x: CSSNumberish, y: CSSNumberish, z: CSSNumberish, angle: CSSNumericValue): CSSRotate;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSScale) */
+interface CSSScale extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSScale/x) */
+ x: CSSNumberish;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSScale/y) */
+ y: CSSNumberish;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSScale/z) */
+ z: CSSNumberish;
+}
+
+declare var CSSScale: {
+ prototype: CSSScale;
+ new(x: CSSNumberish, y: CSSNumberish, z?: CSSNumberish): CSSScale;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkew) */
+interface CSSSkew extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkew/ax) */
+ ax: CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkew/ay) */
+ ay: CSSNumericValue;
+}
+
+declare var CSSSkew: {
+ prototype: CSSSkew;
+ new(ax: CSSNumericValue, ay: CSSNumericValue): CSSSkew;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkewX) */
+interface CSSSkewX extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkewX/ax) */
+ ax: CSSNumericValue;
+}
+
+declare var CSSSkewX: {
+ prototype: CSSSkewX;
+ new(ax: CSSNumericValue): CSSSkewX;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkewY) */
+interface CSSSkewY extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSSkewY/ay) */
+ ay: CSSNumericValue;
+}
+
+declare var CSSSkewY: {
+ prototype: CSSSkewY;
+ new(ay: CSSNumericValue): CSSSkewY;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSStyleValue) */
+interface CSSStyleValue {
+ toString(): string;
+}
+
+declare var CSSStyleValue: {
+ prototype: CSSStyleValue;
+ new(): CSSStyleValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformComponent) */
+interface CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformComponent/is2D) */
+ is2D: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformComponent/toMatrix) */
+ toMatrix(): DOMMatrix;
+ toString(): string;
+}
+
+declare var CSSTransformComponent: {
+ prototype: CSSTransformComponent;
+ new(): CSSTransformComponent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformValue) */
+interface CSSTransformValue extends CSSStyleValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformValue/is2D) */
+ readonly is2D: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformValue/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTransformValue/toMatrix) */
+ toMatrix(): DOMMatrix;
+ forEach(callbackfn: (value: CSSTransformComponent, key: number, parent: CSSTransformValue) => void, thisArg?: any): void;
+ [index: number]: CSSTransformComponent;
+}
+
+declare var CSSTransformValue: {
+ prototype: CSSTransformValue;
+ new(transforms: CSSTransformComponent[]): CSSTransformValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTranslate) */
+interface CSSTranslate extends CSSTransformComponent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTranslate/x) */
+ x: CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTranslate/y) */
+ y: CSSNumericValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSTranslate/z) */
+ z: CSSNumericValue;
+}
+
+declare var CSSTranslate: {
+ prototype: CSSTranslate;
+ new(x: CSSNumericValue, y: CSSNumericValue, z?: CSSNumericValue): CSSTranslate;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnitValue) */
+interface CSSUnitValue extends CSSNumericValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnitValue/unit) */
+ readonly unit: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnitValue/value) */
+ value: number;
+}
+
+declare var CSSUnitValue: {
+ prototype: CSSUnitValue;
+ new(value: number, unit: string): CSSUnitValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnparsedValue) */
+interface CSSUnparsedValue extends CSSStyleValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSUnparsedValue/length) */
+ readonly length: number;
+ forEach(callbackfn: (value: CSSUnparsedSegment, key: number, parent: CSSUnparsedValue) => void, thisArg?: any): void;
+ [index: number]: CSSUnparsedSegment;
+}
+
+declare var CSSUnparsedValue: {
+ prototype: CSSUnparsedValue;
+ new(members: CSSUnparsedSegment[]): CSSUnparsedValue;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSVariableReferenceValue) */
+interface CSSVariableReferenceValue {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSVariableReferenceValue/fallback) */
+ readonly fallback: CSSUnparsedValue | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CSSVariableReferenceValue/variable) */
+ variable: string;
+}
+
+declare var CSSVariableReferenceValue: {
+ prototype: CSSVariableReferenceValue;
+ new(variable: string, fallback?: CSSUnparsedValue | null): CSSVariableReferenceValue;
+};
+
+/**
+ * Provides a storage mechanism for Request / Response object pairs that are cached, for example as part of the ServiceWorker life cycle. Note that the Cache interface is exposed to windowed scopes as well as workers. You don't have to use it in conjunction with service workers, even though it is defined in the service worker spec.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache)
+ */
+interface Cache {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/add) */
+ add(request: RequestInfo | URL): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/addAll) */
+ addAll(requests: RequestInfo[]): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/delete) */
+ delete(request: RequestInfo | URL, options?: CacheQueryOptions): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/keys) */
+ keys(request?: RequestInfo | URL, options?: CacheQueryOptions): Promise<ReadonlyArray<Request>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/match) */
+ match(request: RequestInfo | URL, options?: CacheQueryOptions): Promise<Response | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/matchAll) */
+ matchAll(request?: RequestInfo | URL, options?: CacheQueryOptions): Promise<ReadonlyArray<Response>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/put) */
+ put(request: RequestInfo | URL, response: Response): Promise<void>;
+}
+
+declare var Cache: {
+ prototype: Cache;
+ new(): Cache;
+};
+
+/**
+ * The storage for Cache objects.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage)
+ */
+interface CacheStorage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/delete) */
+ delete(cacheName: string): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/has) */
+ has(cacheName: string): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/keys) */
+ keys(): Promise<string[]>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/match) */
+ match(request: RequestInfo | URL, options?: MultiCacheQueryOptions): Promise<Response | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CacheStorage/open) */
+ open(cacheName: string): Promise<Cache>;
+}
+
+declare var CacheStorage: {
+ prototype: CacheStorage;
+ new(): CacheStorage;
+};
+
+interface CanvasCompositing {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/globalAlpha) */
+ globalAlpha: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/globalCompositeOperation) */
+ globalCompositeOperation: GlobalCompositeOperation;
+}
+
+interface CanvasDrawImage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/drawImage) */
+ drawImage(image: CanvasImageSource, dx: number, dy: number): void;
+ drawImage(image: CanvasImageSource, dx: number, dy: number, dw: number, dh: number): void;
+ drawImage(image: CanvasImageSource, sx: number, sy: number, sw: number, sh: number, dx: number, dy: number, dw: number, dh: number): void;
+}
+
+interface CanvasDrawPath {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/beginPath) */
+ beginPath(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/clip) */
+ clip(fillRule?: CanvasFillRule): void;
+ clip(path: Path2D, fillRule?: CanvasFillRule): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fill) */
+ fill(fillRule?: CanvasFillRule): void;
+ fill(path: Path2D, fillRule?: CanvasFillRule): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/isPointInPath) */
+ isPointInPath(x: number, y: number, fillRule?: CanvasFillRule): boolean;
+ isPointInPath(path: Path2D, x: number, y: number, fillRule?: CanvasFillRule): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/isPointInStroke) */
+ isPointInStroke(x: number, y: number): boolean;
+ isPointInStroke(path: Path2D, x: number, y: number): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/stroke) */
+ stroke(): void;
+ stroke(path: Path2D): void;
+}
+
+interface CanvasFillStrokeStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fillStyle) */
+ fillStyle: string | CanvasGradient | CanvasPattern;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/strokeStyle) */
+ strokeStyle: string | CanvasGradient | CanvasPattern;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createConicGradient) */
+ createConicGradient(startAngle: number, x: number, y: number): CanvasGradient;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createLinearGradient) */
+ createLinearGradient(x0: number, y0: number, x1: number, y1: number): CanvasGradient;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createPattern) */
+ createPattern(image: CanvasImageSource, repetition: string | null): CanvasPattern | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createRadialGradient) */
+ createRadialGradient(x0: number, y0: number, r0: number, x1: number, y1: number, r1: number): CanvasGradient;
+}
+
+interface CanvasFilters {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/filter) */
+ filter: string;
+}
+
+/**
+ * An opaque object describing a gradient. It is returned by the methods CanvasRenderingContext2D.createLinearGradient() or CanvasRenderingContext2D.createRadialGradient().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasGradient)
+ */
+interface CanvasGradient {
+ /**
+ * Adds a color stop with the given color to the gradient at the given offset. 0.0 is the offset at one end of the gradient, 1.0 is the offset at the other end.
+ *
+ * Throws an "IndexSizeError" DOMException if the offset is out of range. Throws a "SyntaxError" DOMException if the color cannot be parsed.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasGradient/addColorStop)
+ */
+ addColorStop(offset: number, color: string): void;
+}
+
+declare var CanvasGradient: {
+ prototype: CanvasGradient;
+ new(): CanvasGradient;
+};
+
+interface CanvasImageData {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/createImageData) */
+ createImageData(sw: number, sh: number, settings?: ImageDataSettings): ImageData;
+ createImageData(imagedata: ImageData): ImageData;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/getImageData) */
+ getImageData(sx: number, sy: number, sw: number, sh: number, settings?: ImageDataSettings): ImageData;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/putImageData) */
+ putImageData(imagedata: ImageData, dx: number, dy: number): void;
+ putImageData(imagedata: ImageData, dx: number, dy: number, dirtyX: number, dirtyY: number, dirtyWidth: number, dirtyHeight: number): void;
+}
+
+interface CanvasImageSmoothing {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/imageSmoothingEnabled) */
+ imageSmoothingEnabled: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/imageSmoothingQuality) */
+ imageSmoothingQuality: ImageSmoothingQuality;
+}
+
+interface CanvasPath {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/arc) */
+ arc(x: number, y: number, radius: number, startAngle: number, endAngle: number, counterclockwise?: boolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/arcTo) */
+ arcTo(x1: number, y1: number, x2: number, y2: number, radius: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/bezierCurveTo) */
+ bezierCurveTo(cp1x: number, cp1y: number, cp2x: number, cp2y: number, x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/closePath) */
+ closePath(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/ellipse) */
+ ellipse(x: number, y: number, radiusX: number, radiusY: number, rotation: number, startAngle: number, endAngle: number, counterclockwise?: boolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineTo) */
+ lineTo(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/moveTo) */
+ moveTo(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/quadraticCurveTo) */
+ quadraticCurveTo(cpx: number, cpy: number, x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/rect) */
+ rect(x: number, y: number, w: number, h: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/roundRect) */
+ roundRect(x: number, y: number, w: number, h: number, radii?: number | DOMPointInit | (number | DOMPointInit)[]): void;
+}
+
+interface CanvasPathDrawingStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineCap) */
+ lineCap: CanvasLineCap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineDashOffset) */
+ lineDashOffset: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineJoin) */
+ lineJoin: CanvasLineJoin;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/lineWidth) */
+ lineWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/miterLimit) */
+ miterLimit: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/getLineDash) */
+ getLineDash(): number[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/setLineDash) */
+ setLineDash(segments: number[]): void;
+}
+
+/**
+ * An opaque object describing a pattern, based on an image, a canvas, or a video, created by the CanvasRenderingContext2D.createPattern() method.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasPattern)
+ */
+interface CanvasPattern {
+ /**
+ * Sets the transformation matrix that will be used when rendering the pattern during a fill or stroke painting operation.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasPattern/setTransform)
+ */
+ setTransform(transform?: DOMMatrix2DInit): void;
+}
+
+declare var CanvasPattern: {
+ prototype: CanvasPattern;
+ new(): CanvasPattern;
+};
+
+interface CanvasRect {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/clearRect) */
+ clearRect(x: number, y: number, w: number, h: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fillRect) */
+ fillRect(x: number, y: number, w: number, h: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/strokeRect) */
+ strokeRect(x: number, y: number, w: number, h: number): void;
+}
+
+interface CanvasShadowStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/shadowBlur) */
+ shadowBlur: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/shadowColor) */
+ shadowColor: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/shadowOffsetX) */
+ shadowOffsetX: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/shadowOffsetY) */
+ shadowOffsetY: number;
+}
+
+interface CanvasState {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/reset) */
+ reset(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/restore) */
+ restore(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/save) */
+ save(): void;
+}
+
+interface CanvasText {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fillText) */
+ fillText(text: string, x: number, y: number, maxWidth?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/measureText) */
+ measureText(text: string): TextMetrics;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/strokeText) */
+ strokeText(text: string, x: number, y: number, maxWidth?: number): void;
+}
+
+interface CanvasTextDrawingStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/direction) */
+ direction: CanvasDirection;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/font) */
+ font: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fontKerning) */
+ fontKerning: CanvasFontKerning;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fontStretch) */
+ fontStretch: CanvasFontStretch;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/fontVariantCaps) */
+ fontVariantCaps: CanvasFontVariantCaps;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/letterSpacing) */
+ letterSpacing: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/textAlign) */
+ textAlign: CanvasTextAlign;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/textBaseline) */
+ textBaseline: CanvasTextBaseline;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/textRendering) */
+ textRendering: CanvasTextRendering;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/wordSpacing) */
+ wordSpacing: string;
+}
+
+interface CanvasTransform {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/getTransform) */
+ getTransform(): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/resetTransform) */
+ resetTransform(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/rotate) */
+ rotate(angle: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/scale) */
+ scale(x: number, y: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/setTransform) */
+ setTransform(a: number, b: number, c: number, d: number, e: number, f: number): void;
+ setTransform(transform?: DOMMatrix2DInit): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/transform) */
+ transform(a: number, b: number, c: number, d: number, e: number, f: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/translate) */
+ translate(x: number, y: number): void;
+}
+
+/**
+ * The Client interface represents an executable context such as a Worker, or a SharedWorker. Window clients are represented by the more-specific WindowClient. You can get Client/WindowClient objects from methods such as Clients.matchAll() and Clients.get().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Client)
+ */
+interface Client {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Client/frameType) */
+ readonly frameType: FrameType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Client/id) */
+ readonly id: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Client/type) */
+ readonly type: ClientTypes;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Client/url) */
+ readonly url: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Client/postMessage) */
+ postMessage(message: any, transfer: Transferable[]): void;
+ postMessage(message: any, options?: StructuredSerializeOptions): void;
+}
+
+declare var Client: {
+ prototype: Client;
+ new(): Client;
+};
+
+/**
+ * Provides access to Client objects. Access it via self.clients within a service worker.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clients)
+ */
+interface Clients {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clients/claim) */
+ claim(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clients/get) */
+ get(id: string): Promise<Client | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clients/matchAll) */
+ matchAll<T extends ClientQueryOptions>(options?: T): Promise<ReadonlyArray<T["type"] extends "window" ? WindowClient : Client>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Clients/openWindow) */
+ openWindow(url: string | URL): Promise<WindowClient | null>;
+}
+
+declare var Clients: {
+ prototype: Clients;
+ new(): Clients;
+};
+
+/**
+ * A CloseEvent is sent to clients using WebSockets when the connection is closed. This is delivered to the listener indicated by the WebSocket object's onclose attribute.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CloseEvent)
+ */
+interface CloseEvent extends Event {
+ /**
+ * Returns the WebSocket connection close code provided by the server.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CloseEvent/code)
+ */
+ readonly code: number;
+ /**
+ * Returns the WebSocket connection close reason provided by the server.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CloseEvent/reason)
+ */
+ readonly reason: string;
+ /**
+ * Returns true if the connection closed cleanly; false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CloseEvent/wasClean)
+ */
+ readonly wasClean: boolean;
+}
+
+declare var CloseEvent: {
+ prototype: CloseEvent;
+ new(type: string, eventInitDict?: CloseEventInit): CloseEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompressionStream) */
+interface CompressionStream extends GenericTransformStream {
+}
+
+declare var CompressionStream: {
+ prototype: CompressionStream;
+ new(format: CompressionFormat): CompressionStream;
+};
+
+/**
+ * This Streams API interface provides a built-in byte length queuing strategy that can be used when constructing streams.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CountQueuingStrategy)
+ */
+interface CountQueuingStrategy extends QueuingStrategy {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CountQueuingStrategy/highWaterMark) */
+ readonly highWaterMark: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CountQueuingStrategy/size) */
+ readonly size: QueuingStrategySize;
+}
+
+declare var CountQueuingStrategy: {
+ prototype: CountQueuingStrategy;
+ new(init: QueuingStrategyInit): CountQueuingStrategy;
+};
+
+/**
+ * Basic cryptography features available in the current context. It allows access to a cryptographically strong random number generator and to cryptographic primitives.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Crypto)
+ */
+interface Crypto {
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Crypto/subtle)
+ */
+ readonly subtle: SubtleCrypto;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Crypto/getRandomValues) */
+ getRandomValues<T extends ArrayBufferView | null>(array: T): T;
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Crypto/randomUUID)
+ */
+ randomUUID(): \`\${string}-\${string}-\${string}-\${string}-\${string}\`;
+}
+
+declare var Crypto: {
+ prototype: Crypto;
+ new(): Crypto;
+};
+
+/**
+ * The CryptoKey dictionary of the Web Crypto API represents a cryptographic key.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey)
+ */
+interface CryptoKey {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey/algorithm) */
+ readonly algorithm: KeyAlgorithm;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey/extractable) */
+ readonly extractable: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey/type) */
+ readonly type: KeyType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CryptoKey/usages) */
+ readonly usages: KeyUsage[];
+}
+
+declare var CryptoKey: {
+ prototype: CryptoKey;
+ new(): CryptoKey;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomEvent) */
+interface CustomEvent<T = any> extends Event {
+ /**
+ * Returns any custom data event was created with. Typically used for synthetic events.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomEvent/detail)
+ */
+ readonly detail: T;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/CustomEvent/initCustomEvent)
+ */
+ initCustomEvent(type: string, bubbles?: boolean, cancelable?: boolean, detail?: T): void;
+}
+
+declare var CustomEvent: {
+ prototype: CustomEvent;
+ new<T>(type: string, eventInitDict?: CustomEventInit<T>): CustomEvent<T>;
+};
+
+/**
+ * An abnormal event (called an exception) which occurs as a result of calling a method or accessing a property of a web API.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMException)
+ */
+interface DOMException extends Error {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMException/code)
+ */
+ readonly code: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMException/message) */
+ readonly message: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMException/name) */
+ readonly name: string;
+ readonly INDEX_SIZE_ERR: 1;
+ readonly DOMSTRING_SIZE_ERR: 2;
+ readonly HIERARCHY_REQUEST_ERR: 3;
+ readonly WRONG_DOCUMENT_ERR: 4;
+ readonly INVALID_CHARACTER_ERR: 5;
+ readonly NO_DATA_ALLOWED_ERR: 6;
+ readonly NO_MODIFICATION_ALLOWED_ERR: 7;
+ readonly NOT_FOUND_ERR: 8;
+ readonly NOT_SUPPORTED_ERR: 9;
+ readonly INUSE_ATTRIBUTE_ERR: 10;
+ readonly INVALID_STATE_ERR: 11;
+ readonly SYNTAX_ERR: 12;
+ readonly INVALID_MODIFICATION_ERR: 13;
+ readonly NAMESPACE_ERR: 14;
+ readonly INVALID_ACCESS_ERR: 15;
+ readonly VALIDATION_ERR: 16;
+ readonly TYPE_MISMATCH_ERR: 17;
+ readonly SECURITY_ERR: 18;
+ readonly NETWORK_ERR: 19;
+ readonly ABORT_ERR: 20;
+ readonly URL_MISMATCH_ERR: 21;
+ readonly QUOTA_EXCEEDED_ERR: 22;
+ readonly TIMEOUT_ERR: 23;
+ readonly INVALID_NODE_TYPE_ERR: 24;
+ readonly DATA_CLONE_ERR: 25;
+}
+
+declare var DOMException: {
+ prototype: DOMException;
+ new(message?: string, name?: string): DOMException;
+ readonly INDEX_SIZE_ERR: 1;
+ readonly DOMSTRING_SIZE_ERR: 2;
+ readonly HIERARCHY_REQUEST_ERR: 3;
+ readonly WRONG_DOCUMENT_ERR: 4;
+ readonly INVALID_CHARACTER_ERR: 5;
+ readonly NO_DATA_ALLOWED_ERR: 6;
+ readonly NO_MODIFICATION_ALLOWED_ERR: 7;
+ readonly NOT_FOUND_ERR: 8;
+ readonly NOT_SUPPORTED_ERR: 9;
+ readonly INUSE_ATTRIBUTE_ERR: 10;
+ readonly INVALID_STATE_ERR: 11;
+ readonly SYNTAX_ERR: 12;
+ readonly INVALID_MODIFICATION_ERR: 13;
+ readonly NAMESPACE_ERR: 14;
+ readonly INVALID_ACCESS_ERR: 15;
+ readonly VALIDATION_ERR: 16;
+ readonly TYPE_MISMATCH_ERR: 17;
+ readonly SECURITY_ERR: 18;
+ readonly NETWORK_ERR: 19;
+ readonly ABORT_ERR: 20;
+ readonly URL_MISMATCH_ERR: 21;
+ readonly QUOTA_EXCEEDED_ERR: 22;
+ readonly TIMEOUT_ERR: 23;
+ readonly INVALID_NODE_TYPE_ERR: 24;
+ readonly DATA_CLONE_ERR: 25;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrix) */
+interface DOMMatrix extends DOMMatrixReadOnly {
+ a: number;
+ b: number;
+ c: number;
+ d: number;
+ e: number;
+ f: number;
+ m11: number;
+ m12: number;
+ m13: number;
+ m14: number;
+ m21: number;
+ m22: number;
+ m23: number;
+ m24: number;
+ m31: number;
+ m32: number;
+ m33: number;
+ m34: number;
+ m41: number;
+ m42: number;
+ m43: number;
+ m44: number;
+ invertSelf(): DOMMatrix;
+ multiplySelf(other?: DOMMatrixInit): DOMMatrix;
+ preMultiplySelf(other?: DOMMatrixInit): DOMMatrix;
+ rotateAxisAngleSelf(x?: number, y?: number, z?: number, angle?: number): DOMMatrix;
+ rotateFromVectorSelf(x?: number, y?: number): DOMMatrix;
+ rotateSelf(rotX?: number, rotY?: number, rotZ?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrix/scale3dSelf) */
+ scale3dSelf(scale?: number, originX?: number, originY?: number, originZ?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrix/scaleSelf) */
+ scaleSelf(scaleX?: number, scaleY?: number, scaleZ?: number, originX?: number, originY?: number, originZ?: number): DOMMatrix;
+ skewXSelf(sx?: number): DOMMatrix;
+ skewYSelf(sy?: number): DOMMatrix;
+ translateSelf(tx?: number, ty?: number, tz?: number): DOMMatrix;
+}
+
+declare var DOMMatrix: {
+ prototype: DOMMatrix;
+ new(init?: string | number[]): DOMMatrix;
+ fromFloat32Array(array32: Float32Array): DOMMatrix;
+ fromFloat64Array(array64: Float64Array): DOMMatrix;
+ fromMatrix(other?: DOMMatrixInit): DOMMatrix;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly) */
+interface DOMMatrixReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/a) */
+ readonly a: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/b) */
+ readonly b: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/c) */
+ readonly c: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/d) */
+ readonly d: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/e) */
+ readonly e: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/f) */
+ readonly f: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/is2D) */
+ readonly is2D: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/isIdentity) */
+ readonly isIdentity: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m11) */
+ readonly m11: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m12) */
+ readonly m12: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m13) */
+ readonly m13: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m14) */
+ readonly m14: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m21) */
+ readonly m21: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m22) */
+ readonly m22: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m23) */
+ readonly m23: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m24) */
+ readonly m24: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m31) */
+ readonly m31: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m32) */
+ readonly m32: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m33) */
+ readonly m33: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m34) */
+ readonly m34: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m41) */
+ readonly m41: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m42) */
+ readonly m42: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m43) */
+ readonly m43: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/m44) */
+ readonly m44: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/flipX) */
+ flipX(): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/flipY) */
+ flipY(): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/inverse) */
+ inverse(): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/multiply) */
+ multiply(other?: DOMMatrixInit): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/rotate) */
+ rotate(rotX?: number, rotY?: number, rotZ?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/rotateAxisAngle) */
+ rotateAxisAngle(x?: number, y?: number, z?: number, angle?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/rotateFromVector) */
+ rotateFromVector(x?: number, y?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/scale) */
+ scale(scaleX?: number, scaleY?: number, scaleZ?: number, originX?: number, originY?: number, originZ?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/scale3d) */
+ scale3d(scale?: number, originX?: number, originY?: number, originZ?: number): DOMMatrix;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/scaleNonUniform)
+ */
+ scaleNonUniform(scaleX?: number, scaleY?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/skewX) */
+ skewX(sx?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/skewY) */
+ skewY(sy?: number): DOMMatrix;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/toFloat32Array) */
+ toFloat32Array(): Float32Array;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/toFloat64Array) */
+ toFloat64Array(): Float64Array;
+ toJSON(): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/transformPoint) */
+ transformPoint(point?: DOMPointInit): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMMatrixReadOnly/translate) */
+ translate(tx?: number, ty?: number, tz?: number): DOMMatrix;
+}
+
+declare var DOMMatrixReadOnly: {
+ prototype: DOMMatrixReadOnly;
+ new(init?: string | number[]): DOMMatrixReadOnly;
+ fromFloat32Array(array32: Float32Array): DOMMatrixReadOnly;
+ fromFloat64Array(array64: Float64Array): DOMMatrixReadOnly;
+ fromMatrix(other?: DOMMatrixInit): DOMMatrixReadOnly;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint) */
+interface DOMPoint extends DOMPointReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/w) */
+ w: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/x) */
+ x: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/y) */
+ y: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/z) */
+ z: number;
+}
+
+declare var DOMPoint: {
+ prototype: DOMPoint;
+ new(x?: number, y?: number, z?: number, w?: number): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPoint/fromPoint_static) */
+ fromPoint(other?: DOMPointInit): DOMPoint;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly) */
+interface DOMPointReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/w) */
+ readonly w: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/x) */
+ readonly x: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/y) */
+ readonly y: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/z) */
+ readonly z: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/matrixTransform) */
+ matrixTransform(matrix?: DOMMatrixInit): DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/toJSON) */
+ toJSON(): any;
+}
+
+declare var DOMPointReadOnly: {
+ prototype: DOMPointReadOnly;
+ new(x?: number, y?: number, z?: number, w?: number): DOMPointReadOnly;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMPointReadOnly/fromPoint_static) */
+ fromPoint(other?: DOMPointInit): DOMPointReadOnly;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad) */
+interface DOMQuad {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/p1) */
+ readonly p1: DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/p2) */
+ readonly p2: DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/p3) */
+ readonly p3: DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/p4) */
+ readonly p4: DOMPoint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMQuad/getBounds) */
+ getBounds(): DOMRect;
+ toJSON(): any;
+}
+
+declare var DOMQuad: {
+ prototype: DOMQuad;
+ new(p1?: DOMPointInit, p2?: DOMPointInit, p3?: DOMPointInit, p4?: DOMPointInit): DOMQuad;
+ fromQuad(other?: DOMQuadInit): DOMQuad;
+ fromRect(other?: DOMRectInit): DOMQuad;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRect) */
+interface DOMRect extends DOMRectReadOnly {
+ height: number;
+ width: number;
+ x: number;
+ y: number;
+}
+
+declare var DOMRect: {
+ prototype: DOMRect;
+ new(x?: number, y?: number, width?: number, height?: number): DOMRect;
+ fromRect(other?: DOMRectInit): DOMRect;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly) */
+interface DOMRectReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/bottom) */
+ readonly bottom: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/height) */
+ readonly height: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/left) */
+ readonly left: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/right) */
+ readonly right: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/top) */
+ readonly top: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/width) */
+ readonly width: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/x) */
+ readonly x: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/y) */
+ readonly y: number;
+ toJSON(): any;
+}
+
+declare var DOMRectReadOnly: {
+ prototype: DOMRectReadOnly;
+ new(x?: number, y?: number, width?: number, height?: number): DOMRectReadOnly;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMRectReadOnly/fromRect_static) */
+ fromRect(other?: DOMRectInit): DOMRectReadOnly;
+};
+
+/**
+ * A type returned by some APIs which contains a list of DOMString (strings).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringList)
+ */
+interface DOMStringList {
+ /**
+ * Returns the number of strings in strings.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringList/length)
+ */
+ readonly length: number;
+ /**
+ * Returns true if strings contains string, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringList/contains)
+ */
+ contains(string: string): boolean;
+ /**
+ * Returns the string with index index from strings.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DOMStringList/item)
+ */
+ item(index: number): string | null;
+ [index: number]: string;
+}
+
+declare var DOMStringList: {
+ prototype: DOMStringList;
+ new(): DOMStringList;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DecompressionStream) */
+interface DecompressionStream extends GenericTransformStream {
+}
+
+declare var DecompressionStream: {
+ prototype: DecompressionStream;
+ new(format: CompressionFormat): DecompressionStream;
+};
+
+interface DedicatedWorkerGlobalScopeEventMap extends WorkerGlobalScopeEventMap {
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+ "rtctransform": Event;
+}
+
+/**
+ * (the Worker global scope) is accessible through the self keyword. Some additional global functions, namespaces objects, and constructors, not typically associated with the worker global scope, but available on it, are listed in the JavaScript Reference. See also: Functions available to workers.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope)
+ */
+interface DedicatedWorkerGlobalScope extends WorkerGlobalScope, AnimationFrameProvider {
+ /**
+ * Returns dedicatedWorkerGlobal's name, i.e. the value given to the Worker constructor. Primarily useful for debugging.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/name)
+ */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/message_event) */
+ onmessage: ((this: DedicatedWorkerGlobalScope, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/messageerror_event) */
+ onmessageerror: ((this: DedicatedWorkerGlobalScope, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/rtctransform_event) */
+ onrtctransform: ((this: DedicatedWorkerGlobalScope, ev: Event) => any) | null;
+ /**
+ * Aborts dedicatedWorkerGlobal.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/close)
+ */
+ close(): void;
+ /**
+ * Clones message and transmits it to the Worker object associated with dedicatedWorkerGlobal. transfer can be passed as a list of objects that are to be transferred rather than cloned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/postMessage)
+ */
+ postMessage(message: any, transfer: Transferable[]): void;
+ postMessage(message: any, options?: StructuredSerializeOptions): void;
+ addEventListener<K extends keyof DedicatedWorkerGlobalScopeEventMap>(type: K, listener: (this: DedicatedWorkerGlobalScope, ev: DedicatedWorkerGlobalScopeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof DedicatedWorkerGlobalScopeEventMap>(type: K, listener: (this: DedicatedWorkerGlobalScope, ev: DedicatedWorkerGlobalScopeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var DedicatedWorkerGlobalScope: {
+ prototype: DedicatedWorkerGlobalScope;
+ new(): DedicatedWorkerGlobalScope;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_blend_minmax) */
+interface EXT_blend_minmax {
+ readonly MIN_EXT: 0x8007;
+ readonly MAX_EXT: 0x8008;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_color_buffer_float) */
+interface EXT_color_buffer_float {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_color_buffer_half_float) */
+interface EXT_color_buffer_half_float {
+ readonly RGBA16F_EXT: 0x881A;
+ readonly RGB16F_EXT: 0x881B;
+ readonly FRAMEBUFFER_ATTACHMENT_COMPONENT_TYPE_EXT: 0x8211;
+ readonly UNSIGNED_NORMALIZED_EXT: 0x8C17;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_float_blend) */
+interface EXT_float_blend {
+}
+
+/**
+ * The EXT_frag_depth extension is part of the WebGL API and enables to set a depth value of a fragment from within the fragment shader.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_frag_depth)
+ */
+interface EXT_frag_depth {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_sRGB) */
+interface EXT_sRGB {
+ readonly SRGB_EXT: 0x8C40;
+ readonly SRGB_ALPHA_EXT: 0x8C42;
+ readonly SRGB8_ALPHA8_EXT: 0x8C43;
+ readonly FRAMEBUFFER_ATTACHMENT_COLOR_ENCODING_EXT: 0x8210;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_shader_texture_lod) */
+interface EXT_shader_texture_lod {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_texture_compression_bptc) */
+interface EXT_texture_compression_bptc {
+ readonly COMPRESSED_RGBA_BPTC_UNORM_EXT: 0x8E8C;
+ readonly COMPRESSED_SRGB_ALPHA_BPTC_UNORM_EXT: 0x8E8D;
+ readonly COMPRESSED_RGB_BPTC_SIGNED_FLOAT_EXT: 0x8E8E;
+ readonly COMPRESSED_RGB_BPTC_UNSIGNED_FLOAT_EXT: 0x8E8F;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_texture_compression_rgtc) */
+interface EXT_texture_compression_rgtc {
+ readonly COMPRESSED_RED_RGTC1_EXT: 0x8DBB;
+ readonly COMPRESSED_SIGNED_RED_RGTC1_EXT: 0x8DBC;
+ readonly COMPRESSED_RED_GREEN_RGTC2_EXT: 0x8DBD;
+ readonly COMPRESSED_SIGNED_RED_GREEN_RGTC2_EXT: 0x8DBE;
+}
+
+/**
+ * The EXT_texture_filter_anisotropic extension is part of the WebGL API and exposes two constants for anisotropic filtering (AF).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_texture_filter_anisotropic)
+ */
+interface EXT_texture_filter_anisotropic {
+ readonly TEXTURE_MAX_ANISOTROPY_EXT: 0x84FE;
+ readonly MAX_TEXTURE_MAX_ANISOTROPY_EXT: 0x84FF;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EXT_texture_norm16) */
+interface EXT_texture_norm16 {
+ readonly R16_EXT: 0x822A;
+ readonly RG16_EXT: 0x822C;
+ readonly RGB16_EXT: 0x8054;
+ readonly RGBA16_EXT: 0x805B;
+ readonly R16_SNORM_EXT: 0x8F98;
+ readonly RG16_SNORM_EXT: 0x8F99;
+ readonly RGB16_SNORM_EXT: 0x8F9A;
+ readonly RGBA16_SNORM_EXT: 0x8F9B;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk) */
+interface EncodedVideoChunk {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/byteLength) */
+ readonly byteLength: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/duration) */
+ readonly duration: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/timestamp) */
+ readonly timestamp: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/type) */
+ readonly type: EncodedVideoChunkType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EncodedVideoChunk/copyTo) */
+ copyTo(destination: AllowSharedBufferSource): void;
+}
+
+declare var EncodedVideoChunk: {
+ prototype: EncodedVideoChunk;
+ new(init: EncodedVideoChunkInit): EncodedVideoChunk;
+};
+
+/**
+ * Events providing information related to errors in scripts or in files.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent)
+ */
+interface ErrorEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/colno) */
+ readonly colno: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/error) */
+ readonly error: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/filename) */
+ readonly filename: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/lineno) */
+ readonly lineno: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ErrorEvent/message) */
+ readonly message: string;
+}
+
+declare var ErrorEvent: {
+ prototype: ErrorEvent;
+ new(type: string, eventInitDict?: ErrorEventInit): ErrorEvent;
+};
+
+/**
+ * An event which takes place in the DOM.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event)
+ */
+interface Event {
+ /**
+ * Returns true or false depending on how event was initialized. True if event goes through its target's ancestors in reverse tree order, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/bubbles)
+ */
+ readonly bubbles: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/cancelBubble)
+ */
+ cancelBubble: boolean;
+ /**
+ * Returns true or false depending on how event was initialized. Its return value does not always carry meaning, but true can indicate that part of the operation during which event was dispatched, can be canceled by invoking the preventDefault() method.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/cancelable)
+ */
+ readonly cancelable: boolean;
+ /**
+ * Returns true or false depending on how event was initialized. True if event invokes listeners past a ShadowRoot node that is the root of its target, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/composed)
+ */
+ readonly composed: boolean;
+ /**
+ * Returns the object whose event listener's callback is currently being invoked.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/currentTarget)
+ */
+ readonly currentTarget: EventTarget | null;
+ /**
+ * Returns true if preventDefault() was invoked successfully to indicate cancelation, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/defaultPrevented)
+ */
+ readonly defaultPrevented: boolean;
+ /**
+ * Returns the event's phase, which is one of NONE, CAPTURING_PHASE, AT_TARGET, and BUBBLING_PHASE.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/eventPhase)
+ */
+ readonly eventPhase: number;
+ /**
+ * Returns true if event was dispatched by the user agent, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/isTrusted)
+ */
+ readonly isTrusted: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/returnValue)
+ */
+ returnValue: boolean;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/srcElement)
+ */
+ readonly srcElement: EventTarget | null;
+ /**
+ * Returns the object to which event is dispatched (its target).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/target)
+ */
+ readonly target: EventTarget | null;
+ /**
+ * Returns the event's timestamp as the number of milliseconds measured relative to the time origin.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/timeStamp)
+ */
+ readonly timeStamp: DOMHighResTimeStamp;
+ /**
+ * Returns the type of event, e.g. "click", "hashchange", or "submit".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/type)
+ */
+ readonly type: string;
+ /**
+ * Returns the invocation target objects of event's path (objects on which listeners will be invoked), except for any nodes in shadow trees of which the shadow root's mode is "closed" that are not reachable from event's currentTarget.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/composedPath)
+ */
+ composedPath(): EventTarget[];
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/initEvent)
+ */
+ initEvent(type: string, bubbles?: boolean, cancelable?: boolean): void;
+ /**
+ * If invoked when the cancelable attribute value is true, and while executing a listener for the event with passive set to false, signals to the operation that caused event to be dispatched that it needs to be canceled.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/preventDefault)
+ */
+ preventDefault(): void;
+ /**
+ * Invoking this method prevents event from reaching any registered event listeners after the current one finishes running and, when dispatched in a tree, also prevents event from reaching any other objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/stopImmediatePropagation)
+ */
+ stopImmediatePropagation(): void;
+ /**
+ * When dispatched in a tree, invoking this method prevents event from reaching any objects other than the current object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Event/stopPropagation)
+ */
+ stopPropagation(): void;
+ readonly NONE: 0;
+ readonly CAPTURING_PHASE: 1;
+ readonly AT_TARGET: 2;
+ readonly BUBBLING_PHASE: 3;
+}
+
+declare var Event: {
+ prototype: Event;
+ new(type: string, eventInitDict?: EventInit): Event;
+ readonly NONE: 0;
+ readonly CAPTURING_PHASE: 1;
+ readonly AT_TARGET: 2;
+ readonly BUBBLING_PHASE: 3;
+};
+
+interface EventListener {
+ (evt: Event): void;
+}
+
+interface EventListenerObject {
+ handleEvent(object: Event): void;
+}
+
+interface EventSourceEventMap {
+ "error": Event;
+ "message": MessageEvent;
+ "open": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource) */
+interface EventSource extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/error_event) */
+ onerror: ((this: EventSource, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/message_event) */
+ onmessage: ((this: EventSource, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/open_event) */
+ onopen: ((this: EventSource, ev: Event) => any) | null;
+ /**
+ * Returns the state of this EventSource object's connection. It can have the values described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/readyState)
+ */
+ readonly readyState: number;
+ /**
+ * Returns the URL providing the event stream.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/url)
+ */
+ readonly url: string;
+ /**
+ * Returns true if the credentials mode for connection requests to the URL providing the event stream is set to "include", and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/withCredentials)
+ */
+ readonly withCredentials: boolean;
+ /**
+ * Aborts any instances of the fetch algorithm started for this EventSource object, and sets the readyState attribute to CLOSED.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventSource/close)
+ */
+ close(): void;
+ readonly CONNECTING: 0;
+ readonly OPEN: 1;
+ readonly CLOSED: 2;
+ addEventListener<K extends keyof EventSourceEventMap>(type: K, listener: (this: EventSource, ev: EventSourceEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: (this: EventSource, event: MessageEvent) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof EventSourceEventMap>(type: K, listener: (this: EventSource, ev: EventSourceEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: (this: EventSource, event: MessageEvent) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var EventSource: {
+ prototype: EventSource;
+ new(url: string | URL, eventSourceInitDict?: EventSourceInit): EventSource;
+ readonly CONNECTING: 0;
+ readonly OPEN: 1;
+ readonly CLOSED: 2;
+};
+
+/**
+ * EventTarget is a DOM interface implemented by objects that can receive events and may have listeners for them.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget)
+ */
+interface EventTarget {
+ /**
+ * Appends an event listener for events whose type attribute value is type. The callback argument sets the callback that will be invoked when the event is dispatched.
+ *
+ * The options argument sets listener-specific options. For compatibility this can be a boolean, in which case the method behaves exactly as if the value was specified as options's capture.
+ *
+ * When set to true, options's capture prevents callback from being invoked when the event's eventPhase attribute value is BUBBLING_PHASE. When false (or not present), callback will not be invoked when event's eventPhase attribute value is CAPTURING_PHASE. Either way, callback will be invoked if event's eventPhase attribute value is AT_TARGET.
+ *
+ * When set to true, options's passive indicates that the callback will not cancel the event by invoking preventDefault(). This is used to enable performance optimizations described in § 2.8 Observing event listeners.
+ *
+ * When set to true, options's once indicates that the callback will only be invoked once after which the event listener will be removed.
+ *
+ * If an AbortSignal is passed for options's signal, then the event listener will be removed when signal is aborted.
+ *
+ * The event listener is appended to target's event listener list and is not appended if it has the same type, callback, and capture.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/addEventListener)
+ */
+ addEventListener(type: string, callback: EventListenerOrEventListenerObject | null, options?: AddEventListenerOptions | boolean): void;
+ /**
+ * Dispatches a synthetic event event to target and returns true if either event's cancelable attribute value is false or its preventDefault() method was not invoked, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/dispatchEvent)
+ */
+ dispatchEvent(event: Event): boolean;
+ /**
+ * Removes the event listener in target's event listener list with the same type, callback, and options.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/removeEventListener)
+ */
+ removeEventListener(type: string, callback: EventListenerOrEventListenerObject | null, options?: EventListenerOptions | boolean): void;
+}
+
+declare var EventTarget: {
+ prototype: EventTarget;
+ new(): EventTarget;
+};
+
+/**
+ * Extends the lifetime of the install and activate events dispatched on the global scope as part of the service worker lifecycle. This ensures that any functional events (like FetchEvent) are not dispatched until it upgrades database schemas and deletes the outdated cache entries.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ExtendableEvent)
+ */
+interface ExtendableEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ExtendableEvent/waitUntil) */
+ waitUntil(f: Promise<any>): void;
+}
+
+declare var ExtendableEvent: {
+ prototype: ExtendableEvent;
+ new(type: string, eventInitDict?: ExtendableEventInit): ExtendableEvent;
+};
+
+/**
+ * This ServiceWorker API interface represents the event object of a message event fired on a service worker (when a channel message is received on the ServiceWorkerGlobalScope from another context) — extends the lifetime of such events.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ExtendableMessageEvent)
+ */
+interface ExtendableMessageEvent extends ExtendableEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ExtendableMessageEvent/data) */
+ readonly data: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ExtendableMessageEvent/lastEventId) */
+ readonly lastEventId: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ExtendableMessageEvent/origin) */
+ readonly origin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ExtendableMessageEvent/ports) */
+ readonly ports: ReadonlyArray<MessagePort>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ExtendableMessageEvent/source) */
+ readonly source: Client | ServiceWorker | MessagePort | null;
+}
+
+declare var ExtendableMessageEvent: {
+ prototype: ExtendableMessageEvent;
+ new(type: string, eventInitDict?: ExtendableMessageEventInit): ExtendableMessageEvent;
+};
+
+/**
+ * This is the event type for fetch events dispatched on the service worker global scope. It contains information about the fetch, including the request and how the receiver will treat the response. It provides the event.respondWith() method, which allows us to provide a response to this fetch.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FetchEvent)
+ */
+interface FetchEvent extends ExtendableEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FetchEvent/clientId) */
+ readonly clientId: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FetchEvent/handled) */
+ readonly handled: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FetchEvent/preloadResponse) */
+ readonly preloadResponse: Promise<any>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FetchEvent/request) */
+ readonly request: Request;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FetchEvent/resultingClientId) */
+ readonly resultingClientId: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FetchEvent/respondWith) */
+ respondWith(r: Response | PromiseLike<Response>): void;
+}
+
+declare var FetchEvent: {
+ prototype: FetchEvent;
+ new(type: string, eventInitDict: FetchEventInit): FetchEvent;
+};
+
+/**
+ * Provides information about files and allows JavaScript in a web page to access their content.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/File)
+ */
+interface File extends Blob {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/File/lastModified) */
+ readonly lastModified: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/File/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/File/webkitRelativePath) */
+ readonly webkitRelativePath: string;
+}
+
+declare var File: {
+ prototype: File;
+ new(fileBits: BlobPart[], fileName: string, options?: FilePropertyBag): File;
+};
+
+/**
+ * An object of this type is returned by the files property of the HTML <input> element; this lets you access the list of files selected with the <input type="file"> element. It's also used for a list of files dropped into web content when using the drag and drop API; see the DataTransfer object for details on this usage.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileList)
+ */
+interface FileList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileList/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileList/item) */
+ item(index: number): File | null;
+ [index: number]: File;
+}
+
+declare var FileList: {
+ prototype: FileList;
+ new(): FileList;
+};
+
+interface FileReaderEventMap {
+ "abort": ProgressEvent<FileReader>;
+ "error": ProgressEvent<FileReader>;
+ "load": ProgressEvent<FileReader>;
+ "loadend": ProgressEvent<FileReader>;
+ "loadstart": ProgressEvent<FileReader>;
+ "progress": ProgressEvent<FileReader>;
+}
+
+/**
+ * Lets web applications asynchronously read the contents of files (or raw data buffers) stored on the user's computer, using File or Blob objects to specify the file or data to read.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader)
+ */
+interface FileReader extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/error) */
+ readonly error: DOMException | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/abort_event) */
+ onabort: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/error_event) */
+ onerror: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/load_event) */
+ onload: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/loadend_event) */
+ onloadend: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/loadstart_event) */
+ onloadstart: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/progress_event) */
+ onprogress: ((this: FileReader, ev: ProgressEvent<FileReader>) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readyState) */
+ readonly readyState: typeof FileReader.EMPTY | typeof FileReader.LOADING | typeof FileReader.DONE;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/result) */
+ readonly result: string | ArrayBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/abort) */
+ abort(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readAsArrayBuffer) */
+ readAsArrayBuffer(blob: Blob): void;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readAsBinaryString)
+ */
+ readAsBinaryString(blob: Blob): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readAsDataURL) */
+ readAsDataURL(blob: Blob): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReader/readAsText) */
+ readAsText(blob: Blob, encoding?: string): void;
+ readonly EMPTY: 0;
+ readonly LOADING: 1;
+ readonly DONE: 2;
+ addEventListener<K extends keyof FileReaderEventMap>(type: K, listener: (this: FileReader, ev: FileReaderEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof FileReaderEventMap>(type: K, listener: (this: FileReader, ev: FileReaderEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var FileReader: {
+ prototype: FileReader;
+ new(): FileReader;
+ readonly EMPTY: 0;
+ readonly LOADING: 1;
+ readonly DONE: 2;
+};
+
+/**
+ * Allows to read File or Blob objects in a synchronous way.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReaderSync)
+ */
+interface FileReaderSync {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReaderSync/readAsArrayBuffer) */
+ readAsArrayBuffer(blob: Blob): ArrayBuffer;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReaderSync/readAsBinaryString)
+ */
+ readAsBinaryString(blob: Blob): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReaderSync/readAsDataURL) */
+ readAsDataURL(blob: Blob): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileReaderSync/readAsText) */
+ readAsText(blob: Blob, encoding?: string): string;
+}
+
+declare var FileReaderSync: {
+ prototype: FileReaderSync;
+ new(): FileReaderSync;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle)
+ */
+interface FileSystemDirectoryHandle extends FileSystemHandle {
+ readonly kind: "directory";
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle/getDirectoryHandle) */
+ getDirectoryHandle(name: string, options?: FileSystemGetDirectoryOptions): Promise<FileSystemDirectoryHandle>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle/getFileHandle) */
+ getFileHandle(name: string, options?: FileSystemGetFileOptions): Promise<FileSystemFileHandle>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle/removeEntry) */
+ removeEntry(name: string, options?: FileSystemRemoveOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemDirectoryHandle/resolve) */
+ resolve(possibleDescendant: FileSystemHandle): Promise<string[] | null>;
+}
+
+declare var FileSystemDirectoryHandle: {
+ prototype: FileSystemDirectoryHandle;
+ new(): FileSystemDirectoryHandle;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileHandle)
+ */
+interface FileSystemFileHandle extends FileSystemHandle {
+ readonly kind: "file";
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileHandle/createSyncAccessHandle) */
+ createSyncAccessHandle(): Promise<FileSystemSyncAccessHandle>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileHandle/createWritable) */
+ createWritable(options?: FileSystemCreateWritableOptions): Promise<FileSystemWritableFileStream>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemFileHandle/getFile) */
+ getFile(): Promise<File>;
+}
+
+declare var FileSystemFileHandle: {
+ prototype: FileSystemFileHandle;
+ new(): FileSystemFileHandle;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemHandle)
+ */
+interface FileSystemHandle {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemHandle/kind) */
+ readonly kind: FileSystemHandleKind;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemHandle/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemHandle/isSameEntry) */
+ isSameEntry(other: FileSystemHandle): Promise<boolean>;
+}
+
+declare var FileSystemHandle: {
+ prototype: FileSystemHandle;
+ new(): FileSystemHandle;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemSyncAccessHandle)
+ */
+interface FileSystemSyncAccessHandle {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemSyncAccessHandle/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemSyncAccessHandle/flush) */
+ flush(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemSyncAccessHandle/getSize) */
+ getSize(): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemSyncAccessHandle/read) */
+ read(buffer: AllowSharedBufferSource, options?: FileSystemReadWriteOptions): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemSyncAccessHandle/truncate) */
+ truncate(newSize: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemSyncAccessHandle/write) */
+ write(buffer: AllowSharedBufferSource, options?: FileSystemReadWriteOptions): number;
+}
+
+declare var FileSystemSyncAccessHandle: {
+ prototype: FileSystemSyncAccessHandle;
+ new(): FileSystemSyncAccessHandle;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemWritableFileStream)
+ */
+interface FileSystemWritableFileStream extends WritableStream {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemWritableFileStream/seek) */
+ seek(position: number): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemWritableFileStream/truncate) */
+ truncate(size: number): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FileSystemWritableFileStream/write) */
+ write(data: FileSystemWriteChunkType): Promise<void>;
+}
+
+declare var FileSystemWritableFileStream: {
+ prototype: FileSystemWritableFileStream;
+ new(): FileSystemWritableFileStream;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace) */
+interface FontFace {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/ascentOverride) */
+ ascentOverride: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/descentOverride) */
+ descentOverride: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/display) */
+ display: FontDisplay;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/family) */
+ family: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/featureSettings) */
+ featureSettings: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/lineGapOverride) */
+ lineGapOverride: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/loaded) */
+ readonly loaded: Promise<FontFace>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/status) */
+ readonly status: FontFaceLoadStatus;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/stretch) */
+ stretch: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/style) */
+ style: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/unicodeRange) */
+ unicodeRange: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/weight) */
+ weight: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFace/load) */
+ load(): Promise<FontFace>;
+}
+
+declare var FontFace: {
+ prototype: FontFace;
+ new(family: string, source: string | BinaryData, descriptors?: FontFaceDescriptors): FontFace;
+};
+
+interface FontFaceSetEventMap {
+ "loading": Event;
+ "loadingdone": Event;
+ "loadingerror": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet) */
+interface FontFaceSet extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/loading_event) */
+ onloading: ((this: FontFaceSet, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/loadingdone_event) */
+ onloadingdone: ((this: FontFaceSet, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/loadingerror_event) */
+ onloadingerror: ((this: FontFaceSet, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/ready) */
+ readonly ready: Promise<FontFaceSet>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/status) */
+ readonly status: FontFaceSetLoadStatus;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/check) */
+ check(font: string, text?: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSet/load) */
+ load(font: string, text?: string): Promise<FontFace[]>;
+ forEach(callbackfn: (value: FontFace, key: FontFace, parent: FontFaceSet) => void, thisArg?: any): void;
+ addEventListener<K extends keyof FontFaceSetEventMap>(type: K, listener: (this: FontFaceSet, ev: FontFaceSetEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof FontFaceSetEventMap>(type: K, listener: (this: FontFaceSet, ev: FontFaceSetEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var FontFaceSet: {
+ prototype: FontFaceSet;
+ new(initialFaces: FontFace[]): FontFaceSet;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSetLoadEvent) */
+interface FontFaceSetLoadEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FontFaceSetLoadEvent/fontfaces) */
+ readonly fontfaces: ReadonlyArray<FontFace>;
+}
+
+declare var FontFaceSetLoadEvent: {
+ prototype: FontFaceSetLoadEvent;
+ new(type: string, eventInitDict?: FontFaceSetLoadEventInit): FontFaceSetLoadEvent;
+};
+
+interface FontFaceSource {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fonts) */
+ readonly fonts: FontFaceSet;
+}
+
+/**
+ * Provides a way to easily construct a set of key/value pairs representing form fields and their values, which can then be easily sent using the XMLHttpRequest.send() method. It uses the same format a form would use if the encoding type were set to "multipart/form-data".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData)
+ */
+interface FormData {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/append) */
+ append(name: string, value: string | Blob): void;
+ append(name: string, value: string): void;
+ append(name: string, blobValue: Blob, filename?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/delete) */
+ delete(name: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/get) */
+ get(name: string): FormDataEntryValue | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/getAll) */
+ getAll(name: string): FormDataEntryValue[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/has) */
+ has(name: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/FormData/set) */
+ set(name: string, value: string | Blob): void;
+ set(name: string, value: string): void;
+ set(name: string, blobValue: Blob, filename?: string): void;
+ forEach(callbackfn: (value: FormDataEntryValue, key: string, parent: FormData) => void, thisArg?: any): void;
+}
+
+declare var FormData: {
+ prototype: FormData;
+ new(): FormData;
+};
+
+interface GenericTransformStream {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompressionStream/readable) */
+ readonly readable: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CompressionStream/writable) */
+ readonly writable: WritableStream;
+}
+
+/**
+ * This Fetch API interface allows you to perform various actions on HTTP request and response headers. These actions include retrieving, setting, adding to, and removing. A Headers object has an associated header list, which is initially empty and consists of zero or more name and value pairs.  You can add to this using methods like append() (see Examples.) In all methods of this interface, header names are matched by case-insensitive byte sequence.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers)
+ */
+interface Headers {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/append) */
+ append(name: string, value: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/delete) */
+ delete(name: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/get) */
+ get(name: string): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/getSetCookie) */
+ getSetCookie(): string[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/has) */
+ has(name: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Headers/set) */
+ set(name: string, value: string): void;
+ forEach(callbackfn: (value: string, key: string, parent: Headers) => void, thisArg?: any): void;
+}
+
+declare var Headers: {
+ prototype: Headers;
+ new(init?: HeadersInit): Headers;
+};
+
+/**
+ * This IndexedDB API interface represents a cursor for traversing or iterating over multiple records in a database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor)
+ */
+interface IDBCursor {
+ /**
+ * Returns the direction ("next", "nextunique", "prev" or "prevunique") of the cursor.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/direction)
+ */
+ readonly direction: IDBCursorDirection;
+ /**
+ * Returns the key of the cursor. Throws a "InvalidStateError" DOMException if the cursor is advancing or is finished.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/key)
+ */
+ readonly key: IDBValidKey;
+ /**
+ * Returns the effective key of the cursor. Throws a "InvalidStateError" DOMException if the cursor is advancing or is finished.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/primaryKey)
+ */
+ readonly primaryKey: IDBValidKey;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/request) */
+ readonly request: IDBRequest;
+ /**
+ * Returns the IDBObjectStore or IDBIndex the cursor was opened from.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/source)
+ */
+ readonly source: IDBObjectStore | IDBIndex;
+ /**
+ * Advances the cursor through the next count records in range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/advance)
+ */
+ advance(count: number): void;
+ /**
+ * Advances the cursor to the next record in range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/continue)
+ */
+ continue(key?: IDBValidKey): void;
+ /**
+ * Advances the cursor to the next record in range matching or after key and primaryKey. Throws an "InvalidAccessError" DOMException if the source is not an index.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/continuePrimaryKey)
+ */
+ continuePrimaryKey(key: IDBValidKey, primaryKey: IDBValidKey): void;
+ /**
+ * Delete the record pointed at by the cursor with a new value.
+ *
+ * If successful, request's result will be undefined.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/delete)
+ */
+ delete(): IDBRequest<undefined>;
+ /**
+ * Updated the record pointed at by the cursor with a new value.
+ *
+ * Throws a "DataError" DOMException if the effective object store uses in-line keys and the key would have changed.
+ *
+ * If successful, request's result will be the record's key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursor/update)
+ */
+ update(value: any): IDBRequest<IDBValidKey>;
+}
+
+declare var IDBCursor: {
+ prototype: IDBCursor;
+ new(): IDBCursor;
+};
+
+/**
+ * This IndexedDB API interface represents a cursor for traversing or iterating over multiple records in a database. It is the same as the IDBCursor, except that it includes the value property.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursorWithValue)
+ */
+interface IDBCursorWithValue extends IDBCursor {
+ /**
+ * Returns the cursor's current value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBCursorWithValue/value)
+ */
+ readonly value: any;
+}
+
+declare var IDBCursorWithValue: {
+ prototype: IDBCursorWithValue;
+ new(): IDBCursorWithValue;
+};
+
+interface IDBDatabaseEventMap {
+ "abort": Event;
+ "close": Event;
+ "error": Event;
+ "versionchange": IDBVersionChangeEvent;
+}
+
+/**
+ * This IndexedDB API interface provides a connection to a database; you can use an IDBDatabase object to open a transaction on your database then create, manipulate, and delete objects (data) in that database. The interface provides the only way to get and manage versions of the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase)
+ */
+interface IDBDatabase extends EventTarget {
+ /**
+ * Returns the name of the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/name)
+ */
+ readonly name: string;
+ /**
+ * Returns a list of the names of object stores in the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/objectStoreNames)
+ */
+ readonly objectStoreNames: DOMStringList;
+ onabort: ((this: IDBDatabase, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/close_event) */
+ onclose: ((this: IDBDatabase, ev: Event) => any) | null;
+ onerror: ((this: IDBDatabase, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/versionchange_event) */
+ onversionchange: ((this: IDBDatabase, ev: IDBVersionChangeEvent) => any) | null;
+ /**
+ * Returns the version of the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/version)
+ */
+ readonly version: number;
+ /**
+ * Closes the connection once all running transactions have finished.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/close)
+ */
+ close(): void;
+ /**
+ * Creates a new object store with the given name and options and returns a new IDBObjectStore.
+ *
+ * Throws a "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/createObjectStore)
+ */
+ createObjectStore(name: string, options?: IDBObjectStoreParameters): IDBObjectStore;
+ /**
+ * Deletes the object store with the given name.
+ *
+ * Throws a "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/deleteObjectStore)
+ */
+ deleteObjectStore(name: string): void;
+ /**
+ * Returns a new transaction with the given mode ("readonly" or "readwrite") and scope which can be a single object store name or an array of names.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/transaction)
+ */
+ transaction(storeNames: string | string[], mode?: IDBTransactionMode, options?: IDBTransactionOptions): IDBTransaction;
+ addEventListener<K extends keyof IDBDatabaseEventMap>(type: K, listener: (this: IDBDatabase, ev: IDBDatabaseEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof IDBDatabaseEventMap>(type: K, listener: (this: IDBDatabase, ev: IDBDatabaseEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var IDBDatabase: {
+ prototype: IDBDatabase;
+ new(): IDBDatabase;
+};
+
+/**
+ * In the following code snippet, we make a request to open a database, and include handlers for the success and error cases. For a full working example, see our To-do Notifications app (view example live.)
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory)
+ */
+interface IDBFactory {
+ /**
+ * Compares two values as keys. Returns -1 if key1 precedes key2, 1 if key2 precedes key1, and 0 if the keys are equal.
+ *
+ * Throws a "DataError" DOMException if either input is not a valid key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory/cmp)
+ */
+ cmp(first: any, second: any): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory/databases) */
+ databases(): Promise<IDBDatabaseInfo[]>;
+ /**
+ * Attempts to delete the named database. If the database already exists and there are open connections that don't close in response to a versionchange event, the request will be blocked until all they close. If the request is successful request's result will be null.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory/deleteDatabase)
+ */
+ deleteDatabase(name: string): IDBOpenDBRequest;
+ /**
+ * Attempts to open a connection to the named database with the current version, or 1 if it does not already exist. If the request is successful request's result will be the connection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBFactory/open)
+ */
+ open(name: string, version?: number): IDBOpenDBRequest;
+}
+
+declare var IDBFactory: {
+ prototype: IDBFactory;
+ new(): IDBFactory;
+};
+
+/**
+ * IDBIndex interface of the IndexedDB API provides asynchronous access to an index in a database. An index is a kind of object store for looking up records in another object store, called the referenced object store. You use this interface to retrieve data.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex)
+ */
+interface IDBIndex {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/keyPath) */
+ readonly keyPath: string | string[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/multiEntry) */
+ readonly multiEntry: boolean;
+ /**
+ * Returns the name of the index.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/name)
+ */
+ name: string;
+ /**
+ * Returns the IDBObjectStore the index belongs to.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/objectStore)
+ */
+ readonly objectStore: IDBObjectStore;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/unique) */
+ readonly unique: boolean;
+ /**
+ * Retrieves the number of records matching the given key or key range in query.
+ *
+ * If successful, request's result will be the count.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/count)
+ */
+ count(query?: IDBValidKey | IDBKeyRange): IDBRequest<number>;
+ /**
+ * Retrieves the value of the first record matching the given key or key range in query.
+ *
+ * If successful, request's result will be the value, or undefined if there was no matching record.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/get)
+ */
+ get(query: IDBValidKey | IDBKeyRange): IDBRequest<any>;
+ /**
+ * Retrieves the values of the records matching the given key or key range in query (up to count if given).
+ *
+ * If successful, request's result will be an Array of the values.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/getAll)
+ */
+ getAll(query?: IDBValidKey | IDBKeyRange | null, count?: number): IDBRequest<any[]>;
+ /**
+ * Retrieves the keys of records matching the given key or key range in query (up to count if given).
+ *
+ * If successful, request's result will be an Array of the keys.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/getAllKeys)
+ */
+ getAllKeys(query?: IDBValidKey | IDBKeyRange | null, count?: number): IDBRequest<IDBValidKey[]>;
+ /**
+ * Retrieves the key of the first record matching the given key or key range in query.
+ *
+ * If successful, request's result will be the key, or undefined if there was no matching record.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/getKey)
+ */
+ getKey(query: IDBValidKey | IDBKeyRange): IDBRequest<IDBValidKey | undefined>;
+ /**
+ * Opens a cursor over the records matching query, ordered by direction. If query is null, all records in index are matched.
+ *
+ * If successful, request's result will be an IDBCursorWithValue, or null if there were no matching records.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/openCursor)
+ */
+ openCursor(query?: IDBValidKey | IDBKeyRange | null, direction?: IDBCursorDirection): IDBRequest<IDBCursorWithValue | null>;
+ /**
+ * Opens a cursor with key only flag set over the records matching query, ordered by direction. If query is null, all records in index are matched.
+ *
+ * If successful, request's result will be an IDBCursor, or null if there were no matching records.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBIndex/openKeyCursor)
+ */
+ openKeyCursor(query?: IDBValidKey | IDBKeyRange | null, direction?: IDBCursorDirection): IDBRequest<IDBCursor | null>;
+}
+
+declare var IDBIndex: {
+ prototype: IDBIndex;
+ new(): IDBIndex;
+};
+
+/**
+ * A key range can be a single value or a range with upper and lower bounds or endpoints. If the key range has both upper and lower bounds, then it is bounded; if it has no bounds, it is unbounded. A bounded key range can either be open (the endpoints are excluded) or closed (the endpoints are included). To retrieve all keys within a certain range, you can use the following code constructs:
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange)
+ */
+interface IDBKeyRange {
+ /**
+ * Returns lower bound, or undefined if none.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/lower)
+ */
+ readonly lower: any;
+ /**
+ * Returns true if the lower open flag is set, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/lowerOpen)
+ */
+ readonly lowerOpen: boolean;
+ /**
+ * Returns upper bound, or undefined if none.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/upper)
+ */
+ readonly upper: any;
+ /**
+ * Returns true if the upper open flag is set, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/upperOpen)
+ */
+ readonly upperOpen: boolean;
+ /**
+ * Returns true if key is included in the range, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/includes)
+ */
+ includes(key: any): boolean;
+}
+
+declare var IDBKeyRange: {
+ prototype: IDBKeyRange;
+ new(): IDBKeyRange;
+ /**
+ * Returns a new IDBKeyRange spanning from lower to upper. If lowerOpen is true, lower is not included in the range. If upperOpen is true, upper is not included in the range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/bound_static)
+ */
+ bound(lower: any, upper: any, lowerOpen?: boolean, upperOpen?: boolean): IDBKeyRange;
+ /**
+ * Returns a new IDBKeyRange starting at key with no upper bound. If open is true, key is not included in the range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/lowerBound_static)
+ */
+ lowerBound(lower: any, open?: boolean): IDBKeyRange;
+ /**
+ * Returns a new IDBKeyRange spanning only key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/only_static)
+ */
+ only(value: any): IDBKeyRange;
+ /**
+ * Returns a new IDBKeyRange with no lower bound and ending at key. If open is true, key is not included in the range.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBKeyRange/upperBound_static)
+ */
+ upperBound(upper: any, open?: boolean): IDBKeyRange;
+};
+
+/**
+ * This example shows a variety of different uses of object stores, from updating the data structure with IDBObjectStore.createIndex inside an onupgradeneeded function, to adding a new item to our object store with IDBObjectStore.add. For a full working example, see our To-do Notifications app (view example live.)
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore)
+ */
+interface IDBObjectStore {
+ /**
+ * Returns true if the store has a key generator, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/autoIncrement)
+ */
+ readonly autoIncrement: boolean;
+ /**
+ * Returns a list of the names of indexes in the store.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/indexNames)
+ */
+ readonly indexNames: DOMStringList;
+ /**
+ * Returns the key path of the store, or null if none.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/keyPath)
+ */
+ readonly keyPath: string | string[];
+ /**
+ * Returns the name of the store.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/name)
+ */
+ name: string;
+ /**
+ * Returns the associated transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/transaction)
+ */
+ readonly transaction: IDBTransaction;
+ /**
+ * Adds or updates a record in store with the given value and key.
+ *
+ * If the store uses in-line keys and key is specified a "DataError" DOMException will be thrown.
+ *
+ * If put() is used, any existing record with the key will be replaced. If add() is used, and if a record with the key already exists the request will fail, with request's error set to a "ConstraintError" DOMException.
+ *
+ * If successful, request's result will be the record's key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/add)
+ */
+ add(value: any, key?: IDBValidKey): IDBRequest<IDBValidKey>;
+ /**
+ * Deletes all records in store.
+ *
+ * If successful, request's result will be undefined.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/clear)
+ */
+ clear(): IDBRequest<undefined>;
+ /**
+ * Retrieves the number of records matching the given key or key range in query.
+ *
+ * If successful, request's result will be the count.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/count)
+ */
+ count(query?: IDBValidKey | IDBKeyRange): IDBRequest<number>;
+ /**
+ * Creates a new index in store with the given name, keyPath and options and returns a new IDBIndex. If the keyPath and options define constraints that cannot be satisfied with the data already in store the upgrade transaction will abort with a "ConstraintError" DOMException.
+ *
+ * Throws an "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/createIndex)
+ */
+ createIndex(name: string, keyPath: string | string[], options?: IDBIndexParameters): IDBIndex;
+ /**
+ * Deletes records in store with the given key or in the given key range in query.
+ *
+ * If successful, request's result will be undefined.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/delete)
+ */
+ delete(query: IDBValidKey | IDBKeyRange): IDBRequest<undefined>;
+ /**
+ * Deletes the index in store with the given name.
+ *
+ * Throws an "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/deleteIndex)
+ */
+ deleteIndex(name: string): void;
+ /**
+ * Retrieves the value of the first record matching the given key or key range in query.
+ *
+ * If successful, request's result will be the value, or undefined if there was no matching record.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/get)
+ */
+ get(query: IDBValidKey | IDBKeyRange): IDBRequest<any>;
+ /**
+ * Retrieves the values of the records matching the given key or key range in query (up to count if given).
+ *
+ * If successful, request's result will be an Array of the values.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/getAll)
+ */
+ getAll(query?: IDBValidKey | IDBKeyRange | null, count?: number): IDBRequest<any[]>;
+ /**
+ * Retrieves the keys of records matching the given key or key range in query (up to count if given).
+ *
+ * If successful, request's result will be an Array of the keys.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/getAllKeys)
+ */
+ getAllKeys(query?: IDBValidKey | IDBKeyRange | null, count?: number): IDBRequest<IDBValidKey[]>;
+ /**
+ * Retrieves the key of the first record matching the given key or key range in query.
+ *
+ * If successful, request's result will be the key, or undefined if there was no matching record.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/getKey)
+ */
+ getKey(query: IDBValidKey | IDBKeyRange): IDBRequest<IDBValidKey | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/index) */
+ index(name: string): IDBIndex;
+ /**
+ * Opens a cursor over the records matching query, ordered by direction. If query is null, all records in store are matched.
+ *
+ * If successful, request's result will be an IDBCursorWithValue pointing at the first matching record, or null if there were no matching records.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/openCursor)
+ */
+ openCursor(query?: IDBValidKey | IDBKeyRange | null, direction?: IDBCursorDirection): IDBRequest<IDBCursorWithValue | null>;
+ /**
+ * Opens a cursor with key only flag set over the records matching query, ordered by direction. If query is null, all records in store are matched.
+ *
+ * If successful, request's result will be an IDBCursor pointing at the first matching record, or null if there were no matching records.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/openKeyCursor)
+ */
+ openKeyCursor(query?: IDBValidKey | IDBKeyRange | null, direction?: IDBCursorDirection): IDBRequest<IDBCursor | null>;
+ /**
+ * Adds or updates a record in store with the given value and key.
+ *
+ * If the store uses in-line keys and key is specified a "DataError" DOMException will be thrown.
+ *
+ * If put() is used, any existing record with the key will be replaced. If add() is used, and if a record with the key already exists the request will fail, with request's error set to a "ConstraintError" DOMException.
+ *
+ * If successful, request's result will be the record's key.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/put)
+ */
+ put(value: any, key?: IDBValidKey): IDBRequest<IDBValidKey>;
+}
+
+declare var IDBObjectStore: {
+ prototype: IDBObjectStore;
+ new(): IDBObjectStore;
+};
+
+interface IDBOpenDBRequestEventMap extends IDBRequestEventMap {
+ "blocked": IDBVersionChangeEvent;
+ "upgradeneeded": IDBVersionChangeEvent;
+}
+
+/**
+ * Also inherits methods from its parents IDBRequest and EventTarget.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBOpenDBRequest)
+ */
+interface IDBOpenDBRequest extends IDBRequest<IDBDatabase> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBOpenDBRequest/blocked_event) */
+ onblocked: ((this: IDBOpenDBRequest, ev: IDBVersionChangeEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBOpenDBRequest/upgradeneeded_event) */
+ onupgradeneeded: ((this: IDBOpenDBRequest, ev: IDBVersionChangeEvent) => any) | null;
+ addEventListener<K extends keyof IDBOpenDBRequestEventMap>(type: K, listener: (this: IDBOpenDBRequest, ev: IDBOpenDBRequestEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof IDBOpenDBRequestEventMap>(type: K, listener: (this: IDBOpenDBRequest, ev: IDBOpenDBRequestEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var IDBOpenDBRequest: {
+ prototype: IDBOpenDBRequest;
+ new(): IDBOpenDBRequest;
+};
+
+interface IDBRequestEventMap {
+ "error": Event;
+ "success": Event;
+}
+
+/**
+ * The request object does not initially contain any information about the result of the operation, but once information becomes available, an event is fired on the request, and the information becomes available through the properties of the IDBRequest instance.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest)
+ */
+interface IDBRequest<T = any> extends EventTarget {
+ /**
+ * When a request is completed, returns the error (a DOMException), or null if the request succeeded. Throws a "InvalidStateError" DOMException if the request is still pending.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/error)
+ */
+ readonly error: DOMException | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/error_event) */
+ onerror: ((this: IDBRequest<T>, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/success_event) */
+ onsuccess: ((this: IDBRequest<T>, ev: Event) => any) | null;
+ /**
+ * Returns "pending" until a request is complete, then returns "done".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/readyState)
+ */
+ readonly readyState: IDBRequestReadyState;
+ /**
+ * When a request is completed, returns the result, or undefined if the request failed. Throws a "InvalidStateError" DOMException if the request is still pending.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/result)
+ */
+ readonly result: T;
+ /**
+ * Returns the IDBObjectStore, IDBIndex, or IDBCursor the request was made against, or null if is was an open request.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/source)
+ */
+ readonly source: IDBObjectStore | IDBIndex | IDBCursor;
+ /**
+ * Returns the IDBTransaction the request was made within. If this as an open request, then it returns an upgrade transaction while it is running, or null otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBRequest/transaction)
+ */
+ readonly transaction: IDBTransaction | null;
+ addEventListener<K extends keyof IDBRequestEventMap>(type: K, listener: (this: IDBRequest<T>, ev: IDBRequestEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof IDBRequestEventMap>(type: K, listener: (this: IDBRequest<T>, ev: IDBRequestEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var IDBRequest: {
+ prototype: IDBRequest;
+ new(): IDBRequest;
+};
+
+interface IDBTransactionEventMap {
+ "abort": Event;
+ "complete": Event;
+ "error": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction) */
+interface IDBTransaction extends EventTarget {
+ /**
+ * Returns the transaction's connection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/db)
+ */
+ readonly db: IDBDatabase;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/durability) */
+ readonly durability: IDBTransactionDurability;
+ /**
+ * If the transaction was aborted, returns the error (a DOMException) providing the reason.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/error)
+ */
+ readonly error: DOMException | null;
+ /**
+ * Returns the mode the transaction was created with ("readonly" or "readwrite"), or "versionchange" for an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/mode)
+ */
+ readonly mode: IDBTransactionMode;
+ /**
+ * Returns a list of the names of object stores in the transaction's scope. For an upgrade transaction this is all object stores in the database.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/objectStoreNames)
+ */
+ readonly objectStoreNames: DOMStringList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/abort_event) */
+ onabort: ((this: IDBTransaction, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/complete_event) */
+ oncomplete: ((this: IDBTransaction, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/error_event) */
+ onerror: ((this: IDBTransaction, ev: Event) => any) | null;
+ /**
+ * Aborts the transaction. All pending requests will fail with a "AbortError" DOMException and all changes made to the database will be reverted.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/abort)
+ */
+ abort(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/commit) */
+ commit(): void;
+ /**
+ * Returns an IDBObjectStore in the transaction's scope.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBTransaction/objectStore)
+ */
+ objectStore(name: string): IDBObjectStore;
+ addEventListener<K extends keyof IDBTransactionEventMap>(type: K, listener: (this: IDBTransaction, ev: IDBTransactionEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof IDBTransactionEventMap>(type: K, listener: (this: IDBTransaction, ev: IDBTransactionEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var IDBTransaction: {
+ prototype: IDBTransaction;
+ new(): IDBTransaction;
+};
+
+/**
+ * This IndexedDB API interface indicates that the version of the database has changed, as the result of an IDBOpenDBRequest.onupgradeneeded event handler function.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBVersionChangeEvent)
+ */
+interface IDBVersionChangeEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBVersionChangeEvent/newVersion) */
+ readonly newVersion: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBVersionChangeEvent/oldVersion) */
+ readonly oldVersion: number;
+}
+
+declare var IDBVersionChangeEvent: {
+ prototype: IDBVersionChangeEvent;
+ new(type: string, eventInitDict?: IDBVersionChangeEventInit): IDBVersionChangeEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmap) */
+interface ImageBitmap {
+ /**
+ * Returns the intrinsic height of the image, in CSS pixels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmap/height)
+ */
+ readonly height: number;
+ /**
+ * Returns the intrinsic width of the image, in CSS pixels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmap/width)
+ */
+ readonly width: number;
+ /**
+ * Releases imageBitmap's underlying bitmap data.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmap/close)
+ */
+ close(): void;
+}
+
+declare var ImageBitmap: {
+ prototype: ImageBitmap;
+ new(): ImageBitmap;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmapRenderingContext) */
+interface ImageBitmapRenderingContext {
+ /**
+ * Transfers the underlying bitmap data from imageBitmap to context, and the bitmap becomes the contents of the canvas element to which context is bound.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageBitmapRenderingContext/transferFromImageBitmap)
+ */
+ transferFromImageBitmap(bitmap: ImageBitmap | null): void;
+}
+
+declare var ImageBitmapRenderingContext: {
+ prototype: ImageBitmapRenderingContext;
+ new(): ImageBitmapRenderingContext;
+};
+
+/**
+ * The underlying pixel data of an area of a <canvas> element. It is created using the ImageData() constructor or creator methods on the CanvasRenderingContext2D object associated with a canvas: createImageData() and getImageData(). It can also be used to set a part of the canvas by using putImageData().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData)
+ */
+interface ImageData {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData/colorSpace) */
+ readonly colorSpace: PredefinedColorSpace;
+ /**
+ * Returns the one-dimensional array containing the data in RGBA order, as integers in the range 0 to 255.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData/data)
+ */
+ readonly data: Uint8ClampedArray;
+ /**
+ * Returns the actual dimensions of the data in the ImageData object, in pixels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData/height)
+ */
+ readonly height: number;
+ /**
+ * Returns the actual dimensions of the data in the ImageData object, in pixels.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ImageData/width)
+ */
+ readonly width: number;
+}
+
+declare var ImageData: {
+ prototype: ImageData;
+ new(sw: number, sh: number, settings?: ImageDataSettings): ImageData;
+ new(data: Uint8ClampedArray, sw: number, sh?: number, settings?: ImageDataSettings): ImageData;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/KHR_parallel_shader_compile) */
+interface KHR_parallel_shader_compile {
+ readonly COMPLETION_STATUS_KHR: 0x91B1;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Lock)
+ */
+interface Lock {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Lock/mode) */
+ readonly mode: LockMode;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Lock/name) */
+ readonly name: string;
+}
+
+declare var Lock: {
+ prototype: Lock;
+ new(): Lock;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/LockManager)
+ */
+interface LockManager {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/LockManager/query) */
+ query(): Promise<LockManagerSnapshot>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/LockManager/request) */
+ request(name: string, callback: LockGrantedCallback): Promise<any>;
+ request(name: string, options: LockOptions, callback: LockGrantedCallback): Promise<any>;
+}
+
+declare var LockManager: {
+ prototype: LockManager;
+ new(): LockManager;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaCapabilities) */
+interface MediaCapabilities {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaCapabilities/decodingInfo) */
+ decodingInfo(configuration: MediaDecodingConfiguration): Promise<MediaCapabilitiesDecodingInfo>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MediaCapabilities/encodingInfo) */
+ encodingInfo(configuration: MediaEncodingConfiguration): Promise<MediaCapabilitiesEncodingInfo>;
+}
+
+declare var MediaCapabilities: {
+ prototype: MediaCapabilities;
+ new(): MediaCapabilities;
+};
+
+/**
+ * This Channel Messaging API interface allows us to create a new message channel and send data through it via its two MessagePort properties.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageChannel)
+ */
+interface MessageChannel {
+ /**
+ * Returns the first MessagePort object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageChannel/port1)
+ */
+ readonly port1: MessagePort;
+ /**
+ * Returns the second MessagePort object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageChannel/port2)
+ */
+ readonly port2: MessagePort;
+}
+
+declare var MessageChannel: {
+ prototype: MessageChannel;
+ new(): MessageChannel;
+};
+
+/**
+ * A message received by a target object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent)
+ */
+interface MessageEvent<T = any> extends Event {
+ /**
+ * Returns the data of the message.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/data)
+ */
+ readonly data: T;
+ /**
+ * Returns the last event ID string, for server-sent events.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/lastEventId)
+ */
+ readonly lastEventId: string;
+ /**
+ * Returns the origin of the message, for server-sent events and cross-document messaging.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/origin)
+ */
+ readonly origin: string;
+ /**
+ * Returns the MessagePort array sent with the message, for cross-document messaging and channel messaging.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/ports)
+ */
+ readonly ports: ReadonlyArray<MessagePort>;
+ /**
+ * Returns the WindowProxy of the source window, for cross-document messaging, and the MessagePort being attached, in the connect event fired at SharedWorkerGlobalScope objects.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/source)
+ */
+ readonly source: MessageEventSource | null;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/initMessageEvent)
+ */
+ initMessageEvent(type: string, bubbles?: boolean, cancelable?: boolean, data?: any, origin?: string, lastEventId?: string, source?: MessageEventSource | null, ports?: MessagePort[]): void;
+}
+
+declare var MessageEvent: {
+ prototype: MessageEvent;
+ new<T>(type: string, eventInitDict?: MessageEventInit<T>): MessageEvent<T>;
+};
+
+interface MessagePortEventMap {
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+}
+
+/**
+ * This Channel Messaging API interface represents one of the two ports of a MessageChannel, allowing messages to be sent from one port and listening out for them arriving at the other.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort)
+ */
+interface MessagePort extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/message_event) */
+ onmessage: ((this: MessagePort, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/messageerror_event) */
+ onmessageerror: ((this: MessagePort, ev: MessageEvent) => any) | null;
+ /**
+ * Disconnects the port, so that it is no longer active.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/close)
+ */
+ close(): void;
+ /**
+ * Posts a message through the channel. Objects listed in transfer are transferred, not just cloned, meaning that they are no longer usable on the sending side.
+ *
+ * Throws a "DataCloneError" DOMException if transfer contains duplicate objects or port, or if message could not be cloned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/postMessage)
+ */
+ postMessage(message: any, transfer: Transferable[]): void;
+ postMessage(message: any, options?: StructuredSerializeOptions): void;
+ /**
+ * Begins dispatching messages received on the port.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessagePort/start)
+ */
+ start(): void;
+ addEventListener<K extends keyof MessagePortEventMap>(type: K, listener: (this: MessagePort, ev: MessagePortEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof MessagePortEventMap>(type: K, listener: (this: MessagePort, ev: MessagePortEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var MessagePort: {
+ prototype: MessagePort;
+ new(): MessagePort;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager)
+ */
+interface NavigationPreloadManager {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager/disable) */
+ disable(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager/enable) */
+ enable(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager/getState) */
+ getState(): Promise<NavigationPreloadState>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NavigationPreloadManager/setHeaderValue) */
+ setHeaderValue(value: string): Promise<void>;
+}
+
+declare var NavigationPreloadManager: {
+ prototype: NavigationPreloadManager;
+ new(): NavigationPreloadManager;
+};
+
+/** Available only in secure contexts. */
+interface NavigatorBadge {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/clearAppBadge) */
+ clearAppBadge(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/setAppBadge) */
+ setAppBadge(contents?: number): Promise<void>;
+}
+
+interface NavigatorConcurrentHardware {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/hardwareConcurrency) */
+ readonly hardwareConcurrency: number;
+}
+
+interface NavigatorID {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/appCodeName)
+ */
+ readonly appCodeName: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/appName)
+ */
+ readonly appName: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/appVersion)
+ */
+ readonly appVersion: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/platform)
+ */
+ readonly platform: string;
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/product)
+ */
+ readonly product: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/userAgent) */
+ readonly userAgent: string;
+}
+
+interface NavigatorLanguage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/language) */
+ readonly language: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/languages) */
+ readonly languages: ReadonlyArray<string>;
+}
+
+/** Available only in secure contexts. */
+interface NavigatorLocks {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/locks) */
+ readonly locks: LockManager;
+}
+
+interface NavigatorOnLine {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/onLine) */
+ readonly onLine: boolean;
+}
+
+/** Available only in secure contexts. */
+interface NavigatorStorage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Navigator/storage) */
+ readonly storage: StorageManager;
+}
+
+interface NotificationEventMap {
+ "click": Event;
+ "close": Event;
+ "error": Event;
+ "show": Event;
+}
+
+/**
+ * This Notifications API interface is used to configure and display desktop notifications to the user.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification)
+ */
+interface Notification extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/badge) */
+ readonly badge: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/body) */
+ readonly body: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/data) */
+ readonly data: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/dir) */
+ readonly dir: NotificationDirection;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/icon) */
+ readonly icon: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/lang) */
+ readonly lang: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/click_event) */
+ onclick: ((this: Notification, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/close_event) */
+ onclose: ((this: Notification, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/error_event) */
+ onerror: ((this: Notification, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/show_event) */
+ onshow: ((this: Notification, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/requireInteraction) */
+ readonly requireInteraction: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/silent) */
+ readonly silent: boolean | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/tag) */
+ readonly tag: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/title) */
+ readonly title: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/close) */
+ close(): void;
+ addEventListener<K extends keyof NotificationEventMap>(type: K, listener: (this: Notification, ev: NotificationEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof NotificationEventMap>(type: K, listener: (this: Notification, ev: NotificationEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Notification: {
+ prototype: Notification;
+ new(title: string, options?: NotificationOptions): Notification;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Notification/permission_static) */
+ readonly permission: NotificationPermission;
+};
+
+/**
+ * The parameter passed into the onnotificationclick handler, the NotificationEvent interface represents a notification click event that is dispatched on the ServiceWorkerGlobalScope of a ServiceWorker.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/NotificationEvent)
+ */
+interface NotificationEvent extends ExtendableEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NotificationEvent/action) */
+ readonly action: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/NotificationEvent/notification) */
+ readonly notification: Notification;
+}
+
+declare var NotificationEvent: {
+ prototype: NotificationEvent;
+ new(type: string, eventInitDict: NotificationEventInit): NotificationEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed) */
+interface OES_draw_buffers_indexed {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/blendEquationSeparateiOES) */
+ blendEquationSeparateiOES(buf: GLuint, modeRGB: GLenum, modeAlpha: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/blendEquationiOES) */
+ blendEquationiOES(buf: GLuint, mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/blendFuncSeparateiOES) */
+ blendFuncSeparateiOES(buf: GLuint, srcRGB: GLenum, dstRGB: GLenum, srcAlpha: GLenum, dstAlpha: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/blendFunciOES) */
+ blendFunciOES(buf: GLuint, src: GLenum, dst: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/colorMaskiOES) */
+ colorMaskiOES(buf: GLuint, r: GLboolean, g: GLboolean, b: GLboolean, a: GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/disableiOES) */
+ disableiOES(target: GLenum, index: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_draw_buffers_indexed/enableiOES) */
+ enableiOES(target: GLenum, index: GLuint): void;
+}
+
+/**
+ * The OES_element_index_uint extension is part of the WebGL API and adds support for gl.UNSIGNED_INT types to WebGLRenderingContext.drawElements().
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_element_index_uint)
+ */
+interface OES_element_index_uint {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_fbo_render_mipmap) */
+interface OES_fbo_render_mipmap {
+}
+
+/**
+ * The OES_standard_derivatives extension is part of the WebGL API and adds the GLSL derivative functions dFdx, dFdy, and fwidth.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_standard_derivatives)
+ */
+interface OES_standard_derivatives {
+ readonly FRAGMENT_SHADER_DERIVATIVE_HINT_OES: 0x8B8B;
+}
+
+/**
+ * The OES_texture_float extension is part of the WebGL API and exposes floating-point pixel types for textures.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_texture_float)
+ */
+interface OES_texture_float {
+}
+
+/**
+ * The OES_texture_float_linear extension is part of the WebGL API and allows linear filtering with floating-point pixel types for textures.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_texture_float_linear)
+ */
+interface OES_texture_float_linear {
+}
+
+/**
+ * The OES_texture_half_float extension is part of the WebGL API and adds texture formats with 16- (aka half float) and 32-bit floating-point components.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_texture_half_float)
+ */
+interface OES_texture_half_float {
+ readonly HALF_FLOAT_OES: 0x8D61;
+}
+
+/**
+ * The OES_texture_half_float_linear extension is part of the WebGL API and allows linear filtering with half floating-point pixel types for textures.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_texture_half_float_linear)
+ */
+interface OES_texture_half_float_linear {
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object) */
+interface OES_vertex_array_object {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object/bindVertexArrayOES) */
+ bindVertexArrayOES(arrayObject: WebGLVertexArrayObjectOES | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object/createVertexArrayOES) */
+ createVertexArrayOES(): WebGLVertexArrayObjectOES | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object/deleteVertexArrayOES) */
+ deleteVertexArrayOES(arrayObject: WebGLVertexArrayObjectOES | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OES_vertex_array_object/isVertexArrayOES) */
+ isVertexArrayOES(arrayObject: WebGLVertexArrayObjectOES | null): GLboolean;
+ readonly VERTEX_ARRAY_BINDING_OES: 0x85B5;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OVR_multiview2) */
+interface OVR_multiview2 {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OVR_multiview2/framebufferTextureMultiviewOVR) */
+ framebufferTextureMultiviewOVR(target: GLenum, attachment: GLenum, texture: WebGLTexture | null, level: GLint, baseViewIndex: GLint, numViews: GLsizei): void;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_NUM_VIEWS_OVR: 0x9630;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_BASE_VIEW_INDEX_OVR: 0x9632;
+ readonly MAX_VIEWS_OVR: 0x9631;
+ readonly FRAMEBUFFER_INCOMPLETE_VIEW_TARGETS_OVR: 0x9633;
+}
+
+interface OffscreenCanvasEventMap {
+ "contextlost": Event;
+ "contextrestored": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas) */
+interface OffscreenCanvas extends EventTarget {
+ /**
+ * These attributes return the dimensions of the OffscreenCanvas object's bitmap.
+ *
+ * They can be set, to replace the bitmap with a new, transparent black bitmap of the specified dimensions (effectively resizing it).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/height)
+ */
+ height: number;
+ oncontextlost: ((this: OffscreenCanvas, ev: Event) => any) | null;
+ oncontextrestored: ((this: OffscreenCanvas, ev: Event) => any) | null;
+ /**
+ * These attributes return the dimensions of the OffscreenCanvas object's bitmap.
+ *
+ * They can be set, to replace the bitmap with a new, transparent black bitmap of the specified dimensions (effectively resizing it).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/width)
+ */
+ width: number;
+ /**
+ * Returns a promise that will fulfill with a new Blob object representing a file containing the image in the OffscreenCanvas object.
+ *
+ * The argument, if provided, is a dictionary that controls the encoding options of the image file to be created. The type field specifies the file format and has a default value of "image/png"; that type is also used if the requested type isn't supported. If the image format supports variable quality (such as "image/jpeg"), then the quality field is a number in the range 0.0 to 1.0 inclusive indicating the desired quality level for the resulting image.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/convertToBlob)
+ */
+ convertToBlob(options?: ImageEncodeOptions): Promise<Blob>;
+ /**
+ * Returns an object that exposes an API for drawing on the OffscreenCanvas object. contextId specifies the desired API: "2d", "bitmaprenderer", "webgl", or "webgl2". options is handled by that API.
+ *
+ * This specification defines the "2d" context below, which is similar but distinct from the "2d" context that is created from a canvas element. The WebGL specifications define the "webgl" and "webgl2" contexts. [WEBGL]
+ *
+ * Returns null if the canvas has already been initialized with another context type (e.g., trying to get a "2d" context after getting a "webgl" context).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/getContext)
+ */
+ getContext(contextId: "2d", options?: any): OffscreenCanvasRenderingContext2D | null;
+ getContext(contextId: "bitmaprenderer", options?: any): ImageBitmapRenderingContext | null;
+ getContext(contextId: "webgl", options?: any): WebGLRenderingContext | null;
+ getContext(contextId: "webgl2", options?: any): WebGL2RenderingContext | null;
+ getContext(contextId: OffscreenRenderingContextId, options?: any): OffscreenRenderingContext | null;
+ /**
+ * Returns a newly created ImageBitmap object with the image in the OffscreenCanvas object. The image in the OffscreenCanvas object is replaced with a new blank image.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvas/transferToImageBitmap)
+ */
+ transferToImageBitmap(): ImageBitmap;
+ addEventListener<K extends keyof OffscreenCanvasEventMap>(type: K, listener: (this: OffscreenCanvas, ev: OffscreenCanvasEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof OffscreenCanvasEventMap>(type: K, listener: (this: OffscreenCanvas, ev: OffscreenCanvasEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var OffscreenCanvas: {
+ prototype: OffscreenCanvas;
+ new(width: number, height: number): OffscreenCanvas;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvasRenderingContext2D) */
+interface OffscreenCanvasRenderingContext2D extends CanvasCompositing, CanvasDrawImage, CanvasDrawPath, CanvasFillStrokeStyles, CanvasFilters, CanvasImageData, CanvasImageSmoothing, CanvasPath, CanvasPathDrawingStyles, CanvasRect, CanvasShadowStyles, CanvasState, CanvasText, CanvasTextDrawingStyles, CanvasTransform {
+ readonly canvas: OffscreenCanvas;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/OffscreenCanvasRenderingContext2D/commit) */
+ commit(): void;
+}
+
+declare var OffscreenCanvasRenderingContext2D: {
+ prototype: OffscreenCanvasRenderingContext2D;
+ new(): OffscreenCanvasRenderingContext2D;
+};
+
+/**
+ * This Canvas 2D API interface is used to declare a path that can then be used on a CanvasRenderingContext2D object. The path methods of the CanvasRenderingContext2D interface are also present on this interface, which gives you the convenience of being able to retain and replay your path whenever desired.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Path2D)
+ */
+interface Path2D extends CanvasPath {
+ /**
+ * Adds to the path the path given by the argument.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Path2D/addPath)
+ */
+ addPath(path: Path2D, transform?: DOMMatrix2DInit): void;
+}
+
+declare var Path2D: {
+ prototype: Path2D;
+ new(path?: Path2D | string): Path2D;
+};
+
+interface PerformanceEventMap {
+ "resourcetimingbufferfull": Event;
+}
+
+/**
+ * Provides access to performance-related information for the current page. It's part of the High Resolution Time API, but is enhanced by the Performance Timeline API, the Navigation Timing API, the User Timing API, and the Resource Timing API.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance)
+ */
+interface Performance extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/resourcetimingbufferfull_event) */
+ onresourcetimingbufferfull: ((this: Performance, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/timeOrigin) */
+ readonly timeOrigin: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/clearMarks) */
+ clearMarks(markName?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/clearMeasures) */
+ clearMeasures(measureName?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/clearResourceTimings) */
+ clearResourceTimings(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/getEntries) */
+ getEntries(): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/getEntriesByName) */
+ getEntriesByName(name: string, type?: string): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/getEntriesByType) */
+ getEntriesByType(type: string): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/mark) */
+ mark(markName: string, markOptions?: PerformanceMarkOptions): PerformanceMark;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/measure) */
+ measure(measureName: string, startOrMeasureOptions?: string | PerformanceMeasureOptions, endMark?: string): PerformanceMeasure;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/now) */
+ now(): DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/setResourceTimingBufferSize) */
+ setResourceTimingBufferSize(maxSize: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Performance/toJSON) */
+ toJSON(): any;
+ addEventListener<K extends keyof PerformanceEventMap>(type: K, listener: (this: Performance, ev: PerformanceEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof PerformanceEventMap>(type: K, listener: (this: Performance, ev: PerformanceEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Performance: {
+ prototype: Performance;
+ new(): Performance;
+};
+
+/**
+ * Encapsulates a single performance metric that is part of the performance timeline. A performance entry can be directly created by making a performance mark or measure (for example by calling the mark() method) at an explicit point in an application. Performance entries are also created in indirect ways such as loading a resource (such as an image).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry)
+ */
+interface PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/duration) */
+ readonly duration: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/entryType) */
+ readonly entryType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/startTime) */
+ readonly startTime: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceEntry/toJSON) */
+ toJSON(): any;
+}
+
+declare var PerformanceEntry: {
+ prototype: PerformanceEntry;
+ new(): PerformanceEntry;
+};
+
+/**
+ * PerformanceMark is an abstract interface for PerformanceEntry objects with an entryType of "mark". Entries of this type are created by calling performance.mark() to add a named DOMHighResTimeStamp (the mark) to the browser's performance timeline.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceMark)
+ */
+interface PerformanceMark extends PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceMark/detail) */
+ readonly detail: any;
+}
+
+declare var PerformanceMark: {
+ prototype: PerformanceMark;
+ new(markName: string, markOptions?: PerformanceMarkOptions): PerformanceMark;
+};
+
+/**
+ * PerformanceMeasure is an abstract interface for PerformanceEntry objects with an entryType of "measure". Entries of this type are created by calling performance.measure() to add a named DOMHighResTimeStamp (the measure) between two marks to the browser's performance timeline.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceMeasure)
+ */
+interface PerformanceMeasure extends PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceMeasure/detail) */
+ readonly detail: any;
+}
+
+declare var PerformanceMeasure: {
+ prototype: PerformanceMeasure;
+ new(): PerformanceMeasure;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver) */
+interface PerformanceObserver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver/disconnect) */
+ disconnect(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver/observe) */
+ observe(options?: PerformanceObserverInit): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver/takeRecords) */
+ takeRecords(): PerformanceEntryList;
+}
+
+declare var PerformanceObserver: {
+ prototype: PerformanceObserver;
+ new(callback: PerformanceObserverCallback): PerformanceObserver;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserver/supportedEntryTypes_static) */
+ readonly supportedEntryTypes: ReadonlyArray<string>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserverEntryList) */
+interface PerformanceObserverEntryList {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserverEntryList/getEntries) */
+ getEntries(): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserverEntryList/getEntriesByName) */
+ getEntriesByName(name: string, type?: string): PerformanceEntryList;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceObserverEntryList/getEntriesByType) */
+ getEntriesByType(type: string): PerformanceEntryList;
+}
+
+declare var PerformanceObserverEntryList: {
+ prototype: PerformanceObserverEntryList;
+ new(): PerformanceObserverEntryList;
+};
+
+/**
+ * Enables retrieval and analysis of detailed network timing data regarding the loading of an application's resources. An application can use the timing metrics to determine, for example, the length of time it takes to fetch a specific resource, such as an XMLHttpRequest, <SVG>, image, or script.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming)
+ */
+interface PerformanceResourceTiming extends PerformanceEntry {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/connectEnd) */
+ readonly connectEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/connectStart) */
+ readonly connectStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/decodedBodySize) */
+ readonly decodedBodySize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/domainLookupEnd) */
+ readonly domainLookupEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/domainLookupStart) */
+ readonly domainLookupStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/encodedBodySize) */
+ readonly encodedBodySize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/fetchStart) */
+ readonly fetchStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/initiatorType) */
+ readonly initiatorType: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/nextHopProtocol) */
+ readonly nextHopProtocol: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/redirectEnd) */
+ readonly redirectEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/redirectStart) */
+ readonly redirectStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/requestStart) */
+ readonly requestStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/responseEnd) */
+ readonly responseEnd: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/responseStart) */
+ readonly responseStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/secureConnectionStart) */
+ readonly secureConnectionStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/serverTiming) */
+ readonly serverTiming: ReadonlyArray<PerformanceServerTiming>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/transferSize) */
+ readonly transferSize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/workerStart) */
+ readonly workerStart: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceResourceTiming/toJSON) */
+ toJSON(): any;
+}
+
+declare var PerformanceResourceTiming: {
+ prototype: PerformanceResourceTiming;
+ new(): PerformanceResourceTiming;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming) */
+interface PerformanceServerTiming {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming/description) */
+ readonly description: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming/duration) */
+ readonly duration: DOMHighResTimeStamp;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PerformanceServerTiming/toJSON) */
+ toJSON(): any;
+}
+
+declare var PerformanceServerTiming: {
+ prototype: PerformanceServerTiming;
+ new(): PerformanceServerTiming;
+};
+
+interface PermissionStatusEventMap {
+ "change": Event;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PermissionStatus) */
+interface PermissionStatus extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PermissionStatus/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PermissionStatus/change_event) */
+ onchange: ((this: PermissionStatus, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PermissionStatus/state) */
+ readonly state: PermissionState;
+ addEventListener<K extends keyof PermissionStatusEventMap>(type: K, listener: (this: PermissionStatus, ev: PermissionStatusEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof PermissionStatusEventMap>(type: K, listener: (this: PermissionStatus, ev: PermissionStatusEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var PermissionStatus: {
+ prototype: PermissionStatus;
+ new(): PermissionStatus;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Permissions) */
+interface Permissions {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Permissions/query) */
+ query(permissionDesc: PermissionDescriptor): Promise<PermissionStatus>;
+}
+
+declare var Permissions: {
+ prototype: Permissions;
+ new(): Permissions;
+};
+
+/**
+ * Events measuring progress of an underlying process, like an HTTP request (for an XMLHttpRequest, or the loading of the underlying resource of an <img>, <audio>, <video>, <style> or <link>).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProgressEvent)
+ */
+interface ProgressEvent<T extends EventTarget = EventTarget> extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProgressEvent/lengthComputable) */
+ readonly lengthComputable: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProgressEvent/loaded) */
+ readonly loaded: number;
+ readonly target: T | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ProgressEvent/total) */
+ readonly total: number;
+}
+
+declare var ProgressEvent: {
+ prototype: ProgressEvent;
+ new(type: string, eventInitDict?: ProgressEventInit): ProgressEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PromiseRejectionEvent) */
+interface PromiseRejectionEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PromiseRejectionEvent/promise) */
+ readonly promise: Promise<any>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PromiseRejectionEvent/reason) */
+ readonly reason: any;
+}
+
+declare var PromiseRejectionEvent: {
+ prototype: PromiseRejectionEvent;
+ new(type: string, eventInitDict: PromiseRejectionEventInit): PromiseRejectionEvent;
+};
+
+/**
+ * This Push API interface represents a push message that has been received. This event is sent to the global scope of a ServiceWorker. It contains the information sent from an application server to a PushSubscription.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushEvent)
+ */
+interface PushEvent extends ExtendableEvent {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushEvent/data) */
+ readonly data: PushMessageData | null;
+}
+
+declare var PushEvent: {
+ prototype: PushEvent;
+ new(type: string, eventInitDict?: PushEventInit): PushEvent;
+};
+
+/**
+ * This Push API interface provides a way to receive notifications from third-party servers as well as request URLs for push notifications.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager)
+ */
+interface PushManager {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager/getSubscription) */
+ getSubscription(): Promise<PushSubscription | null>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager/permissionState) */
+ permissionState(options?: PushSubscriptionOptionsInit): Promise<PermissionState>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager/subscribe) */
+ subscribe(options?: PushSubscriptionOptionsInit): Promise<PushSubscription>;
+}
+
+declare var PushManager: {
+ prototype: PushManager;
+ new(): PushManager;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushManager/supportedContentEncodings_static) */
+ readonly supportedContentEncodings: ReadonlyArray<string>;
+};
+
+/**
+ * This Push API interface provides methods which let you retrieve the push data sent by a server in various formats.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushMessageData)
+ */
+interface PushMessageData {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushMessageData/arrayBuffer) */
+ arrayBuffer(): ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushMessageData/blob) */
+ blob(): Blob;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushMessageData/json) */
+ json(): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushMessageData/text) */
+ text(): string;
+}
+
+declare var PushMessageData: {
+ prototype: PushMessageData;
+ new(): PushMessageData;
+};
+
+/**
+ * This Push API interface provides a subcription's URL endpoint and allows unsubscription from a push service.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription)
+ */
+interface PushSubscription {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/endpoint) */
+ readonly endpoint: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/expirationTime) */
+ readonly expirationTime: EpochTimeStamp | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/options) */
+ readonly options: PushSubscriptionOptions;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/getKey) */
+ getKey(name: PushEncryptionKeyName): ArrayBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/toJSON) */
+ toJSON(): PushSubscriptionJSON;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscription/unsubscribe) */
+ unsubscribe(): Promise<boolean>;
+}
+
+declare var PushSubscription: {
+ prototype: PushSubscription;
+ new(): PushSubscription;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscriptionOptions)
+ */
+interface PushSubscriptionOptions {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscriptionOptions/applicationServerKey) */
+ readonly applicationServerKey: ArrayBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/PushSubscriptionOptions/userVisibleOnly) */
+ readonly userVisibleOnly: boolean;
+}
+
+declare var PushSubscriptionOptions: {
+ prototype: PushSubscriptionOptions;
+ new(): PushSubscriptionOptions;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedAudioFrame) */
+interface RTCEncodedAudioFrame {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedAudioFrame/data) */
+ data: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedAudioFrame/timestamp) */
+ readonly timestamp: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedAudioFrame/getMetadata) */
+ getMetadata(): RTCEncodedAudioFrameMetadata;
+}
+
+declare var RTCEncodedAudioFrame: {
+ prototype: RTCEncodedAudioFrame;
+ new(): RTCEncodedAudioFrame;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame) */
+interface RTCEncodedVideoFrame {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame/data) */
+ data: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame/timestamp) */
+ readonly timestamp: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame/type) */
+ readonly type: RTCEncodedVideoFrameType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCEncodedVideoFrame/getMetadata) */
+ getMetadata(): RTCEncodedVideoFrameMetadata;
+}
+
+declare var RTCEncodedVideoFrame: {
+ prototype: RTCEncodedVideoFrame;
+ new(): RTCEncodedVideoFrame;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpScriptTransformer) */
+interface RTCRtpScriptTransformer extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpScriptTransformer/options) */
+ readonly options: any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpScriptTransformer/readable) */
+ readonly readable: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpScriptTransformer/writable) */
+ readonly writable: WritableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpScriptTransformer/generateKeyFrame) */
+ generateKeyFrame(rid?: string): Promise<number>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCRtpScriptTransformer/sendKeyFrameRequest) */
+ sendKeyFrameRequest(): Promise<void>;
+}
+
+declare var RTCRtpScriptTransformer: {
+ prototype: RTCRtpScriptTransformer;
+ new(): RTCRtpScriptTransformer;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCTransformEvent) */
+interface RTCTransformEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/RTCTransformEvent/transformer) */
+ readonly transformer: RTCRtpScriptTransformer;
+}
+
+declare var RTCTransformEvent: {
+ prototype: RTCTransformEvent;
+ new(): RTCTransformEvent;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController) */
+interface ReadableByteStreamController {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/byobRequest) */
+ readonly byobRequest: ReadableStreamBYOBRequest | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/desiredSize) */
+ readonly desiredSize: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/enqueue) */
+ enqueue(chunk: ArrayBufferView): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableByteStreamController/error) */
+ error(e?: any): void;
+}
+
+declare var ReadableByteStreamController: {
+ prototype: ReadableByteStreamController;
+ new(): ReadableByteStreamController;
+};
+
+/**
+ * This Streams API interface represents a readable stream of byte data. The Fetch API offers a concrete instance of a ReadableStream through the body property of a Response object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream)
+ */
+interface ReadableStream<R = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/locked) */
+ readonly locked: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/cancel) */
+ cancel(reason?: any): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/getReader) */
+ getReader(options: { mode: "byob" }): ReadableStreamBYOBReader;
+ getReader(): ReadableStreamDefaultReader<R>;
+ getReader(options?: ReadableStreamGetReaderOptions): ReadableStreamReader<R>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/pipeThrough) */
+ pipeThrough<T>(transform: ReadableWritablePair<T, R>, options?: StreamPipeOptions): ReadableStream<T>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/pipeTo) */
+ pipeTo(destination: WritableStream<R>, options?: StreamPipeOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStream/tee) */
+ tee(): [ReadableStream<R>, ReadableStream<R>];
+}
+
+declare var ReadableStream: {
+ prototype: ReadableStream;
+ new(underlyingSource: UnderlyingByteSource, strategy?: { highWaterMark?: number }): ReadableStream<Uint8Array>;
+ new<R = any>(underlyingSource: UnderlyingDefaultSource<R>, strategy?: QueuingStrategy<R>): ReadableStream<R>;
+ new<R = any>(underlyingSource?: UnderlyingSource<R>, strategy?: QueuingStrategy<R>): ReadableStream<R>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader) */
+interface ReadableStreamBYOBReader extends ReadableStreamGenericReader {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader/read) */
+ read<T extends ArrayBufferView>(view: T): Promise<ReadableStreamReadResult<T>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader/releaseLock) */
+ releaseLock(): void;
+}
+
+declare var ReadableStreamBYOBReader: {
+ prototype: ReadableStreamBYOBReader;
+ new(stream: ReadableStream): ReadableStreamBYOBReader;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBRequest) */
+interface ReadableStreamBYOBRequest {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBRequest/view) */
+ readonly view: ArrayBufferView | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBRequest/respond) */
+ respond(bytesWritten: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBRequest/respondWithNewView) */
+ respondWithNewView(view: ArrayBufferView): void;
+}
+
+declare var ReadableStreamBYOBRequest: {
+ prototype: ReadableStreamBYOBRequest;
+ new(): ReadableStreamBYOBRequest;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController) */
+interface ReadableStreamDefaultController<R = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController/desiredSize) */
+ readonly desiredSize: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController/enqueue) */
+ enqueue(chunk?: R): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultController/error) */
+ error(e?: any): void;
+}
+
+declare var ReadableStreamDefaultController: {
+ prototype: ReadableStreamDefaultController;
+ new(): ReadableStreamDefaultController;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultReader) */
+interface ReadableStreamDefaultReader<R = any> extends ReadableStreamGenericReader {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultReader/read) */
+ read(): Promise<ReadableStreamReadResult<R>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamDefaultReader/releaseLock) */
+ releaseLock(): void;
+}
+
+declare var ReadableStreamDefaultReader: {
+ prototype: ReadableStreamDefaultReader;
+ new<R = any>(stream: ReadableStream<R>): ReadableStreamDefaultReader<R>;
+};
+
+interface ReadableStreamGenericReader {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader/closed) */
+ readonly closed: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReadableStreamBYOBReader/cancel) */
+ cancel(reason?: any): Promise<void>;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Report) */
+interface Report {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Report/body) */
+ readonly body: ReportBody | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Report/type) */
+ readonly type: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Report/url) */
+ readonly url: string;
+ toJSON(): any;
+}
+
+declare var Report: {
+ prototype: Report;
+ new(): Report;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportBody) */
+interface ReportBody {
+ toJSON(): any;
+}
+
+declare var ReportBody: {
+ prototype: ReportBody;
+ new(): ReportBody;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportingObserver) */
+interface ReportingObserver {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportingObserver/disconnect) */
+ disconnect(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportingObserver/observe) */
+ observe(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ReportingObserver/takeRecords) */
+ takeRecords(): ReportList;
+}
+
+declare var ReportingObserver: {
+ prototype: ReportingObserver;
+ new(callback: ReportingObserverCallback, options?: ReportingObserverOptions): ReportingObserver;
+};
+
+/**
+ * This Fetch API interface represents a resource request.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request)
+ */
+interface Request extends Body {
+ /**
+ * Returns the cache mode associated with request, which is a string indicating how the request will interact with the browser's cache when fetching.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/cache)
+ */
+ readonly cache: RequestCache;
+ /**
+ * Returns the credentials mode associated with request, which is a string indicating whether credentials will be sent with the request always, never, or only when sent to a same-origin URL.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/credentials)
+ */
+ readonly credentials: RequestCredentials;
+ /**
+ * Returns the kind of resource requested by request, e.g., "document" or "script".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/destination)
+ */
+ readonly destination: RequestDestination;
+ /**
+ * Returns a Headers object consisting of the headers associated with request. Note that headers added in the network layer by the user agent will not be accounted for in this object, e.g., the "Host" header.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/headers)
+ */
+ readonly headers: Headers;
+ /**
+ * Returns request's subresource integrity metadata, which is a cryptographic hash of the resource being fetched. Its value consists of multiple hashes separated by whitespace. [SRI]
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/integrity)
+ */
+ readonly integrity: string;
+ /**
+ * Returns a boolean indicating whether or not request can outlive the global in which it was created.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/keepalive)
+ */
+ readonly keepalive: boolean;
+ /**
+ * Returns request's HTTP method, which is "GET" by default.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/method)
+ */
+ readonly method: string;
+ /**
+ * Returns the mode associated with request, which is a string indicating whether the request will use CORS, or will be restricted to same-origin URLs.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/mode)
+ */
+ readonly mode: RequestMode;
+ /**
+ * Returns the redirect mode associated with request, which is a string indicating how redirects for the request will be handled during fetching. A request will follow redirects by default.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/redirect)
+ */
+ readonly redirect: RequestRedirect;
+ /**
+ * Returns the referrer of request. Its value can be a same-origin URL if explicitly set in init, the empty string to indicate no referrer, and "about:client" when defaulting to the global's default. This is used during fetching to determine the value of the \`Referer\` header of the request being made.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/referrer)
+ */
+ readonly referrer: string;
+ /**
+ * Returns the referrer policy associated with request. This is used during fetching to compute the value of the request's referrer.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/referrerPolicy)
+ */
+ readonly referrerPolicy: ReferrerPolicy;
+ /**
+ * Returns the signal associated with request, which is an AbortSignal object indicating whether or not request has been aborted, and its abort event handler.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/signal)
+ */
+ readonly signal: AbortSignal;
+ /**
+ * Returns the URL of request as a string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/url)
+ */
+ readonly url: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Request/clone) */
+ clone(): Request;
+}
+
+declare var Request: {
+ prototype: Request;
+ new(input: RequestInfo | URL, init?: RequestInit): Request;
+};
+
+/**
+ * This Fetch API interface represents the response to a request.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response)
+ */
+interface Response extends Body {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/headers) */
+ readonly headers: Headers;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/ok) */
+ readonly ok: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/redirected) */
+ readonly redirected: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/status) */
+ readonly status: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/statusText) */
+ readonly statusText: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/type) */
+ readonly type: ResponseType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/url) */
+ readonly url: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/clone) */
+ clone(): Response;
+}
+
+declare var Response: {
+ prototype: Response;
+ new(body?: BodyInit | null, init?: ResponseInit): Response;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/error_static) */
+ error(): Response;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/json_static) */
+ json(data: any, init?: ResponseInit): Response;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Response/redirect_static) */
+ redirect(url: string | URL, status?: number): Response;
+};
+
+/**
+ * Inherits from Event, and represents the event object of an event sent on a document or worker when its content security policy is violated.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent)
+ */
+interface SecurityPolicyViolationEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/blockedURI) */
+ readonly blockedURI: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/columnNumber) */
+ readonly columnNumber: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/disposition) */
+ readonly disposition: SecurityPolicyViolationEventDisposition;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/documentURI) */
+ readonly documentURI: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/effectiveDirective) */
+ readonly effectiveDirective: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/lineNumber) */
+ readonly lineNumber: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/originalPolicy) */
+ readonly originalPolicy: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/referrer) */
+ readonly referrer: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/sample) */
+ readonly sample: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/sourceFile) */
+ readonly sourceFile: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/statusCode) */
+ readonly statusCode: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SecurityPolicyViolationEvent/violatedDirective) */
+ readonly violatedDirective: string;
+}
+
+declare var SecurityPolicyViolationEvent: {
+ prototype: SecurityPolicyViolationEvent;
+ new(type: string, eventInitDict?: SecurityPolicyViolationEventInit): SecurityPolicyViolationEvent;
+};
+
+interface ServiceWorkerEventMap extends AbstractWorkerEventMap {
+ "statechange": Event;
+}
+
+/**
+ * This ServiceWorker API interface provides a reference to a service worker. Multiple browsing contexts (e.g. pages, workers, etc.) can be associated with the same service worker, each through a unique ServiceWorker object.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker)
+ */
+interface ServiceWorker extends EventTarget, AbstractWorker {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/statechange_event) */
+ onstatechange: ((this: ServiceWorker, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/scriptURL) */
+ readonly scriptURL: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/state) */
+ readonly state: ServiceWorkerState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorker/postMessage) */
+ postMessage(message: any, transfer: Transferable[]): void;
+ postMessage(message: any, options?: StructuredSerializeOptions): void;
+ addEventListener<K extends keyof ServiceWorkerEventMap>(type: K, listener: (this: ServiceWorker, ev: ServiceWorkerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ServiceWorkerEventMap>(type: K, listener: (this: ServiceWorker, ev: ServiceWorkerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ServiceWorker: {
+ prototype: ServiceWorker;
+ new(): ServiceWorker;
+};
+
+interface ServiceWorkerContainerEventMap {
+ "controllerchange": Event;
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+}
+
+/**
+ * The ServiceWorkerContainer interface of the ServiceWorker API provides an object representing the service worker as an overall unit in the network ecosystem, including facilities to register, unregister and update service workers, and access the state of service workers and their registrations.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer)
+ */
+interface ServiceWorkerContainer extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/controller) */
+ readonly controller: ServiceWorker | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/controllerchange_event) */
+ oncontrollerchange: ((this: ServiceWorkerContainer, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/message_event) */
+ onmessage: ((this: ServiceWorkerContainer, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/messageerror_event) */
+ onmessageerror: ((this: ServiceWorkerContainer, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/ready) */
+ readonly ready: Promise<ServiceWorkerRegistration>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/getRegistration) */
+ getRegistration(clientURL?: string | URL): Promise<ServiceWorkerRegistration | undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/getRegistrations) */
+ getRegistrations(): Promise<ReadonlyArray<ServiceWorkerRegistration>>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/register) */
+ register(scriptURL: string | URL, options?: RegistrationOptions): Promise<ServiceWorkerRegistration>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerContainer/startMessages) */
+ startMessages(): void;
+ addEventListener<K extends keyof ServiceWorkerContainerEventMap>(type: K, listener: (this: ServiceWorkerContainer, ev: ServiceWorkerContainerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ServiceWorkerContainerEventMap>(type: K, listener: (this: ServiceWorkerContainer, ev: ServiceWorkerContainerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ServiceWorkerContainer: {
+ prototype: ServiceWorkerContainer;
+ new(): ServiceWorkerContainer;
+};
+
+interface ServiceWorkerGlobalScopeEventMap extends WorkerGlobalScopeEventMap {
+ "activate": ExtendableEvent;
+ "fetch": FetchEvent;
+ "install": ExtendableEvent;
+ "message": ExtendableMessageEvent;
+ "messageerror": MessageEvent;
+ "notificationclick": NotificationEvent;
+ "notificationclose": NotificationEvent;
+ "push": PushEvent;
+ "pushsubscriptionchange": Event;
+}
+
+/**
+ * This ServiceWorker API interface represents the global execution context of a service worker.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope)
+ */
+interface ServiceWorkerGlobalScope extends WorkerGlobalScope {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/clients) */
+ readonly clients: Clients;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/activate_event) */
+ onactivate: ((this: ServiceWorkerGlobalScope, ev: ExtendableEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/fetch_event) */
+ onfetch: ((this: ServiceWorkerGlobalScope, ev: FetchEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/install_event) */
+ oninstall: ((this: ServiceWorkerGlobalScope, ev: ExtendableEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/message_event) */
+ onmessage: ((this: ServiceWorkerGlobalScope, ev: ExtendableMessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/messageerror_event) */
+ onmessageerror: ((this: ServiceWorkerGlobalScope, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/notificationclick_event) */
+ onnotificationclick: ((this: ServiceWorkerGlobalScope, ev: NotificationEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/notificationclose_event) */
+ onnotificationclose: ((this: ServiceWorkerGlobalScope, ev: NotificationEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/push_event) */
+ onpush: ((this: ServiceWorkerGlobalScope, ev: PushEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/pushsubscriptionchange_event) */
+ onpushsubscriptionchange: ((this: ServiceWorkerGlobalScope, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/registration) */
+ readonly registration: ServiceWorkerRegistration;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/serviceWorker) */
+ readonly serviceWorker: ServiceWorker;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerGlobalScope/skipWaiting) */
+ skipWaiting(): Promise<void>;
+ addEventListener<K extends keyof ServiceWorkerGlobalScopeEventMap>(type: K, listener: (this: ServiceWorkerGlobalScope, ev: ServiceWorkerGlobalScopeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ServiceWorkerGlobalScopeEventMap>(type: K, listener: (this: ServiceWorkerGlobalScope, ev: ServiceWorkerGlobalScopeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ServiceWorkerGlobalScope: {
+ prototype: ServiceWorkerGlobalScope;
+ new(): ServiceWorkerGlobalScope;
+};
+
+interface ServiceWorkerRegistrationEventMap {
+ "updatefound": Event;
+}
+
+/**
+ * This ServiceWorker API interface represents the service worker registration. You register a service worker to control one or more pages that share the same origin.
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration)
+ */
+interface ServiceWorkerRegistration extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/active) */
+ readonly active: ServiceWorker | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/installing) */
+ readonly installing: ServiceWorker | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/navigationPreload) */
+ readonly navigationPreload: NavigationPreloadManager;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/updatefound_event) */
+ onupdatefound: ((this: ServiceWorkerRegistration, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/pushManager) */
+ readonly pushManager: PushManager;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/scope) */
+ readonly scope: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/updateViaCache) */
+ readonly updateViaCache: ServiceWorkerUpdateViaCache;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/waiting) */
+ readonly waiting: ServiceWorker | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/getNotifications) */
+ getNotifications(filter?: GetNotificationOptions): Promise<Notification[]>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/showNotification) */
+ showNotification(title: string, options?: NotificationOptions): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/unregister) */
+ unregister(): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/ServiceWorkerRegistration/update) */
+ update(): Promise<void>;
+ addEventListener<K extends keyof ServiceWorkerRegistrationEventMap>(type: K, listener: (this: ServiceWorkerRegistration, ev: ServiceWorkerRegistrationEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof ServiceWorkerRegistrationEventMap>(type: K, listener: (this: ServiceWorkerRegistration, ev: ServiceWorkerRegistrationEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var ServiceWorkerRegistration: {
+ prototype: ServiceWorkerRegistration;
+ new(): ServiceWorkerRegistration;
+};
+
+interface SharedWorkerGlobalScopeEventMap extends WorkerGlobalScopeEventMap {
+ "connect": MessageEvent;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SharedWorkerGlobalScope) */
+interface SharedWorkerGlobalScope extends WorkerGlobalScope {
+ /**
+ * Returns sharedWorkerGlobal's name, i.e. the value given to the SharedWorker constructor. Multiple SharedWorker objects can correspond to the same shared worker (and SharedWorkerGlobalScope), by reusing the same name.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SharedWorkerGlobalScope/name)
+ */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SharedWorkerGlobalScope/connect_event) */
+ onconnect: ((this: SharedWorkerGlobalScope, ev: MessageEvent) => any) | null;
+ /**
+ * Aborts sharedWorkerGlobal.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SharedWorkerGlobalScope/close)
+ */
+ close(): void;
+ addEventListener<K extends keyof SharedWorkerGlobalScopeEventMap>(type: K, listener: (this: SharedWorkerGlobalScope, ev: SharedWorkerGlobalScopeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof SharedWorkerGlobalScopeEventMap>(type: K, listener: (this: SharedWorkerGlobalScope, ev: SharedWorkerGlobalScopeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var SharedWorkerGlobalScope: {
+ prototype: SharedWorkerGlobalScope;
+ new(): SharedWorkerGlobalScope;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager)
+ */
+interface StorageManager {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager/estimate) */
+ estimate(): Promise<StorageEstimate>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager/getDirectory) */
+ getDirectory(): Promise<FileSystemDirectoryHandle>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StorageManager/persisted) */
+ persisted(): Promise<boolean>;
+}
+
+declare var StorageManager: {
+ prototype: StorageManager;
+ new(): StorageManager;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly) */
+interface StylePropertyMapReadOnly {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly/size) */
+ readonly size: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly/get) */
+ get(property: string): undefined | CSSStyleValue;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly/getAll) */
+ getAll(property: string): CSSStyleValue[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/StylePropertyMapReadOnly/has) */
+ has(property: string): boolean;
+ forEach(callbackfn: (value: CSSStyleValue[], key: string, parent: StylePropertyMapReadOnly) => void, thisArg?: any): void;
+}
+
+declare var StylePropertyMapReadOnly: {
+ prototype: StylePropertyMapReadOnly;
+ new(): StylePropertyMapReadOnly;
+};
+
+/**
+ * This Web Crypto API interface provides a number of low-level cryptographic functions. It is accessed via the Crypto.subtle properties available in a window context (via Window.crypto).
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto)
+ */
+interface SubtleCrypto {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/decrypt) */
+ decrypt(algorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams, key: CryptoKey, data: BufferSource): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/deriveBits) */
+ deriveBits(algorithm: AlgorithmIdentifier | EcdhKeyDeriveParams | HkdfParams | Pbkdf2Params, baseKey: CryptoKey, length: number): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/deriveKey) */
+ deriveKey(algorithm: AlgorithmIdentifier | EcdhKeyDeriveParams | HkdfParams | Pbkdf2Params, baseKey: CryptoKey, derivedKeyType: AlgorithmIdentifier | AesDerivedKeyParams | HmacImportParams | HkdfParams | Pbkdf2Params, extractable: boolean, keyUsages: KeyUsage[]): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/digest) */
+ digest(algorithm: AlgorithmIdentifier, data: BufferSource): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/encrypt) */
+ encrypt(algorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams, key: CryptoKey, data: BufferSource): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/exportKey) */
+ exportKey(format: "jwk", key: CryptoKey): Promise<JsonWebKey>;
+ exportKey(format: Exclude<KeyFormat, "jwk">, key: CryptoKey): Promise<ArrayBuffer>;
+ exportKey(format: KeyFormat, key: CryptoKey): Promise<ArrayBuffer | JsonWebKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/generateKey) */
+ generateKey(algorithm: "Ed25519", extractable: boolean, keyUsages: ReadonlyArray<"sign" | "verify">): Promise<CryptoKeyPair>;
+ generateKey(algorithm: RsaHashedKeyGenParams | EcKeyGenParams, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKeyPair>;
+ generateKey(algorithm: AesKeyGenParams | HmacKeyGenParams | Pbkdf2Params, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKey>;
+ generateKey(algorithm: AlgorithmIdentifier, extractable: boolean, keyUsages: KeyUsage[]): Promise<CryptoKeyPair | CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/importKey) */
+ importKey(format: "jwk", keyData: JsonWebKey, algorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKey>;
+ importKey(format: Exclude<KeyFormat, "jwk">, keyData: BufferSource, algorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: KeyUsage[]): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/sign) */
+ sign(algorithm: AlgorithmIdentifier | RsaPssParams | EcdsaParams, key: CryptoKey, data: BufferSource): Promise<ArrayBuffer>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/unwrapKey) */
+ unwrapKey(format: KeyFormat, wrappedKey: BufferSource, unwrappingKey: CryptoKey, unwrapAlgorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams, unwrappedKeyAlgorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: KeyUsage[]): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/verify) */
+ verify(algorithm: AlgorithmIdentifier | RsaPssParams | EcdsaParams, key: CryptoKey, signature: BufferSource, data: BufferSource): Promise<boolean>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/wrapKey) */
+ wrapKey(format: KeyFormat, key: CryptoKey, wrappingKey: CryptoKey, wrapAlgorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams): Promise<ArrayBuffer>;
+}
+
+declare var SubtleCrypto: {
+ prototype: SubtleCrypto;
+ new(): SubtleCrypto;
+};
+
+/**
+ * A decoder for a specific method, that is a specific character encoding, like utf-8, iso-8859-2, koi8, cp1261, gbk, etc. A decoder takes a stream of bytes as input and emits a stream of code points. For a more scalable, non-native library, see StringView – a C-like representation of strings based on typed arrays.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder)
+ */
+interface TextDecoder extends TextDecoderCommon {
+ /**
+ * Returns the result of running encoding's decoder. The method can be invoked zero or more times with options's stream set to true, and then once without options's stream (or set to false), to process a fragmented input. If the invocation without options's stream (or set to false) has no input, it's clearest to omit both arguments.
+ *
+ * \`\`\`
+ * var string = "", decoder = new TextDecoder(encoding), buffer;
+ * while(buffer = next_chunk()) {
+ * string += decoder.decode(buffer, {stream:true});
+ * }
+ * string += decoder.decode(); // end-of-queue
+ * \`\`\`
+ *
+ * If the error mode is "fatal" and encoding's decoder returns error, throws a TypeError.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder/decode)
+ */
+ decode(input?: AllowSharedBufferSource, options?: TextDecodeOptions): string;
+}
+
+declare var TextDecoder: {
+ prototype: TextDecoder;
+ new(label?: string, options?: TextDecoderOptions): TextDecoder;
+};
+
+interface TextDecoderCommon {
+ /**
+ * Returns encoding's name, lowercased.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder/encoding)
+ */
+ readonly encoding: string;
+ /**
+ * Returns true if error mode is "fatal", otherwise false.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder/fatal)
+ */
+ readonly fatal: boolean;
+ /**
+ * Returns the value of ignore BOM.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoder/ignoreBOM)
+ */
+ readonly ignoreBOM: boolean;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextDecoderStream) */
+interface TextDecoderStream extends GenericTransformStream, TextDecoderCommon {
+ readonly readable: ReadableStream<string>;
+ readonly writable: WritableStream<BufferSource>;
+}
+
+declare var TextDecoderStream: {
+ prototype: TextDecoderStream;
+ new(label?: string, options?: TextDecoderOptions): TextDecoderStream;
+};
+
+/**
+ * TextEncoder takes a stream of code points as input and emits a stream of bytes. For a more scalable, non-native library, see StringView – a C-like representation of strings based on typed arrays.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoder)
+ */
+interface TextEncoder extends TextEncoderCommon {
+ /**
+ * Returns the result of running UTF-8's encoder.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoder/encode)
+ */
+ encode(input?: string): Uint8Array;
+ /**
+ * Runs the UTF-8 encoder on source, stores the result of that operation into destination, and returns the progress made as an object wherein read is the number of converted code units of source and written is the number of bytes modified in destination.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoder/encodeInto)
+ */
+ encodeInto(source: string, destination: Uint8Array): TextEncoderEncodeIntoResult;
+}
+
+declare var TextEncoder: {
+ prototype: TextEncoder;
+ new(): TextEncoder;
+};
+
+interface TextEncoderCommon {
+ /**
+ * Returns "utf-8".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoder/encoding)
+ */
+ readonly encoding: string;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextEncoderStream) */
+interface TextEncoderStream extends GenericTransformStream, TextEncoderCommon {
+ readonly readable: ReadableStream<Uint8Array>;
+ readonly writable: WritableStream<string>;
+}
+
+declare var TextEncoderStream: {
+ prototype: TextEncoderStream;
+ new(): TextEncoderStream;
+};
+
+/**
+ * The dimensions of a piece of text in the canvas, as created by the CanvasRenderingContext2D.measureText() method.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics)
+ */
+interface TextMetrics {
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/actualBoundingBoxAscent)
+ */
+ readonly actualBoundingBoxAscent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/actualBoundingBoxDescent)
+ */
+ readonly actualBoundingBoxDescent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/actualBoundingBoxLeft)
+ */
+ readonly actualBoundingBoxLeft: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/actualBoundingBoxRight)
+ */
+ readonly actualBoundingBoxRight: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/alphabeticBaseline)
+ */
+ readonly alphabeticBaseline: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/emHeightAscent)
+ */
+ readonly emHeightAscent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/emHeightDescent)
+ */
+ readonly emHeightDescent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/fontBoundingBoxAscent)
+ */
+ readonly fontBoundingBoxAscent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/fontBoundingBoxDescent)
+ */
+ readonly fontBoundingBoxDescent: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/hangingBaseline)
+ */
+ readonly hangingBaseline: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/ideographicBaseline)
+ */
+ readonly ideographicBaseline: number;
+ /**
+ * Returns the measurement described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/TextMetrics/width)
+ */
+ readonly width: number;
+}
+
+declare var TextMetrics: {
+ prototype: TextMetrics;
+ new(): TextMetrics;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStream) */
+interface TransformStream<I = any, O = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStream/readable) */
+ readonly readable: ReadableStream<O>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStream/writable) */
+ readonly writable: WritableStream<I>;
+}
+
+declare var TransformStream: {
+ prototype: TransformStream;
+ new<I = any, O = any>(transformer?: Transformer<I, O>, writableStrategy?: QueuingStrategy<I>, readableStrategy?: QueuingStrategy<O>): TransformStream<I, O>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController) */
+interface TransformStreamDefaultController<O = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController/desiredSize) */
+ readonly desiredSize: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController/enqueue) */
+ enqueue(chunk?: O): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController/error) */
+ error(reason?: any): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/TransformStreamDefaultController/terminate) */
+ terminate(): void;
+}
+
+declare var TransformStreamDefaultController: {
+ prototype: TransformStreamDefaultController;
+ new(): TransformStreamDefaultController;
+};
+
+/**
+ * The URL interface represents an object providing static methods used for creating object URLs.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL)
+ */
+interface URL {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/hash) */
+ hash: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/host) */
+ host: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/hostname) */
+ hostname: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/href) */
+ href: string;
+ toString(): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/origin) */
+ readonly origin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/password) */
+ password: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/pathname) */
+ pathname: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/port) */
+ port: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/protocol) */
+ protocol: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/search) */
+ search: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/searchParams) */
+ readonly searchParams: URLSearchParams;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/username) */
+ username: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/toJSON) */
+ toJSON(): string;
+}
+
+declare var URL: {
+ prototype: URL;
+ new(url: string | URL, base?: string | URL): URL;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/canParse_static) */
+ canParse(url: string | URL, base?: string): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/createObjectURL_static) */
+ createObjectURL(obj: Blob): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URL/revokeObjectURL_static) */
+ revokeObjectURL(url: string): void;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams) */
+interface URLSearchParams {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/size) */
+ readonly size: number;
+ /**
+ * Appends a specified key/value pair as a new search parameter.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/append)
+ */
+ append(name: string, value: string): void;
+ /**
+ * Deletes the given search parameter, and its associated value, from the list of all search parameters.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/delete)
+ */
+ delete(name: string, value?: string): void;
+ /**
+ * Returns the first value associated to the given search parameter.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/get)
+ */
+ get(name: string): string | null;
+ /**
+ * Returns all the values association with a given search parameter.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/getAll)
+ */
+ getAll(name: string): string[];
+ /**
+ * Returns a Boolean indicating if such a search parameter exists.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/has)
+ */
+ has(name: string, value?: string): boolean;
+ /**
+ * Sets the value associated to a given search parameter to the given value. If there were several values, delete the others.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/set)
+ */
+ set(name: string, value: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/URLSearchParams/sort) */
+ sort(): void;
+ /** Returns a string containing a query string suitable for use in a URL. Does not include the question mark. */
+ toString(): string;
+ forEach(callbackfn: (value: string, key: string, parent: URLSearchParams) => void, thisArg?: any): void;
+}
+
+declare var URLSearchParams: {
+ prototype: URLSearchParams;
+ new(init?: string[][] | Record<string, string> | string | URLSearchParams): URLSearchParams;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace) */
+interface VideoColorSpace {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/fullRange) */
+ readonly fullRange: boolean | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/matrix) */
+ readonly matrix: VideoMatrixCoefficients | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/primaries) */
+ readonly primaries: VideoColorPrimaries | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/transfer) */
+ readonly transfer: VideoTransferCharacteristics | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoColorSpace/toJSON) */
+ toJSON(): VideoColorSpaceInit;
+}
+
+declare var VideoColorSpace: {
+ prototype: VideoColorSpace;
+ new(init?: VideoColorSpaceInit): VideoColorSpace;
+};
+
+interface VideoDecoderEventMap {
+ "dequeue": Event;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder)
+ */
+interface VideoDecoder extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/decodeQueueSize) */
+ readonly decodeQueueSize: number;
+ ondequeue: ((this: VideoDecoder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/state) */
+ readonly state: CodecState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/configure) */
+ configure(config: VideoDecoderConfig): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/decode) */
+ decode(chunk: EncodedVideoChunk): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/flush) */
+ flush(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoDecoder/reset) */
+ reset(): void;
+ addEventListener<K extends keyof VideoDecoderEventMap>(type: K, listener: (this: VideoDecoder, ev: VideoDecoderEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof VideoDecoderEventMap>(type: K, listener: (this: VideoDecoder, ev: VideoDecoderEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var VideoDecoder: {
+ prototype: VideoDecoder;
+ new(init: VideoDecoderInit): VideoDecoder;
+ isConfigSupported(config: VideoDecoderConfig): Promise<VideoDecoderSupport>;
+};
+
+interface VideoEncoderEventMap {
+ "dequeue": Event;
+}
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder)
+ */
+interface VideoEncoder extends EventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/encodeQueueSize) */
+ readonly encodeQueueSize: number;
+ ondequeue: ((this: VideoEncoder, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/state) */
+ readonly state: CodecState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/close) */
+ close(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/configure) */
+ configure(config: VideoEncoderConfig): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/encode) */
+ encode(frame: VideoFrame, options?: VideoEncoderEncodeOptions): void;
+ flush(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoEncoder/reset) */
+ reset(): void;
+ addEventListener<K extends keyof VideoEncoderEventMap>(type: K, listener: (this: VideoEncoder, ev: VideoEncoderEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof VideoEncoderEventMap>(type: K, listener: (this: VideoEncoder, ev: VideoEncoderEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var VideoEncoder: {
+ prototype: VideoEncoder;
+ new(init: VideoEncoderInit): VideoEncoder;
+ isConfigSupported(config: VideoEncoderConfig): Promise<VideoEncoderSupport>;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame) */
+interface VideoFrame {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/codedHeight) */
+ readonly codedHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/codedRect) */
+ readonly codedRect: DOMRectReadOnly | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/codedWidth) */
+ readonly codedWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/colorSpace) */
+ readonly colorSpace: VideoColorSpace;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/displayHeight) */
+ readonly displayHeight: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/displayWidth) */
+ readonly displayWidth: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/duration) */
+ readonly duration: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/format) */
+ readonly format: VideoPixelFormat | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/timestamp) */
+ readonly timestamp: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/visibleRect) */
+ readonly visibleRect: DOMRectReadOnly | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/allocationSize) */
+ allocationSize(options?: VideoFrameCopyToOptions): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/clone) */
+ clone(): VideoFrame;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/VideoFrame/close) */
+ close(): void;
+ copyTo(destination: AllowSharedBufferSource, options?: VideoFrameCopyToOptions): Promise<PlaneLayout[]>;
+}
+
+declare var VideoFrame: {
+ prototype: VideoFrame;
+ new(image: CanvasImageSource, init?: VideoFrameInit): VideoFrame;
+ new(data: AllowSharedBufferSource, init: VideoFrameBufferInit): VideoFrame;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_color_buffer_float) */
+interface WEBGL_color_buffer_float {
+ readonly RGBA32F_EXT: 0x8814;
+ readonly FRAMEBUFFER_ATTACHMENT_COMPONENT_TYPE_EXT: 0x8211;
+ readonly UNSIGNED_NORMALIZED_EXT: 0x8C17;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_astc) */
+interface WEBGL_compressed_texture_astc {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_astc/getSupportedProfiles) */
+ getSupportedProfiles(): string[];
+ readonly COMPRESSED_RGBA_ASTC_4x4_KHR: 0x93B0;
+ readonly COMPRESSED_RGBA_ASTC_5x4_KHR: 0x93B1;
+ readonly COMPRESSED_RGBA_ASTC_5x5_KHR: 0x93B2;
+ readonly COMPRESSED_RGBA_ASTC_6x5_KHR: 0x93B3;
+ readonly COMPRESSED_RGBA_ASTC_6x6_KHR: 0x93B4;
+ readonly COMPRESSED_RGBA_ASTC_8x5_KHR: 0x93B5;
+ readonly COMPRESSED_RGBA_ASTC_8x6_KHR: 0x93B6;
+ readonly COMPRESSED_RGBA_ASTC_8x8_KHR: 0x93B7;
+ readonly COMPRESSED_RGBA_ASTC_10x5_KHR: 0x93B8;
+ readonly COMPRESSED_RGBA_ASTC_10x6_KHR: 0x93B9;
+ readonly COMPRESSED_RGBA_ASTC_10x8_KHR: 0x93BA;
+ readonly COMPRESSED_RGBA_ASTC_10x10_KHR: 0x93BB;
+ readonly COMPRESSED_RGBA_ASTC_12x10_KHR: 0x93BC;
+ readonly COMPRESSED_RGBA_ASTC_12x12_KHR: 0x93BD;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_4x4_KHR: 0x93D0;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_5x4_KHR: 0x93D1;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_5x5_KHR: 0x93D2;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_6x5_KHR: 0x93D3;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_6x6_KHR: 0x93D4;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_8x5_KHR: 0x93D5;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_8x6_KHR: 0x93D6;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_8x8_KHR: 0x93D7;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_10x5_KHR: 0x93D8;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_10x6_KHR: 0x93D9;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_10x8_KHR: 0x93DA;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_10x10_KHR: 0x93DB;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_12x10_KHR: 0x93DC;
+ readonly COMPRESSED_SRGB8_ALPHA8_ASTC_12x12_KHR: 0x93DD;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_etc) */
+interface WEBGL_compressed_texture_etc {
+ readonly COMPRESSED_R11_EAC: 0x9270;
+ readonly COMPRESSED_SIGNED_R11_EAC: 0x9271;
+ readonly COMPRESSED_RG11_EAC: 0x9272;
+ readonly COMPRESSED_SIGNED_RG11_EAC: 0x9273;
+ readonly COMPRESSED_RGB8_ETC2: 0x9274;
+ readonly COMPRESSED_SRGB8_ETC2: 0x9275;
+ readonly COMPRESSED_RGB8_PUNCHTHROUGH_ALPHA1_ETC2: 0x9276;
+ readonly COMPRESSED_SRGB8_PUNCHTHROUGH_ALPHA1_ETC2: 0x9277;
+ readonly COMPRESSED_RGBA8_ETC2_EAC: 0x9278;
+ readonly COMPRESSED_SRGB8_ALPHA8_ETC2_EAC: 0x9279;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_etc1) */
+interface WEBGL_compressed_texture_etc1 {
+ readonly COMPRESSED_RGB_ETC1_WEBGL: 0x8D64;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_pvrtc) */
+interface WEBGL_compressed_texture_pvrtc {
+ readonly COMPRESSED_RGB_PVRTC_4BPPV1_IMG: 0x8C00;
+ readonly COMPRESSED_RGB_PVRTC_2BPPV1_IMG: 0x8C01;
+ readonly COMPRESSED_RGBA_PVRTC_4BPPV1_IMG: 0x8C02;
+ readonly COMPRESSED_RGBA_PVRTC_2BPPV1_IMG: 0x8C03;
+}
+
+/**
+ * The WEBGL_compressed_texture_s3tc extension is part of the WebGL API and exposes four S3TC compressed texture formats.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_s3tc)
+ */
+interface WEBGL_compressed_texture_s3tc {
+ readonly COMPRESSED_RGB_S3TC_DXT1_EXT: 0x83F0;
+ readonly COMPRESSED_RGBA_S3TC_DXT1_EXT: 0x83F1;
+ readonly COMPRESSED_RGBA_S3TC_DXT3_EXT: 0x83F2;
+ readonly COMPRESSED_RGBA_S3TC_DXT5_EXT: 0x83F3;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_compressed_texture_s3tc_srgb) */
+interface WEBGL_compressed_texture_s3tc_srgb {
+ readonly COMPRESSED_SRGB_S3TC_DXT1_EXT: 0x8C4C;
+ readonly COMPRESSED_SRGB_ALPHA_S3TC_DXT1_EXT: 0x8C4D;
+ readonly COMPRESSED_SRGB_ALPHA_S3TC_DXT3_EXT: 0x8C4E;
+ readonly COMPRESSED_SRGB_ALPHA_S3TC_DXT5_EXT: 0x8C4F;
+}
+
+/**
+ * The WEBGL_debug_renderer_info extension is part of the WebGL API and exposes two constants with information about the graphics driver for debugging purposes.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_debug_renderer_info)
+ */
+interface WEBGL_debug_renderer_info {
+ readonly UNMASKED_VENDOR_WEBGL: 0x9245;
+ readonly UNMASKED_RENDERER_WEBGL: 0x9246;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_debug_shaders) */
+interface WEBGL_debug_shaders {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_debug_shaders/getTranslatedShaderSource) */
+ getTranslatedShaderSource(shader: WebGLShader): string;
+}
+
+/**
+ * The WEBGL_depth_texture extension is part of the WebGL API and defines 2D depth and depth-stencil textures.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_depth_texture)
+ */
+interface WEBGL_depth_texture {
+ readonly UNSIGNED_INT_24_8_WEBGL: 0x84FA;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_draw_buffers) */
+interface WEBGL_draw_buffers {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_draw_buffers/drawBuffersWEBGL) */
+ drawBuffersWEBGL(buffers: GLenum[]): void;
+ readonly COLOR_ATTACHMENT0_WEBGL: 0x8CE0;
+ readonly COLOR_ATTACHMENT1_WEBGL: 0x8CE1;
+ readonly COLOR_ATTACHMENT2_WEBGL: 0x8CE2;
+ readonly COLOR_ATTACHMENT3_WEBGL: 0x8CE3;
+ readonly COLOR_ATTACHMENT4_WEBGL: 0x8CE4;
+ readonly COLOR_ATTACHMENT5_WEBGL: 0x8CE5;
+ readonly COLOR_ATTACHMENT6_WEBGL: 0x8CE6;
+ readonly COLOR_ATTACHMENT7_WEBGL: 0x8CE7;
+ readonly COLOR_ATTACHMENT8_WEBGL: 0x8CE8;
+ readonly COLOR_ATTACHMENT9_WEBGL: 0x8CE9;
+ readonly COLOR_ATTACHMENT10_WEBGL: 0x8CEA;
+ readonly COLOR_ATTACHMENT11_WEBGL: 0x8CEB;
+ readonly COLOR_ATTACHMENT12_WEBGL: 0x8CEC;
+ readonly COLOR_ATTACHMENT13_WEBGL: 0x8CED;
+ readonly COLOR_ATTACHMENT14_WEBGL: 0x8CEE;
+ readonly COLOR_ATTACHMENT15_WEBGL: 0x8CEF;
+ readonly DRAW_BUFFER0_WEBGL: 0x8825;
+ readonly DRAW_BUFFER1_WEBGL: 0x8826;
+ readonly DRAW_BUFFER2_WEBGL: 0x8827;
+ readonly DRAW_BUFFER3_WEBGL: 0x8828;
+ readonly DRAW_BUFFER4_WEBGL: 0x8829;
+ readonly DRAW_BUFFER5_WEBGL: 0x882A;
+ readonly DRAW_BUFFER6_WEBGL: 0x882B;
+ readonly DRAW_BUFFER7_WEBGL: 0x882C;
+ readonly DRAW_BUFFER8_WEBGL: 0x882D;
+ readonly DRAW_BUFFER9_WEBGL: 0x882E;
+ readonly DRAW_BUFFER10_WEBGL: 0x882F;
+ readonly DRAW_BUFFER11_WEBGL: 0x8830;
+ readonly DRAW_BUFFER12_WEBGL: 0x8831;
+ readonly DRAW_BUFFER13_WEBGL: 0x8832;
+ readonly DRAW_BUFFER14_WEBGL: 0x8833;
+ readonly DRAW_BUFFER15_WEBGL: 0x8834;
+ readonly MAX_COLOR_ATTACHMENTS_WEBGL: 0x8CDF;
+ readonly MAX_DRAW_BUFFERS_WEBGL: 0x8824;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_lose_context) */
+interface WEBGL_lose_context {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_lose_context/loseContext) */
+ loseContext(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_lose_context/restoreContext) */
+ restoreContext(): void;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw) */
+interface WEBGL_multi_draw {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawArraysInstancedWEBGL) */
+ multiDrawArraysInstancedWEBGL(mode: GLenum, firstsList: Int32Array | GLint[], firstsOffset: number, countsList: Int32Array | GLsizei[], countsOffset: number, instanceCountsList: Int32Array | GLsizei[], instanceCountsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawArraysWEBGL) */
+ multiDrawArraysWEBGL(mode: GLenum, firstsList: Int32Array | GLint[], firstsOffset: number, countsList: Int32Array | GLsizei[], countsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawElementsInstancedWEBGL) */
+ multiDrawElementsInstancedWEBGL(mode: GLenum, countsList: Int32Array | GLsizei[], countsOffset: number, type: GLenum, offsetsList: Int32Array | GLsizei[], offsetsOffset: number, instanceCountsList: Int32Array | GLsizei[], instanceCountsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawElementsWEBGL) */
+ multiDrawElementsWEBGL(mode: GLenum, countsList: Int32Array | GLsizei[], countsOffset: number, type: GLenum, offsetsList: Int32Array | GLsizei[], offsetsOffset: number, drawcount: GLsizei): void;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext) */
+interface WebGL2RenderingContext extends WebGL2RenderingContextBase, WebGL2RenderingContextOverloads, WebGLRenderingContextBase {
+}
+
+declare var WebGL2RenderingContext: {
+ prototype: WebGL2RenderingContext;
+ new(): WebGL2RenderingContext;
+ readonly READ_BUFFER: 0x0C02;
+ readonly UNPACK_ROW_LENGTH: 0x0CF2;
+ readonly UNPACK_SKIP_ROWS: 0x0CF3;
+ readonly UNPACK_SKIP_PIXELS: 0x0CF4;
+ readonly PACK_ROW_LENGTH: 0x0D02;
+ readonly PACK_SKIP_ROWS: 0x0D03;
+ readonly PACK_SKIP_PIXELS: 0x0D04;
+ readonly COLOR: 0x1800;
+ readonly DEPTH: 0x1801;
+ readonly STENCIL: 0x1802;
+ readonly RED: 0x1903;
+ readonly RGB8: 0x8051;
+ readonly RGB10_A2: 0x8059;
+ readonly TEXTURE_BINDING_3D: 0x806A;
+ readonly UNPACK_SKIP_IMAGES: 0x806D;
+ readonly UNPACK_IMAGE_HEIGHT: 0x806E;
+ readonly TEXTURE_3D: 0x806F;
+ readonly TEXTURE_WRAP_R: 0x8072;
+ readonly MAX_3D_TEXTURE_SIZE: 0x8073;
+ readonly UNSIGNED_INT_2_10_10_10_REV: 0x8368;
+ readonly MAX_ELEMENTS_VERTICES: 0x80E8;
+ readonly MAX_ELEMENTS_INDICES: 0x80E9;
+ readonly TEXTURE_MIN_LOD: 0x813A;
+ readonly TEXTURE_MAX_LOD: 0x813B;
+ readonly TEXTURE_BASE_LEVEL: 0x813C;
+ readonly TEXTURE_MAX_LEVEL: 0x813D;
+ readonly MIN: 0x8007;
+ readonly MAX: 0x8008;
+ readonly DEPTH_COMPONENT24: 0x81A6;
+ readonly MAX_TEXTURE_LOD_BIAS: 0x84FD;
+ readonly TEXTURE_COMPARE_MODE: 0x884C;
+ readonly TEXTURE_COMPARE_FUNC: 0x884D;
+ readonly CURRENT_QUERY: 0x8865;
+ readonly QUERY_RESULT: 0x8866;
+ readonly QUERY_RESULT_AVAILABLE: 0x8867;
+ readonly STREAM_READ: 0x88E1;
+ readonly STREAM_COPY: 0x88E2;
+ readonly STATIC_READ: 0x88E5;
+ readonly STATIC_COPY: 0x88E6;
+ readonly DYNAMIC_READ: 0x88E9;
+ readonly DYNAMIC_COPY: 0x88EA;
+ readonly MAX_DRAW_BUFFERS: 0x8824;
+ readonly DRAW_BUFFER0: 0x8825;
+ readonly DRAW_BUFFER1: 0x8826;
+ readonly DRAW_BUFFER2: 0x8827;
+ readonly DRAW_BUFFER3: 0x8828;
+ readonly DRAW_BUFFER4: 0x8829;
+ readonly DRAW_BUFFER5: 0x882A;
+ readonly DRAW_BUFFER6: 0x882B;
+ readonly DRAW_BUFFER7: 0x882C;
+ readonly DRAW_BUFFER8: 0x882D;
+ readonly DRAW_BUFFER9: 0x882E;
+ readonly DRAW_BUFFER10: 0x882F;
+ readonly DRAW_BUFFER11: 0x8830;
+ readonly DRAW_BUFFER12: 0x8831;
+ readonly DRAW_BUFFER13: 0x8832;
+ readonly DRAW_BUFFER14: 0x8833;
+ readonly DRAW_BUFFER15: 0x8834;
+ readonly MAX_FRAGMENT_UNIFORM_COMPONENTS: 0x8B49;
+ readonly MAX_VERTEX_UNIFORM_COMPONENTS: 0x8B4A;
+ readonly SAMPLER_3D: 0x8B5F;
+ readonly SAMPLER_2D_SHADOW: 0x8B62;
+ readonly FRAGMENT_SHADER_DERIVATIVE_HINT: 0x8B8B;
+ readonly PIXEL_PACK_BUFFER: 0x88EB;
+ readonly PIXEL_UNPACK_BUFFER: 0x88EC;
+ readonly PIXEL_PACK_BUFFER_BINDING: 0x88ED;
+ readonly PIXEL_UNPACK_BUFFER_BINDING: 0x88EF;
+ readonly FLOAT_MAT2x3: 0x8B65;
+ readonly FLOAT_MAT2x4: 0x8B66;
+ readonly FLOAT_MAT3x2: 0x8B67;
+ readonly FLOAT_MAT3x4: 0x8B68;
+ readonly FLOAT_MAT4x2: 0x8B69;
+ readonly FLOAT_MAT4x3: 0x8B6A;
+ readonly SRGB: 0x8C40;
+ readonly SRGB8: 0x8C41;
+ readonly SRGB8_ALPHA8: 0x8C43;
+ readonly COMPARE_REF_TO_TEXTURE: 0x884E;
+ readonly RGBA32F: 0x8814;
+ readonly RGB32F: 0x8815;
+ readonly RGBA16F: 0x881A;
+ readonly RGB16F: 0x881B;
+ readonly VERTEX_ATTRIB_ARRAY_INTEGER: 0x88FD;
+ readonly MAX_ARRAY_TEXTURE_LAYERS: 0x88FF;
+ readonly MIN_PROGRAM_TEXEL_OFFSET: 0x8904;
+ readonly MAX_PROGRAM_TEXEL_OFFSET: 0x8905;
+ readonly MAX_VARYING_COMPONENTS: 0x8B4B;
+ readonly TEXTURE_2D_ARRAY: 0x8C1A;
+ readonly TEXTURE_BINDING_2D_ARRAY: 0x8C1D;
+ readonly R11F_G11F_B10F: 0x8C3A;
+ readonly UNSIGNED_INT_10F_11F_11F_REV: 0x8C3B;
+ readonly RGB9_E5: 0x8C3D;
+ readonly UNSIGNED_INT_5_9_9_9_REV: 0x8C3E;
+ readonly TRANSFORM_FEEDBACK_BUFFER_MODE: 0x8C7F;
+ readonly MAX_TRANSFORM_FEEDBACK_SEPARATE_COMPONENTS: 0x8C80;
+ readonly TRANSFORM_FEEDBACK_VARYINGS: 0x8C83;
+ readonly TRANSFORM_FEEDBACK_BUFFER_START: 0x8C84;
+ readonly TRANSFORM_FEEDBACK_BUFFER_SIZE: 0x8C85;
+ readonly TRANSFORM_FEEDBACK_PRIMITIVES_WRITTEN: 0x8C88;
+ readonly RASTERIZER_DISCARD: 0x8C89;
+ readonly MAX_TRANSFORM_FEEDBACK_INTERLEAVED_COMPONENTS: 0x8C8A;
+ readonly MAX_TRANSFORM_FEEDBACK_SEPARATE_ATTRIBS: 0x8C8B;
+ readonly INTERLEAVED_ATTRIBS: 0x8C8C;
+ readonly SEPARATE_ATTRIBS: 0x8C8D;
+ readonly TRANSFORM_FEEDBACK_BUFFER: 0x8C8E;
+ readonly TRANSFORM_FEEDBACK_BUFFER_BINDING: 0x8C8F;
+ readonly RGBA32UI: 0x8D70;
+ readonly RGB32UI: 0x8D71;
+ readonly RGBA16UI: 0x8D76;
+ readonly RGB16UI: 0x8D77;
+ readonly RGBA8UI: 0x8D7C;
+ readonly RGB8UI: 0x8D7D;
+ readonly RGBA32I: 0x8D82;
+ readonly RGB32I: 0x8D83;
+ readonly RGBA16I: 0x8D88;
+ readonly RGB16I: 0x8D89;
+ readonly RGBA8I: 0x8D8E;
+ readonly RGB8I: 0x8D8F;
+ readonly RED_INTEGER: 0x8D94;
+ readonly RGB_INTEGER: 0x8D98;
+ readonly RGBA_INTEGER: 0x8D99;
+ readonly SAMPLER_2D_ARRAY: 0x8DC1;
+ readonly SAMPLER_2D_ARRAY_SHADOW: 0x8DC4;
+ readonly SAMPLER_CUBE_SHADOW: 0x8DC5;
+ readonly UNSIGNED_INT_VEC2: 0x8DC6;
+ readonly UNSIGNED_INT_VEC3: 0x8DC7;
+ readonly UNSIGNED_INT_VEC4: 0x8DC8;
+ readonly INT_SAMPLER_2D: 0x8DCA;
+ readonly INT_SAMPLER_3D: 0x8DCB;
+ readonly INT_SAMPLER_CUBE: 0x8DCC;
+ readonly INT_SAMPLER_2D_ARRAY: 0x8DCF;
+ readonly UNSIGNED_INT_SAMPLER_2D: 0x8DD2;
+ readonly UNSIGNED_INT_SAMPLER_3D: 0x8DD3;
+ readonly UNSIGNED_INT_SAMPLER_CUBE: 0x8DD4;
+ readonly UNSIGNED_INT_SAMPLER_2D_ARRAY: 0x8DD7;
+ readonly DEPTH_COMPONENT32F: 0x8CAC;
+ readonly DEPTH32F_STENCIL8: 0x8CAD;
+ readonly FLOAT_32_UNSIGNED_INT_24_8_REV: 0x8DAD;
+ readonly FRAMEBUFFER_ATTACHMENT_COLOR_ENCODING: 0x8210;
+ readonly FRAMEBUFFER_ATTACHMENT_COMPONENT_TYPE: 0x8211;
+ readonly FRAMEBUFFER_ATTACHMENT_RED_SIZE: 0x8212;
+ readonly FRAMEBUFFER_ATTACHMENT_GREEN_SIZE: 0x8213;
+ readonly FRAMEBUFFER_ATTACHMENT_BLUE_SIZE: 0x8214;
+ readonly FRAMEBUFFER_ATTACHMENT_ALPHA_SIZE: 0x8215;
+ readonly FRAMEBUFFER_ATTACHMENT_DEPTH_SIZE: 0x8216;
+ readonly FRAMEBUFFER_ATTACHMENT_STENCIL_SIZE: 0x8217;
+ readonly FRAMEBUFFER_DEFAULT: 0x8218;
+ readonly UNSIGNED_INT_24_8: 0x84FA;
+ readonly DEPTH24_STENCIL8: 0x88F0;
+ readonly UNSIGNED_NORMALIZED: 0x8C17;
+ readonly DRAW_FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly READ_FRAMEBUFFER: 0x8CA8;
+ readonly DRAW_FRAMEBUFFER: 0x8CA9;
+ readonly READ_FRAMEBUFFER_BINDING: 0x8CAA;
+ readonly RENDERBUFFER_SAMPLES: 0x8CAB;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LAYER: 0x8CD4;
+ readonly MAX_COLOR_ATTACHMENTS: 0x8CDF;
+ readonly COLOR_ATTACHMENT1: 0x8CE1;
+ readonly COLOR_ATTACHMENT2: 0x8CE2;
+ readonly COLOR_ATTACHMENT3: 0x8CE3;
+ readonly COLOR_ATTACHMENT4: 0x8CE4;
+ readonly COLOR_ATTACHMENT5: 0x8CE5;
+ readonly COLOR_ATTACHMENT6: 0x8CE6;
+ readonly COLOR_ATTACHMENT7: 0x8CE7;
+ readonly COLOR_ATTACHMENT8: 0x8CE8;
+ readonly COLOR_ATTACHMENT9: 0x8CE9;
+ readonly COLOR_ATTACHMENT10: 0x8CEA;
+ readonly COLOR_ATTACHMENT11: 0x8CEB;
+ readonly COLOR_ATTACHMENT12: 0x8CEC;
+ readonly COLOR_ATTACHMENT13: 0x8CED;
+ readonly COLOR_ATTACHMENT14: 0x8CEE;
+ readonly COLOR_ATTACHMENT15: 0x8CEF;
+ readonly FRAMEBUFFER_INCOMPLETE_MULTISAMPLE: 0x8D56;
+ readonly MAX_SAMPLES: 0x8D57;
+ readonly HALF_FLOAT: 0x140B;
+ readonly RG: 0x8227;
+ readonly RG_INTEGER: 0x8228;
+ readonly R8: 0x8229;
+ readonly RG8: 0x822B;
+ readonly R16F: 0x822D;
+ readonly R32F: 0x822E;
+ readonly RG16F: 0x822F;
+ readonly RG32F: 0x8230;
+ readonly R8I: 0x8231;
+ readonly R8UI: 0x8232;
+ readonly R16I: 0x8233;
+ readonly R16UI: 0x8234;
+ readonly R32I: 0x8235;
+ readonly R32UI: 0x8236;
+ readonly RG8I: 0x8237;
+ readonly RG8UI: 0x8238;
+ readonly RG16I: 0x8239;
+ readonly RG16UI: 0x823A;
+ readonly RG32I: 0x823B;
+ readonly RG32UI: 0x823C;
+ readonly VERTEX_ARRAY_BINDING: 0x85B5;
+ readonly R8_SNORM: 0x8F94;
+ readonly RG8_SNORM: 0x8F95;
+ readonly RGB8_SNORM: 0x8F96;
+ readonly RGBA8_SNORM: 0x8F97;
+ readonly SIGNED_NORMALIZED: 0x8F9C;
+ readonly COPY_READ_BUFFER: 0x8F36;
+ readonly COPY_WRITE_BUFFER: 0x8F37;
+ readonly COPY_READ_BUFFER_BINDING: 0x8F36;
+ readonly COPY_WRITE_BUFFER_BINDING: 0x8F37;
+ readonly UNIFORM_BUFFER: 0x8A11;
+ readonly UNIFORM_BUFFER_BINDING: 0x8A28;
+ readonly UNIFORM_BUFFER_START: 0x8A29;
+ readonly UNIFORM_BUFFER_SIZE: 0x8A2A;
+ readonly MAX_VERTEX_UNIFORM_BLOCKS: 0x8A2B;
+ readonly MAX_FRAGMENT_UNIFORM_BLOCKS: 0x8A2D;
+ readonly MAX_COMBINED_UNIFORM_BLOCKS: 0x8A2E;
+ readonly MAX_UNIFORM_BUFFER_BINDINGS: 0x8A2F;
+ readonly MAX_UNIFORM_BLOCK_SIZE: 0x8A30;
+ readonly MAX_COMBINED_VERTEX_UNIFORM_COMPONENTS: 0x8A31;
+ readonly MAX_COMBINED_FRAGMENT_UNIFORM_COMPONENTS: 0x8A33;
+ readonly UNIFORM_BUFFER_OFFSET_ALIGNMENT: 0x8A34;
+ readonly ACTIVE_UNIFORM_BLOCKS: 0x8A36;
+ readonly UNIFORM_TYPE: 0x8A37;
+ readonly UNIFORM_SIZE: 0x8A38;
+ readonly UNIFORM_BLOCK_INDEX: 0x8A3A;
+ readonly UNIFORM_OFFSET: 0x8A3B;
+ readonly UNIFORM_ARRAY_STRIDE: 0x8A3C;
+ readonly UNIFORM_MATRIX_STRIDE: 0x8A3D;
+ readonly UNIFORM_IS_ROW_MAJOR: 0x8A3E;
+ readonly UNIFORM_BLOCK_BINDING: 0x8A3F;
+ readonly UNIFORM_BLOCK_DATA_SIZE: 0x8A40;
+ readonly UNIFORM_BLOCK_ACTIVE_UNIFORMS: 0x8A42;
+ readonly UNIFORM_BLOCK_ACTIVE_UNIFORM_INDICES: 0x8A43;
+ readonly UNIFORM_BLOCK_REFERENCED_BY_VERTEX_SHADER: 0x8A44;
+ readonly UNIFORM_BLOCK_REFERENCED_BY_FRAGMENT_SHADER: 0x8A46;
+ readonly INVALID_INDEX: 0xFFFFFFFF;
+ readonly MAX_VERTEX_OUTPUT_COMPONENTS: 0x9122;
+ readonly MAX_FRAGMENT_INPUT_COMPONENTS: 0x9125;
+ readonly MAX_SERVER_WAIT_TIMEOUT: 0x9111;
+ readonly OBJECT_TYPE: 0x9112;
+ readonly SYNC_CONDITION: 0x9113;
+ readonly SYNC_STATUS: 0x9114;
+ readonly SYNC_FLAGS: 0x9115;
+ readonly SYNC_FENCE: 0x9116;
+ readonly SYNC_GPU_COMMANDS_COMPLETE: 0x9117;
+ readonly UNSIGNALED: 0x9118;
+ readonly SIGNALED: 0x9119;
+ readonly ALREADY_SIGNALED: 0x911A;
+ readonly TIMEOUT_EXPIRED: 0x911B;
+ readonly CONDITION_SATISFIED: 0x911C;
+ readonly WAIT_FAILED: 0x911D;
+ readonly SYNC_FLUSH_COMMANDS_BIT: 0x00000001;
+ readonly VERTEX_ATTRIB_ARRAY_DIVISOR: 0x88FE;
+ readonly ANY_SAMPLES_PASSED: 0x8C2F;
+ readonly ANY_SAMPLES_PASSED_CONSERVATIVE: 0x8D6A;
+ readonly SAMPLER_BINDING: 0x8919;
+ readonly RGB10_A2UI: 0x906F;
+ readonly INT_2_10_10_10_REV: 0x8D9F;
+ readonly TRANSFORM_FEEDBACK: 0x8E22;
+ readonly TRANSFORM_FEEDBACK_PAUSED: 0x8E23;
+ readonly TRANSFORM_FEEDBACK_ACTIVE: 0x8E24;
+ readonly TRANSFORM_FEEDBACK_BINDING: 0x8E25;
+ readonly TEXTURE_IMMUTABLE_FORMAT: 0x912F;
+ readonly MAX_ELEMENT_INDEX: 0x8D6B;
+ readonly TEXTURE_IMMUTABLE_LEVELS: 0x82DF;
+ readonly TIMEOUT_IGNORED: -1;
+ readonly MAX_CLIENT_WAIT_TIMEOUT_WEBGL: 0x9247;
+ readonly DEPTH_BUFFER_BIT: 0x00000100;
+ readonly STENCIL_BUFFER_BIT: 0x00000400;
+ readonly COLOR_BUFFER_BIT: 0x00004000;
+ readonly POINTS: 0x0000;
+ readonly LINES: 0x0001;
+ readonly LINE_LOOP: 0x0002;
+ readonly LINE_STRIP: 0x0003;
+ readonly TRIANGLES: 0x0004;
+ readonly TRIANGLE_STRIP: 0x0005;
+ readonly TRIANGLE_FAN: 0x0006;
+ readonly ZERO: 0;
+ readonly ONE: 1;
+ readonly SRC_COLOR: 0x0300;
+ readonly ONE_MINUS_SRC_COLOR: 0x0301;
+ readonly SRC_ALPHA: 0x0302;
+ readonly ONE_MINUS_SRC_ALPHA: 0x0303;
+ readonly DST_ALPHA: 0x0304;
+ readonly ONE_MINUS_DST_ALPHA: 0x0305;
+ readonly DST_COLOR: 0x0306;
+ readonly ONE_MINUS_DST_COLOR: 0x0307;
+ readonly SRC_ALPHA_SATURATE: 0x0308;
+ readonly FUNC_ADD: 0x8006;
+ readonly BLEND_EQUATION: 0x8009;
+ readonly BLEND_EQUATION_RGB: 0x8009;
+ readonly BLEND_EQUATION_ALPHA: 0x883D;
+ readonly FUNC_SUBTRACT: 0x800A;
+ readonly FUNC_REVERSE_SUBTRACT: 0x800B;
+ readonly BLEND_DST_RGB: 0x80C8;
+ readonly BLEND_SRC_RGB: 0x80C9;
+ readonly BLEND_DST_ALPHA: 0x80CA;
+ readonly BLEND_SRC_ALPHA: 0x80CB;
+ readonly CONSTANT_COLOR: 0x8001;
+ readonly ONE_MINUS_CONSTANT_COLOR: 0x8002;
+ readonly CONSTANT_ALPHA: 0x8003;
+ readonly ONE_MINUS_CONSTANT_ALPHA: 0x8004;
+ readonly BLEND_COLOR: 0x8005;
+ readonly ARRAY_BUFFER: 0x8892;
+ readonly ELEMENT_ARRAY_BUFFER: 0x8893;
+ readonly ARRAY_BUFFER_BINDING: 0x8894;
+ readonly ELEMENT_ARRAY_BUFFER_BINDING: 0x8895;
+ readonly STREAM_DRAW: 0x88E0;
+ readonly STATIC_DRAW: 0x88E4;
+ readonly DYNAMIC_DRAW: 0x88E8;
+ readonly BUFFER_SIZE: 0x8764;
+ readonly BUFFER_USAGE: 0x8765;
+ readonly CURRENT_VERTEX_ATTRIB: 0x8626;
+ readonly FRONT: 0x0404;
+ readonly BACK: 0x0405;
+ readonly FRONT_AND_BACK: 0x0408;
+ readonly CULL_FACE: 0x0B44;
+ readonly BLEND: 0x0BE2;
+ readonly DITHER: 0x0BD0;
+ readonly STENCIL_TEST: 0x0B90;
+ readonly DEPTH_TEST: 0x0B71;
+ readonly SCISSOR_TEST: 0x0C11;
+ readonly POLYGON_OFFSET_FILL: 0x8037;
+ readonly SAMPLE_ALPHA_TO_COVERAGE: 0x809E;
+ readonly SAMPLE_COVERAGE: 0x80A0;
+ readonly NO_ERROR: 0;
+ readonly INVALID_ENUM: 0x0500;
+ readonly INVALID_VALUE: 0x0501;
+ readonly INVALID_OPERATION: 0x0502;
+ readonly OUT_OF_MEMORY: 0x0505;
+ readonly CW: 0x0900;
+ readonly CCW: 0x0901;
+ readonly LINE_WIDTH: 0x0B21;
+ readonly ALIASED_POINT_SIZE_RANGE: 0x846D;
+ readonly ALIASED_LINE_WIDTH_RANGE: 0x846E;
+ readonly CULL_FACE_MODE: 0x0B45;
+ readonly FRONT_FACE: 0x0B46;
+ readonly DEPTH_RANGE: 0x0B70;
+ readonly DEPTH_WRITEMASK: 0x0B72;
+ readonly DEPTH_CLEAR_VALUE: 0x0B73;
+ readonly DEPTH_FUNC: 0x0B74;
+ readonly STENCIL_CLEAR_VALUE: 0x0B91;
+ readonly STENCIL_FUNC: 0x0B92;
+ readonly STENCIL_FAIL: 0x0B94;
+ readonly STENCIL_PASS_DEPTH_FAIL: 0x0B95;
+ readonly STENCIL_PASS_DEPTH_PASS: 0x0B96;
+ readonly STENCIL_REF: 0x0B97;
+ readonly STENCIL_VALUE_MASK: 0x0B93;
+ readonly STENCIL_WRITEMASK: 0x0B98;
+ readonly STENCIL_BACK_FUNC: 0x8800;
+ readonly STENCIL_BACK_FAIL: 0x8801;
+ readonly STENCIL_BACK_PASS_DEPTH_FAIL: 0x8802;
+ readonly STENCIL_BACK_PASS_DEPTH_PASS: 0x8803;
+ readonly STENCIL_BACK_REF: 0x8CA3;
+ readonly STENCIL_BACK_VALUE_MASK: 0x8CA4;
+ readonly STENCIL_BACK_WRITEMASK: 0x8CA5;
+ readonly VIEWPORT: 0x0BA2;
+ readonly SCISSOR_BOX: 0x0C10;
+ readonly COLOR_CLEAR_VALUE: 0x0C22;
+ readonly COLOR_WRITEMASK: 0x0C23;
+ readonly UNPACK_ALIGNMENT: 0x0CF5;
+ readonly PACK_ALIGNMENT: 0x0D05;
+ readonly MAX_TEXTURE_SIZE: 0x0D33;
+ readonly MAX_VIEWPORT_DIMS: 0x0D3A;
+ readonly SUBPIXEL_BITS: 0x0D50;
+ readonly RED_BITS: 0x0D52;
+ readonly GREEN_BITS: 0x0D53;
+ readonly BLUE_BITS: 0x0D54;
+ readonly ALPHA_BITS: 0x0D55;
+ readonly DEPTH_BITS: 0x0D56;
+ readonly STENCIL_BITS: 0x0D57;
+ readonly POLYGON_OFFSET_UNITS: 0x2A00;
+ readonly POLYGON_OFFSET_FACTOR: 0x8038;
+ readonly TEXTURE_BINDING_2D: 0x8069;
+ readonly SAMPLE_BUFFERS: 0x80A8;
+ readonly SAMPLES: 0x80A9;
+ readonly SAMPLE_COVERAGE_VALUE: 0x80AA;
+ readonly SAMPLE_COVERAGE_INVERT: 0x80AB;
+ readonly COMPRESSED_TEXTURE_FORMATS: 0x86A3;
+ readonly DONT_CARE: 0x1100;
+ readonly FASTEST: 0x1101;
+ readonly NICEST: 0x1102;
+ readonly GENERATE_MIPMAP_HINT: 0x8192;
+ readonly BYTE: 0x1400;
+ readonly UNSIGNED_BYTE: 0x1401;
+ readonly SHORT: 0x1402;
+ readonly UNSIGNED_SHORT: 0x1403;
+ readonly INT: 0x1404;
+ readonly UNSIGNED_INT: 0x1405;
+ readonly FLOAT: 0x1406;
+ readonly DEPTH_COMPONENT: 0x1902;
+ readonly ALPHA: 0x1906;
+ readonly RGB: 0x1907;
+ readonly RGBA: 0x1908;
+ readonly LUMINANCE: 0x1909;
+ readonly LUMINANCE_ALPHA: 0x190A;
+ readonly UNSIGNED_SHORT_4_4_4_4: 0x8033;
+ readonly UNSIGNED_SHORT_5_5_5_1: 0x8034;
+ readonly UNSIGNED_SHORT_5_6_5: 0x8363;
+ readonly FRAGMENT_SHADER: 0x8B30;
+ readonly VERTEX_SHADER: 0x8B31;
+ readonly MAX_VERTEX_ATTRIBS: 0x8869;
+ readonly MAX_VERTEX_UNIFORM_VECTORS: 0x8DFB;
+ readonly MAX_VARYING_VECTORS: 0x8DFC;
+ readonly MAX_COMBINED_TEXTURE_IMAGE_UNITS: 0x8B4D;
+ readonly MAX_VERTEX_TEXTURE_IMAGE_UNITS: 0x8B4C;
+ readonly MAX_TEXTURE_IMAGE_UNITS: 0x8872;
+ readonly MAX_FRAGMENT_UNIFORM_VECTORS: 0x8DFD;
+ readonly SHADER_TYPE: 0x8B4F;
+ readonly DELETE_STATUS: 0x8B80;
+ readonly LINK_STATUS: 0x8B82;
+ readonly VALIDATE_STATUS: 0x8B83;
+ readonly ATTACHED_SHADERS: 0x8B85;
+ readonly ACTIVE_UNIFORMS: 0x8B86;
+ readonly ACTIVE_ATTRIBUTES: 0x8B89;
+ readonly SHADING_LANGUAGE_VERSION: 0x8B8C;
+ readonly CURRENT_PROGRAM: 0x8B8D;
+ readonly NEVER: 0x0200;
+ readonly LESS: 0x0201;
+ readonly EQUAL: 0x0202;
+ readonly LEQUAL: 0x0203;
+ readonly GREATER: 0x0204;
+ readonly NOTEQUAL: 0x0205;
+ readonly GEQUAL: 0x0206;
+ readonly ALWAYS: 0x0207;
+ readonly KEEP: 0x1E00;
+ readonly REPLACE: 0x1E01;
+ readonly INCR: 0x1E02;
+ readonly DECR: 0x1E03;
+ readonly INVERT: 0x150A;
+ readonly INCR_WRAP: 0x8507;
+ readonly DECR_WRAP: 0x8508;
+ readonly VENDOR: 0x1F00;
+ readonly RENDERER: 0x1F01;
+ readonly VERSION: 0x1F02;
+ readonly NEAREST: 0x2600;
+ readonly LINEAR: 0x2601;
+ readonly NEAREST_MIPMAP_NEAREST: 0x2700;
+ readonly LINEAR_MIPMAP_NEAREST: 0x2701;
+ readonly NEAREST_MIPMAP_LINEAR: 0x2702;
+ readonly LINEAR_MIPMAP_LINEAR: 0x2703;
+ readonly TEXTURE_MAG_FILTER: 0x2800;
+ readonly TEXTURE_MIN_FILTER: 0x2801;
+ readonly TEXTURE_WRAP_S: 0x2802;
+ readonly TEXTURE_WRAP_T: 0x2803;
+ readonly TEXTURE_2D: 0x0DE1;
+ readonly TEXTURE: 0x1702;
+ readonly TEXTURE_CUBE_MAP: 0x8513;
+ readonly TEXTURE_BINDING_CUBE_MAP: 0x8514;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_X: 0x8515;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_X: 0x8516;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Y: 0x8517;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Y: 0x8518;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Z: 0x8519;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Z: 0x851A;
+ readonly MAX_CUBE_MAP_TEXTURE_SIZE: 0x851C;
+ readonly TEXTURE0: 0x84C0;
+ readonly TEXTURE1: 0x84C1;
+ readonly TEXTURE2: 0x84C2;
+ readonly TEXTURE3: 0x84C3;
+ readonly TEXTURE4: 0x84C4;
+ readonly TEXTURE5: 0x84C5;
+ readonly TEXTURE6: 0x84C6;
+ readonly TEXTURE7: 0x84C7;
+ readonly TEXTURE8: 0x84C8;
+ readonly TEXTURE9: 0x84C9;
+ readonly TEXTURE10: 0x84CA;
+ readonly TEXTURE11: 0x84CB;
+ readonly TEXTURE12: 0x84CC;
+ readonly TEXTURE13: 0x84CD;
+ readonly TEXTURE14: 0x84CE;
+ readonly TEXTURE15: 0x84CF;
+ readonly TEXTURE16: 0x84D0;
+ readonly TEXTURE17: 0x84D1;
+ readonly TEXTURE18: 0x84D2;
+ readonly TEXTURE19: 0x84D3;
+ readonly TEXTURE20: 0x84D4;
+ readonly TEXTURE21: 0x84D5;
+ readonly TEXTURE22: 0x84D6;
+ readonly TEXTURE23: 0x84D7;
+ readonly TEXTURE24: 0x84D8;
+ readonly TEXTURE25: 0x84D9;
+ readonly TEXTURE26: 0x84DA;
+ readonly TEXTURE27: 0x84DB;
+ readonly TEXTURE28: 0x84DC;
+ readonly TEXTURE29: 0x84DD;
+ readonly TEXTURE30: 0x84DE;
+ readonly TEXTURE31: 0x84DF;
+ readonly ACTIVE_TEXTURE: 0x84E0;
+ readonly REPEAT: 0x2901;
+ readonly CLAMP_TO_EDGE: 0x812F;
+ readonly MIRRORED_REPEAT: 0x8370;
+ readonly FLOAT_VEC2: 0x8B50;
+ readonly FLOAT_VEC3: 0x8B51;
+ readonly FLOAT_VEC4: 0x8B52;
+ readonly INT_VEC2: 0x8B53;
+ readonly INT_VEC3: 0x8B54;
+ readonly INT_VEC4: 0x8B55;
+ readonly BOOL: 0x8B56;
+ readonly BOOL_VEC2: 0x8B57;
+ readonly BOOL_VEC3: 0x8B58;
+ readonly BOOL_VEC4: 0x8B59;
+ readonly FLOAT_MAT2: 0x8B5A;
+ readonly FLOAT_MAT3: 0x8B5B;
+ readonly FLOAT_MAT4: 0x8B5C;
+ readonly SAMPLER_2D: 0x8B5E;
+ readonly SAMPLER_CUBE: 0x8B60;
+ readonly VERTEX_ATTRIB_ARRAY_ENABLED: 0x8622;
+ readonly VERTEX_ATTRIB_ARRAY_SIZE: 0x8623;
+ readonly VERTEX_ATTRIB_ARRAY_STRIDE: 0x8624;
+ readonly VERTEX_ATTRIB_ARRAY_TYPE: 0x8625;
+ readonly VERTEX_ATTRIB_ARRAY_NORMALIZED: 0x886A;
+ readonly VERTEX_ATTRIB_ARRAY_POINTER: 0x8645;
+ readonly VERTEX_ATTRIB_ARRAY_BUFFER_BINDING: 0x889F;
+ readonly IMPLEMENTATION_COLOR_READ_TYPE: 0x8B9A;
+ readonly IMPLEMENTATION_COLOR_READ_FORMAT: 0x8B9B;
+ readonly COMPILE_STATUS: 0x8B81;
+ readonly LOW_FLOAT: 0x8DF0;
+ readonly MEDIUM_FLOAT: 0x8DF1;
+ readonly HIGH_FLOAT: 0x8DF2;
+ readonly LOW_INT: 0x8DF3;
+ readonly MEDIUM_INT: 0x8DF4;
+ readonly HIGH_INT: 0x8DF5;
+ readonly FRAMEBUFFER: 0x8D40;
+ readonly RENDERBUFFER: 0x8D41;
+ readonly RGBA4: 0x8056;
+ readonly RGB5_A1: 0x8057;
+ readonly RGBA8: 0x8058;
+ readonly RGB565: 0x8D62;
+ readonly DEPTH_COMPONENT16: 0x81A5;
+ readonly STENCIL_INDEX8: 0x8D48;
+ readonly DEPTH_STENCIL: 0x84F9;
+ readonly RENDERBUFFER_WIDTH: 0x8D42;
+ readonly RENDERBUFFER_HEIGHT: 0x8D43;
+ readonly RENDERBUFFER_INTERNAL_FORMAT: 0x8D44;
+ readonly RENDERBUFFER_RED_SIZE: 0x8D50;
+ readonly RENDERBUFFER_GREEN_SIZE: 0x8D51;
+ readonly RENDERBUFFER_BLUE_SIZE: 0x8D52;
+ readonly RENDERBUFFER_ALPHA_SIZE: 0x8D53;
+ readonly RENDERBUFFER_DEPTH_SIZE: 0x8D54;
+ readonly RENDERBUFFER_STENCIL_SIZE: 0x8D55;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_TYPE: 0x8CD0;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_NAME: 0x8CD1;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LEVEL: 0x8CD2;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_CUBE_MAP_FACE: 0x8CD3;
+ readonly COLOR_ATTACHMENT0: 0x8CE0;
+ readonly DEPTH_ATTACHMENT: 0x8D00;
+ readonly STENCIL_ATTACHMENT: 0x8D20;
+ readonly DEPTH_STENCIL_ATTACHMENT: 0x821A;
+ readonly NONE: 0;
+ readonly FRAMEBUFFER_COMPLETE: 0x8CD5;
+ readonly FRAMEBUFFER_INCOMPLETE_ATTACHMENT: 0x8CD6;
+ readonly FRAMEBUFFER_INCOMPLETE_MISSING_ATTACHMENT: 0x8CD7;
+ readonly FRAMEBUFFER_INCOMPLETE_DIMENSIONS: 0x8CD9;
+ readonly FRAMEBUFFER_UNSUPPORTED: 0x8CDD;
+ readonly FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly RENDERBUFFER_BINDING: 0x8CA7;
+ readonly MAX_RENDERBUFFER_SIZE: 0x84E8;
+ readonly INVALID_FRAMEBUFFER_OPERATION: 0x0506;
+ readonly UNPACK_FLIP_Y_WEBGL: 0x9240;
+ readonly UNPACK_PREMULTIPLY_ALPHA_WEBGL: 0x9241;
+ readonly CONTEXT_LOST_WEBGL: 0x9242;
+ readonly UNPACK_COLORSPACE_CONVERSION_WEBGL: 0x9243;
+ readonly BROWSER_DEFAULT_WEBGL: 0x9244;
+};
+
+interface WebGL2RenderingContextBase {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/beginQuery) */
+ beginQuery(target: GLenum, query: WebGLQuery): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/beginTransformFeedback) */
+ beginTransformFeedback(primitiveMode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindBufferBase) */
+ bindBufferBase(target: GLenum, index: GLuint, buffer: WebGLBuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindBufferRange) */
+ bindBufferRange(target: GLenum, index: GLuint, buffer: WebGLBuffer | null, offset: GLintptr, size: GLsizeiptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindSampler) */
+ bindSampler(unit: GLuint, sampler: WebGLSampler | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindTransformFeedback) */
+ bindTransformFeedback(target: GLenum, tf: WebGLTransformFeedback | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/bindVertexArray) */
+ bindVertexArray(array: WebGLVertexArrayObject | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/blitFramebuffer) */
+ blitFramebuffer(srcX0: GLint, srcY0: GLint, srcX1: GLint, srcY1: GLint, dstX0: GLint, dstY0: GLint, dstX1: GLint, dstY1: GLint, mask: GLbitfield, filter: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferfi(buffer: GLenum, drawbuffer: GLint, depth: GLfloat, stencil: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferfv(buffer: GLenum, drawbuffer: GLint, values: Float32List, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferiv(buffer: GLenum, drawbuffer: GLint, values: Int32List, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferuiv(buffer: GLenum, drawbuffer: GLint, values: Uint32List, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clientWaitSync) */
+ clientWaitSync(sync: WebGLSync, flags: GLbitfield, timeout: GLuint64): GLenum;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/compressedTexImage3D) */
+ compressedTexImage3D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, imageSize: GLsizei, offset: GLintptr): void;
+ compressedTexImage3D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, srcData: ArrayBufferView, srcOffset?: number, srcLengthOverride?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/compressedTexSubImage3D) */
+ compressedTexSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, imageSize: GLsizei, offset: GLintptr): void;
+ compressedTexSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, srcData: ArrayBufferView, srcOffset?: number, srcLengthOverride?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/copyBufferSubData) */
+ copyBufferSubData(readTarget: GLenum, writeTarget: GLenum, readOffset: GLintptr, writeOffset: GLintptr, size: GLsizeiptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/copyTexSubImage3D) */
+ copyTexSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/createQuery) */
+ createQuery(): WebGLQuery | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/createSampler) */
+ createSampler(): WebGLSampler | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/createTransformFeedback) */
+ createTransformFeedback(): WebGLTransformFeedback | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/createVertexArray) */
+ createVertexArray(): WebGLVertexArrayObject | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteQuery) */
+ deleteQuery(query: WebGLQuery | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteSampler) */
+ deleteSampler(sampler: WebGLSampler | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteSync) */
+ deleteSync(sync: WebGLSync | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteTransformFeedback) */
+ deleteTransformFeedback(tf: WebGLTransformFeedback | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/deleteVertexArray) */
+ deleteVertexArray(vertexArray: WebGLVertexArrayObject | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawArraysInstanced) */
+ drawArraysInstanced(mode: GLenum, first: GLint, count: GLsizei, instanceCount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawBuffers) */
+ drawBuffers(buffers: GLenum[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawElementsInstanced) */
+ drawElementsInstanced(mode: GLenum, count: GLsizei, type: GLenum, offset: GLintptr, instanceCount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawRangeElements) */
+ drawRangeElements(mode: GLenum, start: GLuint, end: GLuint, count: GLsizei, type: GLenum, offset: GLintptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/endQuery) */
+ endQuery(target: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/endTransformFeedback) */
+ endTransformFeedback(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/fenceSync) */
+ fenceSync(condition: GLenum, flags: GLbitfield): WebGLSync | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/framebufferTextureLayer) */
+ framebufferTextureLayer(target: GLenum, attachment: GLenum, texture: WebGLTexture | null, level: GLint, layer: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getActiveUniformBlockName) */
+ getActiveUniformBlockName(program: WebGLProgram, uniformBlockIndex: GLuint): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getActiveUniformBlockParameter) */
+ getActiveUniformBlockParameter(program: WebGLProgram, uniformBlockIndex: GLuint, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getActiveUniforms) */
+ getActiveUniforms(program: WebGLProgram, uniformIndices: GLuint[], pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getBufferSubData) */
+ getBufferSubData(target: GLenum, srcByteOffset: GLintptr, dstBuffer: ArrayBufferView, dstOffset?: number, length?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getFragDataLocation) */
+ getFragDataLocation(program: WebGLProgram, name: string): GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getIndexedParameter) */
+ getIndexedParameter(target: GLenum, index: GLuint): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getInternalformatParameter) */
+ getInternalformatParameter(target: GLenum, internalformat: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getQuery) */
+ getQuery(target: GLenum, pname: GLenum): WebGLQuery | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getQueryParameter) */
+ getQueryParameter(query: WebGLQuery, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getSamplerParameter) */
+ getSamplerParameter(sampler: WebGLSampler, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getSyncParameter) */
+ getSyncParameter(sync: WebGLSync, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getTransformFeedbackVarying) */
+ getTransformFeedbackVarying(program: WebGLProgram, index: GLuint): WebGLActiveInfo | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getUniformBlockIndex) */
+ getUniformBlockIndex(program: WebGLProgram, uniformBlockName: string): GLuint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getUniformIndices) */
+ getUniformIndices(program: WebGLProgram, uniformNames: string[]): GLuint[] | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/invalidateFramebuffer) */
+ invalidateFramebuffer(target: GLenum, attachments: GLenum[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/invalidateSubFramebuffer) */
+ invalidateSubFramebuffer(target: GLenum, attachments: GLenum[], x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isQuery) */
+ isQuery(query: WebGLQuery | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isSampler) */
+ isSampler(sampler: WebGLSampler | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isSync) */
+ isSync(sync: WebGLSync | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isTransformFeedback) */
+ isTransformFeedback(tf: WebGLTransformFeedback | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/isVertexArray) */
+ isVertexArray(vertexArray: WebGLVertexArrayObject | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/pauseTransformFeedback) */
+ pauseTransformFeedback(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/readBuffer) */
+ readBuffer(src: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/renderbufferStorageMultisample) */
+ renderbufferStorageMultisample(target: GLenum, samples: GLsizei, internalformat: GLenum, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/resumeTransformFeedback) */
+ resumeTransformFeedback(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/samplerParameter) */
+ samplerParameterf(sampler: WebGLSampler, pname: GLenum, param: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/samplerParameter) */
+ samplerParameteri(sampler: WebGLSampler, pname: GLenum, param: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/texImage3D) */
+ texImage3D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, format: GLenum, type: GLenum, pboOffset: GLintptr): void;
+ texImage3D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texImage3D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, format: GLenum, type: GLenum, srcData: ArrayBufferView | null): void;
+ texImage3D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, border: GLint, format: GLenum, type: GLenum, srcData: ArrayBufferView, srcOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/texStorage2D) */
+ texStorage2D(target: GLenum, levels: GLsizei, internalformat: GLenum, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/texStorage3D) */
+ texStorage3D(target: GLenum, levels: GLsizei, internalformat: GLenum, width: GLsizei, height: GLsizei, depth: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/texSubImage3D) */
+ texSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, type: GLenum, pboOffset: GLintptr): void;
+ texSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texSubImage3D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, zoffset: GLint, width: GLsizei, height: GLsizei, depth: GLsizei, format: GLenum, type: GLenum, srcData: ArrayBufferView | null, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/transformFeedbackVaryings) */
+ transformFeedbackVaryings(program: WebGLProgram, varyings: string[], bufferMode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform1ui(location: WebGLUniformLocation | null, v0: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform1uiv(location: WebGLUniformLocation | null, data: Uint32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform2ui(location: WebGLUniformLocation | null, v0: GLuint, v1: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform2uiv(location: WebGLUniformLocation | null, data: Uint32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform3ui(location: WebGLUniformLocation | null, v0: GLuint, v1: GLuint, v2: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform3uiv(location: WebGLUniformLocation | null, data: Uint32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform4ui(location: WebGLUniformLocation | null, v0: GLuint, v1: GLuint, v2: GLuint, v3: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform4uiv(location: WebGLUniformLocation | null, data: Uint32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformBlockBinding) */
+ uniformBlockBinding(program: WebGLProgram, uniformBlockIndex: GLuint, uniformBlockBinding: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix2x3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix2x4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix3x2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix3x4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix4x2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix4x3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribDivisor) */
+ vertexAttribDivisor(index: GLuint, divisor: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4i(index: GLuint, x: GLint, y: GLint, z: GLint, w: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4iv(index: GLuint, values: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4ui(index: GLuint, x: GLuint, y: GLuint, z: GLuint, w: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4uiv(index: GLuint, values: Uint32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribIPointer) */
+ vertexAttribIPointer(index: GLuint, size: GLint, type: GLenum, stride: GLsizei, offset: GLintptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/waitSync) */
+ waitSync(sync: WebGLSync, flags: GLbitfield, timeout: GLint64): void;
+ readonly READ_BUFFER: 0x0C02;
+ readonly UNPACK_ROW_LENGTH: 0x0CF2;
+ readonly UNPACK_SKIP_ROWS: 0x0CF3;
+ readonly UNPACK_SKIP_PIXELS: 0x0CF4;
+ readonly PACK_ROW_LENGTH: 0x0D02;
+ readonly PACK_SKIP_ROWS: 0x0D03;
+ readonly PACK_SKIP_PIXELS: 0x0D04;
+ readonly COLOR: 0x1800;
+ readonly DEPTH: 0x1801;
+ readonly STENCIL: 0x1802;
+ readonly RED: 0x1903;
+ readonly RGB8: 0x8051;
+ readonly RGB10_A2: 0x8059;
+ readonly TEXTURE_BINDING_3D: 0x806A;
+ readonly UNPACK_SKIP_IMAGES: 0x806D;
+ readonly UNPACK_IMAGE_HEIGHT: 0x806E;
+ readonly TEXTURE_3D: 0x806F;
+ readonly TEXTURE_WRAP_R: 0x8072;
+ readonly MAX_3D_TEXTURE_SIZE: 0x8073;
+ readonly UNSIGNED_INT_2_10_10_10_REV: 0x8368;
+ readonly MAX_ELEMENTS_VERTICES: 0x80E8;
+ readonly MAX_ELEMENTS_INDICES: 0x80E9;
+ readonly TEXTURE_MIN_LOD: 0x813A;
+ readonly TEXTURE_MAX_LOD: 0x813B;
+ readonly TEXTURE_BASE_LEVEL: 0x813C;
+ readonly TEXTURE_MAX_LEVEL: 0x813D;
+ readonly MIN: 0x8007;
+ readonly MAX: 0x8008;
+ readonly DEPTH_COMPONENT24: 0x81A6;
+ readonly MAX_TEXTURE_LOD_BIAS: 0x84FD;
+ readonly TEXTURE_COMPARE_MODE: 0x884C;
+ readonly TEXTURE_COMPARE_FUNC: 0x884D;
+ readonly CURRENT_QUERY: 0x8865;
+ readonly QUERY_RESULT: 0x8866;
+ readonly QUERY_RESULT_AVAILABLE: 0x8867;
+ readonly STREAM_READ: 0x88E1;
+ readonly STREAM_COPY: 0x88E2;
+ readonly STATIC_READ: 0x88E5;
+ readonly STATIC_COPY: 0x88E6;
+ readonly DYNAMIC_READ: 0x88E9;
+ readonly DYNAMIC_COPY: 0x88EA;
+ readonly MAX_DRAW_BUFFERS: 0x8824;
+ readonly DRAW_BUFFER0: 0x8825;
+ readonly DRAW_BUFFER1: 0x8826;
+ readonly DRAW_BUFFER2: 0x8827;
+ readonly DRAW_BUFFER3: 0x8828;
+ readonly DRAW_BUFFER4: 0x8829;
+ readonly DRAW_BUFFER5: 0x882A;
+ readonly DRAW_BUFFER6: 0x882B;
+ readonly DRAW_BUFFER7: 0x882C;
+ readonly DRAW_BUFFER8: 0x882D;
+ readonly DRAW_BUFFER9: 0x882E;
+ readonly DRAW_BUFFER10: 0x882F;
+ readonly DRAW_BUFFER11: 0x8830;
+ readonly DRAW_BUFFER12: 0x8831;
+ readonly DRAW_BUFFER13: 0x8832;
+ readonly DRAW_BUFFER14: 0x8833;
+ readonly DRAW_BUFFER15: 0x8834;
+ readonly MAX_FRAGMENT_UNIFORM_COMPONENTS: 0x8B49;
+ readonly MAX_VERTEX_UNIFORM_COMPONENTS: 0x8B4A;
+ readonly SAMPLER_3D: 0x8B5F;
+ readonly SAMPLER_2D_SHADOW: 0x8B62;
+ readonly FRAGMENT_SHADER_DERIVATIVE_HINT: 0x8B8B;
+ readonly PIXEL_PACK_BUFFER: 0x88EB;
+ readonly PIXEL_UNPACK_BUFFER: 0x88EC;
+ readonly PIXEL_PACK_BUFFER_BINDING: 0x88ED;
+ readonly PIXEL_UNPACK_BUFFER_BINDING: 0x88EF;
+ readonly FLOAT_MAT2x3: 0x8B65;
+ readonly FLOAT_MAT2x4: 0x8B66;
+ readonly FLOAT_MAT3x2: 0x8B67;
+ readonly FLOAT_MAT3x4: 0x8B68;
+ readonly FLOAT_MAT4x2: 0x8B69;
+ readonly FLOAT_MAT4x3: 0x8B6A;
+ readonly SRGB: 0x8C40;
+ readonly SRGB8: 0x8C41;
+ readonly SRGB8_ALPHA8: 0x8C43;
+ readonly COMPARE_REF_TO_TEXTURE: 0x884E;
+ readonly RGBA32F: 0x8814;
+ readonly RGB32F: 0x8815;
+ readonly RGBA16F: 0x881A;
+ readonly RGB16F: 0x881B;
+ readonly VERTEX_ATTRIB_ARRAY_INTEGER: 0x88FD;
+ readonly MAX_ARRAY_TEXTURE_LAYERS: 0x88FF;
+ readonly MIN_PROGRAM_TEXEL_OFFSET: 0x8904;
+ readonly MAX_PROGRAM_TEXEL_OFFSET: 0x8905;
+ readonly MAX_VARYING_COMPONENTS: 0x8B4B;
+ readonly TEXTURE_2D_ARRAY: 0x8C1A;
+ readonly TEXTURE_BINDING_2D_ARRAY: 0x8C1D;
+ readonly R11F_G11F_B10F: 0x8C3A;
+ readonly UNSIGNED_INT_10F_11F_11F_REV: 0x8C3B;
+ readonly RGB9_E5: 0x8C3D;
+ readonly UNSIGNED_INT_5_9_9_9_REV: 0x8C3E;
+ readonly TRANSFORM_FEEDBACK_BUFFER_MODE: 0x8C7F;
+ readonly MAX_TRANSFORM_FEEDBACK_SEPARATE_COMPONENTS: 0x8C80;
+ readonly TRANSFORM_FEEDBACK_VARYINGS: 0x8C83;
+ readonly TRANSFORM_FEEDBACK_BUFFER_START: 0x8C84;
+ readonly TRANSFORM_FEEDBACK_BUFFER_SIZE: 0x8C85;
+ readonly TRANSFORM_FEEDBACK_PRIMITIVES_WRITTEN: 0x8C88;
+ readonly RASTERIZER_DISCARD: 0x8C89;
+ readonly MAX_TRANSFORM_FEEDBACK_INTERLEAVED_COMPONENTS: 0x8C8A;
+ readonly MAX_TRANSFORM_FEEDBACK_SEPARATE_ATTRIBS: 0x8C8B;
+ readonly INTERLEAVED_ATTRIBS: 0x8C8C;
+ readonly SEPARATE_ATTRIBS: 0x8C8D;
+ readonly TRANSFORM_FEEDBACK_BUFFER: 0x8C8E;
+ readonly TRANSFORM_FEEDBACK_BUFFER_BINDING: 0x8C8F;
+ readonly RGBA32UI: 0x8D70;
+ readonly RGB32UI: 0x8D71;
+ readonly RGBA16UI: 0x8D76;
+ readonly RGB16UI: 0x8D77;
+ readonly RGBA8UI: 0x8D7C;
+ readonly RGB8UI: 0x8D7D;
+ readonly RGBA32I: 0x8D82;
+ readonly RGB32I: 0x8D83;
+ readonly RGBA16I: 0x8D88;
+ readonly RGB16I: 0x8D89;
+ readonly RGBA8I: 0x8D8E;
+ readonly RGB8I: 0x8D8F;
+ readonly RED_INTEGER: 0x8D94;
+ readonly RGB_INTEGER: 0x8D98;
+ readonly RGBA_INTEGER: 0x8D99;
+ readonly SAMPLER_2D_ARRAY: 0x8DC1;
+ readonly SAMPLER_2D_ARRAY_SHADOW: 0x8DC4;
+ readonly SAMPLER_CUBE_SHADOW: 0x8DC5;
+ readonly UNSIGNED_INT_VEC2: 0x8DC6;
+ readonly UNSIGNED_INT_VEC3: 0x8DC7;
+ readonly UNSIGNED_INT_VEC4: 0x8DC8;
+ readonly INT_SAMPLER_2D: 0x8DCA;
+ readonly INT_SAMPLER_3D: 0x8DCB;
+ readonly INT_SAMPLER_CUBE: 0x8DCC;
+ readonly INT_SAMPLER_2D_ARRAY: 0x8DCF;
+ readonly UNSIGNED_INT_SAMPLER_2D: 0x8DD2;
+ readonly UNSIGNED_INT_SAMPLER_3D: 0x8DD3;
+ readonly UNSIGNED_INT_SAMPLER_CUBE: 0x8DD4;
+ readonly UNSIGNED_INT_SAMPLER_2D_ARRAY: 0x8DD7;
+ readonly DEPTH_COMPONENT32F: 0x8CAC;
+ readonly DEPTH32F_STENCIL8: 0x8CAD;
+ readonly FLOAT_32_UNSIGNED_INT_24_8_REV: 0x8DAD;
+ readonly FRAMEBUFFER_ATTACHMENT_COLOR_ENCODING: 0x8210;
+ readonly FRAMEBUFFER_ATTACHMENT_COMPONENT_TYPE: 0x8211;
+ readonly FRAMEBUFFER_ATTACHMENT_RED_SIZE: 0x8212;
+ readonly FRAMEBUFFER_ATTACHMENT_GREEN_SIZE: 0x8213;
+ readonly FRAMEBUFFER_ATTACHMENT_BLUE_SIZE: 0x8214;
+ readonly FRAMEBUFFER_ATTACHMENT_ALPHA_SIZE: 0x8215;
+ readonly FRAMEBUFFER_ATTACHMENT_DEPTH_SIZE: 0x8216;
+ readonly FRAMEBUFFER_ATTACHMENT_STENCIL_SIZE: 0x8217;
+ readonly FRAMEBUFFER_DEFAULT: 0x8218;
+ readonly UNSIGNED_INT_24_8: 0x84FA;
+ readonly DEPTH24_STENCIL8: 0x88F0;
+ readonly UNSIGNED_NORMALIZED: 0x8C17;
+ readonly DRAW_FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly READ_FRAMEBUFFER: 0x8CA8;
+ readonly DRAW_FRAMEBUFFER: 0x8CA9;
+ readonly READ_FRAMEBUFFER_BINDING: 0x8CAA;
+ readonly RENDERBUFFER_SAMPLES: 0x8CAB;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LAYER: 0x8CD4;
+ readonly MAX_COLOR_ATTACHMENTS: 0x8CDF;
+ readonly COLOR_ATTACHMENT1: 0x8CE1;
+ readonly COLOR_ATTACHMENT2: 0x8CE2;
+ readonly COLOR_ATTACHMENT3: 0x8CE3;
+ readonly COLOR_ATTACHMENT4: 0x8CE4;
+ readonly COLOR_ATTACHMENT5: 0x8CE5;
+ readonly COLOR_ATTACHMENT6: 0x8CE6;
+ readonly COLOR_ATTACHMENT7: 0x8CE7;
+ readonly COLOR_ATTACHMENT8: 0x8CE8;
+ readonly COLOR_ATTACHMENT9: 0x8CE9;
+ readonly COLOR_ATTACHMENT10: 0x8CEA;
+ readonly COLOR_ATTACHMENT11: 0x8CEB;
+ readonly COLOR_ATTACHMENT12: 0x8CEC;
+ readonly COLOR_ATTACHMENT13: 0x8CED;
+ readonly COLOR_ATTACHMENT14: 0x8CEE;
+ readonly COLOR_ATTACHMENT15: 0x8CEF;
+ readonly FRAMEBUFFER_INCOMPLETE_MULTISAMPLE: 0x8D56;
+ readonly MAX_SAMPLES: 0x8D57;
+ readonly HALF_FLOAT: 0x140B;
+ readonly RG: 0x8227;
+ readonly RG_INTEGER: 0x8228;
+ readonly R8: 0x8229;
+ readonly RG8: 0x822B;
+ readonly R16F: 0x822D;
+ readonly R32F: 0x822E;
+ readonly RG16F: 0x822F;
+ readonly RG32F: 0x8230;
+ readonly R8I: 0x8231;
+ readonly R8UI: 0x8232;
+ readonly R16I: 0x8233;
+ readonly R16UI: 0x8234;
+ readonly R32I: 0x8235;
+ readonly R32UI: 0x8236;
+ readonly RG8I: 0x8237;
+ readonly RG8UI: 0x8238;
+ readonly RG16I: 0x8239;
+ readonly RG16UI: 0x823A;
+ readonly RG32I: 0x823B;
+ readonly RG32UI: 0x823C;
+ readonly VERTEX_ARRAY_BINDING: 0x85B5;
+ readonly R8_SNORM: 0x8F94;
+ readonly RG8_SNORM: 0x8F95;
+ readonly RGB8_SNORM: 0x8F96;
+ readonly RGBA8_SNORM: 0x8F97;
+ readonly SIGNED_NORMALIZED: 0x8F9C;
+ readonly COPY_READ_BUFFER: 0x8F36;
+ readonly COPY_WRITE_BUFFER: 0x8F37;
+ readonly COPY_READ_BUFFER_BINDING: 0x8F36;
+ readonly COPY_WRITE_BUFFER_BINDING: 0x8F37;
+ readonly UNIFORM_BUFFER: 0x8A11;
+ readonly UNIFORM_BUFFER_BINDING: 0x8A28;
+ readonly UNIFORM_BUFFER_START: 0x8A29;
+ readonly UNIFORM_BUFFER_SIZE: 0x8A2A;
+ readonly MAX_VERTEX_UNIFORM_BLOCKS: 0x8A2B;
+ readonly MAX_FRAGMENT_UNIFORM_BLOCKS: 0x8A2D;
+ readonly MAX_COMBINED_UNIFORM_BLOCKS: 0x8A2E;
+ readonly MAX_UNIFORM_BUFFER_BINDINGS: 0x8A2F;
+ readonly MAX_UNIFORM_BLOCK_SIZE: 0x8A30;
+ readonly MAX_COMBINED_VERTEX_UNIFORM_COMPONENTS: 0x8A31;
+ readonly MAX_COMBINED_FRAGMENT_UNIFORM_COMPONENTS: 0x8A33;
+ readonly UNIFORM_BUFFER_OFFSET_ALIGNMENT: 0x8A34;
+ readonly ACTIVE_UNIFORM_BLOCKS: 0x8A36;
+ readonly UNIFORM_TYPE: 0x8A37;
+ readonly UNIFORM_SIZE: 0x8A38;
+ readonly UNIFORM_BLOCK_INDEX: 0x8A3A;
+ readonly UNIFORM_OFFSET: 0x8A3B;
+ readonly UNIFORM_ARRAY_STRIDE: 0x8A3C;
+ readonly UNIFORM_MATRIX_STRIDE: 0x8A3D;
+ readonly UNIFORM_IS_ROW_MAJOR: 0x8A3E;
+ readonly UNIFORM_BLOCK_BINDING: 0x8A3F;
+ readonly UNIFORM_BLOCK_DATA_SIZE: 0x8A40;
+ readonly UNIFORM_BLOCK_ACTIVE_UNIFORMS: 0x8A42;
+ readonly UNIFORM_BLOCK_ACTIVE_UNIFORM_INDICES: 0x8A43;
+ readonly UNIFORM_BLOCK_REFERENCED_BY_VERTEX_SHADER: 0x8A44;
+ readonly UNIFORM_BLOCK_REFERENCED_BY_FRAGMENT_SHADER: 0x8A46;
+ readonly INVALID_INDEX: 0xFFFFFFFF;
+ readonly MAX_VERTEX_OUTPUT_COMPONENTS: 0x9122;
+ readonly MAX_FRAGMENT_INPUT_COMPONENTS: 0x9125;
+ readonly MAX_SERVER_WAIT_TIMEOUT: 0x9111;
+ readonly OBJECT_TYPE: 0x9112;
+ readonly SYNC_CONDITION: 0x9113;
+ readonly SYNC_STATUS: 0x9114;
+ readonly SYNC_FLAGS: 0x9115;
+ readonly SYNC_FENCE: 0x9116;
+ readonly SYNC_GPU_COMMANDS_COMPLETE: 0x9117;
+ readonly UNSIGNALED: 0x9118;
+ readonly SIGNALED: 0x9119;
+ readonly ALREADY_SIGNALED: 0x911A;
+ readonly TIMEOUT_EXPIRED: 0x911B;
+ readonly CONDITION_SATISFIED: 0x911C;
+ readonly WAIT_FAILED: 0x911D;
+ readonly SYNC_FLUSH_COMMANDS_BIT: 0x00000001;
+ readonly VERTEX_ATTRIB_ARRAY_DIVISOR: 0x88FE;
+ readonly ANY_SAMPLES_PASSED: 0x8C2F;
+ readonly ANY_SAMPLES_PASSED_CONSERVATIVE: 0x8D6A;
+ readonly SAMPLER_BINDING: 0x8919;
+ readonly RGB10_A2UI: 0x906F;
+ readonly INT_2_10_10_10_REV: 0x8D9F;
+ readonly TRANSFORM_FEEDBACK: 0x8E22;
+ readonly TRANSFORM_FEEDBACK_PAUSED: 0x8E23;
+ readonly TRANSFORM_FEEDBACK_ACTIVE: 0x8E24;
+ readonly TRANSFORM_FEEDBACK_BINDING: 0x8E25;
+ readonly TEXTURE_IMMUTABLE_FORMAT: 0x912F;
+ readonly MAX_ELEMENT_INDEX: 0x8D6B;
+ readonly TEXTURE_IMMUTABLE_LEVELS: 0x82DF;
+ readonly TIMEOUT_IGNORED: -1;
+ readonly MAX_CLIENT_WAIT_TIMEOUT_WEBGL: 0x9247;
+}
+
+interface WebGL2RenderingContextOverloads {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bufferData) */
+ bufferData(target: GLenum, size: GLsizeiptr, usage: GLenum): void;
+ bufferData(target: GLenum, srcData: AllowSharedBufferSource | null, usage: GLenum): void;
+ bufferData(target: GLenum, srcData: ArrayBufferView, usage: GLenum, srcOffset: number, length?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bufferSubData) */
+ bufferSubData(target: GLenum, dstByteOffset: GLintptr, srcData: AllowSharedBufferSource): void;
+ bufferSubData(target: GLenum, dstByteOffset: GLintptr, srcData: ArrayBufferView, srcOffset: number, length?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compressedTexImage2D) */
+ compressedTexImage2D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, border: GLint, imageSize: GLsizei, offset: GLintptr): void;
+ compressedTexImage2D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, border: GLint, srcData: ArrayBufferView, srcOffset?: number, srcLengthOverride?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compressedTexSubImage2D) */
+ compressedTexSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, imageSize: GLsizei, offset: GLintptr): void;
+ compressedTexSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, srcData: ArrayBufferView, srcOffset?: number, srcLengthOverride?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/readPixels) */
+ readPixels(x: GLint, y: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, dstData: ArrayBufferView | null): void;
+ readPixels(x: GLint, y: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, offset: GLintptr): void;
+ readPixels(x: GLint, y: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, dstData: ArrayBufferView, dstOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texImage2D) */
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, pboOffset: GLintptr): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, srcData: ArrayBufferView, srcOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texSubImage2D) */
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, pboOffset: GLintptr): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, source: TexImageSource): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, srcData: ArrayBufferView, srcOffset: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1fv(location: WebGLUniformLocation | null, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1iv(location: WebGLUniformLocation | null, data: Int32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2fv(location: WebGLUniformLocation | null, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2iv(location: WebGLUniformLocation | null, data: Int32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3fv(location: WebGLUniformLocation | null, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3iv(location: WebGLUniformLocation | null, data: Int32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4fv(location: WebGLUniformLocation | null, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4iv(location: WebGLUniformLocation | null, data: Int32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Float32List, srcOffset?: number, srcLength?: GLuint): void;
+}
+
+/**
+ * Part of the WebGL API and represents the information returned by calling the WebGLRenderingContext.getActiveAttrib() and WebGLRenderingContext.getActiveUniform() methods.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLActiveInfo)
+ */
+interface WebGLActiveInfo {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLActiveInfo/name) */
+ readonly name: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLActiveInfo/size) */
+ readonly size: GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLActiveInfo/type) */
+ readonly type: GLenum;
+}
+
+declare var WebGLActiveInfo: {
+ prototype: WebGLActiveInfo;
+ new(): WebGLActiveInfo;
+};
+
+/**
+ * Part of the WebGL API and represents an opaque buffer object storing data such as vertices or colors.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLBuffer)
+ */
+interface WebGLBuffer {
+}
+
+declare var WebGLBuffer: {
+ prototype: WebGLBuffer;
+ new(): WebGLBuffer;
+};
+
+/**
+ * The WebContextEvent interface is part of the WebGL API and is an interface for an event that is generated in response to a status change to the WebGL rendering context.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLContextEvent)
+ */
+interface WebGLContextEvent extends Event {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLContextEvent/statusMessage) */
+ readonly statusMessage: string;
+}
+
+declare var WebGLContextEvent: {
+ prototype: WebGLContextEvent;
+ new(type: string, eventInit?: WebGLContextEventInit): WebGLContextEvent;
+};
+
+/**
+ * Part of the WebGL API and represents a collection of buffers that serve as a rendering destination.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLFramebuffer)
+ */
+interface WebGLFramebuffer {
+}
+
+declare var WebGLFramebuffer: {
+ prototype: WebGLFramebuffer;
+ new(): WebGLFramebuffer;
+};
+
+/**
+ * The WebGLProgram is part of the WebGL API and is a combination of two compiled WebGLShaders consisting of a vertex shader and a fragment shader (both written in GLSL).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLProgram)
+ */
+interface WebGLProgram {
+}
+
+declare var WebGLProgram: {
+ prototype: WebGLProgram;
+ new(): WebGLProgram;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLQuery) */
+interface WebGLQuery {
+}
+
+declare var WebGLQuery: {
+ prototype: WebGLQuery;
+ new(): WebGLQuery;
+};
+
+/**
+ * Part of the WebGL API and represents a buffer that can contain an image, or can be source or target of an rendering operation.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderbuffer)
+ */
+interface WebGLRenderbuffer {
+}
+
+declare var WebGLRenderbuffer: {
+ prototype: WebGLRenderbuffer;
+ new(): WebGLRenderbuffer;
+};
+
+/**
+ * Provides an interface to the OpenGL ES 2.0 graphics rendering context for the drawing surface of an HTML <canvas> element.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext)
+ */
+interface WebGLRenderingContext extends WebGLRenderingContextBase, WebGLRenderingContextOverloads {
+}
+
+declare var WebGLRenderingContext: {
+ prototype: WebGLRenderingContext;
+ new(): WebGLRenderingContext;
+ readonly DEPTH_BUFFER_BIT: 0x00000100;
+ readonly STENCIL_BUFFER_BIT: 0x00000400;
+ readonly COLOR_BUFFER_BIT: 0x00004000;
+ readonly POINTS: 0x0000;
+ readonly LINES: 0x0001;
+ readonly LINE_LOOP: 0x0002;
+ readonly LINE_STRIP: 0x0003;
+ readonly TRIANGLES: 0x0004;
+ readonly TRIANGLE_STRIP: 0x0005;
+ readonly TRIANGLE_FAN: 0x0006;
+ readonly ZERO: 0;
+ readonly ONE: 1;
+ readonly SRC_COLOR: 0x0300;
+ readonly ONE_MINUS_SRC_COLOR: 0x0301;
+ readonly SRC_ALPHA: 0x0302;
+ readonly ONE_MINUS_SRC_ALPHA: 0x0303;
+ readonly DST_ALPHA: 0x0304;
+ readonly ONE_MINUS_DST_ALPHA: 0x0305;
+ readonly DST_COLOR: 0x0306;
+ readonly ONE_MINUS_DST_COLOR: 0x0307;
+ readonly SRC_ALPHA_SATURATE: 0x0308;
+ readonly FUNC_ADD: 0x8006;
+ readonly BLEND_EQUATION: 0x8009;
+ readonly BLEND_EQUATION_RGB: 0x8009;
+ readonly BLEND_EQUATION_ALPHA: 0x883D;
+ readonly FUNC_SUBTRACT: 0x800A;
+ readonly FUNC_REVERSE_SUBTRACT: 0x800B;
+ readonly BLEND_DST_RGB: 0x80C8;
+ readonly BLEND_SRC_RGB: 0x80C9;
+ readonly BLEND_DST_ALPHA: 0x80CA;
+ readonly BLEND_SRC_ALPHA: 0x80CB;
+ readonly CONSTANT_COLOR: 0x8001;
+ readonly ONE_MINUS_CONSTANT_COLOR: 0x8002;
+ readonly CONSTANT_ALPHA: 0x8003;
+ readonly ONE_MINUS_CONSTANT_ALPHA: 0x8004;
+ readonly BLEND_COLOR: 0x8005;
+ readonly ARRAY_BUFFER: 0x8892;
+ readonly ELEMENT_ARRAY_BUFFER: 0x8893;
+ readonly ARRAY_BUFFER_BINDING: 0x8894;
+ readonly ELEMENT_ARRAY_BUFFER_BINDING: 0x8895;
+ readonly STREAM_DRAW: 0x88E0;
+ readonly STATIC_DRAW: 0x88E4;
+ readonly DYNAMIC_DRAW: 0x88E8;
+ readonly BUFFER_SIZE: 0x8764;
+ readonly BUFFER_USAGE: 0x8765;
+ readonly CURRENT_VERTEX_ATTRIB: 0x8626;
+ readonly FRONT: 0x0404;
+ readonly BACK: 0x0405;
+ readonly FRONT_AND_BACK: 0x0408;
+ readonly CULL_FACE: 0x0B44;
+ readonly BLEND: 0x0BE2;
+ readonly DITHER: 0x0BD0;
+ readonly STENCIL_TEST: 0x0B90;
+ readonly DEPTH_TEST: 0x0B71;
+ readonly SCISSOR_TEST: 0x0C11;
+ readonly POLYGON_OFFSET_FILL: 0x8037;
+ readonly SAMPLE_ALPHA_TO_COVERAGE: 0x809E;
+ readonly SAMPLE_COVERAGE: 0x80A0;
+ readonly NO_ERROR: 0;
+ readonly INVALID_ENUM: 0x0500;
+ readonly INVALID_VALUE: 0x0501;
+ readonly INVALID_OPERATION: 0x0502;
+ readonly OUT_OF_MEMORY: 0x0505;
+ readonly CW: 0x0900;
+ readonly CCW: 0x0901;
+ readonly LINE_WIDTH: 0x0B21;
+ readonly ALIASED_POINT_SIZE_RANGE: 0x846D;
+ readonly ALIASED_LINE_WIDTH_RANGE: 0x846E;
+ readonly CULL_FACE_MODE: 0x0B45;
+ readonly FRONT_FACE: 0x0B46;
+ readonly DEPTH_RANGE: 0x0B70;
+ readonly DEPTH_WRITEMASK: 0x0B72;
+ readonly DEPTH_CLEAR_VALUE: 0x0B73;
+ readonly DEPTH_FUNC: 0x0B74;
+ readonly STENCIL_CLEAR_VALUE: 0x0B91;
+ readonly STENCIL_FUNC: 0x0B92;
+ readonly STENCIL_FAIL: 0x0B94;
+ readonly STENCIL_PASS_DEPTH_FAIL: 0x0B95;
+ readonly STENCIL_PASS_DEPTH_PASS: 0x0B96;
+ readonly STENCIL_REF: 0x0B97;
+ readonly STENCIL_VALUE_MASK: 0x0B93;
+ readonly STENCIL_WRITEMASK: 0x0B98;
+ readonly STENCIL_BACK_FUNC: 0x8800;
+ readonly STENCIL_BACK_FAIL: 0x8801;
+ readonly STENCIL_BACK_PASS_DEPTH_FAIL: 0x8802;
+ readonly STENCIL_BACK_PASS_DEPTH_PASS: 0x8803;
+ readonly STENCIL_BACK_REF: 0x8CA3;
+ readonly STENCIL_BACK_VALUE_MASK: 0x8CA4;
+ readonly STENCIL_BACK_WRITEMASK: 0x8CA5;
+ readonly VIEWPORT: 0x0BA2;
+ readonly SCISSOR_BOX: 0x0C10;
+ readonly COLOR_CLEAR_VALUE: 0x0C22;
+ readonly COLOR_WRITEMASK: 0x0C23;
+ readonly UNPACK_ALIGNMENT: 0x0CF5;
+ readonly PACK_ALIGNMENT: 0x0D05;
+ readonly MAX_TEXTURE_SIZE: 0x0D33;
+ readonly MAX_VIEWPORT_DIMS: 0x0D3A;
+ readonly SUBPIXEL_BITS: 0x0D50;
+ readonly RED_BITS: 0x0D52;
+ readonly GREEN_BITS: 0x0D53;
+ readonly BLUE_BITS: 0x0D54;
+ readonly ALPHA_BITS: 0x0D55;
+ readonly DEPTH_BITS: 0x0D56;
+ readonly STENCIL_BITS: 0x0D57;
+ readonly POLYGON_OFFSET_UNITS: 0x2A00;
+ readonly POLYGON_OFFSET_FACTOR: 0x8038;
+ readonly TEXTURE_BINDING_2D: 0x8069;
+ readonly SAMPLE_BUFFERS: 0x80A8;
+ readonly SAMPLES: 0x80A9;
+ readonly SAMPLE_COVERAGE_VALUE: 0x80AA;
+ readonly SAMPLE_COVERAGE_INVERT: 0x80AB;
+ readonly COMPRESSED_TEXTURE_FORMATS: 0x86A3;
+ readonly DONT_CARE: 0x1100;
+ readonly FASTEST: 0x1101;
+ readonly NICEST: 0x1102;
+ readonly GENERATE_MIPMAP_HINT: 0x8192;
+ readonly BYTE: 0x1400;
+ readonly UNSIGNED_BYTE: 0x1401;
+ readonly SHORT: 0x1402;
+ readonly UNSIGNED_SHORT: 0x1403;
+ readonly INT: 0x1404;
+ readonly UNSIGNED_INT: 0x1405;
+ readonly FLOAT: 0x1406;
+ readonly DEPTH_COMPONENT: 0x1902;
+ readonly ALPHA: 0x1906;
+ readonly RGB: 0x1907;
+ readonly RGBA: 0x1908;
+ readonly LUMINANCE: 0x1909;
+ readonly LUMINANCE_ALPHA: 0x190A;
+ readonly UNSIGNED_SHORT_4_4_4_4: 0x8033;
+ readonly UNSIGNED_SHORT_5_5_5_1: 0x8034;
+ readonly UNSIGNED_SHORT_5_6_5: 0x8363;
+ readonly FRAGMENT_SHADER: 0x8B30;
+ readonly VERTEX_SHADER: 0x8B31;
+ readonly MAX_VERTEX_ATTRIBS: 0x8869;
+ readonly MAX_VERTEX_UNIFORM_VECTORS: 0x8DFB;
+ readonly MAX_VARYING_VECTORS: 0x8DFC;
+ readonly MAX_COMBINED_TEXTURE_IMAGE_UNITS: 0x8B4D;
+ readonly MAX_VERTEX_TEXTURE_IMAGE_UNITS: 0x8B4C;
+ readonly MAX_TEXTURE_IMAGE_UNITS: 0x8872;
+ readonly MAX_FRAGMENT_UNIFORM_VECTORS: 0x8DFD;
+ readonly SHADER_TYPE: 0x8B4F;
+ readonly DELETE_STATUS: 0x8B80;
+ readonly LINK_STATUS: 0x8B82;
+ readonly VALIDATE_STATUS: 0x8B83;
+ readonly ATTACHED_SHADERS: 0x8B85;
+ readonly ACTIVE_UNIFORMS: 0x8B86;
+ readonly ACTIVE_ATTRIBUTES: 0x8B89;
+ readonly SHADING_LANGUAGE_VERSION: 0x8B8C;
+ readonly CURRENT_PROGRAM: 0x8B8D;
+ readonly NEVER: 0x0200;
+ readonly LESS: 0x0201;
+ readonly EQUAL: 0x0202;
+ readonly LEQUAL: 0x0203;
+ readonly GREATER: 0x0204;
+ readonly NOTEQUAL: 0x0205;
+ readonly GEQUAL: 0x0206;
+ readonly ALWAYS: 0x0207;
+ readonly KEEP: 0x1E00;
+ readonly REPLACE: 0x1E01;
+ readonly INCR: 0x1E02;
+ readonly DECR: 0x1E03;
+ readonly INVERT: 0x150A;
+ readonly INCR_WRAP: 0x8507;
+ readonly DECR_WRAP: 0x8508;
+ readonly VENDOR: 0x1F00;
+ readonly RENDERER: 0x1F01;
+ readonly VERSION: 0x1F02;
+ readonly NEAREST: 0x2600;
+ readonly LINEAR: 0x2601;
+ readonly NEAREST_MIPMAP_NEAREST: 0x2700;
+ readonly LINEAR_MIPMAP_NEAREST: 0x2701;
+ readonly NEAREST_MIPMAP_LINEAR: 0x2702;
+ readonly LINEAR_MIPMAP_LINEAR: 0x2703;
+ readonly TEXTURE_MAG_FILTER: 0x2800;
+ readonly TEXTURE_MIN_FILTER: 0x2801;
+ readonly TEXTURE_WRAP_S: 0x2802;
+ readonly TEXTURE_WRAP_T: 0x2803;
+ readonly TEXTURE_2D: 0x0DE1;
+ readonly TEXTURE: 0x1702;
+ readonly TEXTURE_CUBE_MAP: 0x8513;
+ readonly TEXTURE_BINDING_CUBE_MAP: 0x8514;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_X: 0x8515;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_X: 0x8516;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Y: 0x8517;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Y: 0x8518;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Z: 0x8519;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Z: 0x851A;
+ readonly MAX_CUBE_MAP_TEXTURE_SIZE: 0x851C;
+ readonly TEXTURE0: 0x84C0;
+ readonly TEXTURE1: 0x84C1;
+ readonly TEXTURE2: 0x84C2;
+ readonly TEXTURE3: 0x84C3;
+ readonly TEXTURE4: 0x84C4;
+ readonly TEXTURE5: 0x84C5;
+ readonly TEXTURE6: 0x84C6;
+ readonly TEXTURE7: 0x84C7;
+ readonly TEXTURE8: 0x84C8;
+ readonly TEXTURE9: 0x84C9;
+ readonly TEXTURE10: 0x84CA;
+ readonly TEXTURE11: 0x84CB;
+ readonly TEXTURE12: 0x84CC;
+ readonly TEXTURE13: 0x84CD;
+ readonly TEXTURE14: 0x84CE;
+ readonly TEXTURE15: 0x84CF;
+ readonly TEXTURE16: 0x84D0;
+ readonly TEXTURE17: 0x84D1;
+ readonly TEXTURE18: 0x84D2;
+ readonly TEXTURE19: 0x84D3;
+ readonly TEXTURE20: 0x84D4;
+ readonly TEXTURE21: 0x84D5;
+ readonly TEXTURE22: 0x84D6;
+ readonly TEXTURE23: 0x84D7;
+ readonly TEXTURE24: 0x84D8;
+ readonly TEXTURE25: 0x84D9;
+ readonly TEXTURE26: 0x84DA;
+ readonly TEXTURE27: 0x84DB;
+ readonly TEXTURE28: 0x84DC;
+ readonly TEXTURE29: 0x84DD;
+ readonly TEXTURE30: 0x84DE;
+ readonly TEXTURE31: 0x84DF;
+ readonly ACTIVE_TEXTURE: 0x84E0;
+ readonly REPEAT: 0x2901;
+ readonly CLAMP_TO_EDGE: 0x812F;
+ readonly MIRRORED_REPEAT: 0x8370;
+ readonly FLOAT_VEC2: 0x8B50;
+ readonly FLOAT_VEC3: 0x8B51;
+ readonly FLOAT_VEC4: 0x8B52;
+ readonly INT_VEC2: 0x8B53;
+ readonly INT_VEC3: 0x8B54;
+ readonly INT_VEC4: 0x8B55;
+ readonly BOOL: 0x8B56;
+ readonly BOOL_VEC2: 0x8B57;
+ readonly BOOL_VEC3: 0x8B58;
+ readonly BOOL_VEC4: 0x8B59;
+ readonly FLOAT_MAT2: 0x8B5A;
+ readonly FLOAT_MAT3: 0x8B5B;
+ readonly FLOAT_MAT4: 0x8B5C;
+ readonly SAMPLER_2D: 0x8B5E;
+ readonly SAMPLER_CUBE: 0x8B60;
+ readonly VERTEX_ATTRIB_ARRAY_ENABLED: 0x8622;
+ readonly VERTEX_ATTRIB_ARRAY_SIZE: 0x8623;
+ readonly VERTEX_ATTRIB_ARRAY_STRIDE: 0x8624;
+ readonly VERTEX_ATTRIB_ARRAY_TYPE: 0x8625;
+ readonly VERTEX_ATTRIB_ARRAY_NORMALIZED: 0x886A;
+ readonly VERTEX_ATTRIB_ARRAY_POINTER: 0x8645;
+ readonly VERTEX_ATTRIB_ARRAY_BUFFER_BINDING: 0x889F;
+ readonly IMPLEMENTATION_COLOR_READ_TYPE: 0x8B9A;
+ readonly IMPLEMENTATION_COLOR_READ_FORMAT: 0x8B9B;
+ readonly COMPILE_STATUS: 0x8B81;
+ readonly LOW_FLOAT: 0x8DF0;
+ readonly MEDIUM_FLOAT: 0x8DF1;
+ readonly HIGH_FLOAT: 0x8DF2;
+ readonly LOW_INT: 0x8DF3;
+ readonly MEDIUM_INT: 0x8DF4;
+ readonly HIGH_INT: 0x8DF5;
+ readonly FRAMEBUFFER: 0x8D40;
+ readonly RENDERBUFFER: 0x8D41;
+ readonly RGBA4: 0x8056;
+ readonly RGB5_A1: 0x8057;
+ readonly RGBA8: 0x8058;
+ readonly RGB565: 0x8D62;
+ readonly DEPTH_COMPONENT16: 0x81A5;
+ readonly STENCIL_INDEX8: 0x8D48;
+ readonly DEPTH_STENCIL: 0x84F9;
+ readonly RENDERBUFFER_WIDTH: 0x8D42;
+ readonly RENDERBUFFER_HEIGHT: 0x8D43;
+ readonly RENDERBUFFER_INTERNAL_FORMAT: 0x8D44;
+ readonly RENDERBUFFER_RED_SIZE: 0x8D50;
+ readonly RENDERBUFFER_GREEN_SIZE: 0x8D51;
+ readonly RENDERBUFFER_BLUE_SIZE: 0x8D52;
+ readonly RENDERBUFFER_ALPHA_SIZE: 0x8D53;
+ readonly RENDERBUFFER_DEPTH_SIZE: 0x8D54;
+ readonly RENDERBUFFER_STENCIL_SIZE: 0x8D55;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_TYPE: 0x8CD0;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_NAME: 0x8CD1;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LEVEL: 0x8CD2;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_CUBE_MAP_FACE: 0x8CD3;
+ readonly COLOR_ATTACHMENT0: 0x8CE0;
+ readonly DEPTH_ATTACHMENT: 0x8D00;
+ readonly STENCIL_ATTACHMENT: 0x8D20;
+ readonly DEPTH_STENCIL_ATTACHMENT: 0x821A;
+ readonly NONE: 0;
+ readonly FRAMEBUFFER_COMPLETE: 0x8CD5;
+ readonly FRAMEBUFFER_INCOMPLETE_ATTACHMENT: 0x8CD6;
+ readonly FRAMEBUFFER_INCOMPLETE_MISSING_ATTACHMENT: 0x8CD7;
+ readonly FRAMEBUFFER_INCOMPLETE_DIMENSIONS: 0x8CD9;
+ readonly FRAMEBUFFER_UNSUPPORTED: 0x8CDD;
+ readonly FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly RENDERBUFFER_BINDING: 0x8CA7;
+ readonly MAX_RENDERBUFFER_SIZE: 0x84E8;
+ readonly INVALID_FRAMEBUFFER_OPERATION: 0x0506;
+ readonly UNPACK_FLIP_Y_WEBGL: 0x9240;
+ readonly UNPACK_PREMULTIPLY_ALPHA_WEBGL: 0x9241;
+ readonly CONTEXT_LOST_WEBGL: 0x9242;
+ readonly UNPACK_COLORSPACE_CONVERSION_WEBGL: 0x9243;
+ readonly BROWSER_DEFAULT_WEBGL: 0x9244;
+};
+
+interface WebGLRenderingContextBase {
+ drawingBufferColorSpace: PredefinedColorSpace;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/drawingBufferHeight) */
+ readonly drawingBufferHeight: GLsizei;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/drawingBufferWidth) */
+ readonly drawingBufferWidth: GLsizei;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/activeTexture) */
+ activeTexture(texture: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/attachShader) */
+ attachShader(program: WebGLProgram, shader: WebGLShader): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindAttribLocation) */
+ bindAttribLocation(program: WebGLProgram, index: GLuint, name: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindBuffer) */
+ bindBuffer(target: GLenum, buffer: WebGLBuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindFramebuffer) */
+ bindFramebuffer(target: GLenum, framebuffer: WebGLFramebuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindRenderbuffer) */
+ bindRenderbuffer(target: GLenum, renderbuffer: WebGLRenderbuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bindTexture) */
+ bindTexture(target: GLenum, texture: WebGLTexture | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendColor) */
+ blendColor(red: GLclampf, green: GLclampf, blue: GLclampf, alpha: GLclampf): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendEquation) */
+ blendEquation(mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendEquationSeparate) */
+ blendEquationSeparate(modeRGB: GLenum, modeAlpha: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendFunc) */
+ blendFunc(sfactor: GLenum, dfactor: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/blendFuncSeparate) */
+ blendFuncSeparate(srcRGB: GLenum, dstRGB: GLenum, srcAlpha: GLenum, dstAlpha: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/checkFramebufferStatus) */
+ checkFramebufferStatus(target: GLenum): GLenum;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/clear) */
+ clear(mask: GLbitfield): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/clearColor) */
+ clearColor(red: GLclampf, green: GLclampf, blue: GLclampf, alpha: GLclampf): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/clearDepth) */
+ clearDepth(depth: GLclampf): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/clearStencil) */
+ clearStencil(s: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/colorMask) */
+ colorMask(red: GLboolean, green: GLboolean, blue: GLboolean, alpha: GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compileShader) */
+ compileShader(shader: WebGLShader): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/copyTexImage2D) */
+ copyTexImage2D(target: GLenum, level: GLint, internalformat: GLenum, x: GLint, y: GLint, width: GLsizei, height: GLsizei, border: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/copyTexSubImage2D) */
+ copyTexSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createBuffer) */
+ createBuffer(): WebGLBuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createFramebuffer) */
+ createFramebuffer(): WebGLFramebuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createProgram) */
+ createProgram(): WebGLProgram | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createRenderbuffer) */
+ createRenderbuffer(): WebGLRenderbuffer | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createShader) */
+ createShader(type: GLenum): WebGLShader | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/createTexture) */
+ createTexture(): WebGLTexture | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/cullFace) */
+ cullFace(mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteBuffer) */
+ deleteBuffer(buffer: WebGLBuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteFramebuffer) */
+ deleteFramebuffer(framebuffer: WebGLFramebuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteProgram) */
+ deleteProgram(program: WebGLProgram | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteRenderbuffer) */
+ deleteRenderbuffer(renderbuffer: WebGLRenderbuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteShader) */
+ deleteShader(shader: WebGLShader | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/deleteTexture) */
+ deleteTexture(texture: WebGLTexture | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/depthFunc) */
+ depthFunc(func: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/depthMask) */
+ depthMask(flag: GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/depthRange) */
+ depthRange(zNear: GLclampf, zFar: GLclampf): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/detachShader) */
+ detachShader(program: WebGLProgram, shader: WebGLShader): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/disable) */
+ disable(cap: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/disableVertexAttribArray) */
+ disableVertexAttribArray(index: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/drawArrays) */
+ drawArrays(mode: GLenum, first: GLint, count: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/drawElements) */
+ drawElements(mode: GLenum, count: GLsizei, type: GLenum, offset: GLintptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/enable) */
+ enable(cap: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/enableVertexAttribArray) */
+ enableVertexAttribArray(index: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/finish) */
+ finish(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/flush) */
+ flush(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/framebufferRenderbuffer) */
+ framebufferRenderbuffer(target: GLenum, attachment: GLenum, renderbuffertarget: GLenum, renderbuffer: WebGLRenderbuffer | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/framebufferTexture2D) */
+ framebufferTexture2D(target: GLenum, attachment: GLenum, textarget: GLenum, texture: WebGLTexture | null, level: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/frontFace) */
+ frontFace(mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/generateMipmap) */
+ generateMipmap(target: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getActiveAttrib) */
+ getActiveAttrib(program: WebGLProgram, index: GLuint): WebGLActiveInfo | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getActiveUniform) */
+ getActiveUniform(program: WebGLProgram, index: GLuint): WebGLActiveInfo | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getAttachedShaders) */
+ getAttachedShaders(program: WebGLProgram): WebGLShader[] | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getAttribLocation) */
+ getAttribLocation(program: WebGLProgram, name: string): GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getBufferParameter) */
+ getBufferParameter(target: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getContextAttributes) */
+ getContextAttributes(): WebGLContextAttributes | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getError) */
+ getError(): GLenum;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getExtension) */
+ getExtension(extensionName: "ANGLE_instanced_arrays"): ANGLE_instanced_arrays | null;
+ getExtension(extensionName: "EXT_blend_minmax"): EXT_blend_minmax | null;
+ getExtension(extensionName: "EXT_color_buffer_float"): EXT_color_buffer_float | null;
+ getExtension(extensionName: "EXT_color_buffer_half_float"): EXT_color_buffer_half_float | null;
+ getExtension(extensionName: "EXT_float_blend"): EXT_float_blend | null;
+ getExtension(extensionName: "EXT_frag_depth"): EXT_frag_depth | null;
+ getExtension(extensionName: "EXT_sRGB"): EXT_sRGB | null;
+ getExtension(extensionName: "EXT_shader_texture_lod"): EXT_shader_texture_lod | null;
+ getExtension(extensionName: "EXT_texture_compression_bptc"): EXT_texture_compression_bptc | null;
+ getExtension(extensionName: "EXT_texture_compression_rgtc"): EXT_texture_compression_rgtc | null;
+ getExtension(extensionName: "EXT_texture_filter_anisotropic"): EXT_texture_filter_anisotropic | null;
+ getExtension(extensionName: "KHR_parallel_shader_compile"): KHR_parallel_shader_compile | null;
+ getExtension(extensionName: "OES_element_index_uint"): OES_element_index_uint | null;
+ getExtension(extensionName: "OES_fbo_render_mipmap"): OES_fbo_render_mipmap | null;
+ getExtension(extensionName: "OES_standard_derivatives"): OES_standard_derivatives | null;
+ getExtension(extensionName: "OES_texture_float"): OES_texture_float | null;
+ getExtension(extensionName: "OES_texture_float_linear"): OES_texture_float_linear | null;
+ getExtension(extensionName: "OES_texture_half_float"): OES_texture_half_float | null;
+ getExtension(extensionName: "OES_texture_half_float_linear"): OES_texture_half_float_linear | null;
+ getExtension(extensionName: "OES_vertex_array_object"): OES_vertex_array_object | null;
+ getExtension(extensionName: "OVR_multiview2"): OVR_multiview2 | null;
+ getExtension(extensionName: "WEBGL_color_buffer_float"): WEBGL_color_buffer_float | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_astc"): WEBGL_compressed_texture_astc | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_etc"): WEBGL_compressed_texture_etc | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_etc1"): WEBGL_compressed_texture_etc1 | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_pvrtc"): WEBGL_compressed_texture_pvrtc | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_s3tc"): WEBGL_compressed_texture_s3tc | null;
+ getExtension(extensionName: "WEBGL_compressed_texture_s3tc_srgb"): WEBGL_compressed_texture_s3tc_srgb | null;
+ getExtension(extensionName: "WEBGL_debug_renderer_info"): WEBGL_debug_renderer_info | null;
+ getExtension(extensionName: "WEBGL_debug_shaders"): WEBGL_debug_shaders | null;
+ getExtension(extensionName: "WEBGL_depth_texture"): WEBGL_depth_texture | null;
+ getExtension(extensionName: "WEBGL_draw_buffers"): WEBGL_draw_buffers | null;
+ getExtension(extensionName: "WEBGL_lose_context"): WEBGL_lose_context | null;
+ getExtension(extensionName: "WEBGL_multi_draw"): WEBGL_multi_draw | null;
+ getExtension(name: string): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getFramebufferAttachmentParameter) */
+ getFramebufferAttachmentParameter(target: GLenum, attachment: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getParameter) */
+ getParameter(pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getProgramInfoLog) */
+ getProgramInfoLog(program: WebGLProgram): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getProgramParameter) */
+ getProgramParameter(program: WebGLProgram, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getRenderbufferParameter) */
+ getRenderbufferParameter(target: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getShaderInfoLog) */
+ getShaderInfoLog(shader: WebGLShader): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getShaderParameter) */
+ getShaderParameter(shader: WebGLShader, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getShaderPrecisionFormat) */
+ getShaderPrecisionFormat(shadertype: GLenum, precisiontype: GLenum): WebGLShaderPrecisionFormat | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getShaderSource) */
+ getShaderSource(shader: WebGLShader): string | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getSupportedExtensions) */
+ getSupportedExtensions(): string[] | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getTexParameter) */
+ getTexParameter(target: GLenum, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getUniform) */
+ getUniform(program: WebGLProgram, location: WebGLUniformLocation): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getUniformLocation) */
+ getUniformLocation(program: WebGLProgram, name: string): WebGLUniformLocation | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getVertexAttrib) */
+ getVertexAttrib(index: GLuint, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/getVertexAttribOffset) */
+ getVertexAttribOffset(index: GLuint, pname: GLenum): GLintptr;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/hint) */
+ hint(target: GLenum, mode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isBuffer) */
+ isBuffer(buffer: WebGLBuffer | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isContextLost) */
+ isContextLost(): boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isEnabled) */
+ isEnabled(cap: GLenum): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isFramebuffer) */
+ isFramebuffer(framebuffer: WebGLFramebuffer | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isProgram) */
+ isProgram(program: WebGLProgram | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isRenderbuffer) */
+ isRenderbuffer(renderbuffer: WebGLRenderbuffer | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isShader) */
+ isShader(shader: WebGLShader | null): GLboolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/isTexture) */
+ isTexture(texture: WebGLTexture | null): GLboolean;
+ lineWidth(width: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/linkProgram) */
+ linkProgram(program: WebGLProgram): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/pixelStorei) */
+ pixelStorei(pname: GLenum, param: GLint | GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/polygonOffset) */
+ polygonOffset(factor: GLfloat, units: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/renderbufferStorage) */
+ renderbufferStorage(target: GLenum, internalformat: GLenum, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/sampleCoverage) */
+ sampleCoverage(value: GLclampf, invert: GLboolean): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/scissor) */
+ scissor(x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/shaderSource) */
+ shaderSource(shader: WebGLShader, source: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilFunc) */
+ stencilFunc(func: GLenum, ref: GLint, mask: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilFuncSeparate) */
+ stencilFuncSeparate(face: GLenum, func: GLenum, ref: GLint, mask: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilMask) */
+ stencilMask(mask: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilMaskSeparate) */
+ stencilMaskSeparate(face: GLenum, mask: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilOp) */
+ stencilOp(fail: GLenum, zfail: GLenum, zpass: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/stencilOpSeparate) */
+ stencilOpSeparate(face: GLenum, fail: GLenum, zfail: GLenum, zpass: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texParameter) */
+ texParameterf(target: GLenum, pname: GLenum, param: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texParameter) */
+ texParameteri(target: GLenum, pname: GLenum, param: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1f(location: WebGLUniformLocation | null, x: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1i(location: WebGLUniformLocation | null, x: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2f(location: WebGLUniformLocation | null, x: GLfloat, y: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2i(location: WebGLUniformLocation | null, x: GLint, y: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3f(location: WebGLUniformLocation | null, x: GLfloat, y: GLfloat, z: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3i(location: WebGLUniformLocation | null, x: GLint, y: GLint, z: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4f(location: WebGLUniformLocation | null, x: GLfloat, y: GLfloat, z: GLfloat, w: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4i(location: WebGLUniformLocation | null, x: GLint, y: GLint, z: GLint, w: GLint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/useProgram) */
+ useProgram(program: WebGLProgram | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/validateProgram) */
+ validateProgram(program: WebGLProgram): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib1f(index: GLuint, x: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib1fv(index: GLuint, values: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib2f(index: GLuint, x: GLfloat, y: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib2fv(index: GLuint, values: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib3f(index: GLuint, x: GLfloat, y: GLfloat, z: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib3fv(index: GLuint, values: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib4f(index: GLuint, x: GLfloat, y: GLfloat, z: GLfloat, w: GLfloat): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib4fv(index: GLuint, values: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttribPointer) */
+ vertexAttribPointer(index: GLuint, size: GLint, type: GLenum, normalized: GLboolean, stride: GLsizei, offset: GLintptr): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/viewport) */
+ viewport(x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ readonly DEPTH_BUFFER_BIT: 0x00000100;
+ readonly STENCIL_BUFFER_BIT: 0x00000400;
+ readonly COLOR_BUFFER_BIT: 0x00004000;
+ readonly POINTS: 0x0000;
+ readonly LINES: 0x0001;
+ readonly LINE_LOOP: 0x0002;
+ readonly LINE_STRIP: 0x0003;
+ readonly TRIANGLES: 0x0004;
+ readonly TRIANGLE_STRIP: 0x0005;
+ readonly TRIANGLE_FAN: 0x0006;
+ readonly ZERO: 0;
+ readonly ONE: 1;
+ readonly SRC_COLOR: 0x0300;
+ readonly ONE_MINUS_SRC_COLOR: 0x0301;
+ readonly SRC_ALPHA: 0x0302;
+ readonly ONE_MINUS_SRC_ALPHA: 0x0303;
+ readonly DST_ALPHA: 0x0304;
+ readonly ONE_MINUS_DST_ALPHA: 0x0305;
+ readonly DST_COLOR: 0x0306;
+ readonly ONE_MINUS_DST_COLOR: 0x0307;
+ readonly SRC_ALPHA_SATURATE: 0x0308;
+ readonly FUNC_ADD: 0x8006;
+ readonly BLEND_EQUATION: 0x8009;
+ readonly BLEND_EQUATION_RGB: 0x8009;
+ readonly BLEND_EQUATION_ALPHA: 0x883D;
+ readonly FUNC_SUBTRACT: 0x800A;
+ readonly FUNC_REVERSE_SUBTRACT: 0x800B;
+ readonly BLEND_DST_RGB: 0x80C8;
+ readonly BLEND_SRC_RGB: 0x80C9;
+ readonly BLEND_DST_ALPHA: 0x80CA;
+ readonly BLEND_SRC_ALPHA: 0x80CB;
+ readonly CONSTANT_COLOR: 0x8001;
+ readonly ONE_MINUS_CONSTANT_COLOR: 0x8002;
+ readonly CONSTANT_ALPHA: 0x8003;
+ readonly ONE_MINUS_CONSTANT_ALPHA: 0x8004;
+ readonly BLEND_COLOR: 0x8005;
+ readonly ARRAY_BUFFER: 0x8892;
+ readonly ELEMENT_ARRAY_BUFFER: 0x8893;
+ readonly ARRAY_BUFFER_BINDING: 0x8894;
+ readonly ELEMENT_ARRAY_BUFFER_BINDING: 0x8895;
+ readonly STREAM_DRAW: 0x88E0;
+ readonly STATIC_DRAW: 0x88E4;
+ readonly DYNAMIC_DRAW: 0x88E8;
+ readonly BUFFER_SIZE: 0x8764;
+ readonly BUFFER_USAGE: 0x8765;
+ readonly CURRENT_VERTEX_ATTRIB: 0x8626;
+ readonly FRONT: 0x0404;
+ readonly BACK: 0x0405;
+ readonly FRONT_AND_BACK: 0x0408;
+ readonly CULL_FACE: 0x0B44;
+ readonly BLEND: 0x0BE2;
+ readonly DITHER: 0x0BD0;
+ readonly STENCIL_TEST: 0x0B90;
+ readonly DEPTH_TEST: 0x0B71;
+ readonly SCISSOR_TEST: 0x0C11;
+ readonly POLYGON_OFFSET_FILL: 0x8037;
+ readonly SAMPLE_ALPHA_TO_COVERAGE: 0x809E;
+ readonly SAMPLE_COVERAGE: 0x80A0;
+ readonly NO_ERROR: 0;
+ readonly INVALID_ENUM: 0x0500;
+ readonly INVALID_VALUE: 0x0501;
+ readonly INVALID_OPERATION: 0x0502;
+ readonly OUT_OF_MEMORY: 0x0505;
+ readonly CW: 0x0900;
+ readonly CCW: 0x0901;
+ readonly LINE_WIDTH: 0x0B21;
+ readonly ALIASED_POINT_SIZE_RANGE: 0x846D;
+ readonly ALIASED_LINE_WIDTH_RANGE: 0x846E;
+ readonly CULL_FACE_MODE: 0x0B45;
+ readonly FRONT_FACE: 0x0B46;
+ readonly DEPTH_RANGE: 0x0B70;
+ readonly DEPTH_WRITEMASK: 0x0B72;
+ readonly DEPTH_CLEAR_VALUE: 0x0B73;
+ readonly DEPTH_FUNC: 0x0B74;
+ readonly STENCIL_CLEAR_VALUE: 0x0B91;
+ readonly STENCIL_FUNC: 0x0B92;
+ readonly STENCIL_FAIL: 0x0B94;
+ readonly STENCIL_PASS_DEPTH_FAIL: 0x0B95;
+ readonly STENCIL_PASS_DEPTH_PASS: 0x0B96;
+ readonly STENCIL_REF: 0x0B97;
+ readonly STENCIL_VALUE_MASK: 0x0B93;
+ readonly STENCIL_WRITEMASK: 0x0B98;
+ readonly STENCIL_BACK_FUNC: 0x8800;
+ readonly STENCIL_BACK_FAIL: 0x8801;
+ readonly STENCIL_BACK_PASS_DEPTH_FAIL: 0x8802;
+ readonly STENCIL_BACK_PASS_DEPTH_PASS: 0x8803;
+ readonly STENCIL_BACK_REF: 0x8CA3;
+ readonly STENCIL_BACK_VALUE_MASK: 0x8CA4;
+ readonly STENCIL_BACK_WRITEMASK: 0x8CA5;
+ readonly VIEWPORT: 0x0BA2;
+ readonly SCISSOR_BOX: 0x0C10;
+ readonly COLOR_CLEAR_VALUE: 0x0C22;
+ readonly COLOR_WRITEMASK: 0x0C23;
+ readonly UNPACK_ALIGNMENT: 0x0CF5;
+ readonly PACK_ALIGNMENT: 0x0D05;
+ readonly MAX_TEXTURE_SIZE: 0x0D33;
+ readonly MAX_VIEWPORT_DIMS: 0x0D3A;
+ readonly SUBPIXEL_BITS: 0x0D50;
+ readonly RED_BITS: 0x0D52;
+ readonly GREEN_BITS: 0x0D53;
+ readonly BLUE_BITS: 0x0D54;
+ readonly ALPHA_BITS: 0x0D55;
+ readonly DEPTH_BITS: 0x0D56;
+ readonly STENCIL_BITS: 0x0D57;
+ readonly POLYGON_OFFSET_UNITS: 0x2A00;
+ readonly POLYGON_OFFSET_FACTOR: 0x8038;
+ readonly TEXTURE_BINDING_2D: 0x8069;
+ readonly SAMPLE_BUFFERS: 0x80A8;
+ readonly SAMPLES: 0x80A9;
+ readonly SAMPLE_COVERAGE_VALUE: 0x80AA;
+ readonly SAMPLE_COVERAGE_INVERT: 0x80AB;
+ readonly COMPRESSED_TEXTURE_FORMATS: 0x86A3;
+ readonly DONT_CARE: 0x1100;
+ readonly FASTEST: 0x1101;
+ readonly NICEST: 0x1102;
+ readonly GENERATE_MIPMAP_HINT: 0x8192;
+ readonly BYTE: 0x1400;
+ readonly UNSIGNED_BYTE: 0x1401;
+ readonly SHORT: 0x1402;
+ readonly UNSIGNED_SHORT: 0x1403;
+ readonly INT: 0x1404;
+ readonly UNSIGNED_INT: 0x1405;
+ readonly FLOAT: 0x1406;
+ readonly DEPTH_COMPONENT: 0x1902;
+ readonly ALPHA: 0x1906;
+ readonly RGB: 0x1907;
+ readonly RGBA: 0x1908;
+ readonly LUMINANCE: 0x1909;
+ readonly LUMINANCE_ALPHA: 0x190A;
+ readonly UNSIGNED_SHORT_4_4_4_4: 0x8033;
+ readonly UNSIGNED_SHORT_5_5_5_1: 0x8034;
+ readonly UNSIGNED_SHORT_5_6_5: 0x8363;
+ readonly FRAGMENT_SHADER: 0x8B30;
+ readonly VERTEX_SHADER: 0x8B31;
+ readonly MAX_VERTEX_ATTRIBS: 0x8869;
+ readonly MAX_VERTEX_UNIFORM_VECTORS: 0x8DFB;
+ readonly MAX_VARYING_VECTORS: 0x8DFC;
+ readonly MAX_COMBINED_TEXTURE_IMAGE_UNITS: 0x8B4D;
+ readonly MAX_VERTEX_TEXTURE_IMAGE_UNITS: 0x8B4C;
+ readonly MAX_TEXTURE_IMAGE_UNITS: 0x8872;
+ readonly MAX_FRAGMENT_UNIFORM_VECTORS: 0x8DFD;
+ readonly SHADER_TYPE: 0x8B4F;
+ readonly DELETE_STATUS: 0x8B80;
+ readonly LINK_STATUS: 0x8B82;
+ readonly VALIDATE_STATUS: 0x8B83;
+ readonly ATTACHED_SHADERS: 0x8B85;
+ readonly ACTIVE_UNIFORMS: 0x8B86;
+ readonly ACTIVE_ATTRIBUTES: 0x8B89;
+ readonly SHADING_LANGUAGE_VERSION: 0x8B8C;
+ readonly CURRENT_PROGRAM: 0x8B8D;
+ readonly NEVER: 0x0200;
+ readonly LESS: 0x0201;
+ readonly EQUAL: 0x0202;
+ readonly LEQUAL: 0x0203;
+ readonly GREATER: 0x0204;
+ readonly NOTEQUAL: 0x0205;
+ readonly GEQUAL: 0x0206;
+ readonly ALWAYS: 0x0207;
+ readonly KEEP: 0x1E00;
+ readonly REPLACE: 0x1E01;
+ readonly INCR: 0x1E02;
+ readonly DECR: 0x1E03;
+ readonly INVERT: 0x150A;
+ readonly INCR_WRAP: 0x8507;
+ readonly DECR_WRAP: 0x8508;
+ readonly VENDOR: 0x1F00;
+ readonly RENDERER: 0x1F01;
+ readonly VERSION: 0x1F02;
+ readonly NEAREST: 0x2600;
+ readonly LINEAR: 0x2601;
+ readonly NEAREST_MIPMAP_NEAREST: 0x2700;
+ readonly LINEAR_MIPMAP_NEAREST: 0x2701;
+ readonly NEAREST_MIPMAP_LINEAR: 0x2702;
+ readonly LINEAR_MIPMAP_LINEAR: 0x2703;
+ readonly TEXTURE_MAG_FILTER: 0x2800;
+ readonly TEXTURE_MIN_FILTER: 0x2801;
+ readonly TEXTURE_WRAP_S: 0x2802;
+ readonly TEXTURE_WRAP_T: 0x2803;
+ readonly TEXTURE_2D: 0x0DE1;
+ readonly TEXTURE: 0x1702;
+ readonly TEXTURE_CUBE_MAP: 0x8513;
+ readonly TEXTURE_BINDING_CUBE_MAP: 0x8514;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_X: 0x8515;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_X: 0x8516;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Y: 0x8517;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Y: 0x8518;
+ readonly TEXTURE_CUBE_MAP_POSITIVE_Z: 0x8519;
+ readonly TEXTURE_CUBE_MAP_NEGATIVE_Z: 0x851A;
+ readonly MAX_CUBE_MAP_TEXTURE_SIZE: 0x851C;
+ readonly TEXTURE0: 0x84C0;
+ readonly TEXTURE1: 0x84C1;
+ readonly TEXTURE2: 0x84C2;
+ readonly TEXTURE3: 0x84C3;
+ readonly TEXTURE4: 0x84C4;
+ readonly TEXTURE5: 0x84C5;
+ readonly TEXTURE6: 0x84C6;
+ readonly TEXTURE7: 0x84C7;
+ readonly TEXTURE8: 0x84C8;
+ readonly TEXTURE9: 0x84C9;
+ readonly TEXTURE10: 0x84CA;
+ readonly TEXTURE11: 0x84CB;
+ readonly TEXTURE12: 0x84CC;
+ readonly TEXTURE13: 0x84CD;
+ readonly TEXTURE14: 0x84CE;
+ readonly TEXTURE15: 0x84CF;
+ readonly TEXTURE16: 0x84D0;
+ readonly TEXTURE17: 0x84D1;
+ readonly TEXTURE18: 0x84D2;
+ readonly TEXTURE19: 0x84D3;
+ readonly TEXTURE20: 0x84D4;
+ readonly TEXTURE21: 0x84D5;
+ readonly TEXTURE22: 0x84D6;
+ readonly TEXTURE23: 0x84D7;
+ readonly TEXTURE24: 0x84D8;
+ readonly TEXTURE25: 0x84D9;
+ readonly TEXTURE26: 0x84DA;
+ readonly TEXTURE27: 0x84DB;
+ readonly TEXTURE28: 0x84DC;
+ readonly TEXTURE29: 0x84DD;
+ readonly TEXTURE30: 0x84DE;
+ readonly TEXTURE31: 0x84DF;
+ readonly ACTIVE_TEXTURE: 0x84E0;
+ readonly REPEAT: 0x2901;
+ readonly CLAMP_TO_EDGE: 0x812F;
+ readonly MIRRORED_REPEAT: 0x8370;
+ readonly FLOAT_VEC2: 0x8B50;
+ readonly FLOAT_VEC3: 0x8B51;
+ readonly FLOAT_VEC4: 0x8B52;
+ readonly INT_VEC2: 0x8B53;
+ readonly INT_VEC3: 0x8B54;
+ readonly INT_VEC4: 0x8B55;
+ readonly BOOL: 0x8B56;
+ readonly BOOL_VEC2: 0x8B57;
+ readonly BOOL_VEC3: 0x8B58;
+ readonly BOOL_VEC4: 0x8B59;
+ readonly FLOAT_MAT2: 0x8B5A;
+ readonly FLOAT_MAT3: 0x8B5B;
+ readonly FLOAT_MAT4: 0x8B5C;
+ readonly SAMPLER_2D: 0x8B5E;
+ readonly SAMPLER_CUBE: 0x8B60;
+ readonly VERTEX_ATTRIB_ARRAY_ENABLED: 0x8622;
+ readonly VERTEX_ATTRIB_ARRAY_SIZE: 0x8623;
+ readonly VERTEX_ATTRIB_ARRAY_STRIDE: 0x8624;
+ readonly VERTEX_ATTRIB_ARRAY_TYPE: 0x8625;
+ readonly VERTEX_ATTRIB_ARRAY_NORMALIZED: 0x886A;
+ readonly VERTEX_ATTRIB_ARRAY_POINTER: 0x8645;
+ readonly VERTEX_ATTRIB_ARRAY_BUFFER_BINDING: 0x889F;
+ readonly IMPLEMENTATION_COLOR_READ_TYPE: 0x8B9A;
+ readonly IMPLEMENTATION_COLOR_READ_FORMAT: 0x8B9B;
+ readonly COMPILE_STATUS: 0x8B81;
+ readonly LOW_FLOAT: 0x8DF0;
+ readonly MEDIUM_FLOAT: 0x8DF1;
+ readonly HIGH_FLOAT: 0x8DF2;
+ readonly LOW_INT: 0x8DF3;
+ readonly MEDIUM_INT: 0x8DF4;
+ readonly HIGH_INT: 0x8DF5;
+ readonly FRAMEBUFFER: 0x8D40;
+ readonly RENDERBUFFER: 0x8D41;
+ readonly RGBA4: 0x8056;
+ readonly RGB5_A1: 0x8057;
+ readonly RGBA8: 0x8058;
+ readonly RGB565: 0x8D62;
+ readonly DEPTH_COMPONENT16: 0x81A5;
+ readonly STENCIL_INDEX8: 0x8D48;
+ readonly DEPTH_STENCIL: 0x84F9;
+ readonly RENDERBUFFER_WIDTH: 0x8D42;
+ readonly RENDERBUFFER_HEIGHT: 0x8D43;
+ readonly RENDERBUFFER_INTERNAL_FORMAT: 0x8D44;
+ readonly RENDERBUFFER_RED_SIZE: 0x8D50;
+ readonly RENDERBUFFER_GREEN_SIZE: 0x8D51;
+ readonly RENDERBUFFER_BLUE_SIZE: 0x8D52;
+ readonly RENDERBUFFER_ALPHA_SIZE: 0x8D53;
+ readonly RENDERBUFFER_DEPTH_SIZE: 0x8D54;
+ readonly RENDERBUFFER_STENCIL_SIZE: 0x8D55;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_TYPE: 0x8CD0;
+ readonly FRAMEBUFFER_ATTACHMENT_OBJECT_NAME: 0x8CD1;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_LEVEL: 0x8CD2;
+ readonly FRAMEBUFFER_ATTACHMENT_TEXTURE_CUBE_MAP_FACE: 0x8CD3;
+ readonly COLOR_ATTACHMENT0: 0x8CE0;
+ readonly DEPTH_ATTACHMENT: 0x8D00;
+ readonly STENCIL_ATTACHMENT: 0x8D20;
+ readonly DEPTH_STENCIL_ATTACHMENT: 0x821A;
+ readonly NONE: 0;
+ readonly FRAMEBUFFER_COMPLETE: 0x8CD5;
+ readonly FRAMEBUFFER_INCOMPLETE_ATTACHMENT: 0x8CD6;
+ readonly FRAMEBUFFER_INCOMPLETE_MISSING_ATTACHMENT: 0x8CD7;
+ readonly FRAMEBUFFER_INCOMPLETE_DIMENSIONS: 0x8CD9;
+ readonly FRAMEBUFFER_UNSUPPORTED: 0x8CDD;
+ readonly FRAMEBUFFER_BINDING: 0x8CA6;
+ readonly RENDERBUFFER_BINDING: 0x8CA7;
+ readonly MAX_RENDERBUFFER_SIZE: 0x84E8;
+ readonly INVALID_FRAMEBUFFER_OPERATION: 0x0506;
+ readonly UNPACK_FLIP_Y_WEBGL: 0x9240;
+ readonly UNPACK_PREMULTIPLY_ALPHA_WEBGL: 0x9241;
+ readonly CONTEXT_LOST_WEBGL: 0x9242;
+ readonly UNPACK_COLORSPACE_CONVERSION_WEBGL: 0x9243;
+ readonly BROWSER_DEFAULT_WEBGL: 0x9244;
+}
+
+interface WebGLRenderingContextOverloads {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bufferData) */
+ bufferData(target: GLenum, size: GLsizeiptr, usage: GLenum): void;
+ bufferData(target: GLenum, data: AllowSharedBufferSource | null, usage: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/bufferSubData) */
+ bufferSubData(target: GLenum, offset: GLintptr, data: AllowSharedBufferSource): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compressedTexImage2D) */
+ compressedTexImage2D(target: GLenum, level: GLint, internalformat: GLenum, width: GLsizei, height: GLsizei, border: GLint, data: ArrayBufferView): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/compressedTexSubImage2D) */
+ compressedTexSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, data: ArrayBufferView): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/readPixels) */
+ readPixels(x: GLint, y: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texImage2D) */
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, width: GLsizei, height: GLsizei, border: GLint, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ texImage2D(target: GLenum, level: GLint, internalformat: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/texSubImage2D) */
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, width: GLsizei, height: GLsizei, format: GLenum, type: GLenum, pixels: ArrayBufferView | null): void;
+ texSubImage2D(target: GLenum, level: GLint, xoffset: GLint, yoffset: GLint, format: GLenum, type: GLenum, source: TexImageSource): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1fv(location: WebGLUniformLocation | null, v: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1iv(location: WebGLUniformLocation | null, v: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2fv(location: WebGLUniformLocation | null, v: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2iv(location: WebGLUniformLocation | null, v: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3fv(location: WebGLUniformLocation | null, v: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3iv(location: WebGLUniformLocation | null, v: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4fv(location: WebGLUniformLocation | null, v: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4iv(location: WebGLUniformLocation | null, v: Int32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix2fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix3fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Float32List): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix4fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Float32List): void;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLSampler) */
+interface WebGLSampler {
+}
+
+declare var WebGLSampler: {
+ prototype: WebGLSampler;
+ new(): WebGLSampler;
+};
+
+/**
+ * The WebGLShader is part of the WebGL API and can either be a vertex or a fragment shader. A WebGLProgram requires both types of shaders.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShader)
+ */
+interface WebGLShader {
+}
+
+declare var WebGLShader: {
+ prototype: WebGLShader;
+ new(): WebGLShader;
+};
+
+/**
+ * Part of the WebGL API and represents the information returned by calling the WebGLRenderingContext.getShaderPrecisionFormat() method.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShaderPrecisionFormat)
+ */
+interface WebGLShaderPrecisionFormat {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShaderPrecisionFormat/precision) */
+ readonly precision: GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShaderPrecisionFormat/rangeMax) */
+ readonly rangeMax: GLint;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLShaderPrecisionFormat/rangeMin) */
+ readonly rangeMin: GLint;
+}
+
+declare var WebGLShaderPrecisionFormat: {
+ prototype: WebGLShaderPrecisionFormat;
+ new(): WebGLShaderPrecisionFormat;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLSync) */
+interface WebGLSync {
+}
+
+declare var WebGLSync: {
+ prototype: WebGLSync;
+ new(): WebGLSync;
+};
+
+/**
+ * Part of the WebGL API and represents an opaque texture object providing storage and state for texturing operations.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLTexture)
+ */
+interface WebGLTexture {
+}
+
+declare var WebGLTexture: {
+ prototype: WebGLTexture;
+ new(): WebGLTexture;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLTransformFeedback) */
+interface WebGLTransformFeedback {
+}
+
+declare var WebGLTransformFeedback: {
+ prototype: WebGLTransformFeedback;
+ new(): WebGLTransformFeedback;
+};
+
+/**
+ * Part of the WebGL API and represents the location of a uniform variable in a shader program.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLUniformLocation)
+ */
+interface WebGLUniformLocation {
+}
+
+declare var WebGLUniformLocation: {
+ prototype: WebGLUniformLocation;
+ new(): WebGLUniformLocation;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLVertexArrayObject) */
+interface WebGLVertexArrayObject {
+}
+
+declare var WebGLVertexArrayObject: {
+ prototype: WebGLVertexArrayObject;
+ new(): WebGLVertexArrayObject;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLVertexArrayObjectOES) */
+interface WebGLVertexArrayObjectOES {
+}
+
+interface WebSocketEventMap {
+ "close": CloseEvent;
+ "error": Event;
+ "message": MessageEvent;
+ "open": Event;
+}
+
+/**
+ * Provides the API for creating and managing a WebSocket connection to a server, as well as for sending and receiving data on the connection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket)
+ */
+interface WebSocket extends EventTarget {
+ /**
+ * Returns a string that indicates how binary data from the WebSocket object is exposed to scripts:
+ *
+ * Can be set, to change how binary data is returned. The default is "blob".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/binaryType)
+ */
+ binaryType: BinaryType;
+ /**
+ * Returns the number of bytes of application data (UTF-8 text and binary data) that have been queued using send() but not yet been transmitted to the network.
+ *
+ * If the WebSocket connection is closed, this attribute's value will only increase with each call to the send() method. (The number does not reset to zero once the connection closes.)
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/bufferedAmount)
+ */
+ readonly bufferedAmount: number;
+ /**
+ * Returns the extensions selected by the server, if any.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/extensions)
+ */
+ readonly extensions: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/close_event) */
+ onclose: ((this: WebSocket, ev: CloseEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/error_event) */
+ onerror: ((this: WebSocket, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/message_event) */
+ onmessage: ((this: WebSocket, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/open_event) */
+ onopen: ((this: WebSocket, ev: Event) => any) | null;
+ /**
+ * Returns the subprotocol selected by the server, if any. It can be used in conjunction with the array form of the constructor's second argument to perform subprotocol negotiation.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/protocol)
+ */
+ readonly protocol: string;
+ /**
+ * Returns the state of the WebSocket object's connection. It can have the values described below.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/readyState)
+ */
+ readonly readyState: number;
+ /**
+ * Returns the URL that was used to establish the WebSocket connection.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/url)
+ */
+ readonly url: string;
+ /**
+ * Closes the WebSocket connection, optionally using code as the the WebSocket connection close code and reason as the the WebSocket connection close reason.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/close)
+ */
+ close(code?: number, reason?: string): void;
+ /**
+ * Transmits data using the WebSocket connection. data can be a string, a Blob, an ArrayBuffer, or an ArrayBufferView.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebSocket/send)
+ */
+ send(data: string | ArrayBufferLike | Blob | ArrayBufferView): void;
+ readonly CONNECTING: 0;
+ readonly OPEN: 1;
+ readonly CLOSING: 2;
+ readonly CLOSED: 3;
+ addEventListener<K extends keyof WebSocketEventMap>(type: K, listener: (this: WebSocket, ev: WebSocketEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof WebSocketEventMap>(type: K, listener: (this: WebSocket, ev: WebSocketEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var WebSocket: {
+ prototype: WebSocket;
+ new(url: string | URL, protocols?: string | string[]): WebSocket;
+ readonly CONNECTING: 0;
+ readonly OPEN: 1;
+ readonly CLOSING: 2;
+ readonly CLOSED: 3;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport)
+ */
+interface WebTransport {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/closed) */
+ readonly closed: Promise<WebTransportCloseInfo>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/datagrams) */
+ readonly datagrams: WebTransportDatagramDuplexStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/incomingBidirectionalStreams) */
+ readonly incomingBidirectionalStreams: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/incomingUnidirectionalStreams) */
+ readonly incomingUnidirectionalStreams: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/ready) */
+ readonly ready: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/close) */
+ close(closeInfo?: WebTransportCloseInfo): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/createBidirectionalStream) */
+ createBidirectionalStream(options?: WebTransportSendStreamOptions): Promise<WebTransportBidirectionalStream>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransport/createUnidirectionalStream) */
+ createUnidirectionalStream(options?: WebTransportSendStreamOptions): Promise<WritableStream>;
+}
+
+declare var WebTransport: {
+ prototype: WebTransport;
+ new(url: string | URL, options?: WebTransportOptions): WebTransport;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportBidirectionalStream)
+ */
+interface WebTransportBidirectionalStream {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportBidirectionalStream/readable) */
+ readonly readable: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportBidirectionalStream/writable) */
+ readonly writable: WritableStream;
+}
+
+declare var WebTransportBidirectionalStream: {
+ prototype: WebTransportBidirectionalStream;
+ new(): WebTransportBidirectionalStream;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream)
+ */
+interface WebTransportDatagramDuplexStream {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/incomingHighWaterMark) */
+ incomingHighWaterMark: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/incomingMaxAge) */
+ incomingMaxAge: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/maxDatagramSize) */
+ readonly maxDatagramSize: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/outgoingHighWaterMark) */
+ outgoingHighWaterMark: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/outgoingMaxAge) */
+ outgoingMaxAge: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/readable) */
+ readonly readable: ReadableStream;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportDatagramDuplexStream/writable) */
+ readonly writable: WritableStream;
+}
+
+declare var WebTransportDatagramDuplexStream: {
+ prototype: WebTransportDatagramDuplexStream;
+ new(): WebTransportDatagramDuplexStream;
+};
+
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportError)
+ */
+interface WebTransportError extends DOMException {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportError/source) */
+ readonly source: WebTransportErrorSource;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebTransportError/streamErrorCode) */
+ readonly streamErrorCode: number | null;
+}
+
+declare var WebTransportError: {
+ prototype: WebTransportError;
+ new(message?: string, options?: WebTransportErrorOptions): WebTransportError;
+};
+
+/**
+ * This ServiceWorker API interface represents the scope of a service worker client that is a document in a browser context, controlled by an active worker. The service worker client independently selects and uses a service worker for its own loading and sub-resources.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WindowClient)
+ */
+interface WindowClient extends Client {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WindowClient/focused) */
+ readonly focused: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WindowClient/visibilityState) */
+ readonly visibilityState: DocumentVisibilityState;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WindowClient/focus) */
+ focus(): Promise<WindowClient>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WindowClient/navigate) */
+ navigate(url: string | URL): Promise<WindowClient | null>;
+}
+
+declare var WindowClient: {
+ prototype: WindowClient;
+ new(): WindowClient;
+};
+
+interface WindowOrWorkerGlobalScope {
+ /**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/caches)
+ */
+ readonly caches: CacheStorage;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/crossOriginIsolated) */
+ readonly crossOriginIsolated: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/crypto_property) */
+ readonly crypto: Crypto;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/indexedDB) */
+ readonly indexedDB: IDBFactory;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/isSecureContext) */
+ readonly isSecureContext: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/origin) */
+ readonly origin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/performance_property) */
+ readonly performance: Performance;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/atob) */
+ atob(data: string): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/btoa) */
+ btoa(data: string): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/clearInterval) */
+ clearInterval(id: number | undefined): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/clearTimeout) */
+ clearTimeout(id: number | undefined): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/createImageBitmap) */
+ createImageBitmap(image: ImageBitmapSource, options?: ImageBitmapOptions): Promise<ImageBitmap>;
+ createImageBitmap(image: ImageBitmapSource, sx: number, sy: number, sw: number, sh: number, options?: ImageBitmapOptions): Promise<ImageBitmap>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/fetch) */
+ fetch(input: RequestInfo | URL, init?: RequestInit): Promise<Response>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/queueMicrotask) */
+ queueMicrotask(callback: VoidFunction): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/reportError) */
+ reportError(e: any): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/setInterval) */
+ setInterval(handler: TimerHandler, timeout?: number, ...arguments: any[]): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/setTimeout) */
+ setTimeout(handler: TimerHandler, timeout?: number, ...arguments: any[]): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/structuredClone) */
+ structuredClone<T = any>(value: T, options?: StructuredSerializeOptions): T;
+}
+
+interface WorkerEventMap extends AbstractWorkerEventMap {
+ "message": MessageEvent;
+ "messageerror": MessageEvent;
+}
+
+/**
+ * This Web Workers API interface represents a background task that can be easily created and can send messages back to its creator. Creating a worker is as simple as calling the Worker() constructor and specifying a script to be run in the worker thread.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker)
+ */
+interface Worker extends EventTarget, AbstractWorker {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker/message_event) */
+ onmessage: ((this: Worker, ev: MessageEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker/messageerror_event) */
+ onmessageerror: ((this: Worker, ev: MessageEvent) => any) | null;
+ /**
+ * Clones message and transmits it to worker's global environment. transfer can be passed as a list of objects that are to be transferred rather than cloned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker/postMessage)
+ */
+ postMessage(message: any, transfer: Transferable[]): void;
+ postMessage(message: any, options?: StructuredSerializeOptions): void;
+ /**
+ * Aborts worker's associated global environment.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/Worker/terminate)
+ */
+ terminate(): void;
+ addEventListener<K extends keyof WorkerEventMap>(type: K, listener: (this: Worker, ev: WorkerEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof WorkerEventMap>(type: K, listener: (this: Worker, ev: WorkerEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var Worker: {
+ prototype: Worker;
+ new(scriptURL: string | URL, options?: WorkerOptions): Worker;
+};
+
+interface WorkerGlobalScopeEventMap {
+ "error": ErrorEvent;
+ "languagechange": Event;
+ "offline": Event;
+ "online": Event;
+ "rejectionhandled": PromiseRejectionEvent;
+ "unhandledrejection": PromiseRejectionEvent;
+}
+
+/**
+ * This Web Workers API interface is an interface representing the scope of any worker. Workers have no browsing context; this scope contains the information usually conveyed by Window objects — in this case event handlers, the console or the associated WorkerNavigator object. Each WorkerGlobalScope has its own event loop.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope)
+ */
+interface WorkerGlobalScope extends EventTarget, FontFaceSource, WindowOrWorkerGlobalScope {
+ /**
+ * Returns workerGlobal's WorkerLocation object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/location)
+ */
+ readonly location: WorkerLocation;
+ /**
+ * Returns workerGlobal's WorkerNavigator object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/navigator)
+ */
+ readonly navigator: WorkerNavigator;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/error_event) */
+ onerror: ((this: WorkerGlobalScope, ev: ErrorEvent) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/languagechange_event) */
+ onlanguagechange: ((this: WorkerGlobalScope, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/offline_event) */
+ onoffline: ((this: WorkerGlobalScope, ev: Event) => any) | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/online_event) */
+ ononline: ((this: WorkerGlobalScope, ev: Event) => any) | null;
+ onrejectionhandled: ((this: WorkerGlobalScope, ev: PromiseRejectionEvent) => any) | null;
+ onunhandledrejection: ((this: WorkerGlobalScope, ev: PromiseRejectionEvent) => any) | null;
+ /**
+ * Returns workerGlobal.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/self)
+ */
+ readonly self: WorkerGlobalScope & typeof globalThis;
+ /**
+ * Fetches each URL in urls, executes them one-by-one in the order they are passed, and then returns (or throws if something went amiss).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/importScripts)
+ */
+ importScripts(...urls: (string | URL)[]): void;
+ addEventListener<K extends keyof WorkerGlobalScopeEventMap>(type: K, listener: (this: WorkerGlobalScope, ev: WorkerGlobalScopeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof WorkerGlobalScopeEventMap>(type: K, listener: (this: WorkerGlobalScope, ev: WorkerGlobalScopeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var WorkerGlobalScope: {
+ prototype: WorkerGlobalScope;
+ new(): WorkerGlobalScope;
+};
+
+/**
+ * The absolute location of the script executed by the Worker. Such an object is initialized for each worker and is available via the WorkerGlobalScope.location property obtained by calling self.location.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation)
+ */
+interface WorkerLocation {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/hash) */
+ readonly hash: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/host) */
+ readonly host: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/hostname) */
+ readonly hostname: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/href) */
+ readonly href: string;
+ toString(): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/origin) */
+ readonly origin: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/pathname) */
+ readonly pathname: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/port) */
+ readonly port: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/protocol) */
+ readonly protocol: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerLocation/search) */
+ readonly search: string;
+}
+
+declare var WorkerLocation: {
+ prototype: WorkerLocation;
+ new(): WorkerLocation;
+};
+
+/**
+ * A subset of the Navigator interface allowed to be accessed from a Worker. Such an object is initialized for each worker and is available via the WorkerGlobalScope.navigator property obtained by calling window.self.navigator.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerNavigator)
+ */
+interface WorkerNavigator extends NavigatorBadge, NavigatorConcurrentHardware, NavigatorID, NavigatorLanguage, NavigatorLocks, NavigatorOnLine, NavigatorStorage {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerNavigator/mediaCapabilities) */
+ readonly mediaCapabilities: MediaCapabilities;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerNavigator/permissions) */
+ readonly permissions: Permissions;
+}
+
+declare var WorkerNavigator: {
+ prototype: WorkerNavigator;
+ new(): WorkerNavigator;
+};
+
+/**
+ * This Streams API interface provides a standard abstraction for writing streaming data to a destination, known as a sink. This object comes with built-in backpressure and queuing.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream)
+ */
+interface WritableStream<W = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream/locked) */
+ readonly locked: boolean;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream/abort) */
+ abort(reason?: any): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream/close) */
+ close(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStream/getWriter) */
+ getWriter(): WritableStreamDefaultWriter<W>;
+}
+
+declare var WritableStream: {
+ prototype: WritableStream;
+ new<W = any>(underlyingSink?: UnderlyingSink<W>, strategy?: QueuingStrategy<W>): WritableStream<W>;
+};
+
+/**
+ * This Streams API interface represents a controller allowing control of a WritableStream's state. When constructing a WritableStream, the underlying sink is given a corresponding WritableStreamDefaultController instance to manipulate.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultController)
+ */
+interface WritableStreamDefaultController {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultController/signal) */
+ readonly signal: AbortSignal;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultController/error) */
+ error(e?: any): void;
+}
+
+declare var WritableStreamDefaultController: {
+ prototype: WritableStreamDefaultController;
+ new(): WritableStreamDefaultController;
+};
+
+/**
+ * This Streams API interface is the object returned by WritableStream.getWriter() and once created locks the < writer to the WritableStream ensuring that no other streams can write to the underlying sink.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter)
+ */
+interface WritableStreamDefaultWriter<W = any> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/closed) */
+ readonly closed: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/desiredSize) */
+ readonly desiredSize: number | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/ready) */
+ readonly ready: Promise<undefined>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/abort) */
+ abort(reason?: any): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/close) */
+ close(): Promise<void>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/releaseLock) */
+ releaseLock(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WritableStreamDefaultWriter/write) */
+ write(chunk?: W): Promise<void>;
+}
+
+declare var WritableStreamDefaultWriter: {
+ prototype: WritableStreamDefaultWriter;
+ new<W = any>(stream: WritableStream<W>): WritableStreamDefaultWriter<W>;
+};
+
+interface XMLHttpRequestEventMap extends XMLHttpRequestEventTargetEventMap {
+ "readystatechange": Event;
+}
+
+/**
+ * Use XMLHttpRequest (XHR) objects to interact with servers. You can retrieve data from a URL without having to do a full page refresh. This enables a Web page to update just part of a page without disrupting what the user is doing.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest)
+ */
+interface XMLHttpRequest extends XMLHttpRequestEventTarget {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/readystatechange_event) */
+ onreadystatechange: ((this: XMLHttpRequest, ev: Event) => any) | null;
+ /**
+ * Returns client's state.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/readyState)
+ */
+ readonly readyState: number;
+ /**
+ * Returns the response body.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/response)
+ */
+ readonly response: any;
+ /**
+ * Returns response as text.
+ *
+ * Throws an "InvalidStateError" DOMException if responseType is not the empty string or "text".
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/responseText)
+ */
+ readonly responseText: string;
+ /**
+ * Returns the response type.
+ *
+ * Can be set to change the response type. Values are: the empty string (default), "arraybuffer", "blob", "document", "json", and "text".
+ *
+ * When set: setting to "document" is ignored if current global object is not a Window object.
+ *
+ * When set: throws an "InvalidStateError" DOMException if state is loading or done.
+ *
+ * When set: throws an "InvalidAccessError" DOMException if the synchronous flag is set and current global object is a Window object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/responseType)
+ */
+ responseType: XMLHttpRequestResponseType;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/responseURL) */
+ readonly responseURL: string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/status) */
+ readonly status: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/statusText) */
+ readonly statusText: string;
+ /**
+ * Can be set to a time in milliseconds. When set to a non-zero value will cause fetching to terminate after the given time has passed. When the time has passed, the request has not yet completed, and this's synchronous flag is unset, a timeout event will then be dispatched, or a "TimeoutError" DOMException will be thrown otherwise (for the send() method).
+ *
+ * When set: throws an "InvalidAccessError" DOMException if the synchronous flag is set and current global object is a Window object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/timeout)
+ */
+ timeout: number;
+ /**
+ * Returns the associated XMLHttpRequestUpload object. It can be used to gather transmission information when data is transferred to a server.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/upload)
+ */
+ readonly upload: XMLHttpRequestUpload;
+ /**
+ * True when credentials are to be included in a cross-origin request. False when they are to be excluded in a cross-origin request and when cookies are to be ignored in its response. Initially false.
+ *
+ * When set: throws an "InvalidStateError" DOMException if state is not unsent or opened, or if the send() flag is set.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/withCredentials)
+ */
+ withCredentials: boolean;
+ /**
+ * Cancels any network activity.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/abort)
+ */
+ abort(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/getAllResponseHeaders) */
+ getAllResponseHeaders(): string;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/getResponseHeader) */
+ getResponseHeader(name: string): string | null;
+ /**
+ * Sets the request method, request URL, and synchronous flag.
+ *
+ * Throws a "SyntaxError" DOMException if either method is not a valid method or url cannot be parsed.
+ *
+ * Throws a "SecurityError" DOMException if method is a case-insensitive match for \`CONNECT\`, \`TRACE\`, or \`TRACK\`.
+ *
+ * Throws an "InvalidAccessError" DOMException if async is false, current global object is a Window object, and the timeout attribute is not zero or the responseType attribute is not the empty string.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/open)
+ */
+ open(method: string, url: string | URL): void;
+ open(method: string, url: string | URL, async: boolean, username?: string | null, password?: string | null): void;
+ /**
+ * Acts as if the \`Content-Type\` header value for a response is mime. (It does not change the header.)
+ *
+ * Throws an "InvalidStateError" DOMException if state is loading or done.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/overrideMimeType)
+ */
+ overrideMimeType(mime: string): void;
+ /**
+ * Initiates the request. The body argument provides the request body, if any, and is ignored if the request method is GET or HEAD.
+ *
+ * Throws an "InvalidStateError" DOMException if either state is not opened or the send() flag is set.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/send)
+ */
+ send(body?: XMLHttpRequestBodyInit | null): void;
+ /**
+ * Combines a header in author request headers.
+ *
+ * Throws an "InvalidStateError" DOMException if either state is not opened or the send() flag is set.
+ *
+ * Throws a "SyntaxError" DOMException if name is not a header name or if value is not a header value.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequest/setRequestHeader)
+ */
+ setRequestHeader(name: string, value: string): void;
+ readonly UNSENT: 0;
+ readonly OPENED: 1;
+ readonly HEADERS_RECEIVED: 2;
+ readonly LOADING: 3;
+ readonly DONE: 4;
+ addEventListener<K extends keyof XMLHttpRequestEventMap>(type: K, listener: (this: XMLHttpRequest, ev: XMLHttpRequestEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof XMLHttpRequestEventMap>(type: K, listener: (this: XMLHttpRequest, ev: XMLHttpRequestEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var XMLHttpRequest: {
+ prototype: XMLHttpRequest;
+ new(): XMLHttpRequest;
+ readonly UNSENT: 0;
+ readonly OPENED: 1;
+ readonly HEADERS_RECEIVED: 2;
+ readonly LOADING: 3;
+ readonly DONE: 4;
+};
+
+interface XMLHttpRequestEventTargetEventMap {
+ "abort": ProgressEvent<XMLHttpRequestEventTarget>;
+ "error": ProgressEvent<XMLHttpRequestEventTarget>;
+ "load": ProgressEvent<XMLHttpRequestEventTarget>;
+ "loadend": ProgressEvent<XMLHttpRequestEventTarget>;
+ "loadstart": ProgressEvent<XMLHttpRequestEventTarget>;
+ "progress": ProgressEvent<XMLHttpRequestEventTarget>;
+ "timeout": ProgressEvent<XMLHttpRequestEventTarget>;
+}
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequestEventTarget) */
+interface XMLHttpRequestEventTarget extends EventTarget {
+ onabort: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onerror: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onload: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onloadend: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onloadstart: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ onprogress: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ ontimeout: ((this: XMLHttpRequest, ev: ProgressEvent) => any) | null;
+ addEventListener<K extends keyof XMLHttpRequestEventTargetEventMap>(type: K, listener: (this: XMLHttpRequestEventTarget, ev: XMLHttpRequestEventTargetEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof XMLHttpRequestEventTargetEventMap>(type: K, listener: (this: XMLHttpRequestEventTarget, ev: XMLHttpRequestEventTargetEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var XMLHttpRequestEventTarget: {
+ prototype: XMLHttpRequestEventTarget;
+ new(): XMLHttpRequestEventTarget;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/XMLHttpRequestUpload) */
+interface XMLHttpRequestUpload extends XMLHttpRequestEventTarget {
+ addEventListener<K extends keyof XMLHttpRequestEventTargetEventMap>(type: K, listener: (this: XMLHttpRequestUpload, ev: XMLHttpRequestEventTargetEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+ addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+ removeEventListener<K extends keyof XMLHttpRequestEventTargetEventMap>(type: K, listener: (this: XMLHttpRequestUpload, ev: XMLHttpRequestEventTargetEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+ removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+}
+
+declare var XMLHttpRequestUpload: {
+ prototype: XMLHttpRequestUpload;
+ new(): XMLHttpRequestUpload;
+};
+
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console) */
+interface Console {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/assert_static) */
+ assert(condition?: boolean, ...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/clear_static) */
+ clear(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/count_static) */
+ count(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/countReset_static) */
+ countReset(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/debug_static) */
+ debug(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/dir_static) */
+ dir(item?: any, options?: any): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/dirxml_static) */
+ dirxml(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/error_static) */
+ error(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/group_static) */
+ group(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/groupCollapsed_static) */
+ groupCollapsed(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/groupEnd_static) */
+ groupEnd(): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/info_static) */
+ info(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/log_static) */
+ log(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/table_static) */
+ table(tabularData?: any, properties?: string[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/time_static) */
+ time(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/timeEnd_static) */
+ timeEnd(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/timeLog_static) */
+ timeLog(label?: string, ...data: any[]): void;
+ timeStamp(label?: string): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/trace_static) */
+ trace(...data: any[]): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/console/warn_static) */
+ warn(...data: any[]): void;
+}
+
+declare var console: Console;
+
+declare namespace WebAssembly {
+ interface CompileError extends Error {
+ }
+
+ var CompileError: {
+ prototype: CompileError;
+ new(message?: string): CompileError;
+ (message?: string): CompileError;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Global) */
+ interface Global<T extends ValueType = ValueType> {
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Global/value) */
+ value: ValueTypeMap[T];
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Global/valueOf) */
+ valueOf(): ValueTypeMap[T];
+ }
+
+ var Global: {
+ prototype: Global;
+ new<T extends ValueType = ValueType>(descriptor: GlobalDescriptor<T>, v?: ValueTypeMap[T]): Global<T>;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Instance) */
+ interface Instance {
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Instance/exports) */
+ readonly exports: Exports;
+ }
+
+ var Instance: {
+ prototype: Instance;
+ new(module: Module, importObject?: Imports): Instance;
+ };
+
+ interface LinkError extends Error {
+ }
+
+ var LinkError: {
+ prototype: LinkError;
+ new(message?: string): LinkError;
+ (message?: string): LinkError;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Memory) */
+ interface Memory {
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Memory/buffer) */
+ readonly buffer: ArrayBuffer;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Memory/grow) */
+ grow(delta: number): number;
+ }
+
+ var Memory: {
+ prototype: Memory;
+ new(descriptor: MemoryDescriptor): Memory;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Module) */
+ interface Module {
+ }
+
+ var Module: {
+ prototype: Module;
+ new(bytes: BufferSource): Module;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Module/customSections_static) */
+ customSections(moduleObject: Module, sectionName: string): ArrayBuffer[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Module/exports_static) */
+ exports(moduleObject: Module): ModuleExportDescriptor[];
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Module/imports_static) */
+ imports(moduleObject: Module): ModuleImportDescriptor[];
+ };
+
+ interface RuntimeError extends Error {
+ }
+
+ var RuntimeError: {
+ prototype: RuntimeError;
+ new(message?: string): RuntimeError;
+ (message?: string): RuntimeError;
+ };
+
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table) */
+ interface Table {
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table/length) */
+ readonly length: number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table/get) */
+ get(index: number): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table/grow) */
+ grow(delta: number, value?: any): number;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/Table/set) */
+ set(index: number, value?: any): void;
+ }
+
+ var Table: {
+ prototype: Table;
+ new(descriptor: TableDescriptor, value?: any): Table;
+ };
+
+ interface GlobalDescriptor<T extends ValueType = ValueType> {
+ mutable?: boolean;
+ value: T;
+ }
+
+ interface MemoryDescriptor {
+ initial: number;
+ maximum?: number;
+ shared?: boolean;
+ }
+
+ interface ModuleExportDescriptor {
+ kind: ImportExportKind;
+ name: string;
+ }
+
+ interface ModuleImportDescriptor {
+ kind: ImportExportKind;
+ module: string;
+ name: string;
+ }
+
+ interface TableDescriptor {
+ element: TableKind;
+ initial: number;
+ maximum?: number;
+ }
+
+ interface ValueTypeMap {
+ anyfunc: Function;
+ externref: any;
+ f32: number;
+ f64: number;
+ i32: number;
+ i64: bigint;
+ v128: never;
+ }
+
+ interface WebAssemblyInstantiatedSource {
+ instance: Instance;
+ module: Module;
+ }
+
+ type ImportExportKind = "function" | "global" | "memory" | "table";
+ type TableKind = "anyfunc" | "externref";
+ type ExportValue = Function | Global | Memory | Table;
+ type Exports = Record<string, ExportValue>;
+ type ImportValue = ExportValue | number;
+ type Imports = Record<string, ModuleImports>;
+ type ModuleImports = Record<string, ImportValue>;
+ type ValueType = keyof ValueTypeMap;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/compile_static) */
+ function compile(bytes: BufferSource): Promise<Module>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/compileStreaming_static) */
+ function compileStreaming(source: Response | PromiseLike<Response>): Promise<Module>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/instantiate_static) */
+ function instantiate(bytes: BufferSource, importObject?: Imports): Promise<WebAssemblyInstantiatedSource>;
+ function instantiate(moduleObject: Module, importObject?: Imports): Promise<Instance>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/instantiateStreaming_static) */
+ function instantiateStreaming(source: Response | PromiseLike<Response>, importObject?: Imports): Promise<WebAssemblyInstantiatedSource>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/WebAssembly/JavaScript_interface/validate_static) */
+ function validate(bytes: BufferSource): boolean;
+}
+
+interface EncodedVideoChunkOutputCallback {
+ (chunk: EncodedVideoChunk, metadata?: EncodedVideoChunkMetadata): void;
+}
+
+interface FrameRequestCallback {
+ (time: DOMHighResTimeStamp): void;
+}
+
+interface LockGrantedCallback {
+ (lock: Lock | null): any;
+}
+
+interface OnErrorEventHandlerNonNull {
+ (event: Event | string, source?: string, lineno?: number, colno?: number, error?: Error): any;
+}
+
+interface PerformanceObserverCallback {
+ (entries: PerformanceObserverEntryList, observer: PerformanceObserver): void;
+}
+
+interface QueuingStrategySize<T = any> {
+ (chunk: T): number;
+}
+
+interface ReportingObserverCallback {
+ (reports: Report[], observer: ReportingObserver): void;
+}
+
+interface TransformerFlushCallback<O> {
+ (controller: TransformStreamDefaultController<O>): void | PromiseLike<void>;
+}
+
+interface TransformerStartCallback<O> {
+ (controller: TransformStreamDefaultController<O>): any;
+}
+
+interface TransformerTransformCallback<I, O> {
+ (chunk: I, controller: TransformStreamDefaultController<O>): void | PromiseLike<void>;
+}
+
+interface UnderlyingSinkAbortCallback {
+ (reason?: any): void | PromiseLike<void>;
+}
+
+interface UnderlyingSinkCloseCallback {
+ (): void | PromiseLike<void>;
+}
+
+interface UnderlyingSinkStartCallback {
+ (controller: WritableStreamDefaultController): any;
+}
+
+interface UnderlyingSinkWriteCallback<W> {
+ (chunk: W, controller: WritableStreamDefaultController): void | PromiseLike<void>;
+}
+
+interface UnderlyingSourceCancelCallback {
+ (reason?: any): void | PromiseLike<void>;
+}
+
+interface UnderlyingSourcePullCallback<R> {
+ (controller: ReadableStreamController<R>): void | PromiseLike<void>;
+}
+
+interface UnderlyingSourceStartCallback<R> {
+ (controller: ReadableStreamController<R>): any;
+}
+
+interface VideoFrameOutputCallback {
+ (output: VideoFrame): void;
+}
+
+interface VoidFunction {
+ (): void;
+}
+
+interface WebCodecsErrorCallback {
+ (error: DOMException): void;
+}
+
+/**
+ * Returns dedicatedWorkerGlobal's name, i.e. the value given to the Worker constructor. Primarily useful for debugging.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/name)
+ */
+declare var name: string;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/message_event) */
+declare var onmessage: ((this: DedicatedWorkerGlobalScope, ev: MessageEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/messageerror_event) */
+declare var onmessageerror: ((this: DedicatedWorkerGlobalScope, ev: MessageEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/rtctransform_event) */
+declare var onrtctransform: ((this: DedicatedWorkerGlobalScope, ev: Event) => any) | null;
+/**
+ * Aborts dedicatedWorkerGlobal.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/close)
+ */
+declare function close(): void;
+/**
+ * Clones message and transmits it to the Worker object associated with dedicatedWorkerGlobal. transfer can be passed as a list of objects that are to be transferred rather than cloned.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/postMessage)
+ */
+declare function postMessage(message: any, transfer: Transferable[]): void;
+declare function postMessage(message: any, options?: StructuredSerializeOptions): void;
+/**
+ * Dispatches a synthetic event event to target and returns true if either event's cancelable attribute value is false or its preventDefault() method was not invoked, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/dispatchEvent)
+ */
+declare function dispatchEvent(event: Event): boolean;
+/**
+ * Returns workerGlobal's WorkerLocation object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/location)
+ */
+declare var location: WorkerLocation;
+/**
+ * Returns workerGlobal's WorkerNavigator object.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/navigator)
+ */
+declare var navigator: WorkerNavigator;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/error_event) */
+declare var onerror: ((this: DedicatedWorkerGlobalScope, ev: ErrorEvent) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/languagechange_event) */
+declare var onlanguagechange: ((this: DedicatedWorkerGlobalScope, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/offline_event) */
+declare var onoffline: ((this: DedicatedWorkerGlobalScope, ev: Event) => any) | null;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/online_event) */
+declare var ononline: ((this: DedicatedWorkerGlobalScope, ev: Event) => any) | null;
+declare var onrejectionhandled: ((this: DedicatedWorkerGlobalScope, ev: PromiseRejectionEvent) => any) | null;
+declare var onunhandledrejection: ((this: DedicatedWorkerGlobalScope, ev: PromiseRejectionEvent) => any) | null;
+/**
+ * Returns workerGlobal.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/self)
+ */
+declare var self: WorkerGlobalScope & typeof globalThis;
+/**
+ * Fetches each URL in urls, executes them one-by-one in the order they are passed, and then returns (or throws if something went amiss).
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/WorkerGlobalScope/importScripts)
+ */
+declare function importScripts(...urls: (string | URL)[]): void;
+/**
+ * Dispatches a synthetic event event to target and returns true if either event's cancelable attribute value is false or its preventDefault() method was not invoked, and false otherwise.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/EventTarget/dispatchEvent)
+ */
+declare function dispatchEvent(event: Event): boolean;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Document/fonts) */
+declare var fonts: FontFaceSet;
+/**
+ * Available only in secure contexts.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/caches)
+ */
+declare var caches: CacheStorage;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/crossOriginIsolated) */
+declare var crossOriginIsolated: boolean;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/crypto_property) */
+declare var crypto: Crypto;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/indexedDB) */
+declare var indexedDB: IDBFactory;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/isSecureContext) */
+declare var isSecureContext: boolean;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/origin) */
+declare var origin: string;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/performance_property) */
+declare var performance: Performance;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/atob) */
+declare function atob(data: string): string;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/btoa) */
+declare function btoa(data: string): string;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/clearInterval) */
+declare function clearInterval(id: number | undefined): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/clearTimeout) */
+declare function clearTimeout(id: number | undefined): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/createImageBitmap) */
+declare function createImageBitmap(image: ImageBitmapSource, options?: ImageBitmapOptions): Promise<ImageBitmap>;
+declare function createImageBitmap(image: ImageBitmapSource, sx: number, sy: number, sw: number, sh: number, options?: ImageBitmapOptions): Promise<ImageBitmap>;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/fetch) */
+declare function fetch(input: RequestInfo | URL, init?: RequestInit): Promise<Response>;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/queueMicrotask) */
+declare function queueMicrotask(callback: VoidFunction): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/reportError) */
+declare function reportError(e: any): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/setInterval) */
+declare function setInterval(handler: TimerHandler, timeout?: number, ...arguments: any[]): number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/setTimeout) */
+declare function setTimeout(handler: TimerHandler, timeout?: number, ...arguments: any[]): number;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/structuredClone) */
+declare function structuredClone<T = any>(value: T, options?: StructuredSerializeOptions): T;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/cancelAnimationFrame) */
+declare function cancelAnimationFrame(handle: number): void;
+/** [MDN Reference](https://developer.mozilla.org/docs/Web/API/DedicatedWorkerGlobalScope/requestAnimationFrame) */
+declare function requestAnimationFrame(callback: FrameRequestCallback): number;
+declare function addEventListener<K extends keyof DedicatedWorkerGlobalScopeEventMap>(type: K, listener: (this: DedicatedWorkerGlobalScope, ev: DedicatedWorkerGlobalScopeEventMap[K]) => any, options?: boolean | AddEventListenerOptions): void;
+declare function addEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | AddEventListenerOptions): void;
+declare function removeEventListener<K extends keyof DedicatedWorkerGlobalScopeEventMap>(type: K, listener: (this: DedicatedWorkerGlobalScope, ev: DedicatedWorkerGlobalScopeEventMap[K]) => any, options?: boolean | EventListenerOptions): void;
+declare function removeEventListener(type: string, listener: EventListenerOrEventListenerObject, options?: boolean | EventListenerOptions): void;
+type AlgorithmIdentifier = Algorithm | string;
+type AllowSharedBufferSource = ArrayBuffer | ArrayBufferView;
+type BigInteger = Uint8Array;
+type BinaryData = ArrayBuffer | ArrayBufferView;
+type BlobPart = BufferSource | Blob | string;
+type BodyInit = ReadableStream | XMLHttpRequestBodyInit;
+type BufferSource = ArrayBufferView | ArrayBuffer;
+type CSSKeywordish = string | CSSKeywordValue;
+type CSSNumberish = number | CSSNumericValue;
+type CSSPerspectiveValue = CSSNumericValue | CSSKeywordish;
+type CSSUnparsedSegment = string | CSSVariableReferenceValue;
+type CanvasImageSource = ImageBitmap | OffscreenCanvas | VideoFrame;
+type DOMHighResTimeStamp = number;
+type EpochTimeStamp = number;
+type EventListenerOrEventListenerObject = EventListener | EventListenerObject;
+type FileSystemWriteChunkType = BufferSource | Blob | string | WriteParams;
+type Float32List = Float32Array | GLfloat[];
+type FormDataEntryValue = File | string;
+type GLbitfield = number;
+type GLboolean = boolean;
+type GLclampf = number;
+type GLenum = number;
+type GLfloat = number;
+type GLint = number;
+type GLint64 = number;
+type GLintptr = number;
+type GLsizei = number;
+type GLsizeiptr = number;
+type GLuint = number;
+type GLuint64 = number;
+type HashAlgorithmIdentifier = AlgorithmIdentifier;
+type HeadersInit = [string, string][] | Record<string, string> | Headers;
+type IDBValidKey = number | string | Date | BufferSource | IDBValidKey[];
+type ImageBitmapSource = CanvasImageSource | Blob | ImageData;
+type Int32List = Int32Array | GLint[];
+type MessageEventSource = MessagePort | ServiceWorker;
+type NamedCurve = string;
+type OffscreenRenderingContext = OffscreenCanvasRenderingContext2D | ImageBitmapRenderingContext | WebGLRenderingContext | WebGL2RenderingContext;
+type OnErrorEventHandler = OnErrorEventHandlerNonNull | null;
+type PerformanceEntryList = PerformanceEntry[];
+type PushMessageDataInit = BufferSource | string;
+type ReadableStreamController<T> = ReadableStreamDefaultController<T> | ReadableByteStreamController;
+type ReadableStreamReadResult<T> = ReadableStreamReadValueResult<T> | ReadableStreamReadDoneResult<T>;
+type ReadableStreamReader<T> = ReadableStreamDefaultReader<T> | ReadableStreamBYOBReader;
+type ReportList = Report[];
+type RequestInfo = Request | string;
+type TexImageSource = ImageBitmap | ImageData | OffscreenCanvas | VideoFrame;
+type TimerHandler = string | Function;
+type Transferable = OffscreenCanvas | ImageBitmap | MessagePort | ReadableStream | WritableStream | TransformStream | VideoFrame | ArrayBuffer;
+type Uint32List = Uint32Array | GLuint[];
+type XMLHttpRequestBodyInit = Blob | BufferSource | FormData | URLSearchParams | string;
+type AlphaOption = "discard" | "keep";
+type AvcBitstreamFormat = "annexb" | "avc";
+type BinaryType = "arraybuffer" | "blob";
+type CSSMathOperator = "clamp" | "invert" | "max" | "min" | "negate" | "product" | "sum";
+type CSSNumericBaseType = "angle" | "flex" | "frequency" | "length" | "percent" | "resolution" | "time";
+type CanvasDirection = "inherit" | "ltr" | "rtl";
+type CanvasFillRule = "evenodd" | "nonzero";
+type CanvasFontKerning = "auto" | "none" | "normal";
+type CanvasFontStretch = "condensed" | "expanded" | "extra-condensed" | "extra-expanded" | "normal" | "semi-condensed" | "semi-expanded" | "ultra-condensed" | "ultra-expanded";
+type CanvasFontVariantCaps = "all-petite-caps" | "all-small-caps" | "normal" | "petite-caps" | "small-caps" | "titling-caps" | "unicase";
+type CanvasLineCap = "butt" | "round" | "square";
+type CanvasLineJoin = "bevel" | "miter" | "round";
+type CanvasTextAlign = "center" | "end" | "left" | "right" | "start";
+type CanvasTextBaseline = "alphabetic" | "bottom" | "hanging" | "ideographic" | "middle" | "top";
+type CanvasTextRendering = "auto" | "geometricPrecision" | "optimizeLegibility" | "optimizeSpeed";
+type ClientTypes = "all" | "sharedworker" | "window" | "worker";
+type CodecState = "closed" | "configured" | "unconfigured";
+type ColorGamut = "p3" | "rec2020" | "srgb";
+type ColorSpaceConversion = "default" | "none";
+type CompressionFormat = "deflate" | "deflate-raw" | "gzip";
+type DocumentVisibilityState = "hidden" | "visible";
+type EncodedVideoChunkType = "delta" | "key";
+type EndingType = "native" | "transparent";
+type FileSystemHandleKind = "directory" | "file";
+type FontDisplay = "auto" | "block" | "fallback" | "optional" | "swap";
+type FontFaceLoadStatus = "error" | "loaded" | "loading" | "unloaded";
+type FontFaceSetLoadStatus = "loaded" | "loading";
+type FrameType = "auxiliary" | "nested" | "none" | "top-level";
+type GlobalCompositeOperation = "color" | "color-burn" | "color-dodge" | "copy" | "darken" | "destination-atop" | "destination-in" | "destination-out" | "destination-over" | "difference" | "exclusion" | "hard-light" | "hue" | "lighten" | "lighter" | "luminosity" | "multiply" | "overlay" | "saturation" | "screen" | "soft-light" | "source-atop" | "source-in" | "source-out" | "source-over" | "xor";
+type HardwareAcceleration = "no-preference" | "prefer-hardware" | "prefer-software";
+type HdrMetadataType = "smpteSt2086" | "smpteSt2094-10" | "smpteSt2094-40";
+type IDBCursorDirection = "next" | "nextunique" | "prev" | "prevunique";
+type IDBRequestReadyState = "done" | "pending";
+type IDBTransactionDurability = "default" | "relaxed" | "strict";
+type IDBTransactionMode = "readonly" | "readwrite" | "versionchange";
+type ImageOrientation = "flipY" | "from-image" | "none";
+type ImageSmoothingQuality = "high" | "low" | "medium";
+type KeyFormat = "jwk" | "pkcs8" | "raw" | "spki";
+type KeyType = "private" | "public" | "secret";
+type KeyUsage = "decrypt" | "deriveBits" | "deriveKey" | "encrypt" | "sign" | "unwrapKey" | "verify" | "wrapKey";
+type LatencyMode = "quality" | "realtime";
+type LockMode = "exclusive" | "shared";
+type MediaDecodingType = "file" | "media-source" | "webrtc";
+type MediaEncodingType = "record" | "webrtc";
+type NotificationDirection = "auto" | "ltr" | "rtl";
+type NotificationPermission = "default" | "denied" | "granted";
+type OffscreenRenderingContextId = "2d" | "bitmaprenderer" | "webgl" | "webgl2" | "webgpu";
+type PermissionName = "geolocation" | "notifications" | "persistent-storage" | "push" | "screen-wake-lock" | "xr-spatial-tracking";
+type PermissionState = "denied" | "granted" | "prompt";
+type PredefinedColorSpace = "display-p3" | "srgb";
+type PremultiplyAlpha = "default" | "none" | "premultiply";
+type PushEncryptionKeyName = "auth" | "p256dh";
+type RTCEncodedVideoFrameType = "delta" | "empty" | "key";
+type ReadableStreamReaderMode = "byob";
+type ReadableStreamType = "bytes";
+type ReferrerPolicy = "" | "no-referrer" | "no-referrer-when-downgrade" | "origin" | "origin-when-cross-origin" | "same-origin" | "strict-origin" | "strict-origin-when-cross-origin" | "unsafe-url";
+type RequestCache = "default" | "force-cache" | "no-cache" | "no-store" | "only-if-cached" | "reload";
+type RequestCredentials = "include" | "omit" | "same-origin";
+type RequestDestination = "" | "audio" | "audioworklet" | "document" | "embed" | "font" | "frame" | "iframe" | "image" | "manifest" | "object" | "paintworklet" | "report" | "script" | "sharedworker" | "style" | "track" | "video" | "worker" | "xslt";
+type RequestMode = "cors" | "navigate" | "no-cors" | "same-origin";
+type RequestPriority = "auto" | "high" | "low";
+type RequestRedirect = "error" | "follow" | "manual";
+type ResizeQuality = "high" | "low" | "medium" | "pixelated";
+type ResponseType = "basic" | "cors" | "default" | "error" | "opaque" | "opaqueredirect";
+type SecurityPolicyViolationEventDisposition = "enforce" | "report";
+type ServiceWorkerState = "activated" | "activating" | "installed" | "installing" | "parsed" | "redundant";
+type ServiceWorkerUpdateViaCache = "all" | "imports" | "none";
+type TransferFunction = "hlg" | "pq" | "srgb";
+type VideoColorPrimaries = "bt470bg" | "bt709" | "smpte170m";
+type VideoEncoderBitrateMode = "constant" | "quantizer" | "variable";
+type VideoMatrixCoefficients = "bt470bg" | "bt709" | "rgb" | "smpte170m";
+type VideoPixelFormat = "BGRA" | "BGRX" | "I420" | "I420A" | "I422" | "I444" | "NV12" | "RGBA" | "RGBX";
+type VideoTransferCharacteristics = "bt709" | "iec61966-2-1" | "smpte170m";
+type WebGLPowerPreference = "default" | "high-performance" | "low-power";
+type WebTransportCongestionControl = "default" | "low-latency" | "throughput";
+type WebTransportErrorSource = "session" | "stream";
+type WorkerType = "classic" | "module";
+type WriteCommandType = "seek" | "truncate" | "write";
+type XMLHttpRequestResponseType = "" | "arraybuffer" | "blob" | "document" | "json" | "text";
+`,lo["lib.webworker.importscripts.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/////////////////////////////
+/// WorkerGlobalScope APIs
+/////////////////////////////
+// These are only available in a Web Worker
+declare function importScripts(...urls: string[]): void;
+`,lo["lib.webworker.iterable.d.ts"]=`/*! *****************************************************************************
+Copyright (c) Microsoft Corporation. All rights reserved.
+Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+this file except in compliance with the License. You may obtain a copy of the
+License at http://www.apache.org/licenses/LICENSE-2.0
+
+THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+MERCHANTABLITY OR NON-INFRINGEMENT.
+
+See the Apache Version 2.0 License for specific language governing permissions
+and limitations under the License.
+***************************************************************************** */
+
+
+/// <reference no-default-lib="true"/>
+
+/////////////////////////////
+/// Worker Iterable APIs
+/////////////////////////////
+
+interface CSSNumericArray {
+ [Symbol.iterator](): IterableIterator<CSSNumericValue>;
+ entries(): IterableIterator<[number, CSSNumericValue]>;
+ keys(): IterableIterator<number>;
+ values(): IterableIterator<CSSNumericValue>;
+}
+
+interface CSSTransformValue {
+ [Symbol.iterator](): IterableIterator<CSSTransformComponent>;
+ entries(): IterableIterator<[number, CSSTransformComponent]>;
+ keys(): IterableIterator<number>;
+ values(): IterableIterator<CSSTransformComponent>;
+}
+
+interface CSSUnparsedValue {
+ [Symbol.iterator](): IterableIterator<CSSUnparsedSegment>;
+ entries(): IterableIterator<[number, CSSUnparsedSegment]>;
+ keys(): IterableIterator<number>;
+ values(): IterableIterator<CSSUnparsedSegment>;
+}
+
+interface Cache {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/Cache/addAll) */
+ addAll(requests: Iterable<RequestInfo>): Promise<void>;
+}
+
+interface CanvasPath {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/roundRect) */
+ roundRect(x: number, y: number, w: number, h: number, radii?: number | DOMPointInit | Iterable<number | DOMPointInit>): void;
+}
+
+interface CanvasPathDrawingStyles {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/CanvasRenderingContext2D/setLineDash) */
+ setLineDash(segments: Iterable<number>): void;
+}
+
+interface DOMStringList {
+ [Symbol.iterator](): IterableIterator<string>;
+}
+
+interface FileList {
+ [Symbol.iterator](): IterableIterator<File>;
+}
+
+interface FontFaceSet extends Set<FontFace> {
+}
+
+interface FormData {
+ [Symbol.iterator](): IterableIterator<[string, FormDataEntryValue]>;
+ /** Returns an array of key, value pairs for every entry in the list. */
+ entries(): IterableIterator<[string, FormDataEntryValue]>;
+ /** Returns a list of keys in the list. */
+ keys(): IterableIterator<string>;
+ /** Returns a list of values in the list. */
+ values(): IterableIterator<FormDataEntryValue>;
+}
+
+interface Headers {
+ [Symbol.iterator](): IterableIterator<[string, string]>;
+ /** Returns an iterator allowing to go through all key/value pairs contained in this object. */
+ entries(): IterableIterator<[string, string]>;
+ /** Returns an iterator allowing to go through all keys of the key/value pairs contained in this object. */
+ keys(): IterableIterator<string>;
+ /** Returns an iterator allowing to go through all values of the key/value pairs contained in this object. */
+ values(): IterableIterator<string>;
+}
+
+interface IDBDatabase {
+ /**
+ * Returns a new transaction with the given mode ("readonly" or "readwrite") and scope which can be a single object store name or an array of names.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBDatabase/transaction)
+ */
+ transaction(storeNames: string | Iterable<string>, mode?: IDBTransactionMode, options?: IDBTransactionOptions): IDBTransaction;
+}
+
+interface IDBObjectStore {
+ /**
+ * Creates a new index in store with the given name, keyPath and options and returns a new IDBIndex. If the keyPath and options define constraints that cannot be satisfied with the data already in store the upgrade transaction will abort with a "ConstraintError" DOMException.
+ *
+ * Throws an "InvalidStateError" DOMException if not called within an upgrade transaction.
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/IDBObjectStore/createIndex)
+ */
+ createIndex(name: string, keyPath: string | Iterable<string>, options?: IDBIndexParameters): IDBIndex;
+}
+
+interface MessageEvent<T = any> {
+ /**
+ * @deprecated
+ *
+ * [MDN Reference](https://developer.mozilla.org/docs/Web/API/MessageEvent/initMessageEvent)
+ */
+ initMessageEvent(type: string, bubbles?: boolean, cancelable?: boolean, data?: any, origin?: string, lastEventId?: string, source?: MessageEventSource | null, ports?: Iterable<MessagePort>): void;
+}
+
+interface StylePropertyMapReadOnly {
+ [Symbol.iterator](): IterableIterator<[string, Iterable<CSSStyleValue>]>;
+ entries(): IterableIterator<[string, Iterable<CSSStyleValue>]>;
+ keys(): IterableIterator<string>;
+ values(): IterableIterator<Iterable<CSSStyleValue>>;
+}
+
+interface SubtleCrypto {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/deriveKey) */
+ deriveKey(algorithm: AlgorithmIdentifier | EcdhKeyDeriveParams | HkdfParams | Pbkdf2Params, baseKey: CryptoKey, derivedKeyType: AlgorithmIdentifier | AesDerivedKeyParams | HmacImportParams | HkdfParams | Pbkdf2Params, extractable: boolean, keyUsages: Iterable<KeyUsage>): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/generateKey) */
+ generateKey(algorithm: "Ed25519", extractable: boolean, keyUsages: ReadonlyArray<"sign" | "verify">): Promise<CryptoKeyPair>;
+ generateKey(algorithm: RsaHashedKeyGenParams | EcKeyGenParams, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKeyPair>;
+ generateKey(algorithm: AesKeyGenParams | HmacKeyGenParams | Pbkdf2Params, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKey>;
+ generateKey(algorithm: AlgorithmIdentifier, extractable: boolean, keyUsages: Iterable<KeyUsage>): Promise<CryptoKeyPair | CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/importKey) */
+ importKey(format: "jwk", keyData: JsonWebKey, algorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: ReadonlyArray<KeyUsage>): Promise<CryptoKey>;
+ importKey(format: Exclude<KeyFormat, "jwk">, keyData: BufferSource, algorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: Iterable<KeyUsage>): Promise<CryptoKey>;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/SubtleCrypto/unwrapKey) */
+ unwrapKey(format: KeyFormat, wrappedKey: BufferSource, unwrappingKey: CryptoKey, unwrapAlgorithm: AlgorithmIdentifier | RsaOaepParams | AesCtrParams | AesCbcParams | AesGcmParams, unwrappedKeyAlgorithm: AlgorithmIdentifier | RsaHashedImportParams | EcKeyImportParams | HmacImportParams | AesKeyAlgorithm, extractable: boolean, keyUsages: Iterable<KeyUsage>): Promise<CryptoKey>;
+}
+
+interface URLSearchParams {
+ [Symbol.iterator](): IterableIterator<[string, string]>;
+ /** Returns an array of key, value pairs for every entry in the search params. */
+ entries(): IterableIterator<[string, string]>;
+ /** Returns a list of keys in the search params. */
+ keys(): IterableIterator<string>;
+ /** Returns a list of values in the search params. */
+ values(): IterableIterator<string>;
+}
+
+interface WEBGL_draw_buffers {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_draw_buffers/drawBuffersWEBGL) */
+ drawBuffersWEBGL(buffers: Iterable<GLenum>): void;
+}
+
+interface WEBGL_multi_draw {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawArraysInstancedWEBGL) */
+ multiDrawArraysInstancedWEBGL(mode: GLenum, firstsList: Int32Array | Iterable<GLint>, firstsOffset: number, countsList: Int32Array | Iterable<GLsizei>, countsOffset: number, instanceCountsList: Int32Array | Iterable<GLsizei>, instanceCountsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawArraysWEBGL) */
+ multiDrawArraysWEBGL(mode: GLenum, firstsList: Int32Array | Iterable<GLint>, firstsOffset: number, countsList: Int32Array | Iterable<GLsizei>, countsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawElementsInstancedWEBGL) */
+ multiDrawElementsInstancedWEBGL(mode: GLenum, countsList: Int32Array | Iterable<GLsizei>, countsOffset: number, type: GLenum, offsetsList: Int32Array | Iterable<GLsizei>, offsetsOffset: number, instanceCountsList: Int32Array | Iterable<GLsizei>, instanceCountsOffset: number, drawcount: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WEBGL_multi_draw/multiDrawElementsWEBGL) */
+ multiDrawElementsWEBGL(mode: GLenum, countsList: Int32Array | Iterable<GLsizei>, countsOffset: number, type: GLenum, offsetsList: Int32Array | Iterable<GLsizei>, offsetsOffset: number, drawcount: GLsizei): void;
+}
+
+interface WebGL2RenderingContextBase {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferfv(buffer: GLenum, drawbuffer: GLint, values: Iterable<GLfloat>, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferiv(buffer: GLenum, drawbuffer: GLint, values: Iterable<GLint>, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/clearBuffer) */
+ clearBufferuiv(buffer: GLenum, drawbuffer: GLint, values: Iterable<GLuint>, srcOffset?: number): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/drawBuffers) */
+ drawBuffers(buffers: Iterable<GLenum>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getActiveUniforms) */
+ getActiveUniforms(program: WebGLProgram, uniformIndices: Iterable<GLuint>, pname: GLenum): any;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/getUniformIndices) */
+ getUniformIndices(program: WebGLProgram, uniformNames: Iterable<string>): Iterable<GLuint> | null;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/invalidateFramebuffer) */
+ invalidateFramebuffer(target: GLenum, attachments: Iterable<GLenum>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/invalidateSubFramebuffer) */
+ invalidateSubFramebuffer(target: GLenum, attachments: Iterable<GLenum>, x: GLint, y: GLint, width: GLsizei, height: GLsizei): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/transformFeedbackVaryings) */
+ transformFeedbackVaryings(program: WebGLProgram, varyings: Iterable<string>, bufferMode: GLenum): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform1uiv(location: WebGLUniformLocation | null, data: Iterable<GLuint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform2uiv(location: WebGLUniformLocation | null, data: Iterable<GLuint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform3uiv(location: WebGLUniformLocation | null, data: Iterable<GLuint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniform) */
+ uniform4uiv(location: WebGLUniformLocation | null, data: Iterable<GLuint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix2x3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix2x4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix3x2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix3x4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix4x2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/uniformMatrix) */
+ uniformMatrix4x3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4iv(index: GLuint, values: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGL2RenderingContext/vertexAttribI) */
+ vertexAttribI4uiv(index: GLuint, values: Iterable<GLuint>): void;
+}
+
+interface WebGL2RenderingContextOverloads {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1fv(location: WebGLUniformLocation | null, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1iv(location: WebGLUniformLocation | null, data: Iterable<GLint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2fv(location: WebGLUniformLocation | null, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2iv(location: WebGLUniformLocation | null, data: Iterable<GLint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3fv(location: WebGLUniformLocation | null, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3iv(location: WebGLUniformLocation | null, data: Iterable<GLint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4fv(location: WebGLUniformLocation | null, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4iv(location: WebGLUniformLocation | null, data: Iterable<GLint>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix2fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix3fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix4fv(location: WebGLUniformLocation | null, transpose: GLboolean, data: Iterable<GLfloat>, srcOffset?: number, srcLength?: GLuint): void;
+}
+
+interface WebGLRenderingContextBase {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib1fv(index: GLuint, values: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib2fv(index: GLuint, values: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib3fv(index: GLuint, values: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/vertexAttrib) */
+ vertexAttrib4fv(index: GLuint, values: Iterable<GLfloat>): void;
+}
+
+interface WebGLRenderingContextOverloads {
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1fv(location: WebGLUniformLocation | null, v: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform1iv(location: WebGLUniformLocation | null, v: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2fv(location: WebGLUniformLocation | null, v: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform2iv(location: WebGLUniformLocation | null, v: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3fv(location: WebGLUniformLocation | null, v: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform3iv(location: WebGLUniformLocation | null, v: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4fv(location: WebGLUniformLocation | null, v: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniform) */
+ uniform4iv(location: WebGLUniformLocation | null, v: Iterable<GLint>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix2fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix3fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Iterable<GLfloat>): void;
+ /** [MDN Reference](https://developer.mozilla.org/docs/Web/API/WebGLRenderingContext/uniformMatrix) */
+ uniformMatrix4fv(location: WebGLUniformLocation | null, transpose: GLboolean, value: Iterable<GLfloat>): void;
+}
+`;function gm(g){return typeof g=="string"?/^file:\/\/\//.test(g)?!!lo[g.substr(8)]:!1:g.path.indexOf("/lib.")===0?!!lo[g.path.slice(1)]:!1}var f1e=class m6{constructor(v,T){this._extraLibs=Object.create(null),this._languageService=d1e(this),this._ctx=v,this._compilerOptions=T.compilerOptions,this._extraLibs=T.extraLibs,this._inlayHintsOptions=T.inlayHintsOptions}getCompilationSettings(){return this._compilerOptions}getLanguageService(){return this._languageService}getExtraLibs(){return this._extraLibs}getScriptFileNames(){return this._ctx.getMirrorModels().map(W=>W.uri).filter(W=>!gm(W)).map(W=>W.toString()).concat(Object.keys(this._extraLibs))}_getModel(v){let T=this._ctx.getMirrorModels();for(let W=0;W<T.length;W++){const X=T[W].uri;if(X.toString()===v||X.toString(!0)===v)return T[W]}return null}getScriptVersion(v){let T=this._getModel(v);return T?T.version.toString():this.isDefaultLibFileName(v)?"1":v in this._extraLibs?String(this._extraLibs[v].version):""}async getScriptText(v){return this._getScriptText(v)}_getScriptText(v){let T,W=this._getModel(v);const X="lib."+v+".d.ts";if(W)T=W.getValue();else if(v in lo)T=lo[v];else if(X in lo)T=lo[X];else if(v in this._extraLibs)T=this._extraLibs[v].content;else return;return T}getScriptSnapshot(v){const T=this._getScriptText(v);if(T!==void 0)return{getText:(W,X)=>T.substring(W,X),getLength:()=>T.length,getChangeRange:()=>{}}}getScriptKind(v){switch(v.substr(v.lastIndexOf(".")+1)){case"ts":return qN.TS;case"tsx":return qN.TSX;case"js":return qN.JS;case"jsx":return qN.JSX;default:return this.getCompilationSettings().allowJs?qN.JS:qN.TS}}getCurrentDirectory(){return""}getDefaultLibFileName(v){switch(v.target){case 99:const T="lib.esnext.full.d.ts";if(T in lo||T in this._extraLibs)return T;case 7:case 6:case 5:case 4:case 3:case 2:default:const W=`lib.es${2013+(v.target||99)}.full.d.ts`;return W in lo||W in this._extraLibs?W:"lib.es6.d.ts";case 1:case 0:return"lib.d.ts"}}isDefaultLibFileName(v){return v===this.getDefaultLibFileName(this._compilerOptions)}readFile(v){return this._getScriptText(v)}fileExists(v){return this._getScriptText(v)!==void 0}async getLibFiles(){return lo}static clearFiles(v){const T=[];for(const W of v){const X={...W};if(X.file=X.file?{fileName:X.file.fileName}:void 0,W.relatedInformation){X.relatedInformation=[];for(const ue of W.relatedInformation){const Re={...ue};Re.file=Re.file?{fileName:Re.file.fileName}:void 0,X.relatedInformation.push(Re)}}T.push(X)}return T}async getSyntacticDiagnostics(v){if(gm(v))return[];const T=this._languageService.getSyntacticDiagnostics(v);return m6.clearFiles(T)}async getSemanticDiagnostics(v){if(gm(v))return[];const T=this._languageService.getSemanticDiagnostics(v);return m6.clearFiles(T)}async getSuggestionDiagnostics(v){if(gm(v))return[];const T=this._languageService.getSuggestionDiagnostics(v);return m6.clearFiles(T)}async getCompilerOptionsDiagnostics(v){if(gm(v))return[];const T=this._languageService.getCompilerOptionsDiagnostics();return m6.clearFiles(T)}async getCompletionsAtPosition(v,T){if(!gm(v))return this._languageService.getCompletionsAtPosition(v,T,void 0)}async getCompletionEntryDetails(v,T,W){return this._languageService.getCompletionEntryDetails(v,T,W,void 0,void 0,void 0,void 0)}async getSignatureHelpItems(v,T,W){if(!gm(v))return this._languageService.getSignatureHelpItems(v,T,W)}async getQuickInfoAtPosition(v,T){if(!gm(v))return this._languageService.getQuickInfoAtPosition(v,T)}async getDocumentHighlights(v,T,W){if(!gm(v))return this._languageService.getDocumentHighlights(v,T,W)}async getDefinitionAtPosition(v,T){if(!gm(v))return this._languageService.getDefinitionAtPosition(v,T)}async getReferencesAtPosition(v,T){if(!gm(v))return this._languageService.getReferencesAtPosition(v,T)}async getNavigationTree(v){if(!gm(v))return this._languageService.getNavigationTree(v)}async getFormattingEditsForDocument(v,T){return gm(v)?[]:this._languageService.getFormattingEditsForDocument(v,T)}async getFormattingEditsForRange(v,T,W,X){return gm(v)?[]:this._languageService.getFormattingEditsForRange(v,T,W,X)}async getFormattingEditsAfterKeystroke(v,T,W,X){return gm(v)?[]:this._languageService.getFormattingEditsAfterKeystroke(v,T,W,X)}async findRenameLocations(v,T,W,X,ue){if(!gm(v))return this._languageService.findRenameLocations(v,T,W,X,ue)}async getRenameInfo(v,T,W){return gm(v)?{canRename:!1,localizedErrorMessage:"Cannot rename in lib file"}:this._languageService.getRenameInfo(v,T,W)}async getEmitOutput(v,T,W){if(gm(v))return{outputFiles:[],emitSkipped:!0};const X=this._languageService.getEmitOutput(v,T,W),ue=X.diagnostics?m6.clearFiles(X.diagnostics):void 0;return{...X,diagnostics:ue}}async getCodeFixesAtPosition(v,T,W,X,ue){if(gm(v))return[];const Re={};try{return this._languageService.getCodeFixesAtPosition(v,T,W,X,ue,Re)}catch{return[]}}async updateExtraLibs(v){this._extraLibs=v}async provideInlayHints(v,T,W){if(gm(v))return[];const X=this._inlayHintsOptions??{},ue={start:T,length:W-T};try{return this._languageService.provideInlayHints(v,ue,X)}catch{return[]}}};function I9e(g,v){let T=f1e;if(v.customWorkerPath)if(typeof importScripts>"u")console.warn("Monaco is not using webworkers for background tasks, and that is needed to support the customWorkerPath flag");else{self.importScripts(v.customWorkerPath);const W=self.customTSWorkerFactory;if(!W)throw new Error(`The script at ${v.customWorkerPath} does not add customTSWorkerFactory to self`);T=W(f1e,u1e,lo)}return new T(g,v)}globalThis.ts=p1e,self.onmessage=()=>{c1e((g,v)=>I9e(g,v))};/*! *****************************************************************************
+ Copyright (c) Microsoft Corporation. All rights reserved.
+ Licensed under the Apache License, Version 2.0 (the "License"); you may not use
+ this file except in compliance with the License. You may obtain a copy of the
+ License at http://www.apache.org/licenses/LICENSE-2.0
+
+ THIS CODE IS PROVIDED ON AN *AS IS* BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+ KIND, EITHER EXPRESS OR IMPLIED, INCLUDING WITHOUT LIMITATION ANY IMPLIED
+ WARRANTIES OR CONDITIONS OF TITLE, FITNESS FOR A PARTICULAR PURPOSE,
+ MERCHANTABLITY OR NON-INFRINGEMENT.
+
+ See the Apache Version 2.0 License for specific language governing permissions
+ and limitations under the License.
+ ***************************************************************************** */})();
diff --git a/docs/index.html b/docs/index.html
new file mode 100644
index 0000000..6d11fe4
--- /dev/null
+++ b/docs/index.html
@@ -0,0 +1,25 @@
+<!DOCTYPE html>
+<html lang="en">
+<head>
+ <meta charset="UTF-8">
+ <meta name="viewport" content="width=device-width, initial-scale=1.0">
+ <meta name="slidev:version" content="0.50.0-beta.5">
+<link rel="icon" href="https://cdn.jsdelivr.net/gh/slidevjs/slidev/assets/favicon.png">
+<title>Really Functional Data Structures - Slidev</title>
+<meta name="author" content="Marvin Borner">
+<link rel="stylesheet" href="https://fonts.googleapis.com/css2?family=Avenir+Next:wght@200;400;600&family=Nunito+Sans:wght@200;400;600&family=Fira+Code:wght@200;400;600&display=swap" type="text/css">
+ <script type="module" crossorigin src="/tuela24/assets/index-Da-oe7Cw.js"></script>
+ <link rel="modulepreload" crossorigin href="/tuela24/assets/modules/vue-DPv8DyWv.js">
+ <link rel="modulepreload" crossorigin href="/tuela24/assets/modules/file-saver-igGfcqei.js">
+ <link rel="modulepreload" crossorigin href="/tuela24/assets/monaco/bundled-types-B0l6HWZX.js">
+ <link rel="modulepreload" crossorigin href="/tuela24/assets/modules/shiki-BNMsYMPq.js">
+ <link rel="stylesheet" crossorigin href="/tuela24/assets/monaco/bundled-types-B_1qVWDs.css">
+ <link rel="stylesheet" crossorigin href="/tuela24/assets/modules/shiki-Bxv373Z5.css">
+ <link rel="stylesheet" crossorigin href="/tuela24/assets/index-CGHbX1oj.css">
+</head>
+<body>
+ <div id="app"></div>
+ <div id="mermaid-rendering-container"></div>
+
+</body>
+</html>
diff --git a/impls.js b/impls.js
new file mode 100644
index 0000000..da7e0cf
--- /dev/null
+++ b/impls.js
@@ -0,0 +1,277 @@
+/**
+ * Meta
+ */
+
+print = console.log
+y = f => x => f(y(f))(x)
+k = t => f => t
+ki = t => f => f
+
+/**
+ * Logic
+ */
+
+// true/false Church booleans
+tru = t => f => t
+fls = t => f => f
+
+// evaluate
+evalBool = bool => bool("true")("false")
+
+print(evalBool(tru)) // "true"
+print(evalBool(fls)) // "false"
+
+// negate (c combinator)
+negate = bool => t => f => bool(f)(t)
+
+print(evalBool(negate(tru))) // "false"
+print(evalBool(negate(fls))) // "true"
+
+// logical and
+and = a => b => b(a)(b)
+
+// how?
+// - if b is true, then b is re-bound to a (first arg, see lines 1,3)
+// - if b is false, then b is re-bound to b (second arg, always false, see lines 2,4)
+
+print(evalBool(and(tru)(tru))) // "true"
+print(evalBool(and(tru)(fls))) // "false"
+print(evalBool(and(fls)(tru))) // "false"
+print(evalBool(and(fls)(fls))) // "false"
+
+/**
+ * Church Pairs
+ */
+
+// construction
+cons = a => b => s => s(a)(b)
+
+// example
+examplePair = cons("a")("b")
+
+// selectors
+car = pair => pair(a => b => a)
+cdr = pair => pair(a => b => b)
+
+print(car(examplePair)) // "a"
+print(cdr(examplePair)) // "b"
+
+/**
+ * Church Lists
+ */
+
+// ["a", "b", "c"] => pair "a" (pair "b" (pair "c" nil))
+// => s1 => (s1 "a" (s2 => (s2 "b" (s3 => (s3 "c" nil)))))
+
+// end of list
+nil = head => tail => tail
+
+exampleList = cons("a")(cons("b")(cons("c")(nil)))
+
+// true if list is empty
+isNil = list => list(head => tail => rest => fls)(tru)
+// first argument gets substituted into first selector (s1)
+// just ignore all arguments and return false
+// "rest" is the right argument "tru"
+// nil ignores first argument, second one is just returned as is ("true")
+
+print(evalBool(isNil(nil))) // "true"
+print(evalBool(isNil(exampleList))) // "false"
+
+// length = y(rec => n => list => isNil(list)(n)(rec(n + 1)(cdr(list))))(0)
+
+// thunked due to JS' strictness..
+length = y(rec => n => list => isNil(list)(() => n)(() => rec(n + 1)(cdr(list))()))(0)
+
+print(length(exampleList)())
+
+/**
+ * Scott Lists
+ */
+
+exampleScottList = end => s1 => s2("a")(s2 => s2("b")(end))
+
+/**
+ * Parigot Lists
+ */
+
+exampleParigotList = s1 => end1 => s1("a")(s2 => end2 => s2("b")(s3 => end3 => end3))
+
+/**
+ * Product types
+ */
+
+// data Friends = Friends { best :: String, friendly :: String, weird :: String }
+Friends = best => friendly => weird => s => s(best)(friendly)(weird)
+best = friends => friends(best => _ => _ => best)
+friendly = friends => friends(_ => friendly => _ => friendly)
+weird = friends => friends(_ => _ => weird => weird)
+
+friends = Friends("Alice")("Bob")("Carol")
+print(best(friends))
+
+/**
+ * Sum types, tagged unions
+ */
+
+// data Tree = Leaf Int | Node Tree Tree
+Leaf = n => leaf => node => leaf(n)
+Node = a => b => leaf => node => node(a)(b)
+nodeLeft = node => node(_ => _)(a => b => a)
+nodeRight = node => node(_ => _)(a => b => b)
+leafValue = leaf => leaf(n => n)(_ => _)
+isLeaf = tree => tree(n => tru)(a => b => fls)
+isNode = tree => tree(n => fls)(a => b => tru)
+
+exampleTree = Node(Leaf(1))(Node(Leaf(2))(Leaf(3)))
+print(evalBool(isNode(exampleTree)))
+print(evalBool(isLeaf(nodeLeft(exampleTree))))
+print(leafValue(nodeLeft(exampleTree)))
+print(leafValue(nodeRight(nodeRight(exampleTree))))
+
+/**
+ * Rose, Balanced, binary, finger, etc. trees
+ */
+
+// skipped, see bruijn std
+// for example: AVL - can even be used for sets and hashmaps!
+
+/**
+ * Strings, chars
+ */
+
+// just a list of numbers/bits/etc.
+
+/**
+ * Church numerals
+ */
+
+churchZero = s => z => z
+churchSucc = n => s => z => s(n(s)(z))
+churchPred = n => f => x => n(g => h => h(g(f)))(u => x)(u => u)
+churchIsZero = n => n(z => fls)(tru)
+
+print(evalBool(churchIsZero(churchZero))) // true
+print(evalBool(churchIsZero(churchSucc(churchZero)))) // false
+print(evalBool(churchIsZero(churchPred(churchSucc(churchZero))))) // true
+
+/**
+ * Scott numerals
+ */
+
+scottZero = z => s => z
+scottSucc = n => z => s => s(n)
+scottPred = n => n(scottZero)(x => x)
+scottIsZero = n => n(tru)(x => fls)
+
+print(evalBool(scottIsZero(scottZero))) // true
+print(evalBool(scottIsZero(scottSucc(scottZero)))) // false
+print(evalBool(scottIsZero(scottPred(scottSucc(scottZero))))) // true
+
+/**
+ * Parigot numerals
+ */
+
+parigotZero = n => n
+parigotSucc = n => a => b => b(n(a))
+parigotPred = n => a => n(a(x => x))
+
+/**
+ * Wadsworth numerals
+ */
+
+wadsworthZero = n => n(u => k)
+wadsworthSucc = n => a => b => n(c => a(b(c))(b))
+wadsworthPred = n => a => n(b => k(a(b)))(x => x)
+wadsworthIsZero = n => n(x => x)(k(k(ki)))
+
+print(evalBool(wadsworthIsZero(wadsworthZero))) // true
+print(evalBool(wadsworthIsZero(wadsworthSucc(wadsworthZero)))) // false
+print(evalBool(wadsworthIsZero(wadsworthPred(wadsworthSucc(wadsworthZero))))) // true
+
+/**
+ * de Bruijn numerals
+ */
+
+bruijnZero = n => n
+bruijnSucc = n => a => b => n(a)
+bruijnPred = n => a => n(a)(a)
+bruijnMult = a => b => a(b)
+
+/**
+ * n-ary numerals
+ */
+
+exampleMogensenBinary = end => b1 => b0 => b1(b1(end))
+exampleMogensenTernary = end => tn => tp => t0 => t0(tp(end))
+
+/**
+ * rational, real, complex
+ */
+
+// skipped, see bruijn std
+
+/**
+ * Maybe monad
+ */
+
+Nothing = empty => full => empty
+Just = v => empty => full => full(v)
+isNothing = m => m(tru)(u => fls)
+isJust = m => m(fls)(u => tru)
+map => f => m => m(nothing)(v => just(f(v)))
+
+pure = Just
+bind = mx => f => mx(mx)(f)
+// ------------^^ ^-----------------
+// case Nothing case Just (apply)
+
+evalMaybe = maybe => maybe("Nothing")(v => "Just " + v)
+print(evalMaybe(bind(Nothing)(n => pure(n + 1))))
+print(evalMaybe(bind(Just(42))(n => pure(n + 1))))
+
+/**
+ * Either monad
+ */
+
+// data Either a b = Left a | Right b
+Left = a => left => right => left(a)
+Right = b => left => right => right(b)
+
+// instance Monad
+pure = Right
+bind = mx => f => mx(Left)(f)
+// ---------^^^^ ^------------------
+// case Left case Right (apply)
+
+evalEither = either => either(a => "Left " + a)(b => "Right " + b)
+print(evalEither(bind(Left(42))(n => pure(n + 1))))
+print(evalEither(bind(Right(42))(n => pure(n + 1))))
+
+/**
+ * Mogensen-Scott meta
+ */
+
+// enc[x] = sym => app => lam => sym(x)
+// enc[f(x)] = sym => app => lam => app(enc[f])(enc[x])
+// enc[x => m] = sym => app => lam => lam(x => enc[m])
+
+evalMeta = term => term
+ (x => x)
+ (f => x => eval(f)(eval(x)))
+ (m => x => eval(m(x)))
+
+/**
+ * Bruijn-Church meta
+ */
+
+// enc[i] = idx => app => lam => church[idx]
+// enc[f(x)] = idx => app => lam => app(enc[f])(enc[x])
+// enc[b] = idx => app => lam => lam(enc[b])
+
+/**
+ * Lambda Screen
+ */
+
+// a screen is (s => s(tl)(tr)(bl)(br)), where tl,tr,bl,br in [screen, pixel]
+// pixel is (w => b => w) || (w => b => b)
diff --git a/slides/readme.md b/slides/readme.md
new file mode 100644
index 0000000..26ece5e
--- /dev/null
+++ b/slides/readme.md
@@ -0,0 +1,2 @@
+Uses Slidev, see [here](https://marvinborner.github.io/tuela24/1) for
+compiled version.
diff --git a/slides/slides.md b/slides/slides.md
new file mode 100644
index 0000000..d3732ee
--- /dev/null
+++ b/slides/slides.md
@@ -0,0 +1,692 @@
+---
+#theme: seriph
+canvasWidth: 750
+title: Really Functional Data Structures
+author: Marvin Borner
+colorSchema: light
+class: text-center
+transition: instant
+mdc: true
+# take snapshot for each slide in the overview
+# overviewSnapshots: true
+---
+
+# *Really* Functional<br>Data Structures
+
+[Marvin Borner](https://marvinborner.de)
+
+<!--
+Datenstrukturen nur aus Funktionen! Und wie man mit ihnen umgeht!
+Frage: Erfahrung mit Lambdakalkül und Datenstrukturen?
+Wieso, weshalb???
+-->
+
+---
+
+# Goal/Motivation
+
+<v-clicks>
+
+- Represent arbitrary data using only functions
+ - No classes, structs, numbers, etc.
+ - Basically pure lambda calculus
+- Think/program more functionally
+- Elegant and minimal solutions
+- Useful for theorem proving?
+- Really fun!
+
+</v-clicks>
+
+<!--
+- ..
+- übertragbar
+- e.g. easily typable
+- ..
+-->
+
+---
+
+# Anonymous Functions (Lambdas)
+
+- Functions (abstractions) have an argument and a body
+- Applying a function with an argument substitutes it
+- Functions can be assigned to names (easier to read)
+
+---
+
+## JavaScript Notation
+
+```js {monaco-run} {showOutputAt:1}
+f = x => x + 42
+console.log(f(2))
+```
+
+<div v-click=2>
+```js {monaco-run} {showOutputAt:3}
+f = x => y => x + y
+console.log(f(2)(42))
+```
+</div>
+
+---
+
+# Really Functional Data Structures
+
+<v-clicks>
+
+- Only use pure, closed terms
+- Multiple states are encoded via (unapplied) arguments and applications
+ - Carefully, such that data doesn't reduce itself!
+- State can often be extracted using "selector" argument
+
+</v-clicks>
+
+<!--
+Wie Daten rein funktional darstellen?
+-->
+
+---
+layout: cover
+---
+
+# Common
+
+---
+
+# Boolean Logic
+
+- **Capacity**: 1 Bit (true/false)
+- **Operations**: and/not/etc.
+
+<!--
+mit was einfachem starten :)
+-->
+
+---
+
+## Church Booleans
+
+```js
+tru = t => f => t
+fls = t => f => f
+```
+
+---
+
+## Church Booleans
+
+```js {monaco-run} {showOutputAt:'+1'}
+tru = t => f => t
+fls = t => f => f
+
+evalBool = bool => bool("true")("false")
+console.log(evalBool(tru))
+console.log(evalBool(fls))
+```
+
+---
+
+## Example: Negation
+
+<v-clicks>
+
+- We know: `bool = t => f => t/f`
+- If `bool = t => f => t`, then `!bool = t => f => f`
+- If `bool = t => f => f`, then `!bool = t => f => t`
+- Therefore:
+ ``` js
+ negate = bool => t => f => bool(f)(t)
+ // ^ ^
+ // one will be eliminated!
+ ```
+
+</v-clicks>
+
+---
+
+## Example: Negation
+
+```js {monaco-run} {showOutputAt:'+1'}
+tru = t => f => t
+fls = t => f => f
+negate = bool => t => f => bool(f)(t)
+
+evalBool = bool => bool("true")("false")
+console.log(evalBool(negate(tru)))
+console.log(evalBool(negate(fls)))
+```
+
+---
+
+## Other Operators
+
+- ``` js
+ and = a => b => b(a)(b)
+ ```
+- ``` js
+ xor = a => b => b(a(fls)(b))(a)
+ ```
+- ``` js
+ xnor = a => b => b(a)(a(b)(tru))
+ ```
+- ``` js
+ impl = a => b => a(b)(tru)
+ ```
+- ...
+
+---
+
+# Church Pairs
+
+<v-clicks>
+
+- Stores two values
+- The selector function gets applied to both values:
+ ``` js
+ examplePair = s => s(A)(B)
+ ```
+
+</v-clicks>
+
+---
+
+## Construction/Selection
+
+```js {monaco-run} {showOutputAt:'+1'}
+cons = a => b => s => s(a)(b)
+car = pair => pair(a => b => a)
+cdr = pair => pair(a => b => b)
+// ^^^^^^^^^^^
+// selector function
+
+examplePair = cons("a")("b")
+console.log(car(examplePair))
+console.log(cdr(examplePair))
+```
+
+---
+layout: cover
+---
+
+# Lists
+
+---
+
+# Church Lists
+
+<v-clicks depth=2>
+
+- Idea: A list is just a composition of pairs:<br>`[A, B, C, D] = (A, (B, (C, (D, NIL))))`
+- But what is `NIL`?
+ - marks the end of the list
+ - differentiable from other elements
+
+</v-clicks>
+
+---
+
+## `isNil`?
+
+- We define NIL such that it *ignores* its selector argument
+
+```js
+cons = a => b => s => s(a)(b)
+nil = s => x => x
+exampleList = cons("a")(cons("b")(cons("c")(nil)))
+// = s1 => s1("a")(s2 => s2("b")(s3 => s3("c")(nil)))
+```
+
+---
+
+## `isNil`?
+
+- We define NIL such that it *ignores* its selector argument
+
+```js {monaco-run} {showOutputAt:'+1'}
+cons = a => b => s => s(a)(b)
+nil = s => x => x
+exampleList = cons("a")(cons("b")(cons("c")(nil)))
+// = s1 => s1("a")(s2 => s2("b")(s3 => s3("c")(nil)))
+
+isNil = list => list(head => tail => right => fls)(tru)
+// ^^^^ ^^^^^^^^^^^^^^^^^^^^^^^^^^^^ ^^^
+// cons/nil pair selector nil selector
+
+console.log(evalBool(isNil(nil)))
+console.log(evalBool(isNil(exampleList)))
+```
+
+---
+
+## Example: Iteration
+
+```js {monaco-run} {showOutputAt:'+1'}
+y = f => x => f(y(f))(x)
+exampleList = cons("a")(cons("b")(cons("c")(nil)))
+length = y(rec => n => list => isNil(list)
+ (() => n)
+ (() => rec(n + 1)(cdr(list))()))
+ (0)
+
+console.log(length(exampleList)())
+```
+
+---
+
+# Other Lists
+
+Example: Encoding of `["a", "b"]`
+
+<v-clicks>
+
+- Parigot:
+ ```js
+ end => s1 => s2("a")(s2 => s2("b")(end))
+ ```
+- Scott:
+ ```js
+ s1 => end1 => s1("a")(s2 => end2 => s2("b")(s3 => end3 => end3))
+ ```
+- $n$-Tuple:
+ ```js
+ s => s("a")("b")...
+ ```
+
+</v-clicks>
+
+<!--
+Parigot: Triviales append
+Scott: Kein wirklicher Sinn
+n-Tupel: Vorteile siehe später, length/pop schwierig/unmöglich
+-->
+
+---
+layout: cover
+---
+
+# Numbers
+
+(brief overview)
+
+---
+
+# Church Numerals
+
+- Idea: Represent a number $n$ by applying $n$ composed functions to some argument!
+
+---
+
+# Church Numerals
+
+- Idea: Represent a number $n$ by applying $n$ composed functions to some argument!
+- For example:
+
+```js {monaco-run} {showOutputAt:'+1'}
+three = s => z => s(s(s(z)))
+
+evalChurch = n => n(x => x + 1)(0)
+console.log(evalChurch(three))
+```
+
+---
+
+## Successor
+
+- Add another `s` to the composition
+- We also need to "rebind" existing `s` and `z`!
+
+---
+
+## Successor
+
+- Add another `s` to the composition
+- We also need to "rebind" existing `s` and `z`!
+
+```js {monaco-run} {showOutputAt:'+1'}
+// three = s => z => s(s(s(z)))
+
+zero = s => z => z
+succ = n => s => z => s(n(s)(z))
+
+console.log(evalChurch(succ(succ(succ(zero)))))
+```
+
+<!--
+Predecessor really hard :(
+-->
+
+---
+
+## `isZero`?
+
+Similar to `isNil`!
+
+```js {monaco-run} {showOutputAt:'+1'}
+// three = s => z => s(s(s(z)))
+
+isZero = n => n(z => fls)(tru)
+
+console.log(evalBool(isZero(zero)))
+console.log(evalBool(isZero(succ(zero))))
+```
+
+---
+
+# Other Numeral Systems
+
+Example: Encoding of $3$
+
+<v-clicks>
+
+- Scott:
+ ```js
+ s1 => z1 => s1(s2 => z2 => s2(s3 => z3 => s3(s4 => z4 => z4)))
+ ```
+- Parigot:
+ ```js
+ end => s1 => s1(s2 => s2(s3 => s3(s4 => end)))
+ ```
+- Mogensen:
+ ```js
+ end => b1 => b0 => b1(b1(end)) // binary
+ end => tn => tp => t0 => t0(tp(end)) // balanced ternary
+ ```
+- Wadsworth, de Bruijn, Rationals, ...
+
+</v-clicks>
+
+<!--
+Scott: Trivial pred, add komplexer
+Parigot: pred/add beide in einem Schritt!
+-->
+
+---
+layout: cover
+---
+
+# Algebraic Types
+
+<!--
+Wie man diese speichern kann
+Typen haben wir natürlich nicht
+-->
+
+---
+
+# Products/Records
+
+- Stores multiple elements, supports construction and extraction
+- For two elements: Just a Church pair
+- For multiple elements: Extend the pair!
+
+---
+
+# Products/Records
+
+- Stores multiple elements, supports construction and extraction
+- For two elements: Just a Church pair
+- For multiple elements: Extend the pair!
+
+```js {monaco-run} {showOutputAt:'+1'}
+// data Friends = Friends { best :: String, friendly :: String, weird :: String }
+Friends = best => friendly => weird => s => s(best)(friendly)(weird)
+best = friends => friends(best => _ => _ => best)
+friendly = friends => friends(_ => friendly => _ => friendly)
+weird = friends => friends(_ => _ => weird => weird)
+
+friends = Friends("Alice")("Bob")("Carol")
+console.log(best(friends))
+```
+
+---
+
+# Sums/Unions
+
+- Similar: Stores multiple *types*, but only one at a time
+- Typical functional data structure (e.g. Haskell's `data` "|")
+
+<!--
+Selektion/etc. sehr ähnlich zu Produkttypen, nur mit *mehr* Selektoren!
+-->
+
+---
+
+```js {monaco-run}
+// data Tree = Leaf Int | Node Tree Tree
+Leaf = n => leaf => node => leaf(n)
+Node = a => b => leaf => node => node(a)(b)
+nodeLeft = node => node(_ => _)(a => b => a)
+nodeRight = node => node(_ => _)(a => b => b)
+leafValue = leaf => leaf(n => n)(_ => _)
+isLeaf = tree => tree(n => tru)(a => b => fls)
+isNode = tree => tree(n => fls)(a => b => tru)
+```
+
+---
+
+```js {monaco-run}
+// data Tree = Leaf Int | Node Tree Tree
+Leaf = n => leaf => node => leaf(n)
+Node = a => b => leaf => node => node(a)(b)
+nodeLeft = node => node(_ => _)(a => b => a)
+nodeRight = node => node(_ => _)(a => b => b)
+leafValue = leaf => leaf(n => n)(_ => _)
+isLeaf = tree => tree(n => tru)(a => b => fls)
+isNode = tree => tree(n => fls)(a => b => tru)
+
+exampleTree = Node(Leaf(1))(Node(Leaf(2))(Leaf(3)))
+console.log(evalBool(isNode(exampleTree)))
+console.log(evalBool(isLeaf(nodeLeft(exampleTree))))
+console.log(leafValue(nodeLeft(exampleTree)))
+console.log(leafValue(nodeRight(nodeRight(exampleTree))))
+```
+
+---
+layout: cover
+---
+
+# Trees
+
+Trivial with presented data structures
+
+- Rose trees
+- Binary trees
+- Finger trees
+- Balanced trees
+
+---
+layout: cover
+---
+
+# Monads
+
+---
+
+# Maybe
+
+Stores either nothing or a value, but *tagged*
+
+```js {monaco-run} {showOutputAt:'+1'}
+// data Maybe a = Nothing | Just a
+Nothing = nothing => just => nothing
+Just = v => nothing => just => just(v)
+
+// instance Monad
+pure = Just
+bind = mx => f => mx(mx)(f)
+// ------------^^ ^-----------------
+// case Nothing case Just (apply)
+
+evalMaybe = maybe => maybe("Nothing")(v => "Just " + v)
+console.log(evalMaybe(bind(Nothing)(n => pure(n + 1))))
+console.log(evalMaybe(bind(Just(42))(n => pure(n + 1))))
+```
+
+<!--
+Basically just a tagged union... boring
+-->
+
+---
+
+# Either
+
+Stores either a value or another value, but *tagged*
+
+```js {monaco-run} {showOutputAt:'+1'}
+// data Either a b = Left a | Right b
+Left = a => left => right => left(a)
+Right = b => left => right => right(b)
+
+// instance Monad
+pure = Right
+bind = mx => f => mx(Left)(f)
+// ---------^^^^ ^------------------
+// case Left case Right (apply)
+
+evalEither = either => either(a => "Left " + a)(b => "Right " + b)
+console.log(evalEither(bind(Left(42))(n => pure(n + 1))))
+console.log(evalEither(bind(Right(42))(n => pure(n + 1))))
+```
+
+---
+layout: cover
+---
+
+# Meta
+
+(little detour)
+
+---
+
+# Mogensen-Scott
+
+- Meta encoding of lambda terms
+- Tagged union: `Symbol x | Application Term Term | Lambda Term`
+- Translation:
+ ```js
+ enc[x] = sym => app => lam => sym(x)
+ enc[f(x)] = sym => app => lam => app(enc[f])(enc[x])
+ enc[x => m] = sym => app => lam => lam(x => enc[m])
+ ```
+
+---
+
+## Meta-Circular Interpreter
+
+Evaluate lambda terms using the lambda implementation of the language itself!
+
+```js
+enc[x] = sym => app => lam => sym(x)
+enc[f(x)] = sym => app => lam => app(enc[f])(enc[x])
+enc[x => m] = sym => app => lam => lam(x => enc[m])
+```
+
+```js
+eval = term => term
+ (x => x)
+ (f => x => eval(f)(eval(x)))
+ (m => x => eval(m(x)))
+```
+
+---
+
+# de Bruijn-Church
+
+- Idea: Encode symbols as Church-encoded de Bruijn indices
+- Abstractions are simpler and allow open terms
+- Translation:
+ ```js
+ enc[i] = idx => app => lam => church[idx]
+ enc[f(x)] = idx => app => lam => app(enc[f])(enc[x])
+ enc[b] = idx => app => lam => lam(enc[b])
+ ```
+
+---
+
+## 194 bit self interpreter
+
+Minimal data structures allow minimal interpreters!
+
+<style>
+pre, code, pre * {
+ color: black !important;
+ background-color: transparent !important;
+ margin: 0 auto;
+ width: min-content;
+ font-size: 90%;
+ line-height: 1.1 !important;
+}
+small {
+ font-size: 50%;
+ }
+</style>
+
+```
+01010001 00011100
+11010000 ###### 11100110
+10000 ############ 00001
+01011 ##### ##### 00001
+11100 #### #### 00101
+01110 #### ##### 00011
+00000 #### ###### 10100
+00011 #### ### #### 00111
+10000 #### ## #### 11111
+00001 #### ### #### 11110
+00010 ###### #### 11110
+10011 ##### #### 10100
+11110 #### #### 00011
+11000 ##### ##### 00011
+11000 ############ 01011
+01101110 ###### 00011001
+00011010 00011010
+```
+
+<small>
+
+See [Metaprogramming and Self-Interpretation](https://text.marvinborner.de/2023-09-03-21.html)
+
+</small>
+
+<!--
+Learning: minimale Datenstrukturen können zu minimalem Code führen!
+-->
+
+---
+layout: cover
+class: text-left
+---
+
+# Other Data?
+
+- Strings? List of 2-ary numerals.
+- Bits? List of Church booleans.
+- Maps? Balanced tree with Church pairs.
+
+---
+layout: cover
+---
+
+# Images
+
+---
+
+# Quad Trees
+
+- Leafs/pixels:
+ ```js
+ black = w => b => b
+ white = w => b => w
+ ```
+- 4-tuple (product type):
+ ```js
+ screen = s => s(TL)(TR)(BL)(BR)
+ ```
+- Where `TL`,`TR`,`BL`,`BR` are either a screen or a pixel
+
+---
+layout: cover
+---
+
+# Demo
+
+[Lambda Screen](https://lambda-screen.marvinborner.de)